>Solyc12g042120.2.1 pep chromosome:SL3.0:12:58081506:58082579:1 gene:Solyc12g042120.2 transcript:Solyc12g042120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIMQASYSHRLLCFSCIVWYVKPAAAPTPGQITGQVHPHQCSSTVAGCGRDSTDQTTNRFEVPMN >Solyc01g067380.3.1 pep chromosome:SL3.0:1:75655569:75662161:-1 gene:Solyc01g067380.3 transcript:Solyc01g067380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNWLKVVIFLCLIAVSTGREFKVKAKNKHHAAIYNHTLATILVEYASAVYVSDLTELFAWTCSRCNGLTKGFQILELIVDVQRCLQAYVGVAQDLNAIVIAFRGTQESSLQNWIEDLYWKQLDISYPGMEDAMVHHGFYSAYHNTSLRPGVLTAVKSAKEFYGDIPIMVTGHSMGGAMAAFCGLDLTVHLGCQNVSVMTFGQPRIGNAAFVSYYRERVPNTIRVTNRHDIVPHLPPYYQYFPHKTYRHFPREVWLYDLGFGSLVYTVEKVCDNSGEDPSCSRSVKGNSVKDHVRYFGVKLSCDVSAGCRIVMGNGLASYHTTDNDGNIIFSRNISSVLRMNVESSEEGKSL >Solyc05g006260.1.1.1 pep chromosome:SL3.0:5:924757:924939:1 gene:Solyc05g006260.1 transcript:Solyc05g006260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKPAPPYPALPRPISIPTHNKPKILHKASFACFQPCKVTVRCCTITKQVLNVSASCRM >Solyc12g019340.1.1.1 pep chromosome:SL3.0:12:10002359:10002541:-1 gene:Solyc12g019340.1 transcript:Solyc12g019340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVIFYQVDILIFFTVVLCFSREFSLIRPSYFSYFLYNIYGLQCHVSTHGDLKYHDHY >Solyc12g097070.2.1 pep chromosome:SL3.0:12:66691651:66696280:-1 gene:Solyc12g097070.2 transcript:Solyc12g097070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYASVQFECNAADERAKLLASEVIGLEEKALRLRSNELKLERELEKSQAEISSFKKKMASLGKERQDLLSTIDALKEEKKLLQEKLRKTSDSGKSLDVSRSMPSKKDISIMWCCIDSGEEKIVNTTLDGPNLGARSSEGPTFSYLSENDQLSLESLSTTVPPDQIRMIQSINTLISELTLEKEELMKALSLESSQCSELQELNKDLTRKLEAQTQRSELLTAQSMATGNNQTRLPDALSVQDSTTYADEGDELKLAWNANTIAACALRFVIQLISYASRVVERVLGWIIKLFPGGPSKRRTSNLI >Solyc05g015710.3.1 pep chromosome:SL3.0:5:11754031:11756962:-1 gene:Solyc05g015710.3 transcript:Solyc05g015710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQQDQQSKLLYELSTLIFNILRSPTTPFEFSDQSPVIQRSTQLPTVRPMQQITPAGFASLLLGISMALMLCGSVTFFIGFFMMPWIIVFVMLLYLAGIVSVLSMIGRAIFCPMSSSQSHSQRAEVWPGELDRNECKSFISRKNENWKRVKYCETI >Solyc09g057715.1.1 pep chromosome:SL3.0:9:50508382:50508936:-1 gene:Solyc09g057715.1 transcript:Solyc09g057715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIKNLKRRLSAVFEMKDLGPAKQILRMKISRDRYAGTLNLSHEFYIEKVLIRFRVNDAKLMTTPLSNHFQLSKEKSPKTAEELGSVMYAMICTRPDITHAVGVLSRYMVNHGKEHWEAVKWLLRYLRGTYSTSLCFGKLTLQGLVDADLGGDVDSSKSTSGYIYTIVEQQ >Solyc06g072480.1.1 pep chromosome:SL3.0:6:44844116:44845722:-1 gene:Solyc06g072480.1 transcript:Solyc06g072480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PETROSELINUM [Source:UniProtKB/TrEMBL;Acc:B2Y2G9] METKSTENCKDEEQKNHYYYSNSTSPGLLSSLEDEEIKRKICCHSLYGLLVQTHLDCLKVCLGITEIDKIDQKTEEKSAKCNKVISHTMDHQTELNNKFSSLTMDQPAELDNFMEAYCVALSKLKEAMEEPHLESIKFINHMYSQLSELMELPTSTSTPSNFDGMKAHGNK >Solyc10g008210.3.1 pep chromosome:SL3.0:10:2314292:2322456:1 gene:Solyc10g008210.3 transcript:Solyc10g008210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSVVMDDDYEFSAPRFYDFINGETDEDKRNAELWFEISISYAPSPFMQRIKKSGRTIQLESLCDFTKDEELQDNARPVAGPSSSVSREEVRSNGIEEPAAVLTSSGSKEEVKPNEIKERAAEPASSGSKVELMPNEIKERAAEPASSGSKVEVMPNGTEEHAAEPASSGSKVAVMRNEIEEPAAELASSGSKVEVMPKEITEESGSSLANLESVQQQSNVEEISTPAPPMISQKSDEKTDSKKRQTAKKIASIIRNPSALKSKAHLQQSQLKKKSSNPASVRKQTIAKSAVGAHNLSQENQAIKRQKLEGGKSRQILNVKPQNLPHKIKVGIASSNSTLFSSTAEVHKQDRKMYVREPVAPFVSIAEMMKKFQSSTREMSLPRMSSSTTHDDPAGQMQRKHKLILTRPKEPEFVTAQRVRPTRVKSSAEQEEEMMAKIPKFKARPLNKKILEVPTLPTLPKSIPQLPEFKEFHLQTMARANQNAETSTVASIESTQIHQWKSSHLTAPKSPVLKTSLRARPPRIRSSKEMEKEELEKVPKFKARPLNKKIFESKGDLGMFCNTKRQVTEPQEFHFATDERIPPPANVADMLFDKLSLNSEPQNDKTIPRNTTPNPFHLSTEERGAEKERKLFTEILHKQIEEERSRMRKATPYPYTTDYPVIPPKPEPKRCTRPEPFRLESLVKHEQETWKQMEERRRMEEEEAKMRNFKAQPVLAEDPIPLPEKVRKPLTEVQDFKLNVDHRSLDRAEFDKKIKQKEVMHKRYREEAESARMMEEEKALKQLRRTLVPHARPVPKFDHPFLPQKSSKQVTKPRSPKLQIVKRKERKTMACPYAPSSSAAYQMR >Solyc12g009745.1.1 pep chromosome:SL3.0:12:2973016:2975923:1 gene:Solyc12g009745.1 transcript:Solyc12g009745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLFFYYSFLCFVLLISECFSSSFDHHHLCSPTEASALIKFKQSFQNMSEEYYRDWSDGDCSPKTKSWNESRDCCSWDGVTCDLLNGHVIGLDLSCSQIVVTFHPNSTLFQLHHLHTLNLAYNHFNYSSIPHNIGRLANLRHLNLSDAWFQGKIPTEISYLSNLVSLDLSYSYGLQLDERTFVTMLHNLTNLELLSLSEVNISSPIPLNISSSLRYLDLDNTNLRGVLTESFFHLPNSLETLKLSSNDLLKGVFPKIHRRNTLLMELDISDTGISGELPDSVGTFSSLNILNLQRCHFSGSIPDSIGNLTQITELDFSHNNFTGHIPSTISKLKHLTGLHLSSNSFSGEITDVFSNLQQLRYLYLFRNSFIGLFPTSILNLRRLERLDMSSNSLSSPLPKNASILQNLNYLDLSYNSLNGTIPSWVFSLPLLSSVSLHHNRFRGIADEVIKTNPTLERLDLSHNQLSGSFAQSLANLKNLYYLDLSSNKITNDITGINITFPSLGFLHLSSCELKDIPYLLRNVKTLVYLDISNNKISGQIPKWFSGMRWDSLQFLNLSHNSLTGNLPRLHYYTLQYLDLKFNSLQGPLPSSICNMSSLILLDLSRNNISNSIPSCLGSMANLTVLDLRKNNFTGSLPTLCVHSTSLSTIVINGNRFEGPVPVSVSLEVLDVGNNAINDTFPAWLGSLEELQVLVLKSNKFHGPISTCQTEFCFTKLRIFDLSRNNFSGSLPAEVFGIFKAMIKLDNEDTGEIKYMRLSDTSYEDSVTLVIKGQDIELQRISTIMTTIDLSSNHFEGVIPKTLKDLSSLWLLNLSHNNIRGDIPMELGQLNTLEALDLSWNRLTGMIPQELTRMNFLAFLNLSQNHLIGPIPHGLQFNTFGNDSYGSNLDLCGPPLSKQCGTSDSSHLPQPLEEEEDESESYFFSGFTWESVVIGYSFGLVVGTVMWSLMFKYRKPKWFVEFF >Solyc07g006800.1.1.1 pep chromosome:SL3.0:7:1645974:1647335:-1 gene:Solyc07g006800.1 transcript:Solyc07g006800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CBF1] MANKSSLESVVVFVVPFPAQGHLNQLLQFSCLISSYGVSVHFVGYRTHIQQAKSRNLQDMQQIHFHEFPTPHFDSPPPIIPNSIMKFPTHLQPSFEASKQLHEPFTSLLHEFSSKVKRTVVIHDPLMSSVVQDFSSIPNAESYAFHCISAFTQFFSFWDAIGRPFPVEGMPKNIPSMEGCFSFEIMNFMAYQYEFMQYRTGDIFNSCRLIEGTYIDLLSKLEMNANKKQWSIGPILQKGSSSRHKSLEWLDKQGPKSVLYISFGSSTTMTDKQIQELAMGLENSKQKFLWVLRDADKGNIFDDETRKARLPEGYQERTEGTGIVVTDWAPQLEILGHTSIGGFMSHCGWNSCMESITMGVPILAWPMHSEQPWNATLITEILEVGIQVTEQAHQKELVNSSTIEKVVNRLMVSKEGEELRSKAEKLGRQVWQSRDGGGVSQLELSSFIAYLNR >Solyc09g009280.1.1.1 pep chromosome:SL3.0:9:2659415:2660299:-1 gene:Solyc09g009280.1 transcript:Solyc09g009280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIASCYNEHAIKVTNSHCSNPSNQVVYNIPSLQNVVTCIYKVKISSIEKQQFLIKITWCCLLQHVFSISISERYIKNFKKVVTILDKSKGSQTIELYSSRFDVYWDLCNAKYEGGPEPIKGFFVKILVNCEVGLVLGDMGHEFELKKLNLDDKFSRFGLVSRNEHFSSSSVLVTKGKFSDNGKCHDILIKNSSSMLFVSIDKKSVIQVKRLQWNFRGNQTIFLDGLVVDFMWDVHDWLCNPKSGCAMFMFRTRSGLDSRLWLDQEKNLEQQQEEEEEKDGFSLMICATKSPD >Solyc06g005070.2.1 pep chromosome:SL3.0:6:54144:58359:1 gene:Solyc06g005070.2 transcript:Solyc06g005070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENMVVEKEIVVQENYVEKEEGETMETKKRKLGGMKTMPFILANEVCDRFVGAGFHSNLITYLTQVLNVPLIKASNTLANFSGVSNFTPLIGALVADSFAGRFWTIIVGSIIYEMGLVSITISAIMPQLRPPPCPTQENCKEASNSQLWALYICLLLTSIGTGGLRPCVVTFAADQLDMRKSKVESRKWNFYNLFYFCVTMATLTALTVVVYIQDNVNWGWGLGLLTIAMALSVVAFVVGSPFYRKVEPGGSPLIRLTQVIVASVRKRKVVVPDDDRLLYENRELDSAISHDGRLLHTNQFKWIDRAAVVTGNDMKETCQPNLWRLATVHRTEELKCILRMLPIWAAGMLHFASHSHVSSFTIQQARSMDRHLSHSFQIPPASMSIFSVLTVLIGLALYERFFVPFARRFTGHKSGVTCLQRMGIGFAINILATATSALAEIKRKKAAADHNLLDQPMTHVIPISVFWLVPQYCLHGVAEVFMSVGHLEFLIEQFPESMRSTGAALNSLASSFGNYLGTFIVTLVHQYTGKERNWLPDRNLNRGRLENFYWLMAGVQVVNFVYYLICASLYKYKPLEEIIEGCKGTDVELADETMLVDNSKGDGQTDRARNGKN >Solyc11g066760.2.1 pep chromosome:SL3.0:11:52840987:52853166:1 gene:Solyc11g066760.2 transcript:Solyc11g066760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGMRGLSVFISDVRNCQNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIHMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIVGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLVRLFRKNPDVMNVDSWSDRMAQLLDERDLGVVTSSMSLLVALVSNNHEEYWSCLPKCVKVLERLSRNQDVPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPDTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFVMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKAREYLDKPAIHETMVKVSAYILGEIQPSSGQKAWM >Solyc06g071540.3.1 pep chromosome:SL3.0:6:44186693:44190774:1 gene:Solyc06g071540.3 transcript:Solyc06g071540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILTRAPKNSWQPVMIADTTIHKYWLNWRFLLCCIWVLTSMIFAAILVKKYEGSRIRKNRNIEAQKTESSGLLYQDEVWRPCLKTIHPAWLLAFRVFAFLILLLLLILNVTVDGWEIFYYYTQWTFTLITIYFAIGSVLSMYGCYKYHNKIGIDGTDIERLDTEYDSQNSKYMRENAEAEQPSRQIAEFWEYVFQIIFQMNAGAVTLTDCVFWFILVPYLTIKGYKLNFWIINMHSVNVVFLLGDTALNCLRFPWFRIGYFFLWTGVYVIFQWVVHACISIWWPYPFLDLSSAVSPLWYLLVAFMHIPCYGVFVLVMKLKHHLLSKWFPQSYQCAR >Solyc09g065150.1.1.1 pep chromosome:SL3.0:9:63296497:63296646:1 gene:Solyc09g065150.1 transcript:Solyc09g065150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQWTLYIDITTAYVRKLLAPKRIGKGTPPVMKHGDFGYQFAMISVSSQ >Solyc10g081230.2.1 pep chromosome:SL3.0:10:62465631:62466973:-1 gene:Solyc10g081230.2 transcript:Solyc10g081230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSILETIGVEIIGVMSPVSICMFLVVLIVYTLSSPSSSDNQQVIRTAANLIYLESSSDTTAQKLEGALLNAVVFVVLITLVTFLLVILYYYRFTNFLKYYIRFSAFFVLGSMGGSIILTLIQHLNIPIDSITFCLLLFNFTILGVISVFSQGVPILLNQMYMVALGIIVAAWFTKLPEWTTWVVLVALALYDLVAVLAPGGPLKMLVELASSRDEELPALVYEARPNVSSRSGSRGPSLGLLLAGFSDGDPIELRVMSSSENVVQNGRVVSEMRSESEEIDDVREIRENNESDRGRVLEREIEEEEERGRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLAAVDGAIRCWDFDKSFDVLTPGMWRRKLESTGYIEF >Solyc01g106390.3.1 pep chromosome:SL3.0:1:94199159:94201939:-1 gene:Solyc01g106390.3 transcript:Solyc01g106390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA reductase [Source:UniProtKB/TrEMBL;Acc:K4B2K7] MAVASSSLTGGSFNLSSTSCSTSSSPSLDCFRHQLSFFGSRRRIKPLVYWTRSHSLSPKCELSSNSVVKNGKKESRTSSLSALELLKTSAADRYTKESSSIMVIGLNIHTAPVEVREKVSIPEAQWPQAIRELCSLNHIEEAAVLSTCNRIEIYVLALAQNRGIKEVTEWMSKFSGVPVTELCQHRFLLYNQDATQHLFEVAAGLDSLVLGEGQILAQVKQVVKNGQGVPGFGRKISELFKRAITTGKRVRTETNISSGSVSVSSAAVELALLKLPEYSSSMARVLIVGAGKMGKLVIRHLAAKGCKKMVVINRTEDRVAAIREELMDADIVYKPFSELLACAAQADVIFTCTASKAPLFIKESVQALPSVDSEDGCRRLFIDISVPRNVEPSVAEVEGADVYNVDDLKEVVEVNKEDRLRKKMEAETIIAEEVKQFEALKDSLETVPTIKKLRAYAERIRSAEVDKCLSKMGDDIPQHKKKAIYDLSLGIVNKLLHGPMQHLKCDGAENRTLSEILENMHALNRIFGLDTEMSVLEEKVRAKIEQNQKQSS >Solyc09g007080.3.1 pep chromosome:SL3.0:9:727392:734812:1 gene:Solyc09g007080.3 transcript:Solyc09g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPCWKPSVEGDGGVGRGGDTRGRVDGLMWYKDLGVHVNGEFSMAIIQANNLMEDQSQLESGPLSCLESGPYGTFVGVYDGHGGPETSCFVNKTLFSNLKKFATEHQEMSADVIKKAFLKTDEEFLSLVKQQWFEKPQLASVGSCCLAGVICNGLLYVANAGDSRVVLGRAEKAARGVTAIQLSMEHNANNESVRDELRSLHPQDSQIVLLKHKVWRVKGIIQVSRSIGDAYLKRAEFNQAPLLPKFRLPESFSKPILSAEPSIFIHRLTSKDQFLIFGSDGLWEHLSNQEAVDIVHSQPRNGIAQRLIKAALRVAAKKREMRYSDLKKIERGVRRHFHDDISVVVVFLDCYSMNRSSSHVPILSIRGGGMPASPKR >Solyc11g066500.2.1 pep chromosome:SL3.0:11:52551552:52559058:1 gene:Solyc11g066500.2 transcript:Solyc11g066500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAGLHNISTFGSSLFIESQSSVSRQRGEHDRPKTRASSIRQMWRELESEHVVSNSYAPTGDRQRPQGNDGVNEDQNQSHIELDNYFDDGRSVSSDLSSDLGEVERERVRQIIQEWMHTGVKSHSQSLNVSHVNNCSKAQCERTSIHQSGTSSPRDEVAVEIGSQFEQGRDGLSVDHSRHGERKALRKLCGRQALVDLLMRSQREREKELQGLLECKPVSDFAYRNRIQSLLRGRFLRNDSLTNDERTASNAASELGLLRRRHTVSDLREEILSRLDDNVRGSTTNTQPSSPKDEPHPSQSNSEQEVIDECYDQAELINEEREINGSHAVTNSENTICELVNHQCNIDQIAETSEQVGEDEDHDQATSNLVLFGSLPVRHNNENIDLVENDGNQWLQEEHATFHDNDATQSEASDFNDVLNGHFRDLDRNIFEDYDWEGSSAQAEELQEYILEPEGSDLEQAEELEEFVTEHEESESQHLYADQNEWIDDATENMGGDSQEGTPNQSYPESLDGGIEEQNHTQEPHDDEWHEEANDDWSDTPSGQVDGSIGRVDSFYIPDDDNVYSIELRELLSRRRVSNLLRSSFRESLNQLIQSYVERQGNASFDWDMDGTSSYPSDAEQEQQQENVNQEGPQMNMEGNPFSMTPPPEAPPQPHWNRVSEHQNFRRQNPHQHMGESEWDIINELKIDMAVLHQRMNDMQRMLQTCMEMQVELQRSVRQEVSAALNRSAGSTDVNICGDGLLNDESKWDKVRKGICCLCCKNNIDSLLYRCGHMCTCSKCAEQLMHEKAKCPMCLAPVVEVIRAFSSQ >Solyc01g102637.1.1 pep chromosome:SL3.0:1:91264659:91265729:-1 gene:Solyc01g102637.1 transcript:Solyc01g102637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPCFLDSLGIYVGEFNFLMFLDWNLTVLLILFYNVCMILSPAFYNVFSVVKNCLCLILVLICKRSFSGTISSAFTTNQFEESKCVPGTSCFP >Solyc03g060510.3.1 pep chromosome:SL3.0:3:32380013:32385435:1 gene:Solyc03g060510.3 transcript:Solyc03g060510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALVQLQPAPLPSLVNLRKRTFSNLCLQPLCTWSAAPKLLNAQFFTRKVNNNCSMIVKSSVDFSPIVSPGDEWGIWMSLFATGAFGLWSEKTKIGSMVSAALVSTLVGLTASNMGIIPYEAPAYSVVLKYLLPLTIPLLLFRANMQDVIRSTGPLLLAFLLGSAGTIIGTVVAYMLVPMRSLGQDSWKIAAALMGSYIGGTINYVAICEALGVSPSVMAAGVAADNVICAIYFIALFSFASKIPPEASASSDDATEVVNLDPSKKPVLQIATSVAVSFAICKFGTWVCRFFGTQGCDLPAITAIVVVLATLFPAYFRNLATAGDAVAIVLMQIFFAVVGASGSIWNVMNTTPSIFVFGLVQVSVHVIVTVGLGKLFGLNVKMLVLASNANVGGPTTACGMANAKGWSSLVVPAILAGIFGISIATFLGIACGIFLLKHMY >Solyc11g066460.1.1.1 pep chromosome:SL3.0:11:52506101:52506751:1 gene:Solyc11g066460.1 transcript:Solyc11g066460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSRSREKSNTAVNHRTNSPKSPENSTLPPITKTITKSAESHRLFTLSDEIALLKTLSQSPNSNELRTGNFTEAQIANKLKKLKEKYHKLARSKSQIKTPHDGEIYEIGRKIWGRNAAKGKELLVVVGEEDHDEDEDVNLDDFSFLVNEMTMVFQRNEYCKQGLRKLGKKKLMEMNEKWMELKLKESELMMNKTQLYHENLKVVVEGSKGSNSSN >Solyc06g082800.3.1 pep chromosome:SL3.0:6:48512922:48519345:-1 gene:Solyc06g082800.3 transcript:Solyc06g082800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPPAATDFPAVSKPTVTEPEKVDYFNLPCPIPYEEIHREALMSLKPELFEGMRFDFTRGLNQRFSLSHSVFMGPTELPTQSTDVVKIPTAHYEFGANFIDPKMMLFGRVMTDGRVNARVRCELSENLAMKANGQLTGEPHMSHGMVNFDYKGKDYRTQFQLGNGALFGASYIQSITPHLSLGGEVFWAGQHRKSGIGYAARYNTDKMVATGQVASTGMVALGYVQKVSDKVSLASDFMYNYMSRDVTASVGYDYILRQCRLRGKIDSNGCVAAFLEERLNMGLNFILSAEIDHKKKDYKFGFGLTVGE >Solyc01g105290.3.1 pep chromosome:SL3.0:1:93407977:93410345:1 gene:Solyc01g105290.3 transcript:Solyc01g105290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGPQISHSCRLPLTGEFSDQGAMTESTSSSIAPLLLRNLLTSAFIIADKPFILLAERYKLLETIRYFLISAFLFFLRLLPSLFPSLNPSGENYGYPLKPKKGEIYSPAAAGGGAESGISRALTQLLSIVNDIPVSSRKYEVVRSLAERLIDENLLEGNEALREVNCAALSAAFTRTLNQLESAMMAEEGGGVSGFVSGGGRDGGDYVGKLSRGLRAIRYYGDVVWQRGRARNQMSQFGCSAEKLAAELLWLAQKMEACGCVDEAVYMWASASHVAWVALSAEPRLQGSLVKVSAFLFKQSREIVKIAEDEESTKEHRRRTNMNMLMSWLPLLCRASNGTDSPVLSISERAELERILEQIIWTLEQEEEQEKVLSLWLHHFTYCPSSDWPNLHDCYTRWCTASRKLLLR >Solyc08g062965.1.1 pep chromosome:SL3.0:8:52447036:52461054:1 gene:Solyc08g062965.1 transcript:Solyc08g062965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSQAFMLGPAEIASKKITDAKFAKKFQVVLKELQKAQRLAAEKDTSHSPFIPQVVLHSRYIFYVQH >Solyc03g058900.2.1 pep chromosome:SL3.0:3:28817006:28817900:-1 gene:Solyc03g058900.2 transcript:Solyc03g058900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTGLSNGLTKAIRTSIAHHLFFPIVQNVHLFQGVSRTSFSNCSKVPEMEAEYFPPKQDVILQNEAPTDLYIIVSGAVVSQFIDFVYTIKEFIAQIEGLDSLLNHVPKQTIGKAVAGEIFGEIGVLCGRPQPFAVRTTEIFQILRLNRTSLMNILRANPEDERLL >Solyc11g011542.1.1 pep chromosome:SL3.0:11:4601366:4609861:1 gene:Solyc11g011542.1 transcript:Solyc11g011542.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNKNNSQNPEERRKTDPETEKSSPSPNTLFLSPLPSRPAKKRTELRRKRSNDRHQKRQRCCEDKHLIKEMETSQTIEINVPMLRLFYLSGNISSVCLKNVPPLVKVLMYGDYIKAEDLNFAKLFKCCPALEHLLFSSFASKYHNSLTMQENKEMNH >Solyc03g007860.1.1.1 pep chromosome:SL3.0:3:2393136:2393693:1 gene:Solyc03g007860.1 transcript:Solyc03g007860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLICIQIPNLFITNSFPLSQKLPIHFHKRINRIPNLQRTTWKLNAEAKGFGNGKPEISKKGNRRNNNNNDDSDEKIPTEIWERIIGRILFYVGAPLIGGVILLQIFDLIKQQKLLDLPIWLPFLTTFITFGASALGAAYGTLSASWDPEKQGSFIGLEEAQKNWVDMWAEDGADEEEENRWIN >Solyc06g048620.3.1 pep chromosome:SL3.0:6:31458238:31463318:1 gene:Solyc06g048620.3 transcript:Solyc06g048620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMRKVFGIVILCILVSPISVKALNLSFNDDILGLMVFKADVQDPQGKLVSWNEEDDSPCGWDGIHCNPRSNRVSQIVLDGFGLSGKISRGLMRLQFLRKLSLAKNNFTGSISSSVVQLAYLRILDLSENNLFGTIPGDFFEQCGPLRSISLAKNKFSGKVPESLNSCVALGSLNLSSNQFSGLLPSGIWSLNGLRSLDLSDNLLDGEIPVGIEGMYNLRAINLRKNHLKGEVPDGIGSCLLLRSIDLSENYFTGELPKTMQMLSLCNELILKHNALVGTVPEWIGEMKSLEMLDLSGNNFSGQLPNSAGKLQSLKLLNVSRNGISGDLPKSMSSCVNLMALDVSHNSLTGDLPPWVFKLGLRQVLFSENKLSGGLKNAFASSLDNSRQKLLALDISRNELAGEIPLAIGDFHSLQSLNLSRNSLVGNIPETVGHLKSLDVLDLSENQLNGSIPLELGGAYSLRELKLEKNALTGEIPTSIGNCSALLSLSLSHNGLTGPLPATLAKLSKLQNVDLSFNKLTGILPKQLVNLGHLELFNISHNQLKGELPSGGFFNTISPYSVSANPSLCGAAANRSCPTVLPKPIVLNPNSTESIPGTIPPTVRHEKKILSISALIAISAAAIIVVGVIAITVLNLRVRSATSHSAATLTFSGGDDYSPSQSTDANSGKLVMFSGELDFSTGSHALLNKDCELGRGGFGAVYRTVLGDGMPVAIKKLTVSGLVKSQVDFEKEVKKLGKIHHPNLVALQGYYWTPSLQLLIYEFITGGNLYQHIHEGSSKNLLSWNERFNVILGTAKGLANLHQMNIIHYNLKSSNILIDSSGDPKVADYGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITDKCDVYGFGVLVLEIVTGKKPVEYMEDDVIVLCDMVRGALEEGKVEECVDKRLHGKFPAEEAIPVMKLGLICTSQVPSNRPNMAEVVNLLEMIRWPSEGQEELV >Solyc03g006490.3.1 pep chromosome:SL3.0:3:1074637:1077475:1 gene:Solyc03g006490.3 transcript:Solyc03g006490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFKNGVVDPPKELQSPASLQASIKAANPEETMKNFLSANQNNGFSIGFMNKAFLAYSNPSTSYNTLSRLFCGVNDIYCIFLGNLSNLCALNKHYGLSKCANEAMLVSEAYRTLRDRGPYPAHQVLKELEGSFGFVIYDHKADTVFVALGGDEKVKLFWGIAFDGSVMISDNVDHIKASCIKSFAPFPSGCMYHSETGLKSYEHPSYKMKAMPRVDSEGSMCGAYFKVDVYSKVNSMPRVGSSANWATWGQ >Solyc11g017373.1.1 pep chromosome:SL3.0:11:8277167:8279971:-1 gene:Solyc11g017373.1 transcript:Solyc11g017373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNTRKYYGKFSDLVNEHPIHPRVLDVIKLSELYAVINRSLITALVERWRPETQTFHFRMNHLAGRGDTVWLTHKDNPIVRYETQSLKISVLNPHLKLQPRLPDLATHDMDNEKTRCYMFWMIAGLLLADTSSASESTQNEIARFLPLLQIWTWKRVTVLRPHIVAQRDTKNIFPGPHATRWFAHFSWTDTTKHVLRVFRDALDSMIEAQVHLPNRVMRQFGLLQAISSSFLFDAIFANFVLLM >Solyc09g060100.3.1 pep chromosome:SL3.0:9:57836946:57847059:1 gene:Solyc09g060100.3 transcript:Solyc09g060100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLCNNQILLSLSLSSSQSAALPQFNHLARFTRRHWKLRQYNYSSYSRIKKFQLVSAKNYDAGHSEDAEEIVHKASDELTGSLEDKTVRTGSSFLAKLAIALGIAATITFLSIGLKQPNQGSNFGIQFLVDGSSSSTISTPAPGFSFKAFGYRVMLPEYAPGWIYFWLLMAAGFGLFISEEALNIWVGISIARMLVLDGTWQSLVNSFSRNSPYITSTILWVYWGVCISDMIPFYLGMLFKQSGASDDICSKLGIGNQKAKDITNAVQKHGNLIGFVERFSLGVRNPTAFLAGTLDISPDCFFAGVCCGGLITLPIQLTIGFLLRERPVFAVATVATVVGIWTVFPYAVAASTALFLYLRHQFSG >Solyc03g006950.2.1 pep chromosome:SL3.0:3:1514323:1517979:1 gene:Solyc03g006950.2 transcript:Solyc03g006950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNSDSDSTTSPIGAPEAAVPVVGAISLIGRRNSMEDAISVWPNLCSPYINQHRPIDFFAVYDGHCGPHSCFERIDEMAFDTCYKCGIGVPCGCPPHYYGIGGSTALLTILTEKTIIVANCGDSRAVLCTGGRAIPLSIDHKPDRPEERARIEACGGHVDFDCYARVLGILAMSRAIGAKYLKEYITSEPEFTFTKREAEDEFLILASDGLWKAVSNDDACKVARECLQKEKPFGSGDVFSSPSNAAAALLTRLAMGRGSQDNISVIVVDLKITS >Solyc03g114300.3.1 pep chromosome:SL3.0:3:65793064:65799791:1 gene:Solyc03g114300.3 transcript:Solyc03g114300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYRRLSRNRHVFRRHAISALYSSGDLINPNATVVYSPFINPLQFSSSDDHNHTLNSRKLLTFYPSHSSRIELSGFRQVLHFSTLADSEEKKKNEQQRPSWVDTYLPQKIRPYAHLARLDKPIGTWLLAWPCMWSIALAAPPGSLPDVKMMTLFGCGALLLRGAGCTVNDLLDRDIDTKVERTRSRPVASGVLTPFQGLTFLGFQLLLGLGILLQLNNYRYGKWSNFHKLQIYVTKDMAFVGIPIWITYGSKDHTFMGISISITYDLLLCLPHFGCFIPVAGLHIPPHEEVYILGNKSESSCCISQISISLLSLALPALSSKGYNPSQLLWIFCQLFGLEGWAAIKGSIDPSVVLPLYASGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWISGFGLACISSLALSGINADIGWPYYAFLAAASGQMAWQIWTVDLSSRADCNRKYISIVTRDMIS >Solyc02g011755.1.1 pep chromosome:SL3.0:2:14134952:14135752:-1 gene:Solyc02g011755.1 transcript:Solyc02g011755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSIKIYDTRIGCTQFAKQIASAPRAEDCVGCKICESACPTGFLSVRELFSLVNNNCRFSDICGFFNFLSSHKGNRRLGLLLEILDYEFSHGGKKRMYSATKFIL >Solyc11g010105.1.1 pep chromosome:SL3.0:11:3230109:3233719:-1 gene:Solyc11g010105.1 transcript:Solyc11g010105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRTQRKHFRQSRENVWKRSKHDDSGEKQDSVTTTNDGNKEHRHWEPFATQNLAFDDYYKEQGILPTEEWDTFIGFLRTPLPAAFRINSSAQCYVDIRTKLENDFMKSLQAEGVDGSEIEGIKPLPWYPENLAWQSNFSRNQLRKNQILERFHEFLKLQNEIGNITRQEAVSMVPPLFLDVRPDHFALDMCAAPGSKTFQLLEMIHHLAEPGTLPSGMVFFLPYLSLHVMDVYAASLFSCELSALL >Solyc09g092080.3.1 pep chromosome:SL3.0:9:71708110:71710785:1 gene:Solyc09g092080.3 transcript:Solyc09g092080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVNPKAYPLADSQLTTTIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >Solyc05g055160.3.1 pep chromosome:SL3.0:5:65770921:65775046:-1 gene:Solyc05g055160.3 transcript:Solyc05g055160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKTAAQEDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFSSFFGGSPFGGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGTSKKLSLSRNVLCPKCKGKGSKSGASMKCSGCQGSGMKVTIRQLGPSMIQQMQHPCNECKGTGEMINDKDRCGQCKGEKVVQEKKVLEVVVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLTEALCGFQFILTHLDTRQLIIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVEFPDTLSLEQCKNLEAVLPPKPKTQMTDMELDECEETTLHDVNIEEEMRRKQQQAQEAYDEDDEDMHGGAQRVQCAQQ >Solyc06g053780.3.1 pep chromosome:SL3.0:6:36724978:36729993:1 gene:Solyc06g053780.3 transcript:Solyc06g053780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEVTDTCMEKEQGVSPDSSYMRDGNQDVSQSSEHAIGEQRTDMLNENVDTKEQEVKDCTAEVSLDSRQEQDRPSSKVKDDNDRSRSSVKSTTKSVAGSCKTKCTVPQPFALATERRASHGTRPVRNDAGDVSAAQKPSETNNLQAPRSVQNQVSSSVALKKPPQSDNKKYSDEEDSSIASCDVVSARKSRVTVAAAPVFRSSERAARRKEFYSKLEEKHQALEAEKIQCEERTKEEREAAMKQMRRNLLFKANPMPSFYHEGPPPKAELKKPPPTRAKSPKFCRRKSCGDTGGLDKDVGAYDRGSQHIPGYNANTTFASRNSKDRLSLNNQRGSATYNFKNESNHAGETNESYMTEKQDDMNMDISVHS >Solyc07g055920.3.1 pep chromosome:SL3.0:7:63971953:63981793:1 gene:Solyc07g055920.3 transcript:Solyc07g055920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor ARLEQUIN [Source:UniProtKB/TrEMBL;Acc:E9JUM2] MVFPINQELLVDESSSQLRKTSGGTGGGGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVSLIVFSSRGRLYEYANNSVRATIDRYKKHHADSTSTGSVSEANTQYYQQEASKLRRQIRDIQTYNRQIVGEALGSLSPRDLKNLEGKLEKAIGRVRSKKNELLFSEIELMQKREIELQNANMYLRAKIAEVERAQEQMNLMPGGGGGGGGGGGGGSDHQYHHQPNYEDARNNFLPVNLLEPNPHYSRRDNGDQTPLQLV >Solyc02g062040.3.1 pep chromosome:SL3.0:2:34158446:34161123:-1 gene:Solyc02g062040.3 transcript:Solyc02g062040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFYAYPSRVFPLTSSICSFCIRDLVDRAKDFISVAVSAIIGSVLSAVFTFFFALVGTLLGALTGALIGQETESGFVRGAAVGAISGAVFSLEVFESSLLLWQSDESGITCLVYLIDVLTSLLSGRLVRERIGPAMLSAVQSQMGAVELAYDEVPNIFDTGVAKGLHGDSVEKIPKIVITKGNNLDGSGERVSCSVCLQDFQLGETVRCLPQCHHMFHLPCIDTWLLRHGSCPMCRRDL >Solyc05g046390.3.1 pep chromosome:SL3.0:5:59666171:59671106:-1 gene:Solyc05g046390.3 transcript:Solyc05g046390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGMEYNKNKWIEEWGAARENLELNFRWTRRNLAIVGIFGIAIPVLVYKGIVKEFVHFFLECG >Solyc09g042300.2.1 pep chromosome:SL3.0:9:22990864:22994523:-1 gene:Solyc09g042300.2 transcript:Solyc09g042300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDFAVIYRNSEQFRGVEALIKQLSVLPENSEPLKFTSIYSQGAFSQFRICLWKQNLVYWRSPTYNVVRLFFTTLSALILSSIFC >Solyc03g044600.2.1 pep chromosome:SL3.0:3:10197582:10199853:1 gene:Solyc03g044600.2 transcript:Solyc03g044600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRQLMRIPMLHRFTFIMPVDDMPQTPGRKKLVKRIVKNVNIKDLPAKKRKRNNEDEDGYTGLRPRDVLRISKKGCGT >Solyc11g067270.1.1.1 pep chromosome:SL3.0:11:53307619:53308911:1 gene:Solyc11g067270.1 transcript:Solyc11g067270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ASAT3 description:Acylsugar acyltransferase 3 [Source:UniProtKB/Swiss-Prot;Acc:K4D9Y4] MASSTIISRKMIKLLSPTPSSLRCHKLSFMDHINFPLHSPYAFFYPKIPQNYSNKISQVLENSLSKVLSFYYPLAGKINNNYTYVDCNDTGAEYLNVRIDCPMSQILNHPYNDVVDVVFPQDLPWSSSSLTRSPLVVQLSHFDCGGVAVSACTSHTIFDGYCLSKFINDWASTARNMEFKPSPQFNASTFFPLPSETNLSSTLPATRPSQRHVSRMYNFSSSNLTRLKDIVTKESHVKNPTRVEVASALVHKCGVTMSMESSGMFKPTLMSHAMNLRPPIPLNTMGNATCIILTTAMTEDEVKLPNFVAKLQKDKQQLRDKLKDMKEDRMPLYTLELGKNAMNIIEKDTHDVYLCSGMTNTGLHKIDFGWGEPVRVTLATHPNKNNFIFMDEQSGDGLNVLITLTKDDMLKFQSNKELLEFASPVVESTK >Solyc09g083370.3.1 pep chromosome:SL3.0:9:69448264:69451036:-1 gene:Solyc09g083370.3 transcript:Solyc09g083370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEADVATGLPRKRTFKKFSYRGVDLDSLLDLNMDELVKLFNARPRRRIERGLKRKPMALIKKLRKAKREAPQGEKPEPVKTHLRNMIIFPEMIGSVIGIYNGKTFNQIEVKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Solyc09g018235.1.1 pep chromosome:SL3.0:9:13776726:13777600:-1 gene:Solyc09g018235.1 transcript:Solyc09g018235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGNLNYFLGVHVVRTLRGLHLSQQKYIVDLLLKFHMHTCKPVRTPIASHTCIALEDGTLLSVPIEYRNMVGALQYLTMTLHDIAYEVNIVPQFMHAPRTTHLHYVKRIFRYLQGTPTHGLFLRWAGFPDTRRSMTVYAVFLGSNLISWRAKKQPTISKSSTEAEYRAIAYIVAETTWIHHLLSEYGIYLHVCRNPAFHDRFKHIEVDFHYVRDKVSQGDLLVKYVPTRLQVADIFTKGLSFSQFSFLHDNLSVTTSRPY >Solyc03g115845.1.1 pep chromosome:SL3.0:3:66920125:66921098:-1 gene:Solyc03g115845.1 transcript:Solyc03g115845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKNVGVQWASEGIKIDSTKIELGFASLTGIPALVAFPPGCRSLRCMSSLLMILHYLVRYDGELSVDSVTDWVAMSILSLPRIRYYSKESMVKYVIY >Solyc07g065280.3.1 pep chromosome:SL3.0:7:67234914:67236098:-1 gene:Solyc07g065280.3 transcript:Solyc07g065280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDITASAKPEYPVVDRNPPFTKTVGNFNTLDYLRLTTITGISVTVGYLSGIKPGIRGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVAKAKYKI >Solyc10g018885.1.1 pep chromosome:SL3.0:10:10814134:10814616:-1 gene:Solyc10g018885.1 transcript:Solyc10g018885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGEDFSQFGISVEEKDKLVAEVIRYVLFKSEQSSSCPVKREELTQLITGKNYRQRNLPAFVINEAKSKLSSIFGFEMRELQRSRSSAPQNPRSSQQVYEELAVYSPHQYLEKRPSS >Solyc10g051050.2.1 pep chromosome:SL3.0:10:51369427:51372284:1 gene:Solyc10g051050.2 transcript:Solyc10g051050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAISSLVYTLEQLFKPNQSFLCPCSTQQYVQSLYQNLSALQLFLDNTTTKDIETLKLVEKRIRDVICKAEDKVDSSLRNIILADCGEKREGAAKFFEEELLKVEKDVDSLRKEVMVVEFSKHGRKCAELATTPSSPEKSTIEENTIVGMEDDFNIIFDRVTAQTDELTVIPIFDMGSIGKTTLARKIYDDSYIRSRFDKHAWVTISEEYNQRQMLLEVASSITESNQEMSDDQLMEFVYRGLKGRRFLIVIDDIWSTEAWDLMQTICPNDDNKSRILLTTRLKYVADYVNCPDFPPHSKSLLSRDDSWNLFIERLFKKDLCPPLLVEIGKHIVQQCQGLPLSIVVVAGLLGKMDLTHDNWKKVEENLNSFFGTVSERCQSILSLSYNYLPQYLKACFLYVGGFPEDRCINVSRLIRLWIAEQFIKARSNKRLEVVAEEYLQELIDRSLIMTGEQKVNGRMKFCKIRDLLRQLCLSEADHTENVVHVMNGDVLQRRYDQHGVIFLSKVKDKHDDRPVRKIGTIRTFISINMGENGIYSRVSEFKFLKVLDVLSVWLDFSLVIPELVHLRYVAATIGDCLSLAKLRNLQTIILRKTPRLLIKNSRELKQPIDIWRMTEIRHANIDCPLYISNPLETEQPLCLLYNLQTLYLYNSPFVAEIITRTPNLKKLTISDDSEHCNVLDSLSLVQDLETLHIRTVRMNFSVDIFPPNLKKLRLSYTRLPWEIMKLLANLPNLDVCLTYLNTLPFIYAYTFFLILCICLLVYIMYVVRVYRIYCIRCCKIELILIN >Solyc03g007680.3.1 pep chromosome:SL3.0:3:2206016:2210936:1 gene:Solyc03g007680.3 transcript:Solyc03g007680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFGGSEISPSPPPPTASGNNAHMLYVFNRNGVCLLYREWNRPLKTLNPQQDHKLMFGLLFSLKSLTAKMDPTSTEKGNLGVPQLPGQGCSFHSFRTNTYKLSFMESPSGIKIILVTHPRTGDLRESLKYIYNLYVEYVVKNPLYSPGAPIKLGVSYLILPSISMSEALDNFSRIKWIQISHIAFGLVAPSMMTFYHQ >Solyc03g115800.1.1.1 pep chromosome:SL3.0:3:66878668:66879948:1 gene:Solyc03g115800.1 transcript:Solyc03g115800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLHKVAKPFTSAVQPQINLATHLKPTRINLSLKSYLKSNPIKTIFLFSDLLRKKISAVDSYSLLYVIKACTKKSLGTEGKQTHTLVIKLGYERIIFLQTSLMDMYAATTNIADVHRVFDEIPNKNVVCWTSLISAYVRNQKPYRAIEIFRHMQMDGVEPDQVTFTVSLSACADMGALDKGVWIHDLISRKPEFSEDLSLMNALVNMYVKCGDIRKAMLVFDNIRVKDIRTWTSMIVGHALHGQAEEALRLFSALEEENKSRSIQCRGTKDQLLVPNDVMFIGVLMACSHAGMVEEGKRYFRSMIEEYGIKPRLSHFGCMVDLLCRLGLLKEAYSFILAMPIQPNAVIWRTLLGASGVHGNEELAAVAQSRLRELNASLVGDDVALSNIYAAKGMWEEKIMLRHEMTQRRTPGCSLVEVGKFHS >Solyc05g005910.3.1 pep chromosome:SL3.0:5:698072:700223:-1 gene:Solyc05g005910.3 transcript:Solyc05g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNKKPGDYLYFILGQNRVVEKMERETELAEEKTRKGGLRTMPFIILNESFERIASYGLVMNMIIYLMAYYNMSAATGTSILALWAALSNGLAIVGAIVADSYCGRFKAVAFGSISTLIGMIILWLTAMIPQLKSLPCSHFQHVCNGTTTIQLAVLFSSFVFMSIGTGFVRPCSIIFGADQLGEKENHENQRVLDSYFNWYYASTGISTILAVTVIVYIQDRYGWKVGFGIPVILMFLSVSMFLIGSPLYVKVKAKENLLIGLLQAIVAVFRKRNTRLTLTDCDNYYHSPLESEILTPSNDFSYCVCYRCLNRACVIEDPRKDLNPDGSASNPWSLCSVEQVELLKALIRVLPMWSTGFMIFVALSQFSSVLQAKTMDRHIFPHFEIPAASFSVFMIIALTIWITFYDRVLVPLLSKYTGQPRGLSPVIRMGIGLIVTCMSMALSAITESIRRQLAIEEGHEDDPSALVNMSAMWLVPQYALLGVAEGSHSVGQIEFFYSLLPKSMSSMASAMYTVGTAVSSLVVSILVSGVDWLSSTGGKTSWLSSNINRGHLDYYFWLLTFLSLLNFFYFLLICRLYEPDNDGSIRLSDETEETLMLLPES >Solyc07g032300.1.1.1 pep chromosome:SL3.0:7:37349854:37350066:-1 gene:Solyc07g032300.1 transcript:Solyc07g032300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQDIAAQRVNIFAVVPQAETLYEFESGTSMATSHVSGIVVLLKSLHLYLSHASINSAIVTIGIYSKQM >Solyc01g091860.3.1 pep chromosome:SL3.0:1:85271194:85279614:-1 gene:Solyc01g091860.3 transcript:Solyc01g091860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPEEAKLHQFLQWLKLNRAELRGCRIKYSESSKGFGIFSSNHASDGILLVVPLDLAITPMGVLQDPLLGPECRAMFEEGEVDDRLLITLFLTVEHLRENSSWKPYFDMLPTTFGNPLWFSEDELLELKGTTLYRAAQLQKKTLQSLFDEKVKRLAKKLLTLDGHPERDVKFEDFLWANSIFWSRALNIPFPRCYIFPMNSEGQDSDISSKVINSAIQTNGCGSLSNGESAKVPEYDTLQDKVASAASVSQGEIVWVEGLVPGIDFCNHDFKAAATWEVDGTGATTGVPFSMYLLSAGENPSLIEKEISISYGNKGNEELLYLYGFVMNDNPDDYLMVHYPVEAIQNVDFSDSKAQLLEAQKAELRCLLPRSLLNRGFFPPSNSSEEDKDKPVSSQLCNYSWSGQRKTPSYLHKLVFPADFLNALRTLAMKENELYRVSSLLEELVGSGGERQPTDTEVQAAVWEACGDSGALQLLVDLLNMKMMDLEEGSGTEENDTELLEKARTAETSQDCKETSDIAQNHLLSRNKQSSIIYRRGQKQLTRLFIKEAEHALQLALTEEL >Solyc12g098660.2.1 pep chromosome:SL3.0:12:67068500:67077038:1 gene:Solyc12g098660.2 transcript:Solyc12g098660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVEPISPSKALIMDTMMDENNQQENMKMQDDESLMEEQFLGSSDHSTSDEVEAENELEPEVQEPKLYIICPERPELVLCEPFFSTPKECLFTLKEASRYKLKFSFTVSNNVVCGLKYINTTWKTGVRVRGTGRAIPSDTSIVATVALRSDHWRKNLPVLAQSHRVFSIDLIGYGYSDKPNPRELGVENFYSFETWGSQLNDFCKDVIGDKAFFICNSIGGLVGLQAAILEPQLCRGILLLNISLRMLHITKQPWFGRPLIKAFQNLLRNTELGKFFFKSVATPKAVKNILCQCYYDTSQVTDELVQAILLPGLEPGAVDVFLEFICYSAGPLPEELLPQVKCPVLVAWGDKDPWEPIELGRAYGNFDTVEDFVVLPNVGHCPQDEAPHLVNPLVESFVARHANV >Solyc04g057890.3.1 pep chromosome:SL3.0:4:54949331:54955349:-1 gene:Solyc04g057890.3 transcript:Solyc04g057890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYHHPFSLNSQKVRLTLEEKGIDYTSHHVNPLTGKNMDAFFFSMNPSAKVPVFQNGSHIIYDTIEIIQYIERIAEKVSSGGNNLNLSSREVIGWMHKIQEWDSMYFTLFHVPEKYRLCVSKFLRRVIIARMAESPDLASAYHCKLRQAYDTDDKLKNADVLRRSENHLVRLLDEVELKLGETSYLAGEEFSLADVMLIPLLARIELLNLENEYINSRPNIADYWVLVKQRPSYKKVIGKYFDGWRRRKTLLKTWCFIRVRSVLRKY >Solyc06g008960.3.1 pep chromosome:SL3.0:6:2880358:2892822:1 gene:Solyc06g008960.3 transcript:Solyc06g008960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVTVRSSQWDRVTELTKLAQERNTDPLVWAMELSSSLNSAGISMPSTDVAELLVSHICWSNNVPNAWKLLEKALVFRIVPPLFVLALLSTRVIPARRSYPMAYRLYMELLKRYAFSLPSLINGPNYQKIMESINDTLHLSQIFELQGSESGMHVIEYVFAVVCQLLDASLDDEGLLELTAEKKSRWPVATQEMEISNRDGFAGKRVEHREGLCRMNTVQAIEIIGELFGDKLTSMILYLARRNMPTHWDSFMQHLHLLVSNSSALRNSKKISLETLVLLISKNRGVLSRECKTSSRKFLHAVMASGSFALSASRCDDASTSVLWLPIDLFLEDTMDGSKVAATSAADTLTGLVKALRAVNCTSWKNTFFGLWISALRLVNRERDPSEGPVPRLDTCLCLLLSITPLAITNIIKEEENASSTSDQRTEATGKHRQALVSSLQQLHDYEGLLTPPLPAIPLANQAALKAMMFLSGISEGSEYFDGLRLNDMPVNCAGSLWHLIVEACIARNILDTSAYLWPGYVKGQCNQVPRNMSAPSPSWSSLMKGSPLTPPMVSVLVSTPASSLAEIEKIYEIAVNGPAEDKISAATILCGASLARGWNIQEHTVLFITWLLSPSVPSDYSGSDSHLISYAPFLNVLIVGISSVDCIQILSLHGLVPQLVGALMPICEAFGSCPPNVSWTLMSEEITSHAVFSNAFTLLLTLWRFDQPPLEHVTRDVPVGSHLTPEYLLLVRNSQLAFSEDLLKDQSKSKQLSRVLSQLPREPIFMDSFPKLKCWYRQHQACIASPLSGLVPGTPVHQIVEALLNFMFRKINSAGQSLIPPTSSGSNSSGSGNEEISPHLKLPAWDILEAVPFVLNAALTACAHGTLSPRELATGLKHLADFLPASLATITSYFSAEVTRGIWKPASMNGTDWPSPAANLATVEQQVKKILADTGVDVPSLSVGGSSPAILPLPLAVLVSLTITYKLDRDTDRFLNLMGAAVSNLATSCPWPCMPVMAALWAQKVRRWSDFLVFSASRTVFHHSSDAVVQLLRVCFTATLGLGRSSIESNGGVGSLLGHGFGSHFSGGISAVAPGILYLRVHRAVRNVMFMSEEIVSLLMHFVRDIADSGVPAKDLEKLKKTRGDIRSLSSQKTDIGFPASCHVSLAAAMVRVKLAASLGASLVWITGGLSLVQSLLKETLPSWFISAHRSEPNGGVSEGMVARLRGYALAYLAVLCGTFCWGVDSSSPTSKWRPSMLGAHLEFLASALDGKISLGCNKATWRAYVSGFVSLIVGCTPSWLLEVDLQVLKRLSKGLKRCDEEVLALALLEASGVGAMGTAAQMIIEGSLNFAR >Solyc02g030520.1.1 pep chromosome:SL3.0:2:26764568:26764881:-1 gene:Solyc02g030520.1 transcript:Solyc02g030520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYSGINFTFFLMDKSQGADL >Solyc10g044967.1.1 pep chromosome:SL3.0:10:29069904:29081460:-1 gene:Solyc10g044967.1 transcript:Solyc10g044967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKIVIGRIENPASRQVTFSKRKSGLVKKAKELTILCEAEVGLAIFSNTGRLYEYSSSRRLKRGELSGMKISDLDNLENEMEMSLKNIRIKKEKMLTDEIEELNQKSALIGHENVEMSNKLTLIHQQNTLLHNKVNGETESIKLEEGCCSVHTLEKESCVPLQLKVNRAVQECEISLGICRAYRVRNSATYQRPFGASTQMPYAGFHGQIPTGGPAGGATNLTFEVTSLTLYLIYPI >Solyc07g026705.1.1.1 pep chromosome:SL3.0:7:30488469:30489561:1 gene:Solyc07g026705.1 transcript:Solyc07g026705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVDLVFNYGGKWVLSPQVVYIKKINEIWHGYDVDLLSYIDICSEFINKCGFRAVKQMLVTAPTGRYYLLENDSSIRTLQSALSSQFSVLQLFAVDEGEATVVIPNICDLNKPYPVVPVEVATDCESNEEDEDQNEPIPSDYNSDELEVFRKEKKERSMTS >Solyc07g039384.1.1 pep chromosome:SL3.0:7:47517649:47518411:1 gene:Solyc07g039384.1 transcript:Solyc07g039384.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDVMFFTTCAACKHQRKKCEPNCQLAPYFPCTKDEDFLNVYRLFGVNNTIKHLNSVADDEKAKLVESLILEAKIRKENPVHGCLAIERKLRGEIEALEKELELVKNNISFCKKISMLQMQKQQLDEELDRSSLKEWGVDIVFDYDKLG >Solyc08g005440.3.1 pep chromosome:SL3.0:8:324852:328175:1 gene:Solyc08g005440.3 transcript:Solyc08g005440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESAVKILLMSIEFVLDNPGYVIGGVRHEISKVKLELESIGSFIKDAEKCKNQNEGVCIWVVQVRNVAFEAEDIIDEFLYHVDSMKRSGFRGRLAGVFYIPKLLWLRYKTALELKRIRSEIIDIAKRSKRYDLSHMEASSNAGSNSLSCCSCVQNIGESSLFIQNDEVIGIDKVKDSLLSSLEREEAHRVVISVAGMGGSGKTTLVAKVYTSLTVRKNFDCCAWVSVSQNHTIEDMLKKLISEFFVEKEDLIPKNLKSMDYRQLVETLVKFLHNKRYIVVFDDVWNNNFWRQITVALPDDKNRSRVIITTRNEDIAAYPYGPGAKNVFRSKPLADEYAWKLFCNKAFSSQPDCKCPPELEEIGRALAKTCEGLPLAIVALGGLMGSKDRSEMKWREIYDSLSWHISNNKLLDEVKTVMLLSFDDLPYYLKNCFLYCCRFPMGKLIGAGRLIRMWMAEGFLEEKNNLNPEEVGKIYLKELISRNLLQVVKHQSFIRPKTCKLHDLMWELARSISEKENFLSICSEEILEKDEIRARRLSVHNVDGTDKIKGDLTHVRSFSMFNDKVESKFLLDGLLFRFRLLRVLELNDAKVDSLPDELGNLFNLRYLSLGGTGIKELPTSVNRLRNLQTLDIRRTEVSVLPNGITELHNLRHLLAYGKEIGSEHFAYVRGVQVPGKLWKMKNLQVLNCIQANADIARKIVKMTKLRRIELTNVKEEHMKNLCLSINKLKFLHHLLVMTVDANTILKLDDLSGTPSIFRKLTLVGRMCKVPHWFPSMLNVMHLHLHWSHFPEDQDPIPCISQLPCLEHLVLVNAYASQKQLHFESGFQKLEDLHISCLPELDEMVFLEGVMPKLVRLHIHDCPKLKEVPQGLEYLANLEQMNLKEASLELVQNVRGKGSSSRSNVRRIPSIKHYYEVDGVHLYENWRGTLQ >Solyc01g005610.3.1 pep chromosome:SL3.0:1:427655:430341:1 gene:Solyc01g005610.3 transcript:Solyc01g005610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEKIFYFISFIISIFLGLFFPLSVDSQCNKNLVIINFGDSNSDTGGYVIVRGMIGQLPKIHTFNHDLSGRIVGNGYLTPFMESIGKNFTNGVNFAIAGSKTLPKLIFFNLHIQFAQFNRFRSLSLELFNKGDGNLLGDEDLRNALYTIDIGQNDLDGIFSKVTNEKAILKIPDIISEIENVIKGIYEQGGNNFWVHNTGPLGCLPKSLATYKKNESDYDENGCLISFNEGAKIFNNKLQVLCEKLRDEMKNITIVYVDIYSIKYDLIANSSNYGFVNPLMGCCGYGGPPYNFESNNKCGQGNYTICEDRFKYISWDGIHYTEAANGFVASKILSTHYSTPPLKLHSFCNIAP >Solyc04g050345.1.1 pep chromosome:SL3.0:4:46786986:46787511:-1 gene:Solyc04g050345.1 transcript:Solyc04g050345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKPKPTSLTGGTPACLWGHNPKPPLASVTVISAVCAFLSKVSVVPSAVALLERDRKKTKNIKNNGNISLDLVIEIVKVVQPRSMAKDLSETVKEIFGTCVFVGYTVDGKDPKDLQQDIIDGDEEIP >Solyc10g086290.2.1 pep chromosome:SL3.0:10:65289573:65291769:1 gene:Solyc10g086290.2 transcript:Solyc10g086290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIAKTLGVRKGSWTEDEDILLRKCIDKYGEGKWHLVPFRAGLNRCRKSCRLRWLNYLRPHIKRGDFALDEIDLILRLHKLLGNRQIKNFVKKYLKIIVHIYIHEKWSLIAGRLPGRTANDVKNYWNTHLHKKLLITPPQIQENKYNNTLKIITESTILRPRPRPGPQPRTFSSENNISWCTNNSMITNTLDKDDEQHNKEIAVNICEKPTKKTPSSSIDDDGVQWWTNLLENWKEFEEEATAVLNFEEENKLLPNLLYEEHNSTTMQHGENDDFSVDIDLWNLFN >Solyc12g035860.1.1.1 pep chromosome:SL3.0:12:44510705:44511019:1 gene:Solyc12g035860.1 transcript:Solyc12g035860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRILGRVGMDVLVHARVHVEYQRELFMFKQNFNNTIVIVIDVRGQVVSWSSAGALGFKGTRRGTPFASQTVAANTIRTIVDQGMQRAEFIIKGPRLKIDATL >Solyc05g016473.1.1 pep chromosome:SL3.0:5:17353744:17357634:1 gene:Solyc05g016473.1 transcript:Solyc05g016473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGHDKGRQPTCDINKILCGGQRRHLRDMHRQILMRQSTTNVASLRILIVGRCHFPKTQRTCLILPSISRCRCARATIDACIPYVCHRCLTEARLSLLMLSNAEVSCKIRTFHACVWNPWLKICAIGRLRLQDCTCHVKGVQALTDVSCRWPTFCH >Solyc02g088954.1.1 pep chromosome:SL3.0:2:51512416:51515735:1 gene:Solyc02g088954.1 transcript:Solyc02g088954.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHDVPLMPGDCSSLQRLSARSFHINIINLPPKVVVMVYSMMKVRPSVKMKLVNIWNNKLQAQGECTSTHDGESIEEFAPTKTQKVSYFSDKISEVAPKIILRYFSLFLCLCFHLCWNNDPDMDGVEKIQRNEDKYNTATNFGVQLCLEMRCYLQHACAGGAYHREERERGRWKSQGRLGHLTEMEGFSLLEKALWSGSVYFGQPEGMKPKAPNEIRLDAIKS >Solyc08g082330.3.1 pep chromosome:SL3.0:8:65276031:65278839:1 gene:Solyc08g082330.3 transcript:Solyc08g082330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSRIMMVFPSVVFVLQFGSPQIHVEYPLKMFR >Solyc05g026050.3.1 pep chromosome:SL3.0:5:39653060:39656986:1 gene:Solyc05g026050.3 transcript:Solyc05g026050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSRIFGKPKQETNALMTLDKLNEGEKKKFRLRMVNVIEVLRLFCPSLSHVPHFSACIIFRCKQTLEMLEKKEKVLQKKASTEVEKAKDFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALATPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGKQPARPIPQKRTAEEDELAALQAEMAL >Solyc10g050630.1.1 pep chromosome:SL3.0:10:50166977:50168359:-1 gene:Solyc10g050630.1 transcript:Solyc10g050630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVKYDFMIISRDVWDSCRDHVKNDLIIGYQGKQATRRLGKDQTELKRMRHEKEDADNVHQEKQMLEETTMERIIEMERALVNTNSMGETINSLLNTLEMDNV >Solyc07g039540.1.1 pep chromosome:SL3.0:7:47832646:47832981:-1 gene:Solyc07g039540.1 transcript:Solyc07g039540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAISTSYSDFKFSKIYQVYAVLLPVVVCILFYFYQREAYDLSLCDIRQMAGRLFSVLVTCVITDAVGQTSSGVVFQTEKK >Solyc01g096185.1.1 pep chromosome:SL3.0:1:87150453:87151885:-1 gene:Solyc01g096185.1 transcript:Solyc01g096185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFVGNIEYDIRQPELERLFSKYGRIERLDMKSGNPFEHLLRNKSLYHGSTLAKTSIARQPICLPVLLNDGIILHFLDQSSVIHFIAHVAIFEEWLWWDLSVLHAMPTTINKRINEFYHALPPNSESCCEIGEACLAMTTQA >Solyc07g021740.2.1 pep chromosome:SL3.0:7:21293822:21294705:-1 gene:Solyc07g021740.2 transcript:Solyc07g021740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSTVVKCQCGIVTRIFTTFTPINSGRRFYKCANPNGYKFAYWKWVDDLLHPRVANLIHNLKKENDNLHRANKILETKMADLEKYLTSEIEQKCERLNEEVVIDNEDVVIDKSKTKLIKYGL >Solyc02g062375.1.1 pep chromosome:SL3.0:2:34523206:34526601:1 gene:Solyc02g062375.1 transcript:Solyc02g062375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRTSLTIPTLRECVEKLELLKTPEEHQRRLLATPEVHADPKMDPNYETEEDARESDDKRQVEYGGPRFTRFCRREDKPMSSWRKDKEGSIMSRCKVSEKREAHGNIMKKLGNQGTARQVVDRCASETSITSFSTVNSTFTNFSDTDKLWHYRDPSGRIQGPFSVTQLRKWNKSGLFPLDMRIWIKGERDDSVLLTNALKGLFGIAPQVHGEISHQSQELGATSVNSSIGWCKSATGIGRECGEKEVPWHLRITNNHSNGYTETARMDGLSSSLPQCLDLNNSYSNKPHPSSPEPSSSHGNVYGAPSNEKRCHEIVDVQSSTGHMIQDSSRSDCNHSMQSHSQRHSGQSCGQNWEPSNNNRSSVNINSGSSFASVAKSSDPSQQKGITSYPDLPSPTPKTSYDDVDAQAAEELLSLSLVVPVSASNIQDLPSSTPELEEEAPVGQAAANKDSLTSSFPVQDSGPSWSSASSLVIDGAQLPEIANGLGGPAVKPSIGSDLISDSALKPAEAVGDHVDTPTSDVNLLKPAEEVGDHVDTPTSDVNQLKPAEAVCDHVDTPTSDVNQPKPAEAVGDHTDTPTSDVNQLKPAVAMGDHVDTPTSDVNQLKQAEAVGDHVDTPTSDANQHPDNSSSNPISNFSDWRAIFGEPIEFSTLDEESVSDLLAEVDAMESQTQSGMGSPTSAMAFCEETIAGCRGDFFSFLEELSPTPDPAKNDALSSTEDIQLPCQSSLTNELARTLHAEAFDPFKRSSRTSSTSSEGETKSADISFSQGDTGFNIPTPCTTGKTAVSVISQSTELEAITTDCCAAPGNMTYCGPVQGFTNVNQGSSMGTACGYSNTNDSPFTGNTLSESQCIYSGERSGGPRDWVIPVGDLGFERDR >Solyc12g009620.2.1 pep chromosome:SL3.0:12:2883575:2891051:1 gene:Solyc12g009620.2 transcript:Solyc12g009620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILCGKRSNLFEDFQSSSSASTPVSKRIRRNFSPARSNSDSDRVLCGNLIASSALDHLLMIFSDTDKQLVERALEESGDDLDSAIKRLNELCLGSGDNLRPVAGSSGATHEFSSQVFPQGVATTNGETPSAEDLSSAEVVHLQGTEWVDLFVGEMMSASNIDDAKARASRALEAFEKTICARATEAACRFQKENMMLKQQLEALVQENAIFKRAVAIQHERQKEFENRGNELNQLKQSVAQYQEQLRTLEVNNYALTMHLKQAQQSNNSIPGRFNPDVF >Solyc05g012170.2.1 pep chromosome:SL3.0:5:5362513:5363400:1 gene:Solyc05g012170.2 transcript:Solyc05g012170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSTLCLFLLLVCVLGTSGIRDTASIGAHMITRDDQCLTAFGVCSDKVCGELCCEQKCIDNFKTKNPSGGCEILPGSALRICNCHHDC >Solyc01g103803.1.1 pep chromosome:SL3.0:1:92211616:92232731:-1 gene:Solyc01g103803.1 transcript:Solyc01g103803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVKYLECRHNYAARSNGYVLDGCGEFCPTGALETLESFICAACHCHRNFHRKVEVELEDGVESPIISINHPSRGTPLIIIDDPSPQYTVRSRAQFCETSKKNNIDVETKMKRDGGEIKVRKLKRKYNASSSKRMRLNPYQRERIWIFANEIMRWKWTKSNEQVIPFCDEIGITPKFLKNWINNTRSRTRPLEKKWACEKSGLVA >Solyc12g087900.2.1 pep chromosome:SL3.0:12:64393429:64397015:-1 gene:Solyc12g087900.2 transcript:Solyc12g087900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTRDFVISGLFCAEYNKSMLENVYDDIHTLFHGDHQNNQQTMPFQSDDCVTLMIKKECEYMCADDYLEKLKNGEFDLGEREQILDWICQVHSHFKFGPLCLYLSVNYLDRFLSVFELPEERAWTIQLLAIACVSIAAKLEEVEVPLSEDLQIEGTQFEFEASTIQRMELVVMKTLNWRMHAVTPFSFIDYFLKKIDGDQIASKSIMFKATNLIIGTLKGIDFLKFKPSEIAAAVAIYVVVKNKTGGIEDAISTLIQQVEKDKVNKCVDLIKESSLLSDFGASTLMVPPSPTGVWDVASSSDMSDDDSGPSSLDG >Solyc09g090660.3.1 pep chromosome:SL3.0:9:70588494:70596713:1 gene:Solyc09g090660.3 transcript:Solyc09g090660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSISGEVPEDPVVSKKSGLLFEKRLIERHISDYGKCPITGEPLTADDIIPVKTGKIVKPRPVQAASIPGMLGMFQIEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARGLLAQAERQIPMAATTAVGTTAVSNGKRVAEDEDVGPDGKKIRPGISHSVIETLTECNAGLSQQRKKRQIPATLAPVDAVARYTQLNSFPLHKTNKPGILSLDIHYAKDIIATGGVDSNAVVFDRPSGQIISTLSGHSKRVTSVKFAAEGELVVSGSADKTVRVWQSSENGNYDCRHVLKDHTAEVQAVTVHATNNYFVTASLDSTWCFYDLASGLCLTQVADASESEGYTSAAFHPDGLILGTGTSGSLVKIWDVKSQANVAKFDGHVGSVTAISFSENGYFLATAAHDGVKLWDLRKLKNFKTFAPYDDNTPTQSVEFDHSGSYLALGGSDIRVYQVASVKAEWNSIKTFPDLSGTGKATCLKFGPDAAYIAVGSMDRNLRIFGLPGEDQMED >Solyc02g081700.1.1.1 pep chromosome:SL3.0:2:46112367:46113113:1 gene:Solyc02g081700.1 transcript:Solyc02g081700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4BA40] MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSSEKMYKIDDHVACAVAGIMSDANILINTARFQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYGGWKAAAIGANNQAAQSILKQDYKDDITREEAVQLALKVLGKTMDSTSLTSEKLELAEVFLSNGKVKYQACSPETLNKMLVSAGLTQPTVEE >Solyc08g079580.1.1.1 pep chromosome:SL3.0:8:63211134:63211580:-1 gene:Solyc08g079580.1 transcript:Solyc08g079580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVLSVNHGELLLPKKILICHVLKFRYLCWLLIKTMIIENFTLFLIRKLHVESFSLKLKGFQHKDGLYTGIGDVTLLHPFSRTQIHLPPASQDKDAVIDLAQNPSLTSDYVLLISYFEQCNYYFAFWRPGDQCWTIIASKNYGPLL >Solyc04g057880.3.1 pep chromosome:SL3.0:4:54928339:54953088:1 gene:Solyc04g057880.3 transcript:Solyc04g057880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAVEHNSVLEIAQSEHKKSKTTKKGKGKGRQGKNHTGQNSQRSKGKSSIPTGPISLKVKFGSRCLMDVVPLIDDHMDKQCTTGKEFKELPNVARNFDDRLEAGLPSLQFSSCNRNLDNVYVSVSELCLSGKNISQEPVDKHLDFHHESPSQEGTSIDNRCSDSGTSPDSEVINLVPDNQIIEGEPEELNDLIPSRPSVAPGDVLSLRVYDRSKKGRKKDRLPKFASSGSKDLLSSDSMSNSQIFGPLMQGDKVQGGSCYADTSALTIGRISSGNISSTEIISGELLPCSGVPEFNISCAASKLGSGIEGNVCSSFGTESPETEFAEKVVSCHDGQNITKSGRSNLSGKGRSQVPTQKLSKSRESASKKKGNKEKQDNKLEVRHENNQVKSLSEVKNHPGTENEAPYGFGEVGSRNETLSGGISDLDIMRSEVSQPYLQPRNAWVQCDDCQKWRRIASVLADKIEETNCKWTCKDNLDRDLADCSIAQEKSNSEINAELEISDASGEEDVLRTRLNSNRSGQKKAPVSLQSSWTLIKRNSFLHRSRKSQTIDEIMVCHCKPSERRMGCGEGCLNRMLNVECVRGSCPCGERCSNQQFQKRNYAKLKCFKCGKKGYGLQLLEDVSKGQFLIEYVGEVLDLHAYDARQKEYALKGHKHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCCTEKWMVNGEVCIGLFALRDIKKGEEVTFDYNYVRVFGAAAKKCVCGSPRCLGYIGGDLQNAEVIVQADSDDDYPEPVVLCEDGDMGDELNKILSARSSFDVTEIRTPGETPKNKYKLDEPFTGNLETTTQTHTQNIMKQENSNMDSVAAFGLKIKEESNKWHNVSPSLSLKKKESSEAMEGLESLLHSSVRPVGNSLQSEDITAKTISEVKRECLDAVKISSALPSPNAMLSKSLRKKSGNGETSDESLKSSRRSSSVKKGKSKNSAVNMTSAPDVNNKLQIPQPKFKKPTHDSANGRFEAVEEKLNELLDHDGGISKRRDASRCYLKLLLLTAASGDNCNGEAIQSNRDLSMILDALLKTKSRTVLVDIIDKNGLQMLHNIMKRSQREFNKIPILRKLLKVLEYLAARGILSHEHINGGPSRPGVESFRVSILGLTEHIDKQVHQIARNFRDRWIRRPLRKSSCIDRDDSQIDLRPSPRYNRCSPLQDHCGVKPSETEECTSHLMVESTRIDAGVLDGSSTSCVDGATNGARKRKRKSRWDQEAELDVDQRIETNAVDDRTQDIDDAPPGFSIPKKASRISCGASSSADCSLQEPSCKKHPHPVVTGHLQQRFISRLPVSYGIPLSVVQQFGSPQKERCDAWSVAPGVPFHPFPPLPTYPHDRRDPISPADNAAGIFSKPPQNPQHGLSTHNPPRLSGASLRKIL >Solyc05g021090.3.1 pep chromosome:SL3.0:5:26352208:26359264:1 gene:Solyc05g021090.3 transcript:Solyc05g021090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVKKGQERSEIMVDEIKTMSKEEEDVILPGFRFHPTDEELIGFYLRRKVENKRISMELIRHIDIYKYDPWDLPKADDKEMYYFCMRGRKYKNSVRPNRVTKGGFWKATGIDKPVYSSTTKCIIIGLKKCLVYYRGSAGKGTKTDWMMHEFRLPPTSNTNQQAEVWTLCRIFKRSSSTYTRSSYNTQEVKHPIGDASSKACSLESDENSTDHDHSNSFRDMSFPHQNYNNYVAQMDETRNQQNPIPHESTFPSSSSISFWNTNSTQEDYLFGDHGNKWDDLKSIVDLATMM >Solyc06g082000.3.1 pep chromosome:SL3.0:6:47997329:48001012:1 gene:Solyc06g082000.3 transcript:Solyc06g082000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKQHFQVCFCWSRVFKVRGGEIPEDIKKVFESYSMNDTMSMDGLISFLKKEQNEVINVNTKAQNVFNSLKHLNKFHRRGLTLEAFFKFLVGEHNFAHQSKVHQNMDAPLAHYYIYTGHNSYLTGNQLSSDCSIEPIKKALKKGVRVIELDLWPDITKDDINVRHGGTLTTPVKLIKCLKAIKEDAFSFSEYPVILTFEDHLHPYPHLQEKVAQMVKSTFGSMLFIPKSDMDVFPSPNQLVKRILISTKPPTEDSPSESDNKVSPERGRSENGLNNHNQYQQQIQLEEGDEDEVPKYRDLIAIHATKHKGSMENFGSHGSSDKVGRCSMNELALEAAVAEHSHQLIRFTQRNILRVYPKGARFTSSNYDPLIAWLRGAQMVAFNMQGYDRFLWMMQGFFRANGGCGYVKKPEFLLSSDGLCDEVFNSMALPVKKTLKVKIYMGDGWRADFHFRHFDYCSPPDFYVRVGMVGVPADACNMRKTKTVNDQWVPIWNDDVEFEFPIRVLELALLRIDVKDYDPSGEDEFAGQTCLPVSELKTGIRCVPLYNRKGDAYRSVKLLMRFDFTT >Solyc11g045540.1.1 pep chromosome:SL3.0:11:30448051:30449250:1 gene:Solyc11g045540.1 transcript:Solyc11g045540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIPISKSLEIKNNENAYMEKGLPYEDNYGEKEIIANLASNVEFVTPADFTLETGENDKEGVCIIINFSLPEVVPPMKIDSAKEGNKPTLEDSHGKEDHQICHDNIFTNMENCDLFSPKEGLDDPFLVNGIENDDFGDAYTLNIKDL >Solyc10g084360.1.1.1 pep chromosome:SL3.0:10:64062695:64062859:1 gene:Solyc10g084360.1 transcript:Solyc10g084360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFRHRVRLSGVFRNVGVDVSDNVGTDRSLHHGGKRNGACGGATVSSHVIFE >Solyc03g062745.1.1 pep chromosome:SL3.0:3:34271892:34284329:1 gene:Solyc03g062745.1 transcript:Solyc03g062745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSKVIPRRNSHCSGLFDGPLLRFTPSPPNRSFFNVSLRAIDHKIQLATFVKRQITNSLMSKHMFTVVLTNEIDLDLVGREVTVELKNDLAIWGTLHSIDQYLNIKLENTKIVDQDKYPHMEVDFVVRNSAYSFGESLHIVQVSYTL >Solyc04g050550.2.1 pep chromosome:SL3.0:4:47516623:47521748:1 gene:Solyc04g050550.2 transcript:Solyc04g050550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHDKTSALVPYDLSTRIKVDKVPLFGFEFGSISGGFCARFCRLSEVSVHNSISPLALNFKSRDTEVDIFFLSLFFFIVGSKFILLSLSSYSEIIFSLVFMLLLQPALMSLMTDEIHQSRELMWFERLVGCLSSFSMANLIRANLDNEMVKAVAAVVFKGHWDNLLKPKIGSSVTSTTIRQALLHISQYYFSLSWSFFQWAESVPSHKHSLQSSWTMMYILTKQKHFKTAQDMLQKVAVKNFLSSPTVLNALVRSNMNNDVNSHLLSWLVIFYANSKLPHDAIQVFEHMRVCKLMPHTHACSTLLNSLVKDGLTDTLWKIYKKMQKIGVLPNIYIYNVLMHACCKSGDVERADDLLREMEFKATLPDLYTYNTLISLYCKKGMHYEALCVQDRMERAGVCPDIVTYNSLIYSYCREGRMREAMRLFKEIKGSTTNLVTYTTLIDGYCRANNVAEALRLKGAMETKGLYPGVVTYNSILRKLCEQGQMKDANILLNEMNEKKVIPDNVTCNTLINGYCKIGDMESALKVKNKMLEGGLKPDRFTYNAMIHGFCKVKDTDGAKEVLFDMVRVGLSPSYCSYSWLVDAYCHLNNEVAVVSLPDEFAKGGHLVDVSFYRAIIRRLCRRGKIDGAEKVFHIMQTKGVLGDSLIYATLAYAFFMAGKVDAASYLLDDMYKRRLMITLKMYKSFNASYSDENTLLNMFWSNVPVMQFLDIGLVHFQELQIIGKLFESLVLDMEYNPGRIGDLNLMGSTYIFSLLDPFNDHVNIKFHVKMLGLPEPILYPAD >Solyc05g024454.1.1 pep chromosome:SL3.0:5:31746110:31746816:-1 gene:Solyc05g024454.1 transcript:Solyc05g024454.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIGRWNNKNRNEAIAIGTTLSTKYEDLMREKMKESHGMTVTPSTEYLYTVFDCGKRHAVNMRERICTCRRFHMDVMPFPVYPIPDESIWEIPLDVIADIVLPPKGKIRPGRPQKILTQKSGESRRKKSRITCGLCGQQDHNRQTYRNIPQEA >Solyc09g016957.1.1 pep chromosome:SL3.0:9:11997897:11998473:-1 gene:Solyc09g016957.1 transcript:Solyc09g016957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIISPTGIGMRNLGNTCCLNAVVKSFMHTAVLLRLLKTIDKVSQFQSKYQLKIFSFIFYPFLFFLTLCVLCIIRELIDFSTFFGRFFVSLMELVIHMRSISKPNYLFISF >Solyc09g060170.1.1 pep chromosome:SL3.0:9:58114716:58120513:-1 gene:Solyc09g060170.1 transcript:Solyc09g060170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKLHIFPPLVKAREFFFIRGCRQVDATTWIMVDISYDIFNDIQSGIPSYSWKFPSGCAIQDMGNGQSNVTWVEHVQVYEKYQVNHIFRDLLCDREAYGAKRWIVTLQRMRERFNFNMGSTYPNRHDSKGEFHDPEGIKNTIQVSQRMVKKFFEILNMTDNYGDFSISPQLNRGERISIVKNEETKQPKGFIAIVSTSLWLPLSFQDVFSFFNDYKTRNQPYNMHKEMLVLEETSIDEMGAFFVYAPIDLRAINSIVNGGDATKVLILPFGIIISPDGRLSSNRNSTANAQNGSILIVTFQKMICGNNNPTSRQQKMEVVGSVYGVLSATILRIKEALGCSDL >Solyc05g055790.3.1 pep chromosome:SL3.0:5:66139244:66143102:1 gene:Solyc05g055790.3 transcript:Solyc05g055790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSSDSRSPHPGSPASAIRKRKNSKKRSGSRNSSFDFHKEELLHRIPGRMFLNGSSEVASLFSQQGKKGTNQDAMIVWENFGSREDTVFCGVFDGHGPFGHMVAKRVRDALPLKLSTHWEVNIKSEDVLREISLNTGSSLYPEDAVLISAEESRLSIDVEETKNHLEVFQTLKESFLKAYKVMDRELRSYTNIDCFCSGTTAVTLVKQGEHLVIGNVGDSRAVLGTRGEDDSLTAVQLTVDLKPDLPAEFERIRKCKGRVFSLQDEPDVARVWMPNSNSPGLAMARAFGDFCLKDFGVISVPEISYRRLSEKDEFIILATDGIWDVLSNDEVVNIVDSASSRSSAARALVEEAVQAWKITYPTSKVDDCAVVCLFLDSNLNNFSTASSTEDNNKSFISTEMSEVSYNTGGALSPPALNRSCTLREGEEVPAVSNEEAWEQDELLPKTEKEWSALDAVAIGGSKSKMK >Solyc01g096255.1.1 pep chromosome:SL3.0:1:87210631:87211224:-1 gene:Solyc01g096255.1 transcript:Solyc01g096255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGFNSIIAPLFSPIRVTNLLDFSFILEFPAASFPARRNRGFVSGCNFGGDSFHGLTEEEAIGRNAGDVGDEESIDLAGETGAVDGVGDFGAGKNARIGVAGGGGDVAAGGRMVGRG >Solyc05g054170.3.1.1 pep chromosome:SL3.0:5:64957432:64969254:-1 gene:Solyc05g054170.3 transcript:Solyc05g054170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQIPQSSGGVHKLCHQPTANFEQYYSPYHVVNNNSSDTSSSGTQLSYQTQNDKFFTLDSLPDAGYVSYDSPPAVSVSSNWSPFSPQCSQSYISDQHHSSDNTYGSPLSGCSVINDGNELKHVLREMANNLLGPGFDIDEDSSCSFNGEVSKPSKWNRVLEIAPSLDMKELLLACAEAISDVEVTARDAQMNVLEQKVSDADVTARDALMNVLEQKVSVSGEPMQRLSAYMLEGLKARIYSSGSNIYKMLKCKEPTGSELISYMQVLYHICPYYRFAYTSANVVIEEAMRNESRIHIIDFQIAQGSQWVFLMQNLARRPGGPPSVHITGVDDSQSAHARGGGLHLVGERLAKAAASCGVPFEFHAAAISGCEVHLENLQMRHGEALAVNFPYVLHHMPDESVTTVNHRDRLLRLVKSLSPEIVTLVEQESNTNTSAFLPRFRETLDYYTAMFESIAAGRPGDDKQRINAEAHCVARDIVNIIACEGADRVERHEVFGKWSMRLTMAGFTPCPLSPSVGEAINGVLKEFSPNYRLAESKGALYLGWKNRALATSSAWR >Solyc01g005730.3.1 pep chromosome:SL3.0:1:490689:494533:1 gene:Solyc01g005730.3 transcript:Solyc01g005730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKIVFFMLYTFLCQLALSSSSPHLCPKDQALSLLQFKNMFTINPDASDYCDSSHPRTLFWNKSTDCCSWDGVHCDKMTGQVIELDLHCSHLQGKFHSNSSLFQLSNLKRLDFSYNDFTGSPISPKFVSHLSKLYVLRIGDLNELSLGPYNFELLLKNLTQLRELHLESVNISSTIPSNFSSHLTTLQLSGTELHGILPERFFHLTDLESLDLSFNPQLTVRFPTTKWNSSASLIKLYLYNVNFTGTLPESFSYLTALHKLDMGYTNLSGPIPKPLWNLTSIEILYLDYNHLEGPISHSTIFEKLKRLSLRNNNLDGGLEFLSFNTQLEWIDLSSNSLTGPNPSNVSGLQNLEWLYLSSNNLNGSIPSWIFSLPSLIELDLSNNTFSGKIQDFKSKTLSVVSLRQNQLEGPIPNSLLNQSLFYLVLSHNNISGHISSSICNLKKMILLDLGSNNLEGTIPQCVGEMKENLWSLDLSNNRLSGTINTTFSIGNSLRVISLHGNKLTGKVPRSLINCKYLTLLDLGNNQLNDTFPNWLGNLSQLKILNLRSNKLHGPIKSSGNTNLFTRLQILDLSSNGFSGNLPESILGNLQAMKKIDESTRTPEYISDIYYNYLTTITTKGQDYDSVRIFTSNMIINLSKNRFEGRIPSTIGDLVGLRTLNLSHNVLEGHIPASFQNLSVLESLDLSSNKISGAIPQQLASLTFLEVLNLSHNHLVGCIPKGKQFDSFGNSSYQGNDGLRGFPLSKHCGGDDQVTTPAELDQEEEEEDSPMISWQGVLVGYGCGLVIGLSVIYIMWSTQYPAWFSRMDLKLEHMITTRMKKHKKRY >Solyc10g018355.1.1.1 pep chromosome:SL3.0:10:7503043:7503822:-1 gene:Solyc10g018355.1 transcript:Solyc10g018355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKVILALIENETERKVSYKKRLIGLLKKAKELNTLCDIEMTLIIYSPYSDEPKVFPNLVATINTFQKFKELEALERSKNMVTKEEFTKKRIKKLQKKLLKIRKENRIKEMTNEMHKVLNGKIISIDMNPFYLNDLSYIIKKNLLLIRKIMEKNDGDEGSTSNVPQSTPSITMTSMMSSPIIDLPFTAMTPQMDPLAEIPSMGASIPIHNYQNSTDISQSPSFIDLLNLNDDDFVTLLDDLSLSNASDQDSNPSNNK >Solyc08g076830.1.1.1 pep chromosome:SL3.0:8:60859795:60860820:-1 gene:Solyc08g076830.1 transcript:Solyc08g076830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVNSSRTWAPPHMSTRDCSQESCSLYCPQWCYIIFPPPPPFDFPADDNDSGPNFSPLVIGIIGILTSAFLLVSYYVIMSKCCGHRIGLSRENHLNDSELEENDPSIHEAWNVNTTGLDESLIKSIMVFKYKKGSGLAEGTDCSVCLSEFLDDENLRLLPKCSHAFHVTCIDTWLKSHSNCPLCRSNIVFVTAAPPPVIEPPLINERTSENQPENDIEMGVREEDDEQEVVESRVPSKRLITQNMNLLIRRSVSMDQVSRGVLSIADILKIDHDEDCELGNCPFHDREVGTSRQEVREEEEEENTLPYCVPTPMGIKRSFSSGRFIFPKRGRGQNTIIPL >Solyc10g045040.1.1.1 pep chromosome:SL3.0:10:30041259:30041483:-1 gene:Solyc10g045040.1 transcript:Solyc10g045040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFSGRSVKWSFPVRFWSCESGWLFELAARWISPEMWKDEEWWSVVAWSHCSCLSCCMRFCWPKIMEKTAYEQ >Solyc03g081203.1.1 pep chromosome:SL3.0:3:53539668:53541710:1 gene:Solyc03g081203.1 transcript:Solyc03g081203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLILCQYTLLELRLIKFSGAQRGWIAIAFSNKLQLLKKYCYLSLSSAITGKFSALGEKL >Solyc02g085700.2.1 pep chromosome:SL3.0:2:49159800:49165606:1 gene:Solyc02g085700.2 transcript:Solyc02g085700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTTITTWGYTHHPFSDVGNKGRSRFRSPGFMPHLKMKFFTNPSSLSAVSVNKALESAVSIKEPVMIHESMRYSLLAGGKRIRPMLCIAACELVGGVESTAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKPTNHKIYGEDVAVLAGDALLALAFEHIATHTKGVSSDRIVRVIGELAKCIGAEGLVAGQVVDIISEGISDVDLKHLEFIHLHKTAALLEGSVVLGAILGGAPDEDVEKLRKFARCIGLLFQVVDDILDVTKSSQQLGKTAGKDLVADKVTYPKLIGIEKSREFAEELNKEAKAQLVGFDQEKAAPLGTPNRSRSAGTKLLLSSEETAEVIFRPKARAFCNSTGFSKNESEVINHEDILGEAGKTTSVFDFKSYMVQKIKSINQALDAAVPISEPIKFHEAMRYSLLSEGKRICPVLCIAACELVGGQESTAMPAACGMEMIHAMCMMHDDLPCMDNDDLRRGKLSHHKVYGENVTVLAGYSLVALAFQHMTTATKGVHPKTMARAVGELARLIGPEGAAAGQVLDLLCGGNSDTGLEELEYIHRHKTADFAEAAAVVGAMIGGASEKEINRLEKFSKCLGLLFQVVDDILDVTKSSEQLGKTAGKDLLANKLTYPKMIGIDKSKEYAQKLNKEAKEQLVGFDPEKESGKTTRSVFDLKSYMLQKVKSVNQALDAAVPIKEPIKFHEAMRYSLLSEGKRVCPVLCIAACELVGGQESTVMPAACGMEMIISMCLMHDDLPCMDNGDLRRGKLSNHKVFGENVTVLAGYSLVALAFEHMATTTKGVHPKTMVRAVGEVARLIGPEGAVAGQVVDMLCGDKCDTGLEELKYIHSHKTADFTEAAAIVGALLGGASEEEINRVRKFSQCFGLMYQVVDDILDVTKSSEQLGKTAGNDLLANKLTYPKMIGIDKSKEYAQKLSKEAKEQLVGFAPEKAAPLLAMTDFLLHRQK >Solyc12g008580.2.1 pep chromosome:SL3.0:12:1967523:1973838:1 gene:Solyc12g008580.2 transcript:Solyc12g008580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFSIIFAATVLLVILGICRASRVGICYGRNADDLPTPEKAVQLIQMHNIKYVRIYDSNIQVLKAFANTGIELMIGVPNSDLLAFSQFESNANTWLKNSILPYYPDTKITSITVGAELTEAPNTTAALVVPAMQNVFTALKKAGLHRRIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLAENQSPFMIDLYPYYAYRDSSTNVSLDYALFEASSEVIDPNTGLLYTNMFDAQLDAINYALMALNFKTVNIMVTETGWPSKGSPKETAATPDNAQTYNTNLIRHVINNTGTPAKPGGAVDVYVFSLFNENRKPGLESERNWGLFFPDQTSVYNLDFTGKGVVDTTAGGNNTGSNGTWCIASSSASEAELQNALSWACGSGNVDCSAIQPSQPCFEPDNLASHASFAFNSYYQQNGATDIACTFGGVGVRTNKNPSYDNCLYATTGGKKAIFNNATVGPSKSTSSSPQRSYWWTQYLLVAFPLFFLVPF >Solyc08g014290.1.1.1 pep chromosome:SL3.0:8:4072651:4073838:-1 gene:Solyc08g014290.1 transcript:Solyc08g014290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQANLTCQNLPTIFSSFVDTFVDFSVSGGIFLPPSITPKPQNPLQTIFPSPTRLIAIGDIHGDFQKSKESFKLAGLIDDHDKWCGGSTTVVQIGDVLDRGGEELKILYFLEKLKREAAKVNGNLITMNGNHEIMNVDGDFRYVTKEGLKEFQDWAMWYSVGIDMKKLCNGLGEKGCFKDLFEGIPLEFRGVRPEYFEGIRIRIAALRPKGPISERFLSKNQTVVVVGDSVFVHGGLLPKHVDYGFENVNEEVRDWICGLKGRVSRDLVRGKDSIVWLRRFSNELAKDCDCSTLEHVLATIPGAKRMIMGHTIQESGINGVCGNQAIRIDVGMSKGCTNGLPEVLEIDRYKGLRILTANPLYQNGKEASLEAKPKDGLGFLLPELGPKQVEVKA >Solyc01g102970.3.1 pep chromosome:SL3.0:1:91489449:91502800:1 gene:Solyc01g102970.3 transcript:Solyc01g102970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTLSSFKKLIVKNSNMAETETLDFSPHPPQLSDDNPTGNEDQDMLDADKSAKDSDSDSQSDSDSDSEDDAQQNTQIQALETELLNNPSNYDTHVQYIKASRKQGDIEKLRQAREAMSAIFPLSSEMWQEWTKDEISLNSGLDALPTIEKLFDCGVSDYLSVALWCDYLSFVQEHDQSVRTLSAAGISKARNLFERALVAAGLHVTEGSRIWELYREFEQAIFLTIDETDADSREKQVQRIRNLFHRQLSVPLADLCSTLLTYKAWEAEHGANLDVDSSNLDGLSPQVASSYQKALDMMNARTHLENQISHKVAPESERLQHFRDYLKFEQSLGDPARIQILYERAITEFPISSELWLDYTHYMDKTLKTSSLVRDTYKRASRNCPWVGELWVRYLLSLERSRASEDEISAVFEKSLQCTFSSFEEYLDIFLTRVDGLRRRLSLLTSGAESSNLDYIIIRETFQRASDYLSPHLKNTESLLRMYRYWARLESTLGKDLVAARGVWERLLKISGSVLEVWQGYIAMESEMGNINEARSLFKRCYSKRFPGTGSEDICNSWIRFEREYGTLDDFDLAVKKVTPRLEELQLFKLQEAKSIGVSADDGDNSSRKNVREKRKPVSNLIEEQSPAKRHKDKAKNVKITSEDGKGISKEPVKVNDKKPDVAASKPVSGSKKENRDVTSGKPQQYDDQCTAFVSNINLKATHDDLRKFFSDVGGVVAIRILTDKFTGKSRGLAYVDFSDDKHLAAAVAKNKHTLLGKRVSIAKSDPKGRKRGSAAPGTSLRQGDNADQTTESSKSGAKNSAEGSGGGLQPSSHHRASNIQLKGKNTFAMPRTVRPLGWVDKDQPKSKESDSVEDENPKSNDEFRKMFIKS >Solyc08g044540.1.1.1 pep chromosome:SL3.0:8:19418049:19418273:-1 gene:Solyc08g044540.1 transcript:Solyc08g044540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYVEMLDAGAKIANRIRAHYPQTSGLYYHPPTNGHDPSNKIVMSNNATSPVDSFSSKADMDTKDLILFISIG >Solyc06g060270.3.1 pep chromosome:SL3.0:6:38363081:38375398:1 gene:Solyc06g060270.3 transcript:Solyc06g060270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNESKAVDTELVIRCRERKELIKAAANYRYALAAAHVSYFHSLKDVGDALRKSNASGNTHHKHKSSGSSISISSSDSSISRFHDEDEPVHDHGHLHLSSDSSDDEHQNLPQKGHRNVHGHNENRGGHRNLQQDYEQSGRNHGNVYEDYEGRNMVESGESSISPYSQPGWGPGGLPYGMMMNHEAPPQGYWDPFYGMNPQYQVPWGGQPFGGNPNTYAYYMKKSSPVMKTVFHEADPVPTGYSNSYWSYPNDNGGYFGYPMAPSIGEMGSQSNQGKKPSPPKEPPPPPSANVSAWDYFNPFEGVESGYSAYYPHKQNSSASVSSSPNSTEVREREGIPDLEEETETEMYKEYHKGKKLSDETKTKRGETNSSRSSDSGRKSMSSMPHGIDLRGVAGPSSMGSSKPDSSLHNLDGSSSSRGVKSEGSGGRAKPISTMYDSSSHISSVEPSHSSGGTGSIDITEEKSNFETLVSGSSGDVHMKKKGVTFEVDEMSKNEIESPRSSSLTTSHAHGTRDLHEVVAEIRDEFEIASSYGREVALMLEVGKLPYQPTFVKELLSRILYMIVPSMSVSDTATVQSIRLAAKTRKLAKSYFEDVGQDNDVMPCNLSSTFDELYEWEKKLYKEVKLYSTVWEFVFIEDEEKLRMIYEKQCKRLRSLDEQGAESSKIDATQACIRKLLTKLNVCIKAIDAISSRIHKLRDEELQPQIGELIHGLVRMWRSMLNCHQKQFQAVMESKTRALRANTGFQRDSSLRATLELEVQLLSWCSHFNDWICSQKSYVESLNGWLLRCLTYEPEETPDGPVPFSPGRLGAPPVFVICNDWSQAVEAISENRVAIAMNDFASNLRQLWERQDEEQRQRIKAEYLSKDYKKRLTMLQQRRGGSRHEQDAMSNRSHIIVPSEKGISPLDDLKVDLDSFKKKLVEERTKHKDAIKLVHDAASSSLQGGLLPIFKALENFTSEALRAHEQVRLQSVRDSS >Solyc06g072570.3.1 pep chromosome:SL3.0:6:44897021:44901284:1 gene:Solyc06g072570.3 transcript:Solyc06g072570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLHCPLHFLSPSSNKKQWRLLHKPKLESNWKREKKMVDLARVQKELHECNRDVQVSGINVTLKGDSLTHLIGTIPGPVGTPYEGGTFKIDITLTDGYPFEPPKMKFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSIQALLSAPEPDDPQDAVVAQQYLREHQTFVGTARYWTETFAKTSTLAADDKIQKLVEMGFPEAQVRSTLEANGWDENMALEKLLSS >Solyc01g109070.2.1.1 pep chromosome:SL3.0:1:96029801:96030416:1 gene:Solyc01g109070.2 transcript:Solyc01g109070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDDVEIEDMEWNDELQSYTYPCPCGDLFQITKEELKIGEEIARCPSCSLYITVIYNLEDFLGDSKKPVEPSKQQPVAVA >Solyc05g041900.1.1.1 pep chromosome:SL3.0:5:54876919:54877203:-1 gene:Solyc05g041900.1 transcript:Solyc05g041900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSSSLGISNPVEWLWFRGSSLESPGETFGSRAGNLSPQQAPQFDPVRHALDIAHNISGCESPSALANDRKESTLLKERLEQRITEKIIQNT >Solyc01g010050.3.1.1 pep chromosome:SL3.0:1:4619915:4623499:1 gene:Solyc01g010050.3 transcript:Solyc01g010050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:K4ATK0] MVALPVSAIGFEGYEKRLEISFFEPGLFADPEGKGLRSLSKAQLDEFLGPAECTIVDSLSNECVDSYVLSESSLFIYPYKIVIKTCGTTKLLLSIPVILKLADTLSLKVQAVKYTRGSFIFPGAQSFPHRHFSEEVAVLDSYFGNLSSGSKAVILGSHDNLQKWHVYSASAGSIQTKNPVYTLEMCMTNLLRKKASVFYKTESSSAAEMTIRSGIRKILPNSDICDFEFDPCGYSMNSIEGAALSTIHVTPEDGFSYASFEAVGYDLNSSSLGSLVRRVLTCFQPDEFSVALHADYVACELLERICSFDVKGYSHAEWSPEELGKGGLIVYRKFTRSSLCGSPKSVLQDCWNEEEKRNEVKEVC >Solyc08g061450.2.1 pep chromosome:SL3.0:8:48771674:48775112:1 gene:Solyc08g061450.2 transcript:Solyc08g061450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCSVRRNLIPRAKMLTAKISGVMRFHHCSEEFQDESLSSEWYVKAFSKLTKLNLLLKNVDLVDGKLVNVSDHSRVYDESLEQKLSYFKSLARTFIGCPSMQEMMNKNVAQALADVQCDQPVCFSKDSERESITVDSLAKISNFLNVSAQQRKLVRQSICAQVTKYPVWTGTVEEILNGLKSNIDFLNYRCPNKDIRMAQQIVTTCQKYLENATSYDPESNSWMRIVPAKGVESPASHKWEGVLEMFGDLIDCLSEEKRLTSEVKKLEVMKEGLHQIKDVFIDKNIGFKEACYQESLVHKKLIKTLGHSSRIWDVDIEVCGGLYPIGRGDKFRLCMGKILTSDEQNMLQSGVKQLSRALGLFKFVWETAGMKGDLEGKLEMWKMHSSMCIVGCSTSLKDDVIGYNYVYKVKFHKSRNTSLKYSGSDVQHVNPYRSSEKESTLLFKSSFHPFSRCYKILHRLIYVRKMASQDSSVWKYDRTGVTDGSIGSSRNSPVLAKLLQW >Solyc10g055740.2.1 pep chromosome:SL3.0:10:57257473:57261227:-1 gene:Solyc10g055740.2 transcript:Solyc10g055740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVDRIERCFSSFKIIPINDDTLHSEGTGLKEIESWLPITESRKGNAYTAAFHLFSSAVGTPALVLPFAFTSLGWSWGIVVLIVIFAWRLYTMWLLVHLHEMVNGTRYSRYLQLAIVAFGEKLGKCLSIFPTMYLSGGTCVMSIIAGGRTLQLFYNTICENDQHCLHKSLSGAQWFLVFVCLAILIAQFFPNLNSLAWVSFVGSILGVTYFTMLWALSIVKGRPNGVTYNPSNNVTTTMAQFRAVLNGIAVVAIAFRGHNLVLEIQGTLPSNPKHPTKTRMWRGVMASYSFVAMCVFPLAIGGYWAYGNMMPGNGIMGAIAKYHQESTPKWLIGTIYMMIVIQCLCSFQIYAMPVFDNLERIYVSRHLKACPRWVRSCIKLFFGGLTYFISVAFPFLGSLAAFVGGIALPLSLVYPCFMWISINKPQRNSLMYCLNMFLGCLGILISVVQVAGALWNLVVDKLDTNFFNP >Solyc01g086900.3.1 pep chromosome:SL3.0:1:81693028:81702177:1 gene:Solyc01g086900.3 transcript:Solyc01g086900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAGLKTLNTVVSPLHFRAKASIFRPLLLPQVVHNLHFPLLSSSSRLSPLRSLCVRSDASTMLNGAGGVAAQNKLLQVVLVSPQIPGNTGSIARTCAASAVGLHLVEPLGFPIDNTKLKRAGLDYWPYVVVKVHRSWDEFREYFMGQAGEKRLLAFTKRGIATHSDFSYKKGDWLVFGSETKGLPPEALLDCKSESLGGGTLRIPMVETYVRCLNLSVSVGIAVYEASRQINYEQLQCPPDTCMDTETSFVTEDIFA >Solyc11g007120.2.1 pep chromosome:SL3.0:11:1576434:1581214:1 gene:Solyc11g007120.2 transcript:Solyc11g007120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLGRLITRTNLRSCRLLTKTHVENGSLSTSRRFLYALSSNTPYVFSDFTSLKPSKFPSSCPTLWSHFGGQKRTMFIQTQSTPNPLSLMFYPGKPVVETGSADFPNARSAMNSPLAKALFGIDGITRVFFGSDFITVTKSEEASWDFLKPEIFAAIMDFYSSGNPVFLDSSTAASMDTAIHEDDSETVAMIKELLETRIRPAVQDDGGDIVYRGFDPDTGVVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKSVEQELDEDEQPALTGAAHE >Solyc01g104448.1.1 pep chromosome:SL3.0:1:92807824:92809145:1 gene:Solyc01g104448.1 transcript:Solyc01g104448.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVKRLTILHGSSTYQANLFDLSTIKLKVQLFCMVLSHETRHWRLGPAEKPVLCNACGSRWRIRGTLQNYIPRHANRETQSNQLPAEMNPGLLARDNQRLEVGVEVSGQEGSSACLEEEMNSTPSLVSAGSSSVNFMQMEETNEMDKFEPPSPKKELKTGEESTDPTQQI >Solyc01g060410.3.1 pep chromosome:SL3.0:1:70826936:70829294:1 gene:Solyc01g060410.3 transcript:Solyc01g060410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVYCEAWNRSASRWEFEISPSHHSTKKRHSWDLGRTALAPHPWMWPPSTSADFKIRKLSWFHVTVSLNIDRMMGQETMVVVIAVFSDYIF >Solyc02g080470.3.1 pep chromosome:SL3.0:2:45228499:45240748:1 gene:Solyc02g080470.3 transcript:Solyc02g080470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDVGNENCVIGVAKQRGIDVILNDESNRETPAVVSFGEKQRFIGAAGAASATMNPKSTISQVKRLIGRKYREPAVQKDLKLLPFATSEGPDGGILINLHYMDEKHSFTPVQIMAMLFAHLKQIAEKNLETDVSDCVIGIPSYFTDLQRRAYLNAAEIAGLKPLRLMHDGTATALGYGIYKTDFSAGGPTNVVFVDVGHCDTQVVVASFEPGHMKILSHAFDSALGGRDFDEVLFRHFAANFKEQYNIDVYSNARASIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVKGFIKREDFEKLSSDLLEKISIPCRKALLDSGLTAERIHTLELVGSGSRIPAMGRILNSVFRKEPGRTINASECVARGCALQCAMLSPIFRVREYEIQDSFPFSIGFASDEGPVCTLSNGVLFPKGHSFPSMKVLTLQRSNSFHLEAFYTNQNELPPGVSDKISKYTLGPFQVPHSEKAKVKVKIQLNLHGVVTVESAWLIKDQSSHSTSENNIDTYAENMEGDDTRKSKAVKRQDIPVSGSVDGGMTLMELSQAKEKERQLTEQDIKVERTKDKKNTLEAYVYETRNKLLNTYRSFATDSEREGISCNLQQTEEWLYEDGDDESEQVYAEKLEDLKKMVDPVEHRYKEEEARAQATRHLLNTIVEHRMAAGSLPASEKEAVTNECHKAEQWLRDKSHQQETLPRSADPVLWSTEIKRKTEAFEAMCKHVTRHKSSPQKTEDGSGLNPRNKREDGMDVD >Solyc08g045760.1.1 pep chromosome:SL3.0:8:16826372:16827727:1 gene:Solyc08g045760.1 transcript:Solyc08g045760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQILIGTACLQKDLWELEVQVIVQESLADIISSKVAKVKVLGDLEIIPFVKYSGINMTIGGMVETRLAMGFTGHLAAGLGCFK >Solyc11g008720.2.1 pep chromosome:SL3.0:11:2887858:2901417:1 gene:Solyc11g008720.2 transcript:Solyc11g008720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRTTTFWTRILRNQLRFTRSKPPSSAAGAAKEPFLRHPFPPHSVLTPRFFSTASYNRTPSAERNEDSMGVGPLIEKLEKKKLKEEKFSFEPTDSDSEDDDERWTPDAINKKWEVFNKKFKRHEELLKNFTDAETLDDAYKWMNRIDKFEEKHFRLRPEYRVIGELMNRLKVSEGKEKFLLQQKVNRAMRMVQWREAYDPNDPENYGLIQHQQVGPSVDLLEHAGFEKEKGMIQGGDDDDDVEFDDMKEKDDILLEKLNVIDRKLEEKLAELDHTFGKKGKLLEEEIRDLAEERNSLTEKKRRPQYRKGFDVKLINVNRTCKVTKGGQVVKYTALLACGNYHGVVGFAKAKGPAIPIALQKVYLWPAPTQTGMKAGRTVQTILHLAGFRNVKSKVVGSRNPHNTVKALFKALNAVEGGSKEGGKGPSIWDSFSHTQGKICDGSTGDVAVDQYHRYKGFLRLCTDGLGTKINDEGIKYYNDLIDALLERGIEPCITLYHWDLPLNLEESCGGWLNEQTISFGNKVKRWITINEPLQTAVNGYCTGIHAPGRSESSSTEPFLVAHNQLLAHAEAASIYRNKFKDEQGGEIGLVVDCEWPEPLSDSLEDKDAATRRLDFQLGWYLDPIFFGDYPESMRERLGDRLPNFSQQDRELLKHSVDFIGLNHYTSRFVGHAANSLEENDFYKIQDVEIIAEWEGGEVIGEKAASSWLYVVPWGIRKVLNYIAERYDNPPVYITENGMDDEDDDISPLHEMLDDKLRVSYFKAYLAAIHQAILDGANVRGYFAWSLLDNFEWNLGYTKRFGLTYVDFKNGLTRHLKSSAYWFMRFLKGGQVKHGKED >Solyc05g051840.1.1.1 pep chromosome:SL3.0:5:63089696:63090829:1 gene:Solyc05g051840.1 transcript:Solyc05g051840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTGLPDPNPPQPDATTTAPAAAATAIDDPLNNPYSSLNAICHDLSDLQDLATRGAWKSILDKVGHARSLNLLTKPHEHLTYLTFNVLALTKLRRPVDANQELETLLDGEDFNTPQFHYQNYPNHYPNMKGNFVPFALRWLHAYLPYSLAQRMKSLDRLYTLIDFIRSKKLKVLTNPSNDLWKRREILVLNTIISHHLSQKDFKLCLGLLNELIGICGDDDPNVLSKLGYVKMQYGDVEGAKKAFSAVEGMIGSESEAGLRNLVSRSKALMYIVEKDYVSAVREYEECIERDGMDMVAMNNKALCLMYSRDLSDAIKVLENALERVPTVALNETLVVNLCSMYELAYVNHADIKRTLNNWIARVAPDDFDSSCTRT >Solyc02g084550.3.1 pep chromosome:SL3.0:2:48272898:48277910:1 gene:Solyc02g084550.3 transcript:Solyc02g084550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREENGTIEKGSSCRVDGNCKRVNPINETGAGGTGSGEGVSSSPASSSLSSKNQGKSCKGCLYYSSTFKSNSRNPLCIGLSSSLPQVPRYIVGESEKEASKEGRSFTDFRYACVGYSVYLDQKSRSTEAQKAQTELPVCVGLEVLVDKRPTSADATPGHTPAHNREGGNKPPQPRSNKPTNSAGDEFLTRFSRNANLVAMGVAKNLRKVGSRIKESVDDIFYGRPK >Solyc04g017965.1.1 pep chromosome:SL3.0:4:8988433:8989864:1 gene:Solyc04g017965.1 transcript:Solyc04g017965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVTFFLDFMQDSLCQSLPNERTEELKMFKITLERIMIFLQLNKHDINLCHKEKLRVTSSGSHPYKSDGSHDDLCQVWNGFEIFGIDRLSSGSKLDDH >Solyc07g045250.1.1.1 pep chromosome:SL3.0:7:58503575:58503787:-1 gene:Solyc07g045250.1 transcript:Solyc07g045250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEEDDSELGIQNLEINGNKRRRNTRVKEKHDSVKEENGNGTTDESNTASRHRRKNKPRRAAEAGVKCN >Solyc02g092420.3.1 pep chromosome:SL3.0:2:54121891:54125761:-1 gene:Solyc02g092420.3 transcript:Solyc02g092420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIRNWADLGIVDTIYEDDAEDSSTTSLSISPRISPSPSPPRSKIVSWSQVTGNETDVVIHVESSRFRLHKDPLAARSGFLKRKLKGLSELTLSPPLKITAETFSLIAEFCYDSHIVVTPFNVAALNTAAELLEMTEANNIAGGENLAQKTEAYFRGVIAVNREYASIVLRSCVSLLPECETTSSLLSRCVEALSLVDNGDSIMKCLSEVKELLPVEFQLIVKSMNRWLSGSHDQLYRVVDLYLKEYKGKITDEEKVIMCNYIDCSILSPQLLMHAVQNARMPLRFVVQAMFIEQLSTRRSILTTTAADNHNHHIDVLHSKNDVSLGAILERDAALRQVSQLKAAMNATSSRIQSLEQELSGMKKLLNESDQNAKNDLSHNSARSASFRLSSENKIDRGQIGSVSSASFRILTARDRAVMGSSNSSEVSYEENTKVEKINFSRRFMNGLKNAFRVPKKKTETKVENVKEAENGKQQHGEVVVIEKDVPFRRQPRSLD >Solyc10g055650.2.1 pep chromosome:SL3.0:10:57098138:57103492:1 gene:Solyc10g055650.2 transcript:Solyc10g055650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLMNYLRACFQPRANRHVHTGSDASGRQDGLLWYKDTGQHFNGDFSMAVVQANNLLEDQSQVESGCLGLNDSGPYGTFVGIYDGHGGPETSRFINDNLFQHLKRCTSDQQTMSVEVIRKAFQATEEGFLSIVTRRWPTQPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRLVKATGEIIAIQLSAEHNASIESVRQEMQSLHPDDSQIVVLKHNVWRVKGIIQITRSIGDVYLKKAEFNREPLYAKFRLRESFQRPILSADPAILVHPVQPQDQFIIFASDGLWEHLSNQDAVDIVQNHPRNGIAKRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDISVVVIFLDSDFASRASSGKVPNVSVKGGGISLPRNTLAPT >Solyc07g007290.1.1 pep chromosome:SL3.0:7:2019076:2022742:1 gene:Solyc07g007290.1 transcript:Solyc07g007290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CBJ9] MEEKRVGIIGGGISGLLACKYTLEKGLIPLVFEASNAIGGVWTQTIESTRLQSPKRTFEFTDFPWPKSVRGRYPHNKEVLKYVEDYAKHFGLMRYIQLNTKVIGIDYVGVCGDEMDSWEFWSGNGNAFGSKGKWQILVQHEDFTTQEYEVEFLILCIGRYSGLANMPEFPLGEGPDIFAGKVIHSMDFSAMDNESARELIKGKRVAVIGSQKSAIDIAAECANVNGHEFPCTLVQRTIPWALPSGCFWWGVTLGNLYGSRFSELLVHKPGQNIIYSVVASLLAPLRWGFSKFVESYITWTLPLKKYNMVPKQSFLQDMSSCKSFLLPDNFYGKVEEGSIVLKKIQHFSFIKQGLILDGEVDKPIKADLVIFATGYKGQEKLKNMFSSKKFQNHIVGSPNSVIPLYRHIIHPRIPNLAIIGYSGSITNLHTSEMRCQWLAHFLDGTFKLPSIKEMENDIQEWEEYMKTYAGKEYKRTCIAALHIWYNDQLCKDIGCKNKRKKTFYEEWFQPYLQSDYVRLSPNN >Solyc06g068930.2.1 pep chromosome:SL3.0:6:42875169:42876719:1 gene:Solyc06g068930.2 transcript:Solyc06g068930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWSYSNKAHPQYHLSLKDQENIIINNNNNNKPKIGFESLASAGLVTITTTTELFDTIHRPYTTQFGAHHVPTRNGVVGTTELRGTPRPSPGPAQLTMFYAGSVCVYDNISPEKAQAIMLLAGNTPISTTIRNSPSLDHHHHHHHNNNNNNNNNSTNETTIIRSIGVLKSHELSKIVTSQESRQPPNHNLSAVPQARKASLARFLERRKERVVSASPYGNGKQSSQHMMNFTINSSGSSTSLPAAN >Solyc05g009050.3.1 pep chromosome:SL3.0:5:3204522:3211993:-1 gene:Solyc05g009050.3 transcript:Solyc05g009050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEKRSILIALLNLCVFCFILQIDKCCAVVDPQFVVCNKPVNCRYGPRISFPFYIEDVQESYCGYPGFGLNCSEQGFPVVRITENEYIVEDIRYQDDTFQLKNSIFNSSVKNGCVSDIKNVSLDDLPFEFVKESGIHLLSKCNGSISEDLLKHRVGFGCGGENVNDWFLAMFDDDEGFESALQACKDHVIIPVELLGNEGSNRVIDYQVLLRRGFRLSWISSDCSECTESGGRCGFDVINYQFKCFCTDRPHASSCQPTKAKRNLGLILGTVFSCIGVVILLFCLRKKIFWHRNLRFWESIAEDHRNIEAFLKNSGSYAPKRYSYTDIKGITSYFKNKLGQGGFGNVYRGSLPNGSQVAVKVLNELKGNSEDFINEVASISRTSHVNIVSLVGFCFECHKRALIYEFMPNGSLEKFIYEERSDGVRQLGWPLLYEISLGIARGLEYLHRGCNTRILHFDIKPHNILDFCPKISDFGLAKLCIKKESIVSMLGPRGTIGYIAPEIVCRNLGGVSHKSDVYSYGMMVLEMVGGRKNVDVGVDRTSEVYFPHWIYRRIELDEEFQLMGIMNEEENECARKMVIASLWCIQTDPANRPSMSKVVEMLEGKLDSLQMPPKPYLYSPSRSEKKNDHFAGYNMYTGCEGFSIYYNLSRHDDEYIAADNLPTNCSLIRLPIQATHGDLFDMLGPAILVEWKLSEECNKCHYGGDVIKSIGIANVSTVTAGTSKRGYLRIIWFMVATGASLFTVGLLILLFCFRKKILWYKYLRCWESNAEDHQKVEEFLKNYGSYAPNRYNYTDIKRITGRFRNKLGHGGFGNVYRGSLRDGSEVAVKVLNELKGSGEDFVNEVASISRTSHVNIVSLVGFCFEGQKRALVYEFMPNGSLEKFIYEKRSDNVRQLSWPILYKIALGIARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKLCIKKESVVSMLGPRGTIGYIAPEIVCRNLGGVSHKSDVYSYGMMVLEMVGGRKNVDVGVDRTSEIYFPHWLYQRLELEEELQLIGIMNEEENECARKMVIASLWCIQTDPSNRPSMSKVVEMLEGKLDSLQMPPKPYLFSPSRSEVNSLVVELA >Solyc04g054730.3.1 pep chromosome:SL3.0:4:53038301:53043580:-1 gene:Solyc04g054730.3 transcript:Solyc04g054730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 2.1-like protein [Source:UniProtKB/TrEMBL;Acc:K4BSM1] MAVTREGETESSQFTPITDPSNTKEFSGTLQSFADSEKVQLSVFSQTLQTPVASDRRRDWVLNVPEPPGLLSNLKTSFKKTLLCPLENKIQCLGKHPVSALVSILNVIFPPLSWCKEYNVTKFRRDILAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAMMGSSRDIAIGPVAVVSLLLSSMVPKLEDPTTNPIAYRKLVLTVTFFAGVFQAAFGLLRLGFLVDFLSHAAIVGFMAGAACVIGLQQLKSLLGISNFTNNTDIISVLASICKSINTLNLHSFIIGSSFLIFILSTKYYLARKYKKLFWLAAMAPLLSVIMSTLLVFLTRADKHGVKIVKHITGGLNPSSLHELQFNSPHTAEAAKIGLIVALVALTEAIAVGRSFATMKGYRLDGNKEMLAMGVMNITGSLTSCYVATGSFSRTAVNFSAGCETAVSNIVMAITVLISLEFCTRLLYFTPVAILASIILSALPGLINISEAKYIWKIDKMDFLACAAAFLGVLFVSVEIGLLLAVGISFAKIILNSIQPGTEKLGRLPGSDLFGDMEQYPIATTTPGALIVRVKSALLCFANANFIRGRILNLALEEQDTGAIESANHNRVQLVILDMSNLLNIDTSSIAALEDLQNDLESNGMQLALANPRWHVIHKLRLANFLKKIEGRIFLTIGEAIEACLTSKNMLPI >Solyc03g034201.1.1 pep chromosome:SL3.0:3:5922059:5923197:-1 gene:Solyc03g034201.1 transcript:Solyc03g034201.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKIKGENPSPPLSTAAQPLPCPSFPPPLLFPLPSPSPPLPLFSPSPFSPRSDQQQSVAGSWNLRQQLQTEEVYIKTHSGNYLRRRQHHQRSQQKLRDPIKTTPAVTDYNHNSGQIQQPRCCSDKAIHITPKLKIPASSTSLPSLSLNRHILVGVSAEARRSCPKE >Solyc06g007165.1.1 pep chromosome:SL3.0:6:1218189:1221683:-1 gene:Solyc06g007165.1 transcript:Solyc06g007165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFKNLIKISKTITNQSSSYKSITPLASPLLTQFLQFTKHYSTNHHVVGLEATKSDQKPRIVVLGSGWAGCRLMKDIDTNIYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAVSTQPTSYFFLANCNAIDFDNHMIQCQTVTEGVETLEPWNFNVSYDKLVIASGAHALTFGIKGVNEHATFLREVHHAQEIRRKLLLNLMLSDVPGVSEEEKRRLLHCVVVGGGPTGVEFSGELSDFILKDVHQRYAHVKDYIHANEILSSFDDRLRVYATKQLTKSGVRLVRGLVQDVQPEKIILSDGTNVPYGLLVWSTGVGPSPFVNSLDIPKAKGR >Solyc03g013630.3.1 pep chromosome:SL3.0:3:45185813:45192595:-1 gene:Solyc03g013630.3 transcript:Solyc03g013630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSITISSSNNNGGFGELMKIKLAPRHFNYRRLFHTILLLAFLLPFIFILAALVTLEGVNKCSSFDCLGRRLGPKLLGRTDDSKQKLVKDFVKILNQVNSEELPSVMKLPESYAHLVSEMKNYKYSAKEFALMLKGMMERSEREIRESKFAELMNKHFAASAVPKGIHCLSLRLTDEYSSNAHARRQLPSPELLPLLSENLLHHFVLSTDNILAASVVVNSAVQSFLRPEKTVFHVITDKKTYAGMHSWFALNPVSPAIVEVRGIHQFDWLTRENIPVLEAVEGHYGIRKYYHGNHVTGANLSDTTTPRSFASKLQARSPKYISLLNHIRIYLPELFPNLHKVVFLDDDVVIQRDLSPLWDIDLNGKVNGAVQTCKGEDEWVMSKRFRNYFNFSHPLIAKSLNPDECAWAYGMNIFDLHTWRKTNIRDTYHSWLKENLKSKLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKSNIDKVKNAAVIHYNGQSKPWLELGFEHLRPYWTKYVDYSNDFIKNCHILE >Solyc03g112200.1.1.1 pep chromosome:SL3.0:3:64170634:64171389:-1 gene:Solyc03g112200.1 transcript:Solyc03g112200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWRPHCPSFEQPYSDRAVEDFIARATRMPVVESSVHGYRNGHTMIKEERVIWEEQHNMHGNRYNYSFPRGIHQGYRAPEAQKKVHFVERDKTTETTEKKFHNEDDSFIQRNNKSNMYGSPSKYNFPSGYHYRSPPPEAQKKAHFVERDRTTEATEKKFDNEDDSFIQRNNKSNMYGNPSKYNFPSAYHHRSPPTEAQKKAHFVEPDKTTEVGRKEKHKVSEEKVDNEADNFIKRKHKNFELAKMDTFKVY >Solyc02g083630.3.1 pep chromosome:SL3.0:2:47523687:47527124:1 gene:Solyc02g083630.3 transcript:Solyc02g083630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVDEKYREEINKARIELGNLVKSKEFSAPDFLRLAYASQFTIANSHHNDDAANATSTHDATKKKTQSANNNSLNTIDKIKANHPIITYPDLYQLAGIVAVKELGGIDIEFVPGRTESLILPDENCLPDVKQSPEELRNVFRKVKISDPKHIVALYGGLKLATAGAQATNLKLDNSYFVDLLDKKKNLSPAEKALLDDPEFHHCVQCYATHKEDFFEDYEEAHKKLADLGLPYSAFIKSTLEKSASQVKKVLAAQKAVGVGVAVAAAVVILSFFYVINRRTAKHSSYQYQ >Solyc02g092810.3.1 pep chromosome:SL3.0:2:54411401:54414621:-1 gene:Solyc02g092810.3 transcript:Solyc02g092810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEDQDQRQAALEYILNVIVYSSVILVLLMVAALLIKLLGVCDGEIARNDETVRRETDRLISKASASLTYGTCDEDDLESGNCSRSSSSEDLYDENICIVCYDNKRNCFFIPCGHCATCLSCAERITEEENKNCPICRRVIRRVRRVLLA >Solyc10g082060.1.1.1 pep chromosome:SL3.0:10:63074429:63075187:-1 gene:Solyc10g082060.1 transcript:Solyc10g082060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSSLFKSKKKSSFSPFLCPLPHCGIPKTLSLRVENNDNIFNSQRLYNNVDDDMVDKMVEGLKIEKDRFFFEAGEKTSSIMKVSSSILAKSNNELEILPIDESCVITPIDSMDIPCGEGAISIRDQVSSSTLSNNTNNSGKQVEYLPFNDSCIIKLSSSMDPYGSFKKSMVKMVEANLGIKDWNEFLEEMLAWYLEVNEKNNHKYIIGAFCDLWISYSFTSSTTNIPNSFLFSSSEPKSVISPTSTSFVIS >Solyc01g087570.2.1.1 pep chromosome:SL3.0:1:82396948:82397495:-1 gene:Solyc01g087570.2 transcript:Solyc01g087570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYVELLDTGVRMVARFNSHCPQTSRMYYHPPARHDEDHHQIGVSGGDGGKNHQIGVSGGENVSGVRFGTVVAKMGTDSNDFILFTLV >Solyc09g063140.3.1 pep chromosome:SL3.0:9:61417968:61423630:-1 gene:Solyc09g063140.3 transcript:Solyc09g063140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALFSYITVNSPNFHLTAKLSEFSRQLKYGGKYYSGFTDISSSRRKPKSLSVVCNNSSPSSSDISSTAKIRSEVLSPFRSVRMFFYVAFIASAGLGGLIASTRLIAALANSSRGAEVPEILKGLGIDLGAVSIFAFLYYRENKAKNAQVAKLAREENLSNLKLRVDDKKIVPVSAFRGIARLVILAGPSAFISECFKLSAPFTEGLLERGVLVVPFATDGISPSFEFEENDELEEKISRRKRLWQLAPIYATEWTKWIDEQKKLAGVSPESPVYLSLRLDGRVRGSGVGCPSWNAFVLQLPPVKGIWSGLLDGMDGRVL >Solyc01g060210.3.1 pep chromosome:SL3.0:1:69736510:69737200:-1 gene:Solyc01g060210.3 transcript:Solyc01g060210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHRLWNYKGHIGYAIVEFKGDWSGFANAIEFEKAFELDNHGKRDWNSGRGRDRKMYAWIARDEDYNAGSLIGTHLRKYGDLKPVYEIQEESNRKHSVLLHTLTNELDMKKNISRMEMMWAKTFNQLNDFIREHEKSKIQLEAQKQQFMQ >Solyc02g069515.1.1 pep chromosome:SL3.0:2:39958085:39963107:1 gene:Solyc02g069515.1 transcript:Solyc02g069515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDFPLGFNRIGPFHLRTKVPTDFSSTAISYGPLWRRKLDNDPQHPNSQVTRHFSFLLVENLADTIENGNRDQHSDALVTELKNQFEKCQQLLTSISGSISSRSMTVEGQKRKKAECEQMLNQRRDLISRYKGSVEEIINSEL >Solyc02g070210.3.1 pep chromosome:SL3.0:2:40542869:40544762:-1 gene:Solyc02g070210.3 transcript:Solyc02g070210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEVQCEATQVAEVVVPQEELVAANKVVEEVEVNEKVKEDEEEESKPNTIEKSSSYREESNFLSDLKENEKKALNELKSIVEEAIVGNTLFKKEETNKSLEEEGKNEENPDANIEEKEGDLDVVEVDREISIWGVPILPSKGDEKTNVVLLKFLRARDYKVNESFEMLKKTLQWRKDFNIQSILEEDLGSDLAPAAYMSGVDNQGHPICYNIFGVLEDEEIYNKTFGTEEKRNQFLRWRVQLMEKGIQQLDFKAGGVSSLLQINDLKNSPGPSKKEVRVATKQAVDLLQDNYPEFVAKNIFINVPFWYYAVHSLLSPFLTQRTKSKFVFARPAKVTETLLKYIPIHEIPIQYGGLKRENDFEFSASDCEASEILLKAGSIETIEIPAVDVESTFIWDVTIVGGEVSYKEEFVPEDETSYTIIIQKDKKVSSTIRNTFKNTEAGKVVLTIKNTSSKKKKAFYRHKITKSTI >Solyc09g008950.1.1 pep chromosome:SL3.0:9:2338001:2340143:-1 gene:Solyc09g008950.1 transcript:Solyc09g008950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKIPNLKLKNVISHNDNYSDDESKNKISGKLELYLPLEKLYLGPKKKLIVLNLGGLLVHRVHKRDWFSVRTYKPDLVCGNFKVFKRPFCEPFLKFCLERFEVGIWSSAMERNMDAILDNIMVGLRRKLLFVWDQEKCIDSGFKCLEKKEKPIFLKQMKKIWENKYNILPFRGGKFSESNTIMIDDEPHTALINPPNTAIFPHVFKVGNGKDTFLGPEGDLQKFLDGLVDANDVPTYVKEHSLIGQPAITTFHPDWNYYAKIIVRFVGIKKEVS >Solyc03g116390.3.1 pep chromosome:SL3.0:3:67342006:67342705:-1 gene:Solyc03g116390.3 transcript:Solyc03g116390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis abundant protein [Source:UniProtKB/TrEMBL;Acc:E1AZA3] MSHEQSYKAGETKGQTQVKVGQTLESMKDKAQAAKDKASDTAHSAKGTAHDKTSGAAQATHDKTSGAAQATKDKAAGAAQATKDKTSGAAQATKEKASGAAQATKEKASEMMESAKETAQAGEEKAGGILQQTGQQVRSIAQGAADAVKHTFGMADTDEDPDVTKDKTLNH >Solyc06g071340.1.1 pep chromosome:SL3.0:6:44049616:44050451:1 gene:Solyc06g071340.1 transcript:Solyc06g071340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTEMMEWRIIGQLLDGVCNHAFHLHCMLK >Solyc11g017470.2.1 pep chromosome:SL3.0:11:8425209:8426742:-1 gene:Solyc11g017470.2 transcript:Solyc11g017470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC4 domain protein [Source:UniProtKB/TrEMBL;Acc:K4D6Q0] MVELQFPAGFRFHPTDEELVMHYLCRKCDAQPIAVPIIAEIDLYKYNPWDLPDLALYGEKEWYFFSPRDRKYPNGSRPNRAAGSGYWKATGADKPIGRPKSMGIKKALVFYAGKAPKGEKTNWIMHEYRLAHVDRSARNKNNSLRLDDWVLCRIYNKKGTIEKNQLNIRKMNGEMSPAVSEGDVKPEIVPISVSTNPSSTSYHVYNDFTYFNSPDSLTKLHADSSCSEHVPSPEFTYEKEVQSEPKPKPSEWEKTALDFPFNYTDATASELQSCYEMSPLQDIFMYLQKPF >Solyc02g030630.1.1 pep chromosome:SL3.0:2:27037594:27038686:1 gene:Solyc02g030630.1 transcript:Solyc02g030630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANHQDKGKRIVEDVSVDLTLGLPMDTQMEYWRQRNYLPPMETEMAWRHRMETEIECRRRMETEMEWRQISGPFSVTTAASMPPMETQTEWGEMSDPFSVNRTASLSPMETGMDWKERRGLQTQTRGDTQENRWDKLKNVIVVEENEGNGTSSLPSPGGSGSVGSFGSTGTSSETQPHLPNQIDGTFIEGASGSSNEIPPVSGTLEQMQHLVLAAIEATNEQSLDFSGKEGIRNFLIKMPGASTKGDGPNGKKTEGFLYAYKRGGEVKIVCICHGYFLTPAEFIKHAGGGDVENPLRLIKVDPN >Solyc08g083175.1.1.1 pep chromosome:SL3.0:8:65841019:65841414:1 gene:Solyc08g083175.1 transcript:Solyc08g083175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPSHSISRTTYATTQSSQTTSICADTTSVPRPAQNMVQVGTERGLGRKKANARGTPFVTERDSSSSELPPLSGHKRPYSSASFAAATGENRRPATGFGVYSNPTTGAQVLNVCSLNMFTYFSVYFIAIL >Solyc08g048250.3.1 pep chromosome:SL3.0:8:14505951:14513295:1 gene:Solyc08g048250.3 transcript:Solyc08g048250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNMESVTNVMEYEILAKKRLPKMIYDYYASGAEDQWTLQENRNAFSKILFRPRILVDVSNIDTTTSVLGYKISMPIMVAPTAMQKMAHPEGECATARATSAAGTIMTLSSWGTSSVEEVASTGPGIRFFQLYVYKDRNVVMQLVKRAERAGFKAIALTVDTPRLGRREADIKNRFVLPPNLSLKNFEGLDLGKIDKTDDSGLASYVAGQVDQSLSWKTSSFFWSIAFNDFPSLTCLARLAVESGAAGIIVSNHGARQLDYAPATVMALEEVVKAVQGRLPVFLDGGIRRGTDVFKALALGASGVFIGRPVVFSLAVDGEAGVRKVLQMLHDEFELTMALSGCLSIKEISRSHITTPWDPLRITPRL >Solyc12g032937.1.1 pep chromosome:SL3.0:12:37293562:37343163:1 gene:Solyc12g032937.1 transcript:Solyc12g032937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMVISRNDYINHLKFIEGNDIDDKCKHMTICGGSFMRKSFVESMKLLNEVSKNNRAWYTRDVEVGDLGYTFKLLSVEWKREEERDQHMAQMQTQIYLRTKHLVSKSKKVNVI >Solyc09g009190.3.1 pep chromosome:SL3.0:9:2539842:2557593:1 gene:Solyc09g009190.3 transcript:Solyc09g009190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTLSGVRFPTVPSVYKSNGFTSSNGDRRNANVSVFLKKHSLSRKILAEKSSYDSESRPSTVAASGKVLVPGTQSDSSSSSTDQFEFTETAPENSPASTDVDSSTMEQASQIKTENGDVEPSRSTEELEFASSLQLQEGGKVEESKTLDTSEETISDESNRIREKGIPPPGLGQKIYEIDPLLTNYRQHLDYRYSQYKKMREAIDKYEGGLEAFSRGYEKMGFTRSDTGITYREWAPGAQSAALIGDFNNWDANADIMTRNEFGVWEIFLPNNVDGSPAIPHGSRVKIRMDTPSGVKDSIPAWINYSLQLPDEIPYNGIYYDPPEEERYIFQHPRPKKPKSLRIYESHIGMSSPEPKINTYVNFRDEVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNFFAPSSRFGTPDDLKSLIDKAHELGIVVLMDIVHSHASNNTLDGLNMFDGTDSCYFHSGARGYHWMWDSRLFNYGNWEVLRYLLSNARWWLDEFKFDGFRFDGVTSMMYTHHGLSVGFTGNYEEYFGLATDVDAVVYLMLVNDLIHGLFPDAITIGEDVSGMPAFCIPVQDGGVGFDYRLHMAIADKWIELLKKRDEDWRVGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLIDRGIALHKMIRLVTMGLGGEGYLNFMGNEFGHPEWIDFPRAEQHLPDGSVIPGNQFSYDKCRRRFDLGDADYLRYHGLQEFDRAMQYLEDKYEFMTSEHQFISRKDEGDRMIVFERGNLVFVFNFHWTNSYSDYRIGCLKPGKYKVVLDSDDPPFGGFGRIDHNAEYFTFVS >Solyc12g006870.2.1 pep chromosome:SL3.0:12:1312870:1323140:1 gene:Solyc12g006870.2 transcript:Solyc12g006870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTGASVGSVAGTARRAFEFGQTYVVKPKGKHQATIVWLHGLGDNGSSWSNLLETLPLPNIKWICPTAPQRPITLFGGFPSTAWFDVNDLSENAIDDNEGLDASAAYVASLLATEPSHIKLGVGGFSMGAATSLYSATCFVRGKYENGNSYPVNLSAAVGLSGWLPCAKTLTSKLEGVEGAVNRATSLPILLCHGKGDDVVPYNYGEKSSQKLRSCGFQDVAFKSYTALGHYTIPEEMDEVCGWLTSKLGLEGKS >Solyc07g008350.3.1 pep chromosome:SL3.0:7:3129585:3139698:1 gene:Solyc07g008350.3 transcript:Solyc07g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSPAPFSEIGRRARDLLTKDYSYDQKFTFSIPSSSGMGITATGVKKDQIFIGDISTQYKLGSTVVDIKVDTYSNVSTKVTLVDVFRSTKLSLGFNIPDHKSGKLDVQYLHQHAAINSSIGLNPSPLLELSVAIGNKDLALGGEIGFNTASSSFTKCNAGLSFNKPDFSAALMLTDRGQAVKASYVHLVDPTNGTEVGAEMIHRLSTYENSFSIGSAHKVDSLTSLKTRFSDNGKVAMLYQREWRPKSLVTFSAEYDTKAKSSVPKLGLALALKP >Solyc08g068640.3.1 pep chromosome:SL3.0:8:57803811:57840311:-1 gene:Solyc08g068640.3 transcript:Solyc08g068640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPGLKNDGPSLDTILVNYLDTLTQRVNYHLGYPVNICYDHYATLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLNWFAKLWEIEKDQYWGYVTNGGTEGNLHGILLGRELLPDGILYVSKDSHYSVFKAARMYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDVNIILETLKECGYSQDRFYIHCDAALCGLMTPFINNMISFKKSIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTPIFLWYSLSAKGQVGLQKDVKRCLDNAKYLKDRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVKDMAHVIVMPGITREMLDNFLSELVQQRKAWYQNGKTNPPCVGEDIPALNNDGPSLDTILVNYLDTLTRRVNYHLGYPVNICYDHYASLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVTVLDWFAQLWEIEKDQYWGYVTNGGTEGNLHGILLGRELLPDGILYASKDSHYSVFKAARMYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDVDTILEILKECGYSQDRFYIHCDAALCGLMTPFINNMISFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTPIFLWYSLSAKGQLGLQKDVKRCLDNAKYLKDRLQKEGISVMLNELSIIVVLERPRDHEFVRRWQLSCVKDMAHVIVMPGITREMLDNFMSELVQQRKVWYQNGKTYPPCVGEDIVMEPALDNDGPSLDTILVNYLDTLTQRVNYHLGYPVNICYDHYASLAPLLQFHLNNCDFEVAVLDWFAQLWGIEKDQYWGYVTNGGTEGNLHGILLGRELLPEGILYASKDSHYSVFKAARMYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGIYLYSNLLSFFIFLLCDQISHLMSFPLMVLLIIGTTFKGAIDDVDVILETLKECGYSQDRFYIHCDAALCGLMTPFINNVSFNCFLLLITISLMISFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTLIFLWYSLSAKGQVGLQKDVKRCLDNAKYLKDRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVKDMAHVIVMPGITREMLDNFMSELVQQRKVWYQNGKTNPPCVGEDIVMEPSLNNNGPSLDTILVNYLDTLTQRVNYHLGYPVNICYDHYASLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLDWFAKLWEIEKDQYWGYVTNGGTEGNLHGILLGRELLPEGILYASKDSHYSVFKAARMYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDLDVILETLKECGYSQDRFYIHCDAALCGLMTPFINNMISFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTPIFLWYSLSAKGQVGLQKDVKRCLDNAKYLKNRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVKDMAHVIVMPGITREMLDNFVSELVQQRKQWYRDGKAEAPCVGEDIGAQNCACSYHKIDYISP >Solyc02g021520.1.1.1 pep chromosome:SL3.0:2:23626811:23627089:-1 gene:Solyc02g021520.1 transcript:Solyc02g021520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRLDEGVWTEADRLDEGVRTESTTLIFKGGQAEKNDGQLRGRWTDEIKGGRTEKNGGQLRGRRTDGIKGGRTEKNGGQLRGRRTNGIKIF >Solyc09g007250.3.1 pep chromosome:SL3.0:9:848634:851301:1 gene:Solyc09g007250.3 transcript:Solyc09g007250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAIPTTTVQSLENDMATDSAAVPLPAVMKAPIRPDVVTYVHSNISKNARQPYAVSRKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAFGNMCRGGRMFAPTQTWRRWHRKIPVNQKRYAVASAIAASSVPSLVLARGHRIESVPELPLVVSDSIEGIEKTSVAIKALKQIGAYPDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEICHVDRLNLLKLAPGGHLGRFIIWTKCAYEKLDAIYGTFDKPSLEKKGYLLPRPKMVNADLARIINSDEVQSVVRPIKKDVNKRATLKKNPLKNLNVLLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRHQITKEEASAIKGASHSWYKTMISDSDYAEFDNFTKWLGVSQ >Solyc12g087870.2.1 pep chromosome:SL3.0:12:64352291:64357634:1 gene:Solyc12g087870.2 transcript:Solyc12g087870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEANFLSINMKRFLLIINCLLLSVGVCGGPLMMRLYFVEGGLRIWFNSWLQTGGWPLTIIPLVILYFYRRKIEGSDTKLYYTTPRIFIASFVIGIFTGFDAYLYSWGGSKLPVSTSSLLIAAQLAFTAIGAYFIVKIKFTSYSINAVVLLTLGAVLLGIRSNGDRPEGVTSKEYVIGFIMTLLAAALYGLILPCIELMYLKAKQAITATLVLEIQMVMCFASTAFCTIGMIANKDFQAISRETKKFNLGEARYYIVVIWSAIIWQCFFVGAVGVIYLSSSLMSGVLIAVLLPITEILGVIFFDEKFSRSKLPVSTSSLLIAAQLAFTAIGAYFIVKIKFTSYSINAVVLLTVGAILLGIRLNGDRPEGVTTKEYVVGFIVTLLAAALYGLILPCIELMYLKAKQAITATLVLEIQMVMCFASTAFCTIGMIANKDFQAISREAKNFNLGEARYYLVVIWSAIIWQCFFVGAVGVIYLSSSLMSGVLIAVLLPITQILAIVFFKEKFSGEKGLSLFLSLWGFVSYFYGEFKQAKKVEKMKIQENEMTSTTQIEPV >Solyc03g058213.1.1 pep chromosome:SL3.0:3:25651923:25653056:-1 gene:Solyc03g058213.1 transcript:Solyc03g058213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEGIERVNITQRRNSEQTIYYNPKRTGFCKQDRDTLQALEPAWITSPQVEAGIFPDKLVTLRPKKTCILYEIGVVIKNIARRAILVVASKMPIRTQFIIS >Solyc02g079280.3.1 pep chromosome:SL3.0:2:44444898:44446421:1 gene:Solyc02g079280.3 transcript:Solyc02g079280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNGLKKGPWTPEEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILNHSIYNNSSHHQMNLSRLLGHVQPLVNPELLRLATSLISSQRQNTNNFLIPNNLQENQIICQNQLPQMVQNNQIQDFSTISTTPCVPFSSHEAQLMQPPITTKIEDFSSDLENFGNSQNNCQVINDDEWQLSNGVTDDYFPLQNYGYYDPLTSDENNNNFNLQSVVLSNLSTPSSSPTPLNSNSTYFNNSSSTTTEDERDSYCSNMLNFDNIPNIWDTTNEFM >Solyc08g008630.3.1 pep chromosome:SL3.0:8:3016713:3018619:1 gene:Solyc08g008630.3 transcript:Solyc08g008630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLSIPYSFPSFNNYISQRRSQSQSQRRYVKIGIRCGIAEPSGEPAPLGQKTKYNDGLFEKAFMTLFARKMENFGANKKESDQKKKGFFDYDYDSFVDVSRKVMQGRSRLQQQQVVRQVLMSMLPPGAPAQFRKLFPPTQWAAEFNAAITVPFFFWLVGPSEVFREQWMCRNVCEYVQNTNTRFLHKRIRTSLNNESKQVFFSFVVN >Solyc06g005310.3.1 pep chromosome:SL3.0:6:330897:332473:-1 gene:Solyc06g005310.3 transcript:Solyc06g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLTSLLFQGHQDNYSKYKYHPCRQHFPPTNYKVTREKRAKEKKSKRMAQEEMRRGPWMEQEDLQLAFYVNLFGDRRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLKRGKMTPQEERLILELHSKWGNRWSRIAMKLPGRTDNEIKNYWRTHMRKKAHDERKNNASSFSPSSSFSNSSSFSSNSPDVDFTPIKKNNERNFYDTGGLDKKNDGDKMKVYSMDEIWKDIELSQISETMDNIKPIMPSPIWNYCPNTLWMSNEEETKMFPQFYCLDNQDNTFFAG >Solyc12g049120.2.1 pep chromosome:SL3.0:12:61495714:61497601:1 gene:Solyc12g049120.2 transcript:Solyc12g049120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLDQLLPLHNNLLQLQIAMILQFRKQATLGCIGAILVGGILPVYAFLMGALISAPYSTSHDEIKKKTKMYTLAFLGMAFITLFLNVLQHYNFAVMGERLIKRVRERMLSKMLTFEVGWYEKEQNSTAATCSRLIDDASVVRSSVGDRISLFIQTIARMTIACTVGLVIAWRMGLVMIAVQAVIILSINCRKVLLEKPIKSQEESSKLAVEAVTNLQTITAFNSQSRILQMLKEAQEGPLRENLRQSWLWGIVFGTTISIQSCTWALFFWFSGYFTVEGYIGAQALFQILVLLLCNLGVIAELGTMTKDLATYTDVVSSDSDGYKPKKITGHIEMCEVDFAYPTRPNVIIFKGFSITIDAGKSTALVGQSGPGKSTIIGLIERFYEPLSGVVKIDGRDIRSYHLKSLRKHIALVSQEPILFRGTIRENIAYGMLASKEVDE >Solyc09g072940.2.1 pep chromosome:SL3.0:9:66042263:66045117:-1 gene:Solyc09g072940.2 transcript:Solyc09g072940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESTERLEWKVEKRDEELITEFSSSTEVEEQVPNNYMTIRTETADVLPECVIRKILCFLSFKEAAKLSILSKTWLRAWLTHPNLDVIVDYNGNNLDIVDNIMKRYRDRIIPIEKFELSYFDSSSQGFPLIDKWFDIALQNGVKDIAFNVPKYSSYRLPIFKILATKSLRELVVWGCHLKRASLSTGVVNCNSLRLLSLSYVTLSEKMLQTLLNICPLIVSFVYFKPCLLHMSIFPENHIISANKLTNLWIAEGFVQNIEFGRLEEAAEGYLMDIISSNAVMASK >Solyc08g075540.3.1 pep chromosome:SL3.0:8:59831858:59839262:-1 gene:Solyc08g075540.3 transcript:Solyc08g075540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNAAMKISGLLMRQLRGEFLPRGGMVQIRHWSNMNTSSKTKEEQKTHNQPSHTDATNAAGDKAKKIVSYWGVDPPKISKEDGTPWKWNSFRHHMPTNFMDKFAYWTVQSLKYPTYLFFQRRHMCHAMLLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFIELSNPKWYERALVFAVQGVFVNAYFIAYLASPKLAHRIVGYLEEEAVNSYTEFLIDIEKGLFENSPAPAIAIDYWRLPADATLKDVVTVIRADEAHHRDLNHFASDIQCQGHEMTRVVMGHMGPRYFSTTVLRNNPGTGVVGGVAAGLLHGFPANPSEKVAVTWVRHFSAMGSRSASTAALNDKQQEKESSDKKVENTATATAAVNGGVGKSVVSYWGVPPSKATKPDGTEWKWNCFRPWETYEADMSIDLTKHHAPVTFLDKFAYWTVKILRFPTDVFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEQSGGWIKALLEEAENERMHLMTFMEVAKPNVYERALVFAVQGVFFNAYFAAYLISPKLAHRIVGYLEEEAVHSYTEFLKELDNGNIENVPAPAIAIDYWRLPKDATLRDVVLVVRADEAHHRDVNHYASDIHYQGQQLKDSPAPLGYH >Solyc09g091510.3.1 pep chromosome:SL3.0:9:71250708:71252868:1 gene:Solyc09g091510.3 transcript:Solyc09g091510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEEYRKAQRAEGPATILAIGTSTPSNCVDQSTYPDYYFRITNSEHKTELKEKFKRMCDKSMIKKRYMHLTEEILKENPNMCAYMAPSLDARQDIVVVEVPKLGKEAAQKAIKEWGQPKSKITHLVFCTTSGVDMPGCDYQLAKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSESHLDSLVGQALFGDGAAAIIIGSDPIIGVERPLFELVSAAQTLVPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLLEAFQPLGISDWNSLFWIAHPGGPAILDQVELKLGLKPEKLRATREVLSNYGNMSSACVLFILDEMRKASTKEGLGTTGEGLEWGVLFGFGPGLTVETVVLHSVAA >Solyc04g016177.1.1 pep chromosome:SL3.0:4:6939940:6941265:-1 gene:Solyc04g016177.1 transcript:Solyc04g016177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREVVIVMVPFPIQGHLNQLLQLACLLSSTYDLPVYYVVSATHNQQARFHDIPTHELALPPPDFNALSKISHYGITSFLRDISSKSRRLIVVHDFFMSYSVQDVSSLLNAESYRFNCISAFTLYCSSYLFSGMFVQLGEKLLKKLPSLEGAMPDEVKNFIALQSPYMDIRTGDIHNTSKIIEHGFLDLLAQVESKQQWAINPTLPTKLNHISNRNNICLEWLEKQPRRSILYISFGTSTTFSDGEVMEFKPIRVDIFTGEARKHVFPEGFEERVKGVGLLVREWAPQPDILPHSSTGGFMSHCGWNSCIESITMGVPIAAWPMRSDQPVNSFLVMEILKIGLFVREREKLEELVSASTIENVVRKLMAFEDGDAIRKRAEELGEAVRRSTEKGGASRMELDSLIAHHKIRVAFHQK >Solyc03g120050.3.1 pep chromosome:SL3.0:3:70032138:70035533:-1 gene:Solyc03g120050.3 transcript:Solyc03g120050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLCSCRSNMPERRLQLWNTVHVRYETED >Solyc05g018453.1.1.1 pep chromosome:SL3.0:5:21790585:21791451:-1 gene:Solyc05g018453.1 transcript:Solyc05g018453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase [Source:UniProtKB/TrEMBL;Acc:K4BZA1] MHDKSFTLLNSCQLPAYEAIISSVHNEEGRLFFIHRHGGRSKTFLWNTIISQIRAKSKIVLPVASSGIATLLLPNGRTAHSRFYIPLDVTPESRCDIKQGIQLEDLLKKTCLIIWDEAPMENKYCFEALDKSLRGINLDRYENSCDSPFGGLITVYGGDFRQILPVIPKGTRDHIVDAPLYSSNLWPYFSIYELKENMRLNCGRVMGSEAERFATIDKWLLQIGDGSVYDDKKMELMNLSLDISIAPSHNQVESIVDAVDPSLLQKYNDLTYLKERAILTPKMKWFMI >Solyc07g065320.3.1 pep chromosome:SL3.0:7:67260473:67266251:-1 gene:Solyc07g065320.3 transcript:Solyc07g065320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIANMLKGMSNFQSLRYVGVDESLLNPIFLRLISCSIHVGLLFVILGLWVWKKMKKDDNGNNAENKQSIRNVRFMYYKQTLFCSIGLVIFSFFLCLLTHFYWYTSGWSEEKIVAFLDFASKFLAWLLISVFLNTKLVDSGENKYPFVLRVWWGIFFFVSCYCFVIDLVYGKKIQFWVPDVVFTVMGLFFCVVSLVVRKGSEGSILEEPLLNGSVVNGIESKKSSGDQTVTPYANANIFSLFTFSWMRPLISVGYKKTLDLEDVPQLHSDDSVRGTFPIFREKLESVGGGGGSSNRVTTLMLVKALIYTAWKEIVLSAFFVLLYTSASYVGPYLIDTLVQYLNGKRDFDNEGYILVATFFVAKLVESLAQRHWFFKVQQGGYRARAALVAKIYNKGLTLSCQSKQSHTSGEIINFMTVDAERIGDFGWYMHDPWMVIIQVGLALLILYKNLGLASIAAFVATVLVMLLNIPLGSLQEKFQEKLMESKDKRMKATSEVLRNMRILKLQAWEMKFLSRILDLRSIEAGWLKKYVYTSATTTFVFWVSPTFVSVAAFGAAMLMGIPLESGKILSALATFRILQEPIYNLPDTISMIAQTKVSLDRIASFLSLEDLQPDVIEKLPKGSSDVAVEIVDGNFAWDASSTTPLLKDVNLRVLNGMRVAICGTVGSGKSSLLSSILGEMPKLSGTIKLGGTKAYVAQTPWIQSGKIEENIIFGKEMQRDKYDKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGTHLFTECIMGLLNSKTVLYVTHQVEFLPAADLILVMKDGNISQAGKYNDLLKLGSDFMELVGAHQEALTAIDTVKGEALKKSEESSGMTGDNTNVQDKQTSDGQNGKVDDIVGQKGQIVQEEEREKGSVGFSVYWKYITTAYGGALVPIILLAQTGFQLLQIGSNYWMAWATPVSKNDPSPVGSSTLIIVYVALGIASALCIFARSMLLVTAGYKTASLLFHKMHHCIFRAPMSFFDATPSGRILNRASTDQSAIDLNVPFQVGSFAFTIIQLIGIIAVMSQVAWQIFIVFIPVIAICIWLEQYYIPAARELARLNGTCKAPVIQHFAETISGSSTIRSFDQESRFQDASMRLIDNYSRPKFHTAAAMEWLCMRLDMLSLITFAFALIFLISLPVGTIDPSVAGLAVTYGLNLNVLQAWVVWNLCMMENKIISVERILQYAGLPSEPPLIIESNRPDPNWPSRGEVEFNNLQVRYAPHMPLVLRGLTCTFFGGKKTGIVGRTGSGKSTLIQTLFRIVDPVVGQIKIDGTNISTIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEHSDDQIWEALDKCQLGDEVRKKEGKLYSTVSENGENWSVGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRLHFTDSTVITIAHRITSVLDSDMVLLLEHGLIAEYDTPGKLLENESSLFAKLVAEYSMRSNSSFENASDT >Solyc05g013560.3.1 pep chromosome:SL3.0:5:6840060:6840897:1 gene:Solyc05g013560.3 transcript:Solyc05g013560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAITTKDMKVEINSSTEPENISPPSENVTTGGLARQGSMSKNNCLCSPTTHAGSFRCRLHRAPTMTNPNLQRTKSIESNPNKANPNAYTTS >Solyc01g108380.2.1 pep chromosome:SL3.0:1:95595145:95596294:-1 gene:Solyc01g108380.2 transcript:Solyc01g108380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGCSSACKVTGKSSWPELMGTNVAKAVSVIQTENPSVHVKVLNMSKSIPLPVDCARVIVFVDDTNKVALPPVIC >Solyc06g035943.1.1 pep chromosome:SL3.0:6:25403006:25403833:1 gene:Solyc06g035943.1 transcript:Solyc06g035943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLVTIVSLLLFLSFLVILFSKSKKQINLPPGPWTLPFIGSLHHLIGTGLLHRTLRNLAQRNGGVMYLQRGEISVVIISSPTIAKELLTTHDLAFSDRPPSMSTNIIFYNNKDIAFYPCVIITGNKCSFGAIRKDEISNPVSSIRYMRGSPINITEKIFWFTNSVTCSAALGKMFKDRDEFIKLLKDIFILVSGFNVADLFPFLEWYRIHKKVDEIMEDILKEHLENKGNGDQFGGEDLVDVLLRVMEENLQSQTTI >Solyc08g006251.1.1 pep chromosome:SL3.0:8:932914:933617:1 gene:Solyc08g006251.1 transcript:Solyc08g006251.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVEVCQDLVYAYGWPSQLVRPIWRVKRAPKRAYASFRRFSCAIAYHFLGDPDSDVKNAKFFRGRPSRPCLCIRFAITACTTHLEGQTIPEASIRLISMIFVIRIPTSKMPNFFIDVRQDLVYAYGWPSRLVRPIWRVKQAPKRAYASFRRFSCAIAHHFLGDPDSDVKNAKFFHGRPSRPCLCIRLASTACPTHLEGQTSREASIRLISTIFVCYNTLFFG >Solyc05g025810.3.1 pep chromosome:SL3.0:5:36892175:36895749:-1 gene:Solyc05g025810.3 transcript:Solyc05g025810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMLYFSFLMVILVAIILQLVLFSPISPQILEIPSPSPTFTSNSYLQRVSKVGEGFVDRPEDVAVDKMGVVYTATRDGWIKRMHKNGSWESWKYIGRDTLLGLKVSSAGHIIVCDAQEGLLKVTEDAVTVLASHVNGEKIRLADDVVEASDGSVYFSVASTKFGLHEWFLDVLEAKPHGQLLKYSPSLNQTSVIIDNLAFANGVALSADQDYLVVCESWKFRCQKYWLKDEMKGQTEIFIDNLVGAPDNIKLAPDGSFWIALVQVTAPRLNFIHKSRASKHLLATFPKLMKWVMGAYDKAMVVNVAADGKITKGFDDPNGKVMSFVTSVLEYDDHLYLGSLSCDFIGKLPLTTSTD >Solyc03g115350.2.1.1 pep chromosome:SL3.0:3:66569517:66570023:-1 gene:Solyc03g115350.2 transcript:Solyc03g115350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNDRKACHPGRIITITATNFCPPNYTKTQDIWCNPPQHHFDLSLPLFLQIAEYKAGVVPVVYRRVSCQKKGGLKFEIKGNPNWIIVLVFNVGGVGDVVNVKIKGSKTGWVPMRRNWGQRWDVSEPLLGQSLSFQVQTSDGKWVQSDNVAPRDWQFGQMFEANHNFW >Solyc12g011190.2.1 pep chromosome:SL3.0:12:4033649:4039422:1 gene:Solyc12g011190.2 transcript:Solyc12g011190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQGSAPSSNPNPIQNPNPNPYPKPIMDPKSVSFDDVSKLFSLPLSDAAESLGVCASVLKKICYENGLVRWPYRKVSSGKSIEDIKKEALREKQERSLEFPKAPGEKNGSLASSAISSFSGSPLPNKSISSTMEMPRAGVFSQQQGTRNFQSGSSPHFRISNLTKGTSVYDEFKYGFPSDGLSTATYRWWGNKSPDGNQDSKLNDDNAKNSTEQAENVAEKTECQTSVDSTGTTLLTDVRKRAAKEGKETLRLGVHRRRSAKMLDSTKRKILHQVFKSYFHVHGDMSSMES >Solyc11g071423.1.1 pep chromosome:SL3.0:11:55205023:55207306:1 gene:Solyc11g071423.1 transcript:Solyc11g071423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKLEETIETLEVLEKQIGRLGLKEYFISTKQETRTPSTSLVVDSGIFGRQNEIEDLVGRLLSMDTKGKNLAVVPIVGMGGLGKTTLAKAVYNDERLGFVFLRYDAFRITKGILQEIGSTDLKADHNLNQLQVKVKESLKGKKFLIVLDDVWNDNYNEWDDLRNIFVQGDIGSKIIVTTRKNSVALMMGNEQISMNNLSTEASWSLFKRHAFENMNPMGYPELEEVGKQIAAKCKGLPLALKTLAGMLCSKSEIDEWKRILRSEIWELRDNDILPALMLSYNDLPAHLKRCFCFCAIFPKDYPFRKEQVIHLWIANGLVPVKDEINQDLGNQFFLELSSRSLFERVPNPSEGNIKELFLMHDLVNDLAQLASSKLCIRLEESQGSHMLEKSRHLSYSMVNDGEFEKLTPLCKLEGLRTLLPICISVNYCYHPLSKRVLHNILPTLRFLRVLSFSHYKIEELPNDLFIKLKLLRFLDLSETWIKKLPDSICGLYNLETLLLSSCCSLKELPLHMEKLINLRHLDISNTWRLKMPLHLSRLKSLQVLVGAKFLLGVWRTEDLGEAKNLYGSLSILELENVVDRREAVKAKMREKNHVDKLSLKWSESISADNSHTERDILDELCPHKNIKEVKIIGYRGTNFPDWVADPLFLKLVKLSLRNCKNCYSLPALGQLPCLKFLSVKGMHGIRVVTEEFYGRLSSKKPFNCLEKLEFEDMTEWKQWHALGIGEFPTLENLSIKNCPELSLEIPIQFSSLK >Solyc10g045555.1.1 pep chromosome:SL3.0:10:34542965:34543844:1 gene:Solyc10g045555.1 transcript:Solyc10g045555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKKPKDEIARSPSKRKVGSTVKAIEKNCYKRRKVKNVVSGMIGENAVNEEQDEVNEELKGIRFHVLARPIKPPRMQVYVNRNIVTDLKGKLTSIQFNRFKDTCFGAYKKMHVCGAQSQMFRCFMVCE >Solyc08g080585.1.1 pep chromosome:SL3.0:8:63965721:63966711:1 gene:Solyc08g080585.1 transcript:Solyc08g080585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSIFILFSLFFTYANAATILVRNNCPYTVWAAGVPAGGGKRLDRGQTWTINAPPGTKQARVWGRTGCNFDASGKGKCQTGDCNGLLVCKSFGVPPNTLAEYALNQFANKDFFDISLVDGFNVPMEFSPTSNGCTRGITCKAEINQQCPNELKAPGGCNNPCTVFKTDQYCCNSGNCGPTKFSRFFKERCPDAYSYPKDDQTSTFTCPAAFDEMSMKMHGIESDPSFTLYEKLDKN >Solyc02g005115.1.1.1 pep chromosome:SL3.0:2:6646168:6646717:1 gene:Solyc02g005115.1 transcript:Solyc02g005115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYGKNGHKENGKKLMFSEKGKDTKKRVAEVKQSIVAGMQIDGISRKSMKYFFEERIVESAVGVISISALPSWLPPPTPAVGAILSSNLYQASFRRILLCAQDNKSLITKGRIRSSRYYI >Solyc02g086660.3.1 pep chromosome:SL3.0:2:49943398:49950742:-1 gene:Solyc02g086660.3 transcript:Solyc02g086660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATASSTGPRYAPEDPTLPKPWKGLVDGKTGYLYFWNPETNTTQYEKPVATPHVGAAQHKSSVSVSSSVERPSQSQCSDHGDNRGTNGALSKLSSGEGIQTARANELSRDETSAPKGYSASAAASDISPDAYRRQHEISVSGGDVPPPFTSFEATGFPSEILREVLLCDICSSVELNVVRCATLFLVFLVFGIPLFGGPNFEGLSESSLFMAGTAVGGVSLPHEVFQLLHFQIHQAGFPAPSPIQAQSWPIALQGRDIVAVAKTGSGKTLGFLLPGFILLKQRRSNPQSGPTILVLSPTRELATQIQDEAVKFGRSSKISCTCLYGGAPKGPQLRDLDRGVDIVVATPGRLNDILEMRRVRLDQVSYLVLDEADRMLDMGFEPQIRKIVKEVPTRRQTLMYTATWPKEVRRIAADLLVNPVQVNIGNVDELVANKSITQYIEVLSYMDKQKRLDQILRSQEPGSKIIIFCSTKKMCDQLARNLTHPFGAAAIHGDKSQGERDHVLSQFRTGKSPVLVATDVAARGLDVKDIRVVVNYDFPTGIEDYVHRIGRTGRAGATGEAYTFFVDQDAKHASDLIKVLEGANQQVPTELRDMASRGGGMGRARRQWDSGSGGREGGHGGRYDAGYGGRDGARGGWEAPSSERSGRVYDSDSRDRDRHGHGSDAPASFHGRSFHETMMQGSQRRDRSRSRSPNRGSGWGDRKGRERSRSRSAERSERTRIQVPVGGSFHEAMMGFTRSSAGDSRKDWEKERSQGDGKSGDGPRANNWEE >Solyc04g070987.1.1 pep chromosome:SL3.0:4:57924483:57926177:1 gene:Solyc04g070987.1 transcript:Solyc04g070987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAERNPTPLHSAAKELINAQQENGDYPQQEIMGVFNRNCMISYSAYRNIFPIWALGEYRAHVLKAN >Solyc03g096193.1.1 pep chromosome:SL3.0:3:59553400:59553944:-1 gene:Solyc03g096193.1 transcript:Solyc03g096193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIEKEPQSSRSRFDEDNIDAYLHDYLELSHDNRNNFDAYVNQNTEPTEDILACSRNRDKGFRKLQPMARDILAMQASSVASEGVFSATRHSLAADSLEISVLFRDWINAERRNLDREPLPPNFKMTLMK >Solyc10g074520.2.1 pep chromosome:SL3.0:10:58123912:58126697:-1 gene:Solyc10g074520.2 transcript:Solyc10g074520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKHTAGGTANEEVYKILIYDRFCQYILSPLIHVKDLRKHGVILYFLIDKDRKPVPAVYFVQPTHLNVQHIISDASSSLYDSFYLNFLSSIPRPLLEDLASGSDSIPSLLTFLHDQYLEFATLEDNLFSLAYKNCYLQLNDPSAGDKEIDEIVEKFVSGLFSVLATLVVVPVIRCPGGGPAEMVASLLDQRLRDHLLAKNNLFSEGANFTSSFQRLVLCLFDRNFELSVAIQHDFRYRPLVHDVLGLRLNILNFPEVALEIESQLGKYKKDVEEVNKRTGGSGGTEFDGTDLVGNTKHLMNAVNSQPEWTERKQVIDKHTNIATSLLGEIKERSLDSYAKKESDMLVRGGIDRNELLGVLKGKGSKADKLRFAIIYLISTESMPQSEVETIEAALRESERSSYLLLDPRAPKSSSASSSGHLKGPFKEAIVFMICGGNYVEYGSLQEFAHRQQPVKHIIYGTTKFLTGGEFIEQLGVLGQKMGLGSTIAVPNH >Solyc02g065360.3.1 pep chromosome:SL3.0:2:37118207:37127075:1 gene:Solyc02g065360.3 transcript:Solyc02g065360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKFRRQIGKVSSISYTSQPKFCKIIFSPHELCRLRIPKGFASRYCKNILNPVYLEVPNGEVWEVELEHSEGHIWLAEGWKDFSDYYSIRRGNFFMFGYNARSHFNVTIFDFSAAEIEYPPAEIESDDSIDISDVVDKRKEERDEGTADNYQRAKAFKSKNPFIVSFMHPSYVSKPHALYIPLKFARMYLMRNSGNLVLRVPGKGSWSVKCVMLRKDAKVTCGWKAFVLDNKLKYGDVCVFEVINDTKLSLIDVTIFPGISDDRSVLYAFAKGSPPPTKLEKFRQSVSTSLKTSLNFGEEKCNKQQEETTLPFYLPVSVRKSDSHSRYIWDGKDLKLVSVDGNAFSISDFDSNFEDTVHKLVRICVSAIRNFFLPREVSRNYLEYVKWKFVHRVSSSALQVLATQAMLRAIGIGNSRSLPLAAALNWVLKDGLGRLCRCIYTASLASSFDTNLKRVRFCTSVLFSLSIGVELLTPVFPQYFLMLASIANIAKQISLACYLATSTAVHRSFAIADNLGEVSAKGQIQTVCFDNLGLTLAATLNILSANNPRLNIIISTWIQQGFVPSPEEVSKQEGIGLSWSRGREPWSIRIGCLNPRRCTAKLSVMTMQSLNSEDLYFLSPESLTSELKRNQEYGVLLSLREGAETTDVIRGILHASYVRKGIEACGSSSAVLKQWFNLVEDGKRLTEQNLSFLYEQMLSLGWACKNILLSTQEQARYSFIAD >Solyc01g020570.2.1 pep chromosome:SL3.0:1:31664203:31665826:-1 gene:Solyc01g020570.2 transcript:Solyc01g020570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIKVDVLENVEIREQKRRDDAYKAAKNKTGIYDDKFNDEPGFERKILPKYDDPAEEEVCNEKAIAMKKLMDNNALVRHLSPCETMGSATCIPKDKTGTLTTNRMVVNKIWICEKTKKVETDAGRDAITMNIRESEMTLLLQAIFHNTVAEIVKAKGGKKSILGTPTESSILEYGLLLGGDRSSITNGQACVGYRPPHEELMNRPPVGREVLAVNFGAVYGWKINEHLPCYGFTCVTLSLRYFPRTLANTTLLSWKLWLLSVLIGAASLIVAVILKLIPVEHKNKHHDGYNLLPNGPELV >Solyc02g076790.3.1 pep chromosome:SL3.0:2:42471634:42475190:-1 gene:Solyc02g076790.3 transcript:Solyc02g076790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIAARFCPYLWRRTPINSQPRRFSTSSFGRDEQTIEQEAERKVGWLLKLIFAGTATVIGYQIFPYMGDNLMQQSVSLLQVKDPLFKRMGASRLARFAIDDERRMKIVDIGGAQHLLNMLESARDDRTRKEALKALFAISKSDAAAVVLHQAGAMSIIKSTQESGEDAEVGNYKSNLLSRFQDLSFDIRS >Solyc03g080115.1.1 pep chromosome:SL3.0:3:53446602:53450897:1 gene:Solyc03g080115.1 transcript:Solyc03g080115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESIPPQNTTPQSKFYPSKTNRAIAGVKAETVHNSHKETNGGSFNHGSSSNHDNNFGQYLNKDQYANLVEQVAKDIQVRQGSNSATGFNAGAIAGTILQYTGLCFSVFNSSTWIIDSGASEHMCFDSKSFTSLIPLPTHMTITLPNSFRIIVTHTGSVPILPKITLHNDPSMKTTQAFGEMKEGLYLMQPTSTKSEVSFKNNVVSFQKKNNPILQSNIPINTGSHLQDPTVPPSPTSSNPISPNTSILSSEPTRKSTRVSHRPGYLSDYVCNNIYLADLTNACFVHPPTSTAYSFGSLSLQNQHISPSLRLCP >Solyc03g005470.3.1 pep chromosome:SL3.0:3:365958:369880:1 gene:Solyc03g005470.3 transcript:Solyc03g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSFLGRLLFVSVFVLSAYQEFNDFGVDGGSAAKALKPKFNVLSKHVATHTGFEVPHVEMKHLILGALIMKSLGSLLFVFGSSLGAIILVIHQAIATPILYDFYNYDVDKKEFAQLLVKFTQSLALLGALFFFIGMKNSTKRSSHPKKKAPKTKTG >Solyc05g047540.3.1 pep chromosome:SL3.0:5:59869042:59883247:1 gene:Solyc05g047540.3 transcript:Solyc05g047540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGLALSIAVTPKLRLISHQNQSSAQKGGNIDPRINYRDCASLIQGLSRKKLPVAAERLVLEMKSEGFVPDSSTLSALMLCYATNGLFCKALAAWDEIMNSSFLPDVHVIAELIDIYGCKGYLDVAVRILHQIQLKDSNLLRDVYAQAISRFGKKGQLELMEVMLEEMVSMGFPVDSTTGNAYVIYYSNFGTLSEMEVAYGRLKMSRILIEEEAIRSISLAYLKKEKFYSLGQFVRDVGLCRRNVGNLLWNLLLLSYAANFKMKSLQREFVRMVESGFFPDLNTFNIRALAFSKMSLFWDLHVTLEHMKHEKVVPDLVTYGSVVDAYLDRGLGRNLDFALRKLNTNDCVTVATEPLVFEAMGKGDFHLSSEARLEFSKKTNWTYEVLITTYLKKYFRRNQIFWNY >Solyc11g020471.1.1 pep chromosome:SL3.0:11:11191024:11192031:-1 gene:Solyc11g020471.1 transcript:Solyc11g020471.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITGNDIRMIQETKQTLQDTFKMKDLGDLKYFIGIEFTLEIISEAGLSAAKPATTPFDSFVKLTTKKYDEVNNIGHDDKLLEDPNIYRRLIGKLLYLTVTRPDIAYATQTLSQFLHQPKQSHLIATVKVVRYIKGQAWWGIFLSSKSSKHLNVYCDLDWATCPLTRRSVTRVLIKLENSLISWKTKKQGTVSRSSAEWIVSLFKELGEIFKLQLLSIVIERTKHVELDCHFIREKIQKGVIETRHLSTKEQIVDLLTKGLGSSQYEYLLSKFVVINLFITSNLRGNIKEGIT >Solyc05g005770.3.1 pep chromosome:SL3.0:5:586980:591028:-1 gene:Solyc05g005770.3 transcript:Solyc05g005770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISTLCIFFLLNISLTPVLGEVIFEDGYSVSTVIDGNKIKINPYSIIPVSGDTHFIILDSSASTFYTLSYNKDSDTTVTKLTGDGIGYADGSLDKARFNKPKSFAVDSKGNIYVADMKNMHAIRKISKSGVTTIAGGYSKTAGRADGPGLNASFSDDYELSFIPKRCALMISDHGNRLVREIQLKAEDCSRDSHSDLRAVSTWLLTVGLPCLVCLIIGLVIRPYVIPNDYVSRLQHNMTWKHFLINLERQVLMFCFVIRSVIVDSKIYSLLRQLVLLSFSHLRLMFSPKVAVARQTSRRQLAPLINLHDFESKESPNSPVVANSLEDLITFDGSLDNSELTTNQDDAVKGSTDVSGVDSMILANIKVFAEQGNASTGPEVSKSILSLGNQKKKVN >Solyc03g044420.2.1 pep chromosome:SL3.0:3:9217918:9220499:-1 gene:Solyc03g044420.2 transcript:Solyc03g044420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFFGTVTLNLKKFYTFSLFVVSRLRFELVLWKNRLQARLLCQFVCPRKGYGRFEGYHERTSLFAAFRVEP >Solyc06g072135.1.1 pep chromosome:SL3.0:6:44596168:44599542:-1 gene:Solyc06g072135.1 transcript:Solyc06g072135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTFMEEALYFASLDNDDLLYLKEQMEVDEDVNAFCKTLALPAERMRCGLRNLNKDIVDSSPASIPLPLPVEPKPKSGIMQQDLLKSVLGVKPKKPKVSRRFDGSNSISSTQVILSINYDSNQEKQKDVLPAKPNDTEKETKVDNPIKISIAAYESSDDED >Solyc04g054760.3.1 pep chromosome:SL3.0:4:53139383:53143728:-1 gene:Solyc04g054760.3 transcript:Solyc04g054760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRGRPPMRRTTSMTGITVDVGTGTESEPSDCNKPKITVDEIKSSSVIMGGYSSVPAMVSPRYQRRISGEGFERERANFLRICGRCNRRLINGRDIYMYRGDAAFCSIECREEQMKQDERNDKSKIKPENHHNHSEFHSAKSDTSSNNDTIAAA >Solyc05g014177.1.1 pep chromosome:SL3.0:5:7959921:7962310:-1 gene:Solyc05g014177.1 transcript:Solyc05g014177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLRKISPYSMKGKVLTGSDNGSELQEVVCISTTCVEVEGRENEQQSLVVSRLQHGRALDIIVQPCINKCTAACCNCNIEVNPPDCVQCCYEPAPPSQL >Solyc03g111705.1.1 pep chromosome:SL3.0:3:63848234:63849835:1 gene:Solyc03g111705.1 transcript:Solyc03g111705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPVGFDDLENHHQQDQPTINDPIDNVDNASVNNLEDQHQQVQPTVDDSFLSSNKRQSTRTSRPPLWQKDFVTTFKSKSRNSGGILMHQRKYALEIISDLGLGGSKPIATPVEMNGKLTTAVFDKHVGVTSDPVLSDIGEYQRLVGRLIYLTITRPDLSYAVQNLSQFMNAPKQSHMNAAIRVVRYVKQQPGLGVMLSAQHSGSLQAFCDADWGSCPDTRRSITGYMVTFGESLLSWKSKKQSIVSRSFPEAEYRSMASTVAEVT >Solyc07g015850.1.1 pep chromosome:SL3.0:7:5551007:5551862:-1 gene:Solyc07g015850.1 transcript:Solyc07g015850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGNSRPQATRPSTQASAQRQNPPNVGKYDELQRLSIIPNGLGFYPSIQLVKAMVQSMCSCYDEPWRYWKDVPLNIRERMFADFKMKCTWSLEHENKIREIFFRKCSRRLSDLLWYARKHDQRPSWISEDIWKTLNEHWTSQKFKKNIVKKETQTSVLPRSPQPSD >Solyc03g063290.3.1 pep chromosome:SL3.0:3:36180542:36181485:-1 gene:Solyc03g063290.3 transcript:Solyc03g063290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSGSTYSRSSCSIESSDGVILDCRVYRPTSENNSSYVAVLVHPYSVLGGCQGLMRGIAKGLSSRGVIAVTFDTRGAGKSSGRASLTGSAEINDVISVCKWVHTNFTTNTIILIGSSAGAAIAGSAVDKVEQVVGYVSVGYPFGFTASILFGRHQKSILQSPKPKLFVMGTNDGFTSVKQLENKLRDAAGHNTTHLIQGATHFQMEGHAFDADMVNLILQFITTL >Solyc09g020190.3.1 pep chromosome:SL3.0:9:19196524:19200288:1 gene:Solyc09g020190.3 transcript:Solyc09g020190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRKILFPASRLGLFFILYLLSSTCVSFSFPFVKPKQHKFNGPIKTLVILVMENRSFDHILGWLHKSRPDIDGLKGSEFNRVNASDPYSSKIFVSYDAVFVDSDPGHSIQAIGEQIFGSNDSSANPAPMNGFVQQAVSMGVPGMEQTVMSGFKPELLPVYTDLANEFGVFDRWFASVPASTQPNRFYVHSATSHGASSNVRKDLIHGFPQKTIFDSLDENGLSFGIYYQNIPATLFFKSLRKLKHIFKFHNYALTFKIHAKLGKLPNYVVIEQRYFDVKIDPANDDHPSHDVALGQKFVKEVYETLRASPQWKEMALLITYDEHGGFFDHVPTPVSGVPNPDGIIGPPPYYFRFDRLGVRVPTFLISPWIDKATVIHEPKGPTTSSQFEHSSIPATVKKLFNLKSSFLTKRDAWAGTFENYFRLRKTPRDDCPEKLSEVMINLRPWGPREDAKLSEFQIELIQLASQLNGDHVLKNYADIGRKMSVREADEYVDDAVNRFLEAGSAALRTGANESAIVAMRPALTSRSSASDGGFNKYLEAY >Solyc11g008340.2.1 pep chromosome:SL3.0:11:2534116:2551191:1 gene:Solyc11g008340.2 transcript:Solyc11g008340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFDLLPIPKDKSYLREELARVDENWAAARFDSLPHVVRILTSKDREGDVQVLKEQSEIIEEVVDEVVHAYHGGFNKAIQNYSQILRLFSESTQSIGVLKGDLAEAKKLLGARNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLINEKQFYAAVQLHVQSALMLEREGLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEYSSTLFSISERDDEVPTTVAVPLSMNNSQPLSRRTRLLKGDNQFGSFGAGDGSHKTSSIDGSSLVEGHDDDGEDTVTDGNPTSSRINGIDGASKDVKIITHQVLTWLSDSTPDEFVEAIRKTDAPLHVKYLQTMVECLCMLGKVAAAGAIICQRLRPTIHEIITTRIKAHAENASRPRIGQAAQAAITGLHYLKGQLESFQSSKQKHQNGIYLAVLLAVSPVSPVMAPTGTAQAAAKELLDSTLDAVVHIFENHVIVGELLESKCSQQIDLNTPKSMPTDISWNPDSDASHATGGYNIGFSLTVLQSECQQLICEILRATPEAASADAAVQTARLASKAPSKEKRDGSEDGLTFAFRFTDATVSISNQGVDLIRQGWGKRGSNVLQEGYGTSTILPEQGIYLAASIYRPVLQFTDKVASMLPQKYSQLGNDGLLAFVENFVKDHFLPAMFVDYRKAVQQAISSPAAFRPRANAVTSYTPLIEKGRPILQGLLAIDFLAKEVLGWAQAMPKFAVALVNYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIENLMQRDPASACLPCSTGELNTEYGAANGENSEVEMEISDALLNLRPIRQENLIRDDNKLILLASLSDSLEYIADSIERLGKICHSTSNQVEDNGGQKAPKHSRTSSMPPKDLASFAEEYRKLAIDCLKVLRVEMQLETIFHLQEMTSKEFLDDQDAEEPDDYIISITSLITRRDEEMAPFVAGSRRNYIFGGISSVASNGSIKALADLKSINLFGVQQICRNSIALEQALAAIPSIDGEAVQLRLDRVRTYYELLNMPFEALLAFIAEHENLFSFAEYSHLLKVQVPGREIPADAHDRVAEVLPR >Solyc12g019777.1.1 pep chromosome:SL3.0:12:11763000:11763632:-1 gene:Solyc12g019777.1 transcript:Solyc12g019777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKKRLFAAFETNDLGPATQILGMNISRDRFSSTLNLSQELYIEKVLSRFRPDIAHAVGVVSRYMTNPGKEHWEIVKWLLRYLRGTSSTSLCLGKGNVTLQGFLVADLGEDVYSSKSTSGYIYTIGGTVVSWMSRLQKCVSLSSTEAEYVAIA >Solyc09g082740.1.1.1 pep chromosome:SL3.0:9:68855049:68855336:-1 gene:Solyc09g082740.1 transcript:Solyc09g082740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVDTLDKKYDELLTALAIVLETNGGEKPEGVDPSLESFKKSLLSFQAYCDEAHGFVETLKHNVGCEKFPELVIDSDSIPIKIDDEKTSKTEI >Solyc03g051820.1.1 pep chromosome:SL3.0:3:22925235:22926309:1 gene:Solyc03g051820.1 transcript:Solyc03g051820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGINNKERGSQSKELQEQAREASSNNGSPIKMMNEQIGTSRLTRRSINWSSEDSISKTPLVVETSARVLTSNTVQLSAVAPMTHEQNEQLGDLQANKGKPWDITVTRGGSINKNNNNQKGNNHIQRRFRDLRKM >Solyc01g108820.1.1 pep chromosome:SL3.0:1:95907455:95908510:-1 gene:Solyc01g108820.1 transcript:Solyc01g108820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKFQTSLVVLILLLPYAKSTLSGPKSKKHFVLVHTAGHGAWTWYKSVALMRSSGHNVTALDLGASGINPKQALEIPNFSDYLSPLMEFMASLPADKKVVLVGHSFGGLAISKAMETFPEKISVAVFVTAHMPGPNINVATIYTELFKSISQPDNRIIYDNGPTNPPTTYILGPKYMETDVYQRSPTQDLALASTLVRPINFYSLEDVSKEIVITSKRYGSVWRAFIVAVEDKLLKKEFQHLMIEKNPPDEVK >Solyc09g008917.1.1.1 pep chromosome:SL3.0:9:2301219:2301410:-1 gene:Solyc09g008917.1 transcript:Solyc09g008917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEFNFYILCSTIIFLLAFIFLIYQKNSSFSKYNLPPGPNGLPIFGNYLILLDQNHTKKLQV >Solyc11g072320.2.1 pep chromosome:SL3.0:11:55811699:55847121:1 gene:Solyc11g072320.2 transcript:Solyc11g072320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVEDEMHDETEQEKEEKSMWGRGKNIYYQNKETVEESSDEDLIAEEEAEVLRMQQKKAKSLSAADFGIEDDELTFEEILVQGKPGSAVSADGEAKNETDTAYEEVQKNLNALTKEEQMDVVYSSAPELVGLLSELGEALEQLDNKVNPLFNKVCALEIPPATGGMHYIEVKKLLLLSYCQAISFYLILKSEGQPVHDHPVISRLVEIKNLLNKMTELDGYLPSKLEDLLHKNVDNVTGVKLLGRNLDSESFPISDKPSVVSTDIQEAEPHEAELAEASGVNSLRKKESKRKRQDDQVGIQSMEMLKVRASLEEKLKQTGVLSSIARKNEKRNKRSRLLNGMLATPDDFDDDSMAAGDDRETRSLNKLSRLLTPQVARPKIISGDDDLPKRDDIGERRRKHELRVLAGAGVEPTNDVNDEPGDHASDDAATSDNSELDSDMEFYREVEKQHSAKLAAKEKMYSRSPAMLSTPETVVDGKRQINYQMEKNRGLTRNRKKQDKNPRKKYRGKHEKAQKRREGQVQKIKKPSGPYGGETTGINVGISRSIRFKGVKSFTGDGQSAPPPPYDWIYQPISEQQICSFAEAGFHYVPLLAEFGPTLEIQTVTRIIEKPSCFCGVGLLHVVPPSHFYLPKIDDAPKHIFSVQFVASVATEFMADIGHTFDDDGLKQPYYNMFSLMGTEFFDSVGCIISFEWMNNIKRKGLYGMKGGLNLCRRVIPCLPMEVETHMSSKTAQKISILVVDDNAAYLQVVAELLKKCNYQAVAVKYPIDALPKLQIKVDSCDLIVIDVHKSDMNGCKLQEMIAEAFEIPVLSMSADQKEGAILKGLKGLSVDDQRDKGLCTVLQEKKGKRAVPGKSAMKQRKRGKDNSGDLVLPTKPQIIWTDSLHHAFLEAIHDIGFSKAVPKKIHEHMNVPGLTRENVSSHWQKYRNYLHRVIDSSSINKVPDKNLASRANQSTIASGMLVKHRQVHQENFGVQSSVPSSLIHPSTGYLTGNLQHLKNKGKEVDVVLDPVRNFSVFHKVSAMQGLQGIGDLSASSMNQGNDQPHQIDGEFRQTYYSGGKSFEIFYSGGMRPIFCGNVEYNARQSELERLFRRYGRVDRVDMKSGFAFVYMDDERDAEDAIRGLDRIEFGRKGRRLRIEWSKEERNGRRPETSRKSSSSVKPSKTLFVINFDPYSTRSRDIERHFDPYGKILNIRIRRNFAFVQYETQEDATRALDATNMSKLMDQVITVEYANKDDDDRRNGFSPDRNRDRGLKRGYDRGRSRSPYGRERGSPDYGRGRARSPSPIRQGRSSPDYGRRPSPNPNHRERDSEYGSGRSPNMRKERNPDHGNGHSPNPRRLRAGSENGEVHSPPEEGLLESGPSPPRVGRRGKYSPDDYRGRSRSPSPRSKPEEIGSPRYGAAESPLPERHRRFTAYSLFFLLALAYLFSTI >Solyc03g112770.3.1 pep chromosome:SL3.0:3:64596673:64600006:1 gene:Solyc03g112770.3 transcript:Solyc03g112770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFSSPQFSKEQMEAALTKAKQIVSSNPVVVFSKTYCGYCTRVKKLLSQLGATFKVIELDRESDGDEVQAALLEWTKQRTVPNVFIGGEHVGGCDSVLEKHQQGKLLPMLKDAAAIPNNPAKV >Solyc04g054430.2.1.1 pep chromosome:SL3.0:4:52440126:52442352:-1 gene:Solyc04g054430.2 transcript:Solyc04g054430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALMLELNFLIPQLLFLLVLSSQVLSDYIRHLTRVLHMTRVLHVIFAKYDISNFKISSVLFNFSFRLFFLSKKFQNLT >Solyc10g052660.2.1 pep chromosome:SL3.0:10:53168588:53170575:-1 gene:Solyc10g052660.2 transcript:Solyc10g052660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KALLQIPIRFSIFPITFLPLTPPLSSVHRSPINGSKEGTSSTSIFQASKVRRWKAEEEEVEQGKAKGKGEQHGVV >Solyc04g083160.2.1 pep chromosome:SL3.0:4:64083140:64088613:-1 gene:Solyc04g083160.2 transcript:Solyc04g083160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAILNLQGVPLGTALTVGGPVVALGGISFWLLKEYVNDQKRKSPNFLPPVPEVPGLPVIGNLLQMTEKKPHKTFTNWAETYGPIYSIKTGANTIVVLSSSELAKEAMVTRYSSISTRKLTNALRILTCDKSIVAISDYDEFHKTAKRHILTSVLGPTAQKRFRIHRDTLVENVSKQLHDLVRTDPNEAVNLRKSFQSELFGLALKQALGKDIEAIYVEGLDATLPREDLLNILVLDIMEGAIDVDWRDFFPYLKWVPNKSFEHRIQRKHLRREAVMKALIADQRKRIISGEELNSYIDYLLSEANTLTEKQILMLLWEAIIETSDTTLVSTEWAMYELAKDSKRQEQLFLEIQNVCGSNKITEEKLCQLPYLCAVFHETLRKYSPAPIVPLRYVHEDTQVGGYRIPKGTEIAINIYGCNRDKNVWESPEEWKPERFLNGKYDPMELQKTMAFGAGKRVCAGAQQAMTISCTAIARLIQEFEWSLKEGEEKNVATMGLTTHKLHPMLAHIKPRN >Solyc02g088510.3.1 pep chromosome:SL3.0:2:51220921:51223394:1 gene:Solyc02g088510.3 transcript:Solyc02g088510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIVTAYKRNRTILPKILDYPTYFTISNRAISQKPSPNPSPTYDMIILGASGFTGKHVIGEALKFLNLPSSPLKNFAIAGRNTSKLSQALQWASGPNPPPEIPILTADTTDSASLRHLASRTKIILNCVGPFRLYGEPVVEACVDSGCDYLDICGEPEFMERMEVKHHDKAVENGSLVVSACGFDSIPAELGWMFNSRQWMPPAIFSTVEAYISLESDKRIVGNLGTYESAVLSVANADILQELRRSRPKKPRPEIPGTFPKGPLVNHLKRVGLWAVKLPSADATVVRRTLSCLAEDSHGLPGVNESTEQIERREAYWSAIKPAHFGMKIASKSLLGVVRFITVGKFIALFGKSDIGRWLLLNFPSVFSLGFFRKKGPTEDEVASASFKMWFVGQGFSDGSLASQRNRKPDMEIITRVMGPEIGYSTTPIILVQCALMLLKERGNLPKGGVFPPGIVFGPTDLQDRLQENGISFDVISKKIV >Solyc02g086920.2.1 pep chromosome:SL3.0:2:50142032:50151962:-1 gene:Solyc02g086920.2 transcript:Solyc02g086920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4BBJ9] MVMSTNDIRFIVGIIGNVLSFVLFASPMPTFRRIIKNKSVEEFHPYPYLASTMNCLMWIYYGMPFVHPHSILVVTINSVGLFMQLCYISIFFFYTGKRYRLQIVSILFGEVVGLAAAVAGTMLGLHTYASRTTVVGILATAFGICMYGSPLSIMYKVIKTKSAEFLPKTLSIACFLNGICWAIYALLKFDPYILTGNGVGALLALIQLALIVIYRNPPPKDQKPSKVELQNVV >Solyc04g039830.2.1 pep chromosome:SL3.0:4:12537737:12538450:1 gene:Solyc04g039830.2 transcript:Solyc04g039830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWWFNSMFFKKEFELKCDLNKSMGSLGPIENTSEDPNLKVKNIHSCISDRNGDTYSIYFDIENQIFEVDNDHSFLSELEIIETRVINDEFRGEDPYNNSYMSYTYDTQDSWINHINSYIDNYLQSQICIDTSIISGSGSYSDSYIYRAICSGQSLNSSENEGSSRRTRTKDSHLTIRESSNDLEVTQKYKHLWVQCENCYGLNYKKFLK >Solyc08g062387.1.1 pep chromosome:SL3.0:8:51083166:51083775:1 gene:Solyc08g062387.1 transcript:Solyc08g062387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTSCSRRPLAAKISRWSRINVNLNGLTAGEEQENLDLQKLLEEFGALFEELKGLPPNRSHDHSIRLKKESDSPNIRPYRYPHYQKNEIERSTGNVTIRVCKEDVEKRTVRTHEGHYDFLVMPFGLSNAPYAF >Solyc03g117720.3.1 pep chromosome:SL3.0:3:68259122:68263665:1 gene:Solyc03g117720.3 transcript:Solyc03g117720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSKSNTTSTSSSSSSNKCDSKAKRSNKIDGNAIGKVKRTRKSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNETQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTMLNFPIMTYENELKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLRPSDQTNNDNTIINPEPNPNPNPNDIHLMPNTKDDTNFTQQQQQISGCDVTVAALPHPGGGAATSSAALELLLQSTKLKEMLERRSEVIECPETPPEPDRPRRSFPDDIQTYFDCQEPSSFIEEHDIIFGDLDSLTLPMFQCELIN >Solyc04g074165.1.1.1 pep chromosome:SL3.0:4:60241105:60241524:1 gene:Solyc04g074165.1 transcript:Solyc04g074165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFCFLVVATLIMCIFIAKTPLAVSLNVERKLISATPVEFLANPPVPAASPFSEISPDIAPLLPSSGGVVPPVSSMPTIPSNPSKNPDDVMYPIGPDTAALGPSAFSPVSSAVCCVSLATYLNIAVLLLVIGLFCSS >Solyc07g063080.3.1 pep chromosome:SL3.0:7:65788141:65793025:-1 gene:Solyc07g063080.3 transcript:Solyc07g063080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLLGSIFLHCYAPSTMASTNSFLNTPTKTPTSTSISKKHHHKHHTADSNRSASEFKDRFEAYNRLQAAAVAFGEKLPIPEIVAIGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDQSALDPRCRFQDEDSEEYGSPLVLASEIADTIKSRTESLLKRTRAAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKAKKGEPERTPDEILSMVKSLASPPHRIILFLQQSSVEWCSSLWLDTIREIDPSFRRTVIVVSKFDNRLKEFTERWEVDRYLSASGYLGENTHPFFVALPKDRGTISNDEFRRQISQVDAEMLHYLRDSVKGGFDEEKYRSYVGFGCLRDFLEAELQRRYKEAAPATLALLEQRCGEVTADLARMECKINATSDVAHLRKSAMLHAAALCNHVEALIDGAADPAPEQWGKTTEEERIESGIGSWPSVTAEIKPPNATLRLYGGAAFERVMHEFRCATYSIKCPPVSREKVANILLAHTGRGGGRGITEAAAEIARAAARSWLAPLLDTACDRLAFVLGNLFDISIEKNHGNDSNSKNSGNNFVLNGHRPVDMEGYIGFHAALRQSYNNFMKDLSKECKQLLRHHLDSVTSPYSHVCYENNFIGSFSSGNNSIYQLNQATASPLCLELSDGGAALRKEAMKDQENMPPEKSAQETTPGKVTESRDALRECQMTVPETPSPDQPSDGNYGIKRELGNCVEVGARKRQPRISGNARNHGQNGESLLFGNGDNISRPVSTYAEICSSAAQHFARIREVLVERSVASTLNSGFLTPCRERLFVALGLNLFAVNDEKFMDMFVAPGAIDSLENERQSLQKRQKILHSCLNEFKSVARAL >Solyc11g062195.1.1 pep chromosome:SL3.0:11:49377890:49379121:1 gene:Solyc11g062195.1 transcript:Solyc11g062195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLDTYEQVSGQMVTNKKSTFLISANIPAPTPSSLLIIWNALFIGEERKLSTLVVWSPKSSMLLKDGWGPFKCFCCHNGMNEDINHLFSYAQIAEKEGTKIRGGGILRNHTGHMIMDFATYFGHSSNNLNKARAINIGLKWCIDHGFNVHTVRGGTKQHK >Solyc07g062020.2.1 pep chromosome:SL3.0:7:65001784:65003040:-1 gene:Solyc07g062020.2 transcript:Solyc07g062020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILTLLFLLLSYFSGSLTLGDDQKTWCVAKPSSDENALEQNLNFACPIVNCNIFNEGGPCFLPNNSMNHASIAMNLYYQSKGSQFWDYSFGNSGLVVLTDPSYGSCIYE >Solyc04g050823.1.1 pep chromosome:SL3.0:4:48552221:48555547:-1 gene:Solyc04g050823.1 transcript:Solyc04g050823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWGNECDKKGLDKGYDQEEIAEEERNQDSHQILNPLAASFMTTSDNTIIQSYTSINQQHNPASQGQDPAFPKQVHDHQRLIIEPDGCGFNPRKKTVDILTSSIEFFFNDAYLTWSEIPQAVRQQIFNEFKEKCVWLPVHDKQIVFNFEKRVRHKISDNIHYGRKNGTIPKWCKPNIWEDICEKWRKRSEQRSS >Solyc01g108080.3.1 pep chromosome:SL3.0:1:95331512:95332008:-1 gene:Solyc01g108080.3 transcript:Solyc01g108080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVAFINLSATIFVPLDAKTCADFSTEATTTFTFARLRMSIKVTTSISSDPLAIGIRTYKIVKMEHIDMSCEINYSQENIINPRTQVQSPIKSDKQQCSHLLDIFTYKVITEAVPASELQGNTNN >Solyc08g066460.2.1 pep chromosome:SL3.0:8:55172877:55174706:1 gene:Solyc08g066460.2 transcript:Solyc08g066460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQVLRKVVSDVSVEINKIGKDLDNICPNIDEVTQAECECCGLREDCTQDYIKTIKDSYSGKWVCGLCSEAVKEGLIKRGSIEEAMNSHTKFCQEFNSSTRLNPKLSFTMSMRNIARRSHENKEKIKYHTMSKISRSSSCVPRIDFTIKQS >Solyc08g006000.3.1 pep chromosome:SL3.0:8:763817:769001:-1 gene:Solyc08g006000.3 transcript:Solyc08g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGMKQMSMIIATLGVLSFIFGVIAENKKPAAGTAISGKGVVICKYKSDPTVALGYLSFVFLAASSVAGFLSLFYPYQGKSVPQAALLRNTSFLVFLNIALGTTGLAATLLLWPTITEQLHITRNIHHNLQTDCPTAKTGLLGGGAFLSLDSALFWLVALMLADNAREDYFQETDIKGEPKASYADDEIIKTSA >Solyc03g007825.1.1.1 pep chromosome:SL3.0:3:2377570:2378454:-1 gene:Solyc03g007825.1 transcript:Solyc03g007825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLLFSSILFLAIVKNSIAGGIAIYWGQNGNEATLNDTCNSGRYAYVNLAFLNKFGNGQTPEINLAGHCNPAVNGCTIVGPEIKHCQKLGVKVMLSIGGGVGNYSLASKKDAKDVAKYLWNNFLGGRSSFRPLGNAILDGIDFDIELGSPLHYEDLAKYLKNYSKRGRKMYLTAAPQCPFPDRLLGTALNTKLFDNVWIQFYNNPPCQFTPNNTDNLKNSWIRWTTSVKAKRIFLGLPAAPQAAGSGFIPADVLTEEILPAIKKSRKYGGVMLWSKFWDEQSGYSASIVKRV >Solyc03g031655.1.1 pep chromosome:SL3.0:3:4135571:4140336:1 gene:Solyc03g031655.1 transcript:Solyc03g031655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTHIGATITVLASNNMPNLIPVYFCLESVLLELLFDSLLASSNAPFCFSSVPKVSEIASLLTFDDFVDAFFPCSEVGLIFWNNMNNCIKKDLFNRNKKHQSRYVIEITPPFLHPHLSCKYGYDLTIRRIIRAQQNRKIAQQNVCEQGKRAARSLGKPAMKRSVIPKALKKKLPLRIWISIAIRHITGPKDFSLFLPIRCRTYLDTRLGCVCWDRISSSEIQGCRIIHAPGTEHIKRPYLHDDHNFPRRVDHLLHRYLSNIDIPSFLFFQFLLNSATCSRTIHHAVSQHSCL >Solyc09g066400.2.1 pep chromosome:SL3.0:9:65045779:65047411:-1 gene:Solyc09g066400.2 transcript:Solyc09g066400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTILLCALLLVLPLLVTKLYKECNSKKKLPPGPWKLPLIGNLHHLIDWQSSELLPHRTLAKLASKHGDLMHMKLGEREAIVVSSPQMVREVMRKHDLIFSNRPTLLVGTEMFYDHADMGFCNYGDFWRQMRKICIQELLSHKNIQSFYPNMMNEITNLVSCIKSSASEGGSSINMTETLSLYTNSIICKASVGRACKNQGSLIEIMRTVAASAGVFDLADLFPSMKMIHFISGLKYKLRKMHDEVDVVLEEIINEHESQNSETSEEDIVDVLLRLQKSQDFSIPITRDNIKAIIIDLFAGGSTTSASTMEWAFSELMKNPEIMKKAQDEVRQVFKGKETTIDQADIQKLKYIKMIVKETVRFHPLAPLLAPRESREECEINGYVIPKGTMALVNFWAISRDPNYWQNPEIFDPERFNDSHLDFIGAHFEFTPFGTGRRICPGLSFSMATVELSIALLLYHFDWKLPNGMNPHELDMTEKFGNALERKNNLFLIPLPYVRA >Solyc01g091800.3.1 pep chromosome:SL3.0:1:85233903:85240379:-1 gene:Solyc01g091800.3 transcript:Solyc01g091800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AZC0] MDKSPEVNRPITAKELLMPSLLHTLLPLSSTSKPPANSPAYDGPFAFHSTRPKSLHTVAAVSKTTSETRINNKAEDDYHATLKALNSKGRFPRKSLGQHYMLNSEVNDQLVAAADVQEGDLVVEIGPGTGSLTNVLVNSGATVLAIEKDPYMADLVTERFSSLDCVKVLQEDFTKCHIRSHLSTVLQSGMNSSGVKPKYAKVVSNLPFNISTEVIKQLLPMGDIFSEVVLLLQEEAAVRMVDSSLRSSEYRPINIFINFYSDPEYKFKVPRTNFFPQPKVDAAVVSFRLKQPVDYPPVSSAKSFFSMVNCAFNGKRKMLRKTLQHICSSLEIEEALASTGLPSTSRPEELALNDFVRLHNSIVKP >Solyc06g054690.3.1 pep chromosome:SL3.0:6:37558721:37562610:-1 gene:Solyc06g054690.3 transcript:Solyc06g054690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRDSLITGKNFPISSQHRRGLSLNGASREPDDHLDLFSKSRRSVSVASSDETDVTVKLGRLSIGSVKQLKSGLEDLLASTEGEKHDYDWLLTPPGTPLVPTSDGSESKPASVGPRGSSLGRSSSTTKASRLSVSHSESNTPARPTRSNSVTRPSISSSQYSTYSNKSGSILNTSSASVSSYIRPSTPTRRSSSSARPSTPTSRATVSRPSTPSKAGQAPSTSRPTQSSRPSTPTSRPQISGNLNTPSRPTSRPSTPTRRTITASLSPASRSSTPAGRPVTNGRTAASLSRPSSPSPQVRRPSQPIVPPDFSLETPPNLRTTLPDRPLSAGRSRPNPSVTTKGNAETPSVANPRRQSSPIVSRGRLTEPAGRGRALGSGQLSDISDSRRASHVSDLSTRKPVKTAADNMGLGRTISKKSLDVAIRHMDIRNGNGVRPTSGSTLFPHSIRSTNGKGQPSHGSTGASSFNENASYHYNGNLPENGNYLNRSSENGSEEAKPQHSAKLTDIDIYESSRYDKLLLKEDMKNTNWLHSIDDKSDQETIFGNGFELLPEPFSPLQYL >Solyc12g056673.1.1 pep chromosome:SL3.0:12:63646604:63647882:-1 gene:Solyc12g056673.1 transcript:Solyc12g056673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSGLYGVYRSNRSSIDRSLITSLVERWHPETHTFHFRTGEATITLQDVEVLYGLPVNCDPVLGDESIRTIGDWQNICQRLLCFIPCPQDFNRSSLKVTALNAHMLEQLQLPDLTTQEMIDQMARCYMFWMIAGMMMADTSGNYLKLMYLPMLEDLNVVSSYSWGSATLACLYRFLCKAQRDIENNFLACLPRGPRATRWFAHFSWTDTTKHVLKVFRDALDSMTEDQGQTKYKLELGACTMVASLEPTTSICL >Solyc09g065250.2.1 pep chromosome:SL3.0:9:63546683:63549155:-1 gene:Solyc09g065250.2 transcript:Solyc09g065250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKLTMFLSLMIFVSCGVSMGEVYKVGDSTGWTNNGHIDYKSWSSNKIFSVGDSIVFEYKQQMDNVVRVTHKNFNACNATTTYATYNTGNDTFVIKRQGHFYFISSFPGHCQNGQRVDIRVPKPTQLSPSPSPTPPRAPSSSSSPPPTETITPSSTQAPAPAPSGSSKMLFSINLWLSLSMLLIIVVAIV >Solyc04g049485.1.1 pep chromosome:SL3.0:4:42022714:42025207:1 gene:Solyc04g049485.1 transcript:Solyc04g049485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFCSHEEVLYCLVGDVVSQDMIYLVVETIIVYVCPKAPKVESDDHVPSHPLTEALLLDGLPSSHLVKLACVRLEISIFDQKLIEGVDVVLHTSIGEPPIVGQVPNSNILLGGGGWDETVVHQFENWCPKCSSGFNNVVGEDSFGLTKKKDVIPSPSVDGGDMNEASVGVTTGEPINSTLGRPKFFLLF >Solyc09g084460.3.1 pep chromosome:SL3.0:9:69553041:69554808:1 gene:Solyc09g084460.3 transcript:Solyc09g084460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLAHIIVFFLLATSFKTLMARKESDGPEVIKLLKEFESEFWCKGKQFWPELIGVPAKLAKEIIEKENPSISDIPILLNGSPVTMDFRCDRVRLFDNILGYVVQIPTVT >Solyc02g067925.1.1 pep chromosome:SL3.0:2:38568449:38584060:1 gene:Solyc02g067925.1 transcript:Solyc02g067925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKFAFFPPNPPSYKLIKDDLTGLLLLSPFPHRENVEVLKLPTRKGTEIVAMYIRHPMATSTLLYSHGNAADLGQMYELFIELSIHLRVNLLGYDYSGYGQSTGKPSEQNTYADIEAAYKCLEENYGTKQEDVILYGQSVGSGPTLDLAARLPRLRAVILHSPLLSGLRVMYPVKRTYWFDIYKNIDKIPSVNCPVLIIHGTADEVVDFSHGKQLWELCKEKYEPLWIKGGNHCDLELYPEYIRHLKKFVATIERSQSQRISCRKSTDQFEPPRKSTDVFEASRKSTDRREKPRHSTDRPEKLKNHSSNAITDKLEKLRISFEQMERSRRSVDCTEKSRKSIDHQLERARKSVDRMDRIRTG >Solyc12g006750.1.1.1 pep chromosome:SL3.0:12:1193529:1193780:1 gene:Solyc12g006750.1 transcript:Solyc12g006750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIFKIIGFEKIRRSCLDGDDDGDYDYAPAACLKRNGDDDGDYDYAPAAFLEGDDDDRDYDCAPAATIDGDDDGDYDYAPAA >Solyc03g122240.3.1 pep chromosome:SL3.0:3:71612893:71616202:1 gene:Solyc03g122240.3 transcript:Solyc03g122240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4BN22] MEAPENDAVAFESAEKIILRWDSTVSEEAREKMIFDADRYEINRYLHAVDEIQRSMESTTLSDDQDKANSAIQIAMARLEDEFRSILVAHTNAIEADSLTDPSPSPVHSGEFQLPVEDYHDTLTDDDSFTKELEHQESSSSSYRSTSSIREVDLMPPVAIYDLRSIAERMISAGYLRECIQVYGSVRKSAVESSFRKLGIEKLSIGDIQRLDWETLETKIRRWIRAAKVCVRILFASEKKLCEQIFEGLGTVTDDACFMETIKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALSDLLPDVEIVFESKSSESIRVQAVEILSRLGEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYPSTGSRYSSDPNTPDMDFADLDGLTPLALHLIWIIVILQFNLEGKSKHYRDTSLAHLFMMNNVHYIVHKTKGSPELGEMIVDDFLRKLTGKFRQAATSYQRATWVKVLHCLRDEGLHVSGSFSSGVSKSALRERFKTFNALFEEVHRTQSTWLIPDTQLREELRISIAEKLIPAYRSFLGRFRSHIESGRHPENYIKYTVEDLENAVLDFFEGYAVSQHLRRRSQ >Solyc01g109550.2.1.1 pep chromosome:SL3.0:1:96382779:96383756:-1 gene:Solyc01g109550.2 transcript:Solyc01g109550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHMTNRQCRYMWLKEAMFLCSSIPTRRNCFFISATNIPFSSSPNPPLLLRFHTSHRENLRYLKSLGIIKPGTKTYKTTPSTESLSQILSTVNFLNSKGFSQADISRIALVCDHVFSPEFNVESVFDFFKFDLGATPEETQGLILRCPQILESNVPFCLRPTLLYLKELDIGNLNLPTNLNAHLLNTRVDKLQEKVTFFKGIGYSYEESAKFCARFPAIFGYSIEHNLWPKYEYLVEDMKRDLEELKLFPQYFAFSLRNRIVPRHLHLKERNVIIPLKRMLLWSDQRFYAKWK >Solyc06g007920.2.1 pep chromosome:SL3.0:6:1797673:1798512:1 gene:Solyc06g007920.2 transcript:Solyc06g007920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFHIFLGLLIIALMSNAQIINVDKPPTGPSTCPVPADKCGDACNQRCSATSHKKPCLFYCNKCCEWCQCVPPGTSGGKECCDCYNNWKTKRGGPKCP >Solyc01g109780.3.1 pep chromosome:SL3.0:1:96546359:96550412:1 gene:Solyc01g109780.3 transcript:Solyc01g109780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRVKQSHSDCDGRTGKSIHSVQSVWMAHWTRTSYNSTAETQNHASAALGNKENDKDSKPLQSIVKMETMSSKSVKRLRESETQTFEVINETSSRTIAKETLGNWSLSMHNPCENVKTPFQDPLDFGKTHPYDIGHRTVASRPLIDNPSHLASHIVPYRDPGHYVTKESEKTQKAFVSRSFLAAKEEVPRLGMLEHEHGRLVTPELRQNDSFFLDAPSTSKKLLPKMGGEEFQKNPGSSFVRLLKNEPGPSHVTEPKELQKLPHPLRDVETMRTSNTVDSVVGMTGYRPCVSQTIHSMLITKGADAGLFEGNNVIGNSRMWNKINGKASLSSCDKQSKSFVHYKGGMQLQIQNCFTGSERKDNIEDRKRSEFVLKNESSAETDTMDMDVFQEKNQLCGTSSSIAKKVNKMDQTLPRQLALEGSRKEAGHKQLKLDINLELPAPTDNMEASSSRTESFDLGSILARAEQPSSSRTDFCPEGLLGHDPGSRWVKRLKMSACGSLAFGTKSSSLVGETSNEKSHKFLNQIPKGTIANSELASSSKRHGKELMVHDNTASLAMNSSTASMSVIKKDLEALTSHSWIQRLLHDRTTNAPKRPQPVVVCEPQTSKLELDDFQKKQLPSLGAMALMGKAMNGFQPCEYHRKGPLVVWNTKSF >Solyc06g008460.2.1 pep chromosome:SL3.0:6:2369546:2370401:1 gene:Solyc06g008460.2 transcript:Solyc06g008460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADVSEVFVNVSQAFSGCNQLKGLSGFWDAVPAYFPTIYPVYSKLTSLNLSYATIQIADLCKLIGNCFNLQRLWVLDYIEDSGLEEIANTCKELQELRVFPFDPFAPGPNVSLTEQGLVAVSMGCPKL >Solyc06g011460.1.1 pep chromosome:SL3.0:6:7536328:7537202:-1 gene:Solyc06g011460.1 transcript:Solyc06g011460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQGTATPLLLERRTSPSPTSQENATTGVLASLQKAIESLVDKMDRYGRHGQLNIAASQTHAPLQSPARTYTFGSKERQKYATQFERLVQTPDMHMATYSAKFCKLARYSPLLVPTEEYRVKRFVHGLVSCLFSSLVPNMSTMTYSEAIELDRKIEEKGREKHTTYDVHKKAKIGGSYSGNLSENHKIWNQGKQQGSH >Solyc01g081630.3.1 pep chromosome:SL3.0:1:80680641:80687051:1 gene:Solyc01g081630.3 transcript:Solyc01g081630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQNHGGGEVRRLHIIYFLSRKGRIEHPHLIRVHHFSRNGIRLKDIKRWLGELRGKDMPESFAWSYKRKYKTGYVWQDLLDEDLITPVSDNEYVLKGSEIPSITIIKDVSSGEKEVTKEQTKEEAQDQVFLMDSSKKISSEIEEDSQNICSETSTLTTNSPKFEEEEEKKIGNNSSFSSNSSSSISSFGSMKTKKKTEDSEEKTETSQNNEKTSKNPKLNKSRSYSNGASSIFRNLITCGAVDTNDSGIIPLKKNKTAAAGEKTVSFSSEICKADKIGGSQRIFGTAWNQQQTNARKSCDGAFSMSKNKSEFGSRRSVSANYKPINGPNCSQCGKPFKPEKLHAHMKSCKGMKAAATHKTYKDAPKIDSL >Solyc09g011040.1.1.1 pep chromosome:SL3.0:9:4382526:4383815:1 gene:Solyc09g011040.1 transcript:Solyc09g011040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLFFFLTPFLFFINCSSITQISSPLLILPLKTQQISSGFSSPNKLPFTHNVTLTVSLSVGTPPQNVTMVLDTGSELSWLHSNSTRIKQPIFNPNRSLSYRPVSCSEPICTTRTQDFSIPASCDSKNFCHAVLSYADASSSEGNLAMDTFTIGGSNFTGTIFGSMDSGFSSDSDEDEKTTGLMGMNRGSLSFVSQMGFKKFSYCISSLDFSGILLFGESNFTWILPLNYTPLVEISLPLPYFDRVAYTIKLEGIKVSNKLLPIPESVFIPYHTGAGQTMVDSGTQFTFLLGQAYTILRSEFLNQTMTILKVLEDQDFVFQGAMDLCYRVPINQTSLPKLPSVSLVFRGAEITVSGERLLYRVPGEIRGKDSIHCFTFGNSDQLAVEAYIIGHHHQQNVWVEYDLEKSRIGFAQVRCDIASQRFGFFH >Solyc01g080080.3.1 pep chromosome:SL3.0:1:79098440:79110203:-1 gene:Solyc01g080080.3 transcript:Solyc01g080080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSPYVETQQAKKVKSGVNVHKDSLKLEIDEQNPDHYLVSFVFDALFDGNITVFYFVKEEPHCRFVPIYPHVHVPITVPFQRGLGQKFRQPFGAGIDLSFFEMDDLSELSSEDSVFPLVITATTCLPSVLTEDHISDTQPKTSLHMQISQAVLEKDHEGTFKVRIIRQILWVDNVRYELHEIYGIGNSGPDYENNGSGKECVICMTEPKDTAVLPCRHMCMCSGCANTLRLQSNRCPICRQPFEELLEIRINNGDIDE >Solyc10g081420.2.1 pep chromosome:SL3.0:10:62606954:62614610:-1 gene:Solyc10g081420.2 transcript:Solyc10g081420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLGLKNLLVLLVLLLSISISESSSQANILSRIRNLLNYPNVLSNWNNDTDFCNTEPSSSVTVICYEGNITQLHIIGSLGASQLHNFSIDSFVTTLVELPSLKVLRLVSLGLYGPLPSKISRLSSLEILDLSSNFFHSNIPREISSLTSLQSLILDGNKFTGRLPNGLGSLVVLAVLSVKNNSLEGRLPDMLGSLHSLRVLSLSRNNFTGDVPDLSGVKNLQVLDLEDNALGPKFPQVSSKIQSIVLRNNKFTAGIPEKVQSYHQLEHMDISSNRFMGPFPPSLLSLPSITYLNVAGNKLTGMLFEDNQCNAGLDFVDLSTNLLSGRLPSCLLTGPKHRIVRYSNNCLATGDRTQHPFSFCRNEALAVGILPHHHKHIPGSKLVLALIICGSIIGGVVLVCGTIIVVRKFLAKIATPRKTTRSIVENAASTYTSKLFTDAKYVTRTMKLGSLSLPSYRTFSSEELKIATNNFDAATFIGNSSDDQMYRGQLKDGSYITIRCLQTKRKNSSQNFMHHIELMSKLRHNHLVSTLGHCFECYLDDSSVSRIFLIFEYVSNGTLRSWISDKHANGRLTWTQRIAAAVGVARGMQFLHTGNIPGVFSNNIKITDILLDQNFVAKICSYNLLILDENVKELKSIRANYEEKLVVYDFGVILLEIITGKQINTKNEVRIIQNQLQESIMSNAMSRKDVVDPAMRTSCSDESLKTMIEICCRCLEQYTEDMPSIEDVIWNLQFAAQVEDSWRKDASSSDASPISHLYNLSRNSNSNNNSKSMSV >Solyc06g005780.1.1.1 pep chromosome:SL3.0:6:812338:812694:1 gene:Solyc06g005780.1 transcript:Solyc06g005780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSKKLLLSFLLISMVVTPLSTNATTITCNTITDKIKPCLTYVMSGGHVSKECCNSCKSCLGNATTILDRQTLCSCVNEILSTITEKQVNRIASIPKKCGAKFPFKISKDVDCSKVY >Solyc01g094080.3.1 pep chromosome:SL3.0:1:85533906:85537783:-1 gene:Solyc01g094080.3 transcript:Solyc01g094080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLLLDPMKYKNCKPNMHKGHNIVSMEILDCSIMSITATVVSFLFCGFIVHLLTRKLHDKRRYHPIGGTIFNQLINFHRLHHFMTDLAGKYKTYRLISPFRNEIYTSDPANVEYILKTNFDNYGKGWHSYSILKDLLGDGIFAVDGDKWREQRKLSSHEFSTRVLRDFSSVVFRKNAAKFAHILSELVKSNKIVDIQDLFMKATLDSVFKVAFGVELDSMCGSNEQGKNFNAAFDNASAMTLWRYVDVFWKIKRYLKIGSEAKLKENIKIVDAFVCKLIRRKTEQMSAPEADLSKEDILSRFLQISGTNPKYLRDIILNFVIAGKDTTATTLSWFIYVLCKYPHVQEKVAQEIKESTTEKENATDITDFAANVSEDALEKMQYLHAALTETLRLYPAVPVDPKICFSDDTLPDGFSVKKGDMVSYLPYAMGRMKFIWGDDAEEYKPERWLDGDGFFRQENPFKFTAFQVVLKLIISFDYHFVKALNASLYLTCLAGPRICLGKEFAYRQMKIFSAVLLHHFVFKLSDDNKATNYRTMITLHIDGGLHVRVFSRQRN >Solyc06g069570.3.1 pep chromosome:SL3.0:6:43444641:43448765:1 gene:Solyc06g069570.3 transcript:Solyc06g069570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLKKSPLHRSARKKSADPVAHSNSGSNPFDSDNESDKKQTVKPSRKISSEPSAAAPNLSTNPFDDDEIKETPSHAYSTSTARNKYKNDFRESGGFENQTVEELENYAVYRSEETTKSVNSCLKIAQDMREDATKTLITLHQQGEQITRTHMTAADIDHDLSRGEKLLGSLGGIFSKTWKPKTSRPITGPVITRMSDDPVQRRGNHLEQREKLGLNSAPKARSSSRTPHPEPTNAMQKVEAEKAKQDDGLSELSDLLGELKNMAVDMGSEIERHKDALDHTEQDVDELGTRVQGANRRIRRLLGK >Solyc01g104590.3.1 pep chromosome:SL3.0:1:92935612:92939455:-1 gene:Solyc01g104590.3 transcript:Solyc01g104590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L3 [Source:UniProtKB/TrEMBL;Acc:Q6SKP4] MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPSKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVIVGVVGYVKTPRGLRCLNTVWAQHLSEDIKRRFYKNWCKSKKKAFLKYSKKYETDEGKKDIQAQLEKLKKYACVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGSIAQKVDFAYGFFEKQVPVDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYKLGKVGQESHTALTEFDRTEKDITPIGGFPHYGVVKEDYLLIKGCCVGTKKRVVTLRQSLLNQTSRVALEEIKLKFIDTSSKFGHGRFQTTQEKQKFYGRLKA >Solyc02g067510.3.1 pep chromosome:SL3.0:2:38254771:38255755:1 gene:Solyc02g067510.3 transcript:Solyc02g067510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLENAKTIRLKTHNGKYLTASNDEKSIRQKRDGSSVNALWAVEFLDGQQYLRLKSCYGKYLTASNVPLLPKVTGSRKVMQTLPKKLCSATEWEAEQDGSLYQIRLKTRYGHFLRPYGGIPPWRNSVTHDVPHRKKATLWEIEIMETHKKALPAAVPAPTPNFAHITKAFSCNFTEPI >Solyc12g062920.2.1 pep chromosome:SL3.0:12:35970159:35970572:-1 gene:Solyc12g062920.2 transcript:Solyc12g062920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQVIVVALLFVAFVGLVSGANSSSSAEEEEVSSPPPPPTDSTTTSDSAPTSAEAPTASTATTL >Solyc12g007050.1.1 pep chromosome:SL3.0:12:1490127:1490701:-1 gene:Solyc12g007050.1 transcript:Solyc12g007050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVRLLNFSFIIINFMFMTLFKFSFGQGMAPSSAPSPSNDGTTIDQGIAYVLLLVALAITYLVH >Solyc03g026413.1.1 pep chromosome:SL3.0:3:3878397:3879130:-1 gene:Solyc03g026413.1 transcript:Solyc03g026413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEDSFVRRWEDLDINILVMIFLSFGLFQLIYAILQVCRAWSLTCCDPRLWKTLTCPTI >Solyc09g064220.2.1.1 pep chromosome:SL3.0:9:61605456:61605893:-1 gene:Solyc09g064220.2 transcript:Solyc09g064220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYVTRSKIGYPSSSSTNPSTASTIAPKIQKKIFISDVDLESLEADPGIRKSITEYNPNIRDDIRRYYILKKLCQPKDHKFPKTKFGKEMQQLFPNWFKDHKWLEYSIIKDAAFFFCVATCLRMKMKVVANGLMLFFIKPGFKA >Solyc12g038970.2.1 pep chromosome:SL3.0:12:52524825:52532978:-1 gene:Solyc12g038970.2 transcript:Solyc12g038970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGTPVENGYRMPAEWEPHSGCWMGWPERPDNWRNNAVHGQRAFATVASAISRFESVTVCASAAQWENARSLLPKHIRVVEMSINDSWFRDTGPTFVIRNTTANAENAVSSVAGVDWNFNSWGDVKMVIKEEMQFILEDIGSVVKEEGKHNDFKTNNTNSLKSAIYGTKGVVEGCYKDWNLDLLVARKILAIEKLPKFPHSMVLEGGSIHVDGEGTCLTTEECLLNKNRNPHLSKEQIENELKAYLGVRKIIWLPRGLLGDEDTNGHIDNMCCFVKPGVVLLSWTDDVSDEQYERSFEALSILENTSDASGRKIEVIKLHVPGPLYMTDEEAAGIVQDGEAKPRPSGTRLAASYVNFYIANGAIITPQFGDKKWDEEAIRVLSLAFPNHEVVGIEGAREIVLAGGNIHCITQQQPTGPE >Solyc07g032745.1.1 pep chromosome:SL3.0:7:41052072:41053254:-1 gene:Solyc07g032745.1 transcript:Solyc07g032745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSVNGNDYLIVTAHWIDENFYMQKRILDILQSYGICDKISSITLDNASSNNSVVQYLKTTLCPFYGDNYHIKCTAHIYNLIVRDGVNMYDNGCTKVENACHFIFKCQVKFRRKDFQNRCFENNLPPRKIPKTVATRWNTLYEMLVVAYEYRIPLQMVWDAHNSDMTYRLDDNDWRDINELIDFLKVFYLTTKRISVLYSPSICTVLPDICMISSKLYKFKNKPRFQQIIEKMIIKFKKYYIPIPQIYLTTCLLHPKYKDFGSSRMVEKIYFNLDINDELEEIPSCQQVKDSLKIEARKLYDLYNANKNLSSENEPESSRVRFDDNNIDNYLEDYLELSHDNSNDFDAYINQITEPTEDVLKWWRERTKDFQN >Solyc02g078430.3.1 pep chromosome:SL3.0:2:43678749:43680277:1 gene:Solyc02g078430.3 transcript:Solyc02g078430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQKWAPPCNKQCTNKFSALTQIPWRVFCKKACDDDGDTWEECLEQCDEMCYKGPVMKDQQWSACIDRAPGSATHSEDCHRACVAGCGFKFDIPTEEVNKIQSNRSSKTLAEEEPADKNK >Solyc10g054040.2.1 pep chromosome:SL3.0:10:54473246:54481217:-1 gene:Solyc10g054040.2 transcript:Solyc10g054040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESENVLRRRTAYDEFRKKQLEQKELDAQLWAVKENLRAAKKEYGKTEDDLKLLQSVGQIIGEVLRPLDDKRKKKSTSRSNIVVLCCKDGSELVTNGAVYSKMDKENLTSGTRVVLDMSTLTIMRALPHEVHPMVHNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIARNVDANFLKIVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDHIGKVKVIMATNRPDVLDPALLRPGRLDRKIEIPLPNELSRIEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGISAVRAERDYVIHVDFMKAVRKLNEAKKLESSVHYAADIGKE >Solyc03g121770.2.1 pep chromosome:SL3.0:3:71317944:71326879:-1 gene:Solyc03g121770.2 transcript:Solyc03g121770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSTVILEEHLWKALDFATLTQSSVSFFDVEKTETTVSRWVRAQIRASKIGKGLSKNEKAQKLAIKTWLEAIDPRHRYGVNLNRYHDVWCNSGSLQPFFYWLDIGDGTEVVVEQCSRSDLQSQCIKYLGPKEREAYEVIVKNGKLIYKKNGVCVDTIEGTKWIFVLSTSRTLYVGQKQTHHFHHSSFLAGAASLSSGRLVVSNGNLKAIWAYSGHYRPTEEHFEEIISFLQDHHVDLTNVKKFAIDNDVAPDKLKISNESKDDGTK >Solyc09g072870.3.1 pep chromosome:SL3.0:9:65975477:65978022:-1 gene:Solyc09g072870.3 transcript:Solyc09g072870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Solyc03g063690.1.1.1 pep chromosome:SL3.0:3:37736632:37738422:1 gene:Solyc03g063690.1 transcript:Solyc03g063690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPLVQEPLQETTFSQSFNLNYRAICNNLLSLTQSRSLPKGLALHAHIIKSGIQIIPLVSHHLINFYSKLQRPIDSTLVFDETLSKSSTTWSSVISSLSQNEQPILALQFFRQMLHNGVTPDDHIFPCATKACGILCDYRIGQSIHCFAFKIGFDSDVFVGSSLVDMYAKCRNIEIARKVFDQMPERNVVSWSGMIYGYAQIAEDEEALRLFKLALGEGLDVNDFTFSSVIRVCANSTLLELGKQIHGLCLKTSYDSSSFIGSALISLYSKCGLVEGAYRVFNEVPVRNLGMWNAMLIACAQHGHTEKVFGLFKEMEGIVMKPNFITFLCVLYACSHAGLVPEGKFYFDLMKKHGVEPGDQHYASLVDCLGRAGKLQEAVQVIEKMPMEPTESVWGALLTGCRIHKNTELAAYVADRVLELGPVSSGLHVLLSNAYAAAGRYEEAAKARKMLRDRGVKKETGLSWVEEGNKVHTFAAGDRSHSKSKEIYEKLDELGEHMEQAGYVADTNYVLQQVAGQEKSETIRYHSERLAIAFALITFPPERPIRIMKNLRVCGDCHNAIKIISKCTKRVIIVRDNNRFHRFEDGKCSCGDYW >Solyc11g067200.2.1 pep chromosome:SL3.0:11:53250658:53259796:-1 gene:Solyc11g067200.2 transcript:Solyc11g067200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein HIRA [Source:UniProtKB/TrEMBL;Acc:K4D9X7] MIAEKPTWIRHESMQIFSIDIQPGGLRFATGGGDHKVRIWNMKCVGKDLEADESTPKLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVIQVHERKPGSGTTEFGSGEPPDVENWKVTMTLRGHTADVVDLNWSPDDSTLASGSMDNTIHIWNMSNGICSAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTEGHWAKSLGSTFFRRLGWSPCGHYITTTHGFQKPRHSAPVLERGEWSATFDFLGHNAPIIVVKFNHSMFRRNLANAQEVKNASLGWSNGSSKSEGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFAQSVVDLSWSPDGYSLFACSLDGSVATFHFDEKELGHQLSDAELEELKRSRYGDVRGRQANLAESPAQLLLEAAAAKQTSSKKLTTVLPQVQATSKSSVDLGSVVIVPKPQSDNGKKTEGVNSDSLAKPAASTRLSSPVKQREYRRPDGRKRIIPESVGFPIPLENTSGIAQSPVVEFPNMTVEQRKDDNGMVLSGASVRDGFARKTVSVSADQRERSGVTARATISDSLIIEKVPPSACKDGSVGIEQMGIVKDPPHSGTGGTLLIRVFDNKEGVDIGPICLEAQSREQAANDVLGTGNSFVIKETEILCSRGAQTLWSDRISGKVTVLAGNANFWAVGCEDGCIQIYTKCGRRAMPTMMMGSAAVFVDCDESWNFLLVTRKGSLHLWDLFNRKCLLQDSLASLMNSDPKANAGTIKVITAKLSKSGFPLVVLATRHAYLFDMSLMCWLRVADDCFPASNFSSSWSSGSLHGGELAALQVDVKKFLARRPGWSRVTDDGVQTRAHLESQLASALALKSPSEYRQCLLSYVRFLAREADESRLREVCENFLGPPTGMAEAASSTSNKPAWDPCVLGMKKQRLLREDILPAMASNRKVQRLLNEFMDLLSEYEIPDTNLEQSNVATTTSTEMNLEQTKVATTTDKMDTDLPMTQRAAPKTLITDPTPSTTVNDRDDPAPPAVQSTEHVEPSTPLKDPMDSAQEGADEAKSVPPPTDQMNLDPPASVESEPFPSPKEKVS >Solyc09g055900.3.1 pep chromosome:SL3.0:9:46295769:46301322:1 gene:Solyc09g055900.3 transcript:Solyc09g055900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWIISCTGNELAWKDDKEFGRQMLAGINAAVIQCLQAFPPRSKNGIWSSIRRSHIEHNLDGLTLQEAMNQWRIFILDHHNYLMPFLGKINKNGVCAYASRTLLFLKDDDTLKPLAIELSLPGLSRGTEIQRVFRPGGNGSEAALWQFAKAHVGVNDSGYHQLISHWLKTHAVVEPFIIATRRQLSVMHPIHRLLDPHFKDTMHINALARSTVLKGGGIIEKTLYSGEVSMELSSSLYKDWRFDEQSLPGDLLKRGMAFHNPDCLAGVQLLFEDYPYGRDGLEIWVATKRWVNDYCLHFYKDDNSLRFDHEIQEWWSEIKKIGHGDKCNETWWYPMTTLSDLVEALTTLIWISSGLHASVNFGQYEYVGHPLNRPIKCRNFIPMEGTKEFAEFLHDPDKFFLKMLPNSSETTLYMALLEVLSAPTSDEVYLGQQQSPNWIDDVWVKQRFQQFAEELNKVDKRIVERNADPKLKNRRGPSNIPYKLLRPAVSNVKSCQGITAIGIPNSISM >Solyc01g105230.3.1 pep chromosome:SL3.0:1:93359101:93373639:1 gene:Solyc01g105230.3 transcript:Solyc01g105230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGSDPPGFRLDITQILSEVQHRWLRPAEICEILRNHRKFHLTPEAPFRPVSGSVFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHEKLKVGSIDVLHCYYAHGEEDDNFQRRSYWMLEQDLMHIVFVHYLEVKGNKVNVSSIRSTKSVHPNYLNDCSLSDSFSTRHKKLTSANADSTKDSHQACSRFHSYPDRASGMDSHLVENRDTISSSYGSPQSSVEYTPLPGIDGSGKCDLGNFASGPQRTIDLGSWEPLPQHCLNGEMVCQDDFKNNLSVHGNWQYSFGQSPLQFHGQNVNQDLIADSSYDLGLPSDLLTVRGPSYLYSNEKEEQLAQLNLQFLKSLVEVQGDINQENSMDMLELGDYSTIKQPHLSSVKVEEGLKKVDSFSRWVAKELEDVEELHMQPSNQMSWNVIDTEEEGSCLPSQLHVDSDSLNLSLSQEQVFSIIDFSPNWAYSNLETKVLITGRFLKSEGELVEYKWSCMFGEVEVPAEVLADGVLRCHAPPHKPGVLPFYVTCSNRLACSEVREFEYRFGPYQEVGAADVSMTEKHLLERIENLLSLGPVSSCRSSDSMEDSEEKRSTVNKIISMMEEENQPIIERASYGDTSQCRVKEDLYFERKLKQNFYAWLVHQVTDDGRGRTLLDGEGQGVLHLVAALGYDWAFKPILASGVSVDFRDMNGWTALHWAAFYGREKTVVSLVSLGASPGALTDPSAEFPLGRTPADLASANGHKGISGFVAESSLTTHLSKLTVTDAKEELDSEVCEAKVGETVTERVAVSTTENDVPDVLSLKDSLAAIRNATQAAARIHQIFRVQSFQRKQIIEHCDNELSSDENAIAIVASRACKLGQNNGIAHAAAIQIQKKFRGWNKRKEFLLIRQKIVKIQAHIRGHQVRKKYKPIIWSVGILEKVILRWRRKRSGLRGFRSEAVMSKPSTQEDSLPEDDYDFLKEGRKQTEVRMQKALARVKSMTQYPEGRAQYRRLLTAAEGLREVKQDGPIQIPEIPEDTIYPEEELFDVDSLLDDDTFMSIAFE >Solyc03g120475.1.1 pep chromosome:SL3.0:3:70343028:70344306:-1 gene:Solyc03g120475.1 transcript:Solyc03g120475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MIP [Source:UniProtKB/TrEMBL;Acc:H6V7A0] MAGGVAIGSFSDSFSVVSLKSYLAEFISTLIFVFAGVGSAIAYGKLTTNAALDPAGLVAIAVCHGFALFVAVSISANISGGHVNPAVTCGLTFGGHITFITGSFYMLAQLTGAAVACFLLKFVTGGCAIPTHGVGAGVSILEGLVMEIIITFGLVYTVFATAADPKKGSLGTIAPIAIGLIVGANILAAGPFSGGSMNPARSFGPAMVSGNFEGFWIYWIGPLVGGSLAGLIYTNVFMTQEHAPLSNEF >Solyc08g007950.3.1 pep chromosome:SL3.0:8:2447784:2457905:1 gene:Solyc08g007950.3 transcript:Solyc08g007950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSLDKTSTPNPLLSISSLHHSRISKSLCLPRKVLVFADKNDNSASITSGFLSKTHFSYKKVTVFADKDDNSSPVASGVLSRTHFSSKKVAVFASKDDNFSSITSGVLSRTVFSSRKTKKFVVFASKDDRNSNKLDQWDQMELKFGRLIGEDPKLTLAKIISRKTNPETSYLEIEESFEQKKGKTSGETVEVPFDASKQKKSLNSSNGLNLVRPVPKKGVKFEVDEKQPKTEGYKQSQPISRPEVSRKSSVPNVILRKPSLYSEEDESSKFKIKPNLTLKMGRELKPEKFSDVTLLKKPEPMRISSDDSEKNGQSSDKSSDATLLKKPEPMRISSDDSEKNGQSSDVLPVSSDDSEDASLTEVYASSSEPKNSLLLNKPEPSNLNLKIDPNKESSEVQHPSISDESTFDAANSSSELISMAESKLRQPLQSNRSNPLEKQGFGTGFQQTDTQPAERSSDSNTPAETGPMESLDAALLGKPKRLDRPKKEASRVSQEDMRPVKSEGYGNASEIENFLAKSSIKEHEDNDWVRAEELVKSGGREDVELVSCSTRGFVVSFGSLIGFLPYRNLAARWKFLAFESWLRQKGLDPSQYKQGLGIIGGYDGFGKAASPEAGVDPQIAKNADEEISPDMKLEDLLRIYDQEKLQFLSSFVGLRIRVSVVLADRYSRRLIFSLKAKEKEELVEKKKSLMAKLQVGDVVKCCIQKITYFGIFVEVEGVLALIHQTEVSWDATLDPASYFKIGQIVEAKVHQLDFSLERIFLSLKEITPDPMMEALEAVVGDPDNLNGELQASELDTEWPDVESLIKELKQFEGISSVSKGRYFLSPGLAPTFQVYMASMFENQYKLLARSGNRVQEVIVETSLSKEEMKSAIQSCTNKVE >Solyc06g050185.1.1 pep chromosome:SL3.0:6:32759945:32760520:1 gene:Solyc06g050185.1 transcript:Solyc06g050185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPGYYEIIVSLYYARDAEVVVLKPVFLFCRFLTMGRLISLSFGETALTLEYWQMAKELERLRIERL >Solyc04g016060.1.1.1 pep chromosome:SL3.0:4:6735026:6735871:-1 gene:Solyc04g016060.1 transcript:Solyc04g016060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAPQQQQHQPFAALPRTSSCKSSKKIIPANNSTYSRSYSHDYSTDKSLVLPKNATSSSSSSNNFNRLTKTRIGVSSLLRSLISIISFPSVLPTCRWLNLPNQFSITPSLGRKVTGTLFGHRRGHVSFAVQDDPKSDPVLLIELAVSTSSLVKEMSSGLVRIALECEKTAAPGGSRRRLGLFEEPMWTMYCNGRKCGYATSRLCTDSDWHVLSTVQSVSVGAGVIPVVDDGRKISAAEGELLYMRAKFERVVGSRDSEAFYMMNPDGNGGPELSIFLLRI >Solyc02g062170.3.1 pep chromosome:SL3.0:2:34283341:34284491:1 gene:Solyc02g062170.3 transcript:Solyc02g062170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLIAIKESEKKEMAKKSALFDITNGSPIVGLATGVLKTPSSTVSRRKCSTPGSGEALLRGQVKNLLQMVEEEAQISWGETTNIFHVAPTPANTPLMDLLSTDELLPPVTASPVEDKFVISQILNKIVYEGKKKQESVESEMSVTRSLLLDFCDKSESSVGFFIHQEDEEEEKEIDELCEAISSMSVTVGGQKFAGKKTKFVYNSDDEFVGVEDESAASPERID >Solyc08g076500.3.1 pep chromosome:SL3.0:8:60620577:60621007:1 gene:Solyc08g076500.3 transcript:Solyc08g076500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSIPKLIFFKKKLRLTGLCKFLYFLPANVHVILYLKKIRLIKSTLKK >Solyc08g066190.2.1 pep chromosome:SL3.0:8:54655146:54657910:-1 gene:Solyc08g066190.2 transcript:Solyc08g066190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVSKSRNDGNKSRKLKKMYDTEDIHEDVDLSSSIEIEEKNKTDKGNIKKTKSVKLGRSSEDPTHEKSGKRVRFSGQVQIFPSLNHTSDEKHEIEEENLLQGKRFSKLEDEIVKEAVHKYIELHNLGEQGLKKVLNARSYPEIKGCWKEIGRSIPYRPTIAVYSRAQILFRRSESRKWTEEECEILLKLQKEHGNNWKRIADELGKHRRHVKDTWRRLKQSNQNKGSWTQEEYQNLFDLVNTDLRLKLSEEKKSKHGMLRDNIAWNAISENLSTRNPTNCCLKWYNQLTSSMVDKGEWADVDDYRLIDALFELDASCIEDVDWDNLLDHRHGEICRKRWNQMILHIGQLGNKSFVDQVEVLAKRYRPDLVEVREAWDNKPIVP >Solyc09g007320.3.1.1 pep chromosome:SL3.0:9:918063:920282:1 gene:Solyc09g007320.3 transcript:Solyc09g007320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLPPTPPSPPSSTSPFPFTQNLIFNSSSSSTLTCKATNSSFQPHPTSNSSNPTSLITSKNPFSASPDNTLLTLLQQRKTDEAWLHYTKNLDQQLPNPTCLSRLVSQLSYQNSTISLRRAQSIIQRLRHERQLHRLDANSLGLLASAAAKGGHVLYAASIIKSMLKSGYLPHVKAWSSVVSRLASSGDDGPIESLGLFCAVTKRIRRLSNLDLVKHSRPDTAAYNAVLNACANLGDKNRFLELFSEMSEFDCEPDVLTYNVMIKLCARGDRKDLLVFVLERILEKGIPLCMSTFQSLVAAYVGFGDLVTAEKVVQAMREGRRDLCKMLREGNVEEVCLNDGDVFEKLLPNSVNWRDNEPPELSRVFEPNSRMYTTLMKGYMNAGRVTDMVRMLEAMRHLGDSESHPDHVTYTTVISAFVKQGLMDRAREVLAEMVRIGVPANRVTYNVLIKGYCQQLHIDRAEELIKEMIDTGIEPDVVSYNTIIDGCILVDDSAGALSYFNDMRARGIAPTKVSYTTLMKAFASSGQAKLANKVFDEMLKDPRVKVDLVAWNMLLDAYSKLGKVEEAKSVIERMKENGFYPNVATYGSLANGIALARKPGEALLLWNEIKQRCGMGNGEESTPSSGLLPPLEPDEGLLDTLADICVRAAFFRKALEIVACMEQHGIPPNKTKFTRIYVEMHSRMFTSKHASRARQDRRKERKRAAEAFKFWLGLPNSYYGSEWRLDSVIDDEYKSE >Solyc05g012550.3.1 pep chromosome:SL3.0:5:5785350:5787427:1 gene:Solyc05g012550.3 transcript:Solyc05g012550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLKQIRVKFTGIGEEERGGSSRDRTIPPQKTQLFKETKRIPSWLHRQFPRTMSRDYDSSDSIDYPAAVAAAAFAITSIEEKSEYDHRRTNSGGDKPLTKIKSKGEDIAKKPEKLSDEASKSSSKIPYKSEPIGSTTINPEPVKSVPSIKKKPTFGDTKPESEVVGKLKKVPSIKRMPAFGESTPESEVAEKAKKAPSIKKTPTFGDSKPESEVAEKAKRAPSMKRASTFPDQSIDIRPPKAPEVPVSAPAVQPTRQLSSQPGMAKAPNTIKPASGNSQADIWEKEEMEKIRIRYKKLINEIVDWETKKKKKAKRNLEKVEAELDRRRAKARKHFNDEVGRIESIAGGAKEQADQHRKNEELKVLEKANKIRVTGKMPSTCSCF >Solyc06g073033.1.1 pep chromosome:SL3.0:6:45141950:45142458:-1 gene:Solyc06g073033.1 transcript:Solyc06g073033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGRSSSVNKLWLLNHHIHSRTISTTTSSLVTKQTSKQLPSRHLSPSFTSTTVRHFFFRSRDPYAKYHVRVPLNLGIRIVPEKKAHVVERFGKYVKTLTPGIHFLIPFVDRIAYAHSLKEETVPIPNQTAITRDNVSISIDGVL >Solyc02g090135.1.1 pep chromosome:SL3.0:2:52415937:52422970:-1 gene:Solyc02g090135.1 transcript:Solyc02g090135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNDLIQRRLVSLLQPWLRDEVELNVQLGFLHSHANLENLTFNTSALNDLLDDPTRLCFKEVTVQRLTLSVSNWSAPAFDFQVHGLNIVLSVGEEEDDGVRRRPKPRDSSIEEREKILSMMREDIVEMVNVSQRNLEVEVGVNTKLEDNSFICKDENCLDLTDSHNLVDVDMFQGSLFDWILQQCRFVGENPFDLDVQNVEITPSDISIILLLFTTSLNSSPKFAYKKMNSVIEKELPVEGGHRSKLTLLPLKKMLVRNQDICHKLGIINDYHIPGVHIYPGLLTFCLSVDFFCLKVVSSLMEDKVLYFTESGGWRTFSSGFVESEIQNMKNPFILCEIKGFLTDRSLKNLTVGYTTCCMVMGRLNLVLEYLVIVSVTVICRQVSVISWATSRMGTTVLQGDSRLVEDPPVADWNNKYKSVCAEIKVMVPRMLPEKHMQIAIHITGAQTKLLLRKEDFHGENADLYHKLGNDAVHLSFDVDDIELSVSPSLESDLTSSSGDTAVFDAKSLKDLLSIDIAKSDSVYSSRTCTSLSAYLKLKGLNVSLDTRDNQSCQIVVLSSSTIRLLSLRKDLHSLGSVDIFFSIVLHSIGCGLTTWVFMDEFEVLRKVISGLLCTVFQVFSTSSSGLSRSCEDLLRRESTDSESERTTFNVSTTCEISQIHVYGINISVAILDTSDQVEPQLFEMNGSDSRHELVKSLSVGGQFQTISCQSQVSVLQLRD >Solyc06g051060.3.1 pep chromosome:SL3.0:6:34250661:34252796:1 gene:Solyc06g051060.3 transcript:Solyc06g051060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGSSSTEEDSKSNPKQQIEFEEEEDVETEVNSKAQGTTRSSSSNSTVEENGKKPNSASVRQYVRSKTPRLRWTPELHLRFVHAVERLGGQDRATPKLVLQFMNIKGLSIAHVKSHLQMYRSKKTDDPNQTVSTDGRFLLENGDHHIFNLTQLSRLHGFKQTSSSSLRYDTALWNRQANSLYNPYNMNIGGGSSISTRHGFASHSSHEQLFPWNKSIEIEKNQNRHNQRFWPPITQIGTSSAKQNLLMTLPKGNRNRDKDEIVKQFNRRSSEQEMMTQIRAKENNSLSSRGKWWMSEEVVGTTTINTSSSNKRKIQDSDIDLDLNLSLKTTREYHQKRLKGEQVGDLLSLSLFSSSTTSEENNAKKASTLDLTL >Solyc03g007500.3.1 pep chromosome:SL3.0:3:2072334:2080670:-1 gene:Solyc03g007500.3 transcript:Solyc03g007500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMEVYEIEAVLEKIWDLHDKLSDAIHSVSRAQFLNSVKSRSKSDDFYGHRSNKRNDNNGNQVKHGYVFVKEFPVDEDESAVHEAKSLNAIRTALEHLEDQLEFFHTVQNQQRAERDAALARLEQSRIVLAMRLAEHPGKKYKFIEEAQSLVGDVRNASQFVSPENQYGPATSATGENLTTQIKKRSNALLNIFFSSFDFFRKSFRVDEVGGILGNAALVAISMLAVMHLQQVGSKEKYLLDLPLGQDVDYSRNIRKISQPEGSSSSLNLDVLSARG >Solyc07g042093.1.1 pep chromosome:SL3.0:7:55158056:55163100:-1 gene:Solyc07g042093.1 transcript:Solyc07g042093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSSTNEADTPFVDITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKCILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSRSAGTLNLSQELYIKKVLSRFRVNDAKPRTTPLANHFKLSKEQSPKIAEERDHMTLGFVDANLCGDVKSSKITSAYIYTIVGTTVNWMSRLQKCVSLSSTEVEYVVIAEAGKEMI >Solyc06g076130.3.1 pep chromosome:SL3.0:6:47408064:47414091:1 gene:Solyc06g076130.3 transcript:Solyc06g076130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKWSRHVDHEDEDIHPGCMMGFIHALGYNSWHSRVKRKSLPRINDGSSHIRSIYSSKGKLVGQDPSELEMLLDDNESHFLVDKGKRKSKGSNKKSLKAKIKDLIAEEMHKEKKKSKKKKSGSSDQPKFQTSGSVEHSDKKHPSVLVPANVEGGESPDKKRMNAIDAYDTSNDKNALNSQLKDHAELLLEILRESEAEYQNLSRGQLASYKKARLTKSGSYPVSRKTNFKPIKLEDKKKEVWSSAKGERLIGVTRTRSLSNYAKTLFTSLGLLDDDGRNLKLDKSSSLSTKGAVDNKENNEELVDVEDVNKVDTDHQNKSNGCCEIDGFDISENTIIHKRSSSLNEFMNRYTKLFEHSFKKEMNLYPSKSLKLTSEYEIPPSMSFRRIRSLSYSESCSLLPNGVSGDIRFSEWLVKTVEERNSHMRGEIEKEEKSIYSTEETGCKIEQIEGSEDINIDEADNEVVEELSEIIDEVKVFNGNSYDEQEIKCNESIPSDVVPNSEICFKEDISNYAEFQISEGFAHKQNVDVDILAKADHHDKSYRKENADFFYVRDVLDHSDFSRNFFKTTWYSEAQVLNPSLVKELESLWHEEEEECCLGEFDFCCHHHLLFDLVNEVLLQMYDRSFTYYPKALTYNCRVPQLLENRMNEEVCNNVGTLLRLKPEQESIDTIVDQDLKMDDGWMNLQLESACLALELEDMIFNDLLEELRCF >Solyc06g076910.2.1 pep chromosome:SL3.0:6:47925133:47939509:-1 gene:Solyc06g076910.2 transcript:Solyc06g076910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHLTKGSNDVCITRYNMLSLGFSLPPPSDNKLIITNNNQYNYRTNLANVCSNNNVNAVGDQLVTLPEGLKHVAVIMDGHRRWAKNKGLTVKQGHRAGGEKIQVLTRLCSQWGVKVLTIFAFSTENWVRLEEEVDFLMKLFLELIGSQEILDEWTRDGRRVSFIGDKSIFSKSLQEALAVMEERTKFNSGLHVIIAINYSGRQDILQATKSIAIKVKNGDLTVKDIDQSLFEQELDTHCTEFSEPDLLIRTSGEKRVSNFMLWQLAYTELYFANKLFPDMEEADFIEALTSFKSRQRRYAGILGNQTDKLALLDLKSQITEDPQGLMDSWNATLNVCQWPGVTCGHKHQRVISLDLKDHRLAGTISPSIGNLSFLRILDISDNSFHGVIPPDLGQLIRLQTMNLSFNFLSGEIPFTLSRCINVVNLILDHNILQGHIPTELGSLTKLEMLYLKNNNLTGNVPNSVGNLTSLREFYISYNELEGELPETMTNMRSLIELGVSVNSLTGEFPPALYNLSSLTLISLSFNKFRGRLRTDFGLAFPNLQRLYLANNYFTGSIPASLSNCSDLLRLDIPINNFTGNIPLSFGNLKNLLWLNVLDNQLGSGAPDDLNFINSLTNCKMLEFLDIANNKFGGMLPYSITNLSTTLTKLLIGYNRISGTIPREISNLVNLDMLGIQGTLINGSIPDSIGMLSNLKNLHMESNQLTGNIPSSLGNIRGLLYIYLQDNSLEGTIPSSLGNCTSLQTLDIAQNKLSGSIPKQVVALSSLSVLLNMSYNSLSGPLPVEIGNLTNLAALDISNNKLSGEIPHSLDSCSSLEILYLQGNFFEGTIPPLDDLKNIQYLDLSRNNLSGNILRSIYKHVSLQNLNLSFNHLDGEVPVQGVFADARRIQVMGNMNLCGGIEELHLHPCLKHANKRPKKHIALILLLALGTSAACLTLLLLVSYCCVKKGKHRPRTASSFRKGYTQVSYEDLLNATGGFSSNNLIGSGSFGSVYRGNLSPEGTIIAVKVLKLEKKGASKSFLAECEALRNIRHRNLVKISTVCSSVDFDGNDFKALIYPFMENGSLEEWLHPKEGQMLQKRLSILHRLNITIDVASALHYLHSQCHTSIVHCDLKPSNILLDNDLTALVSDFGLAKFLSDAGQNADVNQFSSSGIKGTVGYAAPEYGMGGQVSSQGDVYSFGILLLEIFTGRRPTSELFEDNETLHSFVKQALPRQVMDVVDQSTFYETEPGDLKDIFSCRSDFTDEFAECLVSILTAGVACSEETPQARISMGQLIFDLISIRNKLNRILVHSEKVKISRKGES >Solyc12g013870.2.1 pep chromosome:SL3.0:12:4655946:4664265:1 gene:Solyc12g013870.2 transcript:Solyc12g013870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALRVPAMAAAGFAAQSILRRVVPSFLSTRPTTHVVGDFFSGTNLILRTPLHPSAFGICSLPQAIKGDADILLKGVRDKNTIEDVKRIVELAKRASLRREVLHTEFLTPPVLKESMLVLERLADLRIVAQGGYPEAERCRLSVGHVEALTSDPDNVAALSISGNFSFQPCSHGDFLGAILGTGIARNKLGDILLQGEKGAHVLVVPELSDFLISALDKVGNVSVSCKKIPLLALEYEPPRTKSSKSIEASLRLDAVASAGFKVSRTKMASLIRSFLYPVGFKFGFILIVTCKRASNGDVRVNWSTVTKSNTIIKTGDMISVSGEGRLKIGEISSTRKGKFSVELIRYL >Solyc02g081570.3.1 pep chromosome:SL3.0:2:46023439:46027384:-1 gene:Solyc02g081570.3 transcript:Solyc02g081570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRSDSVNRNTKKNDGDDDTAQNEEALYSVERIFESKEVPSWQDQLTLRAFCVSFILGILFTFIVLKLSLTTGIIPSLNVSAGLLGFFFIKTWTKLLEKSGVLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFAMSEVAAKQSTEANNAFNIKNPSLGWMIGFLFVVSFLGLFSVVPLRKIMIIDFKLTYPSGTATAHLINSFHTPQGAKLAKKQVKALAKFFSFSFLWGFFQWFFTAGDDCGFASFPTFGLKAYENKFYFDFSATYVGVGMICPYLINISLLLGSILSWGIMWPLIQDRKGHWFPADESPSSLHGLQGYKVFIAIAMILGDGLYNFVKVFGRTLYGLYLQFRSKDEGAVLPVGARPSPPEPSMSFDDQRRTTLFLKDQIPTWVSIVGYVGIAILSTITLPHIFHQLKWYHIIVIYVFAPVLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNIVSTASDLTQDFKTGYMTLASPRSMFISQIIGTAMGCLISPCVFWLFYKAFPDLGTQGSAYPAPYALVYRNMSIIGVEGFSALPKNCLILCCIFFIGAIVINGIRDLVGKNKAKYIPLPMAMAIPFYLGSYFAIDMCLGSLILFVWTKINKAKADAFGPAVASGLICGDGIWTLPSSILALVGVKPPICMKFLSRNANTRVDSFLNS >Solyc07g005740.1.1.1 pep chromosome:SL3.0:7:600271:601701:1 gene:Solyc07g005740.1 transcript:Solyc07g005740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTKSYSQLNNISTQILNFLNKTSISPSQISQIQAQIIHNNLHFNTTIAHNFISVSKSLGLFNSAYTLYTKLIKKPHIFICNTLIQECSHSEIPVLKQNSISMYVHMHKESIFPNNYTYPFVLKSLSDLKELKLGKSVHTHVVKWGYVCDIYVQNSLLNLYASCGEIEFCQQVFDEMPERDVVSWTVLIMGYRDCGKFGDALVVFEKMKDSGVAPNRVTMVNALSACANCGALDMGMLIHDEIRRSGWAMDVILGTSLIDMYGKCGKIEHGFWVFQEMKHRNVYTWNAVIRGLALAKSGEEAVRWFFIMERENVKPDEITLVAVLCACAHTGMVEQGREIFSWLMNEKYGFPPGVKHYACMVDLLARSGHLEDALRMITDMPMEPTKSVWGGLLAGCRLHGNQELSEFAAWKLIGLAPRNSAYYVVLANLYGAMGRWNDAEKIRALMKERGLSKDLGSSSVELEDQKDLQELLR >Solyc02g086850.2.1 pep chromosome:SL3.0:2:50095906:50099994:1 gene:Solyc02g086850.2 transcript:Solyc02g086850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRNESSDTLRNKCAACYRQFNKKEHLVEHMRTSYHSVHEPMCGVCKKHCRSFESLREHLIGPLPKAECERIFKERGCDICLSILGNRTSLRAHRESCLSRPNNNGLLYRMANLGIQDELRIDNSRGRVVALACKMVGGGSDGSLDLCARVCLIDEHERILFHSYIKPNIPVTNYRYEMTGIRPEYLRDAMPLKNVSRKIQEFLCNGEPIWQIRSKGGRSRILVGHGLDHDLKCLEMDYPAIKMRDTAKYPPLMKTSKLSNSLKYLTRAYLGYDIQSGVQDPYEDCVATMKLYMRMKLSQFHKKENYPLATDPQNKNNFASWRQNELERMTPDQLLDISRSDYYCWCLDSQDY >Solyc11g011130.2.1 pep chromosome:SL3.0:11:4209495:4214535:-1 gene:Solyc11g011130.2 transcript:Solyc11g011130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDMTLDDMIKSRRNTERGGRGQGRARRGRGAGGSFRGGSFRGGRTTGAPRRGPLGTFRRTKNLPWQNGLFEDSLRAAGLSSVLESGTKLYVSNLDVGVTNEDIRELFSEMGELVRFAIHYDKNGRPSVSIAKAFKLIMDIIASGFSKVGPLKMAYKSLSLGAAEVVFARRSDAFQALKRYNNVQLDGKPMKIEIVGSNPEIPLSARVNVVGGTNRRRTVVMAPGGPARGRGAATSRGSRGSSSRGADKSAEDLDKELDSYHANADAMQT >Solyc03g118660.3.1 pep chromosome:SL3.0:3:69009092:69014083:-1 gene:Solyc03g118660.3 transcript:Solyc03g118660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEIKLSRSNRIYRPNEPVEGKIVTKLSSSISHQGIRLKVNASVNLQVRGGSAGVIESFYGVIKPITILNKTVEVLKSGRISSGSTEIPFSFWLKDPGEKPLEKFYETYHGGDVSIQYLVSVDISRGYLHKSLSATMEFIIESEKENLPEKPISPEMVIFYMTQDTQRHSLLPELKSGGFRVSGKMCTLCSLSDPIEGELTIESSAVPILSIDVHLLRLESILVGEKIATESSLIQTTQIADGDICRGMTLPIYIILPRLLTCPSIFAGPFSIEFKVTLVITFQSEQSKVHSKSNFKTLKSWVTCSGKYSP >Solyc05g009890.1.1.1 pep chromosome:SL3.0:5:4112480:4113841:-1 gene:Solyc05g009890.1 transcript:Solyc05g009890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERATGIIFFFLLISSVAAVNRRTKFEYLKLPLLHKDTFPTTPSQSLSSDIHRLNTLYSSLGHRSITRSAKLPLTSGATTGSGQYFVDLRLGTPPQRLLLVADTGSDLVWVSCSACRNCSSRPRNSAFLARHSSTYLPYHCYDKKCRLVPNPTGVACNHTRLHSPCRYEYSYSDGSETKGFFSTETTTLNASSGRPVKFRNLAFGCSFEASGPSIAGPSFNGAQGVMGLGRGSISLASQLGRRFGNKFSYCLMDYTLSPTPTSYLLIGRSTAVNDPKKMNYTPMISNPFTSTFYYIGIESVYIEDVKLPIRPSVWEIDELGNGGTVMDSGTTLTFLAEPAYRRIVQAFKRLVTLPEADEPTVGFDLCVNVSGESRPSFPKMSFKLSGNSILSPPSGNYFIDTAEDVKCLALQPLTAPSGFSVIGNLMQQGFMFEFDRDRSRIGFSRHGCGKP >Solyc02g030220.1.1.1 pep chromosome:SL3.0:2:25806436:25807452:-1 gene:Solyc02g030220.1 transcript:Solyc02g030220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFGNLGQRSLLAFALVICFVASTVVADYSYEYTSHSPSPYYKKREKHVEHSPSHHYYKSHAPSKYYKTHVVAKYYESHAPSKHYYKSPVVAKYYKSHAPSKHYYKSPIVVKYYKSHAPSKHYYKAPVVVKYKSPTPSKKYYKSPTPSKYYYKSPSPTKYYKSSSPAKYYKSPTPSTHYYYKSPSPSKYYKSPTLSKYYKSPPPPKYYKSPIYYKSPPPPTYYKESTPSYKSPPPSPYYKESTPSYKSPPPQPYYKESTPSYKSPPPPPYYKESTPSYKSPPPLPYYKESKPSYKSPPPPPKYYEQSPTTYNSPPPPPQKYEQSVTYASPPPPPVYY >Solyc02g069547.1.1 pep chromosome:SL3.0:2:39973389:39975565:-1 gene:Solyc02g069547.1 transcript:Solyc02g069547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNFDRGLTISVTINPSIDTYAKCVRARDDPYFDGMRRGETTAINKGSGLDILRKVTINDITRSASDVGNRGQKKITVKLSENDVYNDRHSPNSASKGIHESLGRSLSVRWSAASKLNSPPVRSPRTGLKIDLHDVDESSVQSSPKSSDVEYHSPGGLTLPAYERSYTVTKKSRTVSDIPLPPSAASFYCGNSAQMEVLGSCQGIHRLNIFLKARRDYVSAGVPSQFLHAVIGPDCCGNIHEPFIV >Solyc09g008940.3.1 pep chromosome:SL3.0:9:2333342:2337658:1 gene:Solyc09g008940.3 transcript:Solyc09g008940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSNFTNSKNSIFFTENQKSMMEMEDKESTESGSLGVNSEYDSPPPEQPLAVVPVVAGGAEAGANGSFSSGVGGVVGTQVMDISLGGTGGGGMVGSGGNGVVVGSGDKKKRGRPRKYDADGNLTPQYIKAAAAAAAKAAAAAAVGSGGGGGVVVGGAVTSPGGGGVTSPPTGFTITSPVLSSGGFSSSKRGRGRPTGAGNLQLIASLGELFAHTAGGDFMPHVVTVHTGEDVAGKVYSFVQKGSRGICVLSANGAVSNVTIRQPGSSGGLLTYEGRFEILALTGSYTVSDNGGMKTRSGGLSVSLAGPDGRVIGGGIAGSLTAASPIQMVVGSFMPNAFKTHNKRKHHQIESRGPPVIHSSPDPVSMIRPVSQAPPSSNITLTQTSQVPSHNHGEADNSTSNKDMPNSTSTDTSDCNGSEPAFEQRSYPDINVSIPME >Solyc05g006323.1.1 pep chromosome:SL3.0:5:970350:972401:-1 gene:Solyc05g006323.1 transcript:Solyc05g006323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSSSRITITLGRSGQVVKKAGSVLDHPFPDSGPAVGSKRSVRDRLGSIADSTTEFNNKRQRGNGSRLGSSGIEDGRLGKDDLRYKILRKAALDRGQSNGQQNGVDLRDFLSRPAQSSTAKPSSRQHIPDQRDNRRRVPEPRDSRARVPEPRDNRARVPEARDNRPRVPDQRDDRQHMLHSRGSREYLPEIKDSRQYMPEGRDFRGHHPELKDDRYNTPESRNVSILGRAPLARNADALPMMDSIRNSYSPWTLDRLRRTSPDGVHSSSRGISPPRRGEELQRRPPVTPYDDPRLSSYTRKDVSELSRPMTSTGYLSNSSQPAGPGKTVAPLRAPIPQSRSLVQKSSYAVQST >Solyc01g100590.3.1 pep chromosome:SL3.0:1:90446724:90453012:-1 gene:Solyc01g100590.3 transcript:Solyc01g100590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSLLLSIFLLFSIGIQFVSSHQESGNWHCETDEVSRISAEFRPGVVTLDGHADDWENIEGFEFSLLPALDPDHDHEYKGGKMTVKALHDGKDVYFLLQVDGEYVYKKGNDNNCPSVALMFQVGESATYHSMGGCKQSPDACNKKTCRGHEVDIMHFSIGNAIPGRLYGGNPVDNRDGNGGDRFGHLVDLYAWSPHCMNLDGVSSTGNDTSAQNDWRGAWWHSSFSTHSGYSEEDSPYSTKGQKGTFYFEFSRALRTMDRLQQDVQFTVGQSSKFSAAFWYPEDGNPWHGSGHYTIGCDWVPLDVPPGSSAGIKVVSRSSWDAANGFAFLLSVVSFGVSFFVAYWVHKKNAISFQPIDL >Solyc11g068810.2.1.1 pep chromosome:SL3.0:11:53720721:53723980:-1 gene:Solyc11g068810.2 transcript:Solyc11g068810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRPAKPERDEVLLKIVPPLDQAYVRWLARDLERIHGFTPRNPRAVRPPDHYIEYMRLQGWLDVSLDDPDLAHLLK >Solyc10g047480.1.1 pep chromosome:SL3.0:10:40816800:40819920:1 gene:Solyc10g047480.1 transcript:Solyc10g047480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAENLQNSSDRKAQKTKETIKNNLDFPLVKIEAEEKSKQKDSGLDERSPTWGQPDSLTISFESDSESMAYTTSSDSSEISYSYFVESPPLAVVPISFIEPEDFKESDEKPMPTEHRPLKMVGVGMRNLGNTCFFNAVVQCFMHSVVLLQLLALFDHVSPCDTHLTGFCVVCMIRQIVDLSMSSGSDNVISNKIFSHLNDFSPTFHPNQQEDAHEFLQCFLNKLESCCYNLDPRDNIVKKAFGGHLVSMLRCFNCCHLSITPEPLIDLSLEIVDVDCVPKALESFTKIENIEFFCEKCKTQGPFEKQLLIDHAPSIATLCLKRFVNDGFDIQKVDKHVSFPLELDMFHYTNKINNEEMKYDLYAVIVHSGFSISSGHYYSFIRCNPNEWYKFDDEQVHWVHEDHVLEEQAYILFYTKRHTLWFTDYTQIHKPFVNLVIPSTSSCIPNNHASDVGESNHVADDTSPKATPNKRIKGDY >Solyc01g086970.3.1 pep chromosome:SL3.0:1:81762778:81767133:-1 gene:Solyc01g086970.3 transcript:Solyc01g086970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSNQNSKRIRKIGFLLCLQQTGKFNMEQNDTGCQAPQAPVLCVNNCGFFGTAATMNMCSKCYKDMIFKQEQANFAASSIESFVNGSSNASVKAVDVAVTVQEGPAESLVIPTQVAVPVESEQVEKAKEGPNRCSTCRRRVGLTGFNCRCGNLFCSAHRYSDKHECPYDYRKAGQDAIAKANPVVKAEKLDKI >Solyc02g069730.3.1 pep chromosome:SL3.0:2:40112584:40114695:1 gene:Solyc02g069730.3 transcript:Solyc02g069730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4B7V5] MAQEGNGQKIDVAKSKVYYNWVMPLLRLLAFGATISATLVMALNNQKKTIVVATIGTTPIQATLNAKFQHTPAFVFFVIANGLVSLHNLLMLAFCFIGNKYDYKGLRFYVIGILDMINVALISGGASSAAFMGQLGRNGNSHARWNKICDKFYTFCNHGEGAIIASFIGLLLMIITTAINIIKLKNINNCAINIP >Solyc09g055235.1.1 pep chromosome:SL3.0:9:37984936:37985931:-1 gene:Solyc09g055235.1 transcript:Solyc09g055235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIQETKSILQTNFKIKDLGELKFFLGIEFARSSEGIFLMHQRKYALELISDLGLGGTKLVGAPVEVNQKFTSIVFDQHVHSQHDPVLNDPGPYQRLVGRLLYLTVTRADISFAIQNLSQFMHSTKQSHMEAATRVVKYIKQAPGVGILMSSTVSSKLQAYCDVDWGSCLTTRKSVSGYAVKIAD >Solyc07g025120.1.1.1 pep chromosome:SL3.0:7:24665209:24665442:-1 gene:Solyc07g025120.1 transcript:Solyc07g025120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKISELLFIEREEVSLSKFLFALLRLNKRIENRLKVSVSFLSLCLFRPRFAIQQEVSSSIPFSFLFSDADTKIFKL >Solyc09g097865.1.1 pep chromosome:SL3.0:9:72352100:72357682:1 gene:Solyc09g097865.1 transcript:Solyc09g097865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFPFSLQDELIRVKANGSQADQKGNHSLGWNARRSLNLLKFNLNRPMTVPPLDEDGDTEMEIVEEAELLGLLPGGSKEVGILRKTLSKSFLVGPSEGRNEEKHSSCKGEVGSEDADITMEEEVPEQVVQRDNKVIHGAGLQNLENCSMAEESIHQICEEENVEAGLKKSMSKRLDSDSSQEPIEIDCLPSLAINLINQGVKGELVEEIASEQCEGYNERTPANSSKCSEGDAACRDVSVVTNDISPILKSPTPSVSPRVNSSRKSLRTSSMLSASQKDLRESKLDEPHFSFAKPSNSICLDSQANQRSKRCFTSTEQLAASLHRGLEIISNRQSTSLRRSSFRFSCKPADIRAIIPVAKVDVGVQTIVTDDQSFVGGSIFLCSKCKERNSQQELKYANDVSNMQLVRVDESQLVHADGLQLVPTDGLQLVPADGSQLVPADGSQSCEKFKIQVPKAVEKVLAGAIRREMALEEICAKQTSEIMQLNRLVGFGWSIEQLATFIISFIYFTFIAINGQIQQYKHERECNAIIGQTREDKIVRLESLMDGILPTEEFMEDELLSLTHEHKLLKEKYENHPEISSAKIELRRVQDELEQYRNFFDLGERDVLMEEIQDLRSQLYFYVDSSPKPSKKESSPLQLAYPCESSEPSALSTIPESTEVSAEQRIEKERIQWSQTESKWMCLVEELRLDLEASRNMAEKHKQELNLEKKCSEELKEAMQMAMQGHARMLEQYAELEEKHMQLLIRHRKIQDGIKDVKKAAAKAGVKGAESKFINALAAEISALKVEREKERRYYRDENKGLQAQLRDTAEAVQAAGELLVRLKEAEEATTAAEKRAIEAEHETSSAYKQIDKLKKKHEKIINNLNQLLEESRLPKQRSEVIDNSETNTYDAREMMTNGGDQLSREEFESFYNREEEEEDLSKLVEPSSWFSGYDRCNI >Solyc06g073100.3.1 pep chromosome:SL3.0:6:45173323:45175360:1 gene:Solyc06g073100.3 transcript:Solyc06g073100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVLTLLALLLYLGLVYGEISNKCVFPAIYNFGDSNSDTGGKSAAFRQVPPPNGLTFFGSPMGRMCDGRLIIDLIGKTYSCLIRRSRVQVVNFFFHGVVADRLELQYLSPYLDSVMANFRNGANFATAGSSILPGGYSPFSLEVQISQFLQFKKRTMLLSDLSIPNKSKWSSSKVNIANPQDFSKALYTFDIGQNDLSYGFQYTHEAQVRASIPLIIDNFTQAIHQLYYEGAVNFWIHNTGPIGCLPYSVIDYPLKPQGLDGIGCIENENKVAREFNEQLKDRILHLRAELPGAAFTYVDIYSAKYQLISSAKEQGFGDPLKFCCGWYNNGSEVACGQTAIVNGTEHGKACSDPTKYISWDGVHYTDAANVWLAKSILNGSFSDPPVPIEQSCSHSST >Solyc10g080045.1.1 pep chromosome:SL3.0:10:61576051:61579263:-1 gene:Solyc10g080045.1 transcript:Solyc10g080045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKPDPNSTRFSSTYRLPLSPSLFPVSIFSTKNPAKTSFKFWKNLNFHQFYQFQQVSQTPFSLYITMELCSSFKPNSFDLSFSSSLSHFSIKTHVKSSNFGPRFHLLAVAVDPKGLPQNSPQRLLKELAERKKVVSPKRKVPPKRFILKPPLDDAKLAERFLNSPQLSLKSFPLLSSCLPCSRLNNADKTWIDEYLIEAKQCLGYPLESSESYGDDNPAKQFDTLLYLAFQHPKCERTNARHVRSGHSRLGFLGEYVLELAMCEFFLQRYPRESPGPMRERVYALIGKRYMPRWIKNASLQNLIFPYDDMDKLKRLDREPPVKSVFWALFGAIYLCFGMPEVYRVLFEVFGMDPEAEDCQPKLRRQLEDVDYVSVEFEGRKLSWQDVATYKARSESFISCKCIIYSLDLKESSFLD >Solyc06g060970.2.1 pep chromosome:SL3.0:6:39060658:39067903:-1 gene:Solyc06g060970.2 transcript:Solyc06g060970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKNTFIFIVLLLPTLCYSNNYVSTVSYYTTPDGMGTPSGACGYGDYGKDVNSGDVCTTSKRLYKNGAACGACYQVRCKDKEMCSDEGTKVVVTDNGEGHGTDFILSSRAYAKMAKQPNMAQHLFAKGVVEVEYRRVSCKYGGGNLMVKVNEHSKHPNYLAIVVMNQGGATDIHSVEVFEEETKEWISMRRAYGAVFDLSNPPSGELKVRFLTSAGAETKWVESDKAVIPAEWKAGITIETDIQLS >Solyc06g066470.3.1 pep chromosome:SL3.0:6:41841026:41841363:-1 gene:Solyc06g066470.3 transcript:Solyc06g066470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSRFFRVYTQWRWPNPVMLCQIEDKEFGFSIWDPRKNPWDRTHQMPIITPAYPYMNSSYNVSSSTLRVMTEQFEFGN >Solyc03g025340.1.1.1 pep chromosome:SL3.0:3:2798802:2799851:-1 gene:Solyc03g025340.1 transcript:Solyc03g025340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFEVEVKISSARDLKNVNWRYGRLKPYAVVWVDPKGKCSTKVDDNGDTSPSWNEKLVIPLYAPIEESTLYIDVVHANATEGTKPLIGSAKLPLREVVDSVGIGNLTERSLDLKRPSGRPHGKVKIEVAVRDPRYRAPDPYYAPPYGVPPPAGSRDYPAPPQPYGGSYGAPAPAPSPYGAPAPGASPYAAPPAGYPYNAAPAPGPASGYGQQPGYGGAPAPGYGQQPGYGAPPAPYGQQGSYGQQPGYPQQGSYGSQGSYGQQGSYGAQPQGSYGSQKAGYGYEEKKKSKFGGMGLGAGLAMGAVAGALGGLAISEGIDHIEDNIAEKAAEKVEDDLDDGGYDDDDY >Solyc02g091570.1.1.1 pep chromosome:SL3.0:2:53468413:53469537:-1 gene:Solyc02g091570.1 transcript:Solyc02g091570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVMGTKIQEQEILIEILTKLSVKSLFRFKCVSKSWNSLLTEPYFKKKHHNHAKNQPDSQKLFTGTCKNFYCSSLSPNVNDIHTVPNICEPFSGFKIYCCCDALFLIEMWTMFFLWNPTTSESVVLPAIESPEQDSTYGLGYDSTSDDYKVLRIDKAGIALDEIVALKNGSWRKIYSPLVSPVGDGSILCSKEYLPFVNGAFHWLGIHPSHMMSLSISDETYKTIPLPKNTRLYPRKVVAIEVGISVLQSMVCLFNHDEITFNLWIMKEYGVQEFWIKLLTLPSNGAISIIPIYRFPDDKVLFRYEYLDELRGINMIYRTCDNQIWSVDIDPLSFVIDGFVYLESLINPREIDAYSISKTDSLGKRKREGLLE >Solyc03g118120.3.1 pep chromosome:SL3.0:3:68563605:68564718:1 gene:Solyc03g118120.3 transcript:Solyc03g118120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISEKSITISVLLLILFAASSLCFTVSIASESGDPIPTIWPEQFHSILFMNNSKGNLQIVDLWYDYPNGRNFNIIQNQLGKLLYDLEWNNHTSFYYTLDGSKECRVMHFPVGLLRPTWLQDGNYLGQRYMDGFLCNVWEKVDFITYYEDVVTKRPVYWAFYTGMIAHVMTFEVGKVLEDPNWQAPVYCFKEAKEEEEISSPVRLVTDNDVSIGRLMGAAMDVSLLL >Solyc12g013480.2.1 pep chromosome:SL3.0:12:4296468:4313766:-1 gene:Solyc12g013480.2 transcript:Solyc12g013480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAEVVEILAILVNMVAAAAVATNMVRRGHFARECTSGGR >Solyc02g068620.1.1.1 pep chromosome:SL3.0:2:39156837:39157211:-1 gene:Solyc02g068620.1 transcript:Solyc02g068620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTCLKLITLLCLIKFSGAARMLRDENEDLFNEKIDATTPATPNIGGFPFPFPFPFPGGIPNIGFNIPNFNIPGFDIPGLGTGTGVTNPFTFPIPGVPNVGVPVPGVPEVAAPGPDVAVSPPV >Solyc03g082975.1.1 pep chromosome:SL3.0:3:54247769:54251820:-1 gene:Solyc03g082975.1 transcript:Solyc03g082975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIAALENKKRVNLCIRAQSASNSKNEGRGERRIWRRRKLTKKDETLDAKMERIPFLEEQVRKIRDGGKLLTMDIHRLLLNEDNRFDFVNEIAAEAKQYVENNRDEYGAKKAILHVLSNRMNDAGVYRSEAYMDSDPFKPGPGYLKDELL >Solyc12g089293.1.1 pep chromosome:SL3.0:12:65407974:65408857:-1 gene:Solyc12g089293.1 transcript:Solyc12g089293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFMDVCQNLVYAYDCPLRFFRPFGRSNEPRSEHTPHFDNFCVLQHTIFWVIRIAMLKLPNFFADIRQDLVYAYGWQSRLVRPIWKVKRATKRAYPSFRRFSCAIAHHFLGDPDSDIKNAKFFRKRPSRPCLCIRLAITACTTHLDAHHFLGDLDFDVKNDKFFRGRPSRPCLCIRLAITACQTHLKAHYFLGDPDSDVKNAKFFRGCPSRPCLCIRLAITACTTHFEGQTSPEASIPLISMIFVCYSTPFFG >Solyc12g096130.2.1.1 pep chromosome:SL3.0:12:66157467:66157550:1 gene:Solyc12g096130.2 transcript:Solyc12g096130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAEITERFIMHFLLFRGKSKPDILIH >Solyc01g080530.3.1 pep chromosome:SL3.0:1:79623211:79631798:1 gene:Solyc01g080530.3 transcript:Solyc01g080530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSQIFTFFFFLIVVFVTKSSCSAIINPSKVKQISWKPRAFVYEGFLTDEECNHLISLAKKELKRSAVADNESGESKLSEVRTSSGMFISKAKDPIVTGIEEKIATWTFLPKENGEDIQVLRYEEGQRYEPHYDYFTDKVNIVRGGHRLATVLMYLSDVEKGGETVFPEAEVSTRRRSMAADDSLSECAKRGIAVKPRKGDALLFFSLHPNAVPDPMSLHGGCPVMEGEKWSATKWIHVDSFDKTVDSEGGHCADHNENCERWAALGECTKNPEYMVGSTDLPGSCRKSCKSC >Solyc05g014980.3.1 pep chromosome:SL3.0:5:9188995:9194046:-1 gene:Solyc05g014980.3 transcript:Solyc05g014980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLEDVPSESLMSEVLRRLRCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMNNGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDEMLQKQGSKIDKVLNFAIDDAILEERITGRWIHPSSGRSYHTKFQPPKVPGVDDVTGEPLIQRKDDTAEVLKSRLDAFHRQTEPVINYYSTKGVVASLHAEKPPKEVTSEVKHVLSS >Solyc04g024340.3.1 pep chromosome:SL3.0:4:31837790:31850295:1 gene:Solyc04g024340.3 transcript:Solyc04g024340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTATMTTTVSVGSSSFFTNYPLMSALIAFALAQSIKLFTSWYKERRWDLKQLVGSGGMPSSHSSTVTALAVAVGLQEGFGGALFACALVLACVVMYDATGVRLHAGRQAEVLNQILYELPSEHPLADSRPLRELLGHTPPQENVNHHAAQHDPTDMPTETIPQQVQNSNTAAQKSTDDCLNIDASTASKSKPPTLDDYPDFTMTQIIALDPILNATTTPNMRTRNKNVG >Solyc03g081290.1.1 pep chromosome:SL3.0:3:53601125:53602952:-1 gene:Solyc03g081290.1 transcript:Solyc03g081290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSFSVDLSSSFFAFATMSDLFNAQSYQWVKVFIQALPCLFLVKRLFVPLSLQHYSFGEAGDHKAQRLGVLGRGGAWDKVPRGPKGGRVRGGGIRKW >Solyc02g069230.3.1 pep chromosome:SL3.0:2:39741050:39748796:1 gene:Solyc02g069230.3 transcript:Solyc02g069230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B7Q5] MEGEIVCADGLLANIENEVKLEEDEEDFCSCCEDEKELEDRADETSEEEEDEVTEDEDEEESARESCEVDLDEHSVKLFFKGISIAGPGDSGCRVSGIGVVIERAESAPPIQIQKKLDFYVEEFVADYLALMDGLLEAVKNKIRKVYALTDSEILYEQIMHEENLDNPLLMALRQRILDHADDMETFVLKLVPSTGLAKALDLAKVAIGVVSSHVEGDESTENCPICCEDRLLVMVTTLKCTHKFCSHCMRTYVEGKVQSGQVPIRCPQLKCKYLISATECISFLPLNSYGSLVRVLEEANALNSDKLYCPYPNCSVLLDPHDCMSTRASSSSQLENSCVDCPVCQRFMCVDCRVPWHSSMTCEDYQNLPLEERDAGDITLHRLAQNKRWRRCSQCRRMIELTHGCYHMTCWCGHQFCYSCGAEYRDGQQTCQCAFWDEDYTQDLVTQPTQQFEQWSWDSFESLPTMMDAYSDEERSQLALIQRFLAGGFSLTDHQAYHQSPPRCTDSYVDAMKDLHQLPWLERFVSVISDNYYEEHIQ >Solyc12g099420.2.1 pep chromosome:SL3.0:12:67552698:67561952:1 gene:Solyc12g099420.2 transcript:Solyc12g099420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSREEANRSGADEENGKFHTVQPLRDLESNWGVDLAKNLEDYLLKICSGEITSENYDDGHHLSVNFAEAALLLQGSVQVYSRKVEYLYSLVVHALEFITKKSESDLPASGLAQADENGLPAANHEEDDPFWVSEEIPVEPKNMLDDTVCKDSFTQFVKAPANLVVLEGDCLDVTGDAGELESYLLATCDLYRDFILLDARDSVTVDGFLGSENIAEKGLNNSSKGSSLKSKYHKSFSSPTGLSGGVGNKSSARKNQNANLYQSPRGHEFDPGNLNNDPFSSDIPDNIDDAHGYSEPRDLDDSDDEDPWKPLNPHEPGNLKVKSYRKVKSNRRQGVVSSKLASLAAEFPLARLHGPINADLNEMWERKCCAKQVDSQSPPLFEKLRESLLHGVNIDYDDFCTPNEKNEDNDYDSADHDFGPPDFDMPENADMNSHATPHDEKHDNCGPLFDSEAHEDLNGQENLEDLCRSHLDALLANLAETEKQTELAARVSTWKQRIDQNLEEQESHPPFDIHEYGARVLNKLSLEENDKSTMSFSDVVKGSEKHDIARTFSALLQLVNNGDVALERGEVGESTCYTAANPFSVQLLRHGNDREEMQFQSTKKRAESPMHHQNNRKEKNKGKAVHAAVDSSPPGPDSDSRLPLKLGKVNGTRCTPDSKKRRKSRIALASDVPTAL >Solyc02g030185.1.1 pep chromosome:SL3.0:2:25745746:25757472:-1 gene:Solyc02g030185.1 transcript:Solyc02g030185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVVENCNVEESVRGSNMVQSELNTSNPSGPLTHRTYNKDRDRENFAKMVVVCGLPFSFGEHPGFIAYIRETYNPSFKVVSITLDNASANLNVINILEPRLCPISKYVFHVRCAAHILNLVVSDGVKLFENSCDKIDNACFYIFRMNSSSRINKFKELCNAFKLPFRKVPKHVKTRWNSFYDMLEVAYAYRQPITTLFNNHNAYPELKINDSDWDENLDIQPEEEPDLVTCQNSIKYFAKEMYDKYSFLENVENPQTSMNQVGAHGRVKHKLGLDSSNKCEFVKYLEQGTDDITNDNDIPVLNWWRNRGAQFPKLSRMVKDVLAIQGSSLTVNTKWTQTDLARKLI >Solyc11g012840.2.1 pep chromosome:SL3.0:11:5640474:5643591:1 gene:Solyc11g012840.2 transcript:Solyc11g012840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSKVETLSRLAQWRIDNFTASTYKRSDPFKIGIWNWHLSIEKNRYLYIRLFPEPSRLSKEQLPPPFARFMLRVTTTGTNRRPHISPVYERLLRTSDDFVWPIDSCLQGRFVIDVEFLDLKIYTPNGGEGKSIWPNDMILQSLATQSTLRCLSRMLDESIHTDVTINTADGSVQAHRAILSATSPVFHSMFLHDLREKESSIIDIEDMSTESCTALLSYLYGTIKQEDFWKHRLALLGAANKYDIVDLKNACEESLLEDINSGNVLERLQEAWLYQLNKLKKGCLMYLFDFGKIYDVREEINNFFRQADRDLMLEMFQEVLTVWKPA >Solyc09g098470.2.1 pep chromosome:SL3.0:9:72795861:72799114:1 gene:Solyc09g098470.2 transcript:Solyc09g098470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEQTLKILLDEQMKPSLLTRESIPTPSRSATLQQMGLSVEGCPAGTVPIRKYTKEDVIRQKLLPPPEGTVHVVDPPLANRDNFNDSKSRRIKPLKGYKLAIVSTEDNPNNKFGGASMIAAIYNPRSTGQQHSACRLKLIKGKNIIQTGWRVDPTLYGDNRTRLFIHFDDGTNSCFDLLCPSFIIVNQQITLGQPFNQTSSINGKLYDMAFQIKWDQEKGNWWLLIDNVAIGFWPKEVFDDFENFATTVEWGGVVYSPAGVLEPPMGSGLYPLMRSTAANAYCRVITLLNDKGQNIDLLGAKLPTFSTTPMLYVAVDVPNYPGVDYNHTILYGGPGEI >Solyc08g006290.2.1.1 pep chromosome:SL3.0:8:961489:962286:-1 gene:Solyc08g006290.2 transcript:Solyc08g006290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSTIPLLCSFLILFASSASAFNITKILGQYSDYSTFNELLSKSGLASDINSRGTITLLAVPNGAVGDLTSKSDDVLKRVLATHVVLDYYDPMKLQKMKDKTAKMTTMFQQSGKAAYDQGFLNVTAKDGSFVFGSAVVGAQRDSKLEKSVMNQPYNISILGISQPIVTPGLDGTMAPISAPPPKAHTPKKSPVAEAPEEAEAPAEEEAEAPAEEAEAPAPSQDAPSSAPDADTPPADQAPPPSSATKLSVSFGLFVVLATIVVV >Solyc03g005730.3.1 pep chromosome:SL3.0:3:509215:517469:-1 gene:Solyc03g005730.3 transcript:Solyc03g005730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSAIASSSTTFINKRIVSVMTPQQTERKPATTGSVKTGMTMTEKILAKASDKPDVSPGDNVWVNVDVLMTHDVCGPGSIGIFKKEFGQNAKVWDREKLVIIPDHYIFTTDERANRNVDILRDFCNEQNIKYFYDIKDLGNFRANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKILLKVPPTLRFVMDGEMPDYLLAKDLILQIIGEISVAGATYKAMEFVGTTVESLTMEERMTLCNMVVEAGGKNGVIPADKTTYDYLEGRTSVPYEPVYSDEGARYLKEYRFDVSKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDVYSLPVPGSGGKTCSQIFEEAGCDTPASPSCGACLGGPKDTYARMNEAQARYFIAKFSLSRNNLVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGYVTDPREFLQ >Solyc02g083130.3.1 pep chromosome:SL3.0:2:47196121:47199141:1 gene:Solyc02g083130.3 transcript:Solyc02g083130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVANAATKHCKKAMKMGLSLFCRTFNSSKCKTMAKMTVARIKLLRNKREVVVRQMRRDIAMLLESHQDATARIRVEHVIREQNILQANEILELFCELIVARLTIIAKQKQCPADLKEGISSLIFAAPRCSDIPELMGIKDVFEKKYGKDFVSAATDLRPNAGVNRTLIEKLSVKTPSGEVKLKIMKEIAKEYQVEWDTADSEMELLKPPEERIEGPNGFVSATSLPLKPASKQPAEPNNLRSSSDDGESEVAKFDDPASAAKAAAESAKQAIAAAEAAAYLASKDAKASSAGCHYSPKNATADNIPFISGAQAPKFSQIEVAPPSQSIVDLYDMHWSSKNIRNSENSHSSAGEEMPLDMKRSQKFDRRHSYNVPSSNRNVQYDDSDCDEEIEMEDPPSGTYKPSPPTDIDGGKFYRRHSYNVPSVHSGIKFDESDGEEEMGTHEFSRGTNRAPNRPAPHVPRVHPNLPDYDKLTARFESLKSQKSRRT >Solyc03g025860.3.1 pep chromosome:SL3.0:3:3279945:3283207:1 gene:Solyc03g025860.3 transcript:Solyc03g025860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTIIGRVSDGMPLAQGPRLHEENNDVLTTYKKQAEFILKEISLQALPDNKMTILVDHHCFNYMVENGICYLTLCESSYPRKLAFHYLQDLQQEFERLDSSLVDRITKPYTFIKLDTIIGNIRKQYVDTRTQANLSKLNAHRQKELDIATEELSQITERRRRIKMMERMMEAHISTSPIWESKRLEMIALKWTPITILLVVASVLLWTGLILQDDFR >Solyc04g005030.3.1 pep chromosome:SL3.0:4:28052:35642:-1 gene:Solyc04g005030.3 transcript:Solyc04g005030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTLTENEEFSCPVDGSIMITASHLPYNRNGFKFFTSAGGLGKPDIKDILERAADIYKNIANENSKGAETAASLDVKRVDYMSVYASNLVAAVRKAAGSIEKPLEGFHIIVDAGNGAGGFFVGKVLEPLGAITSGSQFLEPDGLFPNHIPNPEDKTAMKAITKAVLDNRADLGIIFDTDVDRSAAVDSSGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAIRLNSVGEEAHLAIETSGHGALKENHWLDDGAYLMVKLLNKLASARTSGLGGGSKVLTDMVEGLEEPAVAVELRLKIDQNHPDLQGGSFRDYGEAVLKQLENTVELDAKLLKAPVNYEGVRVSGFGGWFLLRLSLHDPVLPLNIEAPSKEDAVKLAHDVLNAVKEFTALDTSALTKFVGVHHVDTVSALALSKDGSLLYSASWDRTFKIWRSSDFKCLESVWNAHDDAINSIVVSNNGDVYTGSADKKIKIWTKQQEGGSNKHTLMTALEKHKSAVNALALSRDGCVLYSGACDRSIIVWEKLQVLGAIRGHKKSILCLAVVSNDLLCSGSADKTVRIWKRGLGKFCYSCLAVFEGHRGPVKCLNATLDTQKYDDNDSCSGNSYIIYSGSLDCDVKVWKLWVPNYL >Solyc01g073940.3.1 pep chromosome:SL3.0:1:81159818:81168251:-1 gene:Solyc01g073940.3 transcript:Solyc01g073940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGRKRRGGGAFGSSGGMKKSRDVSESFTTGVGSKSKPCMKFYSTSGCSFGAGCHFLHYVPGYTAMSQLSNMGSNPAPPFGRNSASFSDGPGPAMKSKFCSKFNTAEGCRFGDRCHFAHGEMEIGKQVRPAYDDFQAKGPPSGFSGYLEPSQPGLAATNFGASATTTISVDASLAGNIIGKSGVNSKHICLVTGVKLFIREHETDTNKRNVELQGTFDQINQARAMVRELISNISTPTGGLKNAAPFSRGPPGPLKTKMCANFSKGLCTFGEKCHFAHGPSELQKPLT >Solyc08g062700.3.1 pep chromosome:SL3.0:8:51852049:51871805:-1 gene:Solyc08g062700.3 transcript:Solyc08g062700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVYVLEPPTKGKVVLNTTYGPIDIELWPKEAPKAVRNFVQLCLEGYYDNTIFHRVIKSFLAQGGDPTGTGTGGESIYGGVFPDEFHSRLRFKHRGLVACAGAGTPNSNASQFFMTLDRCDFLDKKHTIFGKVTGDSIYNLITLGEVETGKDDRPVDPPPKILSVEVLWNPFEDIVPRAKPAEALPSGVATEKKDAKQKATKKLNLLSFGEEAEEEEKELVAVSSRIRSSHDVLDDPRLLKDGKHDQALDSSEGKSTRDVQLTIREALGSKKEASRKESEAGFQESLDNSDEDEAGFDARMRQQILKRRKELGDPTPKQKLLSENRNARNRSPSPSRSDAKHDDDQPKVDKLSLKRKGIGSEARAERMANANTDLQLLSGPERERQLLKQKKRGRKGHEEDMLAKLEKFKAGLSAKANESANAVKEEELSDWAAVTLKFTPEPGKDKMSRSEDPNDYVVHDPLLEKGKEKFNKMMAKQKRREREWAGKSLT >Solyc06g084170.3.1 pep chromosome:SL3.0:6:49425123:49426249:1 gene:Solyc06g084170.3 transcript:Solyc06g084170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISTCSLLVAAVFLVGILMIPSEILGETCYQIHPEILCDEGKVEGECLPFCNDKFGSSAGGQCIEQIGFDGPFCACDYPC >Solyc11g050810.1.1 pep chromosome:SL3.0:11:16269430:16270244:-1 gene:Solyc11g050810.1 transcript:Solyc11g050810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLVAYCTLPVVCLLTGNFIAPKLDNIASLWFLLLFINNFATSILKMRWSGVAIDGWWRNEQFWVIGDVSAHLFAVFEGLLKVLAGVETNFTVTSKSGDDEEYAELYASKWITLLIPPTTLLVINIIGVVAGISNPINNGYDSWGPLFGKLFFAIWVILHLYPLLKGLVGRNLTTPTIIIVWSILLASIFLLLWIRIDPFLAKIDGSHLNCSSSINYMYEIEVLQVKKLKKKLTFSS >Solyc03g083385.1.1 pep chromosome:SL3.0:3:54660909:54661987:1 gene:Solyc03g083385.1 transcript:Solyc03g083385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALAEMMEKKSKARWGNKIGYVLTRLPISLPDDPLDYIRQAKNIIDRKKLSLESRFSFTAAKLTQDIFGSKAVTIHFQSYCNKMTISMAVDSQVIQDPYQLCDDLRDSLRMFKEAVTKQDMV >Solyc09g066495.1.1 pep chromosome:SL3.0:9:65140764:65143059:1 gene:Solyc09g066495.1 transcript:Solyc09g066495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQFYINENGDKVYTTKKESPLGLATQSAHPARFSPDDKFSRQRVLLKKRFGLLPTQKPAQKY >Solyc06g008150.3.1 pep chromosome:SL3.0:6:2037048:2040731:1 gene:Solyc06g008150.3 transcript:Solyc06g008150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPQKVDMEVENSSQNLNLPESKSPVVKQGQVSSERRTRSQTGAVRREPLKKALNFVSKKSRVYGKNQVKKSKKRKRLKNADAGVVALPKRRKGNAGEAMDKALVVDLEPGEFCARPVRRYHPRVGAQTNVDAVKLLNEKLDAKQIQMFRETCFGHFLDLPPVVVQHQLAHSLLLREVVEEEEDALWISMKDISLRFGLVEFGIITGLKCTGDAYKCSDSDGTGQLMNTYFAELTRVPKQSLIECFHNKRWKSDEDAVKIAVLYFIHTFLFSTVSRKHISRDDFELVDSGAYATYPWGKAVFKATLKSVKGKLQGKPSMYRLGGLPLAFQCWFYECCPYVNNKIAFRVDDKVPRILSWKVTKQPSFKELLDGIFRLSQDQLKLRNISPTEFEKTTLGLPESTENERVNKVASGDVHLSDEDTSNPPPQASRKQLKTKRKPPLNNDDWSTELKRLSDGQLELKSELQMLNKEVVSINDCMKSSFKNVSKAIKSLSKKLGKRTASELDGGNDPHDRHGDSNSSEFSNSEDNGGEGQELGKDSIGDKDNNEKVKAAIGDVKDGKELEDPVEEVEKMDVSESQIPPGNAVSDHITPEQLTQPSHPAPVLESPQVNQDDTGVEGSSKKSSDVVDNADYGLLTEFDKWVAGEGMKKQSK >Solyc09g075560.1.1.1 pep chromosome:SL3.0:9:67678017:67679120:-1 gene:Solyc09g075560.1 transcript:Solyc09g075560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CVD3] MFWVTKFSGIFAAAMVVIVLSPSLQSFPPAEAIRSSHLESYLRFPSQITPNVRLNRFSFRKAPVFRNAGECTSNERRKLGKTGVCDPSLVHVAITLDVEYLRGSIAAVHSILHHSRCPESVFFHFLVSQTNLETLVRSTFPQLKFKVYYFDPERVRNIISTSVRQALEQPLNYARNYLADLLEPCVNRVIYLDSDLVVVDDISKLWSTSLGSKTIGAPEYCHANFTKYFTTSFWSEPRFSGTFSSRKPCYFNTGVMVIDLKKWRRVGYTKRIEKWMDIQKTNRIYELGSLPPYLLVFAGHVAPIEHRWNQHGLGGDNVKGSCRDLHSGPVSLLHWSGSGKPWLRLDSKEPCPLDSLWAPYDLYGFSS >Solyc01g103945.1.1 pep chromosome:SL3.0:1:92316491:92317694:-1 gene:Solyc01g103945.1 transcript:Solyc01g103945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVITIHSLTGNATPIFVTKIFLDQIFGSELWSREVNTGTGMLISFCQCCAREVYDISLIFYSLLSKLQSYKTTTINSSDSVTVSVKSKHKKREVSNIER >Solyc05g053715.1.1 pep chromosome:SL3.0:5:64602864:64603870:-1 gene:Solyc05g053715.1 transcript:Solyc05g053715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEMTRHHEVKRKKCDGFPYLRVGFTGCQREFWFLALTTNTLACYFSSFKLEVKSPASKRLRTIINIAQQILSSVSCKL >Solyc01g067360.3.1 pep chromosome:SL3.0:1:75628318:75632995:-1 gene:Solyc01g067360.3 transcript:Solyc01g067360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCCNERLDFGKMGYGCKHYRRRCKIRAPCCNEVYDCRHCHNEATSMLRKIFDRHELVRQDVTQVICSVCDTEQPVARVCTNCGVNMGEYFCEVCKFYDDDIDKGQFHCDDCGICRVGGRENFFHCNKCDSCYSVSLRNNHSCVEDSMRHHCPICYEFLFDSLKDTTVMKCGHTMHTECYHEMIKRDKYCCPICSRSTLDMTKAWKRMDEEIEETIMPEDLRYKKVWILCNDCNDTTEVYFHIIGQKCRHCQSYNTRMIAPPVLPQ >Solyc08g041660.1.1 pep chromosome:SL3.0:8:26433307:26433747:1 gene:Solyc08g041660.1 transcript:Solyc08g041660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKIGAIVVVTVDFFFQIFVVVLVAADGIYPKWSTIVQTIRDPHFQKKKYFTMTQESFQKNV >Solyc07g065970.2.1 pep chromosome:SL3.0:7:67666737:67669812:-1 gene:Solyc07g065970.2 transcript:Solyc07g065970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSLTLPAGNTFRFSLHNLPSSTAGFRSGVTFPRRNNRTAAVYAAAVAEAPPELQRRTPASLYDVLRVKSNASPKEIKTAYRNLAKLYHPDAASLSEESSDGRHFIEIHEAYATLSDPVSRDLYDLKLNMSSGRRGFGSSGDGIRRNGSEFYPTRRGRICASAVAEAPMMMEKRSVSLYEILRVKRDASPKEIKAAYRNLAKLYHPDSASLPEESSDGRNFIEIHDAYVTLSDPSARALYDLKLSVGSRRRGFARSVDGFRIYPTRRWETDQCW >Solyc06g072550.3.1 pep chromosome:SL3.0:6:44887715:44890222:-1 gene:Solyc06g072550.3 transcript:Solyc06g072550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C8X5] MEPKNMQSSPLKPYKYLLGTQILLRFLATIFTLASTLIILTSKQTVTIFGLEMDARYSYSSAFKFFAFANMIGCAFSVLSLFLASVLGHKGLVPKNSFYMFLHDLIVMAVLLAGCAAATAVGYIGKYGEMHSGWMPICEHVDKFCHKVTNSVILSYFAVFFYLCLTIISANQSRHIQV >Solyc12g015750.1.1 pep chromosome:SL3.0:12:5733112:5733629:-1 gene:Solyc12g015750.1 transcript:Solyc12g015750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPVLKRGPMPRMPEFEAFCPRCCLPPPLKVRPSRSIVRHPHTASRHPALDEMLFGLSQGNLLRGEVHWPGLEAPCQEARPLSWLRGTLPGGEALSPRSRGTLPQGIPPPPSHEMISCLASRCPAPNRPQDTLPDLWTPSLRRPSTLPALEALCL >Solyc06g043034.1.1 pep chromosome:SL3.0:6:30020161:30025903:1 gene:Solyc06g043034.1 transcript:Solyc06g043034.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAVKKKYDIQKLKGLLSAEFEMKDLGAARNILGMEIIRHRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANMHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSDLDDMLIAVKKKYDIQKLKGLLSAEFEMKDLGAARNILGMEIIRHRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANMHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLMVDTHDQ >Solyc01g109710.3.1 pep chromosome:SL3.0:1:96514932:96517857:-1 gene:Solyc01g109710.3 transcript:Solyc01g109710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Response to dessication 2 [Source:UniProtKB/TrEMBL;Acc:K4B3I4] METVMEDEKYNWSEVKLHSLIPIVPEPELDRETGERRRGRDIVIAIDHGPNSKHAFDWAVTHLCRLADTLHLVHAVSSLKNEIVYEATQGLMEKLAVEAFSVAMVKTVARIVEGDAGKVICKEAERLKPSAVVMGTRGRGIIQSVLQGSVAEYCLHNCKIAPVIIVPGKEAGEVSVI >Solyc04g081165.1.1 pep chromosome:SL3.0:4:65266471:65270063:1 gene:Solyc04g081165.1 transcript:Solyc04g081165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIGVDRYVSALLWSTELMMSARLSGTLSFQEEAVTYLII >Solyc07g041440.2.1 pep chromosome:SL3.0:7:53276708:53277187:1 gene:Solyc07g041440.2 transcript:Solyc07g041440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITARDSAITKFTNDPSCIIFLMSLKAGGLALNLTATLEVFLMDPLWNFSAERHAKDRIHQIGQYKPVRIVRFVIKNTIGEKILEFQEKKKLLFEGYVPAMFIVLLACE >Solyc10g078350.2.1 pep chromosome:SL3.0:10:60312413:60317088:1 gene:Solyc10g078350.2 transcript:Solyc10g078350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNKELRQWRAYFRSSTLDIFYIIESAIRVAAIDYPQEFKLRRDGINELLFSFGDLDSDEVENVVDVEGDKGKRKCKNELVRGLNDCDVEKRKNDSNVEVEALSDEIEEESRVVNQALPIKKSYAEVEALSDEIEEESRVVEEALSIKKIIDNSQNESDSNVYKSLKRLQTMGLSVEILQKTEIGKSVSTLRWHNSKNIRCLVRKMVKEWIEMVQEWVDARAALTERKSESTKAFVVDEEEGGLPAPPLEDLPFLFSQTTSKIELSQIFDGMDDDGNVIISVDQKDEQEKKRTSLVKPNKPPGVNPGRGRPTKRALEQKLNNDHEMKLQEKFDKRKTQTRPVHTQQNKLKCLDEDAERLNLEATKRKLHECYQQVENAKRHRTVQVMSLKDIPQQGRGIRKPHNRRQ >Solyc05g016710.2.1 pep chromosome:SL3.0:5:18005314:18006110:-1 gene:Solyc05g016710.2 transcript:Solyc05g016710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMKKVESGKSTENSSHSCTDVSRGLKGKKTCSGRGEKAKMGKNVKSSKKKFTCKSIHETSDPSPDDVEKLEHEEKKEIQFCLCFFGSTKLNYGSTRIN >Solyc05g049915.1.1 pep chromosome:SL3.0:5:60621153:60622227:1 gene:Solyc05g049915.1 transcript:Solyc05g049915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNNFTESFNSWIVEAKQKPIIKMLEEIRVKVMNILRKHEAEVKSWKNEFSPHAMHLFHDYKVYKHKIEPVRGEKFWKIELHHAMEPPILAKMAGRPNRREQERRMRLRIGRGLGQLLEKEC >Solyc06g010025.1.1 pep chromosome:SL3.0:6:4762124:4762710:1 gene:Solyc06g010025.1 transcript:Solyc06g010025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQIDSLKRFLHDQFKIKDLGRLHYFLGLEILYKYDGVLISQRKFALDILKEFDCLYCPCSSSPLDPTVNEPHLHAAFHLLRCIKRDSTLEIFMSNSHDCTVRAFSDSDWAACPNSGDQFQGILFCLETLQSVGSPRNKRQYHHLQLRQSTSL >Solyc10g085490.2.1 pep chromosome:SL3.0:10:64766359:64769883:-1 gene:Solyc10g085490.2 transcript:Solyc10g085490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVWLDRISGKICMSISSKALLITNVEDRRHWNWFPTEESRFHAVAYCQQVWWFELSGAVSFPFPPGIYTVTFRVHLGKIFKRLGRRACNFEHTHGWDLGPVRYELSTSDGQHTVNECFIDDAGQDDPIGNIRRGSWIEYKVGEFIVSTSDPVTEVRFSMKQIDCTHSKGGLCVDSVSITPSDLKVHPRKGV >Solyc07g006230.1.1.1 pep chromosome:SL3.0:7:1047333:1047728:-1 gene:Solyc07g006230.1 transcript:Solyc07g006230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKAFSLLVLLVFSSFIVLSESRVARMDLGLNLGGIGLGVGIGLGLGGGSGSGAGAGAGSGSGSSSSSSSSSSSSSSGGGGGGGSEAGSSAGSYAGSRAGSGSGNRGGSSGSGYGGGQGSGYGGGNGGN >Solyc04g015780.1.1.1 pep chromosome:SL3.0:4:6070439:6070993:-1 gene:Solyc04g015780.1 transcript:Solyc04g015780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINLLYFVALLVIFPLFWSYGHATTPRKLGARHNAVTTTPHKLRARQFGTTCLKNTNCAISGGSSSQGGSGHMYSTISSSSAHANAANPSTLGSHQFVVTSLSNTNEANSDGSEKQDSPILSLLAHTNAITIPLVDPTIFTNEVKAHKHGARHTPIIITSLLNTNEGDNIGESELHSSPISS >Solyc12g032930.2.1 pep chromosome:SL3.0:12:37289501:37291105:1 gene:Solyc12g032930.2 transcript:Solyc12g032930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSASRRSLSMTSLSSSHLKKKTTVPLSPHRNSLFSSPSMGLTGERTVKRLRLSKALTIPDSTSIYDACRRMAARRVDALLLTDSNALLCGILTDKVNTQSFFSLSRLIDLLFIPLCNRVSFFPKDITTRVIASEVNIQETPVSKVMTKHPVFVLSDTLAVEALQKMVLGWCI >Solyc02g069900.1.1 pep chromosome:SL3.0:2:40257120:40258404:-1 gene:Solyc02g069900.1 transcript:Solyc02g069900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLLVMGGMENQRLSSDSEVVNVAEIWQDVCDKIYFCILRRFESVWNILEYARDPSLFYKGRYYYSLSKQGDLVIYDMDDEDEQPTTTALDQPVSSEIASLLSDARVS >Solyc05g040010.2.1 pep chromosome:SL3.0:5:49630374:49631464:-1 gene:Solyc05g040010.2 transcript:Solyc05g040010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNFGVALVIKNIISNEPSKNTYFVIMLGLARLTAARQLILFGFEVTILEVQKSADFGGSVLTGTLGNPLSLLGLHMLTESPWTRIWIRSKVEAAYNEFFDKASNVRQEISMLFIMERNWRLFVVVNSVKVNTWAQLCEGGTTFCTVPLGFFKSGSIRLILEFRQQKLDTIKRLGIGLLNKIAMLFRLCFATQLLISLFMATVSSGPFLFVLAIGEDAH >Solyc06g074310.3.1 pep chromosome:SL3.0:6:46062487:46075850:-1 gene:Solyc06g074310.3 transcript:Solyc06g074310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMISQLVLPFHLLLMLGVSLASLNDHRYNIGDEVPLFVNKVGPLSNPSQCYIDHASHVPVLAWAIVVHAKTYMCYINEAVSRLEELSASAFKCVQVSSETYQYYDLPFCQPGELLPEKESLGEVLNGDRLTNTLYQLKFGVEKDGVVLCHKKLDQNDVSKFRTAIIKDYYYQMYFDDLPFWGFVGKIEDELWTLDGKGPKYFLFKHVQFDVLYNGNQVIEIHALGDPSNVVDITEDIDLTVTFTYTVRWNETSIPFENRIARYSRASGKPVNRQIHWFSIINSIVISVLLMTFFAVSMMQRLKNDLRKWSSGDEEEDKEVGWKYLHGDVFRCPPNMPLLCAVIGAGTQLLTLFCCLFILAFLGVLYPYNRGALLTSLVIIYTLTSAVAGYSSASFYSQFIETGWERSVTFSAVLFLGPFLVLQFFLNSVAVSFGATLAIPFGTILVIILVYTLIAIPLLALGGIIGYRRRSEFQAPSATKKCAREIPSLAWYRKTPGQMFLAGLLPFSAIVVELHQLYFTLWGYKISTLPGILFFMFIILILLTVTLSIGLTYIQLTVEDHEWWWRKLQSEALREAISVIKNDSAEKKRKFSETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVGEAEKIGLEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGKFPTLVSHQESLESKVNETKATIKFQLKKVLCMGVAVGNMDMEEKQIFQNVQMSVNFLVSLLKKNWQNVNMSTVGLAATSIVKTAPHTQRKSVFIKTPVSLGFVRSISKGFGLKSSSGFRVAASAVYKVKLVCPDGVEHELEASSDTYILDAAENAGVELPYSCRAGACSTCAGKIVSGSVDQSDGSFLDDNQIEEGYVLTCVSYPTSDCVIHTHQESDLY >Solyc10g052861.1.1 pep chromosome:SL3.0:10:53716540:53717216:1 gene:Solyc10g052861.1 transcript:Solyc10g052861.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIIVKGSIPPHVSELVIQLGKEFARKDLGQLHYLLRIEVKCFEGGIHLNQIKYVFEMLSKTEMTLAKVIATSLVRLQYLILTRLDITHDVNLEDYFIQSPNIKHLQGVKMILRYIKGSIHFRLRIISQSPCRLYGYSDVYLGGCTTTRRSTTWYSINLGENCISWTSKKKNTVARSSVETEHKELAFTPAEMTWIFYLIYDLG >Solyc05g013207.1.1 pep chromosome:SL3.0:5:6280307:6282544:-1 gene:Solyc05g013207.1 transcript:Solyc05g013207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4BY43] MAQNSPNGEIQSSNLPNFLLSVRLKYVKLGYHYLISNAIYLIIVPILVAVGLHLSTLTLEDLMKNLAMLKSYSTLVVFLGTIYFMSRPRNVYLVDFSCYKPHERYMISKERLVEKLTDIFDESSLNFQKKILYNSGLSDKTYIPVDKWIPSNFSLSSNQNQAKLVIIGAIDDLLAKTDVKIRDIGFIIVNSSMFNPTPSLSAMVVNHYKLSVNVITYNLGGMGCSAGLISVDLANRLLQGKANSYALIVSTEIVSSAFYTGNDKSKLIPNMIFRMGASAVLLSNRFSDRRRSKYQLMHVVRTHKGADDRAFSCVFQDEEEDGKKGMSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFASLIARNVFKRKIKPYIPDFKMAFEHFCIHAGGRAVLDELQKNLDLSEALMEPSRMTLYRFGNTSSSSVWYNLAYSEAKGRIKKGDRAWQIGFGSGFKCNSAVWRALKTVNPAKEKNAWTDEIDDFPAQVSR >Solyc10g039213.1.1.1 pep chromosome:SL3.0:10:20843872:20844237:-1 gene:Solyc10g039213.1 transcript:Solyc10g039213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIAQLKSIGHIISDEQQVQAMSQYLPNNWEHLKVNLNHNESIKTFSDVVRHVELEDELLGVAEAACNAFVVQNLQASSVRKIGKRIGKTKRSEKHPQEKKRGQIPRKENGFFQEERLE >Solyc01g034195.1.1 pep chromosome:SL3.0:1:36626984:36627610:-1 gene:Solyc01g034195.1 transcript:Solyc01g034195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVDYLIVTDNSNSAILLFITNLGTQFLIKDLGNLTYFLGVEWSLLISGKCIEDIVDRASMDGEKSSLTPILTTNSLILDDSAHRHDLKVRLGSLQYLSLTHPDIAFSTYRLSQFVHHPTTNHWITLKRFIRYLIDTIDHGLFHHKNSPLNLHAFSGADWAGNKDDRTSTSANVIFLDVQYLGVQRGNILWCILQPRLNIVMLQQQL >Solyc11g012920.2.1 pep chromosome:SL3.0:11:5706615:5715932:-1 gene:Solyc11g012920.2 transcript:Solyc11g012920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAADGVPKDSQENLEKDTSLTKQEKEVLESPKKQVIKKDIKEPALDQAEMDDSPIMGVMSSKSESVDAQSLKVSESSIKKAIWERADHFRDNSESITLAGVRRLLEEDLGLEKNTLDAFKKFIHSQIDEVLIPSEAPKSSSVKKSPENKSKTAKKSGENSNSSSKRKRIAEKVKSGKNSAAKETVEKSEGLKKRKKPNSEDNVPAENQKEVSKNLSDEDSDGDTDKSDSEDGQSGSSAEIISDKEKVVKGASANTGYGKRVEHLKSIFKACGMSVAPFLYKRAKQVSDDKREGFLIKELEKMLSAEGLSSNPTEKEIKEVKKRKQTAKELEGIDLSNIVSNTRRRSTTSFVDPPRPKSPPKNDKNDDKDGDSDADDGSDDDKDDDDDEDDESSQSDEEFNGDDDEDSE >Solyc04g028460.1.1.1 pep chromosome:SL3.0:4:15727986:15728321:-1 gene:Solyc04g028460.1 transcript:Solyc04g028460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDLVTEVFKAKLQVKEDEPKIDLEEEDDDEENDHDIELVDATEEDFSFVSGVLTSPVAAAEAFDNGQIRPFFPLFNQDLLLSDIDFQHLKKRHLSTRYSLKQIIATMLQ >Solyc01g094170.3.1 pep chromosome:SL3.0:1:85616616:85622084:-1 gene:Solyc01g094170.3 transcript:Solyc01g094170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNQHIAIFTTASIPWLTGTAVNPLFRAAYLAKDGRMQVTLVVPWLPLVDQEHLFPNNITFDSHLEQEKHVRQWVEERTGFESNFNIRFYPGKFSLDKRSILALGDITVIIPDDEADIAVLEEPEHLTWYHHGKRWKEKFRLVVGIVHTNYWEIVRRERNIMVALLIKYINGWVIDIYCHKVIRLSAATQDLPRSVVCNVHGVNPKFLQIGLKTREKQQNDDQAFSKGVYYIGKKLWNKGYKELLDLLRDHQKELPGFEIDLYGSGEDSAEIEMAAKKLELAVRVHPGRDHADPLFHDYRVLVNPSTTDVVCTTSAEALAMGKIVVCANHPSNEFFMQFPNCLTYDDGEGFVKAIIKALSDEPAPLTNAQRHALSWEAATQRFLKAAELPTNQPKTTTSEPFLSTSLNLTKKLENASALVHFVGTGFLSSQPDEEQCKELGLKVPPKRTGFSSGKWI >Solyc08g016340.1.1 pep chromosome:SL3.0:8:7324949:7325512:1 gene:Solyc08g016340.1 transcript:Solyc08g016340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDLVLHFPNDVILSLSTVYQGWVDEKTLAPTKVGTAMIVAIKKLNSESTQGFEEWQEMNVVKWDEHKSCSVFQE >Solyc02g076810.3.1 pep chromosome:SL3.0:2:42489528:42492977:1 gene:Solyc02g076810.3 transcript:Solyc02g076810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEITESSTQVTMSSRPLPFREDCWSEQATWTLVDAWGRRYMELNRGNLRQKDWQRVSDSVNALHGHSRKTHRTDVQCKNRIDTLKKKYKVEKAKIIESNGTLTSSWPFFERLDMLIENSDKKVTSAVVTPVSLPQKRQLPAFDESCFRRNYSAVAAAAAAGGGEEDYDDEEEDVEMTEESWEEDGMRRLAKAIESFGEIYERVEGMKQRQMVELEKQRMQFAKDLEVQRMQLFMDTQVQLEKIKHTKLSGSNDLYS >Solyc10g046870.1.1.1 pep chromosome:SL3.0:10:37973328:37973570:-1 gene:Solyc10g046870.1 transcript:Solyc10g046870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVDTLSTHSPDAEYIGERQQHSTWTGDADIIEVFCKFSAEIGRIEKEIDEINVDVKLKIGLVLVCYHMNYWHQVQALE >Solyc01g017760.1.1 pep chromosome:SL3.0:1:25267340:25269297:1 gene:Solyc01g017760.1 transcript:Solyc01g017760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLKSQTTHHGSLIELLIFSMLSHKSLLSPSVHLAYITYTYLLYLKTRPQIDRIRSSFKELFAIRNSIKIGVYDNHNMFLDFTNEDDFSMVWYRRVIEMEGLQMWLQKWAQDFKSEEDIPVAPMWVLLPGLPFHMHTWNYIKQMVSLVGTPLSMDEATNCRTRRSKDKVRVEVASAQHCLCGFGRG >Solyc06g076140.3.1 pep chromosome:SL3.0:6:47417293:47418368:1 gene:Solyc06g076140.3 transcript:Solyc06g076140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein-like protein type 2 [Source:UniProtKB/Swiss-Prot;Acc:Q43513] MSCCGGSCGCGSGCKCGSGCGGCGMYPDLESTTTFTIIEGVAPMKNYGVAEKATEGGNGCKCGSNCTCDPCNC >Solyc04g056705.1.1 pep chromosome:SL3.0:4:54614187:54617761:1 gene:Solyc04g056705.1 transcript:Solyc04g056705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDIVTLTMQGQQIMEFGAGNVGDRKSTYGFLFNLGFKALSWSSKKPESVALSTSMAEYIATTSAACQEVWLSRLVADFLSESN >Solyc08g048470.2.1 pep chromosome:SL3.0:8:12857451:12862396:1 gene:Solyc08g048470.2 transcript:Solyc08g048470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMLLNSLFCILFVRSCSGEKKSSSIPRIHFDLVESGRYHEYPWGKDVFYKLLKSVTKKMDEKKKYYRIDGMPLAMQIWIYECCSAVDSNIVVKKSNRIPRIVNWMTRNSRIHYEFLMEGMFSDNGNPLKFKNIEPSIKEIAFYQLESKSNANTENTFQIVSDKDDDEDDDFTSKPPSHKPHNKEKGKQKAYVLRSTLIKKSNLHAGSRLKDKRPTVLSGCRKAKSTTLNPDSNPLEDDVSVQEMHNRPDNSANRTPPRSSKEPQDTKADEIGLLRQDLASFKNYVNNEFKELQLLIMGNFRQVMDALNRSCREYGAPNKEDATKSPSHVPNWSNNNQISNVMDKPHCDANEVRTPRFVLQEHVKINVKEYLQPVQIHIQDPLTVHEQLNDINVFQNHDIQQPQSQIELIDALLPDFDAINPKKNDVVHSEVVVHPEGVVYDTTPVPVKRIRHPDRLTGDGNVIQNDGIQQPQSQFELLDALLPDIDTIYPKKNVVVHSEVVVRSEGGIYDNTPVPVQSIIHSDQLICSPYSTNIGSSSVGSNFCVANVESAIHDYIKGYRLMTDIPWNTIDNVFIPVNVEHKNHWVLAVLSLIDKHIYVYDSFRAAGHNYHVREEIQKLAQLLPMYVSMEIGDGSDDAEDNHIAYDVTYVEDIPQQGSDFLDCGIYLLAFAEYLSKGEGIPVKYLDSKLHRIRYGALLWEYAMKKMKDGAVSDNESPPRRMRTPARIDNSQLVVID >Solyc05g016720.3.1 pep chromosome:SL3.0:5:18007303:18014666:-1 gene:Solyc05g016720.3 transcript:Solyc05g016720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPFYFPIARCLLMVISMYACVPLCHPSIFPLLISLFSHQSSHHLPETLLSSKAFPDFSIKFLRAV >Solyc11g011250.2.1 pep chromosome:SL3.0:11:4289546:4296901:-1 gene:Solyc11g011250.2 transcript:Solyc11g011250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKITPSAASFATSIKHLAGIQLPRCQSTIFTSNSTKFRAPRRGFTVSMAASIETPLEVCVKQSITTPNKLGDCPFTQRVLLTLEEKHLPYDMKFVDLSNKPDWFLKISPEGKVPLIKLDEKWVPDSDVISQALEEKFPKPPLTTPPEKASVWVYLLLLLTYSFLIQNRHACGSKIFPKFVAFLKSKDSGDGTEQALLDELTAFNDYLKENGPFINGNEVSAADLSLGPKLYHLEIALGNYKNWSIPDSLSYMKSYMKSIFSRESFINTRALKEDVIEGWRPKVMG >Solyc06g018115.1.1 pep chromosome:SL3.0:6:17554598:17556191:-1 gene:Solyc06g018115.1 transcript:Solyc06g018115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHVTTGTQGGTGGLRTHLRKCNKEFARLDDIERANRNGTPIPEYSMGVGGSNIVQSVLNMSNPASQSTHRTYSKEKDRRELAKMVVVCGLLFSFPSHPGFVHYIRKLYNPDYEGIPRNTIKSDLFKYKKEYSHFLCCLFAYYDSRLSITSDMGRSPSGNDYFTLSVHWIDHEWNMQKRILAYKYVEETKTSSYIASKVGSILQYYEICDKIMIVTLDNASNNLRDVNYFKIRHCPIENGCFHIKCAAHVYNLIVKDVKARVTEFKNRCNECELAYRKIPKEICTRWNSLFEMLQVAYVYQELLQLVFNAHNEDPSLRTGFEDWKNTKELIDFLNVFYKATNECSGQYYPTISSILVNICAISIEFSKYKGKEHFKDSIAFMIEKFKKYFFPIPQIYLTATTFNPNYKLRGVERKVEKIYKNLGIKDDETPSVEQCKSSIYTKVKDLYNAYKSKSNDTDDMMDEYLDLESDETNNDFDLYFNQAREKIRREEG >Solyc06g053830.3.1 pep chromosome:SL3.0:6:36780570:36782928:-1 gene:Solyc06g053830.3 transcript:Solyc06g053830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPV6] MDLKTELCLGLPGGGGDHNIKKRGFSQTVDLKLNLHHNDNIPSMNINNPPKDNSSNKPPTKAQVVGWPPVRSFRKNMLSQKGNNNNNNNEEISEKDEKTIAFVKVSMDGAPYLRKVDLKMYKSYQQLSHSLTNMFSSFTMGNYGSQGMIDFMNERKLMDVLNSSDYVPTYEDKDGDWMLVGDVPWQMFVDSCKRLRIMKGSEAIGLAPRAMEKCKNRS >Solyc06g050180.2.1 pep chromosome:SL3.0:6:32748765:32759601:1 gene:Solyc06g050180.2 transcript:Solyc06g050180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLAYDCLLNPQRAIRMTFQNEILKPLIQPPSTARTGALLIYSGSFSMTMAAFLTRLKSNNLVRFRGMIQDMLGNELYVGMYKDGETWRTNKFGEFFQLPMAIGSSPDMRAWEQRLLYCIPILRQNSWIEYSSKPLPNPSSESSSPQREKCHREVDTTMDDIDMQVLVHRIAIHQHLIKLLDFVLIQQRENGIASNSSNLGDTPTANVGSATSVLPYFDRTSLSCLLKIYDSPESDLNLNDFFEFIGVLTFDTEFSVDKNVDNDFMGYLGYDDTQTQMPPTKYILLFFQVHVRVDSTAVGKLSLNLTCFNKETLSVYGHRLNLALKNLLPFTQCLPLTVDYRNKIPLAPQKNYQTNRSAASGLLQLVEGSHLTIDETQSQEERLNPIGFENARVLQRQKVIMD >Solyc03g115830.2.1 pep chromosome:SL3.0:3:66905481:66907488:1 gene:Solyc03g115830.2 transcript:Solyc03g115830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKKTFNDQQVSSDRESGAVLKNTELKWGPSPMTLMGFIAVLLMVLTVVFSAAFFFGDLPSDCLWTLVEARTFHAKHSKVSEKDILQPLTVPKDRLLGGLLPTGFDETSCLSRYESHLYSKPLQHKPSSYLISGFRRYEALHKQCGPYTELYNRTVDLIKSGEYSSDSSVCNYVVWISYSGLGNRILTLATAFLYALLTNRVLLVDPRANLHNLFCEPFPEVSWLLPPDFPIIDRFSSFNKKSPHSYGYMVRNNVTGNSRTPSFLYLHLCHDFDVQDKLFFCDTDQTFLHKVPWLFVKSNNYYIPALFLIPSFEQELNNLFPEKGTVFHFLGRYLFHPTNSVWGLITRYYQAYLAQADEKIGIQIRVFSLGVSNFKYVLNQILACATKENLLPQVNLNEPIANSSGKTKTISVLMTSLSSRYFEEIRNMYWQNPTVTGEVVSVFQPSHEEHQQTEKLMHDRKALAEIYLLSLTDKLVTSGWSTFGYVAYSLGGLKPWILYKFQEGTVHNPPCFRATSLEPCYHSPPYYDCKKKTASNNTVSIAPHVRHCEDNSWGLKLFDRKGKM >Solyc02g089700.3.1 pep chromosome:SL3.0:2:52081200:52083206:1 gene:Solyc02g089700.3 transcript:Solyc02g089700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVAELFDSFRKVILPIATLLLLLKISYSSFDSTTDSLLQNLESSQNGSLPLDQPEQVSPGITSASPSTNYSAKTGIRQQTCNIFVGKWIPYPKGPYYTNESNCVIDDRQNCMKYGRPDSDFIHWRWKPNDCELPLFDATQFLQIVRGKTLAFVGDSLARNQMQSLVCILSNITELAKSSANMFISQASIPVDVSETTDTKFRRWLYKDYNFTIMALWSPQLIKSYDSDPTGDPYYSLMNLYLDKADDVWASQVEKADIIIISGGQWFFRPFLYYENDQLIGCHKCNQKNVTEHTHYYGYRMAFRTAFKTLLNLQKLKGRLVMLRPFSPAHFENGDWNNGGNCNRTRPFKNEEMKLDGYELKMYMTQLEEFKAAEKEGRKRGSVKFKLLDTTEAMVMRPDGHPNHYGHWPNEKKLPDCVHWCMPGPVDTWNELLLATLKMEGDEL >Solyc09g005480.3.1 pep chromosome:SL3.0:9:331223:334071:-1 gene:Solyc09g005480.3 transcript:Solyc09g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKKLSKNSIFPDAKIKFAKVPTIHFQDEIMMDILRRLPMRSILRFKCVSKFWKSLIDDTYFKRTHYIHNRDNQNSKKILIAERLVNKDETFSFYTSSLSMVEDKQKLDWPTSCNPVDARIFCSCDGLVLTRVCSKMFDEELLLWNPSTRESILLPHPEYRIMTYVFGLEYDATSEGYKILAVKLNGKKSINISIEFLSIKRNSSWRRIDYPTDIQRVRGFRDCGTDNLAFLHGAFHWLGKSTSGYYTTVSLNISNEVYGEVPLLKQMYDLCPLYFFFDHGVSVLRGMLCFYSTYNKISRSTNGIFNLWVMKDYGVRESWTNFIKIRDTDLFLSARPVYMFADCQVLLHFQRFGYFSSNFTTSGRPFDLCPECDTTKRGIVYAESFISPTSLLT >Solyc05g015300.3.1 pep chromosome:SL3.0:5:10295220:10297910:-1 gene:Solyc05g015300.3 transcript:Solyc05g015300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEALTIFLLAFVLASTYAAIPPEVYWNIKLPNTQIPKVIKDFLPHSDGVVPEPKQENTKKKVYYGLSGYIPISWHHAANSEFQRFVLENSSTNRATNKNMIKQVTEGSSFKTNLNYDTLKQYFFLEKDLEKGKLIEFPSLLNKNEAPFFPRHFVESIPFSMEKYPEILNHFLIDSKSKDAQTIKKTIKLCEESEVKHKEKKTCVTSLESMVDFGLSVLGTNNVLAITSEVQGETQASQRYTIEQVQQVFDGDNMVCHKLNYAYALHYCHVGGSTTTYMVSMVGVDGTKVKAVSVCHKDTSFWNAHAIPFVALKVKPGTTPICHFLQDDQIVFVPI >Solyc01g097220.2.1 pep chromosome:SL3.0:1:88026764:88034559:1 gene:Solyc01g097220.2 transcript:Solyc01g097220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEYEIVCFDSFFHLPAPLTEAQKQVLAFLESACAGDVELFKKLAKGLDHDGKGLAATVAGVKDAQKRGSLHFAAGEGKIDLCKYLVDDLKMDVNERDKEGETPVLNAARHGHTATVQYLIDKGAEPAILSTSGAALHNAARNGHIELVKFFLSIGVDIDLQSDVGTPLMWAVGHRQKDVVKVLLANFNQVGDDGMSPLQAAVAVDSFPCVELLVKAGANVNVMTGEGMWCGEMTPLLVAARKGNAESVKCLLQAGADPNFTDQDGYKPIHYAARSDSRECVEALLTVTSDTWGVCEWTVDGVIEFMKSEQGLTEAGRQANKRPKKDLPELSPEAKKKAADAKAKGDEAFDRKDFAAAKDAYTLAISYDPTDGTLFSGRSDCWFHLGQGESALTDAKACKRLGQDLAKAYYREGAALRL >Solyc01g088380.2.1 pep chromosome:SL3.0:1:83016693:83035530:-1 gene:Solyc01g088380.2 transcript:Solyc01g088380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKKRTSSGSTKKSSIQNQNLQQQQQQSQPSKFGIQHFFERHTQNALSQKSKSLLVPHNSNSNPNSLQNPKGSSSVSVSKTPRNDLELRCLDSNETNSVAQKGENGLDFNKFDEVKPVSQVKSNGNVVESDKVDVAERFKDKESSVSLKNNESSSPSHSTPTEIVVAAGSGEERNDAEVTPEFCKSVSLNKRFKFSPGMLIKQSQDDGGDEVTWRISPVNERLHAMSKQLPKMAKVLADSSRFNSLNIQECSLKKMPPETEIKFEKWLCSPPPKALDKSLVCSKTASMKNKNTDHTMDLWENNGNSNCQSKTEVINSQSPFQTPPSLTYGSDKPANVVDSNEASDQFSSRQHKKALIELLDQVEDVISVEPQCEEKIASHCHDGPAKPTAVLQKLAENFCSSDQRKESTINFLVLEVSEKHEQVETSGRQCSFKVLRLLNEQGGEERVLQLRDEWCYSVVAPGDTIHVIGEFDSEGKCEINRGKNFLIVHPDILVSGTRVAGSFSCSRRTVLDERLKSGEYSAAALIGTLLHQMFQAGLIRESPTKEFLEDYARVVLQKSLESLYACGVNENDTHKTLIDAIPKLLNWIQSFQYSEVSESPSIDFGSEDGAKKIKVSEVLDIEEMAWAPKYGLKGMIDASLLVNVKSNTNVPNEMIMPLEFKTGKATNGQATMEHSAQVMLYTLLMSERYLQHIGHGLLYYLHTDQTQGITVSRSDLVGLIMRRNELANDLLKASITQQLPPMLQSPNMCRGCRHLNVCTIYHKAYGGTTEGSGLGSVFDSLVSHLTNEHTNFLQKWDQLVDLEAKEVEVVKKQIWSSQSLKTDLYAPCLSSLVLDTSERSTPSNFSKGNQFTYHFVHRDWTSLGFHQQDGAALNSSIFPVKKFESSLRNGDYVVLSTEPSGILIATGVVVDMSCSSITVSLSKRLRLPGSSRTSQAQDLHQQLWRIHKDEFMGSFAIMRFNLIQLFLQNEQSSHLRKMIVDLEVPRFDSGCQFSQDPAISYIWSQKNLNDDQRRAILKILTAKDYALILGMPGTGKTSTMVYAVKALLMRGSSILLTSYTNSAVDNLLLKLKSQGIDFIRIGRYEVVHEEVRENCLSMMDTHGLEEIKQRLEQSKVVAVTCLGITSPLLSNKRFDVCIMDEAGQTTLPVSLGPLTFASKFVLVGDHYQLPPLVQSAEAREYGMAVSLFCRLSEAHPQAICALQSQYRMCAAIMELSNTLIYGNRLRCGSSEVENAKIKYTGLPSGPKWIKEAMNPNRPVIFVNTDLLLAFETNDRKAVNNPVEANIIAEIVCRLLSRGILEEDIGIITPYNSQADLIRQAVSTSVEIHTIDKYQGRDKDCILLSFVRSSENPRNYISSLLGDWHRINVALTRAKKKLIMVGSCITLSNVPLLKLLIEKVEEQGGILSVSKKDIAHKPELRRCSNLR >Solyc05g013300.1.1.1 pep chromosome:SL3.0:5:6401213:6402184:-1 gene:Solyc05g013300.1 transcript:Solyc05g013300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LescPth2 [Source:UniProtKB/TrEMBL;Acc:Q9LLC7] MGTNYSKPTTSINDASNLSNRVPFESFRVPFVDLQEATNNFDDKFLIGVGIFGKVYRGVLRDGTKVALKRRKPESPQGIEEFRTEIEILSHCSHPHLVSLIGYCDERNEMILIYDYMENGNLSKRLYGSDLCLPTMSWEQRLEICIGAARGLHYLHNSAVIHRDVKSTNILLDENFVAKITDFGISKTTPEFDQTHLSTMVQGSIGYIDPEYFIRGQLTKKSDVYSFGVVLFEVLCARPAIGRSHMISLAEWAVETQKMGQLEQIVDPTIVAKIRPESLRMFGETAVKCLASSSENRPSMGDVLWKLEYALHLQEPIIQDNPE >Solyc12g100110.1.1.1 pep chromosome:SL3.0:12:67939490:67940743:1 gene:Solyc12g100110.1 transcript:Solyc12g100110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQFACALAFFLIASCTMAYSPYESSDSTYNKVTTKEVKSEDFKVPSVSEKEYKESSFPKFDYFKKPSVSDDIYKKESYIPESKESFFPKFDYFKKPSVQENDYKKASYVPEEPSKAKPEYKESFLPKFDYFKKPLVQEEDSKKASYVPEVPSKAKPEYKESFLPKFDYFKKPSVSEDSYKKESYVPEKPSMPKEEYKVPVLLKNDYFKKSPVPEDSYKKVSYVPKVPSVPKEEYKVPSLSKNDYYKKPSVSEDNYKKVSYVPKVPSVPKEEYKVPSLSKNDYYKKLTVPEDNYKKVSYVSKVPSLAKPEYKVPSLPKNDYYKKPSIPEDKYNKVSSVPEVPSVPKPEYKVPSLPKNAYYKKPSIPEDKYNKVSSVPEVPSVPKPEYKVPSLPKNDYVKKPSPSPSPPPPYY >Solyc08g061180.1.1.1 pep chromosome:SL3.0:8:47256494:47256643:-1 gene:Solyc08g061180.1 transcript:Solyc08g061180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFDLMKGVLDDMKRLKIELVEGTFFIFIESYAKFELYNEAIKVLDMM >Solyc11g043160.2.1 pep chromosome:SL3.0:11:33647861:33652344:1 gene:Solyc11g043160.2 transcript:Solyc11g043160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAISCAYGVILCCPLLFSGNGVDGMTYNYNASIECLAEPQHVQYKGGTLINPKFDLGLHGWEGMGGAKIEIRRSFSRNNFMVAYNRNEYNATFSQKIFMEKGYYYTFSAWVRVSEGTETTVSAAIITKENSKRVIASGNAYPGCWTMLKGGFQPEFPLPTELYFVCYNKTADFWVDNVSLKEFNKTEWHQHQQRAITRVRKRKIVLAIKDKLGKPIHGVKVNIKFTKPYFHIGCGVTDTLLQHKKYQEWFLKKGFTASVFTNQMKWYWTESRRGIENYTIPDAMFQFFKNNNIAIRGHTVLWDKPKMNQYWLHDMTPKELLATAIRRIASIMARYSNDIFEWDVVNENLHFKFYEDKIGAQASGMFYHIAHVIDPNATLYLNEFNSLEIPGDLYAGPHKYINKWREIRLYPGNENLTIGFGLQAHFGLGKPLMPYIRAVLDLFSETKMPIWLTEMDIPNNANQAVYLEEIMREAFSHPGVEGIIVWAPWKPGINCTSLCLMDDNFNNTAAGDIVDKLMREWRTPEQNGKTNSQGLYRYDGFLGDYNVVLYDPHSLNKVSRQIKITNKDPNKIVIPISI >Solyc03g098240.3.1 pep chromosome:SL3.0:3:62031070:62035654:1 gene:Solyc03g098240.3 transcript:Solyc03g098240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:B1Q3F0] MVLTTTSIRDSEESLHCTFASRYVQEPLPKFKIPKKSMPKEAAYQIVNDELMLDGNPRLNLASFVSTWMEPECDKLIMSSINKNYVDMDEYPVTTELQNRCVNMLAHLFHAPVGDDETAVGVGTVGSSEAIMLAGLAFKRKWQSKRKAEGKPFDKPNIVTGANVQVCWEKFARYFEVELKEVKLKEGYYVMDPAKAVEIVDENTICVAAILGSTLTGEFEDVKLLNELLTKKNKETGWETPIHVDAASGGFIAPFLWPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPDELVFHINYLGSDQPTFTLNFSKGSYQIIAQYYQLIRLGFEGYKDVMKNCLSNAKVLTEGITKMGRFDIVSKDVGVPVVAFSLRDSSKYTVFEVSEHLRRFGWIVPAYTMPPDAEHIAVLRVVIREDFSHSLAERLVSDIEKILSELDTQPPRLPTKAVRVTAEEVRDDKGDGLHHFHMDTVETQKDIIKHWRKIAGKKTSGVC >Solyc12g009210.2.1 pep chromosome:SL3.0:12:2490394:2497109:1 gene:Solyc12g009210.2 transcript:Solyc12g009210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVTSSFWWRWTQPHHHPRRWVPSVFTTHKAIITAVWIAFLVTVFWWQVDATAGILIFRRVFPAREVPKFRPVAFNLTDFGGVGDGVTVNTEAFEKAILAIAKLGKKGGGQLNVPPGYWLTAPFNLTSHMTLFLAEGAVILGIDDEKYWPLMPPLPSYGYGREHRGPRYGSLIHGQKLKDVIITGHNGTINGQGQAWWKKFRQKLLNHSRGPLVQIMWSTDILISNITLQDSPFWTLHPYDCKNVTIRNVTILAPIAGAPNTDGIDPDSCEDVLIENCYISVGDDGIAIKSGWDQYGIAYGRPSKNILIRNLVIRSNVSAGVSIGSEMSGGVSNVTVENLFIWNSRRAVRIKTAPGRGGYVRDITYKNLTFENVRVGIVIKTDYNEHADESFDPKAVPLLENISYTTIHGEGVRVPVQIHGSKEIWVRNVTFRDMSIGLTYKKKHIFQCAYVQGRVIGTIFPAPCKNLDLYDEQGHLIRRSDAQNATDIDYDI >Solyc12g095890.2.1 pep chromosome:SL3.0:12:65977170:65984210:1 gene:Solyc12g095890.2 transcript:Solyc12g095890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSESLSESVAPPPKKYGVTKPLSLAGPTETDLQRNAELEKFLRESELYESEEETARREEVLRRLDQIVKQWVKQLTRQRGYIDQMVEDANAIIVTFGSYRLGVHGPGADLDTLCVGPCYVNREEDFFILLHDILAEMEEVCELQPVPDAHVPVMKFKFQGISIDLLYASITLLVIPEDLDISDQSVLCNVDGPTVRSLNGCRVADRILKLVPNAKHFRTTLRCLKFWAKRRCVYSNVTGFLGGVNWALLVARICQFYPNAIPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQIGNKISEDVELNKSQWPALFEHYFFFEAYKNYLQVEIVAADNDDLLAWKGWVESRLRQLTLKIERDTNGTLQCHPYPNEFADMSKPCPHCVFFMGLQRQKGVKVQEGQRFDIRGTVDEFKQEVNMYSYWRPGMDIYISHVPRREIPAYVFPDGYKRPRQSRNTTQHTPEKDAKGCMSSEGRRSKRKQETHTVDVESDKLPKRASISPQNIGSVSPASVSSRSGGSSQIIIPEESLQEVKTAGLQNQSSDDKSTEDTGEQKPCISE >Solyc01g098610.3.1 pep chromosome:SL3.0:1:88948288:88960465:-1 gene:Solyc01g098610.3 transcript:Solyc01g098610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGCSSPSISLTTIATSHFQSQESLSNSLNFYSPTRFLEPHLLKSSKIFIPKSPLKCAKVPEMQTQLEDSAKPIVDPHDIDSKLVQKLANDALVWCSLRGLLVGDRNSERSGTIPGVDMVHAPVALIPMSFPESHWKQACEVAPIFNELVDRVSQDGEFLQQSLSRTRKADPFTSRLLEIHSKMLEINKLEEIRLGLHRSDYMLDEQTKLLLQIELNTISSSFPGLSCLVSELHRSLLQQYREDIASDPNRIPANNAVNQFAEALAKAWNEYGDPRAVIIFVVQAEERNMYDQHWLSASLRERYPTSNDRYGFNDCRDGQAVAVIYFRAGYAPSDYHSESEWKARLLMEQSRAVKCPSISYHLAGSKKIQQELAKPNVLERFLENKDDIAKLRKCFAGLWSLDESDIVKDAIERPELYVMKPQREGGGLAQEIDCLYCNYTSKSLILDPLLTAGNNIYGEDVRGALLKLQKEGTGSDAAYILMQRIFPKISHSILMREGISHKEETISELGIYGTYLRNKTEVLINQQAGYLMRTKVSSSDEGGVAAGFAVLDSIYLV >Solyc05g013740.3.1 pep chromosome:SL3.0:5:7049924:7063296:1 gene:Solyc05g013740.3 transcript:Solyc05g013740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVRLRLSFNDPDILSDLQKSEGFVKTWLLLKPQQHRTVSDLSSYLLHTFQLHDSCPNGILLSMDGFVLPPFESTCILEDKDVVSVKKKRGHLAIDGNNGPNVILAVERNNGPNAVEKLQIVEKQPLKGGPLLLANEAFDRGAGCYESCDSEETEDESEKEEEAEPEKDTSHQENALAGNAISKKRKASEMLPSSKKKKHCSDVKAKLDEQTKKQEDLTRKKRKVSDSNNKDMENNKGNAESNEDSHITPSTKKTHALQQNGVENIEAPPNSDATKKKGPSRSAKRKSAKRRWLREMAKIKEKNADAESEGLRNWKEMQAKAGKGEPSCQPKGRLNDGANGHRNGKQQKTKTKKEEVTGQPKGLLHRKQFHSEDKNGDTNEEKQAEEKSKSCGQSCQNSDSEDEVVPVEIRPGHIRFEPVGKEQVSKQSEEEMESFKWNGMMSKKKGQKWGQEKVSFPQKTDSLGSNKEYPDMMSRERQKWIQEKDSFSQKNDSLSSNKEHPEIMNRKRQKWGQENISFAQKNDSPGSSKEHPDMMNRERQKWSQEKNLFYQKNDSLGSNKEHPEIMNRNRQNWGEENVSFSQKNDSLDSNKKHPEMLNGEKDPHFNGSIDFNTLPFLSGQPKEGLVIAYRLLELSSTWTPEVSSYRVGKISSYNSEANRVLLMPVAEFPVICTEDESSKQPDSSIYNEDGSLEIEFSALLEVRLMNSTPDQGVHEGVIEGSAANESTPVLGSSKKKNETPVPGAGEVSNGKQTRSTPSENGGVNLWEQFSDTLKSKKAELAQESNWDKASTGKSPWSYRPMRGTALGPTMAFLRSQKKI >Solyc10g005840.3.1 pep chromosome:SL3.0:10:644032:649759:1 gene:Solyc10g005840.3 transcript:Solyc10g005840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHNLLGGKLNHGLSAIDSYSLLKEGKQLSSEEIILISSLGWCIEWLQGYFLVHDDIMDGSSKRRGQPCWFRLDKVGMIAVNDGVLLRNHIAVILKQHFRGKPYYVDLLELFNEVEYQTACGQMIDLITTQEKDLSKYSLSIHGRIVQYKTAYYSFYLPVACALLMAGENLDNHANAKDILIKMGIYFQVQDDYLDCFADPQVLGKNGTDIKDFKCSWLVVKALERCNEEQKKILDENYGIDDKACVAKIEALYKDLKLEDVYREYEENTYEELINTIEDEETKLSKPMQAVLKSFLEKIYKRQK >Solyc06g053300.3.1 pep chromosome:SL3.0:6:36114391:36121175:-1 gene:Solyc06g053300.3 transcript:Solyc06g053300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVENAAINVVVSSNPRRIVQKDVVVDHQSVKTKQNNDVQRSKVSSDQNFEIMSVNQQEQEEPQKENGIQQKEESVNGNGVLDSHSIQHQKMNGVDGGDEGFKKEMRDLEEMLSKLNPMAEEFVPLSMANNNMLVPYTPGAVYFGFDANNFLMQTEANGNSNRRKKNGYGHGRRRMNTRTGMAQREDVIRRTVYVSDIDHQVTEEQLASLFLDCGQVVDCRICGDTNSVLRFAFIEFTDEEGAKSALSLAGTILGGYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKITQADVKLFFESLCGEVHRLRLLGDYHHSTRIAFVEFVMAESAIIALNCSGAFLGSLPIRVSPSKTPVRPRFTR >Solyc10g074405.1.1.1 pep chromosome:SL3.0:10:57815833:57816462:-1 gene:Solyc10g074405.1 transcript:Solyc10g074405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEEVQVDIVQGICEMLDEHNVLVKSFRMSRDRYREQPQTEFRLRLLSEKISDGRQYNIPTASEVAGLIIGDLTDANFQRDVIVEHRKNGLQRITDLHPSFMSMTFPLIHPYGEDEYRLGIQLVSQSQKTYTREHMTMRQYYGYRIQQRLNEGRTLIQAGRLLQQYIVDGYMAIEEEQFRYIRNNQPKLRADLFGGLMDVVVRGDSDCS >Solyc10g081080.1.1.1 pep chromosome:SL3.0:10:62374323:62374478:-1 gene:Solyc10g081080.1 transcript:Solyc10g081080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKRKERDFKTPFWTLSSGTRYSFIKAGKTVKGEQVSATIAIATVVQTRF >Solyc06g074200.3.1 pep chromosome:SL3.0:6:46018145:46019172:-1 gene:Solyc06g074200.3 transcript:Solyc06g074200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMSSVVGLANSSLSGFSSAKKTSLSSDFMRSPVTARNPLRVAKASGGRVTCVPAINGKSLTGLFFESIGTELSHFPTGPALTSQFWLWLVCWHLGLFLCLTFGQIGFKGRTEDYFSK >Solyc09g082085.1.1 pep chromosome:SL3.0:9:68367678:68369112:-1 gene:Solyc09g082085.1 transcript:Solyc09g082085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDACIKYYRQLEESDGFDFDEYPGACMLTPIYPIMGFELFPNFVDRIKGYASLAIKQYFGNDGKERTVTEIVRLNAGGPRDFAYYITFKINNEGKEETFQAKVERTIGRTLQVPMCRRKV >Solyc04g071670.1.1.1 pep chromosome:SL3.0:4:58743633:58743827:1 gene:Solyc04g071670.1 transcript:Solyc04g071670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEITIYLKKKQVGVRNLVWKVPLTASPTAVLRLHICKDLIILNTAKSHSPKKKYVTKLLLINK >Solyc07g032317.1.1 pep chromosome:SL3.0:7:38188337:38189717:-1 gene:Solyc07g032317.1 transcript:Solyc07g032317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETDTPIRQISKKPAFLDYESRHSSSKTNSDNEDDINQPDINNNHLVEPEVFTQTNNNASTSATPERGAPRLVINYKPLNSVLKWIRYPIPNKRDLLKRIFNAKIFSKFDMRSGFWQIQISEKDKYKTAFNVPFGQFEWNVMPFGLKNTPF >Solyc02g091480.1.1.1 pep chromosome:SL3.0:2:53403085:53403339:-1 gene:Solyc02g091480.1 transcript:Solyc02g091480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDPQDVADRELVFKRFDLNGDGQISSAELGEALKMLGSVTSEEVQYMMAELDTDGDGFISFKEFEEFARANRGLIKDVAKVF >Solyc02g038703.1.1 pep chromosome:SL3.0:2:31880740:31883408:-1 gene:Solyc02g038703.1 transcript:Solyc02g038703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMYAMAVKRIFRYLRGTSDVGLSYGGDTQCLVTGYSDFDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKRLKAGLEKCTSQPTPMAVSSSTNGADTPFADITHFRSLIGALQYLAITHPDIQFAVNRIAQRMHQPSEHDYHCLKRILWYIFGTLGRGLLIRPRDLELRGFSDSDWANDKNDRKSTSRFLLFLGGRT >Solyc03g096120.1.1 pep chromosome:SL3.0:3:59412471:59413369:1 gene:Solyc03g096120.1 transcript:Solyc03g096120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4BJ34] MTNLEYLSAHEKLNKFCVFYTPFVSFDRVNVTSGEIENRMMMTGMHTVADIFCVCCGSSAGWKYETAHEMSQKYKEGKLVLERFKICGPDGSHYSASHDIHVVGSDVDDV >Solyc06g060620.3.1 pep chromosome:SL3.0:6:38761052:38764437:1 gene:Solyc06g060620.3 transcript:Solyc06g060620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGVEAVERLVTLGIAVNLVVYLTKTMHLGNASAANIVTNFMGTSYILTLLGGYVADTFLGRYLTVGIGATVQAIGVTILTISTIVPSLRPPKCDLGSPSCIPADGKQLAVLFIALYMTALGTGFLKSSVSGFGTDQFDESDPKEKGRMIKFFSWFFFLINVGALTAVTVLVYIQDKVGRKFGYGICACAILVGLVFFLCGTKRYRFKKLVGSPLSQFASVFIAAWRKRHMNLPSDSSVLFNIDDIIGDENVKDKQKLPHSKEFRFLDKAAIKDCSSEFSGTAILNNWKLATLTDVEEVKLVIRMLPTWGTSIMFWTVYAQMTTFSVSQATTMDRHIGKSFEIPPASLTAFFVASVMLVIIFYDRVIVPLCARYMNSPHGFTPLTRIAIGLVTSIFAMIAAALTEIKRLNVAKSHGLINNPNAVIPMSVFWLVPQFLLVGGGEAFTYIGQLDFFLRECPKGMKTMSTGLFISTISLGFFFSSILVSIVDKVTAKSRPWLADNLNQGKLYNFYWLLAILSVLNVILFFYCAKRYVYKEKRLAEVGIQMEDSGPVCH >Solyc09g009120.3.1 pep chromosome:SL3.0:9:2454334:2463100:1 gene:Solyc09g009120.3 transcript:Solyc09g009120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGSRRPFDRSRLEPGPKKPRLVEAPIGTERGSNGRSFIPQRGAGNSRIRASDKGGDSENSDSIRGSFQQQTQHQELVSQYKTALAELTFNSKPIITNLTIIAGENLQAAKAIAATICNNIIEVPTEQKLPSLYLLDSIVKNIGRDYIKYFATRLPEVFSKAYRQVEPSVHPGMRHLFGTWKGVFPPQQLQLIEKELGFTTGVNGSSSGTSRPDPQAQRPAHSIHVNPKYLEARQRLQQSTRAKGAASDISSTVNVNEDAERPERTTSVSSGRSWIDPSIKRAQKEKLNEHVPEKTISAAYGDSDYASDLPSRAAFGVGRGGERFKEQGFDKPWYDSGAGKILSQRSSLDTKHDFQSIPQKSATSDAHPQLIPSLPNRTSTLTDRSWKNSEEEEYMWDDVNNAAKDRWASEDSDKSDLENQLRRPQSIREVGLRADSEASADSPSAEERGPASFGNQMSAMWSRGSHALDGARHSASVQGAPVHSEGYQTSFSGLSKVANSVSRASYKLQTGSVHVGTQNIGPMNATLESRGSIVQQGETLRAASPSAQSPMHHLPPSPSLITSNSNQVINSPAEQYQMQTSSRSDPRLSQISRRSNLDPRNQYAQESLTMPSRNTISVNSQRQHPPSLQNSSALSSSHQLRQKVQRESLESEYSVQTKNSTVPEISGFPDPSSTSSLLAAVLKSGVIGNKSSSGTTSSSLDKGALSSQASAQPHPAQFSTSGPRIPPASVTSLSMDRNASNSPNYSQRNVEQPPLPPGLPPTLAGTASSQTPNAPNIASSPLSSILSTLVAKGLISASKKDPPIYTPSDTPPQTQNLIPPASSISTPALSAPTSSSVPSSAHKDELSHSKPSAETPEVLLQSMKEEAKSLIGLVFKPDVIRNSHPAVISDLVDDVPLQCGICGFGFKFQVKLDRHLEWHSLRNPDVKLLNNSRKWYLNSGEWIAAFGGLPCGDKSEGPAGGSSETSECTETMVPADECQCVCVLCGEFFEDFYNEESDEWMFKDAVYMSIPSESDCQGPIVHKNCISESSCQELGFA >Solyc11g065460.1.1 pep chromosome:SL3.0:11:51201570:51202574:1 gene:Solyc11g065460.1 transcript:Solyc11g065460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYPLAREFAHNVMSSLKRYAHLKSSHRTTMVCMADLGVNLTLLQGIHQIDLRGDISGFLSYHPKSLLTYIHHFDMFDPIFPFMDRAQSIFHLQNAAKYDQSRMSQQTICHHRSKSWTFSVSWGYSVHIYEKIMPRSWIQSPIETFKPWQNSPNPPGYMFDVRSTSRDPCEASHVFFFNSVERTPKNEIVTTYTRA >Solyc06g067975.1.1 pep chromosome:SL3.0:6:42246085:42248032:-1 gene:Solyc06g067975.1 transcript:Solyc06g067975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAAYINKNIIRPCHSCPLPHSPSSTGGRRRRLLQMECVFITVIIYVFVKVSFSGGFSPYSITGELRSEPARDLVLDFGGEYGERYRCIDEERANGCHLLQLISKNAGLDISSKNNNLGLDNLLECTQPLIAVDAVNMIKPQVGKGEEYFNR >Solyc10g006590.2.1 pep chromosome:SL3.0:10:1122355:1124970:-1 gene:Solyc10g006590.2 transcript:Solyc10g006590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKPKKNTKHSLSLSLSSFHSFMAFLQYYHQFQLILLIFFLLLFISFSHSSPISIHDLLKSKGLPYGLLPKEVKSYNYSTTNGLLEVYLDGPCLTKFDTIAFYESYLKANLTYGSLNGVQGFLQEELFVWLPVKGIIVDDLNYGIILFDIGLAHKQLSLSLFEDPPHCNPNGILKKKTETGHRFEAQR >Solyc08g007830.1.1.1 pep chromosome:SL3.0:8:2339145:2339834:-1 gene:Solyc08g007830.1 transcript:Solyc08g007830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDHFRVQDEGVFLLASSQPKKRAGRKKFKETRHPVYRGVRRRDNNKWVCEVREPSEQKRIWLGTYPTPEMAARAHDVAALALRGNLATLNFADSSWRLTVPISKDPEELRKAAIQAAEELVGVGYMNEEINYIEENIAIGGANGSDNSNLVGNEVNNNNNIQNMEMGNISCYNNWGDNNEMLEIEGSTWQEKMSEGHLFSPTPHYDSCFSWDDVESDGEVSLWSYNM >Solyc02g072120.3.1 pep chromosome:SL3.0:2:41965869:41973295:-1 gene:Solyc02g072120.3 transcript:Solyc02g072120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER lumen protein-retaining receptor [Source:UniProtKB/TrEMBL;Acc:K4B8I6] MNIFRLAGDMTHLISVLVLLLKIYATKSCSGISLKTQELYAIVFVARYLDLFTDFISLYNTVMKLVFIGSSLAIVWCMRYHRVVRRSYDRELDTFRYWILLGACFVLALVLHEKFTLQEVFWAFSIYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYILNWIYRYLTEQRFTRWISCVSGLVQTALYADFFYYYFISWKNNAKLQLPA >Solyc10g076700.2.1 pep chromosome:SL3.0:10:59739376:59741262:1 gene:Solyc10g076700.2 transcript:Solyc10g076700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIQLQSSILKHNKHLQFPSFSTIPHQRSTILRISAVSPNAQQLIQSGKVRPVLPKEAGTAMEGEGYILLDIRPEWEREKACVSGSLHVPLFLKDMDNSPITLLKKWVHFGYIGLWTGQNFTMINDEFVKQVEQKIPDKDNAKVLVACGEGLRSLMAISKLHEGEYRNLAWLAGGFNRASDSDFPAVEGTEKLQYATIGGVSYYFLQLLILLQAVGKES >Solyc05g010700.1.1.1 pep chromosome:SL3.0:5:4909018:4909170:1 gene:Solyc05g010700.1 transcript:Solyc05g010700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTPEGRDGWPGNGKTRISTDGCVEIRGSRIGTFLGVTKTVILKPWRRS >Solyc04g076290.3.1 pep chromosome:SL3.0:4:61295348:61300362:1 gene:Solyc04g076290.3 transcript:Solyc04g076290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVRQIPTSRRMAWPLQMGGLLMLLLPSLFPSLFSPLSRSYPSVFSEWNAPRPMHSHLLNRALQQQTSKPQEAELWSPLPDQGWEACAYKQETQSLGRKSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPYLEVNPVWQDSSSFADIFDVDHFISVLSGDISIVKELPSDYSWSTREYYATGIRPTRIKTAPVHASASWYQENVLPVMQSYGIVAIAPFSHRLAFDNQPSDIQHLRCKVNFHALVFVPHVRRLGDALVSRLRSPPGLNNASSATDFHNWENDRAGAGKYVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVLWQGRVLNSQFTDEELRGQGRCPLTPEEIGLLLSALGFNNSTRLYLASHKVYGGEARISALRQLFPFMEDKKSLASSHELSEVEGKASLLAAVDYYVSMQSDIFISASPGNMHNALLGHRAYKNLKTVRPNMTLLGKLFLNKTIEWSEFQQAVQQGHKNRQGQMRIRKEKQSIYTYPAPDCMCKA >Solyc01g067480.3.1 pep chromosome:SL3.0:1:75989588:76002105:1 gene:Solyc01g067480.3 transcript:Solyc01g067480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSKRRMKLGRLNSAQGTRSPMRHPKRSSNSSGEAVPPSNNDSEEKSCQCSSGAPEFNDCASGGSENWMLLSIAGEKPTPRFNHAAAVVGNKMVVVGGETGSRMLEDVQVLNFDSFSWTTASSKLYLSPTSLPLKIPACKGHALVQWGKKILMVGGKTDPSSDKVSVWAFDTETECWSLLEAKGDVPVARSGHTVLRASSVLILFGGEDVKRRKLNDLHMFDLKSLTWLPLHCTGTGPSPRSNHVSALYDDKLLLIFGGSSKSRTLNDLYTLDFETMAWSRIKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKRRHAETLIFDVLKLEWSVAVASPASSITTNKGFSLVLVQHKERDFLVGFGGFKKDPSNEVEVLIMEKNELSMGRRSSLSKAAGNLLSGNRLTSTGPASQPVNGTTTSHVDSIARQNLASAVEHHGSGRKSLSESLLIDPSSVSGNVSLRKQFSNDEDTSAKMTKTSGDESPSQEQGAKQLDIGLKTCSNGGKIMSEEMSTISESGHLPTHYRQASANFFQDTDDFVSQEGDYKAGLPASSGACQQHEAKLSSLMRKNGILEGQLAAALAGREAAVRSKQDMEKKMSDAVKEMELLKEKLASVEMAQEEANSLSNIVHSDNVRLEHDVAFLKAVMDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSLENRSPTPRKPFHV >Solyc06g066370.3.1 pep chromosome:SL3.0:6:41788776:41791917:1 gene:Solyc06g066370.3 transcript:Solyc06g066370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNFFLLMAASSFSFPTSSSSFMTTSFTDLLASDDYPTKGLADRIAERTGSGVPKFKSLPPPSLPLSPPPFSPSSYFAIPPGLSPTELLDSPVLLSSSNLLPSPTTGSFPSRAFNWKSSSHQDVKQEDKNYSDFSFQPQVGTAASSISQSQTNHVPLGQQAWNCQEPTKQNDQNANGRSEFNTVQNFMQNNNDQNNSGNQYNQSIREQKRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGNHNHPKPQSTRRSSSSTASSAFQSYNTQTNEIPDHQSYGSNGQMDSVATPENSSISFGDDDHEHTSQKSSRSRGDDLDEEEPDSKRWKRENESEGVSALGGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSTGCPVRKHVERASQDIRSVITTYEGKHNHDVPAARGSGNHSINRPMAPTIRPTVTSHQSNYQVPLQSIRPQQSEMGAPFTLEMLQKPNNYGFSGYANSGDSYENQVQDNNVFSRTKDEPRDDLFMESLLC >Solyc01g080790.3.1 pep chromosome:SL3.0:1:79920307:79921362:-1 gene:Solyc01g080790.3 transcript:Solyc01g080790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNIFFVSFLFVLAIFTQKMEAVDYFVTNTAANTPGGARFDRDIGAQYSQQTLVAATSFIWNIFQQNSPADRKDVPKVNMFVDDMDGVAYASNNEIHVSARYIQGYSGDVRREIIGVLYHEATHVWQWNANNGAPEGLIEGIADYVRLKAGLGPSHWVKPGQGDRWDQGYDVTAQFLDYCNNLRNGFVAELNKKMRNGYNDQFFVDLLGKTVNQLWGDYKAKFRA >Solyc12g010755.1.1 pep chromosome:SL3.0:12:3677046:3678411:1 gene:Solyc12g010755.1 transcript:Solyc12g010755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEEELRDMSVLQKGDDYVEVVCGCTSRRHGDAGARLRIFKSGELKIACECYHGCPEDNLSPFAFEKHAGKENNRWKHNIWVFIDGYKVPLIKTTLLKFYNMSPKNAKRPHKLVLHRDEFIKCTKCSKRRRFYRRSKNECRSYHDALANANFQCSDIPFDKFSCDDAEERASRRACKGCLLSPTCGGCTSCVCFGCEVCCFSDCDCQTCIDFRKNTKA >Solyc01g049680.3.1 pep chromosome:SL3.0:1:45777118:45778817:-1 gene:Solyc01g049680.3 transcript:Solyc01g049680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSEASVSRNRSTIIVPAGSARDEGWAAFRNILAEINEASRLFISPNQQSLETSERLGLSDDVGAGFISSHSSQSAPTADLTIERTIDLPAADEVSDVGVSKVIRVDQKRFFFDLGNNNRGHFLRISEVAGSDRSSIILPLSGLKQFYDMVGHFVEISKDRLEGITGANVRTIDSPQR >Solyc06g019140.2.1 pep chromosome:SL3.0:6:17626293:17635064:1 gene:Solyc06g019140.2 transcript:Solyc06g019140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLTLTLMLTFTLMFMLTFTVTLTLKFTFMLTFIMMFNFAYKVDIDIYIYVYIDVNADIHVDIDVDFDIHANAYIEVYVDIYAQFKFYINVSINVHVNVHAYIDIHVDVCIDVDFDIYIDASIDVHVHVKIDIDVHINIHSDVYINVLGNFDIYIYVHFDVNVYVYVHVHVIVNHYVDVDVNIYVHVDINVYLHVDSHVYVDILIHVDVCIDLNIDFDVYVHVEVDIDVQYHFYIDFDVDIYGDNYIDVHADIYIDVQADSEVDIDIDINIYFEIHVDVDIEFDIYVDVYIDVHADIYIYVDVDIQFDIHVDIDIHIEVQIYIHIHVDINVDIQFNIYLDVHINVYIEVQIGIDVHTNVQYKFTLILMLTLMFMLTHMLILTLILIFTFMLTFTLTLTLKFMCALMFKLTLIFTFTLMFTLTLTVIFMLTITLTLIFTFTFIFTLMMTLTLRFMFKLTLTFMMMLTLTLTFKMTFTLTLTFMFTFSKVDVHIDLHIHIYVDVHVHVNVQVDVHADIYNYVHIDVDVSNYVQVDINVDIDIQVEVPFHLKFTINVHFPIVFYVDVYVDIYVHVNIDFDVHIDIHSDVHIDVKRDVDVDIDGNFVSQVYVYVHVHILVDGHIDVEVDVLVNDHFNGDVDVNIYVNTDIYVHIDVHINVHIHIHVDVCVDAYIDFDVDVHFDIDVDVHVDFYIDIDVDINVDVHADFYSDVQADS >Solyc09g055670.1.1.1 pep chromosome:SL3.0:9:43781885:43782073:1 gene:Solyc09g055670.1 transcript:Solyc09g055670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLQIRVQLETLLAEKGRLAHENSVYARENHFLREIVEYHQLHMQVVVYQDEGIQAGNTR >Solyc06g062330.2.1.1 pep chromosome:SL3.0:6:39430434:39431855:-1 gene:Solyc06g062330.2 transcript:Solyc06g062330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSSSDNSLLHLNEVTVAMVPWPEHGHLNLLFYLARIIASHNIPVHFLCLTARNQDLRKRLQGGLKASVENLRDSNIHFNDLLVHSSPTEKKDDFLERLGESICRTCHELSTNTKRLVIIHDCLMITYIGNHLHLMSNFKCYAFHPTSAFTRYSTLRQTIHTADQDHDEMIKQLGDEFPTAESTFGPNMAEYVEEELTWKHNSGDIINSCRELEGKFIDSLAKVKENTPLWAFGPFNMLPESLDSFSNSSSGNKSTRHNCLEFLDKQDVNSVIFVSFGTTTTLSQEQVKELALGLEQSNHKFIWVIREADKRVDMENGEERHEKIVLPEGFEERVEGRGMVVRSWAPQLEILGHPSTGGFLSHCGWNSSMESISMGVPMAAMPMTVDQPYHTLLVSNVWKIGVSVWSWARRKEIVPAVAIEKAVKTLMGTPEGEEMRQRVVELRDNIKKSVSHGGLAYKEMESFISYIIKN >Solyc01g109570.3.1 pep chromosome:SL3.0:1:96384528:96396548:-1 gene:Solyc01g109570.3 transcript:Solyc01g109570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQKLSSFFLIFFVLLQSLSSADSQAFIGVNYGQVADNLPPPAETVKLIQSTSIQKVRLYGADPAIIKALANTGIGIVIGASNGDIPALAADPNFAGQWVHNNILAYYPASKIIVVNVGNEVVTSGDQNLIPKLLPAMQNVQNALNAASLGGRIKVSTVHAMSILSQSDPPSSGLFSPVFGDTLKALLQFHKDNGSPLMINPYPFFAYQSDPRPETLAFCLFQPNAGRVDSGNGIKYMNMFDAQVDAVRSALNAWGFKEIQIVVAETGWPYKGDPNEVGPSMDNAKAYNGNLINHLRSMVGTPLMPGISVDTYIFALYDEDLKPGPGSERSFGLFKPDLSTTYDAGLSKNSQAPTAPVTPAPVTPTTPITPVTPAPKPTGSATWCLPKPGIPDSELQSNLDYACSMGIDCSPIQEGGPCFEPNTVASHAAYAMNVLYQTAGRNPWNCDFSQTASLTSTNPIAELKERHMAATQTVNDLREKLKQKRLQLLDTDVSGYAKTQGKTPVTFGPTDLVCCRILQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPSGQSVACGGLDSACSIFNLNSPIDKDGIHPVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSISSSNPRLFVSGSCDTTARLWDTRVASRAQRTFHGHESDVNTVKFFPDGNRFGTGSDDGSCRLFDIRTGHQLQVYNQPHGDGDIPHVTSMAFSISGRLLFVGYSNGDCYVWDTLLAKVVLNLRSVQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRSVI >Solyc08g079280.3.1 pep chromosome:SL3.0:8:63007901:63010172:1 gene:Solyc08g079280.3 transcript:Solyc08g079280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSLFDDFFPWPLQELTVEQKGVFLSCFLGVLALLWFFIRKSNKGLPPGPKALPLIGNLHSLEPQLHTYFASLSQTYGPICRLWLGKKIGIIITSPELAREVLKDQDTIFANRDVPAAGREATYGGNDITWTPYGPKWRMLRKVCVRDMLSSSTLDSVYALRKKELRQTINYFYNKAGSPVNVGEQMFLTILNVITSMLWGATVKGEERANLGAEFRHVVNEMTELLGTPNVSDFYPGLEWFDLQGVTKKMKVLAKRFDAIFESMIDQRQKMDRNSEMGAGVGQESKDFLQVLLKLKDEADSKMPLTMIELKALLMDMVVGGTDTTANVVEFAMAEIMNKRDILRKLQQELDTVVGKDNIVEESHIQQLPYFYAVMKEALRLHPALPLLVPHCPSETVTVGGYTIPEGSRVFINVWAIQRDPSIWENPTEFRPERFLDNKWDYSGNDFNYFPFGSGRRICAGIGMAERMFMYSLASLVHSFDWKLPEGETLDLTEKFGIVLKKKMPLVAIPTPRLSNPTLYE >Solyc10g080460.2.1 pep chromosome:SL3.0:10:61855144:61857227:-1 gene:Solyc10g080460.2 transcript:Solyc10g080460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQRFIITQGNEYFSSDYSIEVSKNSAQNLVDQEIQYPSYMGTCLPIASSSSSSIINCIGSPSSAFFATERCLGLTQYDNQYDTSELIKNCDVQMSSFDPQQCKNGILKDPLVQAEPDFRHEISMPSFIRTEFSTSPFSDVSEAEKESLLHLKNELLGEFDTSYRRHPSLPFHGNQDYCLSHDLCCSQLANTRQQPASPSLTFHNSASSGVFHKPSKTRIRWNEDLHDRFLECVNRLGGADKATPKQILNLMDSDCLTLDHVKSHLQKYRNAKHPESVGKSEKRNSSDAMTDIESKTGREIKEALKMQLEVQRCLHEQLETQRTLQMRIEEQAKKLKMILDQQRKTNMTLLGTRNSNISSPGVEILVVQDSDN >Solyc02g066860.2.1 pep chromosome:SL3.0:2:37640234:37642400:1 gene:Solyc02g066860.2 transcript:Solyc02g066860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEENRENMRNVDLNVSCLLNGESSGSQRSVASRLTDLETQQPPNQWESALEVKEKLRYFLHNMPCVVYDSPNGKKTKGYLLYSSVRREDLKIVCSCHANFLTPAEFVKHGGGGDIENPLKYIDIVLA >Solyc06g008230.3.1 pep chromosome:SL3.0:6:2100370:2107118:-1 gene:Solyc06g008230.3 transcript:Solyc06g008230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDNWVNKPGKAQKGLKLRKMMKCFCSGEQLQIGDMVPLPDSPATKDYRASVRSSRAGDIGNIEEAESSLRESGALNYEEARALLGRYEYQKGNIEAALHVFEGIDIASVTTKMKVTLANRAQIQKRRSQKYDTPPMSIHAVSLLLEAVFLKAKSLQALGRFKEAAQSCTVILDIVDSSLPEGLPENFGADCKLQETLTNAVELLPQLWILADAPKEAIMSYRRALLRQWNLDVQTNAKIKKEFAIFLLYSGYEYNPPSLRFQMDSSFVPRTNIEEAILLLMILLRKVTLQIIEWDPSIFDHLSYALSISGGLWALANQVEELLPRNIDLCERHHMLALCYYGKGDNFTALNLLRKLLSSAEDPTCVPGLLLASRICAESLECAEDGINFARRAIESLQGRCNHLMGVANYVLGLSLSAQSRAAMTDSERFRMQSEALQSLESAGRLTKMNDSNVIYHLCVENAEKRKLDTALHYAKCFVELEGESTLKGWMLLARVLSAQKKFPEAETIIDAALDQSGKWDQGALLRTKAKLQVAQGQVKNAIGTYVQLLADLQIQRKSFELEENLKKEKDFNRTMELETWHDLVSIYIKSSRWQDAEFCLSKSDAISCYSASRLYIAGLLHQSKGLYKAALTEYTNALAVDPSHVPSLISTAVVLRQLGNHSPATLVSYLREALRLDQTNVAAWHNLGLIYKEEEGSAIKAAECFETAWFLEESEPVEPFR >Solyc02g043980.1.1 pep chromosome:SL3.0:2:334027:338262:1 gene:Solyc02g043980.1 transcript:Solyc02g043980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLAIYVIFGHFRAVTKRSSNEKK >Solyc02g085674.1.1 pep chromosome:SL3.0:2:49138383:49138973:1 gene:Solyc02g085674.1 transcript:Solyc02g085674.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSDKMALQVCGHHIQPSTPQNDTASNQKHYYQHQRTGLSLNSSSLEIKGILMVWFLHRMIYLHLTQKANESHLLWLMSEYMQVEEKEKQLCLGLALDFWWFTWIY >Solyc04g081670.3.1 pep chromosome:SL3.0:4:65697602:65699071:-1 gene:Solyc04g081670.3 transcript:Solyc04g081670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDKTCRPRLSYQADVCHAYQLLRKGGLKDENIIVFMYDDLAYSEESPRPGVIITSPAVEDVYEGVPKDYARDDINVDNFLAVLLGNRTALARCRQGGTTSANMGPFEAVEFQLIVSPISLCHSKCVVATHRLRDNLKKEP >Solyc10g005400.3.1 pep chromosome:SL3.0:10:297317:308940:-1 gene:Solyc10g005400.3 transcript:Solyc10g005400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDGFNSTKFGLLVKDVKYALRTQINNNNNLVLVDTLQRMGIEHHFQQEIQSILQKEYEQNTCFLKYQNHHDISLCFRLLRQEGYHVSADVFKKLKNNDDGTFGLNLNQDVNGLIGLYEASQLGVEGEYILDEIAKFSGDHLNACLANSDEARIIKETLKYPYHKSLSRWKNKSFINNFKGINGWGKNTLKELANMDYFITKEIHQHELAQVFRWWKSLGLAEELKLLRDQPLKWYTWPMAMLTDPKMSQERIELAKCISFVYVIDDIFDVYGTIEELTLFTQAVHSAFLIEAKWFACGMVPTTDEYLKNGLVSSGVYVALIHLFYILGLGVSSMHLQDISLMSTSIAKILRLWDDLGSAKDENQEGKDGSYVEYYMKENKDSSMELAREHVIKLIEDEWKQLNKEHFCLMSQSTRSFSKASLNSARMVSLMYSYDDKQSLPILQEYIKSMLDVKEAREYLSKKNEKLKTKQERISECEPEVENISIPLLEEESIGDVNQLSNKAGKEFDRLPLCGTSDFAYEDSSFKQEEFLPTSNSVSDEFGLQVEVEEKKVASFNGNEGVVFEVSNINAFGQSFRDYNAKSERQKSVEEFYRVQHINQTYDYVTKMRKEYGKLNKIEMSIWDCCELLNDVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHPSFGELPQWAVVGDTFPLGCAFDESIVHHKYFKENQDINNMIYNTKNGVYEEGCGLNKVVMSWGHDDYMYLVAKENGTTLPYAALFVIRYHSFYALHKSGAYKHLMNEEDKENIKWLNIFNKYDLYSKSSVRIDVENVKPYYLSLIQKYFPKILRW >Solyc04g064947.1.1 pep chromosome:SL3.0:4:57772528:57775242:-1 gene:Solyc04g064947.1 transcript:Solyc04g064947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFVDFFQDLVYASGCPSRPIRPIGKILTSKRPNFSVDVLQDPVYASSWLSRHVRPIWKVKRAPKRAYPSFRRFACAIGNPFWGDPNSEVKNVKLFCGRLSKPYLCIQLALTARVTHLEGLTSPEASTPLISTIFVCYRKPFLGDLDSDVKNSKFFRGRLSRPCLYIWLALKACPTHLEGQTSPEASIPLISTIFVCYSKPFFG >Solyc02g087830.3.1 pep chromosome:SL3.0:2:50729004:50734823:-1 gene:Solyc02g087830.3 transcript:Solyc02g087830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKSCKNHYQSQMDLISSFAVIQVSDETKETSGGPSAETARTTPDRGMVQEIASHPRRFSFNELRLATRNFRREDFLGMGGFGPVYKGWINENPVKPGTGLAVAVKILNRYGVQGHREWLAEVHFLQNLHHQNLVKLVGYCMEGHQRLIVYEFMARGSLENHLFRSVVLPWCTRIRIALGAAQGLAYLHEETQKPVIYRDFKASNILLDADYNAKLSDFGLARDGPEGDQTHVSTRVMGTFGYAAPEYLMTGHLTVKSDVYSFGVVLLEILSGRKAMDKNQRMGEHYLVSWTQPYLGNKHHFWRIIDPRLGGNFSKKGALKCTEIASLCLRNNPKLRPQMSEIVEMLMHLPSTSEFRDADDNSSSNNLEAKDKHVAGNLNSPTGPNASPASYTLSNKQKGKRPVRS >Solyc03g033320.3.1 pep chromosome:SL3.0:3:4924151:4929989:1 gene:Solyc03g033320.3 transcript:Solyc03g033320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGRHFRGLSRKSSNSTLIFAVFIGFSFFVLILLAFGIFSIPFTSRGLRKVHDLSSIAHNAAGRRDDDGGRGDQWAEVISWEPRAVVYHNFLSKEECEYLINLGKPHMKKSTVVDSATGKSTDSRVRTSSGTFLSRGQDKVVRTIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFSDEFNTQNGGQRIATVLMYLSDVEEGGETVFPAAQGNFSAVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDATLDPSSLHGGCAVIKGNKWSSTKWMRVHEYNI >Solyc04g053020.2.1.1 pep chromosome:SL3.0:4:51244343:51247564:-1 gene:Solyc04g053020.2 transcript:Solyc04g053020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSAKKLIKMARRWQKFAAMQRKRISFPRNGSDADNCSTSSSSIVEKRHFVVYTADQARFVVPLAYLENEVIRELLSMSEEEFGLPSGGPITLPCDSAFMSYIISLIKRGVTAGDLHKALLLSIPSGYCSTSSLHQESGSRQILVC >Solyc01g009750.3.1 pep chromosome:SL3.0:1:4056282:4060684:-1 gene:Solyc01g009750.3 transcript:Solyc01g009750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQHVHEGSYPDYPPPGYGPPPPPQPQGYPGQGMPPPPGFYNGGYPPPPPPPGPQGYQGYFNDQYPPPPPPQHMYHDGGGYYRNDDGCSSFLRGCLATLCCCCLLEECCL >Solyc09g097965.1.1 pep chromosome:SL3.0:9:72418756:72421873:-1 gene:Solyc09g097965.1 transcript:Solyc09g097965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLELAITSKDKLCILALTSLEPSNFKHPGKTIPNTQGGPIKYLAEEDFISVGPIYIGSIEKCDAGVNGVVDELDHIGIGFGRPVAAAEPYLSPWTALAEAIDSSSAMSSDSSNVLIEIFNLSGARDGTHVVSLVMYPRQRQLRRALSVRKDLTRVINGNRETNRYFNGHACINPVLVVQVYAINIKSL >Solyc02g080200.3.1 pep chromosome:SL3.0:2:45033994:45044747:-1 gene:Solyc02g080200.3 transcript:Solyc02g080200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSQPLLDSPKTKSSSSTKALYVLLSLAAIVGSVGFISIWAINRTSISLTTRVCDTAHDQPSCLAMLSEVAPAGLMDIKTVDLLQMVLHKSSLKIHETIHLASNVNGRINNGQEQVALEDCLELMDLSRDRLMDSMVGLGNLTVQAHFDVHSWLSSILTNHVTCIDGLNGQVRSIMEPMLNDLVARARTSLALMVAIAPQKNIVPTVSDGLPSWVSANDRRLLQLSANAIAANVVVAKDGSGKYKTVKEAVASAPDNSKTRYVIYVKKGTYKENVEIGKKKKNIMLVGDGMDATIITGNLNVIDGSTTFKSATVAAVGDGFIAQDIQFQNTAGPQKHQAVALRVGADQSVINRCKMDAFQDTLYTHTLRQFYRDCYIIGTVDFIFGNAAVVFQNSKLAARKPMSGQKNMVTAQGREDPNQNTGTSIQNCDIIPSSDLAPVKGSVKTYLGRPWKAYSRTVYMQSNIGDHIDPAGWSEWDGDFALKTLYYGEYMNKGAGAGISKRVNWPGYHKALTTSEATKFTVGQLIQGAAWLKSTDSPKTKSSSSTKALYVLLSLAAIVGSVGFISIWAINRTSISLTTRVCDTAHDQPSCLAMLSEVAPAGLMDTKTVDLLQMVLHKSSLKIHETIHLASNVNGRINNGQEQVALEDCLELMDLSRDRLMDSMVGLGNLTVQAHFDVHSWLSSILTNHVTCIDGLNGQVRSIMEPMLNDLVARARTSLALMVAIAPQKNIVPTVSDGLPSWVSANDRRLLQLSANAIAANVVVAKDGSGKYKTVKEAVASAPDNSKTRYVIYVKKGTYKENVEIGKKKKNIMLVGDGMDATIITGNLNVIDGSTTFKSATVAAVGDGFIAQDIQFQNTAGPQKHQAVALRVGADQSVINRCKMDAFQDTLYTHTLRQFYRDCYIIGTVDFIFGNAAVVFQNSKLAARKPMSGQKNMVTAQGREDPNQNTGTSIQNCDIIPSSDLAPVKGSVKTYLGRPWKAYSRTVYMQSNIGDHIDPAGWSEWDGDFALKTCIMELVLDSKRVNWPGYHKALTTSEATKFTVGQLIQGAAWLKSTGVAYTDGL >Solyc11g010620.2.1.1 pep chromosome:SL3.0:11:3678716:3682609:-1 gene:Solyc11g010620.2 transcript:Solyc11g010620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVHEALDEAKLEIEKLRANYQSKAELCENLKRVNNELLTKNQEANLKVEKLTHELSGKEDELAVTEQLHEAIESKLKEKESAVKHLSSTNDKLRADIAEMLRKFEEENRGLALALDGANSTHMDQEQQIRSLEQEIEGLRASASQKKKSLEVDERRAKASKELRNKDDTLLEMEQENRKLTDQLKWRNEHFIHLEEAHGKLRQQLKKCEEEKQGLALSLDGANSTNVDQERQIHFLKKEIEGLREFVSASQKKSSEDEKRAKASKELRHSEDMLFEIEEENRKLEEQLKWKKEQFSYLEEAHGKLKQQLRRYEEDSRGMALALDGANAINLDQEQQIRSLKQEIEGLREFLSSSRKRSSEAEKRAKTSKELRHNDDMLLDMEEEIKKLADQLKWKKESFSHLEEAHGRLRQQHQEEEKEWAKERSTLLDEISKLQTNLDSQLRISKDLENRLWMCNQALAHEESKKKLLEVQLVESKTSFNSVCAEYEESRSVIESLTSQRDKEIAHLRDILGSRDTLHKEMEYQFRRVEHENHELMTSLKELQEAKIQEAGASSSLSKLRNKLRGLEQVHKDCFGNLKAKEAEWASKLEKLTEELDICKFTVQSKETLITELRQELETCELLTLQLTLQNEETSMMLLVLKSQFFELHQRIADDYASMELEKREGVDNISTLIKQLNTKNEALVRVQEDLEEEREKVAQLSEKIESLNSEEQQQLPLQREVDTLKEMLKVASTSQSHLKEQVLHTKSDLEQVRDALDRANEELAESFEEGNELEFELQVWKSVAEKLKANLEENLQMRRQIEASLLAQADVEFDLKQERESLELELAEKDTRVNILQQQLFDQKREQTALLSENIEDKKTSQDLQKEVQYLEQEWVRKELEGAILAQVEAEKKHKKEKESLHQIVEEKDHRIYDLLKEVEYLEQEWVTKDLESAIFEHVEAETRHKKEKESLHQLVEEKDHRINVLQKEVEYLEQEWVRKELEGAIFEHMEAETQHKKEKESLHHLVEEKDHIINDLQKEVEYLEQEWVRKELEGAIFAKVEAETKHKKEKESLRQLVEEKNHRIYDLQRLVNSLENEFESSTSSFSASLSEMLAEVDMFHKTWEKMRTEEILKEIEIQMRNLVIVELENEFCKLQKEVEHLEKHMSNSVGKRTKLEDEMEAKRSEIDVLQFKLEKQVRSSDIVIKNLRKEKAKLLEDVMKLSSDKDKLLDTFMDLSERISRMSKEDMQLAGTLERMVQNCDNSMPGTDLKWDNEFYDPVKENNSRHPSTPTSTSTKRLEAILDERSPLRSLNN >Solyc03g097860.1.1 pep chromosome:SL3.0:3:61617043:61620995:1 gene:Solyc03g097860.1 transcript:Solyc03g097860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4BJK3] MDPSQSLVSIHYAIKKETWRHTVVLSFQSLGVVYGRLSTTPLYAFGSIDPCHIKSGQQIYELFSFVFWTLTIIPLLKYAFIVFKADDNGEGGTFALYSLLCRRANVGLLPSDTSFTELMHLEEGTPSKMKAESRARRAIGRYKSSHYLLLLLALLGSCLIICDGIFTPALSVYSATSNLRRSLSKFAPRFTSSENARQSVDKYLKRFIPVPVACAILVCLFMLQRYGTNRIGVIFAPIVIVWLVFTSGFGLYNIIHHPQILWAISPTYMFRFIKKIDMTSLKLLSNIVLCIAGSEAMFADLGHFSKRSIKVTFIFLVYPALVLCYAGQAAFFSQHLGSSDDVAHLSESVTHIINCSGHLQHIFTILSLFASLVGSQATITASFSIINQCQALACFPRVKVIHTSDKVRGQVYVPDANWILMILSLSILIGFRDVSAIANATGLAVICGMLVTTCLMSLIIALQWENVAFLSVLFLLFFGSIEALYLSSCFLNFTKGAWCIVVLSLIFMTIMVSWHYGTIKKYEFDVENRVTVDWLTDLSPGLGVSRVPGIGFIHTNIVTGIPSFFSHFITNLPAFHQVLILLSFKSLPVAYIPKNERYLIGRIGHKEYKIYRCIVRYGYRDHVRDVNDFEDQIISSIGEFITREERHDELLIMQEGRMIILGTNGNALVPIVGGESCQQVTDIENQNPNHRKRKKVRFLLPESSPQMNSSVRKELEELVDARESGTAYFLGHSHLKIRKGSNLLKQFLVMAYDFLDRNCRETPIGLDIPHAALLEVGMVYTI >Solyc12g017340.1.1.1 pep chromosome:SL3.0:12:6591264:6591542:1 gene:Solyc12g017340.1 transcript:Solyc12g017340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISRSNGGSDSFRTELLSPAAQSVVDHSMSLGSTWKLNTSDFHLPQHRSYDHHSFNFLHLLRAFSNTSSLPFSNTTCILINILCLVEYEI >Solyc01g101220.2.1 pep chromosome:SL3.0:1:90916713:90917089:1 gene:Solyc01g101220.2 transcript:Solyc01g101220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKQELSEVSRDRAVECYFWTMGVYAEPQYSQAHVMLAKTIAMISIVDDTFDAYGIVKELEFYTDAIQRYGLLLEQFNLSRSSNKWHK >Solyc02g085375.1.1 pep chromosome:SL3.0:2:48941670:48943226:1 gene:Solyc02g085375.1 transcript:Solyc02g085375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNRIGGTHHVERSIKENKSVNYIQALWLKTDLSSRCYLVIKKILEEQRDKYIYREKYGDVPVGEIKLW >Solyc05g021100.2.1 pep chromosome:SL3.0:5:26358826:26365572:-1 gene:Solyc05g021100.2 transcript:Solyc05g021100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKARRNEPTIKGTIIFDANSTISISPVNFHGLPKYNSCCFYIGTPQKKEYFLCAETPGAAKAWVSTLHATQLVLKAHKEAVNSLSGNGSAKLGTVATVVAAANSTALEASKEIEAAMQIAMRNALEAMLNRTPDSPMDDLSIMKETLRVKDEELQNLAREIRARDSTIKELADQLSETAEAAEAAASAAQTMNEQRKIACAEVERLKADSEKQLVSSKSKLREFEEKFMTLSKEMDQLIKHRDSAIQEAHLWRSELAKAREQAVILEGAVVRAEEKVRVAEADAEARIREAVQRESATAKEKQELLAYVNMLQAQLTRQHVDMNQVFEKTESCSSSIDNLPQTKHVDLSEENVDKACLSVSRAIDHVPPENVVDLTVDQTNHQSIENGEWSDIQPTESRIADVREVASETERTSLDIPVVTSPSNVHQDQGHNSHQP >Solyc06g062375.1.1 pep chromosome:SL3.0:6:39456455:39457796:-1 gene:Solyc06g062375.1 transcript:Solyc06g062375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPSNVPPQLDCLSWRLAVETNNLHNRKLVGHYMLGKQYGRECEYIAKQAIEYAKALKLNGDGKDVWVAIALNSTKFIEWLAEGKLPVIPSILGVYKTLLSLRIKPVLNKGAPENFRQSRIANLKKAGYSNWLKLVLSNTFDIIAMIVRVEMIRDQQ >Solyc09g074380.3.1 pep chromosome:SL3.0:9:66596363:66601065:-1 gene:Solyc09g074380.3 transcript:Solyc09g074380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNKRRRNNAAASSSGRVPQDNKTPKVLKAKGMLAKKFNLNSAQMKEAEATPQSQSRNMEKNIQDVNQGDNVEATPRSQSRNKGKNIKNGNPGKNVEATPRSLSRNKEKNVNDGNQGKNVEATPPSQSRNKEKNIKHANQGNNFDKKDASKSLNIKSGNKGKGSASEMRVDVEDGKAEKGIGGVIFMCNAKTKDDCFKYHVMGVSAMKKDIILGIKPGVKLYLFDVDLKLMYGIYEASSAGGMKLEPAAFGGDFPAQVRFRIFKDCLPLTENLFKRAIQENYDERTHKFKTELTFKQVGQLKKLFTPAPWLHPTFKHSVSYPVSLPPSASPLPSKELSREHVGLQYGRNKSGENFSSYGHERERQQHAGRLIMPREVDSEPRFLTEKEYRSYGLQSAKHLQAFASAVVDRTLDYHESEQGRKNLRTNPTGITSDATLARKETVVTEPLFPSEREYRTYGLKFRSEIPATVAPAVESGATGLSATHTNHGLRNHVKDKYGPYHDESTTSLVNRYLSLSRTVVTPVVSYSSTGRESFVSDPYSAIGIKGHKGKFHTENEGAYPPMERFHAENGRAYPPTGRFHTENERAYPPIGRFQTENERAYLPTERFNTENERAYPPTGRSHTENERANLPTGRFNSENERAYPPTERFNSENRRAYSPTGRFSSEPERAYPPTVRFHTESERAYPPTWRLNSENERAYPPYAPQLPPDYGLKYHHVGDEPRYPTLVSSRYSLREPSAPRR >Solyc03g123950.3.1 pep chromosome:SL3.0:3:72145916:72149477:-1 gene:Solyc03g123950.3 transcript:Solyc03g123950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTDSLVVDSSETEPILSQISVVEESEDTSHSTEITEDCLETAGDLPSVGVYEIQSFSHAELSQCRICLDSEGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECR >Solyc06g068400.3.1 pep chromosome:SL3.0:6:42490924:42494140:1 gene:Solyc06g068400.3 transcript:Solyc06g068400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVPQPLNYIPEVILKKRKNNEEWAIRRKLQLEQKVRKLKSDSFVIKKPEQFIREYRDKEMDLVQMKQRGKRRDKGALTTSESKLLFVIRIGGKSDMHPRTRKALYSLRLRKIFSGVFVKANERTVEILQKVEPFVTYGYPNLKSVKDLIYKKGSGKFDNQRVPLTSNDIVEQTLGQYGIICLEDVVREIASVGPHFKEVTSFLCPFALTKPEKALQGKKKRYNDGGDSGNRQDHINELISKMN >Solyc02g068420.3.1 pep chromosome:SL3.0:2:38938437:38946881:-1 gene:Solyc02g068420.3 transcript:Solyc02g068420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKSSRKGKKAWRANISTEDIEDFFDNSTKDALSGGSLAQVPSDSLFYVDKSRVLSFFVGESFFSDQMTSFYVYNPDLSVKRKIEKHREKVLRYDSILQSNAFVEPVPSSTGKKSKKKSKLQIAKDTAQQGQKDSSGGDSGMVDIWNDKDELVIKTKKKPKTSVIPAVEVEPPGCSFNPPSESHQDALACAVADEMQKIYRNELGPEPIPLVVPGEVVNEEDVSLSCYFLVSTFDHGCCRCIMGYVFLYWVKYALKIHLPQMYFLEADSGSDTENENLVEDGKTDLDKRPQKPKMLTQVEKNRRARRKEQLKAEAEATKAAQLSKEIDSLPDIIQEIEREEGEKQKRHLRRVTAIKEKLKSCPPRLGKRNVDKKIIDLCDFRFVPAPAQVLLSEEITGSLRKLKGCCTLARDRFKSLEKRGLVVPSKKSSSVCEPCDTETIAE >Solyc07g009060.3.1 pep chromosome:SL3.0:7:4032224:4033345:-1 gene:Solyc07g009060.3 transcript:Solyc07g009060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTGFLALLICLFLVAATEIQMVEGKYCWKKSGKWNGPCQYSYKCSYHCKHYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc02g076900.3.1 pep chromosome:SL3.0:2:42578866:42582188:-1 gene:Solyc02g076900.3 transcript:Solyc02g076900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANNSDVSIDSSQEASDVSIDPKPQVIYRCKKCRRIVASEEQVVPHEPGEGQKCFKWKKRSDNPYNEPPQCTSIFVEPMKWMQVVEDGCVEDKLQCLGCKARLGYFNWAGMQCNCGAWINPAFQLHKSRLDECHL >Solyc02g012020.2.1 pep chromosome:SL3.0:2:14190199:14191350:-1 gene:Solyc02g012020.2 transcript:Solyc02g012020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVTDFFVSLGHWPSAVSFRFNKDILATNPINLCVVLGVLIFFKRECVCVVYLKNRLDQRKYPFPKEWKNNLLSFSDLLHNRKQRILNTIQNSEELRGGDIEQLKKARSHLRKVETEAEQFLVNGYSQIEREKLNLINSTYKTLEQLEYYKNEMIQFEEQSAINQIQQLFYNKLYEEL >Solyc09g015730.1.1 pep chromosome:SL3.0:9:10793653:10796587:1 gene:Solyc09g015730.1 transcript:Solyc09g015730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4CS43] MLFQHFLNCASARIILNISSSFIHSVFVQTEKYYDLPFCMPGSFYNCSLVVFMRDHVTLEENKKDLGKNRMDKFMETSLLHILEIHWFSYAHDEEIVSDQEETGWKYIHGHVFKFPKHKSLFAAIVGRTA >Solyc02g084200.2.1 pep chromosome:SL3.0:2:47929187:47933849:-1 gene:Solyc02g084200.2 transcript:Solyc02g084200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKAKMVSWASGPNLCQNVEISTTFLITGNDRRRVKHYQEEDFPSKVSRGSQHLLQLLQGRLSYNFLAKWKCPPKFNLNEKWRVVAGEESKEAGDQEHREKTVLEVVFPSQSAIPPNPCTSSDLDQNYDDIRTPAIRIIPIEEEADVQVPSRTISSKSPDACLLNSSSTSVSIVTSQKLPANEKSLPQSLSTLQNPRKPSNNSPLSAKWPSNVQSTLPYLSRCRNLKGSEQNKISPKPPVVPQFQPTFKNISTQDQTEIYPDQRANQSYGPKDQRICEKQNIPQHKFEVSGMPPAKHNPVTQHILGSEGDLTVVIATAVAALAKSQEHDNLIDTNLLVGLLLNPKEIPKLVNERGLATNAKTSAATIDSLVAVMSRPVDLPVPLPRTKPDQVIIKPINEYQAPHAGPGPILGPRPMAKSVPLTMTKPETSVNSNLVNEQRPPPHVGTANIRESKSLAHSTSDLNLEKIKKLINKYGTPDNVGGKPWVKSELVPSSCSKYDVVLPNVGHSYPFSSMTSPTPLHKDINYCKSLIKQHGEICESAVDERLQNTNPRGNYTRVPGLLMNKKQIQCSSTRCVFFNKPRGCRNGSSCPFLHDISGQKRSGGILEARDLKRMS >Solyc09g064740.3.1 pep chromosome:SL3.0:9:62307033:62317869:1 gene:Solyc09g064740.3 transcript:Solyc09g064740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDEDGGSVKLRMRHKCSACFKQYKKKEHLIEHIKTSYHSVHDPKCGVCNKHCKSFESLREHVAGPLSKVNCSSIFAERGCILCLKICSSVDSLNEHKEICHLTTPRPIDTIEMLYSEDGVDISNGISSIRSREAVAIDCEMVGGGSDCSLDLCARVCLVDEDEKLIFHTFVLPQIPVTDYRYEITGMTEVNLRDAMPLNEVRERILQILHNGESISRVRLDGGRAKLLVGHNLEKHLDCLKMNYPDHLWRYDIQVGSHDPYQDSVSVMRLYKKIRSQDHPMEGTIRASNSPALSFSSSSDPWKSMAHENMTPNELLEISRSNYKCWCLDSWDAAQR >Solyc04g025190.1.1.1 pep chromosome:SL3.0:4:25260476:25260709:-1 gene:Solyc04g025190.1 transcript:Solyc04g025190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVNSKGETPTTIPTPPLLIPPLILISVESNSHNTTSSPSDVHSNSHDQLLVAAETSSNTQLSAPSFQFPSPSLL >Solyc05g014150.3.1 pep chromosome:SL3.0:5:7870548:7872027:-1 gene:Solyc05g014150.3 transcript:Solyc05g014150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTMVIHLKTQPLFVLLLSFIGFITFLKNFIIFLKWIFITFLRTPKNLVQSYGSWALITGSTDGIGKAFAFQLAQKGLNLILVARNLNKLQQVSSEIKTQNPNIKVKIIVVDFSFDVTKGIQEMKKGIEGLDIGVLINNVGVTYPRAMFFHEVDENIWKNIVKVNLEGTTLVTAAVLPSMIGRKKGAIVNIGSGASIVVPSHPLFSIYAATKA >Solyc04g009710.2.1 pep chromosome:SL3.0:4:3050195:3052062:1 gene:Solyc04g009710.2 transcript:Solyc04g009710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISIPSSNYLLKDAPSTKSFAFTKKHPCTLGSLKSISKAFGLNCISKFKVSTMALHKVKLIDPNGIENKIEVPDDRYILDTAEDVGKELPYSCRAGTCGTCAGQLSSGSVDQSEGSFLDENLIEKGYVLTCISYPREDCVVYTHKQDEVLN >Solyc07g005850.2.1 pep chromosome:SL3.0:7:667740:677131:-1 gene:Solyc07g005850.2 transcript:Solyc07g005850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKKGSTLINYRGDIYNNNMHWDRRKLLTLRAPFSTNDINNNSRQSNASVILPLSDIEFSTNDVNNNSRQSKIYICRQRHSTVYSETIAQRDRNFFISLINQATTLSQLNQLHANLIRNGLSNDLITITKLTHKFSDFKSISKAKNLFTTFNNTNPPDLFLYNVLIRGLSRNGLGVEALSLYLDLLKGNKLKPDNFTFAFVVSSFSSSGCEKVGILIHGHVIVSGFGSDVFVGSALVDMYMRFSRIGHAYKVFDGIPERDSVLWNTMVSGLVRNCCFEESLRVFGDMVGRGTGFDSTTLAVVLTAVAELQDLRNGMLIHCLAVKMGYDVHEYVLTGLISLYSKCGDVLTAKLLFGMIKEPDLISCNAMIAGFCFNDENESSVRLFRELLVHGEKVNSSTIVGLIPVSCPFGHLNLTCSIHGFCVKTGMVLNPSASTALTTVYSRLNEMELARRLFDESTKKSLASWNAMISGYAQNGLTEMAISLFREMQKLDINPNPITITSILSACAQLGTLSMGKWVHDLIKKEKFESNIYVLTALVDMYAKCGNIEEARQVFDSITEKNVVTWNAMISAYGLHGCGQEALVLFDQMLHSGVSPTGVTYLCVLYACSHAGLVEEGRKIFHSMIHDHDTEPLPEHYACMVDLLGRAGKLEKALEFIYEMPIEPGPAEWGALLGACMVHKNTDLARLASDKLFAMDRGSVGYYVLLSNIYSADRNYFQAASVRKVLKNKNLAKTPGCTLIEVNGYQHVFTSSDQSHPQAAAIYAKLEELMEKMREAGFHTETSTALHDVEEEEKELMVKVHSEKLAIAYGLLTSEPRTEIRIIKNLRVCVDCHNFTKFVSKVTDRNVVVRDTNRFHHFKDGECSCGDYW >Solyc01g057300.2.1 pep chromosome:SL3.0:1:60434661:60437667:-1 gene:Solyc01g057300.2 transcript:Solyc01g057300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKMNEGGGNDHDADVWSYLDLNDYQVGSGEKFEGDKVLDLTRSDACQPLTIVNEMFEVNIYAAKNRSPPNREKNGKGIAEPKSGVDRGGGKRESKHKIHKWTERERRKKMLTLFDTLHDLVPNLPTKADQSTIVGEAVNHILELQNIFTKLKSQKLERLEEYNIRLMSSQKVDNSWEKYVGDQVSTNNSTVITPTTHGPSPLIPTGFMTWSSPNVILNVCGEDAHISVCFPKNPKLFAIICYVLEKHKIDILSAQVSSDQFRSMFMIQAHAKGGSELALFSEVFTVEDMFKQAAIEIRALTTSK >Solyc08g074715.1.1.1 pep chromosome:SL3.0:8:58983530:58983892:1 gene:Solyc08g074715.1 transcript:Solyc08g074715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNALNYKPNDRTKAKLTINDFQKGGSGGGPSECSGKYYDNSIPVVALSTRWYSKGRRCFENINIYANNGMSTQAMVVDECDTSRGCKNNIVDASEAVWKNLGISKKDPQWGLMDIFWSD >Solyc06g043010.1.1.1 pep chromosome:SL3.0:6:30374447:30375151:-1 gene:Solyc06g043010.1 transcript:Solyc06g043010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAISGIDIDIGPHLRVVGGDQEKERRAHHTLQGLCHVFIVKFLCIHPESESSRRWERRVNKIGCSVPTNKSRKISALNLLLEGDHFCHVIAFFSAFCFNGLEPFLLSLLYRGIFSLGSANSIRGWWAGVETLAPMSMKQRCLFIVWNMKSKNGTAIACPIIHDELLSFVTITPMRRKKKALRDHPGPTLDTLRSFCKPAPILISSKEKNGSNGTLPPSPHNERGDLVVLGL >Solyc06g066340.3.1 pep chromosome:SL3.0:6:41745006:41750732:1 gene:Solyc06g066340.3 transcript:Solyc06g066340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLQISPPNNKSSSSSTTTTTTWKAMSSNNNNIDRDEMDLFFLRRALESKPDNNNTLFELSLSNPNYKPSHHTQLINSSHFHPLQNTNFIHQQNQQQLNIMRPIRGIPLYNQNPLPLPLPLSHHHNNYPIFAHQTFENTAPTTTPTLPIHSSGYCISSFSNNSNKTIPNSSPTTIPFHHSNHQGGLMRSRFLSRFPKRTMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAVPASSGQSEVFDNGSSGETSEDLMPDMENSKKPDLSDQQGKNSMHLQEIDYHGLWSNSSSRESWQLHGKHGDYPGNIPSLEKTVKNQHIDLEAKCLSYDRLSGEVSSSSITETSPKKPNLEFTLGRP >Solyc07g063470.1.1.1 pep chromosome:SL3.0:7:66084984:66085190:1 gene:Solyc07g063470.1 transcript:Solyc07g063470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQKKMMRQSKPVAGSCSRCGHGAQVADMRTATRFCYVPFYWKSWKVIVCSFCGAVLKSYR >Solyc01g057907.1.1 pep chromosome:SL3.0:1:64274865:64277934:-1 gene:Solyc01g057907.1 transcript:Solyc01g057907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKGKDIESTTANVATTSTAGMITAFMSDVVNRNWIIDTGASNHMVHNASLMTQYRNLDDKSNMHVNLPTGSQASISHIGESLVLTDKTAHNSTTEVPNIDKSKVEKELLVILVYVDDLLVTGSSLHHIQQVRKDLQHRFKMKDLGELKYFLGIEFSRTNDGILMNQRKYALGLVSELGLTGCRPASTPLETNHKLTSIEFDECSGKVSNAEDTVLDDFGKYQRLIGRLLYLTMTRPDIAFVVQVLSQFMHSPKTSHMEAAIRVVKYIKGKQDLVCLCQVAACVESRRSVTGYVVKFGNAAISWKAKKQNTVSRSSAEAEFRSMATTVAE >Solyc04g051630.1.1 pep chromosome:SL3.0:4:50869178:50869491:1 gene:Solyc04g051630.1 transcript:Solyc04g051630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEFRIQRGGRKSGRVLGVRNLVGRLSEKSKRGKESCNSGD >Solyc03g116640.3.1 pep chromosome:SL3.0:3:67453673:67459052:1 gene:Solyc03g116640.3 transcript:Solyc03g116640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMDKSVGKPQVINSSVSTSTLTHDGHVTANPNNPAEFVNHGLHLWNQSRKQWIGHKKLVNQPQQLREPKLNWNATYDSLFGSNKLLPMSIPLAEMVDFLMDFWELEGLYD >Solyc01g110840.3.1 pep chromosome:SL3.0:1:97280408:97284016:1 gene:Solyc01g110840.3 transcript:Solyc01g110840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESKFFLSFVKQFLERTVIQVSCPCGLYNLWHVKKGLLHMRFSTKVQVLTSTSSF >Solyc07g008040.3.1 pep chromosome:SL3.0:7:2753726:2761810:1 gene:Solyc07g008040.3 transcript:Solyc07g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKDAVHKLEQESGFYFNMRHFDEMVGNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRNDRPKAVEILVKDLKVFSAFNEELFKEITQLLTLDNFRDNEQLSKYGDTKSARGIMLLELKKLIEANPLFRDKLTFPSLKNARLRTLINQSVVGLHIGAQYSCSLNWQHQLCKSPKPNPDIKTLFVDHSCGPSQPNGARAPSIVTHPLMGAVPKPGVFQALGPHGVTQGPAPLQNALAGWMPNPSQVSHPSASAGPIGFTTPNNAAAAAMLKRPRTPTNNSAVDYQTADSEHMLKRSRPFGVSDEVNNMPINILPGGYSGQSHAQSSYSSDDLPKAFVMTLNQGSSVKSMDFHPVQQILLLVGTGTGEVMLWELGSRERIANRNFKIWDLSQCSVALQASMASEYSAAVNRVMWSPDGTLFGVAFSKHLVHVYSYHGGDDLRNHLEIEAHSGSVNDLAFSYPNKQICIVTCGDDRLIKVWDAATGAKQYTFEGHEAPVYSVCPHHKESIQFIFSTAIDGKIKAWLYDNVGSRVDYDAPGHSSTTMAYSADGTRLFSCGTNKEGESYLVEWNESEGAVKRTFSGLGKRAGGVVQFDTTKNRFLAAGDEFMIKFWEMDSVNLLTTTDADGGLPASPCLRFNKEGMLLAVSTSDNGLKILANADGVRLLRSMENRPFDASRVSSASAVKPSTVGSFGPPSTSVAASFVDRAVPMTSMNGESRNLGDARPRAAEEPVDKSKIWKPTEINEPSQCRFLKLPDSATTPRVTRLIYTNSGYAILALAANAVHKLWKWPRNDRHPTGKANASIVPQLWQPASGTLMTNDTNDTNPEEVVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPAPPAATFLAFHPQDNNVIAIGMDDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSNSLNVLISAGADSQLCVWSSDTWEKQTSKYLQIPAGRAAAPQADTRVQFHQDQTQLLVVHETQIAIFEAPKLECLKQWVPREVTGPITHATYSCDSQSIFVSFEDASVGVLSAYTLRWRCRINPTSYLPANPSARVHPLVIAAHPSDPNQFALGLNDGAVIVLEPLEAEGKWGMLPPADNGNGPSTSGAANSDQPQR >Solyc08g014395.1.1 pep chromosome:SL3.0:8:4410456:4419906:1 gene:Solyc08g014395.1 transcript:Solyc08g014395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVIVKGKKTENTLAKGSRKTELYALDPKYADGEVHQALVSICSSDIVILLVYVNDVVTTGNNLQLLRNLISQLSTHFSLKDMGSLHFFLSIKIMPYVGGIYLSQVTLLWTFSKKTMMHCARAIHTILSHKSDFHVANRPPVEDFDYESIVGGLEYLTLTRPNLTHVVNRVCQFMQAPINAYWQGVKSTSLQAGCVIIRQSKTGVCVFLVANCISWSSKKQHTVSNHGFENETFSYPPLHLMLSKLEVLCGVYCWQSGSTDALSNFSTDVPRSPFIPKPLPHLPIP >Solyc04g077160.1.1.1 pep chromosome:SL3.0:4:62175509:62175883:-1 gene:Solyc04g077160.1 transcript:Solyc04g077160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSSRNMRTKGLKLKDVLQGFALFLMCLWLIYQARQAYNKSKLGEKSLKGQDLQKAAKLGRKDLNPLLLDELAGEFQKNPDEDLELEELLDEAKKDGDDGVGDNEQLEDIVDEDAASRDAV >Solyc07g062480.1.1.1 pep chromosome:SL3.0:7:65331937:65332830:-1 gene:Solyc07g062480.1 transcript:Solyc07g062480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSWPTTLLASLFLFSQIFSCIAQVPLENTFKFVNEGELGPYVVEYQADYRVLSVFSNPFQLCFYNTTPNAWTLALRMGTVRSESLMRWVWEANRGNPVKENATLTFGTNGNLVLADADGRIAWQTNTANKGVTGFKLLPNGNMVLHDSKGKFVWQSFNYPTDTLLVSQTLRLSGPNKLVSRASVKKNANGPYSLVVQPKLFAIYNRTKLGVELAWFDFGNSMLESVKLNNGNQRLKLDYRLAKSTKRSSHVMAFTKYNTTLTYLRLEIDGNLKAYTFVRDEEADEFRWKVTYQML >Solyc11g042500.2.1 pep chromosome:SL3.0:11:36471385:36484424:-1 gene:Solyc11g042500.2 transcript:Solyc11g042500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSLDTEKAISSGSISGSDSVGFLIGQRVHFVGDTRRIGTVKYVGAVEGYGGIWVGVGWDNGDGKHDGSHNGVRYFEAQGPKSASFVRPHNLSSGFPLLKALELRYHGESTKEEEDEMYVLSASNKRVTIELLGKDKIQNKLSQFEELTSASLAYLGVSSAGPPGHINTTIPRLKELDLTGNLLSDWKEIAAICKELPALVTLNLSYNSMSHDISGMPLLNHIKVVVLSHTGIGWKQVEMLKDSIPLIEELHLMGNKLRGITPLSSDIVHGFDSLRLLNLENNLIAAWDEILKLSQLKRLEQLFLNNNCISHIWYPDHNPLSEPPNSLELLRESFRPFQNLRCLLVGGNKIEDFSSIDTLNLFPNLLKAF >Solyc12g049613.1.1 pep chromosome:SL3.0:12:62297849:62317189:1 gene:Solyc12g049613.1 transcript:Solyc12g049613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKAGLIVKKLEKHEEMVNASTMRNVLFMLNLVKMWTETHRRKDGSYVTEEAKEMGAVVGSLVLLYIYVGEAEISLFCLSPLYPVLIFSVYRESSVVRRRERRAREKQIVSMASPSKHRKPQMINIVIEGMNSLKQKSDPSHFDKQDRSYSSSGH >Solyc01g058000.3.1 pep chromosome:SL3.0:1:64674894:64675599:-1 gene:Solyc01g058000.3 transcript:Solyc01g058000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARPLLNFVFYFVVVVAAITVTFSRSQKMRARDMSLDIIEIEQKLIPVGAIITCLKRCYKQSDCSDGWLCRDCANDAFNQGGKHCDKFTASGQGYFEMLNHRQVNYGGHEFAV >Solyc08g069130.1.1.1 pep chromosome:SL3.0:8:58267458:58268057:-1 gene:Solyc08g069130.1 transcript:Solyc08g069130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIIKKYQQRFRKVKEEMEKWNELQSRLLSQFNNASSIIQRLQILQDSKNYGALTCVEAIQEAVLLKQMNSLQNILLSMNETMEKFHVVVLSLDKMVRDGRQLIKGGSVQKNVKQLQQHVGMKPSIADCLDGLQLLYEMHQSEYRLKLSVISAISAFALQPSATDDLAALQQLLDDQPNIPKEEVQVIFEIIFAEEIA >Solyc06g076740.2.1.1 pep chromosome:SL3.0:6:47793161:47797330:-1 gene:Solyc06g076740.2 transcript:Solyc06g076740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKDTSLTSEKKKEWCEFIYEDSEKLVIPVGPRFQAVVPDWANSPNVGTPVVVADTDNALRARKKEIVCKYKEEECDTSKWLGTRVWPRANHLENKEDNEELVGKGRNEHCTCRSPGSVECVKRHVKEEGLKLWLELLTAFTAWKFGEMGEEVSNVWNLKEQKKFSSIIKKCLSKGESFMRPALESIPSKNRQNIINYYFNVHIPRRIRSNSKTNTDDEEEEDEEAPKHSRKRKGDCSSSKSLKRKYLSGRR >Solyc09g005140.2.1 pep chromosome:SL3.0:9:128153:142776:-1 gene:Solyc09g005140.2 transcript:Solyc09g005140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQWMGGSRRKVDTMENHQSRRSTQKRQKQYFEQRKWREQQKKNAREGFFDEKSPCNQQEKNSRSLDILSLLNVSTGSGEHKSNVHSARHIYEDNQMLQPSPVIQTKEDIYLVSLQHKEGTSPSSQDNAACPNRASVGAPGGHERGNDKINPLANSTSKQLSIFDILGDDGPNCELESLTREGHVAFSIEGLGKVEMSTPVHSPQLPGSRLLSYGRPSPPRARRKTLSSDYLNKGIDGIELELDSMMVDVERPFQTIPFDGSSCSRGVQDLPGSAEHTLLDTKWFSSNNNFTYLYTSEDDEIFGKNKENSNTILNANSSFPLPESFHEDCGSRHLDAVSTDFWNSQSCVMREFNFEDCYYQDFANSCSARNYGKQDIYFDDSYHQKQRIRKAKSEFNIIDSATPYTKHFKPENCSVSDGEWCSMGCTSPHLMDYIDHVDRTWFANEDARDNLSLLSEESSTAVVGDLDTQQNMNSNNKRRSQDVSDWRKEAKFCEKLFAKERNCKKNDIQQGKPTKLPWLPNQSRAKPENHSSVFYEKRSDMKNDGLSEARCGPGESNSRFRSFCQASVSKRYASTCSDFLVGDVLADQEPKLQVDSLQNLEGSIEYPGEYAPSCFMMEPMTFELDSPGCTFRNLFQDAKKGCGTEDSLHTLGSHGTLTGHIVRDVGKQPNFLSDGDKGIDVLPFDSSQFVPNTEVSGRRKGFSSGKKKSVDGSSSVNECSNCEEPKEKTPEVKDRTGSFNYSECAEEASSSVEMSTVSKGDQDSSSNQDTQSSLRLKAEDEVTKDDSRSSSKEGMITTRQSHNVHQNGQVMMLEAVSFNFYMCSF >Solyc09g018500.2.1 pep chromosome:SL3.0:9:15116229:15120399:1 gene:Solyc09g018500.2 transcript:Solyc09g018500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKEQKKALLNQQLEQLGSITNSPSVNKTSIIAHASKYIEELKERIDKLNEDVSTSQPYHHDHEDALPEVIVETLEKGFIINVFSEKNCPGLLVSILEVFEELGLELLDARVSCSDCFRLEAVSDVRFSISLFNLLHILHFIFKFIYLFFLFVEHRIFSLFFIKKKIPLFSFTYQY >Solyc08g023380.1.1 pep chromosome:SL3.0:8:28302205:28303141:1 gene:Solyc08g023380.1 transcript:Solyc08g023380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTLRETVIYHPFPLRFDGIDRNDRYANSNSVFFDIYGSVIDDILTGFKIYCHVHRCIYCMSMC >Solyc12g007170.2.1 pep chromosome:SL3.0:12:1605467:1615403:1 gene:Solyc12g007170.2 transcript:Solyc12g007170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKVTMEVGNDGVAVITFVNPPVNALAIQIFAGLKEKWNEAAMRNDVKAIVLTGYGGRFSGGFDINVFEKVHKTDDVSLLPDVSVDLVTNIMEDGKKPAVAVVEGLCLGGGLELALGCHARIAAPRTQLGLPELSLGVLPGSGGTQRLPRLIGLSKAIDMMMTSKPIMSEEGEKLGLIDAVVPSKELLKVARQWALDIAERRKPWMRALHRTDKIGSLSEAHEVLKLARKQVKQTARNMPQHLACLDVIEEGIVHGGYNGILKEAKVFEDLVLSDTSRGLVHVFFAQRATSKVPNVTDIGLKPRSVKKVAIIGGGLMGSGIATSLVLSNIFVIIKEINPEYLQKGIKTVEANIRGLVARKKLQQDKANKALSMVKGVLDYSEFKDVDMVIEAVIENVPLKQQIFIDIEKICPPHCILASNTSTIDLNIIGQKTSSQDRIIGAHFFSPAHVMPLLEIIRTEKTSAQAILDLMAVGKTIKKVPVVVGNCTGFAVNRTFFPYAQSAQFLANLGVDVYRIDAQIINFGLPIGPFQLQDLAGYGVAIATGKEYSSAFPDRVFMSPLLDLLIKSGRNGKNNGKGYYIYKKGEKPRPDPSVLPIIEESRRLTNIMPGGKPISITDQEIVEMILFPVVNEACRVLDEGVVVRASDLDVASVLGMSFPSYRGGIVFWGDTVGAGHIYKSLRKWSEVYGNFFKPSKFLEERAAKGIPLSAPAATSSTSRSRM >Solyc06g084045.1.1 pep chromosome:SL3.0:6:49361360:49362478:1 gene:Solyc06g084045.1 transcript:Solyc06g084045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSITACTTTSLAAREALLHKASALSRPSVLGLPSMRKNVGRVKCSMEREDSKSGICGSLVAATLSFSPTAMALVDERMSTEGTGLPFGLSNNLLGWILFGVFGLVWSLYTVYTSSLDEDEDSAMSL >Solyc07g042010.3.1 pep chromosome:SL3.0:7:54972978:54982926:-1 gene:Solyc07g042010.3 transcript:Solyc07g042010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSMSLPLVNNGVSEAQTAAEILLPLQDDRKDVRVVCHFNIPKSMEGFYQESGRAGRDQLPSRSVLYYGVDDRRKMEFILNNTKRSKDESSSLQDKSSKKSLDDFKQMVEYCEGSGCRRKMILENFGEMVPDSLCEKSCDVCKHPNLVTSNLEQLKTATAFRQRGGSSRIYMTSGSSLNAGEQLSEFWNRDDEASGSEEDISESDDALDAAKNVASSKTSAKLRLQDKMDLLQRAEENYYQNKSHDKQVNKLDKNAIPEKLREAGKQRLLNSIKQNQQMVNDSRIDIDKSVVFLENECYKKYGKSGKSFYLSQMASTARWLSTAGPMELVNKLSSSSTATPPENVTSIADCSPASSNISVPISARANDEEVHGNAGSQDPIRSPASPLHGSASAKLPPILSFSQFINSGKAKGNLASASKRQSPDRGKNKLEKRMRFQ >Solyc05g046370.1.1.1 pep chromosome:SL3.0:5:59664759:59664974:-1 gene:Solyc05g046370.1 transcript:Solyc05g046370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPFYLGGYFAIEKCLGSSILLVWQMYNRQKAKDFGFAMASALICGDSLWGIPASILALAGVKALFCLKL >Solyc11g005350.2.1 pep chromosome:SL3.0:11:273851:279832:-1 gene:Solyc11g005350.2 transcript:Solyc11g005350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D4E8] MAFIENYTGFERVKHVGAMTLAAILLGGNIVLSKVAADDGMTMRVMVAYRWIFATAFLAPIAIIVEWNKRPKLTWTVIVQAFLSGLLGGSLFSILFYTSVIMTSATFATAIYNLIPAMTFVIAVLLRFENLSFDKVSGKAKVMGTMICVGGAMLLTLYKGIEVHMWPIKIDLLHHDNESTTHKKLVGGTFALGIVLAVTSCICYSLWIVLLAKVSKNYPCHYSSTALMSLMGSIQSVLFALCFDRQVSQWRLGWDRRLFVVLYLGTLGSGIVVILMTWCSQKRGPLFVSVFNPLILLFVALASSLFLNETLYLGSILGGLLIIMGLYVVLWGKGKDMKASKAIILSKGALQKNDLEAPNQDQDTPSTIKQGLTQ >Solyc04g071480.1.1.1 pep chromosome:SL3.0:4:58554629:58555795:1 gene:Solyc04g071480.1 transcript:Solyc04g071480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDYQGASASFTNFGRSLLSMRRDQVHSMEAAHEATSQEIELEAFQKQVAERFNELSSVDSDQLLSVPWIRKLLDVFLCCQEQFRSILFNNTANLNKPPMDRYVTEYFDRSVKGLDVCNAIRDGIEQIKQWQKQLEIVLCALENQRCVGEGQFRRAKKALIDFAIGMLDEKESNTSVAHRNRSFGRNNTQNDHKSLGHFRSLSWSVSRNWSAARQLQAIGNNLVAPKNNEIAATNGLALAVFTMSYVLYFVMWALVAAIPCQDRGLQTHFYVTRQFVWAVPILSLHERILEESKKRDRRNACGLLKEIHEMEKCAHHMNELIDTVHFPITEEKDGEVKLRVHELGLVYDGLKNGLDPLERQVREVFHRIVRSRTEGLDSIGRGNHE >Solyc04g076200.3.1 pep chromosome:SL3.0:4:61213969:61221085:-1 gene:Solyc04g076200.3 transcript:Solyc04g076200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKLNCVIVSVDGSEESMNALNWTLDNIKLKPHDPDSPESQGFIVILHVQSPPSIAAGLNPGAIPFGGPSDVEVPAFTAAIEAHQKRITQAILDHALGICAKKNANVKTQVVIGDPKEKICDAVEEMNADLLVMGSRAFGPIKRMFLGSVSNYCTNHAQCPVIIVKATP >Solyc01g056450.2.1 pep chromosome:SL3.0:1:54164992:54167943:1 gene:Solyc01g056450.2 transcript:Solyc01g056450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHPEAGKGQFEIVLGYIDCGRAANNLISTDEVIKGIARKHGLLASFTPRYHTNNVHLGSYADFSDDDVGSGSHVHIGLFKNGKNIFMASGEANRYGMSVIGESFMAGILDHLRSLCVFTIKELPVRACCPPGASNGVVTNFEMKIFDVCANPYLGLASIIIAGIDGMRRKLPIPQPVEPHSYDFDWNNEDIKLPWSLTDAIINMDSDKWFNDMLCKEFIWTRGGVVGVRYKF >Solyc12g010980.2.1 pep chromosome:SL3.0:12:3842417:3843916:-1 gene:Solyc12g010980.2 transcript:Solyc12g010980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFLLSIPHLYIYIYIYLTMKDSMQVTILSKNLIKPSLPTPKHLKYHKLSFFDQVADVAHLPLVLFYPHCKNNSKHEELEESLSRILSHVYPLAGRFAEDDESLILCLDQGVTYIKAKVNCTLDDLLQQTKKDLDLALSFWPQGTMDVDDSNLFVTPLMVVQVTTFECGGLALAISIAHPVMDGCTTFKILYEWTKVCKFGTPSKEISFMNFNVGALFPYKHDLSTLLEPPVDEGKRKDSKLIARKFVFEKDAISRLREKFDSISESLGFKPSRVEMITALLWRSLIRSTKSAKLKRSVMSFPLNLRGKVADFPEITDSFGNLIIEVPIKFEHDDETKIESLHQIVKLIKESVKVINNKCVKATPDEIISLVIDLYKDSYSGLEWGGDDEVMNFTSSSLSRFPIQKTDFGWGKPSLMHFGSRHNQVLWLYDTECETGIVVQMDLEKKHMDKLVCDQDIIDFAKF >Solyc07g054830.3.1 pep chromosome:SL3.0:7:63121775:63138309:-1 gene:Solyc07g054830.3 transcript:Solyc07g054830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSESEHNNIHRDFYIPTYILAPNASSNSLRLPDVPTCPVLVFVNSKSGGQLGGELLRTFRHLLNKYQVFDLGDEAPDSVLRRLYLNIERLKGNGDHFAAEIEERMKIIVAGGDGTAGWLLGVVSDLKLSQPPPIATVPLGTGNNLPFAFGWGKKNPGTDLNSVISFLKQVMNAKEMKMDSWHILMRMRAPKVGSCDPVALLELPHSLHAFHRVSPSDELNVEGFHTFRGGFWNYFSMGMDAQVSYAFHSERKMNPDKFKNQLVNQLIVPSLVLWTFCFVPSWRKENYSQFNDCCDKTNKLKMWDWTLDSRSQDFWNIAQLTKVKIMKKQGGWQDLHIPPSVRSIVCLNLPSFSGGLNPWGTPNSNKRRYRDLTPPFVDDGLLEVVGFRDAWHGLVLLAPKGHGTRLAQAHGIRFEFQKGAADHTFMRIDGEPWKQPLPENDDTVVVEISHLGQVKMLATHDCRAKSIHDPSSQFSHDADEVDSDEENSVNEERRKFGAADTFKIPDEVDVSSLS >Solyc11g071470.1.1.1 pep chromosome:SL3.0:11:55224732:55226063:1 gene:Solyc11g071470.1 transcript:Solyc11g071470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKIESSKIIKPLYEGIPPSTTIHIPFNVFDNVTFDTLMALIYAYRPPTPPTSTIETGLRKTLSIYREWAGRIGEDEHGNRGVFLNDEGVRFIEASVDASLDEVLPLKPSPSMLSLHPSLKDVVELIQVQVTRFTCGSVVVGFTGHHMIADGHAASNFFVAWGQACRGMEITPIPMNDRAIFRPRNPPLVEYNHVGAEFVSKLVNKELVKINNDQDKEKNIIVHKVHFTLEFLGKLKAHASFMNGKAKTYSTFESLIAHLWRVITNSRNLNASQNTQIRISVDGRRRITPRVPDEFFGNMVLWAFPTSKVKDLLDEPLHYATKIIHEAITKVDDKYFKSFIDFANDEKVMTRQDLIPSANMKNDSLCPNLEVDSWLRFPFYDLDFGTGCPFLFMPSYYPIEGMMFLVPSFIGDGSIDAFIPLYEHNLTNFKKICYSLDLKAK >Solyc01g103630.3.1 pep chromosome:SL3.0:1:92066472:92067203:-1 gene:Solyc01g103630.3 transcript:Solyc01g103630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIIQRLNEMNVEYVTATVKEGGVNVDQLFFHDPDGNMIEICNCQNIPIIPLSSCPIKKLSNLPTFDQKTMPNSLYGKGSSSKEKYCGGEMEYVMMESLAMNIIDISF >Solyc01g109730.1.1 pep chromosome:SL3.0:1:96524647:96525701:1 gene:Solyc01g109730.1 transcript:Solyc01g109730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILMRRRRISRKYCSNLDDLTGTLKDFENEEREEVEFISTTSSQGLHLKKFTSIHDSSTKRYRIECSILRQRIDIPNPLHPSLCIALDFVFDSLAVKLVSVHQHGYEILSLGIGRDKTSYCWRDVKLCPENNKRRNGRIQVFFRKGVAYCIWYIDDDDMEIDVLDMVNETYIGHTTLPRGGFFARPSLMDWNGQLSFVEQVKDELRVLVLKDYRKLRWDETTRIMKLNFLKEELMTFIAFADNSVLFYMKQDKKSFCSYDMSTGIQNTSVLSGLIESSEDVMDVIVMNVLQVWGVFQLVRKNIKV >Solyc03g031610.1.1.1 pep chromosome:SL3.0:3:4121150:4121413:-1 gene:Solyc03g031610.1 transcript:Solyc03g031610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFIFLLLVASTLFVVSTNARNIKFVANSSDEMYPYYPLPKPFGLSFGHVGSSFGTNFGNGNANDQSVLIGSGKVEVNDGALGRH >Solyc01g090135.1.1 pep chromosome:SL3.0:1:83646592:83647132:-1 gene:Solyc01g090135.1 transcript:Solyc01g090135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSTYVPGKNLVPCRPGVVAGLSVAEEEGIAVMIDPQLASMCWARFRWGVGMVEECNAWECRKILDDFYKLIQNVGEKKE >Solyc05g040020.2.1.1 pep chromosome:SL3.0:5:49665029:49704452:-1 gene:Solyc05g040020.2 transcript:Solyc05g040020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRAVTALATVDGTIAGEDDKGAEGSIFRCSRDLVVATCGWYLLLLCEPEEDGRTGALELLHTAFLGRSCALNEKLLKETEDLLRFLLDWVKEMGRVENWAGSCIKKVGLLEMGLGIWDLSLF >Solyc10g074438.1.1 pep chromosome:SL3.0:10:57973424:57974524:1 gene:Solyc10g074438.1 transcript:Solyc10g074438.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVVQCLRAYELVCLDCQELYRPNRVAMQFGYDQVLPKWIPQSPSSLELSRPIDFNLRLYYPSRLFEPDIELAAKRPLVAAIKIVTSTNSKNSAIPPVKSNSILYPVVITTTTF >Solyc06g009430.2.1 pep chromosome:SL3.0:6:3370273:3370967:1 gene:Solyc06g009430.2 transcript:Solyc06g009430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLTEMKKGPCSSDEDSKLIHSISIFGQGRWNSLAHRINLGEVITMSKLLENQSAKACKRASLRNQ >Solyc07g017780.3.1 pep chromosome:SL3.0:7:8086578:8093595:1 gene:Solyc07g017780.3 transcript:Solyc07g017780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAISLEEIKNETVDLEKIPIEEVFEQLKCSREGLTSDEGANRLQIFGPNKLEEKKESKILKFLGFMWNPLSWVMEMAAIMAIALANGDGKPPDWQDFVGIVCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQEAAILVPGDIISVKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPGDEVFSGSTCKQGELEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAIGMLVEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDRSLVEVFTKGVDKEYVLLLAARASRVENQDAIDACMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSNGNWHRASKGAPEQILDLCNCKEDVRRKVHSMIDKYAERGLRSLAVARQEVPEKSKESTGGPWQFVGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDSSIASLPVEELIEKADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSAFMVLIIAILNDGTIMTISKDRVKPSPMPDSWKLNEIFATGVVLGGYQALMTVIFFWAMHDTSFFTDKFGVKDIRESDEEMMSALYLQVSIISQALIFVTRSRSWSFVERPGALLMIAFLIAQLVATLIAVYADWTFARVKGCGWGWAGVIWIFSIVTYFPLDIMKFAIRYILSGKAWNNLLDNKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPEASNLFNEKNSYRELSEIAEQAKRRAEMARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Solyc02g076630.1.1.1 pep chromosome:SL3.0:2:42355719:42355874:1 gene:Solyc02g076630.1 transcript:Solyc02g076630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPHSAYANRMREDGLQPAIHRIEFSNEVHEVGAKSGDTSIRARRLRLLA >Solyc12g044540.2.1 pep chromosome:SL3.0:12:60501164:60501931:-1 gene:Solyc12g044540.2 transcript:Solyc12g044540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSALLYQQAYIQYKFLDIDFKYSLSDEEWKRVESIVKFLKPFYEIVPEANILLQILSSKCMENSNVWSSLLGENVCHTSNNEMRDDLEEFDVFENQLESGRDKTQSGLYLEEPKLDHKGNPNFDVLAYRKENRGRYPELLLMARDILSIPITTATSESTFSIGGRIIG >Solyc10g076430.1.1.1 pep chromosome:SL3.0:10:59506904:59507068:1 gene:Solyc10g076430.1 transcript:Solyc10g076430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D1Y5] MNFILFDATIPFQNCLIEIRISSLKQYNTITAQHKELENSTTGIMLQNCTIKAM >Solyc02g085090.1.1.1 pep chromosome:SL3.0:2:48727738:48728637:1 gene:Solyc02g085090.1 transcript:Solyc02g085090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFLSYMELKKQAHLDLETERDLEMGQLSRTDEDNLSNFFREIEAVKGDIQEITNLLMDLQNLNEETKTTHGPKVLRGIRDRMDSDMVSVLRKAKIVKAKLEALDKSNVGNRKLSVAYAQGSVVDRTRVSMSNGLRVKLRDIMNDFQALREKILSDYKDCLRRRYYNETGKEPNEEVIEKMVSGESGKVQIFAAKTEMNLDDKDRHEAVMDIKKSLDKLHQVFLDMAVLVETQGEQIDDIEHNMAIAGSFISGGTNSLFYAKQQQKKGRAWICWVWAVLLIILVVCLIATLSS >Solyc10g007720.3.1 pep chromosome:SL3.0:10:1957374:1964410:-1 gene:Solyc10g007720.3 transcript:Solyc10g007720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLDSFTSDPIFSSFLSSDFDSTRFSSAALSSGSTASRIEKLQEGLRLLDHQLRHEVLTRHHDLLNQLTSLRAAESALSTLRSSVTSLQSSLRRVRSELSDPHQVIEVKTLQLSNLHSATELLQSTIRTIRLSKKLRDLMDSTPDPEKLDLSKAAQLHFEILSLYNEYHLAGIDVVDLELKWVLEIGQKLRAEGMKVLEKGLEGLNQAEVGAGLQVFYNMGELRGTVDGLVSKYKAMGVKSITTALDMKAISAGGGFGPGGVQRSGTPQFGGSAKAKDALWQRMSGCMDQLHSIVVAVWHLQRVLSKKRDPFTHVLLLDEVMQLDRNRRPGLSQLKRVIRIFARKEGDPILTDRVWEALGKSFANQMKSTFSTSSFVKEIFTLGYPKLFSMLENLLERISRDTDVKGVPPALSSEAKDQMLSSIEIFQTAFLTLCLSRLSELVNTVFPVSGRGTVPSKDHIARIISRIQEEIEAVQMDAQLTLLVLREINKVLLLLSERTEYQISAGPEARQITGPATPAQVKNFALCQHLQEIHTRISSMVAGLPAIATDILSPALGSIYGVAGDSVTPLFQSMLDRLESCILQIHDQNFGSLGMDAAMDNNASPYMEELQKSILHFRSEFLSRLLPSSANSLTTGSETICTTLVRSMASRVLIFFIRHASLVRPLSESGKLRLARDMAELELAVGQNLFPVEQLGAPYRALRAFRPVIFLETSQLASSPLRQDLPPSVILHHLYSRGPEELQSPLQRNRLTPMQYSLWMDSQGEDQIWKGIKATLDDYASKVRSRGDKEFSPVYPLMIEIGSSLSGNR >Solyc11g062430.2.1 pep chromosome:SL3.0:11:49765893:49773171:-1 gene:Solyc11g062430.2 transcript:Solyc11g062430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSEEEPEEQDVDIENQYYNSKGLAETDPEAALEGFAEVVRMEPEKADWGFKALKQTVKLYYKLGKYKGMMDAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFDLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDIGEYGRMNKILKELHKSCQKEDGTDDQKKGTQLLEVYAIEIQMYTETKNNKKLKELYTKALSVKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLRNVRTQVLLKIIKPYTRIRIPFISKELNVPEKDVGELLVSLILDNRINGHIDQVNRLLECGDRSKGMKKYAAIDKWNTQLRSLYRTVGNRVC >Solyc05g018785.1.1 pep chromosome:SL3.0:5:23687475:23690554:1 gene:Solyc05g018785.1 transcript:Solyc05g018785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKNELISRRTVTGWRICIDYRKLNEATRKDQFLVPFIDQMLDRLAGQEYYFFLDGYSGYNQIVIAPEDQEKTTFTCPYGTYPFKRMTFGLCNAPTTFLRCMMAIFHYMVEDFVEVLMDYFSVFGKNWRMLTPRREACPTYRSTGIEILEDLVTLVRRVD >Solyc01g058245.1.1 pep chromosome:SL3.0:1:65844049:65846612:1 gene:Solyc01g058245.1 transcript:Solyc01g058245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGIVVFADASVHKETKTGSIGVVAMDSYGNLLHAFGSSMREINNLKTTLFAAFGMKDLGQAKQNLGMKISKG >Solyc04g026027.1.1 pep chromosome:SL3.0:4:19798105:19801449:-1 gene:Solyc04g026027.1 transcript:Solyc04g026027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPTLDKAYSLLVERESQRIMSQTSHSSSSSELNALFTAQSLVPKPRKKKGPAYNVQGRGRSNSDRRSYPSAHNAISDTDHSDFNRVESQRNQGYGRGDRQYDPVDYHKGLNSNPPTTVVHSEPTEIVPAQDTCSIRRSQRSTKAPLWLQDYVASAHLQSNKPLYSIDKYIGYDNLSSSYRAFFSSFVYVDDLMITGNDISLIQQSKSTLQENFKMKDIGNLRYFLGIEFARSQDGIVMHQKKYTLEIISEAGLSAAKPAATPLDPYVHLTTREYDELNGTSKYDKLLTDPAVYRRLVGKLLYLNVTRPDISFTTQTLSQFLHQPKQSHLNAALKVVIYIKSQAGLGVLLSSTNSKEQQVYCDSD >Solyc09g005740.2.1.1 pep chromosome:SL3.0:9:509126:509842:1 gene:Solyc09g005740.2 transcript:Solyc09g005740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDVVRDAVSEEERERAIEEHLVNNPNDVEALRNLMEIRIKNKKILDAISIIDRLIELEPNENEWPLLKSHLYVNFGEIELAKVGFNEILKKDPFRVEAYHGLVMAASQDESIDELMEIEKKIEEGIKLCKKENKKTDLRDFKLLLAQIRVIEGKYEDALKVYQELVKEEPRDFRPYLCQGIIYTLMRKTNEAEKCFEKYRRLVPQGHPYARYFDENMIATKVFAQRAENERASSKS >Solyc08g029300.2.1 pep chromosome:SL3.0:8:37214979:37215443:1 gene:Solyc08g029300.2 transcript:Solyc08g029300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSDRLVGFPFLFMEYDLGHNGSLRVLVGKLSPEYSTRSPFFVICRRYLAYKPARLREIMRFLSVLTWITTDGKRQAEATSSCG >Solyc12g010680.2.1 pep chromosome:SL3.0:12:3616385:3620626:1 gene:Solyc12g010680.2 transcript:Solyc12g010680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAVCGDLRIAYAAKTEKYEIAEMAEIVELQRNSANWVKVVDDIVRIEKKIFPKHESLARSFDEELKKKNSGLLYTQFGEEIAGYVMYSWPSSLSACITKLAVKENYRGQGLGEKLLKVAIEKCRTRNVHRVSLHVDPTRAAAMQLYKKLRFQVDTLVEGYYSSDRNAYRMYLDFDME >Solyc06g050120.3.1 pep chromosome:SL3.0:6:32565219:32567531:1 gene:Solyc06g050120.3 transcript:Solyc06g050120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVAVAAKKKAEKTSKVVNPLFEKRPKQFGIGGALPPKKDVTRNVRWPRNVTLQRKKRILKMRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKAAKKERLVKRVQAEAEGKTPETKKPIIVKYGLKHITYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASALCLTTVKNEDKMEFSRVLEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAKERVLAKEAAQRLN >Solyc10g005410.3.1 pep chromosome:SL3.0:10:310421:310786:-1 gene:Solyc10g005410.3 transcript:Solyc10g005410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWANLCIAFLIEDENQEGKDGSYIECYMKENKDSSIELAREHVIKLIEDDDKQSLPILQEYIKSMLL >Solyc03g119890.3.1 pep chromosome:SL3.0:3:69910292:69913914:1 gene:Solyc03g119890.3 transcript:Solyc03g119890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLGVFLSSDFSFPGHAMAELCPISQNVEEIAKKLRISDSDSGDPTSRLPDHVLHSILSYLKSEQLFHARLVSKNWHSNTPSYFPLEFDESIFFEKTPTTPAAVIQESHNKFLEWIRSSLETSQPELIKAEKRVIRVQFKHHENINDIMKLINGIDFHEVYLRFGCINYSIPFIFQSKCLTVVHLTRCGIHKLLFSDEANFSNLEEVELDNVHITGETLSIFISKCPNIRELKLVNCKALRSVMLPKVDRLKKLCVQLVGSYPSITDVQVIAPSLQVFHFVHYNSSNLAVNMDIRACKMLREFHLECPTFPVGFDHEHFISDFPYLETLIIGPCETSKRVKISSPSLRKLTLMFTQLYNYNYSRKSVVSVPNLCSFQYVGRTFKSSLAPSGTPKFLKTTGISLVPHVEKINRAWFLQLRSHLTKLSNRIGLALIIRAQTSFSELGKQGHRLWSIPIGRIPTQVIPHIELLKLDIRLNVPQESHGCLLKYIIDNLLWMSHPNALTLSMPTSFSAFALGICNEFLISRRDGNCCADTRNKCWRHFLKDFMVREAVTNEKEELKKFSFVFTWQL >Solyc08g048400.2.1 pep chromosome:SL3.0:8:13152793:13153717:-1 gene:Solyc08g048400.2 transcript:Solyc08g048400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSTNLQLKMEAASAVPKEAMLFSATSSYITIIVLLNSLFYVFILSTI >Solyc12g035950.1.1.1 pep chromosome:SL3.0:12:44565691:44565846:-1 gene:Solyc12g035950.1 transcript:Solyc12g035950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIGNSIVNGIYSIMINQKLQCPCIYYILELGHNGISVNTGTIISDWEGR >Solyc02g086665.1.1.1 pep chromosome:SL3.0:2:49954638:49954767:-1 gene:Solyc02g086665.1 transcript:Solyc02g086665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVLFRVEILLCG >Solyc02g069890.1.1 pep chromosome:SL3.0:2:40256861:40257006:1 gene:Solyc02g069890.1 transcript:Solyc02g069890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKQKHQMSGIFLLLTFVPRNQGTWIAYKY >Solyc08g068070.3.1 pep chromosome:SL3.0:8:57224659:57229954:1 gene:Solyc08g068070.3 transcript:Solyc08g068070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLQQKASEWSGVDPNDAFAIDETNLFEKLGLQAFINLSTNFYNRVYDDEEEWFRSIFSNSSKEDAIRNQYEFFVQRMGGPPLYSERKGHPALIGRHRPFPVTHKAADRWLQHMQQALDSVTDIDEDSKTKMMNFFRHTAFFLVAGDELKNQNQSVACKHAANKPAAE >Solyc05g021555.1.1 pep chromosome:SL3.0:5:28071194:28076701:-1 gene:Solyc05g021555.1 transcript:Solyc05g021555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGEGLHVFESLGAPLNVVKPTKDSRAVGLIVSILHIENNLLVLFSFLIHIYVSLTNNDLIYIGQAADGAKIASASRIIFVIAEMTDCKYDPSVKCTGHIDVMISAFELSMMYVFCHQISLIWLSFLVCNPIRKLCSRHTFELFNESTIKVTFFGNWTPRSDIPSFVEKYMNKELELEKFITHTLPIL >Solyc10g081410.2.1 pep chromosome:SL3.0:10:62606037:62610553:1 gene:Solyc10g081410.2 transcript:Solyc10g081410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHKNYSPPPYIPLDQSDAEVQQVSTAEEIQQSKTDDHAQWSSGICACFDDPQSCFIGLFCPCFLFGKNADFLGSGTLMGSCTTHFILWAFFNTICCFLTEGILLGLPGCFVACYACGYRKTLRSKYNLQEAPCGDFATHFFCHLCAICQEYREIRERSGDSNSSDLSLVAVTAPQVQKMETPPANE >Solyc04g025080.1.1.1 pep chromosome:SL3.0:4:25803772:25804077:1 gene:Solyc04g025080.1 transcript:Solyc04g025080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRIIRVRQRVELKNKLMNLEGVLQMEKYRGESLLEIMKRADGVWWESHIEELKLFQLQHLKKALETLNQKVEKEIQMMNNNAFPFQSSGSALALPSFTS >Solyc04g082700.3.1 pep chromosome:SL3.0:4:66372754:66380045:-1 gene:Solyc04g082700.3 transcript:Solyc04g082700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVLVALAATIGNFLQGWDNATIAGAVVYIKKELTLDASVEGLIVAMSLIGATLVTTCSGSIADSIGRRPMLIMSSMLYFLSGLIMLWSPNVYVLLIARLLDGFGIGLAVTLVPLYISETAPSEIRGSLNTLPQFTGSGGMFLAYCMIFGMSLMTAPSWRLMLGVLSIPSLIYFVLVVLYLPESPRWLVSKGRMVEAKQVLQKLRGIEDVSGEMALLVEGLAVGIEPSIEEYIIGPADELTEDQDLATDKDHIKLYGPEEGLSWVAKPVTGQSSLALVSRQGSMVQQSVPLMDPLVTLFGSVHEKLPDTGSMRSMLFPNFGSMISTMDPHVKDDHWDEESLQREGDDYPSDGGADSDDNLQSPLISRQTTAVETVVPHPHGSTMSVRRHSSLMQGNAGEGVGSMGIGGGWQLAWKWSEREGEDGIKEGGFKRIYLHQEAGPSSRRGSLVSVPGGDIHEDGEFIQAAALVSQPAVYSKELMDQHPVGPAMVHPSETASKGPSWAALLEPGVKRALIVGIGIQILQQFSGINGVMYYTPQILEQAGVGVLLSNFGIASDSASFLISALTNFLMLPSVAIAMRFMDVAGRRSLLLYTIPVLILSLICLVIGNTVNLGSVAHAVVSTICVILYFCFFVTGYGPIPNILCSEIFPTRVRGLCIAICALVFWICDVIVTYTLPVMLNSIGLSGVFGIYAIVCVISWIFVFLRVPETKGMPLEVITEFFAVGARQAAAIAKHE >Solyc06g007860.1.1.1 pep chromosome:SL3.0:6:1756371:1756856:1 gene:Solyc06g007860.1 transcript:Solyc06g007860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTISEEIEVHVPANEAWKIYGTIQLAHIVVKELPNIVHKVDILEGDGGVDSVLRVTFPPGTPLLTSHKEKFVVIDDDKRVKIAQVVEGGLLDVGFTLYKVKFEVVEKGKETCITKSTIEYEVKEEAIANASFVSIQPFVAIMIMVAKYLTQGKDGQAST >Solyc08g061010.3.1 pep chromosome:SL3.0:8:46457009:46472636:-1 gene:Solyc08g061010.3 transcript:Solyc08g061010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLVGNAAVDYKGLLAIRSKTGGWLSNALIVGIEVSERLSTMGIAVNLVTYLIGRMHIPSATSAKIVSDFMGTSFLLCLLGGLIADSFLGRYRTIAIFAIIQTLGTGMLAISSMLPQLQPTPCNIHYSLKSSNCEQATTSQMSVLYISLYLMALGTGGLKSSVSGFCTDQFDETNEEEKAQLTLFFNWFFFFITLGTLLAVTVLVYIQDEVGRSCGYGICSFSMLVAILMFLSGTKRYRYKKTMKSPIAQILHVLIAAIRKRRLQLPTNDSFLYEDNSNSSRISHTDQFRILDKAAIMTKEDYECINSLAENPWRISSVTKVEEVKMIIRLLPIWTTSILFWTAYAQLVTFSVEQASTMDRSIGDFRIPAASLTAFFVSAILISLATYDRLILPFLKIWTAKKSGLTSLQKIGIGLFLSCLGMGVASIIEVKRLSIAKENEETPNTLPISVFLLIPQFFLVGAGVGFMYTGQLDLFITQSPKGMKTMSTGLFLTTMALGFFFSSLLISIVKKVTTANINNGWVGESINKGRLDCFYGLLAILIFIDFGFYVLCASWYTSNIIC >Solyc04g017655.1.1 pep chromosome:SL3.0:4:7727152:7729768:1 gene:Solyc04g017655.1 transcript:Solyc04g017655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEVEIVFNYGGSWVISPLLAYTKKLVHSWLNFDPELLSHKDICDEFTSKLGFSRVKQLLTTGPSEKYYIVDGNDGIRAILSLLCEKFKVVNFFVVEEGEFTVVAQNITQYVESCCVDVEVGTDCEHSPGSVDEWDLSEGEECDLEWMDAISNERGRVVGDRLESFKELQVGMTFKDMKEGRQVMNYYALANKRALTIIKGDTKRTRYGCDIGCPFRCLISKDGKTEGFKIKTFINKHTCEETFFNARADAVTLAQYFKNKLQNNPKYKVKDMRGQLENDLKLNVCQSKLKRAKRMALEKLDGSFIDDYNKLEAYAQELKQSNPGSDGLIDAVVKVLPEAQHRYCVRHIESNWCRKWRSGQMRKLMWWCAWSSYVEEFKDQLNKLGKLSKDGARNLVKYPPKAWCRAYFDTQCKNMMVDNNFTESFNAWILEARAKPIIKMLEEIRVQEKCAENNAHSKKGKRPMSNNEHGSDVEGGIEAETGTEAVTQEFEPYGPNVEDEEDPPLRPMVICESELRAEKLKKRVVPTGARKIQFYGDHTGASVPTNLPYSPIKTTWKGKEAVPAGHVQMQAKKKRIKMMGVKGRNPVVDDLL >Solyc05g018595.1.1 pep chromosome:SL3.0:5:22410334:22411181:1 gene:Solyc05g018595.1 transcript:Solyc05g018595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGYLSYFLGIDVTRHAGGLFLSKKKYTTEIIERAEMSSCKGSPTPVDTKSMLDTTMSKPFENPALSCQRTSVCLFMHDSREEHMHALKHILRYIHGTMDFGLHIFPSSTSTLILYTDSDWGGCLDTRRSATGYCVFLGDNLIFWSAKRQATLSHSSAEAEYRGVANIAHIFTKGLPLVLFEDFWDSLSI >Solyc11g018625.1.1 pep chromosome:SL3.0:11:8789824:8790567:1 gene:Solyc11g018625.1 transcript:Solyc11g018625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVATNYFLLLKIIFLLWSIVLVAEARIEKREIKSNEAYTSGTKLYKMEVDDSIIIDYTPSHTSPDTPTPWSKTIS >Solyc11g040100.1.1.1 pep chromosome:SL3.0:11:40154093:40154287:-1 gene:Solyc11g040100.1 transcript:Solyc11g040100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNIEKRRRRAVAASNNLHVFCRLFGSTRTRDTLNFAGETEQIHRTSAKRETESTISPLERVT >Solyc01g087490.3.1 pep chromosome:SL3.0:1:82304263:82320267:1 gene:Solyc01g087490.3 transcript:Solyc01g087490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEEEQQLQNSSVDQGSTDEIIAEGASVVRGELPQDDAGPPKVDSEVEVLHEKVTKQIVKEGHGQKPSKYATCFVHYRAWAESTQHKFEDTWREQQPLELVIGKERKEMTGLAIGVNSMKSGERALFHVGWELAYGKEGNFSFPNVPPTADVLYEVELIGFDETGEGKARGDMTVEERIGTADRRKMDGNALFKEEKLEEAMQQYEMAIAYMGDDFMFQLFGKFRDMALAVKNPCHLNMAACLLKLQRYDEAIAQCSIVLAEEENNVKALFRRGKARSILGQTDAAREDFLKARKLAPQDKAITRELNLIAEHEKAVYKKQKELYKGLFGPRPEPKPKSRNWLIVIWQWRAELQHRLSFYFIGRNELRHLPLFLCILEKQFLLEKRVEAALVGDGFPPDSSGSEVWKRKISKWSKSLKKRVHFSHRKIFAGWHFGAVPVDYCGNWCCELIMKRLRSSDDLESCGEKGVLKDWARREEDPSLHRSSSNRSFYYKSESGRKGLSSSSSRYDRFEDDRESLRPIKKRSDYDVDNYDRRKSYNRYSHSNDKGVLSSSPRGGYGAERIHRSESFSGPRREVPKGFRSERDRSRREGSVSSWRRFGGVKDSDEGARSGGDSARGSRVESEDIEKAKSPPGWRDAKSPAWSKDSGSEQSRSVEVKKSEGLPMENGGHNSEMEEGELEPDHPSSATEPAAEDEASGEVNRSQMEHESERQVDSKRQDDGVNSLYDQKVELRKVSVTAEQSEETQSDNVQDIFKDGDGLSDHGTSMGHSGMGNGTGTLRDHVGEKNGSTRKNNGSREEEKNVDAEKLPPKKREQGEEKNRDAKSKINCIEIRELNRELVGEDGPADSVSSVAHADVSLSVKDKGKSLAVSPENITAPPADGLMMDNEPRGIVPCGNSDMEGPSTRGLELFLSGPVKKPEKADKFSNCMTKDEKFGLEPLELSLSLPNVLLPIGAQNEVQPPGSPSQGRSFQSFASSFRTNSDGFTMSMSFSGSQHFTHNPSCSMTHNSVDYEQSVKSRPLFQGVDWQALASNEQKNNDIPNCQGMLSNGTGPYQQSQASQGNSSGQAVAKHLRAAEESSKLAAGLDRQLSTGQASRHPNGARSPTQSVGSHETGSEYNKDKKQLTRAKDSSFYRFGGSDGKEIQLPIGSDFIESVITTMVSEPIHVTARRFNEISGQQLLCVKEALSDIITNPGKHWQLSTLQKALQKRSDITLDTLLKSHRSQLELLVALRTGLQEFLQPSYDVSTSDLADIFLNLRCRNLTCRSSLPVDECECKVCSQKNGFCSACMCLVCSKFDMASNTCSWVGCDVCLHWCHADCGLRESYIRNGRSASGAKGCVEMQFHCVACNHPSEMFGFVKEVFQNFAKEWTAEAFSKELEYVKRIFRASEDIRGKRLHDIANYMLSKLAIKADLQEVQSQMMHFFLTEPDSVKTDNAPIIQGKELSTKNHEGNNGIARPSQGAMWLKAVSSEKAPQVEKPTGLPSSFDSLRNEKQAMNSSFQPSMEKGPVFDELDSIVRIKQAEAKMFQARADEARREADALKRIGGTKSERIEEEYVTRITKLRLAEAEDMRKQKLQELQSLERAYQEYFNMKMRMENNIKDLLLKMEATRRNLSL >Solyc01g097790.3.1.1 pep chromosome:SL3.0:1:88372066:88378123:-1 gene:Solyc01g097790.3 transcript:Solyc01g097790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGETGVQVADFSSSGANKAAQNLVTCVYQCKLRGKSCLLNVTWSKNLMGQGLTIGIDDNTNQCLCKVDIKPWLFSKKKGSKTLEAYSRKIDICWDLSSAKFGSGPEPLEGFYVCVVSERQMILLLGDMKKEAIKKTSATPAASGAVFIAKKEHMFGKKVFSTKAQFCDNGRVHDLVIECDTSGTSDPCLVIRVDSKPMMQVKRLKWKFRGNHTMLVDGLGVEVFWDVHNWLFSTSVGSAVFMFKTNISAEKLWATQPICDPQTLHWSWSQRFREAQSHDLGFSLFLYAWKNE >Solyc02g086217.1.1 pep chromosome:SL3.0:2:49582056:49583833:1 gene:Solyc02g086217.1 transcript:Solyc02g086217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLSEVIVDVDVSDSGMDLVGEFKTGPLQLLHDHQIEGMHRDALPIAAVGIFTEPASFEGVPFSQWQVKSPESGLDPHLPPDTSHCWHFESVVAVQFTENPPAMAFLRKTTEAVGLANATAFV >Solyc01g109720.3.1 pep chromosome:SL3.0:1:96519271:96521952:-1 gene:Solyc01g109720.3 transcript:Solyc01g109720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTFVILKPIIDGLMKAVGMTSQLVEIEAGTTMHFWVPNKKLPNKPPVLFVHGFVANGITTWLFQILSLTSDYAVYVPDLLFFGDSITTRSERSTTIQAEFLAKGMMKLGVEQFSLVGLSYGGMVGFKLAQMYPHMVESMVMSSTIIEMTESISNASLKNIGFTNWPDFLLPKTVSGVKVLLSIGSHKLPWLPQFFYNDFHEAMFSNRKEKVELLEALVVNDKDATIKTPNYSQKIYILCGDDDKIFNKTFSDDMKEKLGKNTTIQYIKNAGHLVQLERPCTYNHYLKKFLSSS >Solyc09g011695.1.1 pep chromosome:SL3.0:9:4962657:4973888:-1 gene:Solyc09g011695.1 transcript:Solyc09g011695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNIDQEPAPQIPVQNLAAILAQISGQTMLLRPLRKMGEISLLIGQISPFTKGKNASRTSVVAHSSRDNYKGKGPEGSEDRYVYDMRNTTITKQQIDQLRKFTTDLLKEFDCTLCKPTMSLLESVVKLKANEGALLKESTFYIKLVRKLNFMTNTRLDITFSVQHLSQFLQSPREPYLKAAYHVLRYLMNEPCLGIFLSNSTDCTITIYCDSDWVVCPDSRRSVSGYIVLMGDSPICWKSKKQAMISLSSVEAEHRAVRKVVGELVLY >Solyc06g050560.3.1 pep chromosome:SL3.0:6:33343728:33346305:-1 gene:Solyc06g050560.3 transcript:Solyc06g050560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQDGVFTSFEPYPFRVNKFLTHLAKFAQLFLLFQLLIFGIQPTSGEDWDGIIITAADFQALQAFKQELIDPKGFLKSWNDSGIGACSGGWLGIKCAQGQVIVIQLPWRGLGGRITERIGQFQSLRKLSLHDNVISGSIPSTLGLIPNLRGLQLFNNRLSGSIPASLGLCPLLQTLDLSNNSFSGAIPPSLVNSTKLYRLNLSHNSLSGSIPTSLAQSPSLIFLHLNYNNLSGSIPDTWDGNGKRLFQLQSLTLDHNFFSGSIPASLGKLNELVELSLSHNQLTGVIPSHFGGLSSLTTLDLSYNAINGSLPDSFLNLSSLVVLNLESNQLDNQIPAAIIKLQKLSVLNLRGNHFSGDIPVTIGNISTLRQLDLAHNNISGEIPASLENLPNLRAFNVSYNDLSGPVPTHLARKFNSSAFVGNLQLCGYSASTPCPISPVSPSSETPKRQRRKLSTKDIILIAGGALLIILALLCCILLCCLIRKRSAARSGKDGQGTSRAAGEKGVPATAGEVEAAGGGDTGGKLVHFDGPIVFTADDLLCATAEIMGKSTYGTVYKATLEDGDQVAVKRLREKITRGQREFESEVNILGKIRHPNLLALRAYYMGPKGEKLLVFDYMPKGSLATFLHARSPDTPIDWATRMRIAKGTTRGLLFLHTNANIIHGNLTSSNVLLDDNTNAKIADYGLSRLMTAAANANVIATAGALGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMRDASVIGDELLNTLKLALHCVDPSPSARPEVQQLLQQLEEIRPETATSSGDDGAAASDD >Solyc07g039505.1.1 pep chromosome:SL3.0:7:47737812:47745925:1 gene:Solyc07g039505.1 transcript:Solyc07g039505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSRRSEVVPSEAVEYRVESSESIIPREMPMAKRWYRHNGIRSVQPASFVNLKACIMHASWSQEPKRDQASRENRTRDLWLLYIPPKEIASYQLRLTLLLLKSIGENKDRE >Solyc06g009670.3.1 pep chromosome:SL3.0:6:3607659:3614830:-1 gene:Solyc06g009670.3 transcript:Solyc06g009670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPPFLASAEKKPWWVTNKKIVERYIRDAKILIATQEPNEISSALALVEAALAISPRFELALELKARSLLYLRCFKDVAYMLKDYIPSLKMPSDDTSSTSSSGSFDSSKEQISLISYGDEPNFKCFSISYLKKKVMASLYKNCNKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLATDAFRRESICWSEDSFSFSKFPISSKNCCTALQITESETISQLLSHIKLLLRRKTAAIAALDAGLYSEAIRHFSKIVDGRRGAPQGFMAECYMHRASAYHSSGRIAEAIADCNRTLALDPSCIDALRTRAALFETIRCLPDSLHDLEHLKLLYNSMLRDRKLPGPIWKRQNVEYREIPGRLCSLATKIQELKKRVANGETGNVDYYALIGLNWGCSRSELERAHLLLTLRHKPDKSTSFIERCEFADEQDVDSVRDRAKMSALLLYRLIQRGYASLMATIKDEEAAEKQRKKAAAALQLMQQQVQLNQEQQQSRSETFGTVVMQQQVQKIQELQRSVPETLDSDVCNTALCSNTNITNATVFKGAFCREHAIVGNMLSQAGFNQPIPVKYEALSC >Solyc08g062680.1.1.1 pep chromosome:SL3.0:8:51841433:51841906:-1 gene:Solyc08g062680.1 transcript:Solyc08g062680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDQVLEKSALFQRANTGLLNNVWIVGSSGYPLMDWVLVPYTQQQLTWTQHAFNEKIGEVQRVAKEAFVRLKRRWSCLQKRTEVKLQDLPVVLGACCVLHNICQMRNEEMDPELNFELIDDEMLPEIQLRSTNARLARDAIAHNLLHHNHAGTSLL >Solyc08g061015.1.1 pep chromosome:SL3.0:8:46486108:46488526:-1 gene:Solyc08g061015.1 transcript:Solyc08g061015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHSCFSLKTRSMHKNMCHEFSTLKAQVVHEQVSQAPINSSTIVAQVSKKQLQHVPRESPTVEAHDIHDQVEQETHNSEENDLSREQAVMGNEHPGILRLYGRGVTKSTLKKTMLFEPCLTSNDERMEKMEELKEEMHQRMEEKLEQQKVTTHQEVTNDILGRLNHMYP >Solyc02g067760.3.1 pep chromosome:SL3.0:2:38444119:38447614:-1 gene:Solyc02g067760.3 transcript:Solyc02g067760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKICNSQDVEVRKGPWTMEEDLILINYIANHGEGVWNSLAKSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNKWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQGENMNGQGSSEQNIDHQEGSSSQISSVGQADNIETYSPTSYNGNLDTNFQASNFLNETNDNMWSMEDIWSMQLLNGD >Solyc11g010170.2.1 pep chromosome:SL3.0:11:3254559:3262928:-1 gene:Solyc11g010170.2 transcript:Solyc11g010170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVVQFTASQQNNSDDGNERLDSDQHLHPAVHSVPHTSETFLQAAISLKDQVVEMTWKENGRSASSVTDPTMYTGLLGTAFTCLRSYESTGDRKDLELCSEIVDSCADLARTFTRHVTFLCGRGGVYALGAVAASYCGDQHKRDLYLNHFLEVAQERALPVGPEDGGFGMSYDLLYGRAGFLWAALFIRKYLGVESVPDDSLMPVVEAILAGGRAGASDNSACPLMYRWHGTRYWGAAHGLAGILHVLLHFPLSQEDIEDVKETLRYMMSNRFPHSGNYPVSEGNPRDKLVQWSHGATGITITMCKVSKVLSDDREFRDAAIEGGEVVWKSGLVEKVGLADGASGNAYAFLSLYRLTGESIYEERAKAFASCLYQNARTIMNEREHNEADHSYSLFQGLGGVACFLFDLLAPKNSRFPGFEL >Solyc10g038060.2.1 pep chromosome:SL3.0:10:19175460:19179671:1 gene:Solyc10g038060.2 transcript:Solyc10g038060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAQRGLFAGYHIQFGNRVSEKGGNTSRRTWKPNVQEKRLFSYILDRQIRVKVTTYALRCIDKAGGIDEYLLKKPYHEMETELGSSGRLRLRSCTRSSGNGAHREARRKMYGWSPKSELKADYEGTDGEGSLPTEFHEGMVANA >Solyc04g080660.3.1 pep chromosome:SL3.0:4:64853849:64856874:-1 gene:Solyc04g080660.3 transcript:Solyc04g080660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFRKIISATNSTLKEAILSIMCNNNKNMPGSIGIADLGCSSGPNTLIVVSEIIDIINETSRNLGISFPELKISLNDLPGNDFNDVFRSLPSFFDKVKEEKGAENCYIVGVPGSFYGRLFPKKSMHFVHSSSSLHWLSQVPLGLGTNNAMSSLNKGKLYISHTSPSDVINAYVSQFQNDFSTFLRSRSPEIVPGGGMLLSLMGRSSIDPTIEDGCYYQWELLANALSNLVSKGLVEKEKIDSFNAPYYAPCPEEVKIAVANEGSFIIDRIETFEIEWEASVSSNLSNEKILSSTGQQVATTIRAVVESMVENHFGNEILNDLFSVYGDLVDDYIYKKRAVYVNLVVSLKRKE >Solyc12g040870.2.1 pep chromosome:SL3.0:12:56372745:56375625:-1 gene:Solyc12g040870.2 transcript:Solyc12g040870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKKSFSMNSRTGRDLQRYNRGCRQVVGCIPYRSIKRDPSSCVQQGSSTPIDDLEFLLISSQKNPRMMFPKGGWEIDESLEEAASRETFEEAGVVGEVQVQEYLGTWSFKSKSQGTFHEGHMFPLRVTEELDDWPEKSVRRRLWVKFSEAREVCWHPWMKEALDVFASKMSKRKEGTQMYHFKENTVVCCS >Solyc04g007020.3.1 pep chromosome:SL3.0:4:738739:741769:-1 gene:Solyc04g007020.3 transcript:Solyc04g007020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINFQGFSKQKSPQVLFENALLASDPGTLEQLKELSSRRRAIECINQNSFVTEAIAREMSGGLTSRCEQNIQKVEQYLPLLGNLIHHVDLVGDDPKMARWISDLKIRWSSSLAPSSFFHLSGPKLYQMDNLHFELGMTLSVLGALLRDQALEVLSTDLVQSATILRRAAGIYQHLAQVVLPCLQHEWTPERPPEALISVSAATTLVCLAEAQAVTVKKAEQKGNTGGLLAKLHYGVCEFLSEAIHTLNSATKQYKDISSLTDYITTCKMLHELLSYKYLAESLKTEGQIGFAIGVLCHVIQSSEKHTPREESWRLVYKQVIDDLNVLLRKYEHENEFVWHEKIPMQDELPVPQGVKIVSFIPYQPQRWERTLVFKM >Solyc11g006840.1.1 pep chromosome:SL3.0:11:1401312:1403932:-1 gene:Solyc11g006840.1 transcript:Solyc11g006840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDRDIEKSYMKATEEFFKLKLESEKLENHKLKKEICSSCFNKKMRELDVQLEIMMASTDHDFEKEIQEEFQKITLKIDILNNNMMNGILCSSCYVKYMRKENAKLRILHEKWSVILIEKAINT >Solyc12g056935.1.1 pep chromosome:SL3.0:12:63952269:63953308:-1 gene:Solyc12g056935.1 transcript:Solyc12g056935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAARIAFGVNLKRGVMNSKVRNTTTDMTMLENAVWQPAIYITGCHRANDVHSSKSHHLFVSVQLYGKVKRFLLRHFIQDFTYRQCNHASYNTSPNPFHEIVTTEIYFIDRWRIDSLLYASYLILTMYFANKRKVKKVAPMSIVCQFHCKLLRNFKLYIKDILRRMFSSDKKTYFMFD >Solyc01g100200.3.1.1 pep chromosome:SL3.0:1:90137052:90139052:-1 gene:Solyc01g100200.3 transcript:Solyc01g100200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS4 [Source:UniProtKB/TrEMBL;Acc:Q00LP5] MEALFQEQLFPCADSFIFRHPSIPMDPRKEVIQNGLNNHPSFDQDYFSNHVVGVGDSSPPPQEEGEKDYSDAMYKFLSQMLMEEDDLENKPCMFHDCMALQAKERYLSDVLHGSENNYSPQSVIINPHDSSSFLSNYSPDSIESPQWDLNFESPASMSTLSNHDSFFTSFGNGHFEEGAVNVLQSGSSSNSPTGLREKKNRHRGDVAADQQRSNKQMATFVHDESEPLEMYDNVLLCLNNPYVEQHSATSITSYSPPNEAKKTSKVGRPRGGRKHSSIVKKEMVDLRALLTQCAQAMANYDSRTANELLMRIREHSTPHGDGTERLAHYLANALEARLSGTGTALYTAYAPSRISAANILKAYKAFIRACPFKLLSNIFANKYIRKVIAGAPKIHIIDFGILYGFQWPCLIQGLSMRAGGPPELRITGIDLPQPGFKPAGRVEETGRRLEKYCKRFSVPFVFKAIAKKWESITLEELEVQRDEVLVVNSLYRLGNIPDETVVPNSPRDAVLNLIRRIRPDLFIHGALNGTFNTPFFVTRFREALFHFSSLYDMFEATLPREDEDRKLFEEEVFARDAMNVIACEGTERVERPETYKQWQLRCVRAGFKQVPLDQEIVKIVRNKVRSEYHRDFSVDEDGHWMLQGWKGRVIYALSCWKPTKQSVKLV >Solyc06g068855.1.1 pep chromosome:SL3.0:6:42793506:42796398:1 gene:Solyc06g068855.1 transcript:Solyc06g068855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGIQIAYFFCQRQSEKSIIYLNSTAFIRIIGREMIRTLNRGIINVDPLNTNPAFAKEHQDPYITRMPHFHAPPIMEPHILVSQVQDEPKHEPCLSTAFVDEQNIGDAVEIDSCENTQDEADRQDDMSGFIFMCGRNKKHDCYSFHVFGLPLNKQERIEKIKPGA >Solyc05g011825.1.1 pep chromosome:SL3.0:5:5058646:5060626:-1 gene:Solyc05g011825.1 transcript:Solyc05g011825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINKFIPTLLLICFTFFMLFPFSHAAPTLLDHEGKMTLPSSPRKLKFNEMPNYIVKSNGNQMNLVPLNTAQLTGKEHEAAEMVNARKGTRQEWVEGKDTSEFFTMDYHWVRRRRPIHNKSVRP >Solyc06g011370.3.1 pep chromosome:SL3.0:6:6754150:6800042:1 gene:Solyc06g011370.3 transcript:Solyc06g011370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRSALAALKASRSRVLSQSRPAVSRLSENRILGGSTAPLCNGFVIAERSAANSNVWRNYDLFAKIFLRSYSTASSEQINNTDYTEMALDAIVGAVEAARTNKQQVVETEHLMKALLEQKDGLARRIFTKAGLDNTSVLQETNNFISQQPKVVGDTSGPIMGSHLSSLLETTKKHKKAMEDSYMSVEHMLLAFFSDKRFGQKLFRDLKLTEEALKDVVNAIRGSQRVTDPNPEGKYEALDRYGNDLTELARRGKLDPVIGRDDEIRRCIHILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLMNRKLISLDMGALLAGAKYRGDFEERLKAVLKEVSASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALERRFQQVYCGQPSVEDTISILRGLRERYELHHGVKISDSALVSAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRTVMKLEMEKLSLKNDTDKASKERLNKLESDLNSFKQNQKELNEQWEREKALMTRIRSIKEEIDRVNLEMEAAERDYDLNRAAELKYGTLITLQRQLEEAERNLADYQKSGSSMLREEVTDLDIIEIVSKWTGIPLSNLQQSERDKLVFLENELHKRVIGQDMAVKSVADSIRRSRAGLSDPNRPIASFMFMGPTGVGKTELGKALAAYLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVILFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNTVVIMTSNIGSHYILETLRNTQDSQEAVYDLMKKQVIELARQTFRPEFMNRVDEYIVFQPLDLKQVSRIVELQMRRVKDRLKQKKIDLHYTQEAISLLANMGFDPNYGARPVKRVIQQMVENKVAMGVLRGDYVEEDMIIVDADASPQAKDLPPQKRLNIRKIENGSNMDAMVAND >Solyc05g050870.3.1 pep chromosome:SL3.0:5:61929291:61942135:-1 gene:Solyc05g050870.3 transcript:Solyc05g050870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCDASVLLDDTSSFTGEKTANPNSGSLRGFDVIDTIKTQIESSCAGVVSCADILAVAARDSVVKLGGPSWTVLLGRRDSTTASLSNANSDIPAPTLNLSSLISSFSNKGFSSREMVALSGSHTIGQARCTTFRDRLYNETDINASFATSVKSNCPQSGSDNDISPLDATSPTTFDNIYYKNLRIQKGLLHSDQQLSSGGSTDSIVNTYSSNSATFFTDFANAMVKMGNLSPLTGTNGQIRKNCRKTN >Solyc07g008900.3.1 pep chromosome:SL3.0:7:3887005:3899150:1 gene:Solyc07g008900.3 transcript:Solyc07g008900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIWCSVVIVLLFGILNVGKAEIYIVTVEGEPVISYKGGIDGFEATAAESDEKIDTTSESVTSYAQHLEKKHDMLLALLFDRGTYKKIYSYRHLINGFAAHISHEQAEILRRAPGVKSVERDWKVKRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIVIGFIDSGIYPHHPSFASHNTEPYGPLPKYRGKCEIDPNTKKDYCNGKIIGAQHFAKAAKAAGSFNPAIDFDSPLDGDGHGRLTSIVLFASHTAAIAAGNNGIPVRMHGFEFGRASGMAPRARIAVYKALYRLFGGFVADVVAAIEQAVHDGVDILNLSVGPNSPPATTKTTFLNPFDATLLSAVKAGVFIAQAAGNGGPFPKTLVSYSPWIASVAAAVDDRRYKNHLTLGNGKVLAGLGLSPSTHPNRTFTMVAANDVLLDSSVTKYSPADCQRPEVLNKNLVKGNILLCGYSFNFVVGTASIKKVAETAKALGAAGFVLAVENASPGTKFDPVPVRIPGILITDVSMSMASINTLSELVNYYNITTSRDWTGRVESFKSTGSIGNGLRPILHKSAPQVAVFSARGPNIKDYSFQDADLLKPDILAPGSLIWAAWAPNGTDEANYCGEGFALISGTSMAAPHIAGIAALVKQHHPHWSPAAIKSALMTTSSIIDRADRPLQAQQYSGSETLMLVPATPFDYGSGHVNPRAALDPGLIFDAGYQDYLGFLCTVPGIDAQEIKKFTHSPCNYTLGHPSNFNSPSIAVSHLVGTRIITRTVTNVAEEETYVVTARMAPEIAIETNPPAMTLRHGASRKFTVTLTVRSVKGAYSFGEVLLKGSRGHKVRIPVAAMGYDR >Solyc09g061630.2.1 pep chromosome:SL3.0:9:60365342:60366836:1 gene:Solyc09g061630.2 transcript:Solyc09g061630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPCSFLLSFQLRSLESGVFMSEIATLRSRTLALLKFSTTLRLVYYVSYTTNTCTISRFPYMFSLRL >Solyc12g005730.2.1 pep chromosome:SL3.0:12:387538:390146:-1 gene:Solyc12g005730.2 transcript:Solyc12g005730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFFQVNSKTSSCHGIKNLILISCFACTIYFLYPFILVPNSNFLDYSLHDQPFGLSSPITLQNVVFGIASSSKSWSKRKEYVKLWWKPNEMRGCVFLEKDLPNSTTTTNGSISLPPICISNDISRFPYSNKGGSPSAIRVARVVSETVALYLNHSDDDVKWFVFGDDDTIFFQENLVKTLSKYDNGLWYYIGSNSESFIQNKFFSFDMAFGGAGFAISYPLAKILARVFDSCIERYAHLYGSDGRIHACLTELGVTLTHEPGFHQMDFLGNAFGLLAAHPIRPLVSLHHMEKIDPIFPNMTRMKSLEHLYHAANFDPQRILQQTVCYDRRFSWTVSVSWGYVVQVFENNVQLPDALRVQESYLPWKKNAYSTLYEFNTRKFEVDICKRQLVYFLDEVSLGVDGIKTIYKKRAYDNCTFTKNSPRKLDEIRVFSHKLELDKKKLVAPRRHCCDILTSTSDQVMEIGIRECKEDELIYMHN >Solyc03g114070.3.1 pep chromosome:SL3.0:3:65594598:65602594:-1 gene:Solyc03g114070.3 transcript:Solyc03g114070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENILKKWIPELQHYAPGIPVVLAGTKLDLREDKHFLADHPGLVPVTTAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPPQKQKEKKKQRRGCLMNVMCGRKLVCLK >Solyc01g098160.3.1 pep chromosome:SL3.0:1:88605729:88616034:-1 gene:Solyc01g098160.3 transcript:Solyc01g098160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLGREASSGLVHNGREEKREGAHYEKKSQSISAESTETFEVKGAENVTVDTEVVEADEGDETKKDDKTEHDGKSRKEKRRSKPDPRLSNPPKHKHGEQVAAGWPSWLSAHVGEAIDGWLPRRADTFEKIDKIGQGTYSNVYKARDTVTGKIVALKKVRFDNLEPESVRFMAREIIILRQLDHPNVIKLEGLVTSRMSCSLYLVFEYMDHDLAGLAASPEIKFTEAQVKCYMHQLLSGLEHCHNRHVLHRDIKGSNLLLDNSGILRIADFGLATIFDPSHKHQMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSEEYWKKSKLPNATLFKPREPYRRCIKETFKDFPQSSLPLIDTLLAIDPAERKTATDALRSEFFNTEPYACDPSSLPKYPPTKEMDAKRRDDEARRLRAANKATGDGAKRVRHRERTRAGPAPDANAENQANIDRRRLITHANAKSKSEKFPPPHQDGGLGVPLGASHHIDPSLVPPDVPFSSTSFTYSKEPVQNWSGPLVEPASAGGRRKKHTAGDAKKHLSKRR >Solyc09g005430.2.1 pep chromosome:SL3.0:9:317662:318696:1 gene:Solyc09g005430.2 transcript:Solyc09g005430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGAHQKDRVEKARGKASADVGGSSADPVQGVASNAPAQAPTPVEGAASKPPAQAPTPVQGAASKVPAQAPTPVQVAASNAPAQAPTPVQVAASNAPAQALAAASKAPAPVQEVASKAPAPVQEVASKAPAQAPTPVEGAASKAPAPVQGATSNAPAQAPTPVQGATSKPPAQAPAPVQGAASNAPAQAPTPVQVAASNAPAQAPALAASKAPAQAPAPVQGAASKDPPPGKDNLLKTKISGCSSSAPDSDPVQGAKTGPFEKRFYCSC >Solyc11g010280.1.1.1 pep chromosome:SL3.0:11:3357402:3357593:1 gene:Solyc11g010280.1 transcript:Solyc11g010280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDTFTTKTNQNGKVLSERFDFVQPLFFSTNQTSIFLLFRYFLHLTTTHQELQGKNQENLIE >Solyc11g068820.2.1 pep chromosome:SL3.0:11:53724493:53727494:-1 gene:Solyc11g068820.2 transcript:Solyc11g068820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSLVSSFKGLSLSSNSLLGVSLPIAPKFSVSFPLKSPLTIESAHKKGAGSTKNGRDSPGQRLGVKIYGDQVAKPGSIIVRQRGTKFHPGKNVGLGKDHTIFSLIDGLVKFEKFGPDRKKISVYPREVQPENPNSYRNRKRESFRLQRERRKARREGVIPEPQLIVASAAESSEDNPITTC >Solyc03g045140.3.1 pep chromosome:SL3.0:3:11633346:11652595:-1 gene:Solyc03g045140.3 transcript:Solyc03g045140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGVVGAGINGLISAYALAKCGVKVVIYEKEHFIGGHAKTVAVDGVELDLGFIIFNRVTYPYMMEFFECLGVDMETYHMSLSVSLDHGHGCEWGTRKGFSSLFAQKKNLLNPYFLQMIREIIRFKQDVISYLEEVDNNPDIDCNETLGQFIKSHGYSELFQKAYLIPICASIWSCPLAGVLSFSSYYILLFFRDHHLLQIFDLPQLLTVRWRSQTYVNKVKEELEKRGCQIRTGCEVNSVSTNEEGCTIACTDGATEVYDGCIITTHAPDTLKMLGEEATYDETRILGAFHYIYSDIFLHRDKTFLPHNPEAWSACNFLGTMNDRSCVTYSLNIMQNLGDSNQPYLVTLDPPQEPDHTLLKWTTGHLVPSVAASKASNELHQIQGKRGIWFCGTYQGYGFHEDGLKAGMVAADGMLRRNCRILDNPKHMVPTWTETGARLVVTRFLKSFIETGCIILLEEGGTIFTFQGTDRKCCLKVLLRIHSTKFYWKVATQADLGLADAFIHGDFSFVDKNEGLLNLLMIFVANRDMKASVKRSSKKRGWWMPLIFTAALSSAKYFIQHVSNHNTLTQARRNISRHYDLSNELFSLFLDETMTYSCAIFKSEDEDLKDAQLRKIRRLISKAKISAEHHILEIGFGWGSLAMEVVKQTGCKYTGITLSEQQLEYAQLRVEQAGLQDQITFLLCDYRQIPNKDKYDRIISCGMLEHVGHDFIGEFFTCCESALAEYGLLVLQFISIPDERYEEYRQSSDFMKEYIFPGGCVPALSRVTSAMAAASRLCVEHLEEIGIHYYQTLRCWRENFLKNKSQIRSLGFDDKFIRTWEYYFDYCAAGFKTCTIGDYQIVFSRPGNVAVFGDPYNYSPSTYYRV >Solyc12g014170.2.1 pep chromosome:SL3.0:12:5020274:5024670:-1 gene:Solyc12g014170.2 transcript:Solyc12g014170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYADDLKSRERLRWGSPIKVYTRKRRRIQKKDDSIPISAAPDVSSNDANGYVVQAGESESAEKSERLQDRQEVEEPQLKACDLELEDGRDRNQDQDLVELINGGQGQEDTLGSQGDVDGRDVGKFGGSPIVEANTSGDVRGKQAARETDADGLTRDHAELDSTVKPVISRFRDRIRINIGGMTSRAEIKGIRRDLAGELDQVRSLVTELEAKQIQLTAHDTNTNGGSNNVGRIPSVPSGAVNSYSQPRYIDNGVMNSRALVRANSEMDLVGHPRSRPFQRPRVAVVENNNVAAEFVEKEKRTPKANQYYTNSEFLLAKDRLPPESNKKFKPNGAGRKHNGELEQGYPFGVGFGFDKHRNQVFKRCTTLLQRLMKHKHGWVFNEPVNVERLGLHDYHAIIKHPMDLGTIKARLSQNWYKSPREFAEDVRLVFHNAMTYNPKGHDVHLMSEQLLKIFEDRWAVIEAEFNPDWRYQMYHDAGLPTPASRKVPQPSPFARASVTSHPPASQARALERSELMTEPADFRFKPSRVAHVGRVPVPKKPKANDPNKRDMTYEEKQRLSTHLQSLPLEKLDAVVQIIKKRNSTFYQNGDEIEVDIDSVDVESLWELERFVTNYKKNLSKQKRKTELAQQARRTARTAPVMNSAPMVAGAPNSNTEAGRQMDNASRSSSSSSSSSDSGSSSSDSDSDSSSGSGSEGGH >Solyc04g010260.3.1 pep chromosome:SL3.0:4:3582314:3588796:-1 gene:Solyc04g010260.3 transcript:Solyc04g010260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYSLTLQQPTGIICAINGSFSGGKVQEIVVARGKVLDLLRPDDNGKLQTLLSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILDYNKEKNCFDKVHQETFGKSGCRRIVPGQYLAIDPKGRAVMIGACEKQKLVYVLNRDTAARLTISSPLEAHKSHTITFSICGVDCGFDNPIFAAIELDYSEADQDPTGQAANEAQKHLTFYELDLGLNHVSRKWSEQVDNGANLLVTVPGGGDGPSGVLVCAENFVIYKNQGHPDVRAVIPRRVDLPAERGVLIVSAAMHKQKTMFFFLLQTEYGDIFKVTLDHDNDRVNELKIKYFDTIPVTSSLCVLKSGFLFASSEFGNHALYQFQAIGDDPDVEASSSTLMETEEGFQPVFFQPRKLKNLVRIDQIESLMPIMDMKIVNLFEEETPQIFSLCGRGPRSSLRILRPGLAVSEMAVSQLPGVPSAVWTVKKNVNDEFDAYIVVSFANATLVLSIGETVEEVSDSGFLDTTPSLSVSLIGDDSLMQVHPSGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRILSLDPDDCMQVLSLQSVSSPPESLLFLEVQASVGGEDGADHPASLFLNAGLQNGVLFRTVVDMNGGQLSDARSRFLGLRAPKLFSIVVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYESLEFAASFSSDQCAEGVVAVAGDALRVFTIERLGETFNETAIPLRYTPRRFVLQPKKKMVIMIESDQGAYTAEEREAAKKECFEAAGNSENGNAEQMENGEDEDDSDPLSDEQYGYPKSESGRWVSCIRVLDPRTMQTTCLLELQDNEAAFSICTVNFHDKEHGALLAVGTAKGLQFWPKKSFEAAYIHIYKFKEDGKVLELLHKTQVDGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNSITAIHTYRDRIYVGDMQESFHYCKYRRDENQLYIFADDTVPRWLTAAQHVDFDTVAGADKFGNIYFARLSQDVSDEIEEDPTGGKIKWEQGKLNGAPNKLEEIVQFHVGDVVSCLQKASLIPGGGECIIYGTVMGSVGAMLPFTSRDDVDFFSHLEMHLRQEFPPLCGRDHMAYRSAYFPVKAGCNRWRLM >Solyc01g107930.3.1 pep chromosome:SL3.0:1:95204880:95210502:1 gene:Solyc01g107930.3 transcript:Solyc01g107930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRNRSILDSYPETVNLNQGSTSSNASGDPTSSWDSLRNSMEGRLSDSMLSSARGSLRRADGISYNTQNCSARDQGESSSSANLHGIGHAWPSSSSDHVLTNSNSEERRFGPSNALHPDSSTSIYGGNHLIGSPTILPNLASAHSPANANLSGIYNNGDTRLVMRPSVSSTVYTSSSRREAERPASGVSYNAGTSSGSSRYWSGFTDIAGSPTGWGLSGKRKVLESSSGQSCGRCSSSNAQPENIRPHNFPSQHGASSSLNISPASASVQNIYHLENLYSRNRIGTRVAASDIIPPLSASGVAETSARNSGSGQNLEIHDTVTFGLLSTRTNLGHSSVGSTLVTPQPISVSNYLGSRQPISQSMNAGNSRSHSDLMYVSGVPGGLHSVPRNVSPNSRGGSSSSLNVVSPDSEDEANFWSSIRNNRVPLPVVPAPETGNMVQDSTNWSLATSNPSYPRNMPSSSALSRGPSMQTSTTARTPYQNLATRSTRSSSEISPRTLLPPVESDFGSQRGNFSLLSSAASPVEEAEISLRSSSQGSSSRRNHRNHLISSLMTNFPSNEVGGSRGLASDIEGRHRLIRHVLHSMRRGENRQSEDYMMLDSFLNGAAEIHDTHRGMRLDVDNMSYEELLALEERIGNVNTGLSEGTILKRMRRRKHDSISGGSSSNMEPCCICREEYTSGDYMGILDCGHEFHSSCIKQWLMLKNVCPICKNTALKK >Solyc02g086408.1.1 pep chromosome:SL3.0:2:49710743:49715662:-1 gene:Solyc02g086408.1 transcript:Solyc02g086408.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNNATLCRKYTRAGRAYILEPFTTLKKVSCILYVKLTDVDLLEDIQRGFAFDTPANSRIFPVLFACNEDELLCSCASPSPCCCCPMVGIHPSSLNKLNASFQDKEISFSISVYCPVKEKRKTNLAMEVGNAALALLIFVLFKRVETQELSRILFYIPFVSKGINLPPLGSDVPCILGVSLSDMDLLELLQQFIPPPSPDHPFHDILPLPLFLLLVRSLLCFIHNEDLEVFILL >Solyc07g065470.3.1 pep chromosome:SL3.0:7:67338696:67344462:1 gene:Solyc07g065470.3 transcript:Solyc07g065470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVRTAGAIATLISVAIVSYFLCKTTQQKSSGKKKLQGRRTGLVAAIGNTPLIRINTLSDATGCEILGKAEFLNPGGSVKDRVAVKIIEEALESGALAEGGVVTEGSAGSTAISLATVAPAYGCRCHVVIPDDAALEKAQILEALGATVERVRPVSITHRDHFVNIARRRALEASEITSTTRKESQIDANDSSQINGHSISEEKQNMQFSSECKGGFFADQFENLANFRSHYEGTGPEIWEQTGGNLDAFIAAAGTGGTVAGVSQYLKDKNSNIKCFLIDPPGSGLYNKVTRGVMYTREEAEGRRLKNPFDTITEGIGINRLTENFKMAKLDGAFRGTDMEAVEMSRYLLKNDGLFIGSSSAMNCVGAVRVAKALGPGHTIVTILCDSGMRHLSKFHNDKYLSEHGLTPSATGLEFLRLS >Solyc01g080010.2.1.1 pep chromosome:SL3.0:1:78993764:78995300:-1 gene:Solyc01g080010.2 transcript:Solyc01g080010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNCLHAILLCSLLFITSTIAQNQTSFRPKGLIIPVTKDASTLQYLTQIQQRTPLVPISLTLDLGGQFLWVDCDQGYVSSSYKPARCGSAQCSLGGASGCGECFSPPRPGCNNNTCGLLPDNTVTGTATSGELASDVVSVESSNGKNPGRSVSDKNFLFVCGATFLLQGLASGVKGMAGLGRTKISLPSQFSAEFSFPRKFALCLTSSSNSKGVVLFGDGPYFFLPNRQFSNNDFQYTPLFINPVSTASAFSSGQPSSEYFIGVKSIKINQKVVPINTTLLSIDNQGVGGTKISTVNPYTILETSLYNAITNFFVKELANVTRVAVVAPFRVCFDSRDIGSTRVGPAVPSIDLVLQNANVVWTIFGANSMVQVSENVLCLGVLDGGVNARTSIVIGGHTIEDNLLQFDHAASRLGFTSSILFRQTTCANFNFTSIA >Solyc08g078730.3.1 pep chromosome:SL3.0:8:62597901:62599949:-1 gene:Solyc08g078730.3 transcript:Solyc08g078730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKCQKQTLFSVANLKLPSVVVVNADLGCTHCRSRISQIMSRITGMREYTIDVGKKQVIVRGDVRNHHQKKNGAVKNHKINEHHSRIFAFFFSLLNFHWSTSKKMTD >Solyc03g095890.2.1.1 pep chromosome:SL3.0:3:59004022:59004363:1 gene:Solyc03g095890.2 transcript:Solyc03g095890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLLRLEERKVSKLPPLAGFFGKLYLFWCGWQAGLYFLVLIGLLTSVVSIYCYLKIIKLIMTGRNQEITPHVRNYRRSPLRPNNSIELSIIVCVIASTIPGISMKPIIAITCSG >Solyc03g059490.1.1.1 pep chromosome:SL3.0:3:32294843:32298220:1 gene:Solyc03g059490.1 transcript:Solyc03g059490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat receptor protein kinase 2 [Source:UniProtKB/TrEMBL;Acc:F8WS89] MGRCCFVIKWYYHDIPLKVFLILCVFFLVHGYALSSDSDKSALLELKASFSDSSGVISSWSSRNNDHCSWFGVSCDSDSRVVALNITGGNLGSLSCAKIAQFPLYGFGITRVCANNSVKLVGKVPLAISKLTELRVLSLPFNELRGDIPLGIWDMDKLEVLDLQGNLITGSLPLEFKGLRKLRVLNLGFNQIVGAIPNSLSNCLALQIFNLAGNRVNGTIPAFIGGFEDLRGIYLSFNELSGSIPGEIGRSCEKLQSLEMAGNILGGVIPKSLGNCTRLQSLVLYSNLLEEAIPAEFGQLTELEILDLSRNSLSGRLPSELGNCSKLSILVLSSLWDPLPNVSDSAHTTDEFNFFEGTIPSEITRLPSLRMIWAPRSTLSGKFPGSWGACDNLEIVNLAQNYYTGVISEELGSCQKLHFLDLSSNRLTGQLVEKLPVPCMFVFDVSGNYLSGSIPRFSNYSCAHVVSSGGDPFGPYDTSSAYLAHFTSRSVLDTTLFAGDGNHAVFHNFGVNNFTGNLPPSMLIAPEMLGKQIVYAFLAGSNRFTGPFAGNLFEKCHELNGMIVNVSNNALSGQIPEDIGAICGSLRLLDGSKNQIVGTVPPSLGSLVSLVALNLSWNHLRGQIPSRLGQIKDLSYLSLAGNNLVGPIPSSFGQLHSLETLELSSNSLSGEIPNNLVNLRNLTSLLLNNNNLSGKIPSGLANVTTLAAFNVSFNNLSGPLPLNKDLMKCNSVQGNPFLQSCHVFSLSTPSTDQQGRIGDSQDSAASPSGSTQKGGSSGFNSIEIASITSAAAIVSVLLALIVLFFYTRKWNPRSRVAGSTRKEVTVFTEVPVPLTFENVVRATGSFNASNCIGSGGFGATYKAEIAPGFLVAVKRLAVGRFQGIQQFDAEIRTLGRLRHPNLVTLIGYHNSETEMFLIYNYLPGGNLEKFIQERSTRAVDWRVLHKIALDVARALAYLHDQCVPRVLHRDVKPSNILLDEEYNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELISDKKALDPSFSSYGNGFNIVAWACMLLRQGRAKEFFTAGLWDSGPHDDLVEVLHLAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Solyc01g109580.3.1 pep chromosome:SL3.0:1:96399180:96403240:-1 gene:Solyc01g109580.3 transcript:Solyc01g109580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:K4B3H1] MPMEEKLVQRLESAVMRLEALSAGGSSVGTGDGTAVLDPSIIAFDDLRSQFLGKVLRAAEKIGGHVLDITKIVEAAFAAQRELLIKIKETKKPDNSGLTEFLKPLNDVIVKATKMTEGRRSDYFNQLKSAADSLSALAWIAYTGKDCGMSMQIAYVEDSWQMAEFYSNKILVEFKNKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWSATGKTAVSAPSKAPSPSAPAPPAPPPSSLFSSESPQASSSRPTKGMSAVFDEINSGKPVTSGLRKVTDDMKTKNRADRTGVVNAGEKEVRVSSASVSKTGPPKFELQMGRKWVVENQVGEKNLVIDDCDTKQSVYVYGCKASVLQIKGKVNNITIDKCTKMGVVFAGVVAACEVVNCNGVEVQCQGSAPTISIDNTNGCQLYLNKNSLEGSITTAKSSEINVLVPGDGPDDDWGEHALPQQYAHEYKDGRFVTTPVSHSGA >Solyc11g022450.1.1.1 pep chromosome:SL3.0:11:13949156:13949311:1 gene:Solyc11g022450.1 transcript:Solyc11g022450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALSPCVAKSPTTVCTLPATLLSRSYLILTASVCREVGNVSNSSSSSSG >Solyc12g055830.2.1 pep chromosome:SL3.0:12:62777496:62781668:-1 gene:Solyc12g055830.2 transcript:Solyc12g055830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEDGGDDLSPQRRAPRLNERILSSISRRSVAAHPWHDLEIGPEAPSVFNVVIEISKGSKVKYELDKKTGLIKVDRILYSSVVYPQNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDIKQLPPHRLAEIRRFFEDYKKNENKDVAVDDFLPPSTAVDAIQYSMDLYAEYILHSLRK >Solyc01g108170.3.1 pep chromosome:SL3.0:1:95438165:95439252:-1 gene:Solyc01g108170.3 transcript:Solyc01g108170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNQQGLCTTMGPRISFSNDFADNQQTLRHEQYGYKEAPVSSDFEFSVSGYKMIPADEVFFKGKLLPLRENSNKATTLKDELLSNDDDDYDDIFPSVGKGSWKERFGFKRAPSLPKKVDNKETKMPDFFNDIITGAN >Solyc01g067750.3.1 pep chromosome:SL3.0:1:76502106:76516566:1 gene:Solyc01g067750.3 transcript:Solyc01g067750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydroquinate dehydratase/shikimate:NADP oxidoreductase [Source:UniProtKB/TrEMBL;Acc:O65917] MELVVDSGVKKMEGEAMRKNQTLICAPIMADSVDQMLILMQKAKISGADLVEVRVDSLKSFNPRPDIDTLIKQCPLPTLFTYSYVLGVGQGILLIRYYKGIGPTWEGGQYAGDEKSRLDALRLAMELGADYIDVELKAIGEFNNALHGNKSAKCKLIVSSHNYESTPSAEDLGNLVARIQASGADIVKFATTAQDITDVARVFQITVHSQVPIIAMVMGEKGLMSRILCPKFGGYLTFGTLEVGKVSAPGQPTVEDLLNLYNFRQLGPDTKIFGIIGKPVSHSKSPLLYNESFRSVGFNGVFMHLLVDDIANFFRTYSSLDFAGFSCTIPHKEAALDCCAEIDPTAKAIGAVNCIIRRPDGKLFGCNTDYIGAISAIEEGLQGSQPSISGSPLAGKLFVVIGAGGAGKAIAYGAKEKGARVVIANRTYERARELAIVVGAEALSLDELSNFHPENDMILANTTSIGMQPKVDDTPISKEALKHYSLVFDAVYTPKITRLLREAQESGAKIVTGVEMFIGQAYEQYERFTGLPAPKELFKNIMSTY >Solyc12g008420.2.1 pep chromosome:SL3.0:12:1846626:1858882:1 gene:Solyc12g008420.2 transcript:Solyc12g008420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFYSHNDEEVELPSSVQQMINQICKKERQNSPDDTVRRLLVAIGEERSLYILNEISKCQIKKTLSGFIVFMAKKYYQSETQQFYQKQNHEQPVLSAESFYHSPQIRRNSLSSPNSSCSSVNFSPRNRPIFQDRDIVGDTSDSPTSGPRIPSPPLSPVTTSFQKCHYDPSPSKFRDRASTRGISEQLLALNKLEFRKFFLILNYIGRRKVEDVITLHDVGDILDMIYQPMSHFESYIWNKYGHLCEHNKRVQYLDWDSGRTHLYHCHVHSDRSYTFKGPYLKAERTHLQHSLGDENVLIVKFEQNTPGCPEEIVQNGILVGLRRYRFFVYKDDGKKRRLKDKEEKIDSVKCYFVRMESLNPYENETYILHDKMVHEARCNFMHVHMVSSMAKYMARFSLILSTTVKLQVDLNSVNIDRIEDIYCHDKSGRIIYDEDGKPLIHTDGTGYISEDLARKCPQDFFNVKHKCANLVRYANGVKLGENSSELGEAEFQSGEPPLLMQCRLFYNGLAVKGTLLVNRKLPQRTIQIRPSMIKVEADPRLSRAHMFNSLEINTPSLKPRNTYLSRTLIALLTYGGVPVEYFYDILNNTLEETQRLYSDEVTALKVAVNHRDRDDASTATSMIMAGVPLTEPYLWCCLSSLAKEERNGLKGGRLPIADTFYLMGTADPTDTLNRHEVCVILEHGQIFGEVLIYRNPGLHFGDIHRLLAVPVKNLGDIVGNAKYGIFFSTKGPRSAATEIANGDFDGDKYWISQNPQLLKYFTASRPWSRIHSTPKALHREPNNFSAEEREHELFQTFLETRMPNYSMADASANWYALMDRLLILGKNNTIENEETKSVKEKLFELIDLYYDAIDAPKSGNKVYIPKRLKVDKFPHFLQKKESYHSTSVLGEIYDRVEKFKAEEPVAVEIKKLPAFEVGIPETCLRLWEERYRKYRFEMKEALNTSSESKNDLADQVIKKYKQLLYEAPDMEESIRSTTDIYNDALAIYRVTYDYAKAIGDVRKCGFAWKVAGTALCRLHAELHAKEHNQKVMAMSPSILHNLLNLRIHQRSV >Solyc12g005850.2.1 pep chromosome:SL3.0:12:481458:489045:-1 gene:Solyc12g005850.2 transcript:Solyc12g005850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4DBC3] MASPENDIYRPFLQNNVTSLSPQLSETHNFESSNELETVLLDTEITLWSKLRLATWIEMKLLFFLAAPAVMVYMINYIMSMSTQIFSGHLGNLELAAASLGNTGIQVFAYGLMLGMGSAVETLCGQAFGAKKYDMLGVYLQRSTVLLTLTGILLTIVYIFCKPILIFVGQTERIAAAAALFVYGLIPQIFAYAINFPIQKFLQAQSIVAPSAYISAATLVLHLGLSWVVIFKIGLGLLGASLVLSLSWWIIVIGQFVYIVKSEKCKQTWKGFSWMAFTGLPEFFKLSAASAVMLCLETWYFQIVVLLAGLLENPEVALDALSICMSISGWVFMISVGFNAAASVRVSNELGARHPKSAAFSVVVVTSWSFILSVIAAVIVLALRNLISYAFTEGEVVAEAVSDLCPLLALSLILNGIQPVLSGVAVGCGWQTFVAYVNIGCYYIVGVPLGALLGFYFKLGAKGIWSGMLGGTVMQTIILIWITARTDWNKEVEAAQSRLKKWDDKKESILEE >Solyc03g115450.1.1.1 pep chromosome:SL3.0:3:66640017:66641354:1 gene:Solyc03g115450.1 transcript:Solyc03g115450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEDHEVLMKHVCKFCNKSFHCGRSLGGHMRSHMVNTTDGETSRKKLPAYIVSNSTTNNSNRDVNLDMGNQDISYVLRNNPKKTSKFSQDLVDTLLPKVCKECGKSFQSWKALFGHMKCHSSSSSVEEDSWNSVSESDTEAAAAAAAAAAAATNKKKRSSRKRYNMAPAATSSSLTVAANGSSPCVSEIEHEQEEIAMSLIMLSRDVSNWVGNNHVTDQCSDNNSQFLTKSELIKKVKNGKKTEQGESSKSVTNGQKRNRTEVLDDEKKKKMKMENENRVVISDPKIKFECTTCNKSFHSYQALGGHRASHKNSKGDQTCAATHIDLAKQIKTGDNETEFGQNCGSKKQLKMHECPICYKIFPSGQALGGHKRSHLIAEAKKNNHNQTVEVEKPTPEIKNFLDLNLPAPVEEEDSTHEQQHIEFQQWWIDSTHKHEQLLGLLSN >Solyc07g047830.3.1 pep chromosome:SL3.0:7:59194374:59202814:1 gene:Solyc07g047830.3 transcript:Solyc07g047830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKLDDEEAVQLCKDRKKFIKQAVEQRMRFASGHLAYIHAMERVSAALRDYVEVDEPREFSLDSFKTPPFTPVKKVSPGFISIEPKSFSITHLKPEPKPKSTIKINYLRSGGNPAVSVEERPRSPETVRIQAYSPVHQYGMDSFFSMQSSPMNPSIFQYSPNNRPNLPPPSPQTSQWDFFWNPFSSLDYYGYPMRNNSLEQTILDDDTDGLRQVREQEGIPELEEETEVEETDHGEDVTEDRTKGVHSCDKDEVMVEDVDDDDDDADSDEEETDDEHENVPHIQELLSKPIQTTAVAKTQNIGQLSNKETAVADPEAKEETPGFTVYVNKRPTSMSEVIKDLESQFVIACNSAKEVSTVLEAIRAQYSLQSSDQSAMKMLNPVALFRSGSSRSSSSRFLINPSTLRDEGYHSSSDLSDESSVLSSSHQSTLDRLYAWEKKLYEEVRAGERIRLAYEKKVAQLRNLDVNGADPSSVDKTRAAIRNLDTQIKVSIHSVESISRRIETLRDEELQPQLLELVRGLGRMWKVMTECHQMQKHTLDEAKLLLAGTPSKKSGIRKYTVMSPSEPHRLARSAANLETELRNWRACFESWIVSQRSYLHALAGWLLRCARSDSDSSKFPFSPRRSAGAPPIFSICIQWSRLLDSIRETPVLEGLDFFAAGVGSLYAQQLKEDSRRTPGGSKSLGGESYGNNMDIVEVGQLDEDIMTAEKMAEVAIRVLCAGMSVALSSLTEFAIASADGYTGLVKNCENIKQPQDAV >Solyc12g089190.1.1 pep chromosome:SL3.0:12:65286748:65288187:-1 gene:Solyc12g089190.1 transcript:Solyc12g089190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWTKNQNKKFEEALALFDKDTPDRWHNIARCVGGKSAEEVKRHYELLVKDVMQIENDQVPLPNYRGASSNGRSYSNEQRLMQNLRL >Solyc06g082380.3.1 pep chromosome:SL3.0:6:48257284:48262503:-1 gene:Solyc06g082380.3 transcript:Solyc06g082380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTATPEEWPPDGQFPFDLFVSKKYKSFWDAGNIQFTDAVGNLFFSVDRRQPQNSAAQTHPQKLILDASDNTLIRLVRLTKGSWQGFMVSDNEEKALMFSVNKTLNTFTKLEFDIFLGDGHVEGAEADLKMKGSAFKRSCTIYKGNSIVAETSLMYTLGFRKHFIPRNRFRVTIFPGLTELSLVVALVVVFFDKQKFWL >Solyc08g061990.1.1 pep chromosome:SL3.0:8:50361724:50362995:1 gene:Solyc08g061990.1 transcript:Solyc08g061990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLKSWAKSKSVLGIRYDLFRILTGEDGEGYNKELSEILLKFPGLLVCKEGHTARNENNLVWKELKKVETEKRVLISQTPFQNNTKELYNTLSVVSPKIVADLEQKSASLSSLIDKNARALEDLRDIHSPFVHKYSENVKMVSLQGIRDTIIDLKPTELLNRVPENSTPFYEQNLMSLISVHPSFVANKKEFSELESQIKERRCRLYPNIGVKKKFVIQLIRIWGGWKERVIVFSQLLDPLNLIKKQLNSLFSWSLARISLIGASRVVLLDVLWNPSLEQQVISRVYRSGQTKFVHFYCHRNGRLTRSNNKQERYIIPMYFRPGMKKTLHVLCQRITYLNAWLSMRASVIFLKSNLMLFMWCLRHALILVANLQKGVVKQSDLF >Solyc11g044595.1.1 pep chromosome:SL3.0:11:32659511:32660384:-1 gene:Solyc11g044595.1 transcript:Solyc11g044595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNCLNYSNTTLAYESSSSIFVDVVGCLYGIGDIESVGSKWKKRDIHILTDYLAKAKIILWDEFGENLPREEEMFLNRMDIKELLEAEWSCELQEYIVTVKSKIIEIHNYFGWYYISCNVCSKKI >Solyc05g014100.2.1 pep chromosome:SL3.0:5:7796378:7798914:1 gene:Solyc05g014100.2 transcript:Solyc05g014100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYICRFRPSNIDEPIEWEDVSSLAPSSYARKKNVFMKGAIHWVAYKKEDETHQRNSVLFYNVKTEDFSEMNFPSSIEVTVASNYLLGGEEFKVDISTTDQSIQLVVGSFLHDTGFDIWIMNKYGNPISWSKRSHISPFTIPRNTDYRLNECLPEQTWMDRLSNRQIDFDDKLDEPLGIRNGEAVWWKTKKGFLALHDAATRNIRYAPNIRHTQVEGLQLFPDPMFVAKYKESLVLLPEVHFQISTNPLSRPLPPLLDGLPTGTWCSQCATSVCKQLYAIIKYSSPCSIWSVIPTGTAAVQKEISVLNQHNEKLVGVLHDTRSREIVVLCHGFKSSKDRGFFVVVVVVRFLDVNI >Solyc02g014790.1.1.1 pep chromosome:SL3.0:2:19010294:19010734:-1 gene:Solyc02g014790.1 transcript:Solyc02g014790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFWLKNLFLVALEQLGDSLDEIQGSASGGSMLGPAYGVKLIRSKKKDCNINLIEIINLIPNPINQFTFSRNTRHLSHTSRDIYSLIRKIKNVNGDWIDEKIESWVANSDSIDDEEREFFVQFSTLTIENRIDKILLSLTHNDHL >Solyc03g120270.3.1 pep chromosome:SL3.0:3:70199636:70209678:1 gene:Solyc03g120270.3 transcript:Solyc03g120270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQPLRLEIKRKLAQRSERVKCVDLHPTEPWILTSLYSGTVCIWNYQTQTMVKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKVKVFEAHTDYIRCVAVHPSLPYVLSSSDDMLIKLWDWEKGWLCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLISGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHATTYRLENTLNYGLERVWAVGCMRSSRRVVIGYDEGTIMVKIGREEPVASMDSSGKIIWAKHNEVQTVNIKSVGADYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSRIKIFSKNFQEKKSIRPTFSAERIYGGTLLAMCSNEFICFYDWADCRLIRRIDVSVKNLYWADSGDLVAIASDTSFYILKYNRDVVSAHLDSGRSVDEQGVEEAFELLYEINERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVIGYTLLLSLVEYKTLVMRGDWDRANEVLPSIPKEHHNSVAHFLESRGMVEEALEVATEPDYRFDLAIQLGKLEIAKDIAVVAQSESKWKQLGELAMSDGMLEMAEECLKYANDLSGLLLLYSSLGDAEGITELATLAKEQGKNNVTFLCMFLLGKVEECIQLLVDSNRVPEAAFMARSYLPSKVSEIVAIWKKDLSKVNQKAAEALADPEEYPNMFEHWQVACAVESKVAEERGGGYPPATEYVNHADRSTNNLVEAFSNMKMDEESLENGDIDHDVAEQSGDEVQELGQDDEQNEGQEEPVVVDADSTDSAVLINETEAEEEWGTNTEGKPSA >Solyc03g120490.3.1 pep chromosome:SL3.0:3:70357351:70361463:1 gene:Solyc03g120490.3 transcript:Solyc03g120490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERVLSSRRATPVSDDGDETGDESKTRKHISVATRVTNYVIRTGHLWPCLLIGLVIVLVTSFVYHTRDLVCISASSSDHLSRLRFFGFEGLETDFGSLGVPWCRTKHDRTVEWTTKDLIKGLEEFVPIYETRPIKNNMYGMGFDHSFGLWFITRWLKPELMIESGAFKGHSTWVLRQAMPDTRIISLTPRHPEKYLKKGPAYVDENCTYFAGKDFVDFGNVDWGKVMKKHGIKDRSQVLVFFDDHQNELKRLKQALKAGFRHLVFEDNYDTGTGDHYSFRQMCDQFYIRGGGHSCFKDSDEGRIRARRKKFWEKAVDIDELCGPGEAWWGVRGQMRDDFNHSNKAISYAEHFKNSRFVESVLDVYWELPPVAGPSLTHQTRYDPARASSPIVEDGRYGLFRRLGLSGLEASVFNGYTQMVYLQVSQE >Solyc03g121070.3.1 pep chromosome:SL3.0:3:70782100:70789754:-1 gene:Solyc03g121070.3 transcript:Solyc03g121070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:Q8H0Q2] MKKVTVGVAVVGAAAVCAVAVLIVNHRMRKSSKWGRAMAILREFEEKCKTQDAKLKQVADAMTVEMHAGLASEGGSKLKMLITYVDNLPTGDEAGVFYALDLGGTNFRVLRVQLGGKDGGIIHQEFAEASIPPSLMVGTSDELFDYIAAELAKFVAAEEEKFHQPPGKQRELGFTFSFPVMQTSINSGNIMRWTKGFSIDDAVGQDVVGELTKAMKRKGVDMRVSALVNDTVGTLAGGKYTQKDVAVAVILGTGTNAAYVERVQAIPKWHGPVPKSGEMVINMEWGNFRSSHLPLTEYDHALDNESLNPGEQIFEKMTSGMYLGEILRRVLLRVAEEAGVFGDEVPPKLKEPFVLRTPDMSAMHHDTSSDLKVVGEKLKDILEISNTSLKTRKLVVELCNIVATRGARLAAAGVLGILKKMGRDTPKQGGSERTVIAMDGGLYEHYTEYRMCLENSLKDLLGEELATSIVFVHSNDGSGIGAALLAASHSMYLEDQDA >Solyc11g066007.1.1 pep chromosome:SL3.0:11:51926281:51930548:1 gene:Solyc11g066007.1 transcript:Solyc11g066007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLLYCKDLFDPIDVDKTKKDDQPTKPEKMTDKEWEKLKRKTLGTIRQWIDISIFNHVSQETEPLELWRKLEGLYERKTAHNKASLIKRLVNLKLKPGKSVSEHLSDFQDIINKLTVMKIVLDDELQALFLLSSLPDSWETLVVSISNSAPDGTLSLDVIKESMFNEELRRKEMGVDISQALVVENRGRSKSRGPKGRGKSKYRSKSKDGREPTICHYCSKPGHIQKFCYKLKRDQQNKKNDHHKEGDDKNTAATTSSSDDRVSLICATGECCHVDSSDTEWLIDTGASYHCVPNKEYFIDYRAGDFGSVKMGNQSSASIVGIGDIRVQTNVGCYLTLRDVRHIPDLRLNLLSANVLDEEGYKHTFGEGKWKLSKGSLTVARGKLCCTLYKTHLKVSSSELNAIEEKTSPNLWHRRLGHVSEKGIKLLADDQLTGDAPEDGHEIAHEHDHIEEVQPDVVVPQPDDEAVDVQHGESSNQGEKSSPQQILGMEIARDRKAGKLWLSQEKYIERVLERFNMKNAKPVNTPLAAHFKLSKRCCPTTEKEKESMSHIPYSCAVGSLMYAMVCTRPDIAHAVGLVSRYLANPSKVHWEAVKWILRYLRGTSNLSLCFGGGEPILEGFTDADMAGDLDNRKSTSGYLFKFAGGAISWQSKLQKCVALSTTEAEYIEAVEASKEMLWLKRFLQELGLKQSDETKFQIARDPTVGSF >Solyc03g080120.1.1.1 pep chromosome:SL3.0:3:53452567:53452737:1 gene:Solyc03g080120.1 transcript:Solyc03g080120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGKMVELLEEYGIVISRMREQLFVPRRLQVPLFLQSLRSPVIRDDSSSFLVYF >Solyc03g117760.3.1.1 pep chromosome:SL3.0:3:68280363:68283469:-1 gene:Solyc03g117760.3 transcript:Solyc03g117760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDSIITSPHRRSQTQTAFSSTDPKKNQYSRSNELGSCSTVLQRHRFLLIALGLLAFLCTIYLYFAVTLGAGDSCSDLTGTQKAACYVEHGKAHMDKGKLKFF >Solyc12g077380.1.1.1 pep chromosome:SL3.0:12:21270692:21270841:1 gene:Solyc12g077380.1 transcript:Solyc12g077380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVKAVVDAHTEMECKASIRQIFTYLFGFVYFPIITSFWQTYSFIFVL >Solyc03g119130.3.1 pep chromosome:SL3.0:3:69308426:69313144:1 gene:Solyc03g119130.3 transcript:Solyc03g119130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSMDLPMDIEQPTYNPPQLVNHDLNPPPQDPTLAPDFSFPEPDYAELITAAITALKEKEGSSRVAIAKYIDRVHPNLPPNHSALLTHHLKRLKNSGYLAMVKHSYLLATPGSAPPPPEAAAVDSNGGDATPSKRKPGRPPKSKPDAQYQDPFQGAQIQAQQQQQAEFQPQFVPQPQFQQFQPDPLLQNQILFQSQQQPQGFAAPHEAENYTNLGAQSVFVSLGLADGPVGVQNPGGSVPSPAGTPAPASNNKRRSGRPRKDGSTVVRSLETKSPEQSGTKRRPGRSAKTGTVNASSPASAAASSKPRGRPKKGSTPGRRGRPRKNVSVSVNADAANIPSGNPNIPVGGSDLTAQTPTPKRRGRPAKSNNQGGPAAASVGVTDVPIAAAFDSEGFPNTVSGVTNGATTPLGKRRGRPPKAYSSPAVTSTVKRARKLSGKPLGRPKKNVTSPAVSDPKLVVAYEDLKGKLDNMQSRIREAANALRPCLNAETPATALAAFQELEELAGPDVAEMEAVV >Solyc06g060960.2.1 pep chromosome:SL3.0:6:39056447:39064324:1 gene:Solyc06g060960.2 transcript:Solyc06g060960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYWTELDINSTIWMVMIHIENEFFCRMISIILNTDLLGQRRNRYQMSSFQFQDSNVSKDGLSNKSVKKRLLENGCHSSYLGIIPKYKIRKVSAVRDFPPGCGRTSLKVDLNHVQNAEVSTNIEDMTNIILVDGVKETNIEVKSQSVEVVNDLINLENQENVDRLAGEVMATNMSAIANGVGEKISDEKSTGFELPKDLKTSEMELSKETEDIQNDTSVKEVDEQGLPLVESINGGHMTQKLISVMEHTSTSPKNKYRKRRVSAVRDFPPFCGTKVPKSTEQNCFGVTEESKDVAGFGKAVTRNEVIETLREVTETGALPEKLIGSEDADSLKDRDVSSPKDRQLEQITMVRTEEQEGVQCDYDGRSQVERTVVMPEIMTKKGSDAGPVGKETLVYSENEREKLTSASSALGSGNEKQITKGAKPSGARKQGKQKSLDDPVSGNEIVVSQVESHLTKTAVNAFGSGHEIVKPIVQGLMAKPCCPWRQGEPTSLDCGNQVEKDDFSGRKKAKAVTRKSNPRGKKKSVTLGEATDGLSSALVVFNDKGPGLWATSNDGACSLNREAVHEDSPVRRGQCDFDVTLPPFGPNSSSHGDARTKVRETLRLFQGICRKLLQGEESKSKPEEAKSKQGPNRIDLHAAKIIKEKGKEVNTGQHILGEVPGVEVGDEFQYRVELAIVGVHRLYQAGIDYMKQGGMLIAISIVSSGVYDDGLEDADVLIYSGQGGNVVGKSKTPEDQKLERGNLALKNSISVKNPVRVIRGSKETKNSDSVDGKGKLVTTYVYDGLYTVENYWTEQGTKGKMVFMFKLVRVPGQPELAWKEVKSSRKSKVRHGVCVHDITDGKETFAISAVNTIDGEKPPPFNYIQKIIYPDWFQPSPFKGCDCIGRCSDSKKCSCAVKNGGEIPYNRNGAIVEVKPLVYECGPHCKCPPSCYNRVSQHGIKVPLEIFKTNSRGWGVRALTSIPSGTFICEYVGELLEDKEAEQRIGSDEYLFDIGQNYSDCSVNSSRQAEVSEVVEEGYTIDAAQYGNIGRFINHSCSPNLYAQSVLYDHEDKKMPHIMLFAADNIPPLAELSYHYNYSVDQVHDSKGNIKVKKCFCGSSECSGKNFHCNLFVLLTLMFAFDRINMYLFVSISSFPIAKKVGYIVGKVHTDFAGKEKFAQGGS >Solyc01g079660.2.1.1 pep chromosome:SL3.0:1:78644450:78645386:-1 gene:Solyc01g079660.2 transcript:Solyc01g079660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKSKMQRKRSLVARRAWNVLRLALLWARKGGIFKNKHLVDLRLLPKYFKSLRHTNDHYGTLHYGEREFSFDDTPVFHVKMHRPASLRFKMPNIPCIKPQVDFDFDFEREDEMYNDNNNNNNDDDAPWKSFLTTECQEDEYMYEEIVASGDDEAIDRKADEFIAKFYQQMKLQKQLSYLQYHEKLAN >Solyc03g005110.3.1 pep chromosome:SL3.0:3:75615:77644:1 gene:Solyc03g005110.3 transcript:Solyc03g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLLTQFSFQVSGILGFSLITIHMNPPLPSCHPPAQAEELPFPVAEETDTPAPKKHKIGDHEDTANISDEEDGYTNPLFRTCADYDSEEENYCPDIPGAKMDKPLWEKYWKQIEESEGFDITDCPGGCWMTTIVPMQHILNSTENVDRLKGHVGKALEHYNNINGTSYEVDDILKVNGQAAGRFFAYYLTFSTKKGETHTFQAKVLEDLDDSTEVLIVRPKVATMG >Solyc06g036467.1.1 pep chromosome:SL3.0:6:26185289:26185758:1 gene:Solyc06g036467.1 transcript:Solyc06g036467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKYAMCGYLTPKADIYSFGVVTLEIVSERNSDSCRPSDQTFYLLDLAYVLQEQGYLMIQNMEQIILNGISNDERRNFVKSNHLRAPSELFSYQQHKSRRV >Solyc06g073400.3.1 pep chromosome:SL3.0:6:45372677:45380921:-1 gene:Solyc06g073400.3 transcript:Solyc06g073400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease III homolog [Source:UniProtKB/TrEMBL;Acc:K4C959] MSVSLLRNTAFLPSISLGIQTISSAKMRRTRSSLNQETPSQKNPGCDGTGGSSVPELRVFIRRKRVKKTVEVIAKEVKEESSGKKVMLVRLPDIEDFSYSKDITHPQSTPSKTVRLTGEKTLPQLMQTEIKGFSLSDPLQPPSNWEKVLEGIRKMRSAEDAPVDSMGCEKAGSSLPAKERRFAVLVSSLLSSQTKDQVNHGAVQRLLQNGLLAADAIDSANEETIKSLIYPVGFYTRKASNLKKVAKICLSKYNGDIPSSLEELLLLPGIGPKMAHLVMNVAWENVQGICVDTHVHRISNRLEWVSRPGTKQKTRTPEETRESLQLWLPKEEWVPINPLLVGFGQTICTPLRPRCAICTVSDLCPSAFKEAASPSSTPKK >Solyc12g094640.2.1 pep chromosome:SL3.0:12:65792804:65797868:1 gene:Solyc12g094640.2 transcript:Solyc12g094640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4DH36] MASHAALAPSRIPTSTRLLSKNSYSFPTQCFSKKFEVAEFSGLRSSGCVTFCNKESSFFDVVSAQLTPKTTGSAGPVKGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKNIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYSHEVANIVSNASCTTNCLAPFAKILDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVINVEKKGISAEDVNAAFRKAADGPLNGILAVCDEPLVSVDFRCSDVSTTIDSSLTMVMGDDMVKIVAWYDNEWGYSQRVVDLAHLVADKWPGSAAEGSGDALEDYCKTNPADKECKVYE >Solyc07g018245.1.1 pep chromosome:SL3.0:7:9840133:9840646:-1 gene:Solyc07g018245.1 transcript:Solyc07g018245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDLLHFSLGVDVKYFERGIHLNQIKYVSKLLAKTEMTLARALSIHLAQKYGLDEAVGSLDAFLYKMITLYAKPKHGTSSRGKKRFSRCMDTLILIGEVVPPLGDKLQAITFT >Solyc11g028170.2.1 pep chromosome:SL3.0:11:20575838:20581395:1 gene:Solyc11g028170.2 transcript:Solyc11g028170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKSLFLNEKRRKEIVLSRLYFDQGCVVFQNSGDWWLFRKETLASSKDPEALVYSSDFMQRQLRLPVNVGFSLLQPVLDQPLKLKFPIKEVVVCDLNKLKGLTVVVIQDSNLCMIHGSRCFGTLSEGTVFYFPPCHIVNGGLLPASTTSDLSALFELLESLMTTKSPQVFVQVNLHFKHGEMEARRYCENALKFCATESHKELLFFALKKLKGIGYELIIILKSVINEVGACALNKLKCSTTLAYYIDNLPAISSLSKLQLLPHTQLQ >Solyc04g082570.3.1 pep chromosome:SL3.0:4:66297135:66304469:1 gene:Solyc04g082570.3 transcript:Solyc04g082570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESWDGNHDPGSPSEESYHLERLHIEPIYDAFICPLTKQVMQDPVTLENGMTFEREAIEKWFKECRDSGRKPVCPLTHRDLKSTELNPSIALRNTIEEWNARNEAAQIDMARRSLSMGSAEGDIMQALKFVQHLCQKSRANKHVIRNADLIPMIVDMLKTSSRRVRCKALETLLVVVEDDDDNKETMAEGDNVRTIVKFLSNEQSKEREAAISLLYELSKSKNLCGKIGSVNGAILILVGMASSKSENLVTVEKAENTLENLEKCEINVKQMAENGRLQPLLTLLLEGSSETKLSMAVFLGELVLNNDVKVLVARTVGSSLISIMRHGNMSSREAALKSLNQISSDESSAKILIEAGILPPLVKDLFFVGANQLPMKLKEVSATILANIVNTGYDFDSVPVGSEHQTLVSEDIVHNFLHLISNTGPAIECKLLQVLFGLTSSPTTVFNVVSAIKSSAATISLVQFIEAPQKDLRVASIKLLRNLSPHMGQELARCLRGTSGQLGSLIKVISESTGITEEQAAAVGLLADLPERDRGLTRQMLDEGAFQLAISRIVSIRQGETRGSRFVTPYLEGLVKVLSRITFVLNDEPNAVSLCREQNVAALFIELLQTNGLDNVQMVSAMALENLSQESKNLTKLPEIPKPGFCVSIFPCLSKPPVITGLCKVHRGTCSLRDTFCLLEGQAVDKLVALLDHTNEKVVEASLAAVCTLLDDGVDIEQGVYELCEAEGIKPILLVLLEKRTETLRRRAVWAVERLLRTEDIALEVSGDPNVSTALVDAFQHGDYRTRQIAERALKHVDRIPNFSGVFPNTG >Solyc05g045675.1.1.1 pep chromosome:SL3.0:5:58332865:58332894:1 gene:Solyc05g045675.1 transcript:Solyc05g045675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVIIKGRTT >Solyc09g065400.2.1.1 pep chromosome:SL3.0:9:63746773:63748962:-1 gene:Solyc09g065400.2 transcript:Solyc09g065400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLIEGLPDAVALRCLARVPFYLHPKLELVSRSWQAAIRSGELFKARQEVKSSEEFLCICAFDPDNLWQLYDPMRDLWITLPVLPSNIRHLAHFGVVSTAGKLFVLGGGSDAVDPLTGDQDGSFATDEVWSYDPVTREWSLCASMIVPRAMFACCVFDGKIVVAGGFTNCRKSICKAEIYDPEKNVWDPIPDLHHTHNSACSGVVIGGKVHVLHKGLSTVQVLENVKQGWTVHEYGWLQGPMAVVRGKLYVLSHWLIYRQERETRKMVVSASEFRRRIGFAMIGLGDDIYIVGGVIGPERWNWDIKLLSDVDALTLGNERQVWRQVAPMTRCRGTVLGCTLMRI >Solyc09g064800.2.1 pep chromosome:SL3.0:9:62437322:62441113:-1 gene:Solyc09g064800.2 transcript:Solyc09g064800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPIQLAVHSRLLSYGSTESTKLVPASSGNRGKIVCSLRKLELEDMNFSGISRNNDQEAPRRAHRLKALSGSRISLVPSAKRVPTYLFRTDIGCQVKVFVEKTNGKYKVLVEVLPLELSDAHSELVMVWGLFRSDASCFMPLDLNRRGADGKSSTVETPFVQGPSGKVTVELDFEVSLVPFYISFYMKSQLVSDMENSEIRSHRNTNFVVPVGLSSGHPAPLGISFQPDGYVNFALYSRSAKGVVLCLYDDISVEKPSLEIDLDPYINQSGDIWHAALDCSLPFKTYGYRFKAATSGKGELVLLDPYAKVIRSVIPRQGGSEIRPKYLGELCLEPGYDWSGDVPPSLPMEKLIIYRINVTHFTKDKSSKLPDNLAGTFSGISEKWHHLKDLGVNAMLLEPIFPFDEQKGPYYPWHFFSPGNMYGPSGDPLSVIKSMKDMVKKLHANGIEVFLEVVFTHTAEDAPLMNVDNFSYCIKGSQDMNIQNALNCNYPIVQQMILDCLRHWVIEFHIDGFVFVDASSLLRGFNGEILSRPPLVEAIAFDPILSKAKMIADNWNPLTNDSTENLFPHWRRWAEINMRFCDDIRDFLRGEGLLSNLATRLCGSGDIFAGGRGPAFSFNYIARNSGLTLVDLVSFSNSEVASELSWNCGQEGATTNSIVLERRLKQVRNFLFILFISLGVPVLNMGDECGQSSGGSPAYDARKSLNWNTLKTGFGTQIAQFISFLSNLRMRRSDLLQKRNFLKEENIQWHGSDQSPPVWDDPSSKFLAMTLKADAEVSHTLLSDIGGDLFVAFNGAGDSESVILPPPPTDMVWYRLVDTALPFPGFFDEKGTPVEDELVAYEMKSHSCVLFEAQRLTEIDSTNTVKRMLKR >Solyc09g091460.3.1 pep chromosome:SL3.0:9:71210102:71225631:1 gene:Solyc09g091460.3 transcript:Solyc09g091460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSESCGVSGQNVGNDVPLTENHNDRYRHNVSMQTGEEFSEEFFMKTLTPRKANITRDTEQNQPIIGISNPAQNCHVVSRELHDLLGIKRSDSDCGAEFSDYSPRIAYAPEGDKKTYFDTVSRCNRECSVSGQQPSRFFDEKNRDVVAPCATGRDIYASESPHSHQIRNPEGGVSESCGNGKIRLLCSYGGRILPRPNDGKLRYVGGETRIISIRKNLAFNELVKKTIAICNQPHTIKYQLPEEDLDALVSVSSDEDFLHMIEEYHDLGKSSQRLRLFLVPCADSEVTCSFEGMTLQQSEADYQYVVAVNGMLEPGHRRSSSREAFANQASQFGNTLDFSPMCQRDSPTYLPFENHNGGNSMNVKFLLRNPSTSYVNISQVPSNSYVQSSPLSPATFQITDPNRSHVLLNDNVASIDFPDAGSPYVVDEPLYENSYHVDTTGYYYNCPLETTPRTNYPSKRSVSSAQFGRTELDSRRLMSNKREMHIEKLNHSQDTRMSPGSDIQASSGYSMHQDATDQSQLVERPNLSREDSISLPPSDFLREKSPSLAMSYSSQEWSTKEHEVRDENYLIAKKEHQPNIEARERNQEYTEWSQSTNNWIKKTCPTFNKCSRSSEVNASDIPTVNGLEHELKLPKILCYSEPELSVYTSSSDPKIQVETHTSSPPMPQKNSIVTSKQHHIDDDIIHITAPYTQACGGTTTSVPSISHECLTNIAGGRYVNYNLSPSTPCCLVKDQKESKHDHHVVATTMNNTTESCIYYELQPSIIKDNNDLQNIQSVPFPSEESLFYLDWRNPLSGDLSIPNSAADVAYTHEVSFHQKLVDGPHKSTEKENADRVAYEKAASGNVSFLHLQQSDDSYDRKLREIAVIVEDVTDSVPPDIPLSSTIVPHVQDEPSDGLPSAEEGTNVENVLEESDHEDGRNGSNGKEESVTDSAIIEKEAGIYGLQIIKNSDLEELQELGSGTYGTVYYGKWRGTDVAIKRIKQSCFAGSSSEQERLIKEFWREAKILSKLHHPNIVALYGVVPNGPGGTVATVTEYMVNGSLRNVLARKDRALDRRKKLMLALDAAFGMEYLHLKNIVHFDLKCENLLVNLGDPHRPVCKVGDFGLSRIKRNTLVSGGVRGTLPWMAPELLNGNSSRVSEKVDVFSFGITMWEILTGEEPYANLHCGAIIGKLLRDGGIVNNTLRPPVPQRCDPEWGKLMEECWSPDSEARPSFTEITNRLRAMSQALQPKTRASVCSAGDSAAYQRTSVFGDDVVIVAAYRTPLCKAKRGGFKDTYPDDILAAVLKAVIEKTNVNPSEVGDIVVGSVLAPGSQRASECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLESMTTNPMAWEGSVNPKVKSMVQAQDCLLPMGITSENVAHRFGVTRQEQDQAAVDSHRKAAAATASGKFKDEIIPVATKIVDPKTGDEKPVTISVDDGIRPNASVSDLAKLKPVFKKSGTTTAGNSSQVTDGAGAVLLMKRSIAMQKGLPILGVFRTFAAVGVDPAIMGIGPAVAIPAAVKSAGLELEDIDLFEINEAFASQFVYCRKKLELDPEKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGKDCRFGVVSMCIGTGMGAAAVFERGDSCDELRNARKIDSHNHLLSKDAL >Solyc08g061490.3.1 pep chromosome:SL3.0:8:48886254:48888095:1 gene:Solyc08g061490.3 transcript:Solyc08g061490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMIMDKREVEGSCGFMDALSCNPQEAFFGGNKQVYINEEKACTCSSSSSIGENSDTISHEESMDDDPQEVESPFSSIQSLHQVLPIRKGMSRFYNGKSKSFTSLREASTSSSVKELAKPENVSYINKKRRNILACRLPNNKNHTGISKKKHIGILAMNSGLCDNSTSWRSFSLADLQFVSVTTTPTILQQAEKKPTN >Solyc05g045730.1.1.1 pep chromosome:SL3.0:5:58417848:58418042:-1 gene:Solyc05g045730.1 transcript:Solyc05g045730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLFLSIGFPSFSVYGEGDKGEAPNMFYPQPPSVISNLNFLFLFTSTLRATTSSPFTRLGKG >Solyc01g111610.3.1 pep chromosome:SL3.0:1:97746326:97747928:1 gene:Solyc01g111610.3 transcript:Solyc01g111610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAQLYTDNLGFSLGSSMENACGFNQFCFATQQQQQQQSFNQKFMNQNLMNNNMTESMPFSQFLITQMEKQRIEIDHFINSQNEKLRWILNEQKKQQLGLIWRKYESRLEFLLKHKDEEIVKAGSRTKELEEYLKKMEMENEAWQRIANENEAIVMSLNNTIEQLRESGYCLSTNGEDAESCCDVHDEDEQKMICKSCNSRSSCMICLPCRHLSSCKTCDSLLRQCPVCGIPKKAAIEAMF >Solyc07g042035.1.1 pep chromosome:SL3.0:7:55059452:55065634:-1 gene:Solyc07g042035.1 transcript:Solyc07g042035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTAHFIDRYWILHKRIINFCPISSHKGVDMVACITNCLLEWGLDNVLTITVDNASSNDVTVKEMSKKLSNWGTNIMDGEHLHVRCMAHILNLIVQDGLKEIGKSVKLVRQAVKYIKQSPARLRKFKECCESKLITCKKSLCLDVPTRWNSTYSMLDIAQHFELAFKRYSFYDIGYLNHLRTFGSDSSENKDETSVDDGTSVEDEFSVEDGTIANILSSGDWKNVRSMMMTNEDRNLKEMAESMNEKFKKYWGEQKKMNKMIFISSVLDPRNKLDYVPFAIVDMFGKKVGEKLCTEVKKYMKKLFEYYVKKSPKSSLHVPSSSTSSGNSSSISSVSGCGNFVNRGRLRTKQQFEKHKELNEPRFPILAEMVRDVLALPISSVASECAFSTGGCVLDPFRSSLTPKIVQSLICVQDWLRSESFPINIEEDLEYLEQLELGVKLNTPSAD >Solyc04g039910.2.1 pep chromosome:SL3.0:4:12391794:12393054:1 gene:Solyc04g039910.2 transcript:Solyc04g039910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVSELKNFTNSEPDLRPSSTYLKTPKQLKANEIPIIVNDFRIAARNAIEVGFDGVEINSAISYIIDQFLNDQVNDKTDEYGGSIENRCRLALKIVGEVVDEIGSDRVDVKLSLFSEVYGKKDSNPEFLSTYLASELTKLGFLYLHVFEPKDKPRCLKSIRSALLLMDTIKPMEMKQLLKVMLI >Solyc09g005630.3.1 pep chromosome:SL3.0:9:435162:437399:1 gene:Solyc09g005630.3 transcript:Solyc09g005630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAKYARGKLSLPVITGIFCALTFIVLLYTERITTSSSGFHFGIKTCPRRNAAKLKKHHTGVIRELKSSPLDNPTDDRFVFDPEECSLNNGKWVFNTTIKPLYTDRTCPYIDRQYSCTKNGRDDSDYLHWEWRPDDCILPRFDPTIALRKLQGKRIMFVGDSIQRNMWESFVCLVQSVIPEGEKSMKLGQVYSVFKAKEYNASIEFYWAPFLVESNTDIHIKADSKQRIIKVDSITERAKQWLGVDYLVFNTYVWWMSGLKAKALWGEFANGEEGYEEFDTPIPYKLALRTWANWIDSTIDTNKTKVFFTTMSPTHQRNEDWGNMNGTRCFNETRPVMKKGHWGVGSNKDLMKAVVDVIGRMKVAVTVLNITQLSEYRIDGHASVYGELGGKLLTDKQKAEPSHFADCIHWCLPGVPDTWNRMLYAYL >Solyc01g006240.3.1 pep chromosome:SL3.0:1:859861:860918:-1 gene:Solyc01g006240.3 transcript:Solyc01g006240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMSFKVETVTSSKLKGTTWEDVDIGDIAMIFISYGRVVSHLQFLYVKDGKFTLSKRHGDTIENLEIIKLDYPSEYLIGINGRHGVLGLDRILKTITFVTNKNSYGPFPKNKPTYMASEDTEFNINALDHGWLNGFHGTICGNQLESFGVYIKPMPIITIPKKDIDYDKIDVTEG >Solyc05g047490.2.1 pep chromosome:SL3.0:5:59810125:59811787:-1 gene:Solyc05g047490.2 transcript:Solyc05g047490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYLEAVLVVSNNLDNCKYMIQSILFLLVRYGAISYTLHLGYPLVNILEEKVSCRKRWPVLARAQCLKDFFELNRHKHRFGIMHNTQGQLFVASLFTLDI >Solyc10g078240.2.1 pep chromosome:SL3.0:10:60219078:60222185:-1 gene:Solyc10g078240.2 transcript:Solyc10g078240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLIILTSIALIFLAHKLYHRLRFKLPPGPRPLPVVGNLYDIKPVRFRCFADWAQTYGPIFSVYFGSQLNVVVTTAELAKQVLKENDQNLADRFRTRPANNLSRNGMDLIWADYGPHYVKVRKLCNLELFTPKRLESLRPIREDEVTAMVESIFNDCTKPDNRGKSLLMREYLGSVAFNNITRLTFGKRFMNAAGEIDEQGQEFKGIVSNGIKIGGKLPLAEYVPWLRWFFSMENEALVKHSERRDRLTRIIMDEHTLARKQTGDTKQHFVDALLTLQKQYDLSDDTVIGLLWDMITAGMDTTTITVEWAMAELVRNPRVQQKAQEELDRVIGSDRIMSETDFSRLPYLQCVAKEALRLHPPTPLMLPHKASAGVKIGGYDIPKGAIVHVNVWAVARDPAVWKDPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRVCPGAQLAINLVTSMLGHLLHHFTWSPPPGVSPKDIDLTENPGTVTYMKNPIQAIPTPRLPAHLYKRVPMDM >Solyc06g048460.2.1 pep chromosome:SL3.0:6:31143052:31178659:1 gene:Solyc06g048460.2 transcript:Solyc06g048460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGARELTILGDFQPFGLIAEALDGKPSDACVDDYRYFLFSPEVTKQRDEADELDLPSPSDRSDHELFIRGNKIIWSIGSRVYKRFTSPSTVIKACWCRMGDTSDTVLCILQSDSLSIYDTSGEVTSVPLPRSITSIWPLPYGLLLQQAPEGSSQSRIHFSSLSPLLSARNTIRSKRDVSTQQNYTAVHGLDFTIRGDGSSMSSHLILKDPLEEPQPTYIEERGKLNFNKEVDERTIWTGDCVPLMASYNKAKLQHSLWVVETINSNIEMGNSRFPDVPLGVLTKQFSFRRIWQGKGSQTAASKVFLATDDDASPIICLLLQEQKKLLSLRLQTVEINTEVIYDIKPDMSWSIPAISAAPVVVTRPGVKVAGLPFVDIVVLTSENTLLLYCGKQCLCEFKLSHLGKDQVLHDPKIVGLADAVEERINVIVNSGRIYRCTWRRNPSSSLANDCITAMAEGLNSTLYNHFLVLLWRNGDHTYLSGADMTADSEWESFQSVIKQICKESGHTSEKLSDSVSCSSWEFLINSRYHKQYSKSYPITGLSETSIDQQGLYSPGLSMGTLDNSRSSLCAELVTETLDTLHTVYESLKLDNLRKRDLGLLVVLLCDIAAFLSEDCYLDHYIRDFPCLSKGHEVYLTSSSKRTPPSLFRWLESCLKHGYSSASISHLPSLIFRDGSSVVNWGRKIVSFYSLLCGAELLGKKLSSGVSCAIASGSFNTPEEVTVLSMVGERVGLQQLDLLPAGVSLPLRDALDKCRDSPPIDWPAAAYVLLGREDLAFSRLAYSRKSVELEPHMNVNMTCMSAPYMLNLHPVTIPSSISDTVQSEDNKLEDVDSVEGYVADGMEHIFNSGIQLRYGRDLRLNEVRRLLCSARPVVIQTPVNPSASDQDLQQAQLWQLAQRTTALPFGRGAFTLATTCTLLTEALTVPKLILAGRLPAQQNATVNLDPNVRNVQELKSWPEFHNAVAAGLRLAPPQGKMSRTWILYNKPEEPSVVHAGLLLALGLHGHLRVLTITDIYQYYSQEHESTTVGLMLGLAASYRGTMQPAISKSLYVHIPSRHPSSFPELELPTLLQVIAVYIVNRRTEGQCFPPPCTWVTVMFALLFLLSAALLSVGLLYEGSAHPQTMQILLGEIGRRSGGDNVLEREGYAVAAGFSLGLVALGRGEDAPGFVDALVDRLFLYIGGKEPQNERSHLFVPSIDELNRSAGQIMDGTAVNVDVTAPGATIALALMFLKTESELVYSRLSVPQTHFDLHYVRPDFIMLRVIARNMIMWSRVHASEEWIQSQIPEVIQNGVKGLGDTMSDTDEMNSDAFVQAYVHIVVGACISLVPTMESVEFENSNAVPTTDSILSSTSSHKSLLRKIALSFILEGRSGLRYAGSRDGNLQELLYKYALYFLNEIKPVSVSSVAFPKGLSRYIDRGSLETCLHLIVLSLCVVMAGSGHLQTFKLLKYLRGRNSADGHLSFGNQMAVSLAIGFLFIGGGMQTFSTSKSSIAALLTTLYPRLPTGPNDNRCHLQACLGPTHWRATCLKALNRSAFRHLYVLATEARWVQTVDVDSGLPVYCPLEVTVRETEHYAETSFYEVTPCILPERAVLKAVRVCGPRYWSQVINHIPEEKPWSSGDKGDALSSGILYVKRKVGACSYVDDPAGCQSLLSRAMHKVFGLTRLRASAASKDCQDGDMVDQLIGTFSSNPSLISFAQLCCDPNWNSRQVLIYSLLILKSDIDFQEFCLQVLFECVSKDRPALLQVYLSLYTTIGSMVDRVTNDSSNLQDTLFISSLKIALAYNNSLLSKRSTSSKEGIVQSTFLGSVQKRVEVILSSSLEFQKDFSEYMKYGRWPTEDYGRRASTLLSWYVQWYNVPSPFQVKRALDKINEINTSPSVPLLHLLFPTTDVAALYEINRIGFCSRG >Solyc01g073690.2.1.1 pep chromosome:SL3.0:1:80939322:80940404:-1 gene:Solyc01g073690.2 transcript:Solyc01g073690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQTNRLVVVPTVTMLGVIKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDVMKNSSFALTEAKYAAGENIKHVVLENVQTATLKVRSRQENIAGVKLPKFEHFSEGETKNDLTGLARGGQQVQACRAAYVKSIELLVELASLQTSFLTLDEAIKTTNRRVNALENVVKPRLENTVLYIKGELDELEREDFFRLKKIQGYKKREVEKQMAAARLYAAEKSAEEFSLKRGISLGSAHNLLSHASQKDDDIIF >Solyc02g079960.3.1 pep chromosome:SL3.0:2:44888148:44892522:1 gene:Solyc02g079960.3 transcript:Solyc02g079960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4B9L7] MEVEEQGTKSRVVKVNSKESWDFHVNQATLQGCPIVAHFTAVWCIPSVAMKPFMEELAYMYQNMSFLTVDVDEVKEVASKYEVKAMPTFLLLKGGVPVDKIVGANPDEMKKRIHSLAQSNPTDIP >Solyc01g010580.3.1 pep chromosome:SL3.0:1:5534842:5537692:1 gene:Solyc01g010580.3 transcript:Solyc01g010580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKDPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVASFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKSAAKKAAGGDGDEEDEE >Solyc04g054720.3.1 pep chromosome:SL3.0:4:53034452:53036345:1 gene:Solyc04g054720.3 transcript:Solyc04g054720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCSRKLVELESYCTLIRPGDLSVVGLKSGRVGGITRKAVADAPSFEHVAQKIFNILDGRIWAGHNIQRFDCVRIKAAFAEIGYPAPVPVGIIDSLGVLSEKFGKRAGNMKMASLAAYFDLGEQKHRSLDDVRMNLEVLKHCATVLFLVCFCNLSYRGKTTSKNQVYQICQLGTVKAQPALLQEVEAKWYQNIPSSPIDKWQSSPSSITTRSSSRGKFPCREETSRKSPPSTSAVGYQRTVPYARQSLGKVTAGVRNLLCKAQNKPLNTLLKHSQTLF >Solyc02g014620.1.1 pep chromosome:SL3.0:2:18039724:18040142:1 gene:Solyc02g014620.1 transcript:Solyc02g014620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARVALKASSLKIQDHGFRTRHCASSSRSLGGSLRASFLKLRGVAPQASGARLACSRHRTSSLEGAGAAHSRNHTSSSVSKGGVLRVS >Solyc03g120900.2.1 pep chromosome:SL3.0:3:70611962:70614770:-1 gene:Solyc03g120900.2 transcript:Solyc03g120900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQKIESGHTDTVHDVAMDYYGKRLATASSDCTIKITGVSNSSSQLLATISGHQGPVWQVAWAHPKFGSLLASCSSDGKVIIWKEGTQNEWSLARVFDDHKASVNAISWAPHELGLCLACGSSDGNISIFTARSEDVWETSRIDQAHPVGVTSVSWAPSTAPGSLVGSDLLNSIPKLASAGSLQMHTDWVRDVAWAPNLGLPKSTIASASEDGRVIIWTVGKEGDQWIGKVLKDFGAPVWRVSWSLTGNILAVADGNNNVTLWNEAVDGEWQPVTTVDT >Solyc04g077353.1.1 pep chromosome:SL3.0:4:62371269:62372396:-1 gene:Solyc04g077353.1 transcript:Solyc04g077353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLHRKPFHGPIRADTLVPRKCVSVGKLKLCQRKSSGLSSFASFTTRLPEFKSSATGFWTDLEVFVDHIVSFLSLRRTSIPGLLTFKITEDVLSVVVEKLLCIDEQKANIKGRKNQKLVPIDDALRQHLENGNI >Solyc06g068450.2.1.1 pep chromosome:SL3.0:6:42534650:42536002:-1 gene:Solyc06g068450.2 transcript:Solyc06g068450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQIDQSKQEMDHLMDGSRSIIFGKYEMGRLLGQGTFAKVYYSRDIKTCESVAIKVINKDHVKREGMMEQIIREISIMRLVRHPNIVEIKEVMATKQKIFVVMEYVKGGELFAKVANGKLKEDVARKYFQQLISAVDFCHSRGVFHRDLKPENLLLDENENLKVSDFGLSALSEQLRSDGLLHTRCGTPAYVAPEVLRKKGYDGAKSDIWSCGVILYVLLAGFLPFKHENLMKMYRKVFKGEYEFPPWFSPEAKKLISKLLVADPEKRITISAVTKVPWFIKEFNRSSSFSSIEENNTDQKQETKEPSLGARSKSAPPFYNAFEFISSMSSGFDLSSLFEGKKKSGSLFTSKCSASTIMSKLESLAKKVNFQIVSAKEFKVKMQGTSNGRKGKLSVMAEVFEVAPQVAIVEFSKSAGDTLEYKKFCEEDVRPPLKDIVWTWQGENNGRD >Solyc09g091450.3.1 pep chromosome:SL3.0:9:71206918:71209441:1 gene:Solyc09g091450.3 transcript:Solyc09g091450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSSMKGFYKQQKKSGGITKPSKSKSTKPKNAAAIGSNVTQPSALISHGSLDLKDNYDQNEEVLRQFDMNMVYGPCLGMNRLDRWERAKKLGMNPPADVERLLRSNKVRNECLWDGRV >Solyc06g083470.3.1 pep chromosome:SL3.0:6:48912816:48925659:-1 gene:Solyc06g083470.3 transcript:Solyc06g083470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKYNIKITKYMILKRKINNVGTNIWKPTTEYTGEDYAHMMATNLESSFHLNGIRVNGVAPWFINTPLVEQVLGDKEFLEGVISRTTLKRPGEVEEVSLMVAYLCLPSASYVTGQVIAVDGGFTLLPKVVDGHLMVWLLSSLVALVELVVEELAGLGAKVYTCCKTESELDEPMQDWAERGIQVKGSACDVTCRDQRVELMKKVSSEFDGKLNILINNVGTNIWKHSIDYNAEDYAHMMATNLESSFHFSQLAHPLLKSSGSGSIVFTASVAGLVHVSGTSIYGATKAGMIQLTRNLACEWGRDGIRVNAVAPCYTNTPLVKHLLKDKEFSDALISRVPLGRSGEVEEVSSLIAYLCLPGASYVTGQIIAVDGGFTVYGFQQPGY >Solyc08g006960.3.1 pep chromosome:SL3.0:8:1538591:1546321:-1 gene:Solyc08g006960.3 transcript:Solyc08g006960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQSKELGTCPVRQIGGCSFLYMRISNVYIVIVVSSNANVACAFKFVVEAVTLFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSSFSSKHVDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGRAKYNAAIDCLVWKIRKFPGQTESTLSAEVELISTITEKKSLTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Solyc07g041650.2.1 pep chromosome:SL3.0:7:53960529:53961690:1 gene:Solyc07g041650.2 transcript:Solyc07g041650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVVTFSGPCEKSTLTFQIKGIIKAPTSPKFFCDSSWISFQYINNLKIEGGGTLDGQGSFSWGKHQCSSTTLGFDFVNNTIVSELHSMNSKNIHFKVFGCGNMTFSHVNISAPPNSPNTDGIHISHSTNIHVLDSHIGTGDDCIAMIAGSNNINISGVTCGPGHGISIGSLGNSPNEVAKDIYVKNCTLIGTQNGLRIKTWASSIVGNVTNINYEDIIMKRVQNPIIIDQNYCPTRHCSKKPSSVQIKDVTFNNIRGSSSSGRAVILDCSALFPCENIILNDINLVYYGHHAHATAFCAHAKGKATGKELPPSCLNDSI >Solyc02g065440.2.1 pep chromosome:SL3.0:2:37167130:37168918:-1 gene:Solyc02g065440.2 transcript:Solyc02g065440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEELKVSLPLLQCRWLTISSYVSKVSFLMLDKLLRSTPNLENLMMFPDLEDFSIFQNAEKDTEALELYDPLSFQDNIFKDHLQNLKIVKVMPFCTSEATELDQFLKFLLEHAINLEKLVILPEHKGCNNCATNTSQLRKCLSLFPRASMSAVISLGPVTKNVFNTL >Solyc02g084360.3.1 pep chromosome:SL3.0:2:48032600:48035530:1 gene:Solyc02g084360.3 transcript:Solyc02g084360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:K4BAV2] MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Solyc08g014617.1.1.1 pep chromosome:SL3.0:8:4858537:4859115:-1 gene:Solyc08g014617.1 transcript:Solyc08g014617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAILVMHSGKWDDTNCYVDYTIEGVVFKEQSTFLDFYTTIAKQIGVDMNNKTLKIEYKVEESNKRMVIHNDMGVRVYVMLKKANIDFNKFPICITILDSCDRQISQYKEMGVLATVAENDCHDMIVVEPEDTNVAFLSIDTTWVISDESNKHVEVDQVYKDKSILKAVMERYAIKERFQYKTTRSNSIR >Solyc01g106840.2.1 pep chromosome:SL3.0:1:94476741:94477259:1 gene:Solyc01g106840.2 transcript:Solyc01g106840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWVDFLQVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEAGRSFQRRMLVKVMEVSGIEGNFRSTCENVMQVLRLHKDSVMAMMEYGVIMA >Solyc09g007440.3.1 pep chromosome:SL3.0:9:999686:1005549:-1 gene:Solyc09g007440.3 transcript:Solyc09g007440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDASFLFGGISFNRKKFARDFDRFKGKIQVDSAEKLNFIDKEDKTIDDSLEKVEFVENEISGMEDGTSTSVSKKRKRKEKGKVPDVEGFSVFKSSKLKEEAASEETDQSVNELLQGKKEYYQQLERDAIFRKMHNIHVSGSNIPSPLLNFSELRSRYECRSYLLRNLAKLGFKEPTPIQRQTIPVLLSGRECFACAPTGSGKTFAFVFPVLMKLKHTSKDGVRAVILSPTKELAAQTTRECKKLARKKFYIRLMTKQLAKSGDFSKLRCDVLISTPLRLKFAIQKRKLDLSRVEYLVLDESDKLFEPGMLEQVDFVVKACTNPSILRSLFSATLPDIVEDRARTIMHDAVRVIIGRKNSASETIEQKLVYVGSEEGKLLALRQSFAESLNPPVLVFVQNKERAKELYDELKLEDIRADVIHSDLSQIQRENAIDNFRAGKTWVLIATDVVARGMDFKGVNCVINYDFPDAAAAYIHRIGRSGRAGRSGQAITFYTEADIPFLRNIANVITSSGGEVPEWIMSLTKKKWRKHRPRRETISTQPDI >Solyc02g092390.3.1 pep chromosome:SL3.0:2:54107413:54109555:1 gene:Solyc02g092390.3 transcript:Solyc02g092390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRCRSTSSSSSSSEEDGDADWRAAIDSVAATTTFGKTTAKIHSSTTATNGESIAHPFQEDEIDTIQPQKLKHYQIKGLKTLEDILDKTLDIVRRVDDASQEETPSMNGGGVRLFRRAPPGILFDHTDELQKPRKKPRIRPGKEFEENSKEFKNQIKSIAVDGMDILAASKNACQKSLARLEAREAAAKAAAKREEERVAALKKIRGERWLPAVARDMQSRLQRR >Solyc02g081480.3.1 pep chromosome:SL3.0:2:45986218:45986929:1 gene:Solyc02g081480.3 transcript:Solyc02g081480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLFLLFIPSLSLGQRLEGCEDSWCKSHGPIVHFPFRLSHQPKHCGYPGFELHCNTKGDTILELPSSVHFGVEKIDYVSQKIHLYDPDQCLPAKLPKLNFSQFNLKNEYLPMVLLNCSAPLPDTIHDFVPCLSSSAYKVYAVYEFDHLNLFLSEPCTKIHHYPYAWYTFRENKLQLNWSIPLCRSCEFKGMDCGFKDEIKQLETQLFQSNSYNPNRST >Solyc07g007840.2.1 pep chromosome:SL3.0:7:2502664:2532985:-1 gene:Solyc07g007840.2 transcript:Solyc07g007840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKILPSSSGGYDIASCGTHLGQRISRPVDLPVFSLTPESSLKGNGPLLFTTEENTRESIVNQSKSASVVAHGDSFQHQFNHQNSVEGYTSNSKAQENEVKSMNQYSSCMQLQSTQHQHPLWKYDFPIGEAPDSLNWVSETNNMLAQADTGRSSSKSDNSYTGKSQIHPQLGYLISGNPDVTSRMSFEMHNVSTGGVREFGAVEQISSQHHSSYASSHGRGCPEEANRFGNSCVSDQSLKCSNRSGLPCWWDRDILLRGSASTDQKAGGKSLQTKAQNSIGSFDNRVDTLESRGTSHMEGDMKKDVKSIDKSIHRSHRLNEKSRLLSNKMSPAAEKIWEGSLQLSSSITASVVAFFRSGEKLLDVKWPEFVEARGGVKFVDFKSYVRDLRGSGSRTLTVVSICLKEGASVIELEGMKAAVKDYMRCGRVGRAKISPDVTIYLCPPSNEVFIVLEKYGFLKGTAAVEGNSQLMIGCVVWQKSQTALTSVLKKSEEKANSLQEQLQKSLSDSSMLQGGGQGSLSVPSVENSDPSAPLSSFSNIEVANVTDNKNIGIDSASRTTLTASGVKSPPFQQKKSEFSGSHLLGSKGHLSSIEASYVHQSNDEPPKESRNLPKPVISLLSNASKRKMAFPDGEDLPEFDFGTASGISSSSHHSYGSIIGNRLQLSGSRILGMSKQPTRLVAPSACMTIPRSVISISKEMPLARNVNDYSQLVTASRQMEEKHYSQSHAIPVTVPVCAYGSSMVPFHSSGKKNLFNDADMPEWLPPDAQNERTNEPPKTFPPEYSTLRMLLPLPTGSVFPYPSSAPIRNSFSSQPSPLYHLPANSSVPPPIPSQKGASNLTGFTFNPVPRPQSSSRAAASSWKPADKE >Solyc10g007710.3.1 pep chromosome:SL3.0:10:1950411:1954270:1 gene:Solyc10g007710.3 transcript:Solyc10g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGVEEMRNEMVAAEGEIKMENFEKGAVESHPYAFHVSGPRNVASPNWRDLINSSWKDANYKRTVMACFVQAVYLLEIDRQENRTEENALAPKWLIPFKYKLVETLNDERDGSIFGAILEWDRSAALSDFMLIRPSGAPRAVVALRGTLLKSQTMRRDIEDDLRFLAWESLKGSVRFNAALNALKSIATKYGSNNVCIVGHSLGAGFALQVGKALAKEGIHVEAHLFNPPSVSLAMSLRNIGEKAGVAWKRLKSMLPSNQDTQTSNEGNDTQSFRIGLKPWVPHLYINNSDYICCSYTDQEKNGLRDNHDECDKENATPRNGQQVVAAAKLFLSSNKGKQKFLEAHGLEQWWSDNLELQMAISNSKLISQQLKSLYTLPASQLTQPKRGQ >Solyc12g017450.2.1 pep chromosome:SL3.0:12:6712895:6718376:1 gene:Solyc12g017450.2 transcript:Solyc12g017450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSSGLYVSGRPEQPKEKTPTMSSAAMPYTGGDIKKSGELGKMFVTPADGSRSRKSGPINSNAPLRTGSFSGATSHSGQLNSGNRMTTGGAPGYVSMKKTNSGPLNKHGEPMKKSSGPQAGGGNVSSRQNSGPIPPILPTTGLITSGPLNSAGAPRKVSGPLDSTGSIKLQNYSVVNNQAVTRINQCDEYSFRKSFPKTIFWSIILLFLMGFIAGGFILAAVHNPILLVVVVVLFAIVSLVFTWNTCYGRKAIIGFISQYPDAELRTAKDGQFVKVSGVVTCGNVPLESSFQKVSRCVYTVTSLYEYRGWDSKSANPEHRRFSWGLRTLERHVSDFYISDFQSGLRALVKTGYGARVTPYVEESIVVDIDQSNRDMSPEFVRWLAERNLSSDDRIMRLKEGYIKEGSTVSVMGVVRRNDNVLMIVPPPEPLSTGCQWSKCILPASLEGIVLRCEDSSKIDVIPV >Solyc04g081340.3.1 pep chromosome:SL3.0:4:65443372:65447558:1 gene:Solyc04g081340.3 transcript:Solyc04g081340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFITRVLVMVFGYAYPAYECFKTVEMNKPDIQQLRFWCQYWILVAMLTVCERFGDAFISWVPMYSEAKLAFVIYLWCPKTKGTTYVYDAFFKPVILRHEPEIDKSLLELRTRAGDMFFLYWQKAASYGQTRVFDILQYIASQSNPPPPTQTHRQSSRGRQPTASLNRRSSASATQVQAEEQAPRASSESSSEDEADSAEEAGSSKGPPPASTAAANAQKTTPSKSLVSTVAASLNTQKASPSKSLAEITKPSTSVETRVVQIDSVPPSATESVNPPAETALEEAVRVTRARSRKTRTSNNP >Solyc02g069855.1.1 pep chromosome:SL3.0:2:40243724:40245144:-1 gene:Solyc02g069855.1 transcript:Solyc02g069855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFPYESQSNDKTIKFPLLIIYTASEVWIAEHERDSRASSQITNTLGGSQCKIILQPYLHESRHLHALKRARGCGGRFLTAKETDNQQKQDETGDKSQVNINLESGKDKIDRNRPTRLRATKWPLGIFLHIL >Solyc05g054040.3.1 pep chromosome:SL3.0:5:64869704:64874871:-1 gene:Solyc05g054040.3 transcript:Solyc05g054040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMMSYVVVLIVLCCGVTPARSDGSDHKYKAGDQVTMYANKVGPFHNPSETYRFFDLPFCAPVSSLPKDVFTAHVTEKKEALGEVLNGDRLVSAPYKLDFMYDKDSEIVCKKKLSKEEVSLFRNAVAKDYYFQMYYDDLPIWGFLGKVDKEGKTDPSEYKYYLFKHLHFEIFYNNDRVVEINARTDPNALVDITEDKEVDVDFMYSVKWKESTTPFEKRMEKYSQSSSMPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTMTIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTASAFYCQLEGTNWVRNLILTGALFCGPLFLTFCFLNSVAIGYTATAALPFGTIMVIFLIWALVTSPLLVLGGIAGKNSKSEFQAPCRTTKYPREIPPSPWYRGTLSQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRAFLCGGSTGLFIYGYCLYYYYARSDMTGFMQTSFFFGYMACICYGFFLMLGSVGFRAALFFVRHIYRSIKCE >Solyc05g008015.1.1 pep chromosome:SL3.0:5:2434055:2434545:1 gene:Solyc05g008015.1 transcript:Solyc05g008015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLFLTTDSLFFILNDLGAKSLQSLWWDLSYSNQRVELFLCIFVIISLASNTNSDSPRDTSDSSAPDVLIQLHVHSDILCAHSFHCKLPDLLDGCGGLLFECATTTHHGAAFILKLNVSVNSCTKQKENIDRLISLHQKIKADNPGLGYNTS >Solyc02g062875.1.1 pep chromosome:SL3.0:2:35357445:35359072:-1 gene:Solyc02g062875.1 transcript:Solyc02g062875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDVFIFDSVYVADRWEKFSGDNCLNEGDRILFEIVTNEEIPIWRFRVISNVETPIRKFQVV >Solyc08g083320.3.1 pep chromosome:SL3.0:8:65936787:65939640:-1 gene:Solyc08g083320.3 transcript:Solyc08g083320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITASHFVSRSSNVQTSLDTKSTLSQIGLRNHTLTHNGLRAVNKLDGLQSRTNTKVTPKMRSTKSATIVCGKGMNLIFVGTEVGPWSKTGGLGDVLGGLPPALAARGHRVMTISPRYDQYKDAWDTSVALEVKVGDSIEIVRFFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPKAGLDYLDNELRFSLLCQAALEAPRVLNLNSSNYFSGPYGEDVLFIANDWHTALIPCYLKSMYQSRGIYLNAKVAFCIHNIAYQGRFSFSDFPLLNLPDEFRGSFDFIDGYEKPVKGRKINWMKAGILESHRVVTVSPYYAQELVSAVDKGVELDSVLRKTCITGIVNGMDTQEWNPATDKYTDVKYDITTSFLLIKISIQVMDAKPLLKEALQAAVGLPVDKKIPLIGFIGRLEEQKGSDILVAAIHKFIELDVQIVVLGTGKKEFEQEIEQLEVLYPNKAKGVAKFNVPLAHMITAGADFMLVPSRFEPCGLIQLHAMRYGTVPICASTGGLVDTVKEGYTGFHMGAFNVECDVVDPDDVLKIVTTVSRALAVYGTLAFAEMIKNCMSEELSWKEPAKKWETLLLGLGASGSEPGVEGEEIAPLAKENVATP >Solyc11g028201.1.1 pep chromosome:SL3.0:11:20715732:20717355:-1 gene:Solyc11g028201.1 transcript:Solyc11g028201.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAFSEIETKPDSDFTAEDFCLHVVVYIQKILKTQRVSIIVGGSNSYIEKLVEDPMFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVNTRLVEEVRQFFIIDADYTNGIQRFIGVPEIDI >Solyc07g056615.1.1 pep chromosome:SL3.0:7:64564120:64564667:1 gene:Solyc07g056615.1 transcript:Solyc07g056615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAISRMIPLPRNYIFGNGSYGTTNNEGIIEQVRSRRVESGRMLLTAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPHCLLLI >Solyc10g051255.1.1 pep chromosome:SL3.0:10:51734746:51740521:-1 gene:Solyc10g051255.1 transcript:Solyc10g051255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADMTDQDVHIWHQRLGHCSQHVMKHLNLVHRHNNEVIDTPILDYETDNATNTKVHPDENVAHPTMKYEDSVNTLIKIEVTTINIPTHNQPVKRTSRNIKEPVWMKDYEGNKQSSIRHPIANSLSYDRVTACCKVFLSNLSEVGLTGAKPASTPILSDVRLTSFKYYLANGHTGDDVLRDITTYQMVVGKLLYATFTRPDISYVVQTLSQFMQSPNKSHQEAAIRVIKYLKGTVGQGVWLQSKPAVTLSCWCDSDWAACPNTRRSITGYVIKFGDSLMSWKSKKQQAVSRSSAEAKYKSMASTVSEVTWLLGLFIELEQSC >Solyc12g040320.2.1 pep chromosome:SL3.0:12:54260314:54271313:-1 gene:Solyc12g040320.2 transcript:Solyc12g040320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCSSKAADTKATRMARWRSTGIVALRDSKLKSFPDEVISLEKSVRTLDLTHNKLVQIPMEINKLINLQRLILADNLIDNLPVNLGLLKSLKVATLDGNRIRALPDELGQLVKLERLSVSGNLLMSLPETIGSLQNLVLLDISNNKLKFFPESIGSCFSLEELQANDNSIEELPVSVCNLVQLKSLCLNNNNLNQMPLNLLRECKSLQTVSLHGNPISMDQFQQMDGFKDFEIRRKQKFDKQINSNVIISSKGLDEGVDL >Solyc01g011300.1.1 pep chromosome:SL3.0:1:8408854:8409393:-1 gene:Solyc01g011300.1 transcript:Solyc01g011300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGAVDGILGFSQQRLSIISQLSSHGISPKSFSHCLKGEGSGGGILVLGEILHPSMVYTPLVPSIGFYKLGDRGTIVDFDTSLVYLVAEPYESIVNVVSILLTNRSQPQIYFLNVSS >Solyc06g065140.2.1 pep chromosome:SL3.0:6:40744956:40745875:1 gene:Solyc06g065140.2 transcript:Solyc06g065140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADQHICKWSKAFRNMPCLRLLIVKGEEVRHHDLICDPIDCLPSNLKWLDWSYYSFESLPAYYEPGNLVGLRMPFSSLVEVVKEPKAFHKLTVLNLSFSRNLIRTPNFSEIPNLQRIILKSCASLAEIHPSIGHLRKVTFLNMENCKSLNSLPSSIQMESLEIFNLSGCEKLEKFPEIQGNMELLSELLLAHTAIWELPSSVGKLSGISLLDLHSCKNLARLPASVSEMRKLKILTVKGCSRLAKFPENLGDLNQMEELYAGNTAIWKLPDC >Solyc01g109860.3.1 pep chromosome:SL3.0:1:96613107:96618727:1 gene:Solyc01g109860.3 transcript:Solyc01g109860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYKSGPLERPVRPSLEKFDMDAEDERKTRLGSIKKAAINASTKFRNSLTKRGRRNSRVMSVVVLEDEHDAEELKAVDALRQALILEELLPAKHDDYHLMLRFLKARKFDIEKTKQMWADMLQWRREFGADTITEDFDFKEKEEVLKYYPQGHHGVDKEGRPVYIERIGQVDSVKLLQATTMDRYLKYHVQEFERTINDKMPACSLAAKRHIDTSTTILDVQGVGLKNFNKSARDLIQRLQAIDGNNYPESLCRMYIINAGSGFRLLWNSVKSFLDPKTTQKIHVLGNKYHSKLLEIIDASELPEFLGGTCTCADKGGCMVSDKGPWNDPEIMRMVRNGEHKCSHKFVISMDEKTIPEDDNANTKKSTSFRKETDSSKVQRDNGQLSPVREEFDIPIVDKAVYATWPKQVKAGPLANSKDFFPVHDACKSSEGFGNPVFSGVMTLVMGIVTLVRMTKNMPRKLTDATLLAGSLYSADMIKEQQTHGHVISTKDYISMMKRMEELEDKVITLSQKPSSMPPEKENMLNAAINRVGTLEEELLATKKALDEALVRQQELLSVLEKKKKKKKFFVF >Solyc02g086900.3.1 pep chromosome:SL3.0:2:50129984:50136815:1 gene:Solyc02g086900.3 transcript:Solyc02g086900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKSNIFFLLLYASCSPLSFSLLSAPQKSGEVESPRVSMGAFSNEELSFSSNFDFASSQDLRFSKQIHDNVHGNIYIDPLYLKFIDTEQFQRLRDLKQLGVTHMVYPGAVHSRFEHSLGVYWLANEAVHKLKTHQGMELGIDHFDIQTVKLAGLLHDIGHGPFSHLFEREFLPQVCNGLDWSHEQMSVDMIDHIVDERRIDIDSGTIKRVKEMILASSKFTLTKSSREKQFLYDIVANGRNGVDVDKFDYIVRDSRACAVGCNFQFERLMETMRVLGDEICYRAKDYLTIHKLFATRADLHRTVYTHAKVKAIELMIVDALVKANDYLHISSHIEHPSQYWKLDDTILKTIETAPDPELKESRDLILRVRRRDLYQFCNEYAVPRDKIEHFKDVTAHDIVCSQKSSSETLNEEDVAVTNVRIDLTRGRHNPLESIHFFKDYESEEKFSIPDDRISHLLPSSYQDMIVRVYSKKPHLVEAISDAFENFQLKTYGIKAQVHATPEKKKRRV >Solyc09g010590.1.1.1 pep chromosome:SL3.0:9:3943245:3943787:1 gene:Solyc09g010590.1 transcript:Solyc09g010590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVACISSLGHDQYSSDNFDELFNLRRYKRYLDFSSHEVNDHLDNHSNKRLKFSQDDGFFNVKKRVLEFSSNELQCLKIEAGSLYLPKDNPKKPLGEDAHFIDELFKTIGVADGVGGWAKQGIDAGIYSRELMKNSHIVTYYEAMKGHVNPKRVLEEANENTNSKGLSTACIVTFNSEKVL >Solyc12g021210.1.1 pep chromosome:SL3.0:12:14817194:14818398:-1 gene:Solyc12g021210.1 transcript:Solyc12g021210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGNNPKSACRQHGGHKISLLLHHMSSEENSACASTMSAAKMPQLATSVSTTNAEIGKSIIVENIKEYKKKKRSKNRKACEKRQDTGPEERESSTQENHAFYEKQKELSSFWFKLIPQGGKKGLRLSPLDRPNIHLKDNSATVTLIQKFIAQKLNFNDHTEVDVLCYGEKLNGDMTLKNIQLRWKSHLPNSGEGKVKWELEQAMTQLGHIRSKKLQTPPKK >Solyc01g005060.3.1 pep chromosome:SL3.0:1:58677:61589:-1 gene:Solyc01g005060.3 transcript:Solyc01g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNSSSSEALINSGDSNRRKRRPAGTPDPDAEVVSLSPKTLLESDRYICDICDQGFQRDQNLQMHRRRHKVPWKLVKREIEVKKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSDNKQWICDKCGKAYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCTIRRIRPRLQPITAACSSSDTNLPKLAIMPLPKNSTNLELGLKSSSHHENTIEEAHLKLSIGSSSPNHEVLNLVMADKAYAEEARRNAKREMEYAEREFENAKRIRQQAQAEIERAKQLKEEAINRISSSILEITCHACKNKFQTIDNNVDSSPPMSYMSSDGEREQ >Solyc01g097950.3.1 pep chromosome:SL3.0:1:88474287:88490040:1 gene:Solyc01g097950.3 transcript:Solyc01g097950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKDDIAKDVTELIGNTPMVYLNKIVEGCAAQIAAKLETMEPCASVKDRIAYSMIKDAEDKGLITPGKSVLLEVTSGNTGIGLAFVAAARGYKLVIVMPSSYSLERRIVLKALGAELYITDPAKGLDGLLQKAQEILDRTPNSHFFRQFENPANPKIHYETTGPEIWKSTGGKVDIFIAGIGTGGTVTGAGRFLKEKNPEIKVYGVEPAENAILNGGQLGPHKIQGIGAGFIPAVLDQSILDGVLQVSSNEAIEMTKVLALKEGLLVGISSGAAAAAAVQVAKKPENAGKLIAVIFPSFGERYLSTVLFDSIREELIGSTPMVYLNKIAEGCAAQIAAKMETMQPCSSIKDRVAWNMIKDAEDRGIIRPGKTVLLETSSGNTGIGLASIAAARGYKLVIVMSGSSSIERRIVLKAFGAELYITDRDKGIPEVLKKAQEIKDTTPNCYFLGQDKNPANPKIHYDATGPEIWNCTGGKVDIFIAGIGTGGTVSGIGKFLKEKNPEIKVYGLEPTENAFLNGGQLGPHKIQGIGPGFIPPVLDLSILDGVLQVSSDEAIEMAKLLALKEGLLVGISSGAAAAAAVQVAKKPENAGKLIVIIMASSGERYLSTVLFDSIREELIGSTPMVYLNKIAEGCAAQIAAKMETMQPCSSIKDRVAWSMIKDAEDRGLITPGKTVLIEISSGNTGIGLASIAAARGYKLVIVMGAKYSIERRIVLKALGAELYITDPAKGTDGVLQKAQEIIDTTPNSYVLHQSENPANPKAVGISSGAAAAAAVKVAKRPENAGKLIVIIMASSGERYLSTVLFDSIREELIGSTPMVYLNKIAEGCAAKIAAKLEIMQPCSSIKDRVALSMIEDAENRGLITPGKTVLIEISSGNTGIGLASIAAARGYKLVIMMGAEFSIERKIVLKALGAEVYITDPDKGIEGAIQKAQEILDRTPNSHFLRQDKNPANPKIHYEATGPEIWNCTGGKVDIFIAGIGTGGTVTGAGKFLKEKNPEIKVYGIEPAENALLNGGQLGRSRPHKIQGIGSSLIPPVLDLSILDEVLQVSSDEAIEMTKLLALKEGLLVGISSGAAAAAAVKVAKRPENAGKLIVIIFASSGERYLSTVLFDSIREEVENMTFE >Solyc01g106430.3.1 pep chromosome:SL3.0:1:94221452:94225622:-1 gene:Solyc01g106430.3 transcript:Solyc01g106430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARIMVSASNTLTASLLSKGPLRRPNNFSLCFRNGPVQKKRLFTCSAIYNPQIQTIEEGQPETLDYRVFFADNSGKKISPWHDIPLHLGDGVFNFVVEIPKESSAKMEVATDEQHTPIKQDTKKGKLRYYPYNIHWNYGLLPQTWEDPTFANTEVEGALGDNDPVDVVEIGDSRGKIGQVLKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFALGNKPANKDYALKIITETNESWAKLVKRSIAAGELSLV >Solyc11g042920.2.1 pep chromosome:SL3.0:11:34217300:34219988:-1 gene:Solyc11g042920.2 transcript:Solyc11g042920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLAKAMQGEISEHLPKDQELAASSCVPMKILAHPNNAIGKLYGVEHSGRVRGLGGNIYPSNAFGVSRIQLVMRILGSSSSMSHQHVGDLEKHVETLKERDIKRPKNSLRRPNKRSRKLRIGFRKSRSLGPSSRWVGAQRGQKIPPTPF >Solyc07g042930.3.1 pep chromosome:SL3.0:7:56607306:56611884:1 gene:Solyc07g042930.3 transcript:Solyc07g042930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGRGQWGSVFRGMIPLLGLHTLSEYSRLDRKPPITAALLAANTIIYLRPKFIHPFLPTIDQVWFNPHLILKYTDLKRFFLSPFFHVNESHLVYNMLSLLWKGIQLETSMGSAEFASMVATLVAMSQGVTLLLAKSLLLFFDYERPYYNEYSVGFSGVLFAMKVIVNSQSDEYTSVHGLMVPTRYAAWAELVLVQMFVPGVSFLGHLGGILAGLLYLQLKASYSGLNPVRQFMRGFSHALSWPLRLVKSLFRHRPRITGRGTAGARIARDTLHTWRCDACTFDNSGRLNVCEMCGTGRTGDAPSSPGSTSEIHDLSLEELRRRRIQRFGR >Solyc11g021140.1.1 pep chromosome:SL3.0:11:13392350:13392746:-1 gene:Solyc11g021140.1 transcript:Solyc11g021140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVKIGFNCQLPLSKIGLTIDSEGTGVTSLFHSRFLMHFHAPLRPQKMDRFLFLGTHTRFVTTKRIYVIEMHVLQRQNLELAILLPSRQRFTSVERMIHSDRHESPNTLPESMLYI >Solyc07g008955.1.1.1 pep chromosome:SL3.0:7:3973209:3983336:-1 gene:Solyc07g008955.1 transcript:Solyc07g008955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTH >Solyc12g013650.2.1 pep chromosome:SL3.0:12:4494564:4496630:1 gene:Solyc12g013650.2 transcript:Solyc12g013650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMIIVFVFDLIAFALAIAAEQRRSTATIQTDNEQVYSYCVYDSDISTGFGVGAFLFLMAGQILIMVASRCFCFGKPLSPGGSRGCAVLLFVMCWVTFFIAEVCLLAGSVRNAYHTKYRSSLLFNDRPVSCETVRKGVFAAGAAFIFFTSIINKFYFISYSNAKSGAGPYGGEAGVGMAAYK >Solyc09g011023.1.1 pep chromosome:SL3.0:9:4359737:4361316:1 gene:Solyc09g011023.1 transcript:Solyc09g011023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTLADDSSASASDNLRLVQAVSAHCFSFSPSAFLFCCTISVSAYPPVQRQSKLIQELGWNRATHEILWIQNLQKKSLETNPPTSPRE >Solyc03g032140.3.1 pep chromosome:SL3.0:3:4670457:4673572:1 gene:Solyc03g032140.3 transcript:Solyc03g032140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRRAMLGSGGVSDGYENGSKRPRMMESNPCFAVSSGSSGYHQPYGYGSGRFQPSGFPVVRLRGLPFNCTEIDIHKFFAGLDIVDVFLVNKDGRFVGEAFVVFAGHMQVDYALQRDRQNMGRRYVEVFSCKKEEYYQAVAAEVKEGGKDNEYRSPPLSRPKRSVNKDDMEYSVILKLRGLPYSVRKTDIGRFFGEEFNVKPDKVHIAYRSDGKATGEAYVEFASTEEAKKAMCKDNMKIGSRYIELFPSHPDEARRAESRSQH >Solyc04g072605.1.1 pep chromosome:SL3.0:4:59658040:59659450:1 gene:Solyc04g072605.1 transcript:Solyc04g072605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDDWLVFEDVPHLSDYFPNLSTYPNPLKNNPSYSVVNHYFIYEDDTVAQNIVVDKNSPRGIHFRRVGPSQNVYFKPDTIISEIVYGLYSMYGVTRVMGIDGGYQGFYSKKTIPLTPKVVNDIHKRGGTNFGSSRGAHITYKIVDSIKDGGIKLVYIITEDGTLKGASLIFEEITRHGLNVAVVGIPKTIDNEIPVIDKSLGFDSAVEEAQRAISAAHVEAMSFENGIGVVKLMGRDSGFVAMYASLASRDVDCCLIPESRFYLEGHGGVLEVVAEGAGQDNEQVDVGLWISKRIKELFSKEKKMASDNVYCTLLAHSVVHKAISGYTGFMIGPVKNTHSYIPFDRFTEKQHKQPSFLSTTRSADIIEANKDKEPPTQLSEKKQILAN >Solyc01g020371.1.1 pep chromosome:SL3.0:1:29357810:29360977:1 gene:Solyc01g020371.1 transcript:Solyc01g020371.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKRSREDEAERTPKERIGTYLTGLSRYQGFSFEYLSELQSRTCKCEFFARYYTATSLENGGRRFYRCGRVGSNSCGYWNWTDDKLPRHVSTMIHNQKVELDSIRKEKNHLKKIVGDMGGIGDSYLKDMTADEMSELNDMNENEISDLTKVSALKGKMSNLELMDKIESSKMKKYLAWMKKFQS >Solyc10g012360.1.1 pep chromosome:SL3.0:10:4995614:4996445:1 gene:Solyc10g012360.1 transcript:Solyc10g012360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWEKWIGPNWTFIYPHRKGKRSITQQLSGVLSPFVGNLSILVRLVIEINTISGNIPPELGRLTRLRHLCFNPVELWAFKNNLVGVLPKELGFLPKHKYFDFSYNKLIGGIPRSYGNFSGLLEMYLLNNDLEGKIPDELGKLKNLEIFDADFNKLSDRIPSSLFNLSSLKVIDVSNNQFEGLAYLLVGKNNLGGCVPMFDKLHSLTWLSLQNNAFGGTFHG >Solyc03g044850.1.1.1 pep chromosome:SL3.0:3:10673709:10673909:-1 gene:Solyc03g044850.1 transcript:Solyc03g044850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIIHKIEEKLHIGGHKEGEKQHDHNMHKQEGHKNEHGHSEEYHHKKDKKEKKDNKHDNSSSDSD >Solyc03g116790.3.1 pep chromosome:SL3.0:3:67542206:67557909:1 gene:Solyc03g116790.3 transcript:Solyc03g116790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIVCGKRSFFEDLQSPSPTSASPPVSKKIRCSSSTSPVRFPTSLIDQLRTLFPDMDNQVVEKALEESGNDLDAAIKRLHELHLVYGDAKTVADGEMDNGEKPTNGPDVQSEGPPLQNNLPADGAEWVELLVREMMSATSVDDARARATRVLESLERSISVHAGAAAAENVHKENVMLKEQIEVFLREKAIFKRAIAIQHERQKEYDDRNQEVQQLKQMIAQYQEQIKNLETSSSAEASPVKAVEHTKKQACWHLKIPGRRFGRLVVAAAADYYSTLGVPKSANSKDIKAAYRRLARQYHPDVNKEPNAPEKFKEIKDAYEILSDDKKRALYDQYGEAGVKSSVGAQAGAYTTNPFDLFETFFGPSMGGFGMDGAGFGTRRRSTVTKGEDLRYDMTLEFSAAIFGAEKDFELSHLETCEVCVGTGAKVGSKMRICSTCGGRGQVMRTEQTPFGMFSQYESIFRTPESFLRKSICLLLHVSVCPNCGGDGEMISEYCRKCSGEGRIRVKKDIKVKIPPGVNKGSILRVAGEGDAGPRGAPPGDLYVYLDIEEIPEIQRDGINLISTVSVGYLDAILGAVVKSFSLAGRGGLKVKTVDGLTDLQIPPGTQPGDVLVLARKGAPKLNKPSIRGDHLFTIKVSIPKRISVMERELLEELASLTKGSSTRTKTRPAVQQTAKVTETPVGSDSSAAKTDESSSEDRDDPLKKLANFAGSVVNGALKWLRDNL >Solyc05g052030.1.1.1 pep chromosome:SL3.0:5:63220209:63220814:-1 gene:Solyc05g052030.1 transcript:Solyc05g052030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 4 [Source:UniProtKB/TrEMBL;Acc:Q84XB0] MTKQDEGLTLELIRQHLLEDFTTTESFIDSLNSCFSDHISSSDDISPVFTSVKTEPSTSNSLSDSPNSSYPNEPNSPISRYFNLRSDFPEFKIDSDTILSPVFDSSAGSNEDNNKKKNYRGVRRRPWGKFAAEIRDPSRKGSRIWLGTFDTDIDAARAYDCAAFKMRGRKAILNFPLDAGKSGAPANVGRKRRRENKMELV >Solyc09g082120.3.1 pep chromosome:SL3.0:9:68378454:68380192:-1 gene:Solyc09g082120.3 transcript:Solyc09g082120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKILLEFSSTQTRTKMAAQGTCLNHVSRESSDIKRLAQFYIEIFGFQKVEAPRFEFDVIWLKLAPSFYLHLIERDPTTKLPEGPWSATSAIADPKNLPRGHHVCFTISNFDSFVQKLKDNGIEVHERTQPDGKTKQAFFFDPDGNGLEVSSGAPAS >Solyc06g076640.3.1 pep chromosome:SL3.0:6:47733661:47738373:-1 gene:Solyc06g076640.3 transcript:Solyc06g076640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGSKFWEVVCAEHGIDSTGRYQGDTDLQLERVNVYYNEASGGRFVPRAVLMDLEPGTMDSIRSGTYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFIEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQAPKWCMNHLEIEMGKYELFMVILLVSGYGFVDGLRMDYYFMMGCPFAEGIVKNIVNRHLQADPTLAAALVRMHFHDCFVQGCDASVLIDSTKGNTAEKDSPANLSVRGYEVIDEVKEQLEIQCPGVVSCADILAMAARDAAGGPVYDIPKGRKDGTRSRIEDTINLPPPTLNSSELIRLFGQHGFTAQEMVALSGAHTLGVARCSSFKHRLSNFDSTHDVDPTLDAQFAKTLSKRCANSDKSEQAFDNTKDSFDNDYYYGLQRNTGVLFSDQTLYNHPRTRGIVNAYAFNQAMFFLDFQQAMIKMGLLDVKEGSKGEVRANCRIIN >Solyc03g025920.3.1 pep chromosome:SL3.0:3:3355410:3361768:1 gene:Solyc03g025920.3 transcript:Solyc03g025920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDSSVRRWEDLDIDILVKILQSFDLFELTSGLAHVCSAWRLACTDQLLWMTLDLSILKSNYIKIPLEPYVYVDCQSDKTLTSLLKICLNLSRGNIRTLIFHYNLYVSDDQLTYTAERCPRLKRLVMPAWNRIKKTGICRAIHMWEDLESLTMPSIANPPYVMEEIARSCKNFAELKIMGPCDMLFASTLVSFLPNLKVLSVRCTLLSKSALVTILDGLKKLEVLNISHCVITEDPPPAPKKILTKLDHSIVEKASRLHKFLTCMSDSCIMCQRCRNDEGLMRWYKYEELWKVDEVRSLAI >Solyc03g044120.1.1 pep chromosome:SL3.0:3:8152254:8153736:-1 gene:Solyc03g044120.1 transcript:Solyc03g044120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNINHELDDQIFNTINPLDPEEFRRQGHKIVNFLADYYQNIEQYPVCSQVNPGYLQNIVPNSAPNNPESLDKILKDVQNDIIPGLTHWQSPNFFAYFPSSGSTVGFVGEMLSVGFNVVGFNWISSPAATELESIVMDWFGKMLNLPNCFLFASGGGGVLQGTTCEAILCTIVAARDQMLRKISRENFGKLVVYASGQTHFSLKKSAHIAGIDPGNFRVIPTIKAKEYTLCPKSLRLAILNDLKEGNVPLFLCATIGTTSTTSVDPLRLLCDISKEFGIWVHVDAAYVGSACICPEFQVFLDGVENANSFSLNDPSALTNALSTNLEFLRNKATELNQVIDYKDWQIALSRRFRALKLWLVLRSYGVTNLRNLIRSHVNMTKHFEGLIAMDKRFEIFVPRKFAMVCFRISPLVLSQVSIKFDDEKEVNMFNTKLLESINSCSKLYLTHGIVGGTYIIRFAIGASLTHYRHVDIA >Solyc06g074540.3.1 pep chromosome:SL3.0:6:46263736:46265513:1 gene:Solyc06g074540.3 transcript:Solyc06g074540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIQDTFSFHNPSIFTLYLSLKFSNLKLTKLLLIILMMKQLSISCFLVFLNMFLFVFLSPASSSRYFLSTISEVKEDIKQEKVNLSLHTVDHMETNDSLNKLMGLEECVEEDGECMKRRVVAEAHLDYIYTQSHNHP >Solyc05g026040.1.1 pep chromosome:SL3.0:5:39447602:39448013:1 gene:Solyc05g026040.1 transcript:Solyc05g026040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIAIDLDRHTYNMGLLVIQKSNVDHKNNFILSPSISTLEELLNNVRKKKVRYQMNHERMLELVKIGGIVVYDNTLWFRIVAMPEECIKESMNQICITY >Solyc08g081570.3.1 pep chromosome:SL3.0:8:64706312:64711005:-1 gene:Solyc08g081570.3 transcript:Solyc08g081570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-C-methyl-D-erythritol 2,4-cyclodiphosphate synthase [Source:UniProtKB/TrEMBL;Acc:K4CPF3] MPLVFEKIGIFPSIYTSSLRFSTKLSSIPVAMASSLFFSTPIPTKLISKQKPFSHHSSNPLLPQSTIKPLRSLVVSSAAAIEAETETSSSNSTPLKSLPFRVGHGFDLHRLEPGYPLIIGGINIPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDTDPKWKGAPSSVFMEEAVRLMHEAGYELGNLDATLILQRPKVSPHKESIRANLCKLLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMKK >Solyc11g008820.2.1 pep chromosome:SL3.0:11:3003541:3010497:-1 gene:Solyc11g008820.2 transcript:Solyc11g008820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNHWGGALEIATTTTGGESTTDDDNRSRNFDLDRASVNQLQRYDIYDRSTQSSRNLDETQQSWLLGPPVKKKKKYVDLGCVICSRKALKYTLIGIIVAFAVIALPTIVVKVWPKHKSQPPLPDNYTFALHKALMFFDAQKSGKLPKSNRIPWRGDSGLQDGSKLTDVKGGLVGGYYDAGDNIKFHYPMSFAMTMLSWSVIEYEHKYRALGEYEHITDIIKWGTDYLLLTFNSSATKIDKIYSQVGGALNNSRKPDDHYCWQRPEDMNYERPVQTATSAPDLAAEMAAALAAASIVFRDNKQYSRKLVKGAETLFDFARDLGKRTSYCRGNPYIEPFYNSTNYFDEHMWGSAWLYYATGNKTYISLATNPSLSKNTNARFMIPDLSVLSWDNKVPAAMLLLTRMRIFLNPGYPYEEMLSSYHNITGLTMCSYLQRFQVFNFTKGGLIQLNHGHGQPLQYVANAAFLASLFVDYLNATGVPGWYCGPFFITLEDLRSFATFQMNYILGENPLKMSYIVGYGDKFPKHVHHRGASIPTGKTKYSCTGGWRWRDTKKPNVHNMTGAMVGGPDKFDKFKDLRTNFSYTEPTLAGNAGLVAALVSLTGTGGYGIDKNTIFSAVPPLYPMSPPPPPPWKP >Solyc01g014910.2.1 pep chromosome:SL3.0:1:15745031:15748401:1 gene:Solyc01g014910.2 transcript:Solyc01g014910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGESNHVFPWEIDDVWSYLNMNDNQIGSGAMFEGDMMPDPLRFDTYHPLTVVNEVVGSSTNVAKRRSPPNSKKNGKKIVEPKSSADGVGYREGLEHEIHIWTERERTKKIGILFETLRALIPNISAKADECTIIDEAVNHILKLKNTFEKLKQKKLDDLQEYNIRLMSSQKFPDVGNSWENYLGDQGTTSNSSFIKPKIHGATPLMVNNNIPTSFMTWSSPDMILNVCGKDAHISVCCPKKLGLFTFICYVLGKHNIEIVSAQVSSDQSRTMFMIQAHAKCGNDIAQFSEAPTVEEIYKQVAIRIMSFETPK >Solyc01g066690.3.1 pep chromosome:SL3.0:1:74671874:74682105:1 gene:Solyc01g066690.3 transcript:Solyc01g066690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPGVPFSHLMEVASELLAINRTGLTNCGPLNYRRAAKMSRKGLMEQDLSKLDVTQLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEEERCPRPMCYKAYGSGKEDSPMCDVPGFESCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKRIPIPVRDFTSPPNMIVIRSFDVNKPGFEVDEIRGGVAGGSILKGVLKVNQLIEVRPGIVVKDESGNIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKDTERQGKVSKLAKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPCPI >Solyc01g060355.1.1.1 pep chromosome:SL3.0:1:70522337:70522630:-1 gene:Solyc01g060355.1 transcript:Solyc01g060355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGLPFTGDLAVLTYGGKKWNLFYDGAKTKYKFSTGWKFFADDNNLKEGDGIVFELSECNPDKIEFKIQILRENFPPELVPEDVEGINSDNPIIIN >Solyc02g088360.1.1.1 pep chromosome:SL3.0:2:51118308:51118712:1 gene:Solyc02g088360.1 transcript:Solyc02g088360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLYIRITNPVLASYGAEDPIYAVVQLAQTTTRSELGKITLDKTFEERIELNRSILNAINYWPLRTDWGLECLRYEIKDITPPEGARVAMELQAEAERKKRAKVLISEGQRQADVNVADGKKMAVILETGGC >Solyc04g007310.2.1 pep chromosome:SL3.0:4:1029496:1031138:1 gene:Solyc04g007310.2 transcript:Solyc04g007310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANYFSIVVIFSLFFIYGCYATKLFIRNNCPHKIWPATQSGAGQGAAIPTGFRLASRGTKKFDLPNSWSGRIWARHLCSFSDQNFTCLSGDCKSGSIECNGAGATPPATLVQFTIANSSNEKDYYSVSIVDGFNLPVSVTPINRPDCGIADCLVNLKDVHCGDQVDLEVRDQNETVIGCKSGCLAFNRDELCCTGEYSSPQTCKPSIYSLTLKKLCDKAITYPYDDETLFTCSGGANYKITFCPWGQELPVLKK >Solyc02g061680.2.1 pep chromosome:SL3.0:2:33748807:33752156:-1 gene:Solyc02g061680.2 transcript:Solyc02g061680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTYQTVKDDSVDIAGGGETVTINIRCVNDSKLSVQVSLDSTVGLFKSILSQPTDIPAEEQKVIYNGRILKDDQTLKSCGQEADHTVHLIRGSAAAASASATNVGCCSHYRGLFVRVGGGPLFSRLGSRGGSFGAGLPDFEQVQQHDSNMMREILNMPLVQDLVNDPEIICNFIVNSPQMREYVNLNPELPHIFNDPAIFLQIWEAARNELMHETIRTIQWSLSHTESSPEEFNMLRHMYENVEDPFLNATSMAGDTRNDSGTNPFVDILGAQEQGRNRSTNPQATGSDTTANPHAPNSNPLSDPWASIDCMFLAIGGAQMNTAPRSNTSRNIWGPSPGGLDDIADLQRMLGGIPGASFENQLKGYPSISQIMQHINQIMRIDPNSYPGDMMPNTELIHQLMSSKRMQVYDNLELNEVKVMLEICLEPVWIGSGLSVTILISGRIDY >Solyc07g066520.3.1 pep chromosome:SL3.0:7:68056096:68060032:-1 gene:Solyc07g066520.3 transcript:Solyc07g066520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNSIIESSRNAGPDFYLPDEILSVIPTDPYDQLDLARKITSMAIASRVTSLESDMDRLRQNLNGKDRLILDLEDKVSQLENACHQAELRLNITLQDNMKLSKERDNLAITAKKLGRDLAKLETFKRQLVQSLSDDDSSQAETVDIGIYDQSVHKSYPLKEEVNSYTVHHSFSGSVDGRGSNGDASKHALQRFSSPYITPLLTPSDTPKIASASVSPRRCSAAVSPRRISGSTSPTIPQFQRRTSGSTSPTIPQFQRRVSMSSFYSSSQQSSQQSSAANSPPRARPKPAQTPRADGKEFFRQARSRLSYEQFSAFLANIKELNAQKQSREETLKKAEEIFGTDNKDLYLSFQGMLNRGVR >Solyc09g011350.2.1 pep chromosome:SL3.0:9:4686143:4687237:1 gene:Solyc09g011350.2 transcript:Solyc09g011350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFISYFYDLKLLSNDTALRRFLLPCFNSTPTSALSAPPRSSKTMVIGTIFGQRRGGHVWFCVQHDRLNTKPSLLLELSIPTTTLIQEMRCGLVRIALESSDSELNRCPLHSIPMWTLFCNGRKIGFAVRRRATQQTRIMLKTMQSMTVGAGVIPSGLVSGTESEEVLYMRANYECIIGGADSESFHLINPDEGPGQEFSIFLLRSK >Solyc09g089780.3.1 pep chromosome:SL3.0:9:69937926:69940178:-1 gene:Solyc09g089780.3 transcript:Solyc09g089780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDPSIEKKAIDDTKAGVKGLVDSGIVEIPRIFIRPSHELAEELNMCRSTLQVPVVDLSGIEVEDRRKKIVDEIRDASEKWGFFQLINHGIPSSVLEGMIDGTRKFHEQDVEVKKEYYSSDPRRGVRFESNLQLLTTKGRNATWKDTLYISKSVSEPEEIPEVCRKILVEYKNHVIILGDILLGLLSEALGLKPDHLKAADCNKGLMLACHYYPACPQPELTLGTGKHTDPVFLTVLLQDQSGGLQVMRDNQWADVEPIEHGLVINIGDLLQIVSNDKFVSAIHRVVANKVGPRISAASFFTGSSTPPKIYGPIKELISEENPALYKDFLAADYTAKYFSQPVNTLDLFRL >Solyc04g074685.1.1 pep chromosome:SL3.0:4:60688856:60695580:-1 gene:Solyc04g074685.1 transcript:Solyc04g074685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGLLLGLGRSFRRKRTSSLDILTTKKVPRGFYKGKNCKPTGFHTRKGGYVIVQEKLPNYVVPDLTDFKLKPYVSQCPIGTTSASTEANKSAK >Solyc02g079430.3.1 pep chromosome:SL3.0:2:44550909:44552714:-1 gene:Solyc02g079430.3 transcript:Solyc02g079430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCELCSSIARVYCESDQASLCWDCDARVHTANFLVAKHSRILLCNSCQSLTPWTGSGSKLGPTVSVCQKYQPNPPSLTSSSSSSEDSTNRFNRRSIIGFSPNRTEENIQSHYCGITRPKEKIERSSWRWR >Solyc10g080080.2.1 pep chromosome:SL3.0:10:61598968:61605567:-1 gene:Solyc10g080080.2 transcript:Solyc10g080080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTPNITNSVGIGIRIRTKIRPASTSMAATPAALSTGHCIPTGLLVINSGTSRRGGAARVDSVNGHLTRSRASSFSIRAAAEVEPVGTSSSAQGIENLVIIGSGPAGYTAAIYAARANLKPVVFEGFQAGGVPGGQLMTTTEVENFPGFPEGITGPDLMDRMRRQAERWGAELYQEDVEFIDVKNAPFTVHSSERKVKCHSIIVATGANARRLGLPREDEFWSRGISACAICDGASPLFKGQVLAVVGGGDTATEEAIYLTKYARHVHLLVRKDQLRASRAMQDRVFNNPNITVHFNTETVDVVSNPKGQMSGILIRKADTQEESVLEAKGLFYGIGHSPNSQLLEGQVELDNSGYILVKEGTANTSVEGVFAAGDVQDHEWRQAITAAGSGCVAALSVERYLTSKNLLLEFHQPPTEEVKKELSEKDVQEGFDITLTKHKGQYALRKLYHESPRLMCVLYTSPTCGPCRTLKPILSKVIDEFDKDVHLVEIDITEDPEIAEAAGIMGTPCVQFFKNKEMLRSVTFSAQKTVSGVKMKREYREFIEANK >Solyc08g006145.1.1 pep chromosome:SL3.0:8:869982:871838:1 gene:Solyc08g006145.1 transcript:Solyc08g006145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQCWKMEEKRRLEEARLAEEAALALAEREKAKSRAAIEHAEASQRLAELEAQKRISAEMKALKEAEEKNKILNKLSNSDVRYRKYTIEEIESATDYFAQTRKIGEGGYGPVYKCYLDHTPVAVKVLRPDATHGRQQFQQEIEVLSCIRHPNMVLLLGACPEYGCLVYEFMSNGSLDDRLFHRGKTPPLSWQQRFRIASEIATGLLFLHQSKPEPLVHRDLKPGNILLDRNFVSKISDVGLARLVPPSVADSVTQYRMTSTAGTFCYIDPEYQQTGMLGVKSDVYSLGIIFLQILTARSPMGLTHYVERAIEKGTFNEMLDPAIHDWPYDEALRLANLSLQCSELRRKDRPDLGKVVLPELERLRTLAEENTCPSLMYNQNISPNHSQVSLSRDNLSYPNTMPSYESSKSQ >Solyc08g078550.1.1.1 pep chromosome:SL3.0:8:62466514:62467584:1 gene:Solyc08g078550.1 transcript:Solyc08g078550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrix metalloproteinases protein 1 [Source:UniProtKB/TrEMBL;Acc:K4CNL4] MSPFPNYLYFTFALFLLLLSLPSFPARILQQDPLTELAADIQNNTWHAFVKLIDAGKGSQVTGMSELKKYFQRFGYMPIPDQNFTDFFDEDLESAVLNYQKNLGLPVTGKLDDETMSEIMLPRCGMSDLVHDHSSLHTTKNYAYFYGRPRWMKTSPMILSYAFSPNSMIDYIDASEIRSVFQRAFARWSSAIPVNFTETEDYFTADIKIGFYSGDHGDGEPFDGVLGVLAHAFSPENGRFHLDAAETWAVDFDEEGSKVAVDLESVATHEIGHVLGLAHSSVKDAVMYPSLSPRTKKRDLKLDDVEGVQALYGSNPNFKYTSSLEHDTSSSNRRRRTTSKWTTFLGMVVLIFSLCL >Solyc09g005650.1.1 pep chromosome:SL3.0:9:443410:445332:-1 gene:Solyc09g005650.1 transcript:Solyc09g005650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDKVDVHQHGVALDQKKRNLCPRDGDVTQSSESANKKHNRTNSKVAGTCVVDSSSQEISKSIGRFFYEAGIDFDAIRSPSFQRMVIATLSLGQTIKFPSCQELKGWILQDAVKEMQQYVTEIRDSWTSTGCSILLDGWIDLNNRNLINILVYCPRGTIYLRSSDISSFNGNVGAMLLFLEEILEEVGVETVVQIVTYSTAACMMEAGKKLMEKHRTVFWAVDAYHCMELMLQKFTKIDPIHEVMEKAKTLTQFIYSHATVLKLLRDACPDELVKSSKIRFIVPFLTLENIVSQKKCLIRMFQSSDWHSSVLASTIEGKRMSEMVEDRSFWTEGLMAVKATIPLVEVIKLLDCTNKPQVGFIYDTLDQAKETIKKEFRHKRSHYARFWKAIDDIWDEYFHSHLHAVGYFLNPTLFYSSNFYTDVEVTCGLCCCVVRMTEDRHIQHLITQQIDEYRKGRGTFHFGSFKDKLSNISPALWWSQYGGQCPELQRFAVRILSQTCNGASHYRLKRNLVETLLTEGMNLIEKQRLQDLVFVHCNLQLQAFDPDGSNDDTDNVVDPMDEWIVGKGPNVMSVNTELTWMDLELGSRNGKGKYCVEGPIIHVKKEEEDNW >Solyc11g062020.2.1 pep chromosome:SL3.0:11:49090191:49101204:1 gene:Solyc11g062020.2 transcript:Solyc11g062020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQVLVALGLSLLGGLSTSLGALFVVISQTPNLKMLGLLQGFAAGLMLSISFFDLAHNAINSIGFLKGNLWFFAGVIFFALVSNFIPEPTLAPISSAKGKKKDGDDRGKDIMKKHRRQVLFSGIITAVGISLHNFPEGMAVFLGSMKGLRVGLNLALAIALHNIPEGVAVALPVYFATQSKWQAFKLATLSGLAEPLGVIIVAYLFPSSLNPEILEGLLGAVGGVMAFLTLHEMLPLAFDYAGQKRAVKAVFFGMAFMSASLYFLERSLPADMSL >Solyc12g009610.2.1 pep chromosome:SL3.0:12:2864168:2875553:-1 gene:Solyc12g009610.2 transcript:Solyc12g009610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIDVHDLSDDADYAASVQQGSASMNRSNSSKQGSSGEQEGAEIVYVKDNVAIHPTQYASERISGRLKLIKQGGSLFMTWIPYKGQSSSARLSEKDKSLYTIRAVPFSDVRSIRRHTPTLGWQYVIVVLSSGLAFPPLYFYNGGVREFLATIKQHAYLVRSADDANVFLVNDFQDPLQRTLSSLELPRAVSVTNSPSSAAPSESPSSWTEGETFDRNSALAQQNARQRQKHNDPARDLSIQVLEKFSLVTRFARETTSQLFGEAQGDSFVSNDRRNHDGKTYDYPRIAESNDAQKLPEDVPVPADPLEFDKLSLVWGKPRQPPLGTEEWSAFLDTEGRVTDSEAVRKRIFYGGVEPDLRKEVWQFLLGYHSYDSTYAEREYLVSVKKSEYETIKNQWKSISKEQAKRFTKFRERRGLIEKDVVRTDRSVSFYEEDDNPNIKCLRDILLTYSFYNFDLGYCQGMSDLLSPILFIMGDESETFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDIPLHNYFKQKDCLNYFFCFRWVLIQFKREFEFEQTMRLWEVLWTHYGSEHLHLYVCVAILKRHRSKIIGEGMDFDTLLKFINELSGHIDLDAILRDAEALCICAGENGESCIPPGTPPSLPVDDASMYYQQDDDDHML >Solyc04g079180.3.1 pep chromosome:SL3.0:4:63826792:63829404:-1 gene:Solyc04g079180.3 transcript:Solyc04g079180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMVLMRSLYRSICRRSTVFSATAAATTSAVNHQSLRHLHFSPQSFSASPRNAITECQHPFTMAMGSMRSFSEDVTHMPNIEDSEVKRAFKDLMAASWDELPDPVVYDAKNALSKTTDDKAGQEALANVFRAAEAVEEFTGILTSLKMEIDDAIGLSGEDVKPLSKEFSDALHTIFQRYNSYLSAFGPDEEYLRKKVEMELGTRMIHLKMRCSGLDAAWGKVTVLGTSGLAGSYVEQRA >Solyc12g040375.1.1 pep chromosome:SL3.0:12:54677059:54681145:-1 gene:Solyc12g040375.1 transcript:Solyc12g040375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGYGLEHHLYGSQPIPERFLGENQLNPLYHNWDDLVEYVKGGLGVSYRLFTRSIDATQIDVYFDTLYGLLLMEERPLKNEKSAIIEPTAHFGMAQPQRGRGRGREMAMAMEEGAGVDTLLLHNHLFPIQSYSATLGDWHTRLGHASSSTVRRLVSSNNLGSDSSISSRSSLCQACVTSKIHKLPFSVSEYSARGPLDMICSDVRGPSPEISNDVFPFQEVNGVCAASTKYETSLSLSIPTPHIVPAAHMHPSISQSQPPAIQEPTSTHLPSSVHCSPAQSPSQASHVSLGTGPHNTQVQGLDNLIGVVVDSSDDSNSSGAISLDVVTPLRNHPMVTQAQTGNLKPKRVESFSTSCRLALDPHVILSLLKFLNGDLLCLWNIMCSLQLVLGVLATSMHLVSSLLQQLEMIFSLRNLGKLNYFLGIEATCDISTPMCPSNKLHNGDSTQFLDESPYRSIVGGLQYLTFTRTDISLSVNEFCQFMHSPTEKHRISVKRILRYLKSTSSHVILFSKQNSQQLQGYCGADWGCSIDDRKSTTGYAIFLGSSLVSWCSLKQRSVSHSTTEAEYRSLAAATSELLWVRLLLKEIDLSSGSLPSLWCDNLSATYLTANPVLHSRTKHMKIDFHFIRDLVQKKELWVQYISSHDKLADILTKPLLKDLFYDLHGKLNFLPGST >Solyc10g055170.1.1 pep chromosome:SL3.0:10:56381253:56381774:1 gene:Solyc10g055170.1 transcript:Solyc10g055170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALKNEGVTMIGICGMGGVGKTTLADKIRQKAKQERMFNDVVMAPDLKRLGIPSGRNHKHQCKVIFTILFKQKFGNFIDNPSILDIAKEVDKECKGLPLAIITVAGALKNLKTKPS >Solyc07g065600.3.1 pep chromosome:SL3.0:7:67424317:67436472:1 gene:Solyc07g065600.3 transcript:Solyc07g065600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVEDLFVEIFERKHNIIELVKQHTDRYSQHLATKFKIQGINPPPWLFNSQQSSNPKELNKEQLISVNIPRPPTVRQASARCSLIKRPVVRDDNEEMSQGGFLEVPVTNKSFHPEDGSANTAVNPDNNQECSLDTVPEPDVSVNSPLDQTDAIVLNNFNDLDLSLARIQRSKSRQKALQLRNSAQTEAKDYSSRQKKCVITPIGIGLSTSEQVDHNNVSQKLSEPCVVSSSNGGFRESAERTHEEEREINVHMGEYSKHKASTKCLEGVNHHVEVDSLTDVAEKSHTTEVDNSFATAQKGHSTHKHSCNFAATEVHQHEGIEVNRVTRSRSDYKQLNCINDSLKVDGSADVVQKDEQTQARSAGCVSGRSLEVADTSNIHKPTVKSHQETKKKNGSYCGRITRSRSMAPKLPCPGDDSHATISTFSDRVSTDVFAPAIGGSSAVLFTNLDSLKSAEPSLILYHKSPKTQSNMDSEVIAEPIDTSTVAGSGGEGSMSANSEKSLHENYDTHCPTGSKSVALSSDNNETHNKQGSTEAVMEGSITCRSRTGNSKPKVGVEHLVANPPHDCFMPIKPKQLDFDDKEECNMKMSFTPNFEEKSTKSTDVISNTSPEPTSEKKISGSPVDNRISREQLTLEREISNKCSEVPGSSFSTTIREPAGTVMNGCALDMDEHRASRDTIYESRGVQCSRQSSYLHDDETLSNDVGHQDADTNIELNLKESYNSMVDNVEVGGNSCDRTAQCLSTSYVANNKIAATPHISSLTKKVTGDTQVCLAKNFDIEDPISISPDAKKRSCTPNDPNLSSFNGEESYRDVCVKFDMDRDSLPSGQKDDENLDLSVSSKLEITREPLFDDRFRSAKMDSWPQVKRKNVEDKQTNCFSACPSSQMSKFYQAHVDTVSLNFNTSQEKTDNVVEHTPFRTKSSSVSISEKKNCHLKEGSGSSPKLLNKMGGKSCDRNTQCLPASYAVNTKTAATHHISTSTGDSQDCLVKELELEDLTSITPDGKRQPSMPNDRNLSCLDGENTHGDACGKHNTDRHSHQKEDDMLTWSPQQSGSNDEDNLDLPVSSEIANAREFSLFDRRLRSVKLDSWPQVKRKRLEDNQSNCFSVCPSSQMSKLYQAQMDAVSLNFSASQGKTDNVVKGKPFRAKSSITGTPETKSFPLKEGVGSLRKLQNEMDAICYEKRNNSTESASSSVDKLLRVSHVSSLFQKSAEKELETGEEHELLSNAENFSDEHDIPASLHLEKNVELDHSENLTCLERKSHIGEHNLYSQSFICSSPLNRDLDIVDADQSKPVLEGFIIDASTSGGELDITQLEINYETTIQRASILEQICKSASARTPLSHFTSSFGFDRAQNLYQSLPNGLLEHLDLSTFLSEEDVNKQVRASDSCIDEAKDSKLKIPCSDYQPSYGCQFGGRSGNQYQSPVGKFWERISSHSSSSEKGLNLNPELMCFPIEEDPNSSEENETADMAVSAAERSHARGSLDSVNTDVSCSGHHNKAKRKLGSSFRNMSAAKVKQTSLMGTKGIKQGKESLRRSSRPKLSAKSSFKRERQNLSEKGPSHNNIVTNVTSFIPLVQQKQAAAVCTGKRDVKVKALEAAEAAKRLEEKKENERKMRKEAMKHERARLEQENSKQLENHKKKKEEEKKKKEADAIARKRLREEEEKNERERKRKRVEEARRQQREQDDKIHAKRAEKDKGLPTNDEKTMAKKKCNNDVDMSQKEKERVGKIFKKQESEPKPTETSRNDSFQATPSPGRCHIVDNFTDHEKADSERGTKKSREKSYEISPYQCSDDEEDEDVDLPIRKFIPSWASKLNVANVLPLQQGIDPDSIFPPNSFCSMDEVLVPRMVQQRPAPI >Solyc12g056790.2.1 pep chromosome:SL3.0:12:63820402:63825441:1 gene:Solyc12g056790.2 transcript:Solyc12g056790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFELSDSDSFAVFASSKNVFPPGFRFHPTDEELVLYYLKKKICGKRILLDAIAETDVYKWEPEDLPDLSKLKTGDRQWFFFSPRDRKYPNGARANRGTKRGHWKVTGKDRIIMCNSRAVGLKKTLVFYKGRAPVGERTDWVMHEYTMDEEELKKCQNAQDYYALYKVFKKSGSGPKNGEQYGAPFREEEWADDECPSAKGFVHQDNSTNHVIEAPSVDGGLEELLNPVLMEPLSVDYDYAVEQLVQEEDTRSTLLYHSAKEVNFPHRSAVIAPAKESFDLTQSGTSQRQLHEALEVTSAPVIYEQQPHVVEEDFLEMDDLLGPEPSTQNFDNLGPCVQNFDEAGQSGQNFDMPAGNFEDLPFDYFDGLAEFDLYHDASLLDDVRTTEVGQNTEPHMNNLVNGSVNPASTTYINTFQHEMMNNQPMYLNNSEQISNQLWVHDQRFNISYPSEANQLVAPPATSGVVYDSILANHPMDANQNQLPNQDEGTPSWLTSQLWAFVDSIPTAPAIAAESPVVNSAFKRMSSFSKMRINARNMNVAAAGNTATSRSSRYSKNGLFYFSFVGILCAILCVLLGTFVDILRRPISS >Solyc01g096890.3.1 pep chromosome:SL3.0:1:87753826:87761215:-1 gene:Solyc01g096890.3 transcript:Solyc01g096890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLSFSLKFNYFLVITALFLFSSLSKVQHIETFFLFEKAPLISRDNLEMATSAFKSTSRRGTANSNSNSSSSKAPPFVRKRSLSVSAISRTSSKFDIDSEFSNKCDNPLFCTDNDKGKLKEKENSGVTRRSSVSDVVERGRTVTRDSGVKPGIGRSVSRVRGRSVSRGHYGSAYESEKELQSNTVQRVSSKNVANSSKNINMVRNDTDRSSQAKSSQRATRRGQVTEYSEDDSASSLHVPNWEDGVSTCSLSEAEEKTIKAVCEQMKSVQSDQWGANTATSGIYETVRSEVRRAISDIQSDLEDAIRRNNVNAITTANVADFPPNLVNPEAVELVSDVRREYARKLDESEERARKLRSDLAVEEHRGQEISRILKEILSDPKPSPPQRSRAGRKRSNERKKMTKRLTEEALTYFDECVSISTFDSSDFSAPEYPSHSSVVATTTTGVAVPVLQGSPSAMSTSLPIIAGCHVHEDSSLTANSCNNELTLYQVSQRGSDPGQQSQFSFGQNSPESNQAHDDFRSYIKHFEKDTNKDVFNSDSTTAFYDANEYKLQAHTESLLFGRVAFRNRINSGGLHLCNGGYPVTCFPFGFT >Solyc02g091310.2.1 pep chromosome:SL3.0:2:53278485:53280920:1 gene:Solyc02g091310.2 transcript:Solyc02g091310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATAHDFELVRIQTCVLKVQINCHGCMQKVKKLLRRIEGVYQVKMDVDEQVVIVSGNVDSATLIKKLNKSGKHAELLSENPMENQETELLYNWLNDDFHQNQGGLNWCNNEKTQNRELLNWINNTKHQNQMHSLYNSLGASKRRPMCAPIERGFDHWGNKQFLDQSIGIDSLSGEANKNLFALGNMDYPYNVQEESIINNSDFGRTSAFGNLQSLQGRRNSMVDFEGLQDSNPSFAPNQGLRSINSYFANLGSEESGLQNLHTAQGAYGYKPRPFSEMNDMQAFHYKYPASTMNSFFHYPPTMMNSHRQNSHDNGTGNIINDNYTYQPGRILYQTPYGVFPPNISHSWNMNNYNN >Solyc11g044830.1.1.1 pep chromosome:SL3.0:11:32485624:32485962:-1 gene:Solyc11g044830.1 transcript:Solyc11g044830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMAINVKYYPTCPNPSITIWSRRHYDMSCITLLFQDKTGGLYVRGTKGNNWIHITPIKGALVVNIGDSMHIMSNDRYKIIEQCASDLSTTRIYCTAILNSSLDSVTGPFP >Solyc01g013790.1.1.1 pep chromosome:SL3.0:1:10090657:10090926:1 gene:Solyc01g013790.1 transcript:Solyc01g013790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHSKLKLCIPTGKILEGMTTKKCLQKFHSESLGKLVDSFLKCDVSIQLYKNYESQHEGLLRIKKIKSFPMLNFEALDALVKYKIYA >Solyc10g009500.3.1 pep chromosome:SL3.0:10:3639780:3640560:1 gene:Solyc10g009500.3 transcript:Solyc10g009500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSTCKVCVTGGAGYIGSSLVQKLLDKGYIVHATLRNLEDESKVGLLKSLSGADKRLKLFKADIYKAEEFEEAIQGCEFVFHVATPLLHSQGSQVWDCPPGARVFI >Solyc12g044730.2.1 pep chromosome:SL3.0:12:60912952:60923111:-1 gene:Solyc12g044730.2 transcript:Solyc12g044730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGRRILNDALRTIVNAEKRGFASTQLQPVSNVIANFLQIMKYRGYIKDFEVHDPHRVGKITVQLLGRINDCRALTYRQDIKAASMENYKTRTLPTRQWGYVVITTPNGVLDHEEAMRQNMGGQVIGYFY >Solyc06g035760.3.1 pep chromosome:SL3.0:6:24998266:25000682:1 gene:Solyc06g035760.3 transcript:Solyc06g035760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYLITPILLSLVFVITLRYFYRSKTKLPLPPGPFSWPFIGNLFHVGRKRPHASLAKLAQSHAPDLMSLRFGTRLVVVASSPAAAAAVLKTHDRLLSGRFVSHPIRVEGSKLHNVSTAFLEECDENWKNVRTIYRGALFSNKALESQVSLRENKIREMMQYLDTKMGQVIKIKFVVFVTALNVLANLLLSVDLIDFEGKGIGAGLTEYLRKFTEAGGILELSDLYPVLGILCTDLQGTYKKLIGMFDTICAVWGDIVQDKRKRDSQPSLDSVDFVDALVKNGFTDKHVNALLLEIFAAGTESTTATSEWMLVELLRKPQALQKLRDEISQVVGGSKGIIKESDLPSLPYLDACFKETLRLHPPGPLLLPHRAVQTCEVMGYRIPRNTQVLVNMWAIARDSKIWDDPSSFKPERFINSKFDNKGQKFEYIPFGSGRRICAGEPLASRFIPLAVASLIHKFDWILPNEIDPAKINMDEVLDVTMFKKDSLLVIPKLRNV >Solyc08g074410.3.1 pep chromosome:SL3.0:8:58648314:58660059:1 gene:Solyc08g074410.3 transcript:Solyc08g074410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTELKDDKSKEVEEEQVVNPWEVSAKDGGKIDYDKLIDKFGCQRLDESLIQRVQRLTNRAPHVFLRRGVFFAHRDFNDILDSYEKGEKFYLYTGRGPSSEALHLGHLIPFMFTKYLQDAFKVPLVIQLTDDEKCMWKNLSVEESQRLARENAKDIIACGFDISKTFIFSDFDYVGGAFYKNMVRVAKCVTYNKVVGIFGFTGEDHIGKVSFPPVQAVPSFPSSFPHIFGNENIRCLIPCAIDQDPYFRMTRDVAPRIGYHKPALIESSFFPALQGENGKMSASDPNSAIYVTDSAKEIKNKINRYAFSGGRDSIELHRKYGANLEVDIPFKYLGFFLDDDAEMEHIREEYGSGRMLTGEIKKRLVEVLTDLVERHRRARAAVTDETTERSQAIKISQKDLRQQKDLR >Solyc06g066750.3.1 pep chromosome:SL3.0:6:42052388:42053204:-1 gene:Solyc06g066750.3 transcript:Solyc06g066750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYNFSIGYEIFVVKGKMKLWAFVFVLMAFGMFIGQSSASVSTFRDCYSKCFIFCLIEPSQNLCTCTSRCLKECIFSSDPGNSSSIIPTSHNDVADSKNLNFCKLGCAFSTCSALSTKHQPNGGKMDDCVGSCSRMCTKNYTIP >Solyc02g094420.3.1 pep chromosome:SL3.0:2:55585232:55587078:1 gene:Solyc02g094420.3 transcript:Solyc02g094420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQLAQPFVVPTFCHHLKPPRAVSKHNHDFTISLTLKRKAAEISPDLKGTCLFLVGINSSIKSNSAQLLADALRYYYFDSDSVVEQALGGKDAVRSFMKTDLKGFRDSETEVLKQLSSMGRLVVCAGNGAVQCAANLALMRHGISIWIDVPLDMVAKQIVEEKFQLPAAEAINGSYSEVLTQLTAIYEDSRNGYATADTTIALQNTASRLGYDTLDAVTTEDMVLETLKEVERLMRSKKLMEEAARPF >Solyc02g071120.3.1 pep chromosome:SL3.0:2:41165517:41175341:1 gene:Solyc02g071120.3 transcript:Solyc02g071120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSGPLTPGQVSFLLGFIPVLVAWLYSEWLEYKKWLFPSKVSHSDNNLVELENATIKEEDRAVLLEGGLSKSASTKVSSSSIKTNIVRFLTMEDSFLLENRATLRAMSEFGGILFYFYICDRTNFFADSTKSYNRDLFLFLYCLLIIVAAMTSFKKHNDKSAFSGKSLLYLNRHQTEEWKGWMQVLFLIYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYVLYYICPMHTLFTLMVYGALGIGYNYNDKRSVMALKFVMCVVVVILIWEIPGVFEFLWGPFTFMLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESEPKRRRTIKTSIVAVAVAIGYLWFEYVYKLDKNTYNKFHPYTSWIPITVYICLRNFTQELRNFSLTLFAWLGKITLETYISQFHIWLRSNMPNGQPKWLLSFIPDYPLLNFMLVTAIYVLISYRLFELTNTLKTVFVPTRDNQKLLHNFIAGVVISVSIYCLSFILLQIPH >Solyc10g018520.1.1.1 pep chromosome:SL3.0:10:8597021:8599606:-1 gene:Solyc10g018520.1 transcript:Solyc10g018520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSPEGNGEDTLSKVTSGPLPSAVTKLSGSSRGIPTDRDFHFYNNFSEFRTPISQIDKKSKEILDKVGQLSELWGKPISCPEDPDDEESEEWLVNINDDVLEKLASSLDEFKLLRKKEEETGMKMEDDSESGFQLVGRKKKKSEEKVKVAVKGKPKIPFHIPTIPKPQDAYKIIVNNTNQPFEHVWLQRSEDGSRFVHPLEKFTPSDFVETAGIIEPVKPPPLEDTPFKLVEEVKDLKLLANKLRSVDEFAVDLEHNQYRSFQGLTCLMQISTRTEDFVVDTLKLRVHIGPYLRDVFKDHKKKKVMHGADRDIVWLQRDFGIYVCNMFDTGQASRILKLERNSLEHLLQHFCEVTANKEYQNADWRLRPLPAEMMKYAREDTHYLLYIYDVMRMKLLSLDAGDGSPESPDDPLVEVYKRSYDICMQMYEKELLTDTSYQHIYGLQGAGFNAQQLAVVAGLHGWRDVIARAEDESTGYVLPNKTLTEIAKQMPLTPSKLKGMMKSKHPYVERNLGSVVSIIRASVQNSAAYEAAAEQLKERRLELRAEETIVATEGAEMSLEITEPPGDTSDRHNEYGGLKGTSSGQVEVTIQAIKKPSRGLGMLLGSTAKRKMQPDKKEAEEIQVQQIKSLVSFPFHPFSGSIEQIQQAATVPAKPLEINHREEEPVAANCKTDVITVETDSDDGESVKGELSTGGQENSSAMPVVTSKSEDVILLETDSDCEESGKDDSEVTNNQPECGENKNVLSVEMDEGGENMPLSINKKSKGKLAEKPQAHEGELKVEGFDYEAARKQVVFGEDPGKQQAEREGDESRRSRNEKGNKKDLLLGQPPKIEEAADFQQGRRRQAFPASGNRSYTFR >Solyc10g076300.2.1 pep chromosome:SL3.0:10:59325427:59327185:-1 gene:Solyc10g076300.2 transcript:Solyc10g076300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4D1X2] MTDVNAHKVTDVLPEPTPPPAAGNGETQAPEISTGVSEIVRRWKREDLVKRGCLILHGLALVFSLIAFIVMASNTHGDWKDFDKYEEYRYVLAIAILSSLYTGFQVLRQIQELSTGKESFSRQKLALIGFIGDQVVAYFLLSAASSAVPLTNRMRENNDNIFTDSSVAAISMEFLAFFALAVSALISGHKLSNKSYI >Solyc07g062920.3.1 pep chromosome:SL3.0:7:65674071:65683321:1 gene:Solyc07g062920.3 transcript:Solyc07g062920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVHPEGKTYNCKFCDAKLGHVDSLVSKALHCSSGRAYRFNKVVNITFGENEERTLLSGTYTVKDIFCRICGQILGWKYHFYVVDNVCRTESRSLMTMTMNSTLITRSSSSDDEDEDTVFSDIDRNYRFVHLRFAFCYSNFVNKEEEEANRNTMGERKSLSKKKSSKKKRLKVSSKIRKRKSRRNKSKKLSSSEDDSSSSASSDYSSSSQSLSSNSEVDYSRKRRRHSRDMKRVKKRTWRRSSSQDVSEGSPPVKKRKRSNRKSLDYGRKVQKKKRKRHASISSTNSDSRSCSSCQRENSVSSRGRDFKSFSTCRDENNSVNKDTNLQIPRIKSRKKMKEKKIHNEPSTGRRSRSWGPVCSLCDHHSCSCNTTHNGEEYVEESNPKRLRSVITIPAKTHEEEGNEQGPDMLKEEILNKHHDCPSCTNHDNNDLEIKGKLASCSCFPSIQRMQDGNLTIDETFGPTKVDGGLDPHRNIVKEVSHDNGGESGNSDNIANTGIEDLENVLRKKALENLQKFRKEFQTNLKSGAKEKKNGSDINQLSPPKTEVVPYKSLEHGEKDGLALNQDVKFRSKLVTTKEFSHSTEIEINTPVEKNNGKGSGCFEPCVTQLADRSALSQSPEQENHTTEPVLSNEPEPGKLLCSTTVQTYKKENSLASKRNIIKTPVPLRPGVLSTGTSDNLDMEAVNAGIRPTLETSSARSTSDGLASKHQPDETKDASEFEQKTMSVMRGGEMVQVNYKVYIPKRAPALSRRKLNR >Solyc08g080140.3.1 pep chromosome:SL3.0:8:63599489:63601620:1 gene:Solyc08g080140.3 transcript:Solyc08g080140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPANGSSQEKPYKFLIYGRTGWIGGLLGKLCEAQGIEYEYGSGRLENRSSLESDLATVNPTHVFNAAGVTGRPNVDWCESHKVETIRANVVGTLTLADVCREKGLILINYATGCIFEYDSGHKLGSGIGFKEEDTPNFIGSFYSKTKAMVEELLKNYDNVCTLRVRMPISADLTNPRNFITKITRYARVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYRDYIDPSFKWNNFTIEEQSEVIIAPRSNNELDASKLSKEFPEMKSIKESLIENVFKPNRKTPVA >Solyc08g075800.2.1 pep chromosome:SL3.0:8:60026313:60032863:1 gene:Solyc08g075800.2 transcript:Solyc08g075800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVKCSANFVPLSPISFLERSAKVYGDRLSIVHGNVRYTWRETRERCIRLASALTRLGITRGDVVAALAPNVPALYELHFGVPMAGAVLCALNTRYDSDMVSVLLKQLEAKIMFVDYELLDVVKGALEILSKEKVKLPHLVLISDAESELYNKNWSLASKDAGYESFLGNGGSDFEIVRPNDEWDAIAVNYTSGTTSRPKGVVYSHRGAYLNSLAAALLTEMTSMPVFLWTVPMFHCSGWCLTWTVAAQGGTNICMRNVTERGIFDSISQHQVTHMGGAPTILNMIVNAPQSVQKPIPRTVNVMTGGAAPPPQVLLKMKELNFNVTHGYGQTEAYGPAAVCFWKPEWNYLSPVEQANLHARQGVHHLGLEDVDVKDSKSMKSVPSDAKTIGEVMIRGNTVMNGYYKDVKATENAFKGGWFRTGDLAVKHPDGYIEVKDRSIDTIVSGGEIISSIEVESVIFSHPSVFEAAVVGKPDDHWGETPCAFVKLKNGCNASADEIIKYCRDRLPQYMAPRTVIFDDLPKTSTGKTQKFVLRERVKATDTKTPPPFSRSSSLQMEGTVKCSANYVPLSPISFLERSAKVYSDRLSIVHGNVKYTWRETRQRCIQLAFALTHLGISRGDVVAALAPNIPAMYELHFGVPMAGAVLCALNTRHDSAMVSVLLRHSEAKVIVVDHQLLDVAKGALEILSKASRKLPHLILISDRESQLSSQNNSNRSLGSKDLEYESFLANGEPDFEILWPNDERDAIALNYTSGTTSRPKGVVYSHRGAYLNSLAAALLAEMTSMPVYLWTLPMFHCNGWCLTWTVAAQGGTNICLRNVTEKGIFDSIIQHQVTNMAGAPTVLNMIINAPRSVQRPLSRTVNVMTGGAPPPPQVLLKMKELGFNVTHGYGLTETYGPATVCMWKPEWNSLSPDEQANIQARQGVNHLGLEDVDVKDSESMKSVPSDAKTMGEVMIRGNTVMNGYLKDRKATEDAFRGGWFRSGDLAVKHPDGYIELKDRSKDIIISGGENISTIEVESVIFSHPSVLEAAVVGRPDDHWGETPCAFVKLKNGCNASADEIIKHCRDRLPHYMAPRTVIFDDLPTTSTGKIQKFVLRERVKAMGSVSKASKL >Solyc04g014210.3.1 pep chromosome:SL3.0:4:4509404:4517860:1 gene:Solyc04g014210.3 transcript:Solyc04g014210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDSSSYNRRFPAPAMYHQHCYRSSSNRPCRPGFYSSSYELDRPPGHSHKSPNFVIQLRYGNRRINRYGLDDLIEKLPFAPRSSFVFSKGFLSGSLLYDQWSETLEVIVKLWRMRLSGSHSFTPWVKRNVEVPSDEDELKGRVKMVFLEELKGLLVEGELLQKWEKKLELLRDEICELSRLLKNRNNLRVCNEFLKKREGLEKESDLIRKRIEEFKRGIECIIQQLEETSLEEGGSRVFKIGTEFDWSKIHCLMMRECRRLDDGLPIFAFRQQILRQIHYQQVTVLIGETGSGKSTQLVQFLADCGVTGNGSIVCTQPRKLAANSLAQRVKQESEGCYEDNSIICYPSYSSGHKFDSKVVFMTDHCLLQHYMVDKSLSKISCIIVDEAHERSLDTDLLLALIKNLLLQRLDLRLVIMSATADAAQLADYFFGCGTFHVAGRTFPVDIEYVPCESSGCLGVGPISSYVYDVVKMVTEIHETEGEGTILAFLTSQIEVEWACVKFQTLSAISLPLHGKLSHEEQHRVFLSYPGKRKVIFTTNVAETSLTIPGVKYVVDSGMVKESRFEPGTCMSILRICNVSQSSAKQRAGRAGRTGPGRCYRLYSESDFEGMSHHQEPEIRKVHLGVAVLRILALGIKNVHDFDFVDAPSPKAIEMATRNLVQLGAVGKKDSAYELTIEGHKIIKLGIEPRLGKLILSCFNQRLSREGVVLAAVMANSSSIFCRVGSEGDKLKSDCLKVQFCHPNGDLFTLLSVYKEWEAVPKEGKNSWCWDNSINAKSMRRCQETVQELEACLKSELNIIVASYWHWDPQMHTEHDETLKRIILSSFAENVAMYSGYDQLGYEVALSKKYIQLHPSCSLLNFDRRPTWVVFGEILSAANEYLVCVTAFEFSSLSALSPSPLFNFLEMDAQKLEKKVLTGFGSMLLKRFCGKSNSSVNNLVSRIRTKYMDERIGIQVNVGKNEVLLYASSSDMESVLGQVNGALEYESKLLQNECLEKGLFSGGSAASASVALLGAGAIVKHLELKKRILAVDIFHSNTKAVDDKELLMFLERNTSSDICAVHKSSGTGHDNEENRWGRVTFLSPDAAKQAMLLNQVECNGGFLKVVPSRSVFSNDQKQFSSVLRTRVNWPRRCCNGVAIVKCEPNDVGFMVKDFSVVMIGGNTIRSKPSNKYSDSIVISGLNTDHSETEVLEILSGATDGKILDFFFVRGSAVENPPVAACEEALRREISPFMPKKAPFVQSIRVQVFQPEPKDTYMRASIMFDGSLHLEAAKALEHIDGKVLSGCLPWQKIRCQQQFHSSVSCPAPVYHVIRNQLDSLLPCLQRRNGVECNLERNENGSFRVKISASATKIVAELRRPLEQLMKGKIVDHVGISPTVVQLLFSREGNNIMKMVQQETGTYILFDRHSLSVRIFGSSDKIDMAERKFVNSLLALHESKQLEVHLRGGLLPLDLMKRVVQSFGPDLSGLKLKVPDAEFSLNTKRHCISVKGTKDMKQKVEEIISEIAHSGLPSIMMDNETDCPICLCELEDAYRLEGCTHTFCRSCLLEQCESAIRSREGFPLCCMHKGCGAHILVSDLRSLLSNDKLEELFRASLGAFVAASGGLYRFCPSPDCPSVYHVTESGEAGAPFICGACYVETCTSCHLEYHPYISCEKYKEFKDNPDFSLEEWAKGKENVKKCPVCGFTIEKIDGCNHIECKCGKHVCWVCLVFFSSSDDCYNHLRSLHQAIM >Solyc08g013756.1.1 pep chromosome:SL3.0:8:3218183:3218897:1 gene:Solyc08g013756.1 transcript:Solyc08g013756.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRKTTYIEVFTFWTSPLLGHIFLCTLSRSSFYLILLWNLTCLELICSHTGFSEKFSATVKKFSLLKELHFCYISIIKKSIETISLYNEKRGLKTILSGFPHLESFDLRQCLNVHLEGDIGKRCSQEIKYLRHA >Solyc05g050875.1.1 pep chromosome:SL3.0:5:61936595:61939986:1 gene:Solyc05g050875.1 transcript:Solyc05g050875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHNAMGMKTLIEENDVTSFLTAKQPSKPRYKNPNTFCDHSKSKVHMKVCTHGGEHGQSGDSTCFIPSASQEPGGYNQVIVDNYNSGHGYGGRGVNMAFMGGGHHVSHGSQFPDVSGIINCSAIHNSTSSRWIVDRGATNHMVSNHRLLYETQNVSPTESHKSSGLDLVLILVYVDDLLITGSSSQLIQQTKSMLQALFKIKDLRGYENEECIIVNQRTFALDLISDFGLEGTKPISTPLEINQRFTSQEFDMYYEYQETHEDKLVGKLLFLIMTRPDISYVNLSQFMHKPKKSYMDGVLGVVRFGVVYYDADWATCPMTRRSVSGFAVKIGDSLIPWKSKKQNTVSRSSAEAKYRSMANAVAEMV >Solyc07g008280.3.1 pep chromosome:SL3.0:7:3049805:3051279:-1 gene:Solyc07g008280.3 transcript:Solyc07g008280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLTSQGVVFATAMAVSAGTMILLATFRLQKTQEFSFNSISNIPPRSCISTDRKKKEKKKKRVKFAEDVVEPSGNSEEYRKLRHFNNNNFRSENKNEVFSAFSNSNSNELNKRAKVEEMPANRAALYNAMLKGRVINRVTYSY >Solyc02g093120.3.1 pep chromosome:SL3.0:2:54674454:54687938:1 gene:Solyc02g093120.3 transcript:Solyc02g093120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYQYPYYQTPPPPVPPPPPGTTLHALPPVVVQPLPIPQYHHPHHPSAPPLYPQDSHDDVRTLFIAGLPEDVKPREIYNLFREFAGYESSHLRSQTSSNSQPFAFAVFADQHSALVAMYELNGMVFDFEKDSKLYIELAKSNSRSKRLRPDDGRSVSEKRIKGSAAISRDSGSGFGSIHMPGMGNSAYNTIGYPPTQSLGKFDGRVGNQAASKKAADPCPTLFIANLGQSCTEQELIQVFSRFRGFLKLKMQSTYGAPVAFVDFQDTASSTEALSHLQGTILHSSSGVGMQLEYAKSRMGLRSKKSR >Solyc06g051905.1.1 pep chromosome:SL3.0:6:35677134:35677407:1 gene:Solyc06g051905.1 transcript:Solyc06g051905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFEYASPCSPVVDANLTYYMDLSGFWSRKKSILLFSHSYKGTLCNLGSVEQVCHTVLGDMHLPCDKIGANLQKSKANVNLALPNFHC >Solyc07g064080.3.1 pep chromosome:SL3.0:7:66493087:66510706:1 gene:Solyc07g064080.3 transcript:Solyc07g064080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILARALEYTLKYWLKSFSRDQFKLQGRTAQLSNLDINGDALHASTGLPPALNVTTAKVGKLEIILPSVSNVQTEPIVVQIDRLDLVLEERDDLDTPKSSSSPVSSGSSSKGSGYGFADKIADGMTLQVHTVNLLLETHGGARRRGGASWASPMASITIHNLLLYTTNENWEVVNLKEARDFSTGKEFIYVFKKLEWGHLSIDLLPHPDMFADANFGSSQGGNNKRDEDGAKRVFFGGERFIEGISGEANITIQRTGLNSPLGLEVQLHITETVCPALSEPGLRALLRFMTGLYVCINRGDVKPNQQHTEAAGRSLVSVVVDHIFLRLKDTEFQLELLMQSLFFSRESIAGGESAKCLTRLMIGGAFLRDTFSRPPCTLVQPSELTDSDDVLNIPDFGKDFCPPIYPLGNQQGNFSAGVPLISLHSLQLKPSPSPPTFASTTVINCQPLMIHLQEESCLRICSFLADGIVVNPGGVVLSDFSINSLTFNLKGLDIIVPLDIGTGNHTVPGGDDVCHSLFGGASLHIENFTLSESPTLKLGLLNLEKDPACFSLWEDQPIDGSQKKWTAGASVISLSLQTCKDSTGLQNSLALPSNSWRCVELKGACLEVAMATADGGPLTNVPPPGGIVRVGVACQQYLSNTSVEQLFFVLDFYTYFGRVSEKIAVAGRFNSQAEVSHKTLGRSLRMPLVQFIGKGLFIKVTHRTLGGAIAISSSLLWEGVEVDCADTLSSLPREDSSVWTSNQNGHFVENGTQLRSVFWVQNRKIYRSNGSFVSVPFLDVKMVQVIPYKTQDMECHSLNVSACISGVRLGGGMNYTEALLHRFGILGPDGGPGEGLTKGLKHLSAGPLSKLLKATPLTLDEHQDDGKDTGRLQLETPDDVDISIEFKDWLFALEGAQEEAERWWFCDHEDSVREERCWHTTFQNICVKASSSKHVTNDSGKSPGKKRYPLELITELLQVGMEGLQILKPRSPHSIRQDSPEGPLKETAERFGGMNIEVDIVNCEDDIDDGLGKWIVENLKFSVKQPIEAVVTKAELKYLAFLCKSEVDSMGRIAAGILRVLKLENKIGAGAISQLSNLGSESFDRIFTPEKLSRDNSSSSMGLSPSSNITGGSRNPYLESTVASLEDMIKESQTKCSSLSVELANSTSSLDDVKELSQKLENMQKLLMQLRTQV >Solyc10g019037.1.1 pep chromosome:SL3.0:10:11261001:11262299:1 gene:Solyc10g019037.1 transcript:Solyc10g019037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSVSPSSKHEHISHRATQLQPAATSERGQRCNRRQPPAASLSRTTLFSLFSFPANPTSSSDRRGPPRSSNLGKEPSAKTCKQIAPTGSISNSGKRNIKSSNSSSKQLWPSKLRRSPKFWIGGRRIYLRATNQRNTTIAAITSIFNLLLLWVTIICCAMRRIVV >Solyc12g042640.1.1.1 pep chromosome:SL3.0:12:58934342:58935433:1 gene:Solyc12g042640.1 transcript:Solyc12g042640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSKGLEWKEWLQGWLRLTYETLFQKIHAKHLQEPLPLPPLNGLNCIVTGATSGIGLEIAKQLAESGAHLVMAVRNTNLAHQLIEKWQRNETVSRPLSIDVIELDLFYLESVVKFAKEWNSRSKPLHILINNAGIYSIGQPQKFTKDGYETHIQVNHLAPALLSLLLLPSLKRGSPSRIINMNSLMHVIGVVDPQDMNFLTKKNKFTSRKAYSSSKLAQMKFSSILQKLLINTNIHVMCVEPGAVRTNVTRDLPRILNILYQKMFFFMFDAQQGSRSALFAATDAEILDYCGVLKAEGCIGCAFIGCHCRISEPSKQVDNERTSFEIWDKTMEMVGLPAGCVDMILQGEEIHCRYGAVNDR >Solyc01g104240.3.1 pep chromosome:SL3.0:1:92580083:92585960:1 gene:Solyc01g104240.3 transcript:Solyc01g104240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLQGQRLPVQNQNGDGVGSTTFCQRCSMGFSRIGLQFSFRCIAVLLLSIALFLSAVFWILPHRSKLSGFDAKEAIKHAATTQAYFRLEKPVSDIVPHIARLEYDILEEIAIPNMKVSVLSVHAAGLANQTNVIFGFLPDPVDSSSTPVYLSLLKSALLELYLRDTNLTLTSSIFGQPSSFEVLKCPGGITLMPEHLPFWDLPDVLFNFTLHSSIDEIRENFIELKEQLISGLRLSQSESVFLQISNKVGSTKDPPVVVEASVYSDIGSLQPQRLKQLAQIIMGSVPKSNLGLDNSVFGKVNQVSLSSFLNRTVHAASPAPTPAPAPNEPLWPSPSPSPSVSSSPSPSPAPLSNCRRLRSHARHHCGPAHGEEPSSSPSPIADPPSPVTSSGPPSSSSGVAASPSSHAPTYPPKLSPRVNLSPGPSPQMSSSISSSSSSLAPGFSYKGLCLFWLFGLAVFQILGWTW >Solyc05g018030.1.1.1 pep chromosome:SL3.0:5:19622090:19622470:1 gene:Solyc05g018030.1 transcript:Solyc05g018030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQFVQEKAHENSADRKGKRPMSELYELKTIVPIFFNLEKGGTSENEFKEEDDYDYEQDLAILKSMYHYYFNNCSAIPYSISKEMINYIEDLIPNLKLHGEALTTKILLLHHNFSIDWEMVGGY >Solyc10g085380.2.1 pep chromosome:SL3.0:10:64709050:64710579:1 gene:Solyc10g085380.2 transcript:Solyc10g085380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQLMPEKTFARVHHSIAMEINPPSFTKRLEGKVAIVTGGARGIGEATVRLFARHGAKVVIADVEDILGNTLANMLSVTYIHCDVTSEDEIKNLIDSTLSKFGHIDILFNNAGVLGSQSSQKKSIINFDPDEFDRVMSVNVRGAALGMKHAARVMIPQGHGCIISTSSVAGVLGGLGPHTYTSSKHAIVGLTKNVACELGRYGIRVNCISPFGVATSMLVNAWSHDGDGDGDGDEGGEMNIGLPSEKEVEKIEDFVRGLGNLKGTTLRAKDIAEAALYLASDESRYVSGHNLVVDGGVTTSRNCIGL >Solyc01g100130.3.1 pep chromosome:SL3.0:1:90101487:90108551:1 gene:Solyc01g100130.3 transcript:Solyc01g100130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGNGGRKTSDRRKAGSTYRASDQSDEDYTVDDDEEFDESEDGYSSFVGDDSEESLGEYEEEEEELKRRKVKRVARSKAPSRKEKGTVNSRKRKRVSYREDDNEDDEVDEDDEEFTPDCLDEEKEFPVTKGTNNSSKRRLRKGTVKDEDDEEFTPDCLDDEEEFPVMKGTNNSSKRRLCKGTVKDEDDEEFIPDCLDVEEEESPVTEGTDNSSKRQLCKATFKDEDEDFTPDCLDNEDDEEEESPVMEGTDNSSKRRLRRGTVKDEEDEELNSDGWHEEEDFPVMKGMKNSSRLQRLKATAKDDYEDDDEEDKDDEEFTPVSLDEEEDIPITKGMKNSSKPRLCKGSTKDDDEDDDDDEEFTPDGLDEEEEEEFPEMEGIKYSAKPRSRKGTARVQNRNRNHKKLKKITRKKPLKRRQLRRKARSENDEEFIDVDPTMKEKNKKNAGQRRKRKRLTVDSDSDFVASSGSSEREFTISEEEREQVREANNFCRSLVTTWRGSASLKKSPIEEAPRLQRKHPARKAKEKMEELKIEAGKQICGICLSEEGKRTVRGTLNCCSHYFCFACIMEWSKVESRCPLCKQRFVTISKPARSDTGFDLRTVAIQVPERDQVYQPSEEELRGYLDPYENVLCTECQQGGDDALMLLCDLCDSPAHTYCVGLGHEVPEGNWYCESCRPTALASLNPQNLNPMPDNRTSGSFSVGSPPVANVRETFDLNEMYVPDTPLTEESGDFHSPRDGQVSSLASGIGAFTVSDRRRIQRQIHQLLNNRRRQLGNIAGTSGAVSGNSLFGSQIARSRGLANQPAIAPRAVPHNSFFRGRQLESDAHLSQNPNLVPERASHLSGQLNLNGASTSSQSFFGEFLESELQGTDASFNFNLVHQQLHPCSSSRSNVGPDSSTSPCQFREPAVPSRTLPSTLRRQF >Solyc08g063010.3.1 pep chromosome:SL3.0:8:52565782:52578338:-1 gene:Solyc08g063010.3 transcript:Solyc08g063010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGEGEQKKGAAELIPRKSEPSNRWATFNRDLMAGAVMGGAVHTIVAPIERAKLLLQTQESNISILSGPHRRFKGMLDCIRSIVKEEGVISLWRGNGSSVLRYYPSVALNFSLKNAQLEGLEENTVTASWMRVKMTGHLWMVFLADDSHAVVEGEKRNGDLAHSDFSSLHFVLPHLSSGRLSRPALLPHNISHPASLHSASGVLYKLTTIRRHLQPISGLTEPTMSYANVRDTVIRLDISLPVSSDILYSFCNDLYRNILHSNFQEGHFLAGSSANFIAGSAAGCTTLVIIYPLDIAHTRLAADLGRYETRQFRGIYHFLRTIHEKDGIRGIYRGLPASLHGMIVHRGLYFGGFDTIKDRMAENSETEVPLWKRWFVAQAVTTSAGLFSYPLDTVRRRMMMQSGLEKPMYRSTFDCWRRIYKTEGFTSFYRGALSNIFRSAGAAAVLVLYDEVKKFMNWSGL >Solyc09g011730.3.1 pep chromosome:SL3.0:9:5009500:5016004:-1 gene:Solyc09g011730.3 transcript:Solyc09g011730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQLLEAQFPFPPTKHMSNEFHVYLWLLPFPLTHFLFAFNIRKLSSFCSVYSYEISFSLQLITSFSFLYRNHLELTLFTMWSAPNLQAMSLRPRIIQPHFCNDGCTKFRQHMFLAWSSINRVEINHCFTSHLHMQCCAVLADTTKIVSDNFRGNRSFDSKARTFKPFGQASHSLLLGRCQVRSEDSEGTLSGESILQNEETLARDLRTAIKEENYAQAAKIRDRLRLLQENSNASVLAANARFYNAFKTGDLAAMQALWSRGENTCIVHPGVSGISGYDLVMGSWEFVWAEYDFPLEIEIRDVQVHVRGDLGYVTCVEMVKTKGSSWGKQFATNVFEKVDGQWFICIHHASYIDL >Solyc09g090550.3.1 pep chromosome:SL3.0:9:70516349:70523627:1 gene:Solyc09g090550.3 transcript:Solyc09g090550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex 34 kDa subunit [Source:UniProtKB/TrEMBL;Acc:K4CW81] MARFERASPALKEILLRLYRDERAIDADHHLHEFGSIEYYIQSLVSDPDHTYLSIATPILSQAFLVSTRLSRYTIQKVKAISAEVVEIVEPPKEGYQLTIRLNFGRMPHGKEAIKMITDIAAVQGVILSSQLEEMLMNVNSQDVAQGMYKPIKLVYHPREPFYVIKQPQKITAVFPMRFKEKTDVIIATTFFQELMDVANTKACAKAPHCIWSPIPPPELRGEAIEDLSTNGGFVSFDITSRHIEGKRLDKTVWNLLNFYAFVKNHVKTTRGFIQRRMRTCLQSLVEVLQKTEPQDEQQSKEVKGYKHMKKLVKFTKFKIIRYRSDFTSKLKRIRSRLKIHGFNRFRRKWLTFPIFSSKTKYRKLEKDISLQHN >Solyc10g074447.1.1 pep chromosome:SL3.0:10:58004209:58004886:-1 gene:Solyc10g074447.1 transcript:Solyc10g074447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLNFALIGFLLIVSVAMDVNPVKAQRRCTEILDPNNCVPDDCKNQCIQKHNGNGLCVGSSGTGQFACACTYDCENSHSNTK >Solyc11g044400.1.1.1 pep chromosome:SL3.0:11:33002431:33002694:1 gene:Solyc11g044400.1 transcript:Solyc11g044400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHLGGVDPDSSNRRLNPGLTWVIDAPRVTMMAHIWGPTNCNFDGAGRDSCQTGDCGGVLQCIGWGKSPNILAEYSLNQYSNLDF >Solyc11g061814.1.1 pep chromosome:SL3.0:11:48842911:48858129:1 gene:Solyc11g061814.1 transcript:Solyc11g061814.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQASISNIKRNTRMLICNQLDKIQRFISEKMWSVHHIIATDVFKEDRKEDLDEAWTNIGQIRISKNLWKILCSCSKYKYDSCFIWIDVEQSVLNCKVHMRVDQMIKAGLVDEVRQILIPDADYTKGIQRSIGVPEMDRYLREETNIDGEDESKKMIFQASISSIKRNTRMLICNQLDKIQRLISKKMWSVHHIIATDVFKEDREEDLDEAWTNIVLQPCLDIVKLNQIQTSQLKIFTQRVPIIVGGSNSYIEKLVEDPVFICFIWIDVEQSVLNRRVDMRVDQMIKAGLVDEVRQILIPDADYTKGIQRSIGVPEMDRYLREETNIDGEDESKKMILEASISSIKRNTREIEPDSDFTAEDFRLQVVVYTEKIMKTQRVPIIVGGPNSYIEKLVEDPVLMFKYKYNSCFIWIDVEQLVLNRRVDMRVDQMVKADYTNGIRRSIGVTDKWTYI >Solyc07g053220.2.1.1 pep chromosome:SL3.0:7:61794132:61797592:1 gene:Solyc07g053220.2 transcript:Solyc07g053220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CFM0] MEIKNNSFLLFSLLYLCLSLKTYLSIEAADTISANQSLSGDQTIISSNGKFKLGFFKPGSSPNYYIGMWYDKVSEPTAVWVANREKPVLDKNSAELKILDGNLVLVDESQTSIWSTNISSSNSSSVVAVLQDDGNLILTDGSNSTPPLWQSFNNPTNTWLPGSKLSYNKVTRTKQLLTSWKSADDPAPGLYSLELDPNEKQYIIKFNRSVDYWNTGPWNNRIFRDVPEMRTNYIYNFSYEDNQNESYFTYSLYDDSIISRFIMDVSGQIKQLTWLDNTNQWNLFWSQPRQQCEVHAFCGPFATCQESLPFCNCLDGFKHSSETDRNQNDFSGGCERQTKSQCGNGTGERDDFWMHPQMKVPENAQNISAGSDEECRSTCLNNCSCTAYAYGSSCSIWNSELLNMQQLPQNDGRGESIYVRVAASDIPKSKSKKGIPIGVSVGSAAAVLILLGILFVVFRRRRRHIGSGKIVEGSLVAFDYKDLQHATKNFSEKLGGGGFGSVFKGKLSDSSVIAVKRLDSISQGEKQFRSEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYDYMENGSLDSHIFTEKQSDVMDWKTRYQVALGTARGLTYLHEKCRDCIIHCDIKPENILLDAQLCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMLLEIVSGKRNSEYSQDGKVKFFPRWAARVVVDEGDILSLLDYRLDRAADAEELSKICKVAYWCIQDDEFQRPSMGQVVQILEGVLDVNLPPIPRSLQVYADNEEHIIFFTESSSSQTSSQAQSKTSSTTSQSKSIAESTNSRS >Solyc04g077050.3.1 pep chromosome:SL3.0:4:62102741:62107293:1 gene:Solyc04g077050.3 transcript:Solyc04g077050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEFQKNAMYVSNELESGDVQKNFDDDGREKRTGTLLTASAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLLAFSFITYFTSTLLADSYRSPGPISGKRNYTYMDVVRSHLGGVKVTLCGIAQYANLVGVTIGYTITASISMVAVRRSNCFHKNGHEASCSIGSYPYMIIFAVIQIVLSQIPNFHKLSWLSILAAVMSFTYASIGLGLSIAKVAGVGHHVKTTLTGVVVGVDVSGTEKVWRSFQAIGDIAFAYAYSTVLIEIQDTLKSSPPESKVMKRASLAGVSTTTLFYVLCGTIGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFCQPLYGFVEARCSERWPDSKFITSEYAMQVPCCGTYNLNLFRLVWRTTYVIVTAVIAMIFPFFNDFLGLIGAASFYPLTVYFPIEMHIAQRKIPKYSFTWVWLKILSWTCLIVSLVAAAGSIQGLATDVKGYKPFSTHQ >Solyc01g099590.3.1 pep chromosome:SL3.0:1:89654694:89655730:-1 gene:Solyc01g099590.3 transcript:Solyc01g099590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione-S-transferase [Source:UniProtKB/TrEMBL;Acc:A3FMP5] MEDVKLLGTKESIFTQRIIWALKLKGICYEFIEQDFSSRSSPLLVKLNPVYNKVPVIVHDGKSLAESLVILEYIEETWPLINPLFPLDPFQRASTRFWARFVDGKFYEAAKKAFFSSGETKAEGVESVVEGLHLLEGQIIGKKFFGGEKIGYLDIITGWIAYWFQYIEEIGEFKAMDSTKYPCLHAWINNFIQLPIIKQSLPTPDVVKSVFRGFKDAAALADAN >Solyc03g034390.1.1.1 pep chromosome:SL3.0:3:6281883:6282170:-1 gene:Solyc03g034390.1 transcript:Solyc03g034390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVIISCVAIFLFLFLGELLVTEAQTCNVNELISPCFATFAFSSPPSSACCTKLREQQPCLCEYIKNPAYAQYISSTLISRVLTACKIPVPKCI >Solyc01g105605.1.1 pep chromosome:SL3.0:1:93621370:93622614:-1 gene:Solyc01g105605.1 transcript:Solyc01g105605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAVLGILMTMSARHMGQLMCDSSQVSMQVYVFLIVGLFFEYIGRLIRTESQKLPLKSQCKLAHDKLKIHFSKLSVTLDLRHIFIEDRHNNNMRSYNIGFSLQGTGYLLANS >Solyc02g032987.1.1 pep chromosome:SL3.0:2:30070386:30080108:1 gene:Solyc02g032987.1 transcript:Solyc02g032987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKGYYQVRIAEGDEPKTTCVTRYGAFEWLVIPFSLTNAPATFCTLMNKILHPYLDQFVVVYLDDIVIYSGTLEEHVMHLKKVFKILQDNQLYVKREKCEFAQPKVHFLGHVISQGELRMDEAKVKAIRDWEAPTKVTEMRSFLGLANYYRMFISGYSAKFSPLTELLKKNRPWVWSEECQGAFEGLKTAVIEEPVLMLPDFTKAFEIHTDASDFSIGGLDYKNYKAEIRIAYAQDHFKDRVDKGYFVLNDVFRYVEENEIDTVSEVINGTEDVQLEVLTPDPEIVVETESHFNEDQHPTNTESANSVAQEDAPKKLYASIVSSQTKKGPTKIYVPTNTSRMAPPKAIKQLVAAASPIIIGSRKVVVDMKRTTTRGSAETLVEVGATGEMNLEDTIFQGVVGFKVEVGESYQLRGRRGQRGGPSQSSATAYFMWLDEFFGGKF >Solyc06g064960.3.1 pep chromosome:SL3.0:6:40624595:40626104:1 gene:Solyc06g064960.3 transcript:Solyc06g064960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMSNLCIFVLVAHFATTVVCHERPHQAALFVFGDSIFDPGNNNYINTIASFQANYFPYGESFFKYPTGRNSNGRLIPDFIAEYANLPFILPYFEIGKKHLVNGVNFASGGSGCLAETARGFVIDLQTQLKYFQNVGKLLQKKVGETESKQILSNAVYIFSTVSNDMFAPLFANSSFPYSDTEYLQMIMGNLTSVLKGIYKEGGRKFVMFNLAPLGCLPLMRALNLQIGVTNGSCMEKATNLAKMFNSALPTMFKKLEKQLPGFKYTIFNFFKVIADSIHNPTKYGLKISETACCGTGSFRGILSCGGKRQVKEYELCKNVKDYLFFDSVHPSEQAYLKYTELLWNGTLDVVAPYNLKSFFELST >Solyc12g008730.2.1 pep chromosome:SL3.0:12:2091176:2094323:-1 gene:Solyc12g008730.2 transcript:Solyc12g008730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKIQQLQSKACQASQFLSKHGTTYYKQLLEQNKQYIVEPPTVEKCNELSKQLLYTRLASIPGRCESFWKEVDSVKHMWRNRKELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYV >Solyc05g041623.1.1 pep chromosome:SL3.0:5:53420025:53421784:-1 gene:Solyc05g041623.1 transcript:Solyc05g041623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNLLQMTTTDAIEDVRREVKILRALTGHRNLVQFYDAYKDNENVYIVMDRTSKASPKRTNWLVSSTSFSNSFTTELHQRALATSFSNRFTYELHQQLHQQLFTNELHQQLHQQSSPTSSTNSFTNKFHFSHQNLQNSFHMKLHPNMALSRCLKK >Solyc08g074755.1.1 pep chromosome:SL3.0:8:59017221:59021680:1 gene:Solyc08g074755.1 transcript:Solyc08g074755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDLPCQHQRRLHMILFFSFGNFGGLNRVLCTLVLGFDDGSWLHLPSHPSKRKKGFCGEDGTYLLYHPKPKLNQGIILFSIAGFVVSHPVYCMSKGTVAQRSPPDVLQ >Solyc05g012810.2.1 pep chromosome:SL3.0:5:5972236:5973624:1 gene:Solyc05g012810.2 transcript:Solyc05g012810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSELSEKKKTTTTTIASAGAAAQDVNWSLAYAIAAVESKWGFETLRRSAYLVKGMRWVAFWTHLFYGLSLGAMVIGSNVVFVILGVGKRDHWRSFSVTSQVVQCTVLGTLGMNQFLVLNVVLYMYCKGLEGEKLSFEDVSVPLDDEEKNHNIV >Solyc02g065590.3.1 pep chromosome:SL3.0:2:37308808:37321623:-1 gene:Solyc02g065590.3 transcript:Solyc02g065590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGDTGQLLARASTLPNLPSIPYNYQRRRKPLTKTKSRPPMLNHQGSFSREIGHAAAETYLITRLSFNLISYLGLGYQWITRFLGLALYAMLLMPGFIQVAFYYFFSPLVRLSVVYGDQPRNRLDLYLPAKIDSPKPVVVFVTGGAWIIGYKAWGSLLGKQLSEHDIIVACIDYRNFPQGTIGDMVEDVSQGISFICSSIADHGGDPNRIYLMGQSAGAHISSCVLVKQAIKESRGETVSWSTSQIKAFFGLSGAYNLPNLVDHFNNRGLYRSIFLSIMEGEESLQKFSPEIMVQDQISKAAVYLLPHIILFHGSSDSSIPSDSSKAFVDTLHRVGVQAESILYDGRTHLDLFLHDPLRGGGKDDLFDYIVSYIHANDKEALAKDAMAPPRKRLAPEILIKFLIIEEATNSEDLSMAVPVVRFPIFLLVRVIGIAVAALVLIWNVHYRGGLALISDNKALIFNVHPVLMVIGLVLLNGEAMLAYKTVSGTKSFRKSVHLVLQFLAFFLSLIGLWAAWKFHNDKGIDNFYSLHSWLGLFCLFLFGVQWAVGFATFWYPGGSRNSRATLLPWHVFFGIYIYALAIATCATGFLEKATFLQASHIISRYSTEALLVNCLGVLTVVLGGLVILAVVSPMNGRSDIIRGPAE >Solyc05g051680.3.1 pep chromosome:SL3.0:5:62910478:62937106:1 gene:Solyc05g051680.3 transcript:Solyc05g051680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVPTGRPKRLQAGIISKIRLENFMCHSNLEIDFGDWVNFITGQNGSGKSAILTALCVAFGSRARGTQRANSLKDFIKTGCSHALVHVEMKNRGEDAFKGETYGDLIMIERRISESSSSIVLKNYQGKKVASKREELQELIVHFNIDVENPCVIMSQDKSREFLHSGNSKDKFKFFFKATLLQQVEDLLIGIQSQLKNANELVAELEKSINPIEKELDELQGKIRSMEHIEEISNQVDLLKKKLAWAWVYSVDKQLQDKIKRIEELKGRIPTCQSRIDQHLRKMEELNDQLTKKKAQIAHMMEKTSEVRKMTDELKQSLSLATKEKLELEEERGRKSNYIQKMAKRVKMFEQQIRDMDEQNIRNTQAEELDMEVKLKEFQAEIDSANVVFQRLRNEEDNLIDKINQAKDQINKIVHEIEENDKRDRDIRSRIRELQLHQSNKVTAFGGGRVMGLLEVIERQHRKFNRAPIGPIGAHVSLVDGDKWGTAIECAVGKVLNAFIVNDHKDSLLLRACAREANYNHLQIIIYEFSRPRLHIPDHMLPQTHHPTAISVLRSDNPTVLNVLIDVGSAERQVLVKDYDAGKTVAFDQRISNLKEVYTSDGYKMFSRGSVQTTLPPMKNMRGGRLSGSYDDKIKTLESEAFEAQNKARQSKGMKRSINEELQGLHDNLQSAKRRRHDAERVLRSKEFSLQDFKKSYVAESSSTAVSTVDELHVELSFYFLENNVQKVRDEMHEGENLLEKLQLRLKEADNKANEVKISFENLCESAKVEIGALEEAERELMMIDKDLKDAELKKNHYEGVMSTKVLSQLTGAEAEYQELEHNRRESYKKASIICPESEIEALGGCDGSTPEQLSAHLARLSQRLQQESRRHPESIEDLRMLYNKKERKILRKQQTYKAFREKLGACHKALELRWSKFQRNATLLKRQLTWQYDLYHLHIHIIFNGHLGKKGISGHIKVCYEEKTLSIEVKMPQDASSSSVRDTRGLSGGERSFSTLCFALALHEMTEAPFRAMDEFDVFMDAVSRKISLDAVVDFALAQGSQWIFITPHDIRRMDHALAKHTNKFVSAQFCNLSFKSVSMVKQDERVKKQQMAAPRS >Solyc10g018450.1.1.1 pep chromosome:SL3.0:10:8060504:8060743:-1 gene:Solyc10g018450.1 transcript:Solyc10g018450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDVECSKCFKWLYIPTEERYEKIREHLLECPLYCEDAREWRPSISCNDIPDITRKEKKYGHLISLVFLSLIQDGNEL >Solyc04g049875.1.1 pep chromosome:SL3.0:4:44385616:44389939:1 gene:Solyc04g049875.1 transcript:Solyc04g049875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDVKSSGIEVKYCEGGINLNQSKYGAEMLAKTEMTLAKAITTPLARKHGFQKAVGRFVYASF >Solyc01g105460.3.1 pep chromosome:SL3.0:1:93522792:93529482:1 gene:Solyc01g105460.3 transcript:Solyc01g105460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGRNFILRTCSLPSHVRQRSICCFQCTGRSGSVIDLGWKEVKQWHSSLRGFFMCKVQQHDATSEENEENISQATLIWRAIKLPIYSVALIPLTVGSAAAYLQTGLFSARRYFMLLASSVFIITWLNLSNDVYDFDTGADKDKKESVVNIVGSRTGTFVAACLLLALGFLGLTYTSCPPFRLSYQGLGEPLCFAAFGPFATTAFYLLQSNTSELPITYTTLSASALVGFTTSLILFCSHFHQVEGDKAVRKFSPLVRLGTEAGSRVVKVGVATLYSGMLLLGFTQTLPLTCIILCALTIPVGKLVVSYVEKNHKDKAKIFMAKYYCVRLHALFGVALAAGLVAAKMVARYPVPKPI >Solyc03g117425.1.1 pep chromosome:SL3.0:3:68034803:68035945:1 gene:Solyc03g117425.1 transcript:Solyc03g117425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAESDQVASFVAISGMLCKFNSQRCMSSLTPKQFKYPNQFALGIPDGSVHVFEPLESGGKWGVPPPLENGFAKGVP >Solyc04g015790.1.1.1 pep chromosome:SL3.0:4:6074743:6075126:-1 gene:Solyc04g015790.1 transcript:Solyc04g015790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIILLYVIALFMIFPLFWRYGNATTPRKLGVRHNAVTSLPNTNEVTFDGSENQDSPILSSSDLTNIITVPLVDPTIFANEAEAHKHGARQTPIIVTSLPNTNEETQTNVVLPREPWSGHGSLIID >Solyc04g079150.1.1.1 pep chromosome:SL3.0:4:63812785:63814494:-1 gene:Solyc04g079150.1 transcript:Solyc04g079150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLKVVSFISKTLSRKLHSQNCIFHQNIRRNSVASTHIHEHCIKYVFATRGSLILNTSSRFCLPSFLSFQRFYCAENSAFSSLEETTESCSNDPVDNVYKVVVDHANPGHKMEVALDKLEIELTTPLVVEVLRKLCYEEKLAFRFFTWAGHRENYSHESQAYNEMIDILSSTKYKVKQFRVVCDLLDYMKRNDKSLVPLEVLLKILRQYTEKHLTHLHKFAKKKKIRVKTQPEVYAFNLLLDALCKCCLVEEAEALFTRVKSKVKPTADTYNILFFGWCRVRNPGRAMSVLDQMINIGHKPDNFTYNTAIETFCNAGMVMQAADLLEFMRTKGSTMSSPTAKTYTIMIVALVQNDMMVEYSKVLGDMLNSGCVPDVLTYKELIEGMCLAGKTEAAYKLLEEMGNKGYPADIVTYNCFLKVLCDNKDRDEALRLYQKMTEVGCVPSVQTYNMLIVMFFRMGDVDGAFETWHEMSKRGCTRVTETYCVMIEGLFDNNATEEACFLLEEAVNRGMKLPYRKFDSFLMRLSAIGDLRAIHKLSEHMRTFYNPAMARRFAINQRQKSMKLRGK >Solyc02g022920.1.1.1 pep chromosome:SL3.0:2:24905141:24906382:1 gene:Solyc02g022920.1 transcript:Solyc02g022920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPWKKVKKTSISQLVKDHVNSQSGSPLMVETGFPTSLVDFVVKNRRRFKKSSKKKMVDDPLFVLNPPSSVTLPSTSEFMIDDNEVPPMIRVPEVEGDKPESRKMNDEKEWGYGCLSMNQGLVAVLKMFLVAALVLGMNNLVVGVTMSAFLLFFLEYLGERLYGFFYKAPLELSKDGCSDFRFQIQEIEAAQGTNVEDSQCIREKIEEESIWDGKGKCVGLDVIEKGEEYRYDLCESKEKKSHRAKMKSKMKKLVLKKFRKKKGSALERPMLLDDIDYVIEGKKETEGSSEQKMPSNSIVSSVASSIDDKTDIVEVVGNAGVASEVLTDASIEESFNGTDDKATIVGEEELTGREHSSMYIALLLVVLVGLIGGRVLALVFTLSCCLMLKRGEGIGRFTKLPVMRRFTKKFC >Solyc03g078250.1.1.1 pep chromosome:SL3.0:3:51680481:51680666:1 gene:Solyc03g078250.1 transcript:Solyc03g078250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMEAARHPPAEARLQMAESWRRRQRRRRRWRMEKTTGEMEMETTTTDRGDGEDDDREER >Solyc04g009650.3.1 pep chromosome:SL3.0:4:3019653:3020738:-1 gene:Solyc04g009650.3 transcript:Solyc04g009650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIFRIISCVEKWNRSEGTPQVAYTFDAGPNAVLIARNRTYAALLLQRLLFHFPPNSETDLNSYVIGDKSLMEDIGIQDIKDIEALPPPPEIKDKVPAQKYKGEISYFICTRPGRGPVLISDDSQALLHPDTGLPK >Solyc01g110400.2.1.1 pep chromosome:SL3.0:1:97027909:97028542:-1 gene:Solyc01g110400.2 transcript:Solyc01g110400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTQWCSTVARRLMTVMEQRMAYSSSAAPSAAMGGPILCGRGDKRTKKGKRFKDSYGNSRPKKEKKIERIKDKVEVPRSTPWPLPFKLI >Solyc04g008830.1.1.1 pep chromosome:SL3.0:4:2438188:2439969:-1 gene:Solyc04g008830.1 transcript:Solyc04g008830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQMLVFKILLISSFFHQMSLAQQPQICVETDRLALVGFKDHIFKDTTGFLSSWTGKDCCGGGWEGVECDVSTGRVNRLVLQTPSESDISVYMKGTLSSSLGDLVFLETLIVSGMKHIAGAIPESFSKLSRLKQLILDDNSLQGDIPSGLSHLESLQTLSLSGNHLTGQIPSVLGNFKNLQQLSLADNMLTGVIPIGVKNLAALQSLDLSHNLLSGVVPNFLGQLRNLTYIDLSSNELSGEVPVSLCGLIKLSFLSMDHNRITGRIPSQIGKMKALTSLSLSFNKLTGQIPESIAGLPNLWNLSLSRNELFDPLPIAFSKGVPSLLSIDLSYNKFNLGVVPEWIRTRELSDVNLAGCKLRGMLPNFTRPDSLNSIDLSDNAFTGGISSFFARMLSLQKGKISNNQLKSDVAVIKLPDGISSLDLHSNQLFGSLSRMLSNKTSKFLEAIDVSNNQLSGSIPEFVSGLNLKVLNIGSNKISGQIPTSISNLDKLERFDISRNQITGTIPVSLGSLVKLQWLDLSINRLSGKIPDSLLGIEALKHANFRANRLCGEIPQGRPYNIFPAAAYAHNLCLCAKPLPPCKGTKQGKLGQ >Solyc06g005020.2.1 pep chromosome:SL3.0:6:9148:15315:1 gene:Solyc06g005020.2 transcript:Solyc06g005020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVKKIADVTFKAGKTIDWEGMANLLVSDEARKEFSNLRRAFDDVNSQLQTKFSQEPEPINWGYYRKGIGSRLVDMYKERPTTIPKFVDMVTPQYKPKFDALLVELKEAEQKSLKESERLEKEVADVQELKKKLSTMTAEEYFEKHPELKKKFDDEIQNDYWGY >Solyc11g066160.1.1.1 pep chromosome:SL3.0:11:52150546:52150857:1 gene:Solyc11g066160.1 transcript:Solyc11g066160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:K4D9M4] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc09g005890.3.1 pep chromosome:SL3.0:9:616749:628984:-1 gene:Solyc09g005890.3 transcript:Solyc09g005890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSMILEAPDTEEVSLLMEIFGLSLTGGKEVREATMSSIKVLAKVFSSYKEEVLAKKEELLLHAQGAIAGLKINADIMRIDSEVSNIHQKLDKVILQLPSSKHEKEPSSVATSEALKEALSQLQFLSKMEALLLQKKALLGRDVPESQTKRVFKLKVLSESLSSSTTKTEARISENRIQKEGALKFRVTKTSEISQLEKELMDEINALENQRDELEAALQKVKVSLVSANARLYNAREERDQFDEANNQILQHFKVKDDELSKSMILYRAEADVCDAFISFLEDSWTFESSHIKQKQKQVNDELDKCEDYLVNLAIHVLSMYKDELGPSIANLRQLGENLKRPEIINNEKIETVEVKRRLEEEYMDAEAKLVTVFSVVDGIKRQFYGQDGTISRKGDEKMKELLDTLQKLEDDFESINRPMLELETLGKTDAKSKETLEGSPSSSPTQPTNDDSASFVDALPRIQTPRPDHTSNPETVVLTPRRWMRENVRRSLSLAINQTTGTLESSFKSPKHRKGKSMDPAAELSRLKLELELENDSRIHPSEEINDWCD >Solyc09g009890.3.1 pep chromosome:SL3.0:9:3372444:3376602:-1 gene:Solyc09g009890.3 transcript:Solyc09g009890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVDAEDMEKKHAELLALPPHGSEVYIGGITHDISEADVREFCETIGEVTEVRIMKGKDSTQNKGYAFVTYRNKELATKAIKELNNTELKGKRVKCSPAQAKHKLFIGNVPRSWGEEDMRTAVTNVGPGVITIELVKDPLNSGKNRGFAFIEYYNHACAEYSRQEMSNSDFKLDDNAPTVSWADPKNAETASSQVKAVYVKNLPQHINQDKLRELFEHHGKITKVVLPPAKPGQEQSRYGFVHFADRSSAMRALKNTEKYEIDGKILECSLAKPQVDQKSSGGSSSQKGATLPIYPPRIGYGMMGAPYGALGAGFGAGFGGPGVAQPMVYGRGATPGGLAMMPMLLPDGRIGYVLQQPGVMHSPPPTSRGGRSGGSSSDGRRGDSGGRGRPRYNPY >Solyc08g083215.1.1 pep chromosome:SL3.0:8:65869175:65870270:1 gene:Solyc08g083215.1 transcript:Solyc08g083215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFREHILIPYSREFSMDVTKEYIQQVYCPSIGVIEPSANEGRRQNEEKTQRETIHVEQFELKIVNDCNSMVSYVAGRTQHYVPTPIYYLLT >Solyc02g067980.3.1 pep chromosome:SL3.0:2:38608494:38614728:-1 gene:Solyc02g067980.3 transcript:Solyc02g067980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4B7D0] MIKQILNRLPRKPSKSGDNRDGGTSAFQSNASNSSRSSDLSNSRPGNLSAPSLSGVTGSSAPGLNHGDRLPQAINAKVNGHAAVFSVEALPNLKDVPPSEKQNLFIKKLNLCCVLFDFSDPTKNTKEKEIKRQTLVELVDYVTSANGKFTETVMQEVIKMVSTNLFRPPTPQPRENKVLEGFDLEDDEPMMDPAWPHLQIVYEFLLRFVASPETDAKLAKRYIDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKSINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVQMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFIGELEEVLEATQPPEFQRCMVPLFRRINRCLSSSHFQVAERALFLWNNDHVENLIKQNRKVILPIIFPALEKNARGHWNQAVQSLTLNVRKIFSDVDPELFEECLRKFEEDEAHEEEDKTKREMTWKRLEEIAAMKAASNEAVLVSHRITPNSSTG >Solyc05g015740.2.1 pep chromosome:SL3.0:5:11855132:11857139:1 gene:Solyc05g015740.2 transcript:Solyc05g015740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSFSVGQTFSKKNKQKSVNVYQAAVV >Solyc01g067620.3.1 pep chromosome:SL3.0:1:76263583:76266317:1 gene:Solyc01g067620.3 transcript:Solyc01g067620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVPSFPVKVGHIDDVQELKKIKPSSIPERFVRDMIERPKLATVTTPSSCSLNIPVVDLSRISNSQDFHNEIMKLSTSCEEWGFFQVINHGIDLDLLEKMEKIAMEFFMLPLEEKQKYPMAPGTVQGYGQAFVFSEDQKLDWCNMFALGVEPHFIRNPKLWPTKPLDFSETVDIYSREIRKLCKKLLKYIAMSLGLNDDIFEEMFGVAVQAVRMNYYPACPRPDLVLGLSPHSDGSALTVLQQGKCSSSVGLQILKDNVWVPVQPIPNALVINIGDTIEVLTNGRYKSVEHRAVTHQEKDRLSIVTFYAPSYEIELGPLEELVDENNPCKYKRYNHGEYSMHYVTNKLQGKKTLEFAKIYDK >Solyc06g059770.2.1.1 pep chromosome:SL3.0:6:37775225:37775308:-1 gene:Solyc06g059770.2 transcript:Solyc06g059770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAFGGGEGGVRVKCLEPSRVGWGVSG >Solyc01g088430.3.1 pep chromosome:SL3.0:1:83106447:83111369:1 gene:Solyc01g088430.3 transcript:Solyc01g088430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPGILTEWPWASLGNFKYLVLAPFVGHSIYSFFISKEESQRDFSYIFIFLYIVMRMVHHQMWISLSRYRSAKGDNRILDRSIEFDQVDRETNWDDQIIFNGVAFYIAYLKFSETHHLPLWRIDGIIITALLHTGPVEFLYYWIHRALHHHFLYSRYHSHHHSSIVTEPHTAVIHPFAEIVTYYTLFFIPLVTTVLTGTASIASVVAYAIYLDFMNLMGHCNFELIPKWMFSIFPPLKYLIYTPSFHSLHHTQFGSNYSLFMPMYDYLYSTVDKSSDTLYEKSLERKAELPDVVHLTHLTTPESIYHLRLGFASLASKPHTSKWYFWLISPVTLWSFFITWIYGHTFVVERNLFSNLKLQTWAIPKYSIQYFMQWQRDSINNLIEEAIMEADQKGVKEKQVNNNGELYIRRHPKLKVKVVDGSSLAVAVVLNSIPKGTSRVVLRGRLSKVAYSIALTLCQGGTQVMIDGEEYKRLKALLNPEVASNLVPSKSYASKVSTIPPPLHIYIPNKNKEYFLIKSIWLVGDELSEDEQLKAPKGTLFIPFSQFPPRKVRKDCFYFNTPAMNIPKHLENVDSCENWLPRRVMSAWRIAGILHALEGWNEHECGNKMFDIQKVWKASLQHGFSPLTTPSAIEAEA >Solyc12g017550.1.1 pep chromosome:SL3.0:12:6817940:6818709:1 gene:Solyc12g017550.1 transcript:Solyc12g017550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNYSHFLLRARLVTKFEFVMKKDQKTTVAIPKRTLDIQFHKIIALKHNAALIKFLAPFFSDLTNTSIYESLQKSMLSYFSQNHVPVDSVSLSNPKKNSEYYLVLHLQVFPFDQVHFNRTGIATIGFALRNWF >Solyc11g072860.2.1.1 pep chromosome:SL3.0:11:56338172:56344843:1 gene:Solyc11g072860.2 transcript:Solyc11g072860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc03g112210.1.1.1 pep chromosome:SL3.0:3:64172928:64173206:-1 gene:Solyc03g112210.1 transcript:Solyc03g112210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMRYWESTSNDDYLDHLKKMEKAPTMHPDIPLYPNAYCVFKNKLSYEGQQSNMNCKNTESQKKVQFAELNKNDKEKPKNFQLHKWRTFKV >Solyc09g065050.1.1 pep chromosome:SL3.0:9:63079684:63080766:-1 gene:Solyc09g065050.1 transcript:Solyc09g065050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPRDLGVGAFGHSTSRFEGESRAGPRGTTPGGPRGEWNLGTAPRGLGVDGVGWGPQGTMLRGPKGGGWRASRHSARVLGRGGAGGLRQGASMSKGVAEPRRGGWASGHSSSWSEGGGGSQRLEVRERLNHDASRSGWGRGEPRGTGGWASRSLEVQGWLQAQCLEVQVGWGEGGFQGTTVEVLEAQYLEVWGDGGPQSTTPRGPSGGVHEATRSKGVALDMTPRDSGESGPQVTTPHLSLKMMSITQDNLTPLINHYKRP >Solyc02g084950.3.1 pep chromosome:SL3.0:2:48624601:48627278:1 gene:Solyc02g084950.3 transcript:Solyc02g084950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKVFHMTGGVGETSYSRNSSLQKKASEMVKHITLETVEEVYVATKPKSIGIADLGCSSGPNTLSNIKDILDKIEGISHNKLKQSAPEFRVFLNDLPTNDFNAIFQALPEFHQWLKQKDGSDDDENRVTNSSNIYVAAYPGSFYGRLFPDHCLHFIYSSYSLHWLSKVPRGLYDEQGNSLNKKSIYISENSPCEVSKVYFDQFKEDFSLFLQSRSDELVSRGKMVLILLGREGFNHVDRGNAFFWKILYQALTNLISKGEVEKEKLDSYEVHFYAPCKEEIEKVARENGCFEVERLEMFEIEKTIGKGMSYGTMVAMTVRSIQESMLAHHFGEAIIEGLFKEYGRLVDEEMEKEEIRPITFLLVLRKP >Solyc08g028885.1.1 pep chromosome:SL3.0:8:39301470:39302097:-1 gene:Solyc08g028885.1 transcript:Solyc08g028885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLEELRKQLKELLEAGHIRPSKSPYGAPVLFQKKKDESLRLCIDYRALNKTYLIVLDIPSTSPRWIYQVRIREGDEPKTACVTRYRAYECLVMPFGLTNAPTTICTLMHEILHPYLDQFVVVYLDDIVIYSNTLEQHVEHLRKVFQILRGNHLYVKREKCDFSLPYVHFLGHVISQGEIRMDEAKIRATQE >Solyc06g011450.2.1.1 pep chromosome:SL3.0:6:7403966:7405891:1 gene:Solyc06g011450.2 transcript:Solyc06g011450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTIRKAIGAVKDQTSIGIAKVASNMAPELEVAIVKATSHDDEPAGEKYIREILQLTSSSRGYVTACMSAISKRLGKTRDWIVALKCLMLIHRLLNDGDAVFQQEIMYATRRGTRLLNLSDFRDEAHASSWDHSAFVRTYALYLDQRLELMVFERKQNGGQVERYGSKDEQWRLPQSSNRGYGYDHGDFRDEPGYGMRRSRSSGDVRESIQEKKDVTPMREMKPERIFGKMTHLQRLLDRFLSCRPTGLAKNERMVLVALYPVVKESYKIYTDICDVLAVLLDKFFDMEYEDCVKAFDAYASAAKQIDELVGFYNWCKDIGVSRSSEYPEVSRITSKLLDTLEEFVRDRAKAMKSPERKVDSQPAPQGEEPTLDMNVIKALPPPEDYTAPPPPELEPPKPKPVIQETRDLVDLREEGVTADAQGNKFALALFAGPGTSNANGSWEAFPSNGEPEITSAWQNPAAESGKADWELALVETASNLSKQKAALGGGLDPLLLNGMYDQGVVRQHVATAQSSGGSASSVALPGVGKSATPVLALPAPDGTVQTVGQDPFAASLSVPPPSYVQIADLQKKEQLLVQEQMVWQQYGRDGMQGQTSLAKITTGGYYGPGQPPVMPYGMPPVNGVGMPPAAGYYYNPY >Solyc06g076820.1.1.1 pep chromosome:SL3.0:6:47859704:47860846:1 gene:Solyc06g076820.1 transcript:Solyc06g076820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTLFRPIFSNFLSLLFLLLHLGCFVFSPSSSSSQNHSSKKRKHSSNLNSSPPPPSTRLKSSTTNAISSSWSYIKRIFSSNKQKINNTQTHNNPSIQSPCSSTRSLQKPISSDDLINRSVSPIPESEITSDQLFFPLRNDIYPCTLCGEVFQSPIHLEQHQSIKHAISELTDEDSGNNIVRIIFQTGWVDKEKNPVINRILKIHNSKRILSRFEEYRECVKLKASRNAAVKRDERCIADGNEVLRFHCTTFICELGQNGNSSLCTQQYCSVCGIIKSGFSHKMDGISMQPNSWRGHVSVPEEIEEEFGFMNVKRAMLVCRVIAGRVGCDPGYVDKEDSGFDSLVGRENGSHMRLDEEDELLVFNSRAVLPCFVIVYTV >Solyc08g080120.3.1 pep chromosome:SL3.0:8:63583583:63588357:1 gene:Solyc08g080120.3 transcript:Solyc08g080120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEEGGLGLMGSSNTSGLGGGDISSMMISSEDHHNRNLNHYNQLKSEIATHPLYEQLLSAHVACLRVATPIDQLPLIDAQLTQSHNLLRSYASSQQQQQQHSLSHHERQELDNFLAQYLLVLCSFKEQLQQHVRVHAVEAVMACREIEQNLQLLTGATLGEGTGATMSDDEDELQMDFSLDVSGGDAHDLMGMGFGLPTESERSLMERVRQELKIELKQGFRSRIEDVREEILRKRRAGKLPGDTTTVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >Solyc03g115810.3.1 pep chromosome:SL3.0:3:66882543:66894561:1 gene:Solyc03g115810.3 transcript:Solyc03g115810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDADLSDDDRKPKVGPSANSIDQSLDAIENQLSSISVGQSEYESEADSDDEIKEPSSSNQDKLKELPNGLLNKNSGTDNSEELPPMNVVEDVSSLVPVWRNNSEEMEAPASPSSSGYAGERGSSNASSRDTGIEEVDGEILEIGKGDSFDGGSNSQVQWLPGKRHGNEDDASISWRKRKKHFFVLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVNLVRAGKHQVVFLVKGPIYLVCISCTEESYQSLKGQLELLYGQMILILTKSLNKCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPANFLHAYTCLPLAYATRQAASAILHDVADSGVLFALLMCKQKVISLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMSFLHAYVHYLDVDTYLILLTTSSDAFHHLKDCRIRIEKVLLESNVLNEVQRSMVDGGMRVEDLPADHGSHSGAVSHHLGQPGHTRESSERFSEAFIGVGGPAGLWHFMYRSIYLDQYVSSEFSSPVNNRQQQKRLYRAYQKLYASMHDKEIGPHKTQFRRDENYVLLCWVTQDFELYAAFDPLADKALAIKTCNRVCQWIKNIENEIFLLGASPFSW >Solyc04g071207.1.1 pep chromosome:SL3.0:4:58249905:58250960:-1 gene:Solyc04g071207.1 transcript:Solyc04g071207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDFYVGDEGKNKDDMEKIWHHIFYNELRVAPEEYPVLLAEVPLNPKVNRKKMTEIMFEIFNVPSMYVAIQPVLSLIANGRLTGKQKRRNIGSLLFNISQSLISFVILQSGIVLNSCDGSTHTVPIYEVHALSHAISWLDFGGRDLTYYLWELIREGFACDIVIEGNNFYEKRKVVYIGAGSFQCPEEKVYNSIMKSDVDIRKDLFENIVLEITTLSPRGTEIKVIAQPERKYSTWIGGSINLSSLE >Solyc12g014290.2.1 pep chromosome:SL3.0:12:5099923:5102916:1 gene:Solyc12g014290.2 transcript:Solyc12g014290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiprotein bridging factor 1b [Source:UniProtKB/TrEMBL;Acc:Q152U8] MAGLSQDWEPVVIRKKAPTAAARKDEKAVNAARRAGAEIETVRKATAGSNKAASSSTTLNTRKLDEDTENLSHQKVPTELKKAIMQARQDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIISKLERALGAKLRGKK >Solyc09g089980.2.1.1 pep chromosome:SL3.0:9:70050902:70051006:-1 gene:Solyc09g089980.2 transcript:Solyc09g089980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQPDLPHLEIHPEEGYSKDHQKQTKDSLGIFYH >Solyc10g081955.1.1.1 pep chromosome:SL3.0:10:63011134:63011769:1 gene:Solyc10g081955.1 transcript:Solyc10g081955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKECTHHKDKKRKRVRRLFAGILIFLFVVLLTILLVWAILQPKKPRFILQDATIFNFNVSAPNIFSTSIQVTIFARNPNDKVGVYYDKMKTYANYHNQQITYYTQIPSVYQGHKDVNIWSPFVFSNNVPISPYNGPDLKEDQQNGGVWLDFKIDGRVKWRVGTITTGHYHLHVTCTAYVPFGNHPGDGGIIVGNNAVKYQLARSCDVSV >Solyc03g119755.1.1 pep chromosome:SL3.0:3:69784765:69788208:-1 gene:Solyc03g119755.1 transcript:Solyc03g119755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSASFLVRSEADLNVDEKSLQQWVVGFCIIRFDLEQGQLIEECYPAGCLTHNEELEVAFSSFPDSVSQNHNRSSIHDCIFFFRVRRQGNPQVAHLPSSEIVEVNNTQASQMTASDKVLKQRSKIQTGVNSRYLYGFVFNRQRHDERLKRGGEQKSVVILSHNPFSSVFRPLLQIMGPLYFDIGKKALNFIASYVSMWPVPVPGQLMELPIGNATLKVNLPPAHCMPLDCGVLFEELASPIAPFLPSSQSVPQGLFHDADLFGTFRGLLMQLWKLWELLLIGEPILIIAPTPPQCCEAVAGLVSLVAPLLCSIDFRPYFTIHDPDFAHLNSLQEGAAFPPMILGVTNLFFLKSLRRIPHVLSVGNPVMNAARLPFSARASTGRIPAGPEGLSLPSLSLNRFTPSNFLNAMKLRRDGPLCLMTEHKEAFWSSYAPITKPDTSILNRLIDAGMSPRVEESMSVVNNDILRRHFLELTTNFLAPFGPYYRPTTPSEGSSPYVDPPPLPTFNAEDFLTSLSARGPGKFLLKRMKSNWLDLYRRFLKGHNFLPWFQRKRAVAEQEQYRLWRQARMRADIQHFISKMSELEIVDTFNAIERHLLGELQACAFLNF >Solyc09g018363.1.1.1 pep chromosome:SL3.0:9:14676353:14676664:1 gene:Solyc09g018363.1 transcript:Solyc09g018363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWRVHMDHRKLNAWTEKDHFPMPFMDQMLNRLAGKRWYCFLDGYSGYNQISIAPQDQEKITFTCPYGTLTFKRMPFGLCNAPASFQRCMVSNFYDMVGVHY >Solyc02g090200.3.1 pep chromosome:SL3.0:2:52462572:52467671:-1 gene:Solyc02g090200.3 transcript:Solyc02g090200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARGRKRAAPVNTDNVDCNTQKNSEYEQLREKRIKENLERMQKLGIFDISLKLKPVRTPIVRKTPQRLSPVQRSGPTRRSSRLQSATPVSYSEVHLSKIDDSLDGKHHLLREEGAKPEIYTEEHEKLLGSTDLSWTFFVDGYGNDGKRIYDPVKGKTCHQCRQKTLGHRTHCSNCQIVQGQFCGDCLYMRYGEHVLEANKNPNWLCPVCRGICNCSLCRQAKGWAPTGALYRKIARLGYKSVAHYLIQTHRATVSTENNLTPFSAKRSLPFSDMEGTRKDEGLCEVKPVAYVAYDANEPNLAPESSTEPLLLKPYPENSGTDLVLSGDNVGNFSTDNKSGNANAVLVVGSSLTSQTEMKPVDYDGHEPNLAPESSTVPLLKSIVEPLLLLKHDSENSAGNISVANKSGNENVVLVGNSLTSQTEVKPTLLPESSTEPLLKSIAEPLLLLKHDSENSGKNLVLSCDNAGNFSADNKLGNENAVLVGSALTSSTPVLTELNDVFKGESQLSDEFDIGKTQSKEEKEDSICVLDDKNCDEVAPEVSSKSKKKPCRAAATHVFDTIAGRLRQRRGRSNLEA >Solyc07g066370.3.1 pep chromosome:SL3.0:7:67958340:67961864:-1 gene:Solyc07g066370.3 transcript:Solyc07g066370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEAPAKPPCDTPEHDSAGVNGFDINNDTSSTALAKGLSTIISSLIREFDDSAAATSRSQDQLSSTLDRLTGELDKLLEDAPLPFIMQHAARISGVRKRITSLNSLLKSIQRRLDNIDHTLSAGLLHEKSSGDGGRDHENHKSSLKSS >Solyc07g066220.3.1 pep chromosome:SL3.0:7:67825464:67831433:-1 gene:Solyc07g066220.3 transcript:Solyc07g066220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDHVAIMGDWMPPSPSPRTFFSSLLGDDGGSRLSFDGTNETSGNLASGPQEHVGNSDGVDTAPAAISEPQPVKLSVPSDQKMNSRGGGLLERIAARAGFNAPKLNTESLRPADLRQNQGVRSPYLTIPPGLSPTTLLESPVFLSNSLVQPSPTTGKFLFSSGIDNRNSALMMEDTDKRKDNALESINSSSFSFKPVPETAPSLFPGTTSRSWLQVNPSNFSQQGFPNIEVSVHSQNSLVSHRVEATQNPTQNGTLQQSSDFPRFSAEKDVMANNVTLDSRTFQTVGSAVDHSPPLDEPQDEDIDQRGGGDPNVAGAPAEDGYNWRKYGQKQVKGSEYPRSYYKCTHPTCPVKKKVERSPEGHITEIIYKGAHNHPKPPPNRRSALGSTNSLGDLQVDGAEQGASGVNGDLGQANFHKAPGGGGGFDWRNNNRDANLGSEHCNRSAPFSAQNNTRLESGDAVDVSSNFSNDEDEDDRGTHGSVSQGYEGEGDESESKRRKLETYSADMTGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCNVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGASNTHPASVTAPAQNHLHRPEAAQLQNAMARFDRQPSLGSFGLSGRPPQLGPNPGFSYGMNQQGGLSSLAMAGFHPNQNKPGEVPMHPYLGQPRPMHDMGFMFPKEEPKVEPLSDPGPTAYQQFLNSLSLGPQM >Solyc12g010070.1.1 pep chromosome:SL3.0:12:3210146:3211049:1 gene:Solyc12g010070.1 transcript:Solyc12g010070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPRVLERRESGLYSCDLFLT >Solyc10g050350.1.1.1 pep chromosome:SL3.0:10:49086357:49086806:-1 gene:Solyc10g050350.1 transcript:Solyc10g050350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSLLSDFDATRDDWLIKVRVCRTWEFIYYKRSPYIISLDMIPIDEKVHIIHILRFQFLISLFGIVLHIDFSKGIWMHAIILNNQVNMFRDKLSDGSAVFIKNIKVSALTGDYRPVQSNFKITYLRKTAIQKLQDDIVHIPQNGFQFF >Solyc03g112280.3.1 pep chromosome:SL3.0:3:64212403:64217529:-1 gene:Solyc03g112280.3 transcript:Solyc03g112280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYVYLLEGKDWTVEDSYVKLKIGKFKSKTRVLKNTKNPIWNEEFVFRVHDLEDELVLSVYQHHDNSGIFNVYGDLVGKVKIPVWSVAAEENHNLPPTWFSIKKPKSAKSVDKYCGKVLLTVSLHGKGKGLSTNHVGYVNPTNDTSKETEVTSIPSQDFHDFAAHSKKISEGKHLMKNIACHFEKLFSKNEEAKKSDEEEVKKDDSSDVSTITSDFGDPVEEPPVSCSFEEVIERMQPTSEDKEMPGDLQGGVLLDQTYVLPSKELNMFLFTPSSQFRKDLAELQGTTDVQEGPWTWKTDDMCVTRVVTYIKAASKLVKAVQATEEQTYIKADGKEFAVFVSVNTPDVPYGSTFKIELLYKIMPGQQEPSGEESARLIISWAINFCQNTMMKSIIEGGARQGLKESFDQFAELLARKLKVTTSKFVLEKDRALASLQTEQQSDWEMAKEYFWNFTVVSTIFMVLYVFVHILLSEPSKLQGLESYGFDLPDSVGEIITCGILVLQLERVYYMVSRFVEARLRRGNDNGVKAQGDGWVLTVALIEGMNLASLDPTDPPDPYVVLSCNGKTKTSSVQLQTLDPQWSGVHLFLIHPHLFVVVNVMCLTDTFAEILEFDAAEEPPSVLDVEVFDFDGPFDQASSLGHAEINFLKHTSAELADIWVPLEGKIALSSQSKLHLRIFLDNNNGVETIRDYLTKMEKEVGKKLNLRSPHRNSAFQKIFGLPPEEFLINDYSCSLKRKMPLQGRIFLSARIVGFYANLFGHKTKFFFLWEDIEDVNVVSPSWSTVGSPALVMILRKGRGVDARHGAKCQDEEGRLHFCFHSFVSFNDASRTIMALWRTRALPPDQKEQIVEEQLEKDEKLAMSEDTSSYLVVEDVQMSKVYSAELPVNVKSLMLMFDGGDLEHRVMSKSGCLNYATTSWETVAPDVSERQVCYKFNRFISVFGGEVTSTQQKSPIADGAGWTINEIMALHDVPFGDHFRVQFRYQIESSTSVHNSCKCDVSVGVMWLKNTKFEQRITRNVIGKFTTRVKDILELVEREILLSS >Solyc07g026865.1.1 pep chromosome:SL3.0:7:32107443:32108744:-1 gene:Solyc07g026865.1 transcript:Solyc07g026865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLILCNFNGRLLGHFKSLIGNKSQVEGCIAEGQKIEEALTFYSSYFEDIESRINRPKRVNDETNHDEVPERSYMFPRQGKVVGGFITFPLAHVEKTQAHRYLLLNCASAKPFIEQHNKKSSRGRKPAITEVEMRINREFTDWFPKRIMNPDIEDTISDDIKFLAQGPAPYARRFTAYNINGFKFRTLSREQGLKTQNSGVFLVSDTSCVASSADRNTRQANLRVNDPLRIIHPIQG >Solyc03g112595.1.1 pep chromosome:SL3.0:3:64467861:64468863:1 gene:Solyc03g112595.1 transcript:Solyc03g112595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYGNEYITSEIISWLPVKSIVRFKCVCKAWNTWIEHPNFSKLQLARSQGLTRLLFELYPCKSYRSKKKKKQRRLERYSLEFTRRFYFEDMFICSNHCNGLVCLYSSKDGQVYLFNVSTREFKALPVSVEGVRKNSMDPRLYLGFDKGKLIVRCGRSGENVICNDPKKDFTEFVDYHSDDISEKVVILETEERTDRPTETLDAVLATASIINAPTSLLNPELFRIVHVSSFVDNVIPLTSLF >Solyc12g040814.1.1 pep chromosome:SL3.0:12:56283422:56285470:-1 gene:Solyc12g040814.1 transcript:Solyc12g040814.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSISLLYPSLPTKKHSSKNHLPFRANAIVGLMQYDRALVTVMVMRWRPETHCFHLPFGEVTITLQDVQVLFGLRIDGDVVYIQDATRRIRPWSTLLETLTECTIAPTDIDAVRRVRIHNITANLRDQLQVDPIRDATPVERVERIARLYMLVILGGILFPNTSGNLISLQYLAFLDPIHDVGKYSWGSAVLAYLYRALCRASIGNVIDICGFIPLLQVWCWERIFPVQPSAPPQHDGDMLLPYASRWTRVIDRDTESHHVLIPIRDQLDNMMEDQLHQINFATLLK >Solyc04g026000.2.1 pep chromosome:SL3.0:4:19927942:19931800:1 gene:Solyc04g026000.2 transcript:Solyc04g026000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAKRIRTITGSKENVRVKADELIKLISGSICTQSISIAMFAQTAAFQTKEVYYKAIGYAEEDGKTESTSSYVDR >Solyc04g077610.3.1 pep chromosome:SL3.0:4:62645854:62652749:-1 gene:Solyc04g077610.3 transcript:Solyc04g077610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLGDRARSVKMPMYVPSRGRVEEFDVGGGGQVLDLETAELDSIDVPSVFICPISLEPMQDPVTLCTGQTYERSNILKWFSLGHFTCPTTMQELWDDSITPNSTLHQLIYSWFSQKYLAMKKRSEDVQGRVLEILETLKKVKGEARVQALKELRQVVTSHDSAKKTVTDNSGVSLITSLLGPFTKHVVASEAIGILVHLDLNSDGKANLMQPNKISLMVDTLNEGSNDTKINCMKLLELLMEGKDSECDVLSSLSLFVGLLRIIKDKRHPNGVLSGLRLLKLISSHESLRNSIVGIGAIPQLVEVLPNLNAECLELALVILEALSTLPEGALALMDCRSTIPNVVKLLMKVSESCTQFALSILWAVCKLAPEKCSSVAVEAGLAAKLLLVIQSGCNPVLKQRSAELLKLCSLNYSETIFISKCKLTKTMQ >Solyc01g102790.3.1.1 pep chromosome:SL3.0:1:91374388:91376664:-1 gene:Solyc01g102790.3 transcript:Solyc01g102790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLHTAALKATKLIHLKQFHAQLFQRSLCSDNYWVAQLIKLCTRLHAPSTYVSRVFDSVHQPNVFVFTNILKFYSQLGAYSDVLYLFDKMQKSNVAPDAFVYPILIKASGKWGIVFHAHCIKMGHDWDRFVRNAIMDVYGKFGPLEIARELFDEIPERAVADWNAMISGCWNWGDEVEARSLFDLMPEKNVVTWTAMVTGYSRRKDLENARKYFDQMPERSVVSWNAMLSGYAQNGCAEEVIKLFNEMMSCEVCPDETTWVTVISLCSSHGDVSLAEGLVKMINEKGVRLNCFAKTALLDMYAKCGNLAMARKIFDELGTYKNLVTWNAMISAYARVGDLASARGLFDKVPEKNVISWNSIIAGYAQNGESKVAIDLFKDMIAKDVLPDEVTMVSVISACGHLGALEFGNWAVNFLEKHQIKLSISGYNALIFMYSKCGNMKDAEKVFQSMEARDVISYNTLITGVAAYGNAIEAVELLWKMKKENIEPDRITYIGVLTACSHGGLLKEGQRIFDSIKDPDSDHYACMVDLLGRNGKLDEAKCLIGSMAMHPHAGVYGSLLHASRVHKRIDLGEFAASKLFEIEPENSGNYVLLSNIYASARRWEDVDRVRGLMTIGGVKKTTGWSWIEHKGEMHKFIVGDRSHERTADIHRVLFETEKKMKLAGYMADKSCVLKDVEEEEMEEMVGTHSEKMAVAFALLVTEPHSVIRVVKNLRICRDCHTAIKIISKMEGREIIVRDNNRFHCFSEGQCSCKDYW >Solyc03g007900.3.1 pep chromosome:SL3.0:3:2416540:2422857:1 gene:Solyc03g007900.3 transcript:Solyc03g007900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAKMRKKSNSRRVNSLPQQPSMASSLASSSRFLLHYRKISALVFFILVVCLIVVLNTSLRKEGVSQSDVPSNRLYTVEVVNEFPHDPDAFTQGLLYAENDTLFESTGMNGASSVRKVTLQTGKVKAIQRMPYSDFGEGLTLLGDRLIQVTWRQSTGYIYDRHNLSKFEKFRHDMPDGWGLATDGKILYGSDGSSTLYQIDPQTMKVVKKQIVNYQGDEVHRLNELEYVYDEVWANVWMTDCIARISQTDGSVLGWILLPNLREGLLHNLKKQIDVLNGIAWDRDGDRLFVTGKLWPKLYEIKLHPLKTPFNGDIKQMCMPPAFPFS >Solyc09g009200.2.1 pep chromosome:SL3.0:9:2558612:2562770:-1 gene:Solyc09g009200.2 transcript:Solyc09g009200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGYFSLAQWQELELQALIYKHMLAGAPVPHELLYLIKKSLSNSSPYYNMSQQYHHYQQAMLHSGYWGRTNMDPEPGRCRRTDGKKWRCSRDVVSGHKYCERHVHRGRNRSRKPVEIPTTTTPRGCNAATSSRGGSEVRKVNPAMTSHGGVTPQFALSGHANSVDLLHLNQRPSKSIIEKKVPMEAQNDSKPSGQILRHFFDDWPRQQLEENENATSMASATSLSISMPGNSSSDVSLKLSTGDRHNSGTGVHNVERSMWGSTQVAPMGGPLAEALRSSMSSSSPTSVLHHLPRGSTSEASYVTT >Solyc05g012080.3.1 pep chromosome:SL3.0:5:5304927:5310063:1 gene:Solyc05g012080.3 transcript:Solyc05g012080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTKLFTMEEASEHNTKDDCWVVIDGKVYDVSSYLDEHPGGDDVLLGVTGQDATDEFEDAGHSKSARELMEKFFIGELDTTSSSIPELEIVKKAGKDIPQKLMEVTKQYWFVPVAAVGISVVLGFLYTRKK >Solyc04g007115.1.1 pep chromosome:SL3.0:4:827540:827933:1 gene:Solyc04g007115.1 transcript:Solyc04g007115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLMASIEMKCGVHLIHDIFHTNAHHIPTISRAFNRFEIHEGEIIKFGSIISWNYNDVDDV >Solyc09g074220.2.1.1 pep chromosome:SL3.0:9:66427044:66427709:-1 gene:Solyc09g074220.2 transcript:Solyc09g074220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPYYVIEKKLLIYPHYQSITITAISRIIFFYYSCMIIIISSLQQEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEEDFPSINFIRTRTSLSSLGGIRSTITSGRLFFTLEICNRPFSRTISRSNLGRPFSFILNKSGGKFLTVGEGELVHFVFDLDLDLRSSNSSELQFDFSLKLSNPRVSVIVCNEMLSRGLL >Solyc09g061893.1.1 pep chromosome:SL3.0:9:60858175:60858656:-1 gene:Solyc09g061893.1 transcript:Solyc09g061893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQTKSLKPKTLFVSHHPTLVSSVIASEPKTQVQAASSPEWLCAMEADYQAFRRNCTWTLVPCPPNANVVGCKWVYRIKRRADGSIERYKARLVAKVTKGWALKQLDVNNAFLNGDLTEVVYMSQPP >Solyc01g006890.3.1 pep chromosome:SL3.0:1:1464997:1470333:1 gene:Solyc01g006890.3 transcript:Solyc01g006890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPPLISKKFEVKIFVGKVENLVCEVYSSGGVAVEIRWKGPPRIALSSFRKTVKRNCTREEMVKNGPNGGVLVEWDEEFQSLCNLSGYKDNVFHPWEIAFTVLNGMNAKNKAPIVGTAVLNVAEFAAKIEEREFKLNIPLVVPGGASETRPTLCISLSLFELRATQESTELVQRPLASVQSPARSVETPPVEKDELSALKAGLRKVKIFTEYVSTRRAKKACREEEGSEERSSARSEEGEYAYPFDSESNDEYEEGESDEAKEDPTVRKSFSYGPLAYANCAGVSFHSSTRVNGEGEDWVYFSNRRSDVGCSQMDDQVTCASDLVVLQNSKRSILPWRKRKLSFRSPKSKGEPLLKKDNGEEGGDDIDFDRRQLSSDGALSFGVHKVEEGLTANRSSVAEFGDDNFAVGCWEQKEIISRDEHMKLQTQVFFASIDQRSERAAGESACTALVAVVADWLQHNRGLMPIKSQFDSLIREGSLEWRKLCENETYRERFPDKHFDLETVLQAKIRSITVMPGNSFVGFFHPDGMDEGGFDFLHGAMSFDNIWDEISRAGLQYTSMGEPQIYIVSWNDHFFVLKVEAEAYYIIDTLGERLYEGCNQAYILKFDKDTTIYKQPDTTDSTEEKPAVDQQTISTTAEPKLSDGPRTNATPGSLESEAVNKSDEPSKAESAEEIICQGKESCKDYIKSFLAAIPIRELQADIKKGLKTSTPLHQRLQIELHFTHLQQQPLITTPAIEIATAAQEPPAVAMSEIST >Solyc08g006040.3.1 pep chromosome:SL3.0:8:798781:801497:-1 gene:Solyc08g006040.3 transcript:Solyc08g006040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:K4CI93] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDSLGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRNFTTKTGKNASKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRLSAASKPSIAA >Solyc06g016760.2.1 pep chromosome:SL3.0:6:13243552:13251919:-1 gene:Solyc06g016760.2 transcript:Solyc06g016760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRPLPEEAPAPAVQASTRRRQEAAAAAKSSSIAAAPAPGRPLEEEAAVAAAPHRQAASSSSAAPSANSRAGVRIGANSYCEFILSKFNRRLSVGIYNFSDQDMEAAPANPTHNIISTAPSSVATHRNPLRVDWPTVDGPLGLSEQESVDHARRFFKFGFLLLPWLWAVNCLYFWPVLRRPSSYYHPDLRRYVVRSAIGFTVFAVIISSWALTFSVGGEHLFGHVWDKLVMYNVAEKYGLTGWI >Solyc09g037150.1.1.1 pep chromosome:SL3.0:9:21451139:21451639:1 gene:Solyc09g037150.1 transcript:Solyc09g037150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIGVSSFYKDKEQDQSRTVTNYGKMQSAGEARQSKSDHQKSLPACKFTIINIVLHVSNPQVDKEYVGLAAIENAVSNGKGSVGVLGSGPITKVTNTANTNNESNRLVETVSAVVVNKSGGNGGETNGNVVRSRQQPFPRISNNSMRHNQQLKFEYEMTKRVWSR >Solyc02g094260.1.1.1 pep chromosome:SL3.0:2:55482580:55483794:1 gene:Solyc02g094260.1 transcript:Solyc02g094260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGGNLSSNQSSVSHQAPKILLAKPGLVSAGKFNRGGPDDDPAAHRPRLPSVGSLNLLSDTWDFHTDRFLPFLTDNTDFTVVGVIGPPGVGKSTIMNEIYGFDASLPGTLPPFAIETEETRAMAKHCTVGIEPRVSSERIILLDTQPVFSPSVLAEMIRPDGSSTIPIISGESLSAELAHELLSIQLGVLLASICHMILVVSDGVHDASMWQLMSTVDLLKHGIPDPSSLTFSHRQSSEKENRDRILDSGGEYMADPVFVHTKLCTEDLAPCNLVQLKKALTQFFCSSSFVRSENHNTAKESQVSAVTSNVQSAGRESEFLKLFLIPSRDKDDSLKPQYESHISALWNLRDQVLSKRGPSFSRTVSERDWVKNSAKIWEIIRNSSIIVDYCRTLQSSGMFRR >Solyc02g012000.1.1 pep chromosome:SL3.0:2:14188710:14189112:-1 gene:Solyc02g012000.1 transcript:Solyc02g012000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALPIVETQSGDILAYIPTNVIFITDGQIFLSTDLFNFGTSAIRKIRSLCKFPPLTVEEKIITIYTGTNNYLESLEVGQVRKFLFEIRTYFKTTKPHFQEIISST >Solyc02g023970.3.1 pep chromosome:SL3.0:2:25091593:25104093:-1 gene:Solyc02g023970.3 transcript:Solyc02g023970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:UniProtKB/TrEMBL;Acc:K4B546] MPPKAAKGKEVPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVHVPDERFEWLCQLYKPKSEVAAFLEIHDIAGLVRGAHAGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDTVDPVRDLEVISEELRLKDIEFMERRIEDLDKSMKRSNDKQLKIEHELCLRVKASLQEGKDVRLGDWKAADVEFLNTFQLLTAKPIVYLVNMNEKDYQRKKNKFLPKIHAWVQEHGGEIIIPFSAAVERNLADMPPDEAAKYCEENKLQSCLPKIIKTGFAAINLIYFFTAGPDEVKCWQIRRLMKAPQAAGTIHTDFERGFICAEVMKFEDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Solyc06g008440.1.1 pep chromosome:SL3.0:6:2352449:2352748:1 gene:Solyc06g008440.1 transcript:Solyc06g008440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCPKLQSVLYFCLQMINDALVTIELQQLSLSGILTDRVFEYIWVHAKKLEMLSLAFAGDSDLC >Solyc07g026770.3.1 pep chromosome:SL3.0:7:31128459:31133331:-1 gene:Solyc07g026770.3 transcript:Solyc07g026770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMINVLLDKYDMLEWRVIVTYAKVRDLAEVSPQKPKGAAIGERNKTSPVIVPCTSIRRNFVELMASKIQQLQSQACQASKFVAKHGTAYYKQLLEQNKQYIVEPPTVEKCNELSKQLLYTRLASIPGRYEAFWKELDSVKQMWKNRKELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYP >Solyc02g032820.3.1 pep chromosome:SL3.0:2:29843715:29862001:1 gene:Solyc02g032820.3 transcript:Solyc02g032820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFSKNRYLEGDGKGTSNRPGRRRRGNTGVASYQHYKHPVYYHPRPTAQQRHAHQVTVKSSQPGTILGKPYENIRAHYTLGRELGRGQYGVIYFCTENSTRRNYACKSILKRKLVSRKDTEDIKREIQMMQHLSGQPNIVEFKGAYEDHYSVHLVMELCAGGELFDRIIARGYYSEKDAADIIRQIVNVVYICHFMGVMHRDLKPENFLLTSKDEHTMIKATDFGLSVFIEQGKVYRDIVGSAYYVAPEVLRRSYGKEADVWSAGVILYILLSGVPPFWDETEKGIFHAILQGEIDFHSDPWPSISNSAKDLVRKMLTQDAKSRITSAEVLEHPWLQSGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFANMDTDNSGTITYEELKSGLARLGSKLSEAEVKQLMEAVS >Solyc03g123440.3.1 pep chromosome:SL3.0:3:71791259:71801501:-1 gene:Solyc03g123440.3 transcript:Solyc03g123440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWGKLPITSTKLNCFNPTYFTAPFSHQPPFFAVEKSGMELGNADQEELEKTGFFTPITPFKCISAATEFNSINAGLNNFWSTNSSYNSQKKDEEVSDVIGGRSEFLSRHLDGGSESATVAPSTPMTKANPRKKQCGSVDMNERPLKKPRMRKHTPKIFDESKPKKTPKPKIKPSIPKSGNSKVSAKIKKKIEKDHDKVSVDLSGDAYMQTPNSKIPETIPGSLVLQVSTPQPETLEHALSAISPPDFEHDNLTDFGHVSKSCKRSLPFNLENENDFLSIEAAEVIRYHVTQSYNKFLTNPLDGFNEQAVDTNSSIGLEDNLEAESQPNQGNDPSIYQDDQRACQHHFLKVYERRKTQIDLMPLAGNEAHVYPNNHGTISACRDHSVKVYKRRTIGNTGAVPLAGNETTSQNDHKSQEKGGSRLDFLESYRTSNNIGTADYADKGWNPLDTNTKSKVTSKSSFTICKRVTRRDFEIVNGAKNTCFSNMKSGQKGKFRSHFVVMVLPNKNQIAAAMADIESFECVFSLSPMVKSRRRRLIHPKRTKSAHREEYTKLEPLPLSARREENTELGPILPMNALTLSPLVTSKRKRSKNCKRSTAILDPLCLKSGLSAINGFESFVDKWSQISACNEIQECSQHEGLWPQTDKSGCNDIEECPQHEDLLPRTNKSTCSEIQEYTQHENLLPKTKRSTKIITVAAIIRIFKKIKICDTRTYKKKTTTSNNNDQLVLWNPDGSMIVQNPKAKELPRVNDDQLFLRNPDGSMIVQKRKAKKLPKVNDDQLVLRNLDGSMIVQKRKAKELPKVDLDSESERVWEQLIENGGIDEDPDEKKNIWWKEQRELFKGRADSFIARMCLVLGKRTFSPWKGSVVDSVVGVFLTQNVSDYLSSNAFMLLASAFPLQNSREMTTQQEQALAITHVLPDSDEIFVSNTSESMQEENIGNQNTCGSQSSANCGNPEVISFAKSCDLVNETGDLPDEMSREKNKLPKREFDWDRLRKAYSTGTYTGSTESNRDSVNWEAVRHADVKMIFEQIKCRGQGNVLAAKIKNFLNRSFEHHGSVDLEWLRDVPKEDVKEYLLSIYGLGPKSTDCIRLLSLRHHSFPVDINVARIVVRLGWVPLQPLPDGLQMHLLEKFPLESSIQKYLWPRLCELDVSTLYELHYHMITFGKVFCTKKKPNCDACPLRTECRHFASAFASTRLRLPGPQQKGEADSKQPDTVDDVLNMCVSLPNLPHSSESFSHSSFQTQHYEPIVEMPESPEHRPLELLEQDIEDFSYEAEHEQEIPTIKLNTKAFGENILSFIDKSNKDFKEIVLSFIDEISKLQSDEEVSKALVLLNPKSAACPARKLKTETRLRTEHLVYELPDDHPLLSGFEKREPDDDCPYLLAISQTEQVVHKQEKNGKDSSSNELEKCSDNTNYFPKDEIIYGTILIPCRTANRGSFPLNGTYFQINEVFADHESSLNPIPVARASIWNLRVTTLYCGTSVSSIVKGLSTMDIQKCFWKGFMVVRGYDRKQRAPRPLHARFHRKGNIEKFDDE >Solyc03g113200.1.1.1 pep chromosome:SL3.0:3:64914860:64915267:1 gene:Solyc03g113200.1 transcript:Solyc03g113200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELDDTGKHDDSTKTKNEEIYDKKEKEDKHSDEDDKDDKEDKDKKKKKKDKDKDEKDNKKKDKKEKNPNDEKDLEKLKLKVEKIDAKMQDLEDQREVILELCNEAGKVAANATVARLQFDPLSSHFTKKKKKAK >Solyc12g049050.1.1.1 pep chromosome:SL3.0:12:61407288:61407980:1 gene:Solyc12g049050.1 transcript:Solyc12g049050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQMKKQWLQFACVLTFFLIATCTMAYSPYNSYESSDSTYSKVPTTVVKSEDFKVPSESEKEYKSSFLPKNDYYRKPSISEVNYKKVSSVPEHESFLPKNDYYKKPLFSEDNYKKESYVPEVPSKTKPEYKESFFPKFDYFKKPSFSEDNYKKTSYVPEVPSMAKPEYKESFFPKFDYFKKPSVSEGNYKKTSYVSEVPSMAKQEYKQSFFPKFDYFKKPLAVTTQKWA >Solyc11g066010.2.1 pep chromosome:SL3.0:11:51940835:51944052:1 gene:Solyc11g066010.2 transcript:Solyc11g066010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQEEGWPLGLQPLNLRIGLGRSRNGSTSFNTSLSDSLTSSTDSSSDLDTESTGSFFHDRSTTLGSLIGVSSIVNLSRRSTRGRSNGVMTEVQKNYRSKTTWCFSLCPRNSTDAESTVMMIRNSDSNAPSLGHFLAVERRAANEHNRTSPLIYGPDEFAMTLSNRNQNSLFENGQIAPPQLSPWSGSEDVENRKRDNRELDHDVHGQGAPLLFPCMCG >Solyc09g075450.3.1 pep chromosome:SL3.0:9:67572593:67581449:-1 gene:Solyc09g075450.3 transcript:Solyc09g075450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLNAARRLSAGSALSDSLRYTSSSWRFFSTSFREERDTFGPILVPSDKLWGAQTQRSLQNFEIGGDRERMPEPIIRAFGIIKKCAAKVNMDYGLDQSIGKAIMQAAEEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGYNRGDKHVHPNDHVNKSQSSNDTFPTVMHIAAAMELNKRLVPNLKQLHTSLNSKSVEFKDIIKIGRTHTQDATPLTLGQEFSGYATQVKYGIDRVLGTLPRMYQLAQGGTAVGTGLNTKKGFDIKIAAAVAEETNLPFVTAENKFEALAAHDAFAETSGALNTVAASLMKIGNDIRFLGSGPRCGLGELSLPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGASNGHFELNVFKPMIANALLHSVRLLGDASASFEKNCVRDIQANRDRIAKLLHESLMLVTCLNPKIGYDNAAAVAKKAHKEGTSLKEAALNLAVLTSEEFDQLVVPEKMIGPTD >Solyc05g015847.1.1 pep chromosome:SL3.0:5:12402023:12403578:1 gene:Solyc05g015847.1 transcript:Solyc05g015847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILDELPFSFVEKEGFKKFMSKVQSLFHIPSRRTITRNCYEVYGELKINLKQSLREIQPRICLTTDTWISVQRINYMCLSAHFIDRDWLDNVFTVTVDNASANDVTVLELSKKLDMWGTNLIEGKHLHVRCMAHILNLIVQDGLKEIGPAIKRVRQMVKYVRSSSSRTRNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTTEKFEKAFERFDLYDGNFNSFLATDICEDGSIAESIQYEDWANVRNVTKFLEKFYELTLKVSGSRYVTCNVHFEDICELDAYLKLYIASDDLDLSKMASGMKEKFKKYWGTPEKMNKMIFVASFLDPRNKFVYVSFALEELLGEETRNVVNTKVEAYLRDLFVIYVSKYGKGSKSQPSSSDSSDSSASGISQYVSKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLEDQEPESGDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFTTGGRILDL >Solyc09g042780.3.1 pep chromosome:SL3.0:9:25831474:25846464:1 gene:Solyc09g042780.3 transcript:Solyc09g042780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGGRTLVIISVVYSCKW >Solyc11g044340.2.1 pep chromosome:SL3.0:11:33151313:33173825:1 gene:Solyc11g044340.2 transcript:Solyc11g044340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNSQFTGMQPPIPPLAGPPQGSIPSMSLQFQPMLPPQQAQPYVSGSSQHFQPLGHANVVMPPQPPQIQFPQPMQQVAGRPVVGGHNMSQGPPNPHDFQRNPPMSNNHMTGSGGPGFPLSSSYNQVNADSSSSQYQTQIHDHRFPSGVQPWMPTSNQNVNSATTMQNTGELAAPLVVPEANNGVDSVETTPSDWIEHTSRNGKKYYYNRRTRISSWEKPLELMTEMERADASTDWREFTSPAGRKMLSALIIFCRYYYNKVTRKSNWKMPDEVKLAREKHTDSHASDFGSISSVKTSSPGADGSFVSAQGAMTSPIAVSPVANLPTIVASESSLSVTLGNSVVIPARSETTSAQDEVACDDGASPDNREEVKHVAAITGIGSAAPSEEKTVELGPLVYESKVEAKNAFRTLLESANIGSDCTWDQAMRAIINDRRYGALKSLGERKQAFNEYLSQRKKLEAEERRVKQKKAREDFRIMLEDCKELSPSSRWSKVISIFEHDERFKAVERAKDREDLFEDYKEELEKKERARALEEQKRNRVEYLEFLKSCDFIKASSQWRKVQDRLEADERCPRLEKIDRLEIFQEYIRDLEREEEEQRKLRMEELRKAERKNRDEFRKLMEEHVAVGMLNAKTIWRDYCIKIKDIAAYQAVSSNTSGSSAKDLFADVVDELDKQYLDDKSRIRDAVRMTEIGLTSTWTLDDFKDAIAKYISSPPISDTNLKLVFEELLERAREREEKEAKKRKRLADEFYELLHASKEITASSKWEDCKSLFGDRITGDESLLLEIFDKFVNELKEKAKEKDRKRQEDKARKEKERKDREKKKEKHRRDKHRGDKSRKERERSKRDSSDSDKEIRRSGSDRDKRDSDKEIKRSGDKKIKRSDSDKEIKRSDSDKEIKRPGSERDKRDSDKEIKRPGSERDKKDSDKEIKRSGSDRDRKHRKRHRHSSDDDDSEKDHSRSSYRRGSDYKKVKQMDLHRRSLEADSEGKRKKRKRDHRSDSHRESDDEDHKDWESGDDVEV >Solyc06g071725.1.1 pep chromosome:SL3.0:6:44328459:44332507:-1 gene:Solyc06g071725.1 transcript:Solyc06g071725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREIEEIGEDSKSSTIKDAETNDVYVVVGKNDLYVVQWALDHAVSPGFRVCLVHIFPTIKYIPTPVGNLSRSQLSKEQVQVYINEENNRRKSLLVKYIRLCNDAKVPVDTMLVESNSPAKALLDLIPVVNITSLIIGNRPPRSTRLVKNGQDIGEYVQKNAPEF >Solyc01g088730.3.1 pep chromosome:SL3.0:1:83369540:83373965:-1 gene:Solyc01g088730.3 transcript:Solyc01g088730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVDHYAALGLPSGEEGSQLSEKDISKAYKKKALELHPDKRRDDPNAHLNFQKLKTSYEILKDEKARKLFDDLLRVKREKIQRQSQHDSKRRKMMSDLDARERAAFSPDASVLAREEEERIARKLKEEIARIRAMHSKKVFTPIDPSQKEAHARAKESCTEGNGSSVDREKVLKVSWEKIGEDYTAQRLREVFSEFGEVEDVVIKSSKKKGSALVVMSSKEAARASCGNVLGDLSNPLLIVPLQPPMPSPFPNAEKNGESEGPSLSNLVGAGYQKFEDSVLEKMMKAAQRKK >Solyc02g011940.2.1 pep chromosome:SL3.0:2:14174158:14175411:-1 gene:Solyc02g011940.2 transcript:Solyc02g011940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHNDEPDMDIHLFNDRNMGGYTLHYNFAPEERFQEMGDLFTLSITEPDLAYHNGFSFSIDSSKKKSLLVLPPIFCEESEFFSRRIRKKWVRISCWNNLEDPKQKIVRLIQNLIEIQYSTYGYIRNVLNRFFLMNRSDRKIEYGIQRDQIRKDTMNHRNIMKYMINQYLMNLKKSLKKLFGPLIFIFQTEKSINRDPDEHLEQSFSKLYSHFQVVFDRLRINQYSVDWSEVTDKKNLSKSLRVSFESIPIHRSEIYIYEELKGLNDQLCNLFLESIGLQIVHLKKLKPLLLDDHDTSQKLKFLIN >Solyc06g005813.1.1 pep chromosome:SL3.0:6:855309:856233:-1 gene:Solyc06g005813.1 transcript:Solyc06g005813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDGHMHGMAMGPPSPPSSSSITMNNATGGGSGMMMKNNHHMMMHMTFFWGKNTEILFSGWPGYDNLGMYILALVVVFFMAIFVEFLSHSNYINKSNVDDDVTCGFLQTILYGLRIGLAYVVMLAVMSFNGGVFLVAIVGHSLGFMVFGSRVFKKSSSGKNLDLPPMSCSC >Solyc04g071640.1.1.1 pep chromosome:SL3.0:4:58692824:58693213:-1 gene:Solyc04g071640.1 transcript:Solyc04g071640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKLSIILLVSWLLTTTTIATNMSKIKPGQGIAARLQLLEGGDGGGASMPVCWNALFELKSCTNEIVLFFFNGESYLGKDCCKAIRTITYNCWPSMLSSVGFTAEEVDVLRGYCGTPSPESAVEITV >Solyc09g074340.3.1 pep chromosome:SL3.0:9:66566112:66571763:1 gene:Solyc09g074340.3 transcript:Solyc09g074340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIQHFQTRPKETETQRGFCCSAGGGCFRRKKLPEEIMTEAERNREHQLCLLDFLLAALRKSMVYCRFDGKEEVKSTVHAMEIGWPTNVQHLTHVTFDRFHGFLGLPVEFEVEIPCKAPSASVSVFGISPESMQCSYDTRGNSVPTILLLMQERLYSQDGLKAEGIFRINPENSHEEHVRDQLNRGIVPDDINVHCLAGLIKAWFRELPSGVLDGLSPEQVMQCNTEEEFIELVNQLKPTETALLKWAIDLMADVVEKEETNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIVKTLRERGETEGGGYSPMSSSFSDRQTEKDFDTQQEMENRCESPGPSSDDDEQPHYSYNSEDRDEIESLSEIEGSFLRQVDENDHAKNDFRKQLQGILCSEHNASTISGDPSSST >Solyc03g007440.3.1 pep chromosome:SL3.0:3:1986340:1991683:1 gene:Solyc03g007440.3 transcript:Solyc03g007440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWEMEVYEIEAVLEKIWDLHDKLSDAIHSVSRAQFLNSVKSRSKSDDFYGHRSNKRNDNNGDQVKHGYVFVKEFPVDEDESAVHEAKSLNAIRTALEHLEHQLEFFHTVQNQQRAERDAALARLEQSRIVLAMRLAEHPGKKYKFIEEAKSLVGDVRNGSQFVSPENLYGPATSATGENLTTQIRKRSNALFNIFFCSFDFFRKSLRLDEVGGILGNAALVAISMLALMHLQQVGSKEKYLLDLPLGEDVDYSRNIRKISQPEGSSSSLNLDVLSARG >Solyc12g017740.1.1.1 pep chromosome:SL3.0:12:7339659:7340288:1 gene:Solyc12g017740.1 transcript:Solyc12g017740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLSWKRGTTLHEGGFGAISLASTSNALFCGVTLPSLIALKSCDFNASQSLKEEVEILLMFKHSPYIVHYFGSNVSFDDNVNLYNLLLEYASGGSLADHRQNCNSLLQFEDKKHRKNVLLGLSCIHNNGIIYCDIKPGNILLVGMDKIAKIGNFGLSVTLEQGMNQKQEVIRGIERYMALKSVINTEYSPRVNIWVLGCTVYELIMGH >Solyc12g006330.1.1.1 pep chromosome:SL3.0:12:848827:850107:-1 gene:Solyc12g006330.1 transcript:Solyc12g006330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylsugar acyltransferase 1 [Source:UniProtKB/TrEMBL;Acc:K4DBH0] MSASRLVSLSRKIIKPSSPTPLSHRIHKLSLMDQMGTHSYMAALIFYPKQNTTTSMPEPTKISRVLEKSLSKVLTSYYPFAGQVRDNSFVECNDIGADLSQVRIDCPMSSIFDHPRTYIDNLVLPFDPWFPSTDSLVAAKLCHFECGGVALGVCLSHKVSDGYSLGKFLKDWSMVARDSEAKLSLLFNGSSIFKPSNSSSFQVVADPPLYKNESKRFHFSASNLKSLKSLISSADSATQICPTTVEAITAFIYRCVSTPQSLLIQAVDQRGTSNDALVPADLTGNAILPFVVSATNKEEMNLERLVSELRKGKEKIQDMLKYIESEEFLCSKVSDIARELNKRTSNNDIPMYRFSSLRRFPSNDINFGWGRPRQVDISTFPINMFILMDNQNGDGVEVIASLQDGELSALERNDDFLQFASPCLGF >Solyc01g106020.3.1 pep chromosome:SL3.0:1:93895037:93901548:1 gene:Solyc01g106020.3 transcript:Solyc01g106020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENSVAASVPNSSTAAGAVGVPAVVNNSHLPPQPLARRVVFRLLCHASRVGGVIGKSGSIIRQLQQDTSAKIHIDVSAPNDHNRLIVVVAPASVNKRIRLLGPIGDNQRNEEIDEIEVSAAQEALVRVFERVIEVTAENNGLVLGVENVVSCRLLVKGNQVGALMGKGGKVIDTIRRENGCRIKVLTSGKMPSCASPNDEIVEIEGDILAVKKALVAVSRRLQDCFSVERTRTVENAPIELDSEKTLPPEPVDLPAQRSSMSQPITTSSFSGASGCHSVPLDADKFSSSDSKMPLQEVAFRILCTNDKVGAIIGKAGTIVRALQNDSGASIAVGPNVAECNERMITITALENLELRKSPAQTAVVLVFDRILDAGSGMNLGTRSLITFRLVVANSQVGCLLGKGGAIISDIRKETGTSIRIFRGDQVPKCVSDNDEVVQIAGEFVNVQDVLRNVTGRLRDNLLAAKVSNGGVSRNSSPLTSESSPSGQMREPPFGFHRSSGVSHGNNQHPELTRSINNLVLSNKTDHPPSPGLWSSQTRPGVNQRGAFDVSKGSNSVKGGMELGSGSRSAIVTNTTVKIMVPENIIGCVYGEDGSNLTRLRQISGARVMVHEPRPGTTDRIIVISGTPDETQAAQSLLQAFILTE >Solyc06g069700.3.1 pep chromosome:SL3.0:6:43528846:43531532:1 gene:Solyc06g069700.3 transcript:Solyc06g069700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMSKYSSQNLKTLFSIKSPVISRTIVALPFSTSPSSSAAILNAKNCSEAMRLYDSAIVKTDPTKDLTLHSAIIHYLTRARLYLDARCLIKRLIENLRKNSNPRKVCSLVFNDLGKIDSGSSCNVFGVLIIALSEMGFVDDAYWVYQKMGKLPPLHACNALLHGYVKMGKFEFMWGMYRNMLSFGLCPSVVTYGVLIDACCLKGEILKAKTLYDEMAEKGIQPNIVTYTTMIRGFCNQNKIQEAESMFSKMWEMGVMPNLCTYNTLMDGYSKKADTGRAFQLYQNMLKHGILPNVVTFGTLIDPLCKVGEVITARNLLACMVKFGVGPNLLIYNCLIDGCCNWYDMSTALEMHSEMEKFGISPDVVTYGTLIKGYCKLGKVDEAERFLLKMDAAGVVVNSVIYNQLIDRYCKDRNMDKALALCSHMIEKGVQPNVVTFSVLIDGFGKIGDLEAAMGVYTEMIIKGLKPDVVAYTALIDGHFKKGNTIAALRLHKEMAEAGVAPNALTFTCLVDGFLKNGMISDAINFFLKISSSGSIGVEVDCSNGVLSFPNNVTYSALIHGLCKDGQYFKANKFFVDLRRNGLYPDLSTYAMMIQRHFEARHITHVMMLKADMLKTGFMPNLVMYKILLKGYRDMVDLSSTRKCYEELKDLGLVCSGASPNGKERRATEVSNLKLLDWSITKAQVSPRITSAAKFIVSNGHYLAEDMEKLDPVLKELSDAT >Solyc01g065820.1.1 pep chromosome:SL3.0:1:72225954:72226743:1 gene:Solyc01g065820.1 transcript:Solyc01g065820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNILGVSEDDGQGGRRKKKGLKEKIKEKFTGGKHKNEEPHHQAHGVGTRTTTTTTEHEKKSMMEKIKEKLPGHHNHH >Solyc08g007520.3.1 pep chromosome:SL3.0:8:2065478:2069219:-1 gene:Solyc08g007520.3 transcript:Solyc08g007520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVGERNFIKGGIAQDLRNDGRKRLTYRPIYVETGVIPQANGSAKVKLGATDVIASVKAELGKPSLSHPDQGKVFIYVECSPTAEPSFEGRGGEELSTELSTALERSLLGGKSGAGAGIDPASLLIKEGKVCWDLYVDCLVISSDGNLLDALGAAIKAALSNTGIPRVQFREAASTDEHADVDVSDEEFLQFDTSGVPVIVTLTKVGRHYIVDATSEEESLMSSAVSISVNRRGLICGLTKRGGAGLDPSVILDMISVAKHVSEQLINKLDSEIEAAERANEEEP >Solyc08g022040.1.1 pep chromosome:SL3.0:8:33515035:33515358:-1 gene:Solyc08g022040.1 transcript:Solyc08g022040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRSWVKEGHTARNEQSLIWKALKKVETEKRIVLSGTPFKNNIMELFNNLCVVNPMFAADLEQK >Solyc11g069340.2.1 pep chromosome:SL3.0:11:54235151:54246747:1 gene:Solyc11g069340.2 transcript:Solyc11g069340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETVNPELNGEESSEKLHSPVIVKSELNGDDSSEHLQLPETLKTELNGEDSSEQLHSSAIVKPELNGDESSELVKLTEALAEPTEKRKREGSETVTETEQKQQNPLWKTTLCSYFRRNDGSCSHGESCRYAHGESELRVRPDNTWDPTSDRAKKMAKTAADDDGEKAEDKEEKCNDVMMTEALDDDECSSVSGLSKCLVNLPMKWSSDNLRCFLKEHGVTFKSAKKKKGMAVGFATFENAEQVKTAVKELDGKPAGNKILKVGDIIPRAFERKGNSAMSSFRTNQQGEKQTEAGDGLDLTKISEETEAEDPVTSDSVLKGRCVRDVVTPLAKMPYADQLEQKKSSLTRTLKTLTRNARKACPNGVPLPEWILKAREIGGLPCELEGIIESPLITGYRNKCEFSAGFSVLGKPTVGFQLGNFREGVTAVEEPVDCPNVSRIACKYAAIFQEFLQQSTLPIWNRLNNTGFWRQLTVREGRMPGKNVEVENPSANISEVMLIVQVSTLTFDDALVNDELQGLAKAFAMGASSASPTLPLTALVVQDHTGISNVAPADAPLRVLPFSREESYSELHADNAVAEAKIHDFINGLKFCISPTAFFQVNTLAAEKLYSLAGDWASLGPDTLLFDVCCGTGTIGLTLANRVGMVVGIEMNASAVSDAQRNAEINGIKNCRFICGKAEDVMGSVLKEYLASPAKVDGLLDIEEKKNQENTTSTEKTDSIVNASKTDGESSTGSENGKSMCIDQDTTPTENMYSTDRAAEPEEKTGLECTNGKSLSESSADDTTEPATQLKKCSSDNLTTPVQHFKNVVAIVDPPRAGLHPTVIKLLRTNSRLRRLVYISCNPESLVANAIELCTPSPDESEKGDNRNNRLWRKMSSATLARHRTKSMPNSEPFKPVKAMAVDLFPHSPHCELVMLLER >Solyc05g024040.1.1.1 pep chromosome:SL3.0:5:30313129:30313374:-1 gene:Solyc05g024040.1 transcript:Solyc05g024040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFANIILERYIGLLEFAHKYLLSYVYVNFGVALAIKDNIPTRTSNGRVIVSGAGLCGLAAARQLMIFGFEVIVLEGRKRAG >Solyc12g006995.1.1 pep chromosome:SL3.0:12:1429158:1430214:-1 gene:Solyc12g006995.1 transcript:Solyc12g006995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQKLFSFLFTEDTQFLPCIRGAPYIFSFSLYNLFFQKRKKHSELLFSPFSSIKKVEKFGLCLLVYVTLIGRFTGIVS >Solyc07g043660.3.1 pep chromosome:SL3.0:7:57756455:57758524:1 gene:Solyc07g043660.3 transcript:Solyc07g043660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLTSSNVALRSFNDLNRLVQLHPRTQRVQLRCKLAGDIETDESRILLEGVVTSSANYVPLTPISFLERAADVFGDRTSVVFGSSVKYTWEETHSRCLKLASALVQLGISRGDVVAALAPNVPAMQELHFAVPMAEAVLCTLNTRLDSSMVAHLLKHSEAKIIFVDQQLLQVAQQALTLLSKHKIILVLIPEYENSSLTYDIHEYEKLLSSGSSNFTIRWPKSEFDPISINYTSGTTSSPKGVVYNHRGAYLNSISTFLCHGMAPMPTYLWTLPMFHCNGWCMNWGVAALGGTNVCLRHVSAKDIFESISVNKVTHMSAAPIVLSMMANASPNDRKPLPHKVDIRVGGSPPPPQIISKMEQLGFGVSHGYGLTETYSAATSCLWKPEWDSLPLEERAALKSRQGVQVLCMEKVDVRDPETMENVPADGKSIGEIVCRGNTVMSGYLKDVKATEEAFKGGWFHTGDVAVKHPDGYIEIKDRLKDIIISGGENISTLEVEGVLHSHPAVVEAAVVARPDDHWGQTPCAFVKLKEGSEEITSDEIIKYCRDHLPHYMAPRTVLFEDLPRTSTGKVQKIILREKAKALGSLFSTERNV >Solyc08g016446.1.1 pep chromosome:SL3.0:8:7627924:7631140:-1 gene:Solyc08g016446.1 transcript:Solyc08g016446.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEPTPHANVSFAHVSSQVPKDKPVYPDIVELKQHMKEYVDSKFEYLVNLIKTDHIEDMGGKSTPHMVEVSDEEGNDGYQATSPIQMEFTEDTLKNHQVMKDVSELQSPNSNSHHTDETCEHSKTQFLLYNVKLHISNLNHMNVYDTSYT >Solyc10g008960.1.1.1 pep chromosome:SL3.0:10:2982167:2983213:-1 gene:Solyc10g008960.1 transcript:Solyc10g008960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEIIIIYNVVLSILAIIIICLVILLFICCKKKPIKAEETLPTKQIACSYSLMDIHGATDGFNYRRIIGQGRIGSVYVGILPNGDQQVAIKRIHPRLVLSNAGFGFTSMMKWLSLAYHPNIVPILGFSEGPGERIVVMEFGGMLSLDFYLHQNRDHDDGGGGGGGTSLLDWNCRLRVAAGIARGIEYLHEVMVPHIVHGCIKPSNILIDVNFCARVCDYGLYTFLTCYDKRQLGLMGYVDDEYWIGNEKKGFSKESDVYGLGVVLLELLSGRKCDQESLLVKWALPLIKEMKFGEFLDNRLMLPNDIRPLVRLAKVALACVGNSRKNRPSIVQVANILNSLEVGLL >Solyc09g056010.1.1 pep chromosome:SL3.0:9:46889531:46889783:-1 gene:Solyc09g056010.1 transcript:Solyc09g056010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYVHDAKCVFKLMDVFPFVLELATSFSSLMQGEA >Solyc09g090030.2.1.1 pep chromosome:SL3.0:9:70065463:70067711:-1 gene:Solyc09g090030.2 transcript:Solyc09g090030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQLLKVSEIEGRGRGIVATQPLKPGQIILKDSPLLLYSASVKNSTFCSNCFRVILQSPIPCSWCTSSFFCTSNCQSVALSSSHTPWVCQSLTHLKNTFSSHSLNVDQQIQAFFLISAYNLAVISPSSFRVLLSLQGDSSFVSESDVLLLHSLVATCPSLNLGEFGFSKELTAALLAKDKVNAFGLMEPFEVDRERGVRAYGIYPMASFFNHDCLPNACRFEYVDTDVNSRSNTDIVVRVIHDVPEGREICLSYFPVNFKYAERQQRLKEDYGFTCNCDRCVVEANWSDGEDDAMDKEGEESEEEEEEDEDMEEDMDDEVNVNGEVEERDQDFPHAYFFLRYMCNRENCGGTLAPLPSPSSVMECNVCGNLSKSDEL >Solyc12g038660.1.1.1 pep chromosome:SL3.0:12:51634407:51634688:-1 gene:Solyc12g038660.1 transcript:Solyc12g038660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWIKSDVLGELRVPISRYQGQNCVLKGAAKFGCVQIKRDIQGRRQTSFIGCSAFRVVVYHRRCWESRVSRAKLKFYFFLEFYISTLRRKMT >Solyc06g034080.3.1.1 pep chromosome:SL3.0:6:23719978:23728699:-1 gene:Solyc06g034080.3 transcript:Solyc06g034080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSLSSSFSSPLFSSCARLLFSTPDQQPLESLQYGSIFSHQRQ >Solyc01g058735.1.1 pep chromosome:SL3.0:1:67948833:67949521:-1 gene:Solyc01g058735.1 transcript:Solyc01g058735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPITSCFCVAIVALSLFSTLSESASQAYRRDPGHQQWHHGAFQDVKENVRSEVRQMLHSRAEVLALWLGPKLIYMFIDEGNR >Solyc12g013920.2.1 pep chromosome:SL3.0:12:4753511:4757600:-1 gene:Solyc12g013920.2 transcript:Solyc12g013920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4DCS7] MGRIFVVDLEGRIYKCKFCQTHLALADDLVSRGFHCRRGKAYLFNKVVNVTVGPQEERAMLSGMHTVADIFCCCCGQIVGWKYEAAHEESQKYKEKKFVLERGRIIDGVDTEFYIDSRPTISDTEDA >Solyc01g107290.3.1 pep chromosome:SL3.0:1:94776731:94781426:1 gene:Solyc01g107290.3 transcript:Solyc01g107290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASELFFTRRSRYGRSDLELGSDLSTIRNSHNHSVRNRRHHHHSHQPTGNNTRRDRVDVNDCEPLRRSPPHRLRRWPPDRDSVSLDSANSQAASGDTNHATNNNNRIRDRVGTSGSERLPGTVLLARERLLQRLRGVSISGNRRSYGIRTSSHRNNFTFEDDFGLADASDGEADSSLWLAGTTPSPDHLIWLRENKRPPGLSQEAMDLLHIGVYSNSDKEEARAVIDCSICLDAFLEGDKLACLPCGHRYHPCCLEPWVRTCGDCPYCRAAIHV >Solyc01g079345.1.1 pep chromosome:SL3.0:1:78301235:78301458:1 gene:Solyc01g079345.1 transcript:Solyc01g079345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKGRIRKWVGRSETDPAQWICQCFGESIEIPLFNFPCMAILYLLDESLYICNGLIFEDSGSVEVG >Solyc05g006700.3.1 pep chromosome:SL3.0:5:1366558:1367853:-1 gene:Solyc05g006700.3 transcript:Solyc05g006700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLISCIIFILLLPSLITSQTCQKSCGNIPIKYPFGTGPGCGDPRFQPYVICNNQQLSFKTHTGCYPVTSIDYNHQIMYITDPSMSTCACTQPSKGFSLDANAPFSFHDETVFALLDCATDSSSIYKSNNEGTNSTFPMCDSQGAPVCSLLYSCQAISRLNLPISTCCVYTPVDLGPAFEMDLNKLQCSSYSALYGFSGEELNPQVWKYGIALKYKFNFNNDYPDMCANCEKSNGVCGYGGPYSSFVCNCPSGFNTTNDCFLGSSWSNSFRNVPWHIGVLLINAFIVFVLM >Solyc05g018325.1.1 pep chromosome:SL3.0:5:20451078:20452584:-1 gene:Solyc05g018325.1 transcript:Solyc05g018325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVLAYTFCHSAHIPPTASRRLVFTAAATSTFISSVCGSQFYSSGSHEQILQRIFYLNKVSSIQDK >Solyc04g011870.1.1.1 pep chromosome:SL3.0:4:4277915:4278223:1 gene:Solyc04g011870.1 transcript:Solyc04g011870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGASSAVVIFTKSSCCISHSIETLIRSFGANPIVYELDTHPNGKKMEKALMELGCHPSVPAIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWI >Solyc01g111970.3.1 pep chromosome:SL3.0:1:98021747:98025336:-1 gene:Solyc01g111970.3 transcript:Solyc01g111970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEKGRSWCWIALALLYMEGVVVAEDPYRYFDWRITYGDIHPLGVRQQGILINGQFPGPDIYSVTNDNLIINVHNHLPHPFLLTWNGLQQRKNSFQDGVYGTTCPIPPGKNFTYTMQVKDQIGSFFYFPSLQFHKAAGGFGGIRILSRPKIPVPFPEPAGDFTILIGDWYKTDHKVLKTILDHGKKLPFPDGIQINGLGRDGAKFTVEPGKTYRLRICNVGLQNSLNFRIQGHKMKLVEVEGTHTIQTTLSSLDIHVGQSYSVLVTADQRAQDYYIAVSSRFTTQILNSTAILHYRNSKKTVSGPPPPGPTADISWSLNQARSIRTNLTASGPRPNPQGSYHYGQINVTRTIRLANHAGLVDKKQRYAVNGVSFIPADTPLKLADYYNIDGVFKVGSIQDKPTGRNIHLDTAVMGADYRAFVEIVFENRENIVQSWHLDGYSFFVVGMDVGIWSPASKNQYNLIDAVSRCTTQVYPRSWTAIYVPLDNVGMWNLRSQFWARQYLGHQFYLRVYTPVKSYRDEYPIPKNALHCGRA >Solyc03g098420.3.1 pep chromosome:SL3.0:3:62164549:62167259:1 gene:Solyc03g098420.3 transcript:Solyc03g098420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRAKNPWGAIRMFSKRFYCVFSESIVDVPVDARIVKTGFDPEISRFNFKLKDLIRENQIAKARELFDEMPYRNTSSVNMMVSGYVKSHNLFRARELFDSMFSRNEISWTIMIGGYSQNNQPKEAFNLYTEMFRSGVKPDHITFATLLSGSDDTTTLKEVLQIHSHIIRFGFSASLIVFNSLIDSYCKTCCLDIASQLFSEMPTKDSVSFNVMITGYTKYGFREEALKLFMQMRNMDFQPSGFTFAAMLGMSVGSEEVIFGQQIHGLAIKTSYVWDIFVANALLDFYSKHDYIDLAKNLFDEMPELDGVSYNIIITGYAWNGQYEKLFDIFKRLQGTSFDRKNFPFATMLSVAAAELNLAMGRQTHAQAVVTTAISEVQVGNALVDMYAKCEKFEDANRIFTNLAYRNSVPWTAIISIYVQKGFHEEALKMFKEMNRENVHGDQATFASTLKASANLASVSLGKQLHSAVIRLGLLSSVFSGSVLVDMYANCGSMKDSIKVFKEMPERNIVCWNALISAYAQNGDAEATFNSFADMIESGLYPDSVSFLSVLTACSHRGLVEKALWYFNSMTQVYNLDPRRKHYATMIDVLCRSGRFNEAENLISEMPFEPDEVMWSSVLNSCRIHKNQDLAKKAADQLFKMDALRDAAAYVNMSNIYAEAGKWENAAKVKKAMRERGVKKVTAYSWVEIDHRVHVFTANDRTHPQTEQIRRKINSLVELMDKEGHKPDTSCTLQNVDEEMKIESLKYHSERLAIAFALINTPEGSPIIIMKNLRACVDCHAAIKVISKIVGREITVRDSSRFHHFRDGSCSCGDYW >Solyc01g080760.3.1 pep chromosome:SL3.0:1:79832045:79841973:-1 gene:Solyc01g080760.3 transcript:Solyc01g080760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACITPPCLLQPSIPSAILSRKPLLLASALTTPRPRKFAKRKNYLRPKILKTTTKPYIKPQNETITPLETPIQHTHISPSDEDTKATENQALRLSEVLEPEASVNDTESNFYETPIQQSHILDSDLDAGDELKTSEKQEFRLSEVSDPSEAGNAVAGTFGKGSLLKFGLWIVGAFVFQTVCAVWVFGSADYSGKNKCSDGNGNKNEVLELDLKGTSKHKLRMFVNGDGNRSIENGGTVFVDEAEMEKKIEEIQHMAREAREKERLELKGNDVDEEQEEEIEDSDVKMGIKKEVDERLIKLRKRLGKVGNKQPTNSVTFPTVDVNKNVRDDGGILDEKELSASLTFKRKQKFREFASKPSNKPKGFMAIDHQSVGTNGDKTLKDNTEVKKNGNREGGVDVSGDDDVDLLTLDSHRGASMKFGENIESKGNTEDAESVSPLCVKKSSQNNGRGRKERSVKVESGKADVIKAVKKNSLEKSRRKKKGIASGLEESKPGIATDISVDRNNGSSLFEPVDSKELSNQETATISNTLVEVMESGESRHIKSTTMMNRRKKSNRNGTSNMKEVKDQKVVNPKEGMKSGNDTDFWWFSLPYVLAIRMRRGHDDEGPEGLFTLRSSSQVNGSLSHTVAFEDRGDATNFCYLLQSFFEDLGDFSAEIVPLPVKELSEAIRSHSMKVIVVKKGKLKLYAGQPLADAEMALRSLVEQE >Solyc03g007090.1.1.1 pep chromosome:SL3.0:3:1644732:1646168:-1 gene:Solyc03g007090.1 transcript:Solyc03g007090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETWLNKVKKTIAQSFVTVRSAGAPRAKTAVIKKSSVGVLAFEISGLMSKLLHLWQFLSEKNMMRIRNESICTEGVRKIVSNDDAFLLGLACAEIVENLRLVAKSLSRMSKRCEDSHLRSFDRLFTEFANTGRDPYNWVLSLKDMELKIKKMDQFVTTTALLHRQMDELSVLENSLKKASNSNTNSHFNDSDISIKEQKILELRQKYLWQKQEVKYLKERSLWCRSFDTVASLLARSIFTTLARIKLVFGINHGYPNSLPRSLSASATVYPSENHNTCNFVSGPLMNNPPPILKVSDHEFFESNTKLLKPPTSTLGAAALALHYANLIIVMEKMIRSPQLVGVDARDDLYSMLPNSVRSSLRSRLKGVGFSASDPVLAGEWKDALQKILGWLSPLAHNMIKWQSERSFEQQNLVPKTNVLLLQTLYFANQEKTEAAITELLVGLNYIWRFEREMNAKALFECTNFNNFLNLKHSSN >Solyc01g096130.3.1 pep chromosome:SL3.0:1:87114089:87119354:-1 gene:Solyc01g096130.3 transcript:Solyc01g096130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVKAVPPADLNRNTDWFMYPGVWTTYILILFFSWLVLTAFGCSPGMAWTVVNLSHFIVTYHFFHWKKGTPFADDQGMYNQLTWWEQIDNGKQLTRNRKFLTVVPVVLYLIASHTTDYQQPMLFFNTIAVTVLVVAKFPNMHKVRIFGINGDP >Solyc08g065635.1.1 pep chromosome:SL3.0:8:53896044:53897334:-1 gene:Solyc08g065635.1 transcript:Solyc08g065635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYEGMVFLVAEGKQTEDFNPKVGGLSLHRPVHKRDVVAKTFYSFGLSTYTGSIRAEEKIGVLILNLGGPDSLHDVQPFLLNLFADPDIIRIPRLFRFLQCPLVQFIFVLRALKSKERCAAIGDGSPL >Solyc02g088920.3.1 pep chromosome:SL3.0:2:51479297:51484236:-1 gene:Solyc02g088920.3 transcript:Solyc02g088920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETTAIPSAPAAQVIGNAFVEQYYQIQHHSPELVYRFCLDSSVLSRPNSNGVMTSVTTMKNINDMICSLGYKNYKAEIKTADAQQSYKDGVIVLVTGCLTGKDNTRKQFTQTFFLAPQDKGYFVLNDVLRYAEQVETDNSSEMVNAVKDVAPVPLTPDPEPVHVLQPSNHRQPSSHAEDIKIVEEVVHGSLENEKVVGDEREIMVGAESHINAEPATSVSREDAPKKSYASIVSSQTKKGPAKIYVPSNSRMAPAKTEKQPVKSVAQAPVPESSIHTASGGNASESKDAQYRAEGHSIYIRNLPLNVTVAQLEVEFKRFGPIMQGGIQVRSNRQQGFCFGFVEFEDLNSMNSAIQASPVTIGGLQAELEIKRTTSRVGSGRGRFPTGRGAGYRNENFRGRGNFSGAGRGYGRSDFNGGRGGRGGESYQQGRGRGGRRGGLSQIPSSA >Solyc01g059830.3.1 pep chromosome:SL3.0:1:68581068:68585000:-1 gene:Solyc01g059830.3 transcript:Solyc01g059830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSPEKLILVTHEAGGIACITINRPHSLNSLTKSMLTDLARIFKSLDADDSVRVIILTGSGRSFCSGIDLTAAEDVFKGDFRDMEIDPVFQMEQCRKPIIGAVAGFAVTGGFEISLACDILVASKDAKFLDTHARFGIFPSWGLSQKLSRIIGPSRAREVSLTATPINAEQAERWGLVNYVVDRSELLNKARQIAEAIIKNNQDLVLRYKAVINDGFKHDLTRALALEKERAHEYYDGMGKDYFKKMQEFIAGRNTSKPSSKL >Solyc03g110905.1.1 pep chromosome:SL3.0:3:63129257:63129911:-1 gene:Solyc03g110905.1 transcript:Solyc03g110905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVILNYTGEVLLVNVVVHFSRYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLL >Solyc01g009307.1.1 pep chromosome:SL3.0:1:3350202:3358218:-1 gene:Solyc01g009307.1 transcript:Solyc01g009307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFVDVRQDLVYEYAHLFSGDPDSDVKNSKFFCGRSSRPCLCIRLAITASHHFLGDPDSDVKKDKYFHGCPSIPCLCIRLAITSFPTHLEAHHFLCDLDSDVKMPNFFMDVRQDFVYAYDWPSQPVRSIWKVKRSPKRAYPSFRRFLCAIAHHFLGDPDSDVKNAKFFCGRPSRPCQTSPEASIPLISTILGDLDSDVKNANFFRRRPSRPCLCIRFAIRACTTHLKAHHFLGDPDSDAKNAKFFCGRPSRPFLCIRLAITACATHLEAHHFLGDPDFDVKKENFFCGCPSIPCLCIRLAITSCPTHLEGQTSPKATYPSFRRFSCAIAHHFLGDLDFDVKNAKFFLERPSRPCLCIRLAIMACPIHLEAHHFLGDPDSDVKNAKFFRGSPSRPCLCIRLDITACPTHLEGQTSPEASIPLISTIFVCCSTSFFGRPSRPCLCIRLAITACLTHFEGQTSPEASIPLISTIFVCITHHFLGDLNSDVKNAKFFYGRPSRPCLCIRLAITSCPTHLEGQTSPKATYPSFRRFSCAIAHHFLGDPDSDVKMPNVFVGVDQDLVYASDSNHFLGDPDSDVKKVKFFCGRPSRPFLCIRLALMALPTHFESQTSPEASITLILTIFALPTHFEGQTSPEASIPLISMIFVFRCQKCQIFFVDVRQDLVNASGWPLRPFRPILKVKRSPKRAYPSFRRYSCAIASHFLGVPDSNVKNAEFFVDVRQDLFYTSANHFLGDPDSDAKNAKYFCGRPSRPSNHFLSDPDFDVKNAKKFCGRPLRPFLWIWLGLTALTTHFEGQMSLEASIPLISMIFGCYSKPFFG >Solyc03g046450.3.1 pep chromosome:SL3.0:3:12821038:12848254:-1 gene:Solyc03g046450.3 transcript:Solyc03g046450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMIARHTPGPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVDYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGIRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILVGYPLVTLLLCLGDPHFFLSNFGPHMEQLYKHLRDKSHRFMALDCLHRILRFYLSVHGDSQPPNRVWDYLDSVSSQLLTVLRKGMLTQDVQHDKLVEFCVTIAEHNIDFAMNHTILELLKQDSPSEAKVIGLRALLAIVMSPTSQHVGLEILHARGIGHYIPKVKAAIESVLRSCHKTYSQALLTSSRTTIDAVIKEKSQGYLFRSVLKCIPYLIEEVGRSDKITGIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFAVMRGMANFIMRLPDDFPLLIQTSLKRLLELMCFWRACLTDDRVEYDVSDAKRVQRTEGFKKSSFHHSQTIEFHASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRELSLHDRSDHILRNEVEPIFIIDVLEENGDDIVQSCYWDSGRPFDLRRESDPVPPDVTLQSILFDSPDKHRWARCLSELVKYAAELCPSSVQDAKLEVIQRLAHITPSDLGGKAYQSQDTDNKLDQWLMYGMFACSCPADSKDSGGSAATKELFHLIFPSLKSGSEPNIHAATMALGHAHHEICEVMFNELASFVDEVSLETEGKPKWKSQRSRREELRIHIANIYRTVAENIWPGMLGRKPAFRLHYLKFIEDTTRQILTASAESFQDVQPLRYALASVLRSLAPDLVDSRSEKFDIRTRRRLFDLLLTWSDDANNTWNQDGVNDYRREVERYKSAQHSRSKDSMDKLSFDKELSEQVEAIQWASSNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPADPRTPSYSRFTGEIGRGTTGRDRHRGSHLRVSLAKLALRNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWAEDGMESSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQWLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWRLKDSGWSDRLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLIAKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLDNIEPLRPSANQGEGNGNTVLEFSQGHSVVQVASIVDSQPHMSPLLVRGSLDGPLRNTSGSLSWRTATVGGRSASGPLTPMPPDLNIIPGTAGRSGQLLPSLVNMSGPLMGVRSSTGSMRSRHRSRDSGDYLIDTPNSGEDGLHSGSVMHGINAKELQSALQGHQQHSLSHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVENSDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRSLRPCVTNDACVSLLRCLHRCLGNPIPAVLGFVMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFIHVYCQVLELFPRVIDRLSFRDRTTENVLLSSMPRDELDSSISDSSEFQRLESRNASESNAKFPVFEGVQPLVLKGLMSTVSHGASVELLSRITVPSCDSIFGDAETRLLMHITGLLPWLCLQLGQDVFLGFMSPLQQQHQKACSVAANIAVWCRSKSMDELATVFMAYSRGEIKRVENLLACVSPLLCHEWFPKHSTLAFGHLLRLLEKGPVEYQRVILLMLKALLQHTSMDAAQSPQLYAIVSQLVESPMCWEALSVLEALLHSCSSLPGSHPNDPGQFDYGLIGTEEKLLASQTSLKARSGPLQFAMGLGYGPGSTPVALSNASESGLSARELALQNTRLMLGRVLDSCPLGRRRDYRRLVPFVTITGALI >Solyc07g064800.3.1 pep chromosome:SL3.0:7:66916522:66923764:1 gene:Solyc07g064800.3 transcript:Solyc07g064800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLRRKAISSASFTSCVRKSLHTVRPAVCKSRIPSAAAEEISLLTRQCGHVRNFNQLVLPGCSSNLRPERAVTNLFSSPTLSNWSRPFCANSGDTVDAVVPYMGESISDGTLAKFLKNVGDRVEVDEPIAQIETDKVTIDVTSPEAGVIQKFIAKEGDTVEPGNKVAIISKSGEGVEHVAPSEKSSEKVAPAAEDKKEEKAKPQVETTPVKEKPKGSSPPPPKRSPTELQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSDYKDAFFEKHGVKLGFMSGFVKAAVSALQNQPIVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAEQMNFAEIEKTINSLAKKANDGSISIDEMAGGSFTISNGGVYGSLISTPIINPPQSAILGMHSIVSRPMVVGGNIVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >Solyc11g006770.2.1 pep chromosome:SL3.0:11:1356548:1361356:1 gene:Solyc11g006770.2 transcript:Solyc11g006770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANREMAVYCFDTLVSHYNKEQPPPPAFDEGEHPLFVTWKKVVNGGEPRLRGCIGTLEARCIVNGFKDYALTSALRDRRFPPIQAKELPTLQCTVSILTNYETAANYLDWEVGKHGIIIEFTDPNYNTRRNATYLPEVAAHEGWTVIEAIDSLIRKAGYNGPITESLRKQIHLTRYQSTLFTMQYSEYVDHVKETRGLDPTINGVKP >Solyc10g008990.3.1 pep chromosome:SL3.0:10:3006577:3013998:1 gene:Solyc10g008990.3 transcript:Solyc10g008990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSSTQGPHSLAFRVMRLCRPSLHVEIPVRFDPSDLLFGEDLFDDPVAASHLPRLLADTVSDGTTTSAADPSDLSYRNRFLLQHPSDSLGLPGLLLLPQSFGAIYLGETFCSYISINNSSNFEVRDVTIKAEIQTERQRILLLDTSKSPVESIRAGGRYDFIVEHDVKELGAHTLVCTALYNDNDGERKYLPQYFKFMVANPLSVRTKVRVVKVGWFKLFECKFMIWHSFSHVEILKKMGQENSVFAFSISISSVGSCQLRPSFGYIQHTASDLPLWNICILRPLDIICLYVISSDLSFYISHTFSVHDSKPFFLSQETTFLEASIENHTKSNLYMDQVDFEPAQNWNATVLRGVDHHPESKQPMSDVFKPPILLKSGGGIHNFLYQLKLSSDGSPLPKVEGSNVLGKLQITWRTNLGEPGRLQTQQILGSSIAQKDIELRAVEVPSIIMLEKPFMVRLNLTNQTDRVLGPFEVWVSQSDSLDEKAIMVNGLLTMNLIAVKHGVQKITGITVFDTREKKTYDSLLELELRTSQLRSRVHLQMPNNETVYTNHAPVIFSV >Solyc01g100450.1.1.1 pep chromosome:SL3.0:1:90352684:90354501:1 gene:Solyc01g100450.1 transcript:Solyc01g100450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTYFRRLHHSRLPLRCLHTANSEPTSTSTPNLFSDPLFKSKVSELISNQHWSQLKELIKPFNPTSFLEQLLGSGFDSSSILGFFRWSQFYQVYHHPLEHLCRVLVLLVNDKKYPKVRSLLHDFVKNGKTYTVSSVFHTLLTCSDNVCANSIIVDMLVLSYVNNGKLDLALEAFRRAGDYGFKLSVFSCKPMLKGVVKEGKFEVGELVYKEMIRRRIEVDLYTFNIVINGLCKAGKLNKARDVMEDMKVRGIIPNEVTYNTLIDGYCKRGGDGKMYKADALLRELVEQGVSPNERTYNTLIDGFCKDDNVGAAMKLFKEMQHQGMRPDIVTFNSLIDGLFGDGKVDEALGLRAEMIRLGLEPNIRTYNVMINGFSKMKMFREAKELFDDVMKQGLDLNVLTFNTVIDAHGKAGKMEEAVALRELMLSKLICPTISTYNCLLGGYYREGNVGAAKELLEEMEKKSVMADLVTYNIRIDAMCKRGESRKAVRLLDEMSEKRLVPSHVTYNILMAGYCQEGNPRAAVTIRKRMEKEGKHPNVVTYNVLIKGFCQKDKLEEANALLNEMLEKGLVPNRITYDIIREEMIDKGFVPDIDGHLYKDTVNC >Solyc02g081580.3.1 pep chromosome:SL3.0:2:46029722:46032395:-1 gene:Solyc02g081580.3 transcript:Solyc02g081580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSRWTMPEMAGILSNKIPQDELKPGDHIYSWRNAYLYAHHGIYVGDGMVIHFTRAAGQEIGTGTVLDRFIFSSSPSHPSGSPCPRCGDQSRTEGVISSCLECFLCGGELYLFKYGVSPGVFIAKTRGGTCTIAPSDPPEHVLHRAKFLLQNGFGVYNIFKNNCEDFAIYCKTGLLVFTNVSVGRSGQATSFLAATTAIVSSPLRFLTTGVSGLAAVGFGVYCVSRYVSDIGVRRDVMKIPVERLILCPGPLESEAATITDISKDKGS >Solyc04g049420.1.1.1 pep chromosome:SL3.0:4:41823102:41823365:-1 gene:Solyc04g049420.1 transcript:Solyc04g049420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEEDVKIDNEKWVLSIVVYVVGSAPSIGATERFILETGTFTTKHVILYHKQCYFVIRFANEGERDRVLCSGPHYMMPNPVIMKP >Solyc08g077600.1.1 pep chromosome:SL3.0:8:61677004:61678974:-1 gene:Solyc08g077600.1 transcript:Solyc08g077600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDGSASRWFCRKCKRPITKTRYTSCECGCKTWVNDGTNEKWLIVDISLASPSLNNKGPNLRSDDRESEQDCEVAGRKRSMMNPAETENQNKGRTYFLRPRTVWDLVSPNVKAAMYPHQRGGFEFMWKHIAGAITFERLREPLSKSRGGCIISHPPGTERIRLTIVFLQSLLKMYPKSQPIIIAPSRRKDTQFIHLVKLKSWAESNSVLGISYDLFRKLMLEDGEVNAKVIQKMLLKSPGLLVLEEGHTARNENSRVWKALKKVEIEKRILLSGTPFQNNIKEFYNTLSIVCPKFVEDSEQKWASLSSSIDNNPRALEKLRDIIAAIVHTCSEDVKKVSIPGLKSTVIHLKPTDLQKELLKKIPGHPGFLSNLLSLISIHPSLVANRKEFL >Solyc03g083213.1.1 pep chromosome:SL3.0:3:54505264:54505842:-1 gene:Solyc03g083213.1 transcript:Solyc03g083213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDAAKYYFDCNVKEYVLSTSEKKEQSPYYRKMILSKGKEFNILVKIDRKFPDVDTNMNVIAMEIHEVSKKLPQDQTKVKMPITKQRNKRTKILRDDEKMKEIVASIPHVEKDIPAVQTDIENPQKKTCKRTNNIKQVIADDNPQKLRIHEVEKQNVLIYS >Solyc08g066480.2.1 pep chromosome:SL3.0:8:55195200:55197526:1 gene:Solyc08g066480.2 transcript:Solyc08g066480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLKALKGVKAIRPKKRGVKKLENDRRKEIPLLMPCLPIMIGGGIRCSYRAHPSHDKFINKKIDMFEEMSLVCGNDRARGLDCCSKKGNEDEIEGPSKENGVQDVSETSQVKSSRKRNHPSDVQEVIGDISTKLGEVAAAISKIADSRLDMTRLYEEVMAIEGCGEEFLGDAFDYLVQSDTLAKGFMAKNQNLHK >Solyc02g091625.1.1 pep chromosome:SL3.0:2:53506770:53510574:1 gene:Solyc02g091625.1 transcript:Solyc02g091625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIWRVSSVDVQSNMLSLELNNCCLAWHFRRWFIQALRLPDVYTVKVSPLTLTGLYFTPSLTLIFLMESCLNAVSRSRNNVFATHSLLRQLDHQLYPISESGPEWLAGRHMFGDALLPSECSLIVEELKQTSLSFQVWFLPFCSFSYGEDDLICTRASSSHCRCCPVGISPSSLNKLNASIGAFKLSGYISGPDVYTVEVYMHG >Solyc02g062030.1.1 pep chromosome:SL3.0:2:34156520:34158111:1 gene:Solyc02g062030.1 transcript:Solyc02g062030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFTNFVAFLLFLSTTFLLFKKWKTQNLNLPPGPWKLPFIGSLHHLALAGPLPHHGLKNLAKRYGALIYLQLGEIPTVIISSPRMAKEVLKTHDLVFATRPKLVVADIVHYDSSDIAFSPYGEYWRQIRKICILELLSAKMVKFFSSIRQDELSKMVSSIRTMPNNHPVNLTDKIFWFTSSVTCRSALGKICCDQDKLIIFMREIISLAGGFSVADFFPTWKMLHDVGGSKTRLLKAHRKIDEILENVVNEHKQNRADGKKGNGEFGGEDLIDVLLRVRESGEVQIPITDDNIKSILVDMFSAGSETSSTTIIWALAEMMKKPSVLAKAQAEVRQVLKEKKGFQQIDLDELKYLKLVIKETLRMHPPIPLLVPRECMEDTKIDGYNIPFKTRVIVNAWAIGRDPESWDDPESFSPERFENSSVDFLGSHHQFIPFGAGRRICPGMLFGLANVGQPLAQLLYHFDWKLPNGQSHESLDLTESPGISATRKDDLVLIATPYDP >Solyc03g093715.1.1 pep chromosome:SL3.0:3:56782808:56783434:-1 gene:Solyc03g093715.1 transcript:Solyc03g093715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGPRYHLGHVSSGSFICEYIGEFLDEKEDEEIPKRYPTHNNNLKVEFDSLRRKDEDGFTIYVVRYGNVGRFINCSCSSNLYFTYHYNYHIDHVCDKNSNMNRKNCRCGSRKYF >Solyc01g103850.3.1 pep chromosome:SL3.0:1:92243987:92250540:1 gene:Solyc01g103850.3 transcript:Solyc01g103850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESSSAVSPMTVEELTLAVKWSGKEHTVRVCGDDTVGELKRRICEVTNVLPKRQKLLYPKVGAKLADDSLLLSQIPLKSSFKMTMIGTVEDDIIVDQVESPDIIDDFEIEQDEAVDIKDKEINKEKLRRRIAQHKIVLRNPCREGKKLLVLDIDYTLFDHRSTAENPLELMRPYLHEFLSAAYAAYDIMIWSATSMKWVEVKMKQLGVLDNPNYKITAMLDHMAMITVQSDHYGVFDCKPLGLIWAHFPEFYSPKNTIMFDDLRRNFVMNPQNGLPIKPFRKAHANRSSDDELMKLTQYLFAISDLDDLSLLDHKRWESFDGVSFKKH >Solyc06g009390.3.1 pep chromosome:SL3.0:6:3310253:3314733:-1 gene:Solyc06g009390.3 transcript:Solyc06g009390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAEVMVPVQQTITVLDVPCCSDVVSNSPDNTILQFVPSIRSGSFADIGPRRFMEDEHIRIDDLSAHQGSLVRFPKPCAFYGVFDGHGGPEAATYVRKHVLRFLFEETNFPQTSEVDDTFLKEVKNSVREGFLLADLALADESSVSSSSGTTALTVLILGRLLMVANVGDCRAVLCHKGEAVNMSQDHRPNYASERRRVEELGGFIDDGYLNGVLSVTRALGDWDMKLPRGSASPLIAEPEFRQIILTEDDEFLIIGCDGIWDVMSSQQAVNLVRRGLRRHDDPEQCAKDLVMEALRLSTFDNLTVVIVCLASLDHPEPSQSRQRRLRCCSFSAEALCSLQSWLDNSGSR >Solyc07g051965.1.1 pep chromosome:SL3.0:7:60651500:60652468:1 gene:Solyc07g051965.1 transcript:Solyc07g051965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIQGSSSCLFSLKLLSFLKAADHPYHVMCSRKELAGVVDQLCHDAVVSLHTPSPIPRNRKREKKKGIPNMSLN >Solyc08g015620.3.1 pep chromosome:SL3.0:8:4860616:4868999:-1 gene:Solyc08g015620.3 transcript:Solyc08g015620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSLRLPFLPLPHSHPVFSPLNFTKVVHFNNPNCPLNPISSSKRCGSTSSSSNGHTWPDGDAERYCSNDNLRKEDDYAIQMFGSDEELSSQIPTQVQTLVEGSGSVLVSEYKPVPDVDYLQELLAIQQQGPRNIGFFGTRNMGFMHQELIEILSYALVITKNHIFTSGAAGTNAAVIRGALRAEKPELLTVILPQSLEKQPPESQELLSKVKHVIEKPHNDHLSLLEASRLCNMDIISQVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >Solyc11g020351.1.1 pep chromosome:SL3.0:11:11007860:11008438:1 gene:Solyc11g020351.1 transcript:Solyc11g020351.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEGFNKKKVILIMGATGTGKSHLSDLTTEDFCLQAVVYVEKILKTQRVLIIVGGSNLYTEKLVEDPLLMFKYKYDCCFIWIDVEQ >Solyc09g082650.3.1 pep chromosome:SL3.0:9:68788273:68797329:1 gene:Solyc09g082650.3 transcript:Solyc09g082650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIGHVYEEEEYHTKDPRDEVIEAWYMDDSDDNQRFPHHCEPKNFESLDKLTVLSWRLDADKYENHEELNKIRETRGYLYMDSIEVFPKNYGIIYEDMIKKFFEEHLHIDEEVRYCLEGSGTDPREDVIQAWYMDDNDEDQRLPHHREPKEFVSLDKLAELGVLSWRLDADNYETDEELKKIREDRGYSYIDFCEVCPEKLPNYEEKIKNFFEEHLHTDEEIRYAVAGSGYFDVRDVNESWIRVWVKKGGMIVLPAGIYHRFTLDSSNYIKAMRLFVGDPIWTPYNRPHDHLPARQEYVETFVNADGAGRAVNAAA >Solyc10g018290.2.1.1 pep chromosome:SL3.0:10:7317203:7317457:1 gene:Solyc10g018290.2 transcript:Solyc10g018290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILNGVVSNAITNLMKWVWSLKANTKTVISGRPMMKFESGYSVETVFDGSKLGIEPYSVEIMSGWWLDQPMDTLDMLTENCGRQE >Solyc09g061350.1.1.1 pep chromosome:SL3.0:9:59168605:59168934:1 gene:Solyc09g061350.1 transcript:Solyc09g061350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSRLPHIFNYFQELPFRSNEDVAVEEKEVFFRFMEKIKLEGSGDGQVRTLEVEIHPAGLRKIVVKKGNAAGEDENELNVDTYWRYILTASTMPELAAVVFVDGDLM >Solyc10g005640.3.1 pep chromosome:SL3.0:10:501164:513450:1 gene:Solyc10g005640.3 transcript:Solyc10g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCCQYPFLGAFLLALRSLVHPKVMTCFPLFHRKHQSSTRHASEFDDELSGVKNVTLFSYKQLRIATDDFSTLNKIGEGGFGSVYKGRLKSGKMAAIKVLSSESKQGVREFLTEIKVISDVDHENLVKLYGCCIEDDHRILVYNYLENNSLAQTLLGGGHSSIQFSWRTRTKICIGVAKGLAYLHEQVKPHIIHRDIKASNILLDKDLTPKISDFGLAKLIPPNATHVSTRVAGTIGYLAPEYAIRGQATRKSDVYSYGVLLIEIVTGRCNTNSRLPIDEQYLLERTWQLYERNELVMLVDTSLDGDFDAEQACRYLKIGLLCTQDALKLRPSMSTILKMLNGEMEVNDNKITKPGLISDFMDLKIKSSAPEQINAAYDYVLSDNTTLPSTSSSQAKKWGFAILQVIEGRRVRRRTVIIPGRLIGNGANNVGCLYTQQGKKGINQDAMIIWENYCSRSDTTLCGVFDGHGPHGHMVASKVRDYLPILLRSEWETKSCSDLNDVSKNENTNGGLHFDDIVDDDLVESVEDENNVQFPEIHLHIKRSILRAFRSMDKELKLHPSIDCFCSGSTAVTLVKQRLERGYNQSSECPQGQDIFVGNVGDSRAVLATRDKDNSLMAVQLTVDLKPNLPREAARIHKCKGRVFALQDEPEVARVWLPNCDSPGLAMARAFGDFCLKDFGLISVPDVYYHHITERDEFVLLATDGVWDVLSNKEAVDIVASAPSRTTAGRALVECATRAWRLKYPTSKNDDCAIVCLYLNSTPEKPDVKVIKDQNKLTNSPEAEIKTNTINGNKLTSSPEAEIKTNTINGNKLTNSPDVEIKTSTIDRNVGRSDTEALSTSQTAGCEDLSEIVPVFESLEEQHPVKDLGQSKRSIAEFLSTAQDDEWSALEGITRVNSLLSLPRFLSAERRSNSWRRKWL >Solyc12g096320.2.1 pep chromosome:SL3.0:12:66275068:66278653:1 gene:Solyc12g096320.2 transcript:Solyc12g096320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:K4DHA5] MVFWIFGYGSLVWNPGFEYDEKLIGYIKDYRRVFDLACIDHRGTPEKPARTCTLDESKGAICWGAAYCVRGGPEKEEEAMEYLERRECEYDSKTLVDFFTEEDSLHPALTGVIVFTSTPDKVNNKYYLGPAPLEEMASQIATASGPCGNNQDYIFKMEKALHDISHEDDYITELANEVRKVLGITVSVPMEKKLLSPSQTPLKSHISPVKILPLSEAIAAVAADS >Solyc06g069500.3.1 pep chromosome:SL3.0:6:43385064:43400656:1 gene:Solyc06g069500.3 transcript:Solyc06g069500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNEVKDRVHNFFAQDSMSQEHHSPVVDGNLPALSNNLGVGSQRQTGGLSSNAYNLQISDTTRGNSSYPFNGQRGLDSAQSTQWAEFARGQQPNSNGIMYGNQYYQTRQDESSFSAVNTGSNQCNLASGGSFFHELQRGAGLQQQARGLVRSEPSGSPVSLDLFGGQQMNGQQSNMLQSLQQQQSRLNEMQQLQQQAMFMKMQELQRQQQVDAGPQNLVNQVPPVPKVASSNHSPASINGTSYSGAVNFALATEVGNTNWLQHGSPVFQGSANGFNPTNYEQAQHLMGLIPQNIDQSLYGIPVANSRGSLSQLPLVGTKKPTVQPMPTFTGSFPANECAELSGQVSGQDGTSIHRQTLQGESFFGHTVSQALSNAVNTENLQQANNVQEGSAFQDFCSRLDVTIHTETSQEKVATQASSPRNEVGLDPTEERILFGSDSSIWGSFSKSPNRNEEGVNLFDSAGLLTGSPSIQGGTWSALMQSAVAETSSSDIGLQEECSGLNFHSAEIPSGNQNLMYNSGTHKSSSAENKLPLAPSLNSFSVRPSDSIIMNNGFHNVQGHRFPYEQGQNPQANSQRPVQSSHGGSKWSDFGPLQTSVAESSQILSNTSHPLDTEMISGRGSRSLTPELGGARQPWMKSASLGVLGSAVPSGGAAFSMLSENLSKRLQDKNQMKCIQDKVFHGGMTLKSSSHSNSAVDMEHVGSSMASPRGNSEVFSTYHSATAPNSRTMKCSSPCVDGNEFTVHEVENSDKKDNSNDSSHSNLLPHSSAGGVRENALSDASDSRCLMGKQKLSDQGGQKNSWPPKFQYHPLGNSSNLDDDSDPSRSMEQSTHSQSIMQHNPQHGQSKVFGQVPHSLAELEKGQLSDVLMDDKGSSEVHCQSSFLGGGSNIRGPLNRSLDSHSPNKAAESSPNMLQLIQKVDQSRECGSGAELGHSEKKASSRMPEAAENSDESVGHHLRSQSAFSQGYGLQLGPPSRRASVRTHSLTSQRPIQAFSSSHYSHATVDTGEKNQGPMHPPHQAPSVLSPSDPSQEGLKNIGFGIAGSTNNVTSMYAMPGNLSPAFDSHSGFPYRGGQLKIPNVARTTAQLPTNQSLSVSFDKHASSHTEKGDSCRGSANGQSVEASLLAGADKLQDKPILSADKSQLSNTNRTVESIFTNQVTSQEPVSVSQALVSGIGQQGTYSKMSSGIWGTFPPPQQAFGSQYSKDSSHIFQSHQMNIVESSLSAPGRQSDQYLNRGSFASQIGTSSVNSLVSSEGEEQRPKESHSQQISVTNVDHIQKMNDSQGREPFIKYILGGSAANAASMQRDIEAFGRTLKPNLSNQNYSLLNQVQAIKHVEVDPSNRDFKRMKVADSSTGAPQFSSGDTEMLGVSVPEDLQRSISSQQGRKMSPHDVLAVHQVDSQSSGHSNDTNSVTLEQTQNGSQLEPSWLNQCRTLKNGQMLHTYDARRAAAMKTVEQPLTLGKSSSSLHALNSMVQIAPATSERSTIGNIEPNSVPSSAAIDHCSSPTLPVNVDHQHLISKPMKRKRATSENTPWHKEVLADTWSCQTISLAEREWARAANRLTEKVIEGIGFNEEGAPGVKAKRRAILTTQLMQQLLPSPPAAILSAEANSEYESVGYSISRSSLGDACSMLSCSNADRNMPCDDKELLPKGCITSQRINKHDFAKTLEELQGRARRLESDFMRLDKRASVLDVTVDGQDQEKFGVINRYARFLGRAQYDGIPQRYVTALPIPKDLPSGVHCLSL >Solyc07g021180.2.1 pep chromosome:SL3.0:7:16763869:16764721:-1 gene:Solyc07g021180.2 transcript:Solyc07g021180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKPTILVLGFPHLKKKPGCVVQIIGPVLDVAFPQGKIPNIYNALVVQGQNSVGQPVNVGYEVQQLLGNNRVGVVAMSATDGLTGGRAMIDIEAPISVSVWGATLGQTFNVLRDPVGKLGHVDTITTQYYLFLKIKVVDILAPNVVEEKFYYSGEFAKVQGGVSIFGGVGKRTRKANDLFMQMKESGVINKENIVESKVLTSLTMAEHFRDVNE >Solyc10g084550.2.1 pep chromosome:SL3.0:10:64147224:64147754:-1 gene:Solyc10g084550.2 transcript:Solyc10g084550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIADLWVDYKNFAFRNSNFSVTEFIGVPIPEPCIKWVIILWEECFDVLKSKNSIRRTTNRRYQMVGVRIKKCQLEENDCLQHAFNRHFENEDMVQNNRQKKRQQSLLNWNNVSIRLSAHAHEERGTEIRTSKEYN >Solyc10g017715.1.1 pep chromosome:SL3.0:10:5843794:5859606:1 gene:Solyc10g017715.1 transcript:Solyc10g017715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLLSPKCSFLEAGNLKEDDQQLVLCDEIYVVGEGETLQTISEKCGDLFILVENTHINDDDDIYPGLSKYARDLLQKAGLEKCTSQPTPMAVSSSSNGPDTPFADITHFRSLIGALQYLAITRPDIHCIFGTLGRGLLIQLGDLELRGFSDSDWANDKNDRKSTSGFLVFLGPNLISWCTKKQPKDQQLPGTCQLLNFDRPYHRRGRKMFPRLVHGSSRFIKPLFDNKPNRQPLKRHGSDIITIDFNKLFFVIEITSKFSDIHADEGKGVNSGVWRLFSMVLGCRKRELGVDKEWGSRWWLGDGVEVVSLSEKKENIEGLFSLCSSNCGDGVLGFAEKCSDEGSDRKTKIIDANFICI >Solyc07g041368.1.1.1 pep chromosome:SL3.0:7:53102344:53102748:-1 gene:Solyc07g041368.1 transcript:Solyc07g041368.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYGEKIDSQIVVSNVLRRLTTKFDHVVTTIQESKDLSTYSFDELMGSLLAHEDRLNRSCEKVKEKAFQVKGEFSYKGKTESSIVRDTTEAIIMDEVVVVAEVETRLVIHINTRAQFNPDTGRNSAIMKLIAG >Solyc04g017833.1.1 pep chromosome:SL3.0:4:8378012:8378269:-1 gene:Solyc04g017833.1 transcript:Solyc04g017833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSQGIFLFILYVDDMIITGDDIVDISQVKEFLSTNFERKDLGSLNYFLGIKLLASDNGVSLS >Solyc11g039680.1.1.1 pep chromosome:SL3.0:11:43287506:43287697:-1 gene:Solyc11g039680.1 transcript:Solyc11g039680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPIDFSSKINFLSLLECYLFLGITYRDLHDLVSLKHDQMRLHELVGRQNGLIKMYFFLPSLL >Solyc02g092650.3.1.1 pep chromosome:SL3.0:2:54304123:54306115:-1 gene:Solyc02g092650.3 transcript:Solyc02g092650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVLSTYFFTSLSPAAAVSPPPPVTEELSRVSIHLRFSSNGWRQRSESQDGS >Solyc11g061770.2.1.1 pep chromosome:SL3.0:11:48689530:48693440:-1 gene:Solyc11g061770.2 transcript:Solyc11g061770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-associated protein 2 [Source:UniProtKB/TrEMBL;Acc:C4NAK1] MEHDETGCQPHPEGPILCINNCGFFGSAANMNMCSKCYKDVILKQEQEKLAASSIENFVNGSTSQKGPVIVGSVDVQPALLESKSVVLSSPPSSSSGEAAELMAKEGPSRCSTCKKKVGLTGFKCRCGNFYCGSHRYSDKHDCQFDYRSAARNAIAKANPVVKAEKLDKI >Solyc02g079010.3.1 pep chromosome:SL3.0:2:44190749:44192541:1 gene:Solyc02g079010.3 transcript:Solyc02g079010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLILSIKVVLISIGAISLAMVAKASIPLIYHELPAIWSVLIAWLKPPYLYFVINAIILIIFATSRSSNHKEYSSSDEQLQPLITARTPPQSDLIAITQSELHSVQSEVKVFEVKPVVVDEPQVFEVKPVLVNGSVVVMNHEDEDHDDPDESEAIVIPKSVVSPLPEIESKNELLLIPATEKPLVSSRFGNRKPAVKMSPEGVKSLKVSRSKKPETYEEIRHLSHSRAHHHQRRVLKSETFKDRTNYVSPSYSPSPTAVKIRKDPSLSSDDLNRKVEAFIKKFNEDMRLQRQQSMQQYMEMINRGV >Solyc11g072390.2.1 pep chromosome:SL3.0:11:55860018:55868502:1 gene:Solyc11g072390.2 transcript:Solyc11g072390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSGKFKKKENNSSKKSKSDFYNEDDDMMNDAIDVFHEKRDIIPLNVNEDSAESDEDNEHPVYDLKEDEDEEDEDDDFDDAELTGLGAKIARTQKYLQATMGGVEDEMHDEAEQEKEEASLWGRAKKSYYQNKENVEESSDEDLIAEEEAEVLRMQQKKAKSLSAADFGIEDDELTFEEILVQGKPGSAVSADEEAKNETVTAYEEVQKDLNALTKEEQMDVVYSSAPELVGLLSELGEAHEQLDNKVNPLFNKIDGKNMIKGGMHYIEVKKLLLLSYCQAITFYLLLKSEGQPVRDHPVISRLVEIKNLLNKMKELDGYLPSKLEDLLHKNVDNITGVELVGRNLDSESLPSSDKPSVVSTDIKEAEPQEAELVDANGLNIQRKKESKRKRQDDQVGIQSMEMLKVRASLEEKLKQTGVLSSIARKTEKQNKRSRLLNRLLATPDDFDDDVMGAEDDRETRSLNKLSRLLTPQVARPKIISGDDDLPKRDDIGERRRKHELRVLAGAGVEPTDDVNHETGDHASDDVATSDDSEMDSDMEFYREVEKQHSAKLAAKEKMYSRSPAMLSTPETVVDGKRQINYQMEKNRGLTRNRKKQDKNPRKKYRGKHEKAQKRREGQVQKIKKPSGPYGGETTGINVGISRSIRFKG >Solyc01g090510.2.1.1 pep chromosome:SL3.0:1:84052618:84054755:1 gene:Solyc01g090510.2 transcript:Solyc01g090510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLHSLAERSATSLSKVRAAHCRDIKLARISDTYIATTILNGYTKCRAIGTALNMFDEIPHRDTAAWNIMISGYVNSGRFQSAWVFLNLMKENGFCLNDYTFGSILKGIASNGLLSLGQQVHCDVVKMGYVGNVYAASALLDMYAKCGKVDDANEVFQTMPERNYVSWNAIIAGYAGKGDIEYGFWLLRGMEQEGVQLDDGTFSPLLTLLNENKFYKLTMQVHGKVEKLGLRCEVKVFNALITAYSQCGSIESAESVFDGTIRCRDSVTWNSMLAAYLEHDEEKQAFELFLDMERLGLEMDSYTYTSIISGCFEGRHNSQGKCLHALVIKRGLENVTIISNVLISMYVKSCTNSMDDALTVFENLDAKDSVSWNSILTGLSQKGLSEIAFKKFTKMRVENLEMDEYSFSATLRSCSDLATLRFGQQVHAFALKLRSESYKHVSSALILMYSNCGIIEDAWKSFEVSPKESSISWNSIMFAYAQHGQGKLVLDLFYLMKQSEVKLDHITFVAVLTACSHIGLVEEGCRFFKSMEADFGIVPRMEHYACAIDLLGRAGRLEEAKELVKGMPFDPDAMVLRTLLGTCRSCGDIEYASEVASHLLELEPGEHSTYVLLSDMYRQFKKWDNIANIKRLMRERSITKVPGWSWIEVQNEVHSFNAEDLSHPNCHEIYAKLRELTDEIMFSGSENASELMISTGDQESVV >Solyc08g014050.2.1.1 pep chromosome:SL3.0:8:3658053:3659519:1 gene:Solyc08g014050.2 transcript:Solyc08g014050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CJ38] MGSQGPIDPFIHVFLISFPGQGHVNPLLRLGKRLASKGVLVTFCAPECVGKDMREANKNIISDEPTPYGDGFIRFEFFNGWEYTQPKENRQLETELANLESLGRVLLPEMIKQNEANGRPVSCLINNPFLPWVCDVAKSLDIPYAVLWVQSCASFSTYYHYHFNLENFPNESNPHIDVHLPNMPILKWDELPSFLLPYNPFPVLANVVLRQFNYLSNPIRIFIESFEELEKDIVNYMSNFFPIKTVGPLIVDEHSKIGENIRADLVKADSSITQWLNSKPPSSVVYISFGSIVVPSQEQVDEIAYGLLDSGLNFLWIMKPPRKNSSFPTVVLPQGYLDKVGNKGKVVEWCLQEEVLAHPSLVCFLTHCGWNSSMEAIVNGVPIVAFPQWGDQVTNAKYLVDEFKIGVRLSRGVTEKRTIPRYEVEQSLHEVSSGPKAAEMKSNALNWKKKAAEAVAGGGSSDQNLQCFIDELVTLQKNCEVRTSIQLV >Solyc10g074370.1.1 pep chromosome:SL3.0:10:57768710:57769602:1 gene:Solyc10g074370.1 transcript:Solyc10g074370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVSKGKENISIISIDAMYYGDPPPFRYITNMKYPDLYYIIRPQGCCCTRICSNIEQCSCDSKNGGDFPFNPRSSIFKAKLFVHECGPYYKCPPSYVGRFINHNCSPNHCSENFMYDHGDKRVPHIMFFASKSIYALENLTYHYNHKIVRIHGTNDNLMREKGGIYLISAVGECSKLLLDVLYIEIYYILALH >Solyc12g089143.1.1 pep chromosome:SL3.0:12:65213821:65219348:1 gene:Solyc12g089143.1 transcript:Solyc12g089143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGALAWQVTKPNKDAIFVFGGEMVRGFLPDSPLCVCLWPPFFSRTVCKIYSDKRLIKFGVNVPERWFRYPQSYSSALPFQWIGLTTRKYCYLIYLITGSSVEGDPDSDVKNAKIFCGRASRPWLCIQLALTASPTNFQGQTSLETRIPPFRRFSCAIANHFLGYPDFDVNNVNYFCGRLSRPCLCRRLALTASLTHFEDVRQDLVYASGWPSRPVQPILKVKRAPKRAYPSFRRYSCAIANHFMGDPDSSVKNSKIFMDVWQYLGYATSWPSRPIQLIIKVKRAPK >Solyc02g030550.1.1.1 pep chromosome:SL3.0:2:26866897:26867196:-1 gene:Solyc02g030550.1 transcript:Solyc02g030550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIDSPQPQAEKERPEKPSHATIPNPSTCIQKSDGKLPINIKPVTYVHGEPTVSLSFSDLELYVQEENLQYTLVPKFSYGRPDMIDLRKVFTRHFEIK >Solyc03g046205.1.1 pep chromosome:SL3.0:3:11948244:11969703:1 gene:Solyc03g046205.1 transcript:Solyc03g046205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSGLYGVFKSNIPSIDRSLITSLVETWRPETHTFYFRTGFIPHPQATVEASKSTPLNGHMLEQLQLPDLETQDTINQMTRCYMFWMIVCMMMGDTYGNYLKLTYLPMLEDINIVSSYSWGSAAVACLDALDSMTKDKVLTNVIKCVGIKCVQGQMESILNLQESEARDWILKKMDSKIGVSGS >Solyc07g043415.1.1 pep chromosome:SL3.0:7:57291483:57292442:-1 gene:Solyc07g043415.1 transcript:Solyc07g043415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNILKYSINMDYLFQFDICEIDYFSRKQRFKVIYNLLSIRYNSHICVQITIGRWELEVWDMFGVSFINHPDLRCISTDYDFEGHS >Solyc08g014080.3.1 pep chromosome:SL3.0:8:3716407:3720845:1 gene:Solyc08g014080.3 transcript:Solyc08g014080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEFMVCVDRIIIATTCFGDSSVTNGVGGRETLLTNDAVNVNSTVEIINSRKTHQEKISISTSTCVGGEGSSKGSSSSSVRECRICQEEDEETDMEAPCACNGTLKFAHRKCIQKWCNKKGDITCEICNKVFSPNYTLPPARSNPDVMAIDIRQAWGPGMDIRNPHFLAFAAAERQFLQSEYDDYAIASSGSLACFRYVAIILMLLLLIRQTLMVTRDFAMVQDPSTFFNFQISLLQLAAFLLPCYVMARTWYMIQCRRRRQG >Solyc05g008805.1.1 pep chromosome:SL3.0:5:3037399:3041382:-1 gene:Solyc05g008805.1 transcript:Solyc05g008805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIQLGAHTFRNQGVEVARFHMHDWFILFVLVVIDIILNVIEPFHRFVGSDMMTDLSYPLKDNTIPFWAVPIIAIVLPLLVILVFYFIRKDVYDVHQAILGLLYSVLISSVLTDAIKDAVGRPRPDFFWRCFPDGKGVFDPITSNVKCTGLKSVIKEGHKSFPSGHSSWSFAGLGFLAWYLSGKIKVFDQRGHVAKLCLVFLPLLMAALVAVSRVDDYWHHWQDVFAGGLLGLTVASICYLQFFPPPYDIDGTCSLSSVSLFTVIQLRITRIKELACSLVKILNCRALVPLHVQGWGCMGASLFASYSRVCRPESGNGMTREGALPLVVCFFLSVALNTKFSLWFPNAASFESLPS >Solyc06g009055.1.1 pep chromosome:SL3.0:6:2981039:2982364:-1 gene:Solyc06g009055.1 transcript:Solyc06g009055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYLSLFSLWDGEMVTGFCMSTLIMATPTWKWHQGSRMKVVKRKRPKRVSKSPKPRDSRRSQSLSKSPKPRDSRRSESPSKSPKLRDSRRSKSMSAEIESVLLCLNRAAELLVSSFPITSPDEWLLNFLVKCYLCNDIVCTLVTLVVGRLVFYATLSPGLPVP >Solyc12g019040.2.1 pep chromosome:SL3.0:12:9002540:9003379:1 gene:Solyc12g019040.2 transcript:Solyc12g019040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCMKQRKSGVEKIEEDLGRARAAIWRAIRSRNYTSYKEDQNFIPSGSIYRNSFAFHQLSFIQLCIVNFFYLIFPSLCLVRHILIKSSVIQEFNYGGI >Solyc07g041200.3.1 pep chromosome:SL3.0:7:52102595:52109426:-1 gene:Solyc07g041200.3 transcript:Solyc07g041200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYMSLLLYGVGGIVVAGMAILVAFQEKLVYVPVLPGLTKAYPITPARLRLLYEDVWLRSSDGVRLHAWFIKLFPDCRGPTIIFFQENAGNIAHRLEMVRIMLQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLVQRTDIDTSRIVVFGRSLGGAVGTVLTKNNPDKVAGLILENTFTSILDMAGVLLPFLKWVIGGSGSKGFKLLNFVVRSPWNTIDVIGEIRQPILFLSGLQDEMVPPFHMQMLYAKAAARNRQCLFVEFPSGMHMDTWLAGGDHYWRTIQKYLEETVPEKKDDESKKDSELSSKQNVSSDFAAS >Solyc02g032100.3.1.1 pep chromosome:SL3.0:2:27990458:27992279:1 gene:Solyc02g032100.3 transcript:Solyc02g032100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPMIGIKSKR >Solyc06g010005.1.1 pep chromosome:SL3.0:6:4697468:4699999:1 gene:Solyc06g010005.1 transcript:Solyc06g010005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFALVKCLKKHRKTALFEAVEKLKSVIQRPISKICIPNRITNSMLPCNRVLLDSTKTIKERELASVQNSQDHCASRGMTSFMSQIGINQLNNFIFVLAVMQIVYSVVTMALGRAKVHYF >Solyc09g005920.3.1 pep chromosome:SL3.0:9:641615:645229:1 gene:Solyc09g005920.3 transcript:Solyc09g005920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPTLLLLLLCLASFTSGEIKKLKIRSDNRPMILFERFGFTHTGQASISVSSVSVISSLATPDPSGLGFFLLSEESLIQVLIELQQNPNFCVLESNYIQRLFTFRDLSPPPNSSFDRAYPVTSPNEYSLFFANCAPESKVSMDVRTELYNLDGRVKDYLSAGLTQLPTLYFLFSLVYFGFLAVWVLVCLKNRISVHRIHGLMALLVVMKALNLLFAAEDKHYVKVTGTAHGWDVLFYIFQSMRVVLLFTVIVLIGTGWSFLKPFLQDREKKVLMIVIPLQVLANVASVVIGETGPFIKDWVTWNQVFLIVDIVCCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLTLFRQFYIVVIGYLYFTRIVVFALRTISAYKYQWVAYSAEEIVSLAFYIVMFYMFRPVERNEYFVLDDEEEEAAALALQDEEFEL >Solyc08g066960.3.1 pep chromosome:SL3.0:8:55911126:55917514:1 gene:Solyc08g066960.3 transcript:Solyc08g066960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKTPFKGVIDDVKGRITCYKRDWLDSCGTGVRILAPTAYIFFASALPVIAFGEQLSRETDGAMSTVETLASTAICGIIHSIFGGQPLLILGVAEPTIIMYTYLYNFVKGRPEMGPKLFVAWAGWVCVWTALMLFLLAIFNACNIIPRFTRLAGELFGMLITVLFLQEAIKGVVSEFSIPKGENPEREEFHFEWLYTNGLLAVIFSFGVLLASLKSRGARSWRYGTGWMRSFIADYGVPLMVVLWTAMSFGVPGKIPSEVPRRLFCPLPWEAKSLYHWTVIKDMVRVPVLYIFAAIIPALMIAGLYFFDHSVAAQMAQQSEFNLKNPSAYHYDLFLLGVMTLICGLLGIPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVKSAKEGIKQNATKSEIYGKMHAVFVEMDATSKRTADRDLENLKAAVMKHEDGENGTEGKFDLEKHIDAHLPVRVNEQRVSNFLQSLLVGCSVFAIPVIRRIPTSVLWGYFAYMAIDSLPGNQFWERLLLLFIPSGRRFKVFEGLHASYIASVPFKYIVKFTMLQLVYFLICFGVTWIPIAGILFPVLFFLLIAIREHVLPKFFPSEYLKELDAAEYEEIAGVPKEREIADEENDDDFSDSEILDEMTTHRGELKHRSVSLTERQHQVYPHDTSGM >Solyc10g078150.2.1 pep chromosome:SL3.0:10:60154338:60157393:1 gene:Solyc10g078150.2 transcript:Solyc10g078150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINTGIIKSQIAGGSGRSKQSRSEKKSRKAMIKLGMKPIPMVSRVTVKKSKNILFVISKPDVFKSPASDTYVIFGEAKIEDLSSQLQSQAAEQFKAPNLSNVISKPEPSTVAQDDEDVDETGVEPKDIELVMTQAGVSRAKSVKALKAANGDIVSAIMELTN >Solyc04g078145.1.1 pep chromosome:SL3.0:4:63052924:63055931:-1 gene:Solyc04g078145.1 transcript:Solyc04g078145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTNFTGTGIGVGAGIGCGFGVGWGFGGMPLNFLGLGVGGGCGIGVGLGWGFGSAFGSQYRNSRVTFDGTDFINKERSEERDLKDPAKGTGKALSSQ >Solyc11g012280.2.1 pep chromosome:SL3.0:11:5141533:5145598:-1 gene:Solyc11g012280.2 transcript:Solyc11g012280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAQVLSLQVSTPSPPHHHRATSSLTRPALLTAPSSTADKSFRSLQHKLQSNGRFSCLFSDNRKQDEARKALESALGGKKTEFEKWDKEIKRREEAGGGDNSGGGGWFNWRRWFGGSEDGHFWQEAQQATLAILGIIVMYLIVTKGDVMLAVIFNPLLFTLRGVRNGFTFVTSQIMRKVYPASQDSFGTISPEEVPSRVSAKETVARKWGSD >Solyc01g015240.1.1.1 pep chromosome:SL3.0:1:17963497:17963820:1 gene:Solyc01g015240.1 transcript:Solyc01g015240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSPSRFPSFPSLPTLSPSRVALSLPSLVSLPPSRSRDSHQNRRLQQPRRDAKIPRKTSNSQNPATRFSLPAPPSRANPPSFLLSRDSSQNRHKRTQKLDCPSTR >Solyc10g037940.2.1 pep chromosome:SL3.0:10:18070819:18082357:-1 gene:Solyc10g037940.2 transcript:Solyc10g037940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGHARSGSNAGRRPQNAKAAAQRLAQVMACQQADDDDEEDELYEYNPVAPSTAIGLAGGRPNRRNTPLSVRASIEPPQSSTTRPAIRPSTSTDPLDQKTVRTSLEAAARPSSIRTTLEPATTRPASIRPSSSSETLDQQPLSARSTTPIRTSGSKLTFQSRNSLEQPPSARTPTTPLAGSQVSSVPEQPLSARSLAANRSSNFGGKPIPSSVPLSLRPPTTEVQPEARKDKKLSVDFGTFKYKEPPIQPSSSALQDEVDMLQEENESLLEKLRLAEERCEEAEARARQLEQQVASLGEGVSMEARLISRKEAALQQRESLRTMSRRMILSEEEMEEVVLKRCWLARYWTLCQTYGIHSDIAAAKQEYWSSLAPLPLEVVLEAGQKAKDDNSSVYNDGEDRETIGNDLNELSGDKNVESMLLVDKALRELTSLKVDGAVSLAMAQQRRPTSLRATDDMIRLPIEGQGFTESYGEFIKSLSVTKLNVLG >Solyc02g092787.1.1 pep chromosome:SL3.0:2:54390735:54392976:1 gene:Solyc02g092787.1 transcript:Solyc02g092787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLPSSLSQRLRIRPIPEKEFWFCNPPHISHKFLRKCSLHIKLMLLFFVTKQLRRRRGMGIQGHQVEQEQYSRGILSQRMLLMKFLWQVVRGISLSALTGGQAREFATHFSVAFDAKNNHYKSGI >Solyc05g055890.3.1 pep chromosome:SL3.0:5:66194730:66198495:1 gene:Solyc05g055890.3 transcript:Solyc05g055890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:K4C2R4] MEVCPDCGMLLQYELPHMDRPARFFCPACPYVCNLENRIKMKRRQPLVKKQMDPVISTEDMDNASTAEVPCPACGYREAAYYQVQIRSADEPMTTFYKCKNKLCGNNWRED >Solyc02g069950.3.1 pep chromosome:SL3.0:2:40297936:40305387:1 gene:Solyc02g069950.3 transcript:Solyc02g069950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:KRR1 small subunit processome component [Source:UniProtKB/TrEMBL;Acc:K4B7X7] MADEENLTVNEELIPKPRHKGKHDKPKPWDDESIDHWKIEKFDPSWNQSGLLEVSSFSTLFPQYREKYLQECWPTVKGALKEHGIACELNLVEGSMTVSTTMKTRDPYIIVKARDLIKLLSRSVPAPQAVKILNDEMQCDIIKIGNMVRNKERFVKRRQYLVGPNSSTLKALEILTGCYILVQGNTVAAMGSFKGLKQARRVIEDCILNKMHPLHHIKILMLKRELAKNPALASENWDRFLPQFKKKNVKQKKVQSKEKKPYTPFPPPQPPSKIDQQLESGEYFLSDKKKMAKQWEEKQVKQAEKVAENKKKREEAFVPPEEPKTHNQDVSNVDKDDVTAMASSLKRKAKEFRKQKSIDKINAEEFIAGPSKPSKKKSKSSR >Solyc09g037025.1.1 pep chromosome:SL3.0:9:20776059:20781270:1 gene:Solyc09g037025.1 transcript:Solyc09g037025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYEKDPKAAMAGLLNMMFEILKCFVFLMNEASFNHGEREALRSYIKALNFWATEIWWELQDFTLNILKRIIDELIINEFKSSVLLTAKFTFSLGRDRSRADLAQRTSHKIVTNGERRRMLNLLDVEAVPNVRDIQAKKFCVKNKPGQEVLISASPNGSFCGRRKRQVTAEMTDCKFDLSVKCTGHIDVCFLSLNFLDCILLFIK >Solyc06g065930.3.1 pep chromosome:SL3.0:6:41442301:41446187:-1 gene:Solyc06g065930.3 transcript:Solyc06g065930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRMLLLFAKTMLFLNLSNSHNVSAGTHLIEVSAIGYFFSPVRVDVSARNPSKVQAALTENRRSLIELVLEPLSEEQHYELKEPFSIMSLVKSPIGLMFGFMLFVMFVMPKLAENVDPEEMRRTQEEILRNQGTLSSLLPGAQSTN >Solyc07g004997.1.1 pep chromosome:SL3.0:7:11275:13040:1 gene:Solyc07g004997.1 transcript:Solyc07g004997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQYLVYAYGCPSRLVRPIWKIPTSKPNVFVDVRQDLVYAYGWPSRLVRPILKHTIFWVIRITTSKMPNVFVDVLQDRVYPSGWPSRSFRPILKVKRALKRAYPSFRRFSCAIANYFLGDPDSDVKNSKFFLDVRQDLVYASGWPSRPFRSILKIPTSKMPNFFVDVRQDLVYASGWPSRPFRPILKVKRAPKRAYPSFRQFSCAIANHFLGDPDSDAKNAKFFCGRSARPCLCIRLALTAFPIHFEGQMSPGASIHLISTIFRVL >Solyc02g030387.1.1 pep chromosome:SL3.0:2:26225300:26225852:1 gene:Solyc02g030387.1 transcript:Solyc02g030387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVLKDGILVAIKRNSRYPAQGTNEFKNEVIFIAKHQHQNLVKHLGCFNQTEQKMLVYENSLDWFLFDTYMNPEISDFGMAESFRGNETKALTKRVFGT >Solyc03g007595.1.1 pep chromosome:SL3.0:3:2139906:2142680:-1 gene:Solyc03g007595.1 transcript:Solyc03g007595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVEPAAVAVRFPAGNFNRTSRRFSHAASFFIPRNKIRRLTTEYCGGRIRTGKGKCGIKASARDQPNASSGPVKQNAKPSRYHPFEDISDSENGENEEAQLSPAETARTIIEVNSKATLMFSGVVNNEVQENIFWPDLPYITDELGNIYFQVKNDEDILQTLTAEENVVDWVAIVDDEDQDGDSDGSLGDWAKLETMRSSHPMYFAKKIAEVILYIIDFTP >Solyc11g045560.1.1 pep chromosome:SL3.0:11:30378595:30379727:1 gene:Solyc11g045560.1 transcript:Solyc11g045560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGLPYEDNYGEKEMIANLASNVEFVTPVDFTLETGENDKEGVCITTNFSLPEVAPPMKIDSEKEGNKTTLEDSHGKEDHQICNDNIFTKIENCDLFSPKEGLDDPCGIENDCFGDAYTPNIKDL >Solyc10g039295.1.1 pep chromosome:SL3.0:10:21116017:21123292:1 gene:Solyc10g039295.1 transcript:Solyc10g039295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSPPQWPRPEMYGRRRRKNRSSTIVSGTNNQERKQHRRLRRRRTITSDDIDGDSGIIRNVVPRSIQNTLRDQRAWIREGRRKYEEFKHIKMDPSSSIPEYIDLFKTKREELTSHFIWSSNVKSEVGARVDAHDMALRNLKIPNGVLKNR >Solyc06g076170.3.1 pep chromosome:SL3.0:6:47432131:47446088:-1 gene:Solyc06g076170.3 transcript:Solyc06g076170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLCFFLPIFSSFVFLLLCNGVSGDYLLGINYGTVADNLPPPTQVAAFIRDHTTLNKIKIFDANPEIIRAFADTGIWVTVTVSNGDIWSVSKPTAAQWWVEQNVVPFYPRTRIDRICVGNEVVATGDKNLIGHLVPAMRAIHEALLAAGINDIQVSTPHSLGILSRSEPPSSGLFRRVYDRVIFAPMLEFHRETKSPFMICPYPFFGFNDATLDYALFKPNNGVYDKATGMNYTNMFDAQIDAVYSAMKALGYADVDIVVAETGWPSAGDPNQPGVSLENAISYNVNLVKHVNSGVGTPLMPNRTFETYVFSLFNEDLKPSTSERNFGLFRPDFSPVYDVGILRNTQALSPPAMAPEVSKKWCVPKTDASDAALQSNLDFVCSSGIVDCQPIKDGGPCFEPNTVRAHAAYAMNAYYQANGGKDLDCNFINTGVVMKNVNMMLKVANEVGSSSQTLDQSTFWYCPILVCDALNYNKSISYRRRDISQHFNRFQHVTNSLDTPINFTLSGPFSLGRNLHQLGKLPHRSLQKLSHEYGDFIFLQLGSIPTLLVSSADVAKEIFRVHDVAFAGRPPLYAAKRLSYNCCNVSFAPYGNYWREARKILALELLSAKRVESFSSIRDEEVSRLIQEIGNSLNSSINISALALTLSNNVVCRVAFGKGSDDESGYDNGGKKFHEILYDTQELLGEFNIADYFPKMAWINKFNGLDERLEKNFRELDKYYDKVIEDHVDSRNWIKEKDDEDLVDVLLRIQKDPNQDIPLQDSHIKGLLAVSIFAFRV >Solyc01g010397.1.1 pep chromosome:SL3.0:1:5217116:5217871:1 gene:Solyc01g010397.1 transcript:Solyc01g010397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYITIEISLHSFATEFRYLEHVILRRYPSSMVKRLRIQLPKFSSIESALVKGSYNFIGINHYTTW >Solyc06g043260.2.1 pep chromosome:SL3.0:6:28973038:28976613:1 gene:Solyc06g043260.2 transcript:Solyc06g043260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGHLTDFGIATLLRKFLLMFLDSI >Solyc07g026675.1.1 pep chromosome:SL3.0:7:30217838:30223100:-1 gene:Solyc07g026675.1 transcript:Solyc07g026675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQKKLFFTTCTNGDYLSDCLLGQPKERRKGVGWTEEEHRRFLMGLEKLGKGDWRGISRKFVTTRTPTQVASHAQKYFLRHSTHLNKKKRRSSLFDMRKGGKTKWKKAREIMEIQQVQLVKR >Solyc12g036550.2.1 pep chromosome:SL3.0:12:47223522:47224541:1 gene:Solyc12g036550.2 transcript:Solyc12g036550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSIQCVFLNNLIFQLFNHFILPIWIRQNHSIRSNKYIRSNKYLVLELRNSMARIFSILLFITCFYYLGRIPSPILTKKLKEASKTEERVKSEEERDVEIETASEMKGTKQEQEGSTEEDPYPSPSLNSEEGWDPDKIDETEEIR >Solyc02g032303.1.1 pep chromosome:SL3.0:2:28611455:28625249:-1 gene:Solyc02g032303.1 transcript:Solyc02g032303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIKKSPGLGVFLKKDEVTKLTGIMTLTGLLVLTPRVNRVKSRNKLSHDNLVRIRLSAASRQISISTQARPDLTRRSNNKVSSSQEFNASAHSIGVCISGFHLSNTILPPRPILPRELNVRPARPFSIEEPGGLILAAANFSGSRGLVNRRSGSFGSYSKPIRAKSEEIKKKHQKSRQAPICGAIQVGHVDVSTLALASCFQQTPSLALSQRSTANGVDS >Solyc08g015692.1.1 pep chromosome:SL3.0:8:5368594:5370969:-1 gene:Solyc08g015692.1 transcript:Solyc08g015692.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHKKIMDGNVRGIPLHESEVASMNNPPRPKKVMAASRGVDRLSDLPEPILLHILPMLSDAKQVVRTSLLSTRWRFLWVQNETVANLAFVHREIYYWKSCNKIKSFGIGGIWYDESHSKDVDLWIHFATKVSNVEFFSLSLITASQQNYKFPQFGYKNSSLKQLILNQCQLNPCAIVNWSNLAFLSIGSIELTDGAMEKELSGCPYLKCLELKKGLRHATSGN >Solyc02g087970.1.1.1 pep chromosome:SL3.0:2:50843161:50843433:1 gene:Solyc02g087970.1 transcript:Solyc02g087970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mini zinc finger protein [Source:UniProtKB/TrEMBL;Acc:A0ZXL1] MKKVLRRNDYSRNSTNSSFTMRRVRYVECQRNHAASVGGYVIDGCREYMPEGTTSGTLNCAACGCHRNFHRREVETDVASECTSASSTTK >Solyc01g068263.1.1 pep chromosome:SL3.0:1:77191617:77194677:-1 gene:Solyc01g068263.1 transcript:Solyc01g068263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQQQRFVQCPLATEISQENEIKELRNLAALGVIHVVKSGWLEDCDRENKEVPVLRKHIAYDLLLPKDPIHCSNGAAITTTIKRQGKSYVHPMSSDEHAWRSRDSGCAMPSYESKEVENMNDVRTSLGENGVQHQPYASNGKEEFKIPNESSCAVNGRKPSSVFEGRRFCFSASFPADRIVWELDTVGPLYYSSKKHNLLDVDRAEIVEWVNQGGGVVVKDQNETNLHFTIECHGMLRSEKDGAATTFVSSHWIKSCLEFSILFKTRKSMT >Solyc06g048573.1.1 pep chromosome:SL3.0:6:31363307:31364968:1 gene:Solyc06g048573.1 transcript:Solyc06g048573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELNSTEVSTVYSTGSVVPVVLDTAVDESSECGIEPLETDTMVDAVTKISENNISLNEENLVPLADTRTTEISWVTTMTGEIAALERNSQSLIEDAQKTLHNGFTVKDLGQLRYFLGIEILRLDKGILLNQRNFTLVLLSTVGLSGAKPVSTPMELNTKLTTIKKATLSNNNKIGQHCPNTRRSITGYVIMLGESMVSWKSKKQHTVSRSSVEAEYKSMAGAVSEII >Solyc03g122180.3.1 pep chromosome:SL3.0:3:71579644:71584595:-1 gene:Solyc03g122180.3 transcript:Solyc03g122180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHRLKQEQQQALMQQALLQQQSLYHPGLIAAPQIPSGNLPPGFDPSTCRSVYIGNIHPQVSETLLHELFSCTGLVEGCKLVRKDKSSYGFVHYYDRRSAELAIVTQNGRHLFGQPIKVNWAYASGQREDTSSHFNVFVGDLSPEVTDAMLFACFSVYPSCSDARVMWDQQTGRSRGFGFVSFRNQQDAQSAINDLTDVPPQKLIACVMISGKWLGSRQIRCNWATKGANSNDEQGSEIRSVVELTNGSSEDGKDPASSDAPENNPQYTTVYVGNLAPEATQLDLHRHFYVIGAGVIEEVRVQRDKGFGFVRYSTHDEAALAIQMGNAQSILCGRQIKCSWGNKPTPPGTASNPLPPPAAAPLGLTATDLLTYERQLAMSKMAGVHALMQSQGQHPLKQASMGMSASGASQAIYDGGFQNLAAQQLMYYQ >Solyc02g076730.2.1 pep chromosome:SL3.0:2:42422321:42423492:-1 gene:Solyc02g076730.2 transcript:Solyc02g076730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSRPLPTPPPPPYPKPSECGDWYYCPEYETCCCELYFFGICFRHQCCPYENGVCCHGSDYCCPSEFPICDVYEGLCFKRFDDVVGVAAKKRRMAQYKLPWSTSSKETKEMGQTLKWKRKHVAPMF >Solyc03g025930.3.1 pep chromosome:SL3.0:3:3379409:3380707:1 gene:Solyc03g025930.3 transcript:Solyc03g025930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKNGKTEAADMGKGDSPVRRWEDLDINILVMILLYLDIFQLTSVIPQVCRAWRLACCDPLLWITLDLSVLQSNFIRLQVKPYVYVDSPSEEKLTGLLNNCLNLSRGNIQTLIFHPNLYVNDNQLTTAAERCPRLKRLVMPSWDKIKKRTMCRAIHIWNDLESLTMPSIKNPARVIEEIGRSCQKFVALKIMEPCDMLFASSLASFLPNLEVLSVRCTVLSKPALAIILEKLKKLKVLNISHCAITEDSPLEPMRILTKLDKSILEKASRLDEFLTCMSDSCIMCQRTLNDRGFLRWYRYEEDLWKVDEVKSLAV >Solyc07g041150.3.1 pep chromosome:SL3.0:7:52000593:52010353:1 gene:Solyc07g041150.3 transcript:Solyc07g041150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNIIVGSHVWVEDPKLAWKDGEVIKIHGQDVHVKTSDGKEVVAKIAKVFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLATRYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAHLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISNPERNYHCFYLLCAAPAEEVERYKLGNPKSFHYLNQSKYYELDGVNDAEEYLATRRAMDIVGISEEEQDAIFRVVAAILHLGNVEFAKGEEIDSSVIKDEQSRFHLNMTAELLKCDAKSLEDALITRVMVTPEEVITRTLDPEAALGSRDALAKTIYSRLFDWIVEKINISIGQDPNSKSIIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYEKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFPKNKRFIKPKLSRTSFTISHYAGEVTYQADLFLDKNKDYVVAEHQVLLTASKCTFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSSTEPHYIRCVKPNNALKPCIFENLNVIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLAGSYDDKVACQMILDKKGLKGYQGKDKGLFTGWTDG >Solyc04g077770.2.1.1 pep chromosome:SL3.0:4:62761853:62764849:-1 gene:Solyc04g077770.2 transcript:Solyc04g077770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTARSFTGNICCLQYPTILSNTSQVYNVIVSQKPKTKGSIFILPNPSLRLPRINGASCQIRNNQVVA >Solyc03g083217.1.1 pep chromosome:SL3.0:3:54506472:54508228:-1 gene:Solyc03g083217.1 transcript:Solyc03g083217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLMSQLIANTIYLPANFNGIKIITKITRIDPTCLIGQKTFRYHFSTLELASINVIFILLLVEVALTSQIYIVLVHPRYYNNTMTSLEELEKQCLPISKLKKYATEWVIKVLVIRRSLTKKYKNANGEGIRWQLILVDEEGTKIQTTLFNKKVHAWNKSFQLNQSYYIINGKLNGPKPNFLSIHKELELAFMNNTEVVEDKSHFKTEQFSNGFITVDEAEKITNGSLFGKFHKTFIVVCILLTVKKKIYLCRYDRKVMTLWGDFAEIEGQMLQSLESHKPVLAFCDVKSSIYQVITPVKIGDFVLSTTPVNSLLINPQFEKANNLQKW >Solyc05g016190.3.1 pep chromosome:SL3.0:5:14869873:14871159:1 gene:Solyc05g016190.3 transcript:Solyc05g016190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIILRMKEIEMHLIETQEKRISILGRLDPADTAIRIREKMNRRVEILDIQLPQQPDLDPD >Solyc12g062570.2.1 pep chromosome:SL3.0:12:33928335:33937932:1 gene:Solyc12g062570.2 transcript:Solyc12g062570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIKLACLLTHVWYLKRIPNNIVNLIDKPLKELEGLVHYDILSNPITIFLFSMPITKKTTLLRLQGLLKYEIQSLKYIIPLFFTTQGFITFRNREISTGAEWEELGQMGTQGMNRKIEKLEEERTFWLDAWNWLRILFEQL >Solyc12g038135.1.1 pep chromosome:SL3.0:12:49744140:49745251:-1 gene:Solyc12g038135.1 transcript:Solyc12g038135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGADIVLDISWLATLGPTMTCYGARIFEFTLGNKGIRWVGEPHLEAQPVQLQTLHRYSTTDSIAFYYCFMMVQSEGIVDFDHSSVKVHDQFLIPTIDELFDELPGACYYSKLDMLIGYHQIRVNVDDVPKTAFQTYDSHYKFRVTSFGLSNAPSNFQAIMNDISRPHLHRFVLVFFDSVKGLRIFLGLCVIIVGLFKAMPL >Solyc02g085270.1.1.1 pep chromosome:SL3.0:2:48863465:48864403:1 gene:Solyc02g085270.1 transcript:Solyc02g085270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSTYSEIHVSPEIVYAIGDSPVDDFNLSINVIVKYAYNNGENTLEEFNCENTCSCKYKSLSWDKIDEMLLGTNFPYQLERVKWINRTENILVANKDDLIKQILEYTHHNIVTYPPQEYLDEIIVNLIFVKQISVNLREFELIKARIVAADREYFADLLWKSVHDKIMRVEQRGSSSLYEEYKCIEYFIENIVNGISVRSMCYDENYERELKESFIEQARKEFRSLPVVRSKIQFLKKVSLSKNHDDALTAEISCSICMENYLPDSEAYNMPCNHNFHFNCIETWILKDPSCPMCRYELPAMETSETKLEV >Solyc01g112150.3.1 pep chromosome:SL3.0:1:98142344:98144638:-1 gene:Solyc01g112150.3 transcript:Solyc01g112150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLRQKQTECITRMLNLNQPVNAGATANEEVYKILIYDRFCQDILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPALYFVQPTHLNVQRIVADASKSLYDSFHLNFSSSVPRPLLEDLASGTINSDSIERISKVHDQYLEFVTLEDNLFSLAYKNCYLQLNDPSAGDKEIEEIIEKIVSGLFCVLATLAVVPIIRCPRGGPAEMVASLLDQRLRDHLLAKNNLFSEAGNFTSSFQRPILCLFDRNFELSVAIQHDFRYRPLVHDVLGLRLNRLSVQGEKGGMKSFELDRSDPFWVANWSLVFPEVAMEIEAQLKKYKQDVEEVNKRTGGNPGAEFDGTDLVGNTKHLMNAVNSLPELTERKQVIDKHTNIATALLGEIKERSLDSYAKKENDMMVRGVIDRSELIGALKGKGTKVDKLRFAIMYLISTESLPQSEVEMIETALRESEVDTTAFQYVKKIKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDHQLALSRTVEALMEGKPNPEIDSYIIFDPRAPKSNSGMSSSHLKGPFKEAIVFMIGGGNYVEYGSLQELANRQQPVKHVIYGTTEILTGGEFIEQLALLGQKMGLGTSVATPAH >Solyc10g077131.1.1 pep chromosome:SL3.0:10:60116287:60116894:-1 gene:Solyc10g077131.1 transcript:Solyc10g077131.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRHDLIDDICKKTIDDKLCVDSLRADPRSSSADKKGLAHIMLQLSLAKANGTYNQYLVLMKQPMEPILKQCIQICRDNYYITVTELISSIKYLDENDFFNAKSDAGAAVTCTVTCEESFTEWHPIRKDPLKKENDAFFHFNVMLSSLLNLL >Solyc11g008560.2.1 pep chromosome:SL3.0:11:2751068:2764403:1 gene:Solyc11g008560.2 transcript:Solyc11g008560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMNNWLGFSLSPHEEVLTSQQSSHQECFDLLTSHHHQQHHDQSTVVLPSLNVVPHDNTPFGIFEAFNRNHHQSQDWNSHDTNYKTTSNMSMLMGTSCNSQHLENNQEPPKLENFLGIGEQKLQQVCNNNNNNNNNNNYYNIYCSTPNNISENNANNIIGLSMIKNWLRNNPNSTTTTTTTPSSLQDDKKEGDVVGVAGGGGNGNNARSLSLSMSMGGDGGGDNSCSSENNKQEIVSGNNDGQISGAIVVPKKSIDTFGQRTSIYRGVTRWTGRYEAHLWDNSCRREGQTRKGRQGGYDKEEKAARAYDLAALKYWGPTTTTNFPISNYEKELEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKSILESSTLPIGGAAKRLKDVEQAEIALDYQRASQENIGTTHLMNGSMSAYGAGHCWPNITLQQAQPLSAMHYSNPYNSQQRLWCKQEVQDHDLTSQNFQDFQLGNAHNYLMGLDNSSSSSMEQNNVTYHQGVGYASNNNNHHGGGGFVLPHLNGSSSYGGENDNEVKQLQLGTHENIFGNYYHSQPATSNDSIKVSNTLYDHQESVCNSNNWMSTAVPMALASRTSTAAICHAEFFKRDVIELEKGPEDVAWVVQLSDLHFSVHHPERALGFEKIVGPSLSMINPSLVFITGDLTDGKSKDLLIMKQDEEEWLEYKKVMNNVIKKSGLKKNVFYDLRGNHDIFGVPAIGGPFDFYSKYSINGQLKRSGLVNSVTIQTGERSIQFVGFDSIMSLGLRGPTNLFGHPTDQLLDEISSELSVLDSQPAKPVTKIAYGHFPLSFSAASQSGRTLKETFLTHNLSAYLCGHLHTKFGKNLKRHHESNQHKHLLQLNGHGSLPNNSKTCSDEATEFKEFWEWEMGDWRKSRAMRILSIDRGWMSFVDVDFKLGAKNVIILPTFPLDSRFTMERSFHKCKMESLNLNSIRALVFSSSPVVSVVARIYDSRPGNLVTVLETPMRRNDDSTSRGNLYTCPWNFNAFEDPSPDRFLLQIEAVDIEGRSTLTELRPFSVGGLRARLSWNWKEFMVMGCQWDDLYYPILWLFYLLTLPILVFPKAIFVFLRKQYTYKSLVGNRKLVTCVAWILSELYNLPLVCFSIIVYLFYLILCPWLSGQVFTEGEERGYMTYRGWVLRFNERQKLDFHGFPDIMVVVLPHLYFVVLPTIIVIGALVAERAVFQDHLRALSAKKEDDYLVKNNVSAPTSGRNKTLTLLHRRWIRKMLLLISLAICWKHFLNCRSLVKAYAMNPFIHFPVHSMSVPILLAYTIYKTSRAE >Solyc08g007740.2.1 pep chromosome:SL3.0:8:2230612:2240575:-1 gene:Solyc08g007740.2 transcript:Solyc08g007740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTARKNAAIANSIVTDYKNERRNFDGPDSSCLRVNEDTNRSIAEELTLSSPPIGISTAIEKGKLYSIRYFVIKSLNHENIQLSVNRGIWATQAMNEAILDEAFHNSSKVILIFSVNTSGYFQGYAQMISSVGLRRDQVWSQGNGGRNSWGRSFEVNWLRLYDLPFQRTLHLKNPWNQNKPVKISRDCQAWELPPDIGEALCELLDGQDALDVNLKMDVFARNELSSKRPYVEPSLHLGDQDYNASLIPNGTMFSPSLLYQHQIDASRLHVAPLRINGVFSAEESAIASGESKSGQSRHSQRNRSFANLHVDTDMGPQINMWGLPAERSPLASNLTEDDILEMVSGPSRSTQRSLASQENCEDSQSGRSSKKRRGH >Solyc01g011270.2.1 pep chromosome:SL3.0:1:8179786:8180298:-1 gene:Solyc01g011270.2 transcript:Solyc01g011270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEGSGGGILVLNEILHPSMVYTPLVPSKGFCKLGDRGTIVDFDTSFDYLVAEAYKSVVNVVSILLTNHSQPQIYFLDVSSSGFKYKLFDILHDNQVITIIIYIIDKKI >Solyc01g008580.1.1 pep chromosome:SL3.0:1:2607405:2607960:-1 gene:Solyc01g008580.1 transcript:Solyc01g008580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLELGIIVHSIVIGLSMGASDNPCTIRPLVAAICFHQLFEGMGLGGCILQADYGMRMKSTLVLFFSITTPFGIALGIGLSNVYSENGPTSLIVVGLLNACSAGLLNYMALVDLLAYDFMGTKLQNNIKLQSWAYLAVLLGAGGMSIMAIWA >Solyc10g079405.1.1 pep chromosome:SL3.0:10:61069947:61073778:-1 gene:Solyc10g079405.1 transcript:Solyc10g079405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSVKDLEPAFQGTGQKPGTEIWRIENFQPVPVPKFEHGKFYSGDSYIVLQTTSGRGGAYWHDIHFWLGKDTSQDEAGTAAIKTVELDAILGGRAVQHREIQGHESDKFLSYFKPCIIPLEGGVASGFKKPEEEVFETRLYVCNGKRVVRMKQVQKHLSSFGNVPFSRSSLNHDDVFLLDTEDKIYQFNGANSNIQERAKALEVTQILKEKYHDGVCDVAIIDDGNLQAESDSGSFWVLFGGFAPISKKVATDDDIVPERTTAQLYSITDGQVNQLDGELTKSILENDKCYILDCGSEVFTWVGRHTQLEERKSTIQTAEEYLANQNRPKSTRIIRIIQGYETHSFKSNFDSWPSGSTPAPEDGRGKVAALVKQQGAAVKAASKSTSVDEEVPPLLEEGGKIEVWRIDGSAKTQIPKEDIGKFYGGDCYIVLYTYHSHERKEDYYLCWWIGEDSIEEDRKMAAQLASTMCNSLKGRPVLGRVYQGKEPPQFVAIFQPMLVLKGGLSSGYKNHIEENGLNDETYASDTVGLFRISGTSSHNNKAVQVDVVATSLNTYECFLLQSSSSVFIWHGKQSTHEQQNLAAKIVEFLKPGVTVKHTKEGTESSAFWLGIGEKQDYTSNKLAPEATREPHLFSCSVTRGTSFSPFDIYPE >Solyc05g051180.2.1 pep chromosome:SL3.0:5:62280276:62281621:1 gene:Solyc05g051180.2 transcript:Solyc05g051180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKIQDEKQSSDSVESSISLEDTSSSDTYSFNKENGFHSERPKKEIKHYIGVRARPWGKFAAEIRDSTRNGIRVWLGTFNSAEEAALAYDQVAFLMRGPTTCLNFPVERVSKMLEETEICNFFKNGLSPAAALKEKHKRRSSSNISRKKKQKIWEVNYWMNSCLSILIQIRILNFHI >Solyc02g094330.3.1 pep chromosome:SL3.0:2:55519598:55525826:-1 gene:Solyc02g094330.3 transcript:Solyc02g094330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTLVLLVVSCCFLWLSLSSAAKVVNPQITVMGMVYCDICSNNSFSRHSYFMPGVEVKIECTFKAMATRTAELVSVSVNRTTNKYGVYRLEIPSVDGIECAAEKAVGNSCRASLIGSSSSFCNVPGSTRTTTDEITIKSKQANMCIYSLTALNFRPSKRNVALCGN >Solyc07g005880.3.1 pep chromosome:SL3.0:7:699871:721190:-1 gene:Solyc07g005880.3 transcript:Solyc07g005880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIRKWFMKQHDKGTGNGSMSKNSAAEKPSPTSPKPENLVQEGQETANRRKTSKYFATDKVKAKEEKVEEVSAKRKAPNAAGISSAPAAKRIHKAEDEDDFVPVVSAMGSRDVTPSKKSVSGSGRGSAQKNVISDDSDDDLKNKNSDLKSAGRGRGGRAAKTSGKGVPLDESEDDASAVKDNKSGGRGRGGKGPSAAPSGGRGRGGGGRGGFMNFGERKDPPHKGEKVESLQKLTSFRKKLSELINCGLSASQEVPEGAPDCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTTYLLCDEDVEGRKSSKAKELGTAFLTEDGLFELIRSSKKSKSATQPESKKSVDTIVSSGKRNSQKTNNRALCFCHSGASYTEVDSYTFSILGLHGLKCSNIGFLGDGTGSTATKILAAKQLAPSASPAKISGSPAKSSASPAKKKTQAKESLLPWTEKYRPKAIVDIIGNKSLVEQIQRWLESWDEHFLKASSKGKGKKQADSGAKKAVLLSGMPGIGKTTSAKVVSQLLGFQTIEVNASDSRGKADSKIEKGIGGSTANSIKELVSNESLSANVGRSHHQKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLPIVFRKPTKQQIALEELAERVGGDMRMALNQLQYMSLSKSVIQYDDIRRRLLSSSKDEDISPFKAVEKLFDFNSKNLKIDQRIDLSMSDPDLVPLLVQENYLNYKPSSAGKDDNDLKRMSLIAHAADSIANSDLINVQIRRYQQWQLSPAGCLSSCIIPASLLHGQRQTLEQGERNFNRFGGWLGKNSTMGKNYRILEELHVHLLASRESYLGRANLRLDYFSLLGKKLTDPLKVLPKDEAVENVVAFMDSYSISQEDFDNIVEISKFKGQPNLLDGVQPAVKAALTKAYNKGSKSRVIRTADLITLPGIKKAPKKRVAAMLEPLDEGVAEENDETLAEDEENSSDTEDIDVGKKLQSDLQSLSLRGIEVNMDLKGAGSSGSKKTSAGRGRGRGSSNSSATAESSGKRGGRGSGAAAKRKSFGLILKFWLLLPLLCSFCFTITITLHFAVGVFLMKVKRRGKLRYPSEKKKLKQQQEAQVDVENKFEGIWRLSKLSVSVHKDPGKDFLGVSDALLQEIAKVLEFPVASMLPQEAFEVVRKSFDARKLQKEQKFVYTVDVDVHKLLNLEPRTWEFISELEPKVGLIEHLPQDRTSGDIMSIVHACRKSGQDATTSEHGDRNLCNGSHMNASYRKPKVAVVGSGPAGLFASLVLAEFGADVTLMERGEPVEKRGRDIGALVVRRILQEESNFCFGEVLETLVHFGAPQKILVDGKPHLGTDKLVPLLQNFRRYLEKLGVTVMFGTRVDDLLVKDKHVVGVKVSNSRDNSSHSMNQQLGYDAVVLAVGHSARDTYQMLLSHGVGLRVEHPQELINSIQYSGLANEVQSGRGKVPVADYKVVEYVDTNAIALPSNSAPRNRSCYSFCMCPGGQVVLTSTDPSELCINGMSFSRRSSKWANAALVVTVSSKDFAALDLHGPLAGVEFQRMFERRAAAMGGGNFVLPVQTVTDFLDNKLSGTSVPSSSYRLGVKATNLHELFPGHITSSLQQSLLKFDKELPGFISNSALLHGVETRTSSPVQISRSADTHECTSLKGLYPIGEGAGYAGGIVSAAVDGMYSGFALAKSLGLFNGSIESILGKAHSAGVAKY >Solyc07g032530.1.1.1 pep chromosome:SL3.0:7:40089854:40090063:-1 gene:Solyc07g032530.1 transcript:Solyc07g032530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSFNLLSFISPLLFLLLISISIITRTSHMDSLKISNLHSSESFEVIVLSSFIFFDYFLVSLSPTSN >Solyc05g025500.3.1 pep chromosome:SL3.0:5:32871786:32879836:1 gene:Solyc05g025500.3 transcript:Solyc05g025500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFPFSKSFPVLFLLAMLLFSPTVSGIGANWGTQSTHRLPPEIVVRMLKDNGIQKVKLFDADYDTLKALGKSGLEVMVGIPNDMLSSMGSLKAAEKWVSKNVSVHINDNNVNIRYVAVGNEPYLTTYNGTYLRTTLPAMQNIQTALVKAGLGSQVKVTCPLNADVYESSNTFPSGGDFRAEIHGYVSQLVKFLSDNGCPFTINIYPFISLYIDPNFPVEYAFFDGNATPLNDGGTIYSNMFDANHDTLVWALQKNGFGNVPIIIGEIGWPTDGDRNANAQLAQRFNQGFMQHISGGKGTPMRPGPVDAYLFSLIDEDAKSIQPGNFERHWGILTYDGLPKYSLNLGTTNSGSLVPARNVKYLERKWCVLKPNAKLDDPQIAPSMSYACGLADCTSLGYQTSCGGLDARGNISYAFNSYYQINNQLDDACKFSGLATVTKSDPSTGTCRFGLMIEPYYGGAQPKCGYTKTTLALILFLWTIV >Solyc10g049850.2.1 pep chromosome:SL3.0:10:46880574:46891564:1 gene:Solyc10g049850.2 transcript:Solyc10g049850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWESDDKELKAAGAEPLPGGRRGLRIHGWEIECRKLFILNSLHLQRWEKELQTTHLPEMVFGDNCLVLKHVNSGTKFFFNAFDALVSWKHEALPPVEVPAAAKWKFRSKPLQQVVLDYDYTFTTPYCGSETVESNVECGSAIPEEGSSCIQWEDCKEKIDLVALASKEPILFYDEIILYEDELADNGISLLTVKVRVMPSGWFLLLRFWLRVDGVLMRLRDTRLHCLFGEHNKSSILRESCWRETTFQALSSKGYPSDAAAYSDPSIIADRLPIVMQKTQKLHVGVSCKQYVKDIPLMLLHIVIQALLLTGFPL >Solyc08g029230.3.1 pep chromosome:SL3.0:8:37411712:37425204:-1 gene:Solyc08g029230.3 transcript:Solyc08g029230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELHSLREIEGPDPNYRDICFSGAESDVSQLSKSFPSVDMVFSNGKKLSLTPENYLFRHSKVRGAYCFGIFQNGKDPTTLLGGIIVRNTLVSYDRENERIGFWKTNCSKLWDTLNVSSSPPPPSPPSGMDNTNSTAHMTPALAPSVPSENYAPGEIKIGLISFDMSLSIDYSELKPRVPELAHFIAQELDVYVSQVHLMNFSTKGNDTLIRWAIFPAGSADYMSHTTAMEITSRLAGDRLHLPDTFGSYKLVKWDIEPLSKRTRWQQSYLAVVLALLVILVLGLSASLGWFIWRRRQTPTPYDPLGSVETMTDEKELQPLN >Solyc08g079270.3.1 pep chromosome:SL3.0:8:63000260:63002158:1 gene:Solyc08g079270.3 transcript:Solyc08g079270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLSEAEEKLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKMGIDPVTHEPLKKEENPIDQIISESDQNKNNDHQLVVVVPESTSVTAAATSSELENSSTSSSSASSSENSCNESKLVLDTFNENDPLLNSLLESNDAPPLIDSSWELSVKSFDNIIINNNNVTSSWDDENFNWLLDCQDFGIHDFGFDNCFNDVELEVFNTKYDMENKK >Solyc07g047640.2.1 pep chromosome:SL3.0:7:59002011:59003305:-1 gene:Solyc07g047640.2 transcript:Solyc07g047640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLSSETVIDILSRLPIKSLVKFCNYEIVGSCNGLLCLFDPIVKYLTHMLNPCSGDFVFLPKPIRDLGDAVSVVVGFGFLPKRTVYKVVEIVYCRRGVVGDENDGWRSSVCVYTIGDDSWRCIGSSPYPLRGRDLSGACVNGTLHWVSSGYDGPKLVDQIVGFDLENEVPCPDFDSGRLNYTLGVLQGCLPATRHKCQDYVEIWVMKDYGVKESWTKCMKIVCNEVGLIIGAVQPLFFQRNGELMLQHGGTLLCYSPLTKTLRKLRISGMPRSFKAVVHVGSLFHPECYRNTRILNLLDLA >Solyc07g017570.2.1 pep chromosome:SL3.0:7:7536315:7537532:-1 gene:Solyc07g017570.2 transcript:Solyc07g017570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLLNYTTFLALLLCFLLISSNEIEVVEGKTCRWQSNLYRSRFCLSSKYCVKRCRDEISKVTKGQCITKGWGRYCFCWRTGACPPKP >Solyc09g064695.1.1 pep chromosome:SL3.0:9:62265441:62269581:-1 gene:Solyc09g064695.1 transcript:Solyc09g064695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTGTSQFNGYGGQSSGNNGGYGRGYQAANNISNDHCDHPNAVSNNQVENNNMQKKAENETIEAEIDNSESADTSIVHEDSQSNETENSSEDQMFRPSTIEEVAENTHVVPSHVKACLHKQFKLKDLGELKFFLGIEVLRSSGGIILNQRKYILELIVEAGLTGAKPASTPMESNLRLTSVEHDQANGYVNGDVLHDITSYQRLVGKLLYATITRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSVGQGVWLHSEPTNIITCWCDSDWASYPNTRRSITRYVIKFGESLVSWKSKKQQTVSRSSAEAEYRSMASAVSEITWLLGLFKELGVNSVLGGIPNEYQTELNIERLVPQLQELTEGNTSLRYNYEFSDSYLLEYMDFLDKNLNDVPICVDRSYISFKNEKRILQKN >Solyc04g009155.1.1.1 pep chromosome:SL3.0:4:2661237:2661551:1 gene:Solyc04g009155.1 transcript:Solyc04g009155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAMERLAKEMVDKCGGLPLAVVVLRGLISHKRGLEEWEKVKYHLWQNIEDDSIEVSCILSLSYNDLPTVLKQCFLYLIFFQKIMWSMLITYYGCGWLKGLYQ >Solyc04g052895.1.1 pep chromosome:SL3.0:4:51202153:51202539:-1 gene:Solyc04g052895.1 transcript:Solyc04g052895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVITNSKKVFDTSGVVPKGHCVVYVGESQKERFVVPISYLIMGGLTIPCNEKLFIELTSCLR >Solyc04g076880.3.1 pep chromosome:SL3.0:4:61902386:61911504:1 gene:Solyc04g076880.3 transcript:Solyc04g076880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGVGNGEFSFDNKRRTGLPKIQTQKTEDENVVCHDDSATPVKAQTLEELHSLQKKKSAPTTPIKSPHVFGVAVSEEERQKQQLQSISASLASLTRETGPKVVKGDPARQAETPKVQQPVHHHHTPALNISDSGLKFTHILYNLSPAELYEQAIKYEKGSFITSSGALATLSGAKTGRSPRDKRVVRDETTEDDLWWGKGSPNIEMDEQTFLINRERAVDYLCSLEKVFVNDQFLNWDPNNRIKVRIVSARAYHSLFMHNMCIRPTPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARREMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKEGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDHGVSNIEGGCYAKCIDLAREKEPDIWNAIKFGTVLENVVFEEHTREVDYTDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMLHPTKYAAMLAEKMLKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGDLLKAEYKKTEVFGLEIPTALEGVPSEILDPVNTWPDKKAHKDTLLKLGGLFRKNFEVFTNYKIGSDSNLTEEILAAGPNF >Solyc05g050390.3.1 pep chromosome:SL3.0:5:61355751:61363139:-1 gene:Solyc05g050390.3 transcript:Solyc05g050390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSSATPDKGRTLPVDPNLPRYICQNCHNPLCIAGVDNYADKFFPDSSYRSGMQASSIHGAGSAIGSTSRMENSYVMLPKQRNQGSGIPPRGRGSAQPDASQFGRAMEESFVVLPPPAASVYKCEPTSDGSGTNLPSPDGGPPNAPMQSNNSGFHSTITVLKRAFDIATTQTQIEQPLCLECMRVLSDKLDKEVEDVNRDIQAYEACLHQLEGEARNVLSEADFLKEKLKVVNNFESLKGHHSFPFIYKRTKKQCAVVTAELKELEMKSGRFKELEERYWQEFNNFQFQLISHQEERDAILAKTEVSQAHLELLKRTNVLNDAFPIWYDGEFGTINNFRLGRLPKIPVEWDEINAAWGQACLLLHTMAQHFRPKFQYRIKIIPMGSYPRIIDTNNTTYELFGPVNLFWSTRYDKAMTLFLICLKEFSEFANSKDRENNIRPDKCFKLPYKIENDKVESYSITQSFNKQENWTKALKYTLCNLKWVLYWFVGNTNFQPLSGTVSSQAEVPAAAGSLYSKQPTNTKFQS >Solyc07g055740.1.1.1 pep chromosome:SL3.0:7:63795324:63796379:-1 gene:Solyc07g055740.1 transcript:Solyc07g055740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASNILLLIIVVQLVSVNLAFEKTQNVLSKSKIIHLNGSIGPESVAFDPNGEGPYIGVADGRILKLQLGSNNRLFWAEFAVTSSHRRDCTSPFAPKMEHICGRPLGLRFDTKTGELYIADAYLGLQVVGPKGGLATPLVQKFEGKPLVFTNDVDIDDDVIYFTDTSTKYQRWQFLTSFSSGDTTGRLMKYDKSTKKVTVLLGDLAFANGVALSKNKSFVLVTETTNFRILRYWLKGPLVGTHDVFVELPGFPDNIRINPKGDFWVALQAIRSVPSVSDSKFGMFSFNPQQMGDDGELHPTALKLSEDGQVLEVLEDVEGKTLRSISEIEEKDGKLWIGSVVMPFLRVYEM >Solyc08g076380.2.1 pep chromosome:SL3.0:8:60475841:60478257:-1 gene:Solyc08g076380.2 transcript:Solyc08g076380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNAISVKCEKLSPGNRRSLCMVDYDIPVNNKCIKRRRKSPATVVTVNDNSQKLDSSKVDQSNSIVQTPTVKRSSRFRGVSRYSIISFSYKSVYSLKLCVKSKSDKQTETKGVQLKFDKRIGFCRHRWTGRYEAHLWDKASWNVTQKKKGKQVYLVAASYLCYVLGAYDEEESAARAYDLAAIKYWGTTTFTNFPVCINLSDYEKEIEIMQSMTKEEHLASLRSNEICSVFSNRRSSGFARGVSKYRGVHNNRWEARIGRVFGNKYLYLGTYSKCFSRPLTNFIQIKNAFKLILTRNIFLTILFSIDTQEEAARAYDIAAIEYRGTNAMTNFDMNTYIRWLKPNNTNSLMQFQDLTSEDQPIQISNTNDMINPIHDSSYSFNHNHFTTITTNTEKLYAIPRSQEPIERKMPLSYCKKSSSPTALSLLLRSSMFQELVEKNSSTDSEESEENNMKHGAQSNRENEFLFCTNDKLPKLESWENGTTSSSSHIGLRNRTRRPSQRLISDPGPISFVEFITKSRK >Solyc02g093080.3.1 pep chromosome:SL3.0:2:54651502:54655639:-1 gene:Solyc02g093080.3 transcript:Solyc02g093080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFKFIPLIDVSPLLEKWDHPNITQDEGVAQVVRQLDQACREAGFFYVKGHGIPDSLMKDIKSIAREYFHQPYEEKIKIKLSAETGYRGYQRIGENVTKGVPDKHEAIDCYREVTHGMYGGLGDVMQGSNIWPSNPPNFKQLMEVYIDRCTDLSRKIMRGIALALGGSADEMEGEIGGDPFWVFRIIGYPAASISNGHDKAHNDVGCGAHTDYGLLTLVNQDDGIIALQVRNKSGEWISAPPIPGTFVCNIGDMLKILSNGIYESTLHRVINNSPKYRVCVAYFYEPNFDAAVEPLDVCLQKTGGTKNFEGAVYGKHLVSKVTTNFIM >Solyc09g018490.3.1 pep chromosome:SL3.0:9:15078052:15082551:1 gene:Solyc09g018490.3 transcript:Solyc09g018490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYELFFLICWLAHGYCTSDIHIGYQVSLAIPTSYSRGFIGRAFLMETDHNQIGPNFRGAISVEASNDKYTCSLDVFLGDVKVWSSGHLSQFYTIDKCTLQLTQYGDLLLKGGLDDRVGWKAGTSGQGVQRLDLLGTGNLVLVDAMNLIKWQSFNFPTNIMLWGQRLSSRTRLTSFPSNSNLSYSFEIQYDKIALYLSSEKLKYSYWEYTPLDLDGLNITYVELTSNALEIFNNENYRIGRITSDKTEPLRFLALGNSTGNLRFYYYSYDKGKFEASYQALNTSCDLPLACKPHGICTFSEKCSCIRLIKRGDGLLSDCTENVTEGICGRNDSQMLELQGVTSVLKSNPYKVNVTKEICANLCLDNCTCVAALHFSFEDDPAKPGECYMYGLARGVKQIERDGRLSYMVKVPKGTDQGHDKHSHWKKWIPIVVGVVDGVVLILVLGGVGYYIIRKRRKSCPDTGHSN >Solyc04g049620.2.1 pep chromosome:SL3.0:4:42511132:42521311:1 gene:Solyc04g049620.2 transcript:Solyc04g049620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRCGAFQCGPRLRKGRTPWELRLANSPAYASVSSWCGWHTDHGSLTGLTCGMFTRDSVEIHSPDSDAGLYIKTRTGQIVKVEYGVDEIAYQIGETTEILSSGRLCATPHCVRAPRGDAASGVDRTTFALFMQPDWDQKLSFPGEVHIHRELIQSNESLTFGEYTEKLLDKYYHLKM >Solyc02g082800.3.1 pep chromosome:SL3.0:2:46997024:47008866:-1 gene:Solyc02g082800.3 transcript:Solyc02g082800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGDTSAAAAKEDNENNATGDQKVIINVRCSNGSKFTVQVALDSSVGSFKSTLAQHSDIPAEQQRLIYKGRILKDEQTLTSYGLEADHTVHLVRGFAPAAAVNTASAVNTGNPNANQNAPSDAAPNVGGPFSGSGLGASLFPGLGSGGGLLGAGLPDFEQVQQQLTQNPNMMRDMLNMPLVQNLMNNPEIIRNMIMNNPQMREIMDRNPELAHVLNDPATLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPLLNATTMGGDARSDVGSNPFAALLGAQGTGQGRNQSTNPPTTGSEATANTPAPNTNPLPNPWASAGTGAGQTNTTARSNTAGETRAPPPAGLGGLALPDLEGMLGGMPDAASLNQMMQNPAVSQMMQSLLSNPQYMNQMLGTNPQLRNMLDSNSHLREMMQNPEFIRQMTSPETMQQLMTLQQGLLSQLGRQPTNQPGQNVGGAAPDNNMGMEMLMNMFGGLGSGGLGGVPNRSNVPPEELYATQLAQLQDMGFFDTQENIRALVATAGNVHAAVERLLGNLGQ >Solyc05g018770.2.1 pep chromosome:SL3.0:5:23625933:23628732:-1 gene:Solyc05g018770.2 transcript:Solyc05g018770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHDVQTVINLSGCYNLKEGIAERLGKDFEATIKKYGYIDVKNVAGNFDYRVTEKSLMDLLATNMDEAYLQIDKNCRVLTVHGSADIISWEDALEFNKKISNHKSHIIQGATHCYISHQDELTTSVLSFIKEDQLQN >Solyc08g029090.3.1 pep chromosome:SL3.0:8:38296573:38299646:-1 gene:Solyc08g029090.3 transcript:Solyc08g029090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFFKIILSPHASKLNIPDEFVIKYGADLRDVVFLEVPTGAIWKVELLQNSNGTKYLNNGWNQFKEYYSIAIGYFLLFQYNGNSHFSVCIFDLSASEIEYLSGPNEHEPPVSRPNCLAERHREKPDVGEDLTYATQKRKKSKRNGHSNEVVDPSTSKVTTTNQHRTVDCRYPLRSKQRKDVKIEKGDVEEGHCNEALDPSTSKVHSKKRTAASLDASNCRYPLRSKQLKEVKVVKGDVEGIWFSDLFFVLNYVMMDDIFLLPPVSRFIFYADHCNKIHLVADPSATKMTTTVRHHEKKTSASFDTSHCRYPLRNKQLKEVKPGKTNAEKDLLYVAQKAKRKKANNVKLEKGDAEERSKVGDPSASTTTNQHNEKKTTTSLDASHCRCPLRSKQHKEVKLEQWEAGGNMHNKTPVADSPYCRSQNPSLPASSPSCQPVRVKLEKPDNLFCAAEKVKGRETNNVKLDTGDLEGNLVAASYKGKYSTASEMTVLGKKKMLPSETQNRDMYFNPHFTVSMLPAYVSRNFQLEIPADFFKKRVNEEETIVNLRVSNGRSWRAKLFDIQSNCPKMESSGWRDFVIDNNLKEHDTCVFELNDGIEPMLLDVTIFRATTPVS >Solyc06g062730.2.1 pep chromosome:SL3.0:6:39695460:39700922:1 gene:Solyc06g062730.2 transcript:Solyc06g062730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEERNEDVEMADREADELKPSTNDSSVRKLFVGSISKKTTEESFREYFSKFGQVAGSKLLCDKDSGMRQGIGFVSYADPKIADKALEEDHTIDGKKVVVKKALDKTTRIYVGDFPLSLTQDELKEYFSYYGSVVDHCIVMDKTTGQSRGFGFVSFDKEETVEEVLSNGHIHELCGKQVAIRKSKPKRDCIGHTSESQIHHGRSGSKSYYSDDGYRGSSHGVPASYGRGRGYGNSGNIWSYGGNRVYRGGGRNGVYAYGNTGAANERYVTAYYGRSRGYGYSGRSWNDGGNIGYGGGGSNGACVYGNTGAATGRSVPAYYGRGRGYGNSGNIWKYEGNGGYRGGGTNDAYAYDNIGAANRSGPNPWGCGFVAIHYLFRSVGKDMADREAPGSSARSLFIGGISMDITEESLRAHFSQFGEIADLVLKRDKGFGFVTYANPETVDEVLNTYHNIASKEVDVKIPVTYRQRIHVGELPLSLTEGELKEYFSSYGNVVGNQIVLDKTTGRSRGFAFVSFDTEEAVEKVLSNRDRHELRGKQVKTTRVFPVRAGDRDRSYGCNRGNAGIESDKFASKAANEF >Solyc10g076340.2.1 pep chromosome:SL3.0:10:59389672:59391793:1 gene:Solyc10g076340.2 transcript:Solyc10g076340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFRSYFAIANLNREVYVFGGKFEKLWDNTAESYNPANDMWTVHSNLKNRKSNLSGATLKDKIFAMGGGNGTEYLAEVEMYDPQVGRWIPSQSMRQKRFSLAATELNGALYAVGGFDGDKYLAIFLISFHHRTAERFDPREHAWTKIESMTTKRAYHALVPLGGKLYVDLFSLLCSLYLWSHFSSCYSLLASWLFFRYALGGSDGSQIMPSVEIYDPRQGTWMIGEPMNYSRVYVAAAALKESIYVIGGAQSDNEVLDTIERYKEGTGWEITNLSGVRKRCLSSAIVLEED >Solyc02g072510.3.1 pep chromosome:SL3.0:2:42258464:42266277:-1 gene:Solyc02g072510.3 transcript:Solyc02g072510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKKESEGIALLSIYGDDEDEDMEEVEEEQREEDAQEHRPESHNLGFTMGTEFQDDSKKVFGSDSGRSSTPSPLIQQLQQQQSVENFTPSKVSNFGVGSTTTTPLASVSSPNPQPMEMNLNVNVSRRARLTIVDYAHDEVTMSPEPEEGEVMASGRVMYGAELQTASVEFMEKASPALQVRTPSAQTPPQSAEPTEQLDDTMDFAVNEGHGVAEESVMVPAEEQKELDLLEKFLPPPPKEKCSDELQEKIMKFLALKKTTGRSFNAEVRNRKEYRNPDFLLHSVTYQDIDQIGSCFSKDVFDPHGYDKSDFYDEIEADSKREMERREQERRRSPKVDFISGGTQPAPMVPTPKINLSIPGMAPVAAGALPPTVDVVTRDGRQNKKSKWDKVDGDRRDPVSAVGAHAALLSAANAGAGYTAFAQQRRREAEEKRPSDKKLDRRS >Solyc04g049221.1.1 pep chromosome:SL3.0:4:40283098:40283721:1 gene:Solyc04g049221.1 transcript:Solyc04g049221.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNELADLLTVCPINELEDLIVCCDRYAGRLDYNMLLKIRIEYVIIGSKHLSGTSTEDKGSSVGTPTENLMTQRGNASHIHFHLTCNRLNGKNYLEWSQYVKLLIDGRGKLGRLTRERKKLGVGDPKMNTLRSKNLVVIAW >Solyc07g021735.1.1 pep chromosome:SL3.0:1:90067688:90068603:-1 gene:Solyc07g021735.1 transcript:Solyc07g021735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDSTVSSLSMVKCQCGIVAKIFTAFTPTNAGRRFYKCANPNGYKCSYWKWVDDPLHPRVENLIHNLKKENDYLHRENKSLETKMADLEKYLASEIEEKCERLNEEVVIDNEDVVIDKFKTKVDQIWIVIVMLWCCFAAFITFWVMK >Solyc02g084430.3.1 pep chromosome:SL3.0:2:48086739:48087848:1 gene:Solyc02g084430.3 transcript:Solyc02g084430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDNQQNHRVSPILISDGSADGHGKKDKMIDLNVKPSRFHGQASNQD >Solyc02g083140.3.1 pep chromosome:SL3.0:2:47201237:47207885:1 gene:Solyc02g083140.3 transcript:Solyc02g083140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILLSCTEISSLWETSKILHSRHLSCNSSVKGDMWTSSVALCKARHDVSVSVPSKQRGKLFPRNLWIKSHTRMPLGSMFGSWLVERSIPIHLFVSDRSRDGNVTVKCLNSNSSILKQVFSDFIDEDSFFSDEIDTTSDCGKSISTEPLTIEEPWLCDSSLLLHHLAESDASGDVICDNKIVEGLDCENLESGFVNQSTLSETLWSKYEVNSNDASSGTLCATYAHVEEPWLLQACMLSPSFDAEMAPDDFEVEQSDNKDEAQPPFSDQLEQLAQPSSSNQHEQIPEKLLDVDQCDAISKEDSFTTIILINSSVCTVQRIAVLENEKLVELLLEPVKNNVQCDSVYLGVVTKLAPHMGGAFVNIGTSRPSFMDIKPNREPFVFPPFCHDSREKVINGASVDMLEENLGLPRNKSTLEEVDADEIDDADIEDESMEYMDSEFGDHESGDACDILEVLAENCNGSVTEHGLETHSEKYPEESSGIGYRGQNPTIERAMNGKRISQRDESKWVQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLVPRGNTIGISKKIAGVERTRLRVIAKTLQPQGYGLTVRTVAAGHSLNELQKDLEGLLSTWKSIIEHAKSAALAADEGVDGAVPVMLHQAMGQTLSVVQDYFSDKVNSLVVDSPRTYHEVTNYLQEMAPNLCERVELHGTRTPLFDEYNIEEEINNILSKRVPLDNGGYLVIEQTEALVSIDVNGGHCVLGQGTSQEMAILNVNLAAARQIAREIRLRDIGGIIVVDFIDMLDDSNKRLVYEEVKKAVERDRSTVKVSELSRHGLMEITRKRVRPSVTFMISEPCMCCHGTGRVEALATAYSKIEREICRLLSTTDLKADPENPKSWPRFILRVDQYMSNYLTSGKRTRLAILSSSLKVWLLLKVARGFTKGTFELKPLTGDKEYKGDERETSISVLRPTEGGFHPPRKKVTIFPIKKWSSGK >Solyc01g080940.3.1 pep chromosome:SL3.0:1:80133387:80137355:1 gene:Solyc01g080940.3 transcript:Solyc01g080940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVFDEKDFLACCGSTKFAKEMVAAGPFSTHQDAIHVARNIWFNKVDVNGWLEAFAAHPQIGQNPSRDHKSPTFAQWSKGEQSTALSTATELTLQELLEWNARYREKFGFVFLICASGRSTPEILAELKIRYQNRPIIEFEIAAQEQMKITELRLSKLFSDKADTAPAIKPLSTTNIATKAEEDRVNIIGAHLTASSGAARAKPALIPNRTRPPITTHVLDTSRGYPANGIEVRLEMWKDNQARPQFGKTYIDGWILLGSSATDKDGRSGQLMNMVEALVPGIYRISFNTGKYNPDGFFPYVSIVFEIKESQKWDHFHVPLLLSPFSLSTYRGS >Solyc09g007980.1.1.1 pep chromosome:SL3.0:9:1460820:1461116:1 gene:Solyc09g007980.1 transcript:Solyc09g007980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLCFNMRKLLVFMLIIIMFCSCLGDAYPRKALIGGEGLEEHVMDYGVGFGARKKYIYPDRDVDNHHNIPREYFGQRGDNSSGDSGGAGDNSDNGRG >Solyc08g006252.1.1.1 pep chromosome:SL3.0:8:933638:934015:1 gene:Solyc08g006252.1 transcript:Solyc08g006252.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLIYAYGWPSRFVRPIWRVKRAPKRAYASFRRFSCAIAHYFLGDPDSAVMANRMHRQGLDGRPRNFFSFLTSEFGSPKKWCAIAHENRRNEAYAPFGARLTLQMGRTIRDGQPYA >Solyc09g014400.3.1 pep chromosome:SL3.0:9:6028251:6031483:1 gene:Solyc09g014400.3 transcript:Solyc09g014400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITGLSIKGVCLDKVLDKHKILSLGKKSEICFLGLSSLKIVHITSCSVQHKIIQPIFCASDTQIHNQSEETETTDTNQYKPVRVKFQLNKECSFGQHFYLVGDDPMLGLWDPSNAVPLEWSEGHVWNVELDIPSGKTISYKFIMTVDDETILWQQGPDRILQTWETNKTITVSEDWDNAELQTIVEEEPAVSPEILIAENLVPPSVVAIEDGVNEGKTNDVLAIVAKNITEVNEDVNTNRNEETTMEAKAIGKNMEASIDDVLSSRNESILVEEEQVPVLVPGLTHILTSEAHANKVVGESSAGSNSEECNLLLDEAHTEKVVVEGSIGLKSEEEFSVTELSTKEELATDTTHPPEKVKINGKEEVRIGHEDIEKSEMVEGGGDWSNGKPMKENVFVSDMQRGKKTLLKFFASLGFCKSRA >Solyc01g007040.3.1.1 pep chromosome:SL3.0:1:1582775:1583263:-1 gene:Solyc01g007040.3 transcript:Solyc01g007040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKDPVTISTGITYDRENIEKWTFSAKNNICPVTKQSLITSIELTPNVTLRRLIQSWCTINASHGIERFPTPKPPVSKPQIIKLLKEAKSPKMQMKSLKTLRSIASENDANKRCMESAGAMEFLASIINNNSSEVFEEEEGFMSTKTKHLASSINSNYLKMD >Solyc07g032020.2.1 pep chromosome:SL3.0:7:33700338:33712518:1 gene:Solyc07g032020.2 transcript:Solyc07g032020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRACFFVYLVFALQDGYCKGWTNGVFRLGLASLVVSHVMRVLSALHWTVSFFLCLQLGLTKYWIVLFMEFIVQLHVFFDDPFDEIPAPSSGSALRFMFVVSLQLDFVCWMDATRRVFQSVAFSSRFNQLCNLKVEGIRPGGFEGWCTVLHPGQSYFLAMLVRTLQSGYYQRLRKKAFLDLVWHF >Solyc12g070080.2.1 pep chromosome:SL3.0:12:29198141:29228738:-1 gene:Solyc12g070080.2 transcript:Solyc12g070080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKTKGEIYKAVEDVDVGPNSNQFYLTANVKAPRMAGFLVKVFAWLLETPIFGSTMLYFLKRNNLIHKLVTFAELQESPLYVPLHYYEGGKEEENQSGTSPREQVRQALECMVAPIKDLKSFSRWSILDYSTAYNSKVITPTKVMERFLSAVEQSCTPSMQMSFFINFDAHDILTQAAQSTQRYQQGEALSVLDGVPIGIKDEIDCMPYPTTGGTKWMHKVRQCKDDAECVKRLRLCGAILVGKTNMHELGAGTSGINPHHGTTRNPYNIGRIAGGSSSGSAAVVAAGLCPAAIGVDGGGSVRMPAALCGVVGLKPSFGRVSHSGVLPLNWTVGMVGILAGTVEDALLVLPKLYFPLLKSPRPMPNIRLAKYGEWFNDCNEEIRVCCSRALEKLRDNYGWETIQVTIPELEVMRLAHYVTIGSECSTSIGPELEKMNKDEVGWDARVAVSVYGSFSSQEYLNAQRISFNINEFIIFKASQGTALVRYQIAGNFLGLPAITVPVGYDKSHLPIGLQFIGKPWDESLLIHIAFSMQALCISQHKKPEVFWDLLAS >Solyc01g057850.3.1 pep chromosome:SL3.0:1:64044585:64079413:-1 gene:Solyc01g057850.3 transcript:Solyc01g057850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYVFKLMNSSGISESGESEKVLLLMESGVRLHTTDYLRDKSNTPSGFTLKLRKHIRTRRLEDVRQLGYDRIILFQFGLGSNAHYVILELYAQGNILLTDSDFMVMTLLRSHRDDDKGLAIMSRHRYPVETCRVFKRTTTEKLHAALMSSSQTDKIEHVEDNERGNGGSDVPQQKQVNQKSIKATNSTKKGNDRAKSPTLKVVLGEALGYGPALSEHIILDAGLVPNTKIDADFTLEGNTLLSLTEAVKQFEDWLEDIILGEKVPEGYILMQQQALSKKDSTICDSGSSEKIYDEFCPLLLNQLKCRNFMKFETFDAALDEFYSKIESQRSEQQQKSKESTAMQRLNKIRTDQENRVVTLKQEVEHCIKMAELIEYNLEDADAAILAVRVALANGMSWEDLARMVKEEKRSGNPVAGLIDKLHLERNCMTLLLSNNLDEIDDDEKTQPVDKVEVDLALSAHANARRWYEMKKKQENKQEKTVTAHEKAFKAAERKTRLQLSQEKTVAVISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYMSKGDLYIHADLHGASSTVIKNHKPEMPIPPLTLNQAGCYTVCQSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLVMGFGILFRMDESSLGFHLNERRVRGEEEGLNDAEQGEPSKAIPESDSEEELSMETPVVDKLGITGMPKDRSNVPGVPFEAQSNFFLSISDDQASNSVNSSVEVNCNNNDGTSDSLRIMATSGASQLEDLIDRALEIGSSTASTKNYGVHSPLGSPGQHNDEEKKVTQREKPYITKTERRKLKKGSDSSKGAPTVRGKQSEENQKTQKQCEGDVNKAKSGGGKVSRGQKGKLKKIKEKYADQDEEERRIRMALLASAGKVEKADQTIQIEKADAEPDKGAKATTGIEDAAKICYKCKKAGHLSRDCQENADESLQNTSNGGDPHSLTNVGNAANDRDRIVMEEDIHEIGEEEREKLNDVDYLTGNPLPNDILLYAVPVCGPYNAVQSYKYRVKLVPGTVKRGKAAKTAMNLFSHMAEATSREKELMKACTDPELVAAIMGMTIGWDGSGQIQTNVGPCEFQSM >Solyc03g031640.1.1.1 pep chromosome:SL3.0:3:4129437:4129601:-1 gene:Solyc03g031640.1 transcript:Solyc03g031640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLKSIQRIAAFHSLVSSWHPPPLSPTHTSTLRLRGPKNAFRFPPLVDPCRLP >Solyc01g049880.3.1 pep chromosome:SL3.0:1:46638808:46641245:-1 gene:Solyc01g049880.3 transcript:Solyc01g049880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNARRSPFYGVLQLHLMNLRITRKDGYNDFNTFYMQMYIRGALGVSEDITNIEDFFEVVDLG >Solyc12g014550.1.1 pep chromosome:SL3.0:12:5553393:5554808:1 gene:Solyc12g014550.1 transcript:Solyc12g014550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFGDFWIQEYNKAGKLADKIIYMISERNLLPATGPEAQCHSSLMRMKITILGFRLDSLQCIDSKLPGKQRLTEKEMNLQKVMLENLKSKATEMASTLNMSNFANRDNLLGLETKTTDATSRTTGLDNYGVVGLQRQIMKEQDEGLEKLEES >Solyc11g056540.1.1 pep chromosome:SL3.0:11:45847950:45850298:1 gene:Solyc11g056540.1 transcript:Solyc11g056540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGLAFICPPLADIFWPMHAYRIQCCLGDFLKQWLKTPSRCAHDMTDVCRPWMRLHALGRYHFPDTYLLCSRMKANVSYHIHKFHAKGNEGIPSPVTAERFAKAMIDVHFQRAMLIEQCVGYNQFSSPRLISANRFVQDMGNERGKVCRPLGDVERDLDYLYVVDRRRFPDAHKPFQCMRSFADAAYHWPSSPKATLSQHSQNRLTIVFKPKAMRLGEARRRDTVVCRKKLMLTGHIEHRLSIVCAKGNDKSNVGRTCPRPLSSVHRSQPMRLVMPDFLCHLPEEDRPLLMLHGIVRR >Solyc05g024240.3.1 pep chromosome:SL3.0:5:30898634:30900443:1 gene:Solyc05g024240.3 transcript:Solyc05g024240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYKSLKIIQKSPNSLVYYLYLNRPTHLNALSQDFFTEFPKAISSLDQNPDVAVIILAGSGKHFCSGIDLHTLGDVFKETDAVDCGRKVERLRRHIKFLQEAITALECCRKPVIAAVHGACIGGAIDIITACDIRYCSTDAFFSVKEVDLAITADLGTLQRLPSIVGFGNAMELALTGRRFTGSEAKDLGLVSKLFTSKEALEEGVKVVAKGKCFHALSHDFFTPKSSPHSIKTPMSPTSSLLVPASTSVPVSTSKP >Solyc08g078990.2.1 pep chromosome:SL3.0:8:62766129:62772557:-1 gene:Solyc08g078990.2 transcript:Solyc08g078990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSSPPSPAPSLLRRIVDFDTAISLRLYTLTHPILPYYFLKTLEISGDGFLFFPLVLSLLLYPLAFSDTKNSNIFLINLLLGGILDLIVIGPLKHLIRRPRPVYNKNMFLSFAVDHWSFPSGHSSRVSMIATLFYLSSDFIRDVLIQLKYDLFVDYLMLIVIGWAATTSCSRVLLGRHFVFDVIAGVLLGILEGFSIEHGRHKWTEFFLFIVEVTSGAESFALHRTKESLTVSVTLGGVVIFSTCIDRRYL >Solyc08g041666.1.1 pep chromosome:SL3.0:8:26655521:26656211:-1 gene:Solyc08g041666.1 transcript:Solyc08g041666.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIFTCMTHILNLIVQDGLKETGKSVKLVRQAVKYIKQSPARHTKFKECCESRIDNLWNYTYSMLDIAQNFELAFERYSFYDIGYLNHLRTFGSDPFENKDGTSVEHGTSVEDETCVEDGTTANILSSILEKCEVNEMMINEDRNLKEMAESMNVMFKKYWGEPQKMNMMIFISSVLDSP >Solyc03g034110.3.1 pep chromosome:SL3.0:3:5848334:5850191:1 gene:Solyc03g034110.3 transcript:Solyc03g034110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKVDDQMELISHFYPQIYSQLAQQQEETVKPRRRRKKHKDEGRDNTSEVVMRKRKLLSEEQVNLLERSFGDQHKLETERKAKLASELGLDPHQVAVWFQNRRARWKNKKLEEEYSKLKTQHEDTIIEKYRLETEVHMWPLMCHYYYNYW >Solyc08g067970.3.1 pep chromosome:SL3.0:8:57087543:57090443:-1 gene:Solyc08g067970.3 transcript:Solyc08g067970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRTRSHQKVQTMGHLMSDGISDSYFQPDVFVRKHKNNSFFNVPGVFVGFNPKGSESDSVRSPTSPLDFRVFSNLGNPFRSSTSEGAGANKTWGCTKVGLGIVDSLDDEMKHSGKVFRSSDSKNILFGTQMRIKAHDFQSCVDDSLEEPKSLPKNISIFPHTLSKSSNLRKGSSDVVFGIGDALSEHEYSRNFRSCSLDSGRSSSRFASLANRTVAVGSENAINPVVSQTKCVRGCSKLGNPAGGAKLSPIPTPVGSNTSLVGSISASDIQLSEDYTCVRTRGPNAKVTHIFCDCILECHNNELPNFCKNANEKTVLPEVTDSSEVLTSFPSSDFLRFCSSCKKKLDGKDIYMYRGEKAFCSLDCRSEAILIDEEMEKVNNDSESSIKPNSRDEVFDTGLFIAT >Solyc05g012900.3.1 pep chromosome:SL3.0:5:6033016:6038448:1 gene:Solyc05g012900.3 transcript:Solyc05g012900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMNISHPLDTLMYVEKQLVSRGDAHFIQILLKIEKDVEEHQSKLYHFLDTLQWVEEKWGSFRMCSDNNHLSWIEDQWYSFDYNMEPYNILTTLQRFVQASHSFLEGFHFSKESRPPHTPQRIEEKGLPIVSHLSKTLQQMEDECNSFFVEYLFAQELDALSVLQRIEEYWGSFRMYLEEFRSKVSDFLEISQEGMSLLDRLRFLKRDFKFLSIIIELHIFKEEPHVSWEKVRALFHGATDELIQMYSTEVSPWSQQFYDYFCHLQYELQQTKLEIIKANFPFPKISANEDGIVIPDFVKKFIDSVADNISNSLKFDDLSSPLCIGGRSIMVQIEMVLKGLNFLSSFVCFVSDRCIETRVKHALFTHVVQVAWQTTMATWLYLPSNEYMYQDTAPNGENPLLSDLLKSKIQPIQSSICKFYCHILQALNLVQSQWYPVINAKYVFDCEVGFLESLRCTLKGLPVSSNCIAIKAELQETLNFFGDTLVNLPTQVIELHLQDIDFSIVDAGLLVFSLNDDNENLDFTGKIQSMQSVIYLIYRKKFLLQFNLPGIDRVDSADFILDNREKFQSMYSNSVDSVQSQLPIIQKELKFFQAVVEQQDGLQHFAKKTTHLVFEVEHMVDTCKKKDVPDWCLFIWILNIGEDIRMLMAEVAEIRNELLSSPNKLTSFVQLVLKGFVRIFGVASSQFASKQRINEEIVGFEDVKDELIGKLKGGSSGLDVISIVGMAGLGKTTLANKLYSDESVVSHFNIHAHCCVSQEYTRKDLLLAILRNITDERAKLRRETENELADRLRKLLMFKRYLLLIDDVWETSAWDDLKLCFPEHNMGSRIILTTRHYEVASYAKHDSDPHMLRSLNNDESWMLLNKKVFNNESFPFILRDAGQEIVRKCDGLPLSIILIAGILIRMKKEKYCWEQVATNLGPNIQDQMEGTLDLSYQNLPPYLKPCFLYLGVFSEDEEIQVSKLTWLWIAEGFIKPHTGKTLEEIAENYLENLVGRNLVMVGKRSFNGRIKTCRIHDLVHEFCRKKAKLENIIQRINGDAGLDPTQFFPPKCNTSRRLSLHSQCDDLAKWCLCFSNLKYLQFRESRRTAFSSIDRASVILKRFKFLRVLDFEFTIIDSFPQELILLRYISFRTDNDTLSLPANLWNLETLIVQGTRGRISLPETIWKMVKLRHLQINDQALFTLQNEQEFIESPSEMDDLQSLSSAYFSCAGSADKILAKTPNLRRLTCEVSAFDDSFTAFNNLAMLEILKISSGAALTSVDKLKLPSHLKKLTLSNFYINLNEVTTLSTLEVLKLLGVTICSNTWKVKDEQFSKLKFLKLENLSFSEWDVSDDAFPYLEHLVLIRCPYLEVIPSCFGYMSSLKSIEVKSCKESLADSAMVIKEMQVEVMGFSDFEVIIHKIDQQCSNTRSGITYQIEGSLSADAVGKTTKLIQSDVLVN >Solyc02g084700.3.1 pep chromosome:SL3.0:2:48426325:48449643:-1 gene:Solyc02g084700.3 transcript:Solyc02g084700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKVEKLPINVVELDSSDDEGTGVPVVGMTKPEINHGGNSLSKSPVIENPNAVVPPDSNYRPLDSRSFWRAGNFEVGRIKSSAIHGELEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEVSSGATFVKIDRISNPRDNSPALLFQGVVSLLLNLHHYHTIPCYNCRNMYYIILSRRWGWYGSRPPSQMHEPGLFFKNIKLNNWTIRATQSVGLLSYTFLRRTGQDDVIVPMIDYDITGHWAEPIVYGSQDDWSTNLNTILEWSPFATKLDLLQQTQATENKKPSRGALLCKIFFQGQRSVSEEFDDIKSHGTRIIVFNLWLNDEGIYELNFDDDDEDITLRDEANHGNTSKTNKRGRELQSHISYRLRYSLRAYTSILYLKKFTNFSIILRGKPVEQYNILDELKLTKVITYRPQLAGTSKEILVETSLGFVKDTPSSVCGFNVYHKNRLIRPFWKVTADGSSKGNGVVGVLEANFIEPAHDKQDFERSSLFFKLETKLKQIVMDYWKGHCHLIGLKPLEPHLQNLLRESFVPPQVQVANAQTPSPTKFQTVTDLAAHPRPHLRSAQSGNSRRTNCNMELPGVQPMTGNATESVKKDTQISSSSCMSIDQICEENIQLFRRIEEKPLTWCLSEKSVVVVAQSIEELEKELEDTKKKTSELSTRLESQKKLKLLKQLGQKAYKNKKMRKRDLAILMLSAFAIFFSLQHEGDLSFKEAWYHLFEEYPIKYEAERLPPPIVADLNGDGKKEVLVATHDAKIQVLEPHSRRVDEGFSEARLLAEVSLLPDKIRIVSGRRAVAMATGVIDRNYNHREPRKQVLVVVTSGWSVMCFDHNLKKLWEVNLQEDFPHNAHHREIAISISNYTVKHGDSGLVIVGGRMEMQPHMHIDPFEEIEMAEKSAEQHRRSAAEKEASENSGVVDLRHFALYALAGRTGQLRWSRKIENIQSPSSDESLLIPQHNYKLDAHALNIRHPGEYECREFRESILGVMPHNWDRREDTRLKLAHFRQHKRKAVKKVPGKSTTYPFQKPEEKHTPGKDTTKRISNAIGKAVNYAKSAKPKKPSPYIPTITNYTQLWWVPNVVVAHEKEGIEAVHIATGRTVCKLHLLEGGLHADINGDGVLDHVQVVGANGAEQTVVSGSMEVLRPCWAVATSGVPVREQLFNASICRHSPFNLFQAGEFSRGFGHTFDASSLEVATPILIPRNDGHRHRKGSHGDVVFLTNRGEVTSYSPGLHGHGALWNWQVLTGATWSNLQSPAGMMEAGKVVPTLKAFPLRVHDSQELILAAGDQEAVILSPGGSVLTTIDLPAPPTHALVSEDFSNDGLTDLILVTSSGVYGFVQTRQPGALFFSTLVGCLIIVMGVLFVSQYLNSTKGKPRASSENKQLRWNFSHKHRGRAWVRPKEIVAEEKIGTL >Solyc02g088956.1.1 pep chromosome:SL3.0:2:51519055:51520634:1 gene:Solyc02g088956.1 transcript:Solyc02g088956.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWTEECTVGVNWKSRNAAHPHSSNVFPKEGDFLDNKFSASEVKIDSEKSALTKDILEEYDHRMDFFEQTAEMYDSVLPYFSPELRLFCHQILGPGFEMLILTLLKMGLELLLNMKLVSLLKRIWGSIGALDAWDVYSSDNLNNYLTPRAMLSSRVDGTRVNGLIREIKDEDDQKKSFSASILPKHGKFFSTNESSRKAAVNNKYCDCS >Solyc12g096880.2.1 pep chromosome:SL3.0:12:66608788:66611410:1 gene:Solyc12g096880.2 transcript:Solyc12g096880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHTSSSKIQKYDVFLSFSGADVRKTFVSHLHNALIQVGINVFIDERIETGTSIPHELPKAIKESKFAIVIFSKSYAWSKWCLNELAEIIKCRKELDQIVIPIFYNVDPSDVSHQTQSFAEAFSKHEEKYEDEKIQRWRGALAKSGKIKGHHLQNYKFAEVAKLKRVCWLDIRGKIETQRLSKRTKYVVYIVFKLEHKWRGLETVNAVVRFVDSVSDVDAEQRARVVHFAGRGPRETLPFKRADGWMEIKMGDFFNDAGEDGDVDARLMETKKLNDKSGLIVQGMEFRPE >Solyc06g053265.1.1 pep chromosome:SL3.0:6:36089965:36091122:1 gene:Solyc06g053265.1 transcript:Solyc06g053265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAVMLYFNGRCDPSNKYINYLADDTSGDMRVIHNSYFSNTFNGIDEAIGLIGFRSCEKVDELEELAPGIIINLNHSLFEKDQEKVAYWSLRASSLNKYEMFKIREFESEHTCFLLYNSLSEKLAIKSVVGSIIVGKYAEPDVIYTPKDTQRDMLAEYGVLLTYMQAWRAKEAALELIRGDPIQSYAKLPSYFHILEATYPGSHIRFHKSEDDHFLYAFVALFTSIKGKRMGIL >Solyc01g104300.3.1 pep chromosome:SL3.0:1:92602484:92617471:-1 gene:Solyc01g104300.3 transcript:Solyc01g104300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKVVVGVELVSSSSGFCGVNHRLHHPFLFKTLCCTNNSSSSSSSEHKFRFNLGGDRKWKFKDIDASTVQESVNHWLSKTHNFWNEVTSPLVKTVNDKRTSFHDDTQDTEEVFMAEQTVDSQTPNGDLSVATILSIDQFSRMNGLTGQKMQKIFEALVPESVHSDARNLVEYCSFRFLSKDTSVLHPCLKEPAFQRLIFVTMLAWEQPYRSRGDSRVKFAEKHTLQLKRRLVGEEAFVRIAPAVAGIADWTTAHNLFKALAGNDRGITFSSWSTYICELLKVHEGRKSYQFQDLSQLHNERILCIASGGKHPVLKWENNMAWPGKLILTDRALYFEAVGLTGKRKISRLDLTGEGSHIKRTRVGPLGFDFLDSAVSVTSGPQSDTWVLEFVDFGGEMRRDVWYACISEVIALYKFIREFGPEEGDPSAYNVYGSQKGKARAISYATNAVKRLQALQYARKLLEEPTKLVQFSYLYNAPYGDVVLQTLAVNCWGGPLIAKITDQDYQSGGSPGSTNDTTESSSYVFDIDGSVYLQKWMKSPSWASSASLAFWKNPRSKRGIVFSKNLVVADINLMEKAALICRDKYQVVEKTQATIDAAMIEGIPSNIDLFKELVFPLTVVVKNFEKLRHWEDPLLTASSLALVYTIIFRNMLSYILPSMLMGLAAGMLLLKGLKEQGRLGRYFGKVTIRDQPPSNTLQKIIAVKEALREVEKYMQSLNVSLLKIRAIILAGQPQITMEVALALLFGATILLIVPFKYIAAFLISDAFTRELAFRRQMVLRFMSFLKERWETVPATPVVVLPFEEDESDAPNQRKLSSNDVVKPEKQLKQ >Solyc10g031560.1.1.1 pep chromosome:SL3.0:10:15237347:15237688:-1 gene:Solyc10g031560.1 transcript:Solyc10g031560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHVPLSLEAQVEARLLMFSHMNLLSPAIGDPIFVPTQDMLIGLYVLTSGNHRGICVNRYIPCNRRNYQNQKRSDNSYYKYTKEPFFSNSYDAIGAYRQKRINLDSPLWLRW >Solyc02g083340.3.1 pep chromosome:SL3.0:2:47334200:47336415:-1 gene:Solyc02g083340.3 transcript:Solyc02g083340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGEQNRVVSRATHKPIVGSMRKFQFGILLEKTGTNIFGLSCFRLRCEAEAKTWADCLVSDSYKFQRFMIYVHAKGMIVDDEYVIMGSANINQRSLAGSKDTEIAMGAYQPHYAWTEKQRRPRGQIYGYRMSLWAEHLGRIEECFKEPEALTCVRKVNEVAEGNWKSYTAEKFTQLHGHLLKYPIHVGADGKVGPLAEYENFPDVGGRILGNHAPTIPDVLTT >Solyc08g061270.1.1.1 pep chromosome:SL3.0:8:48516630:48516980:-1 gene:Solyc08g061270.1 transcript:Solyc08g061270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIQFVKCACCGLTEECTESYIARVRDRNEGRWICGLCAEAVKDEMVRSRRIGRDEALNRHMTFCKKFRAKSLPPNPTGELISAVKQLLLKTLDSPTSNTVSRRALVRSQSCFE >Solyc02g063050.3.1 pep chromosome:SL3.0:2:35628701:35641408:1 gene:Solyc02g063050.3 transcript:Solyc02g063050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGRNLSSNLLLAKDPRQNDLDLTLPNQGESQTEYSKVNGTSRAIPGGLTTTDNSGVSHFSSPSASFSPNRYQIEGEYDRQLNLSGQGLMPVAEVNSSVKKDLVLKIQEHEQEVVQMRKYLSEYSIKEAQIRNEKYVLEKRIAYMRMAFDQQQQDLVDAASKAISYRQDIIEENIRLTYALQAAQQERSTFVSSLLPLLAEYSLQTPVADAQSIVSNVKVLFRHLQEKLFVTEAKLKESQYQMAPWRSDMNLSNFAHSPPQSAGIKEGLELVPQQAYSSEKAPLSSDPRTTTDWDPLSNPQSGLHRDAERNPETDDLGRYSPLTSRNTTVQVIPAQLAVSQGYTHSKPKSEETSSKQVTFSDLISSNEMDDSDMERHQNDREPSVNWANKSSAYTSQLDEPGSSYSPYLPPVLEEPSSSYSEVADEEALPAIEGLQISGDAYPGQGLQACGYSINGTTSCNFEWVRHLEDGSFNYIEGAKQPTYLVTADDVDTYLAIEVQPLDNRKRKGELVKVFANEHRKITCDPVMHSCIEKTLYSGHASYKVSLSTRYLDIWESATLAIKRDGYSIKVNGPGGVLVSEKFSQSTIVTIPYGSPTEFSILDSRGVEHLLKAENDQGDINCSRDTIVLTMRLFIIRAGEKKKGRRRGLFFNK >Solyc04g018175.1.1 pep chromosome:SL3.0:4:10106770:10113231:1 gene:Solyc04g018175.1 transcript:Solyc04g018175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSHPHHVHGHTFLKRRAALHVLIHFCHIACETLLESSLTLIHLLTLSHRATKTQSIFIESSIHPQN >Solyc11g066410.2.1 pep chromosome:SL3.0:11:52483718:52487860:-1 gene:Solyc11g066410.2 transcript:Solyc11g066410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWGSSSCLQNYSLTKNPFSETVKFSERTSILTIVAQKKAKKVRKIILKEDISQLGKKGDLLDVKAGFFRNYLLPLGKAQIVTAALIKEMKMEDEKIEAEKQRVKDEAQQLARIFETIGAFKVKRKGGKGKQIFGSVTAQDLVDIIKAQIQRDVDKKIVNLPEIRETGEYVAELKLHPEVTARVRLTVYAN >Solyc08g005120.3.1 pep chromosome:SL3.0:8:75141:78381:-1 gene:Solyc08g005120.3 transcript:Solyc08g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSPLCCISNTVYVMGSSTKFVSSLIIRLLEKGFTVHAAIQNHGDESELISKCDKKRLKAFCLDLFDYHSIIDALKGCCCLFYSFEPPKDHSATYDLWYALSKTLAERTAWGLAMDRGIKMVSINSGLLMDDDLNIKNPYLKGAKEMYENGVLVSVDVDFLVYAHICVYEDVSSYGRYLCFNHIINTINDAINLANNFNISPLPSPPPSFEKDTKIIQQRISNKKLNKIMIKKIDDI >Solyc01g087320.3.1 pep chromosome:SL3.0:1:82117373:82142656:-1 gene:Solyc01g087320.3 transcript:Solyc01g087320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVGGEEQGLSNIKVMCWLCFSCENEGGERARKMMSCNCCGKKCHLRCLKTWGQHRDLLHWSSWTCPSCRLCEVCQTTGDSRKFMYCKRCDAAYHCHCMQPPHKRVRGGPYLCPKHTKCHSCSSNVPGKGLTVRWFLGYTCCDACGRLFIKGKYCQVCLKVYRDSETTPMVCCDICERWVHCECDGISDEIYLQFQVDRSLLYSCPECRGCSDQSTKSENVVQEIWRRRDLADRDLIANLRAGAGLPVEDEVFSISPFSDDEDTAPVVKNANKKSLKFSHKGLVDKSLKKSKGYGKKSGKEKGLIGQYEGHPDAPSGGYSAGDVKNDELQAYGELDSFFSPAGNLTEGICSFNMAGVIDDITGNTGKRTVQRKGSKPQRLDGDDVGIQTSMPKTSKDPKGVIHLGALDKNIAGSPKSDASSCQKEQDLTTSHGNEDLVQLRENENSERNETTLGDGKGNEDLVQLRENENSERNETTLGDGKGNEDLVQLRENEISERNETTLGDGKGNEDLVQLSENENSERNETTLGDGKGNEDLVQLRENENSERNETTLGEGKGNEDLVQLRENENSERNETTLGDGKESNLIKIKKVSSEATHFPAKVGGKFAGGSGPYPPLKTSGILGKRSNDSSVTTNAGFEVPATRDNKLASVKHAEAGLASCGDLYEEKRGSPSLSNSPRMDPRPLQGPKFKNPYHESQNAFASPGEPEKSMDKGHESKRKRSPAFEEKASIKSDDNSSQRYEGIITDDILSDTWILKRLGQNANGKRVQFHHLFDNTWQRGTVVEVFEGSSVVSVVLDDGKKINLELGQQAISLISSKEI >Solyc01g106450.3.1 pep chromosome:SL3.0:1:94234871:94238500:-1 gene:Solyc01g106450.3 transcript:Solyc01g106450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTLQLRSLGNTGLKLSSVGFGASPLGKVFGDVSEQDAFDAVREAFRLGVNFFDTSPYYGGTLSEKVLGKALKALKVPRDQYIVSTKCGRYKEGFDFSAERVTKSIDESLERLQLDYVDMLQCHDIEFGSLDQIVNETLPALLKLKQAGKIRFIGITGLPLGIFTYVLDRVPPGTVDVILSYCHYSVNDSTLEDLLPYLKSKGVGVISASPLSMGLLTEAGGPEWHPASSELKAACRAAADHCKGKGKNISKLALQYSLANTDISSILVGMKSVKEVEENIAAALEVATTGMDEEALSEITDILKPVKNQTWPSGIQQS >Solyc03g083615.1.1.1 pep chromosome:SL3.0:3:55007997:55008536:1 gene:Solyc03g083615.1 transcript:Solyc03g083615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKLLFLAALFLSLSYLTGAAGNAAASNSFIKSSCKSTTFPDVCVASLSGYAQAIKNNQLQLVKTALSVSLNKAGSTKGFVSKMLKFKGLKPREYAAIKDCVEETSDSIDRLSRSVNELKSLDHSHGKADFQWHMSNVETWVSAAITDENTCTDGFAGRALNGKIKASIRSHIANLAQ >Solyc01g060223.1.1 pep chromosome:SL3.0:1:69765687:69768217:-1 gene:Solyc01g060223.1 transcript:Solyc01g060223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWAPTNVTELRSFLRLGHYYRRFIIGYSTKAAPLIELLNKNKLWDWSEKCQKAFNGLKVAVREEPILTLPDLSKTLELRHKDLFREVLVTENG >Solyc07g006740.3.1 pep chromosome:SL3.0:7:1577709:1581074:-1 gene:Solyc07g006740.3 transcript:Solyc07g006740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4CBE5] MDIEKRKEELECPNLGIERKYEEIFVQVKKLLVLAWPLMLVNILLYSLQVISVMFVGHQGELALSGASMATSFAFVTGFGLLMGMGSALETLCGQSYGANQYHMLGIHMQRAMFVNLLVSIPLACVWANAGRILVILRQDPEIAAEAGIYARFMIPSIFAYGLLECQIRFLQAQNNVVPMMLTAGGTALLHVFGCWILVLKSGLGSRGAALANATSYWINVFSLVAYIKISPSFKSTWTGFSTEAFSDIPRYLKLAIPSALMICLEIWSFEMMVLLSGLLPNPKLETSVLSISLNTSAMVHMLPQGLGGATSVRVANELGAGRPKTARLVARTATVLATTEGILVAIVMVSIRKVWGHCYSNEDEIVKYVGKMCFFLAGSHFLDAHQSIFSGIARGCGWQKIGAYVNLGAFYLWGIPIGIVLAFVYHFGGKGLWLGIILAISVQVVIYSVVILRTNWDKQVKKAAVRVTQA >Solyc04g082320.3.1 pep chromosome:SL3.0:4:66111871:66130722:-1 gene:Solyc04g082320.3 transcript:Solyc04g082320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGARAQVNKAHKTRFASKSSRNVHKVSSKDKSRIAKPDRNLTKGARAARLQRNKMMREQKRDALLKEKRASSGSNSPPRVIVLFGLSRSVDLSALEQDLLKLLSADGTVVVYPAVASSEYKLRATVLKAPHGDLLACMEMAKVADLIAFVTSASSSNEEDCYIDAFGSHCLSIFRALGLPSTAVLIRDLPSELKKKNDSKKVCTSSLSSEFPEDCKFYPADTKDDLHKFLWLFKEQRFSVPHWRNQRPYLMAQKVDVVADNSISGKCTLLLSGYIRARSLSVNQLVHITGAGDFQLSKIELLKDPCSLNVRKGGDLMESDDMNEAQVISCLTPDPMKQEPLLVENVPDPLAGEQTWPTEAEMAEAERNQEERKLKRKTLPKGTSEYQAAWIVEDSDADVSESENEEEDGMVLDEGENALNSQVRMDEFELDDDQASLALVSDEETETHSMMMDGENLTREQMEEEIRKIKEAHAEDEEFPDEVDTPLDVPARKRFAKYRGLKSFRTSSWDPKESLPQEYARIFAFDNFNRTQKHVLSRAREMEQNVDECIPAGSYARLHIREVPNGVANKLNLVTKTMPVILCGLMQHESKISVLHFSLKKHDSYTDPIKSKEEMIFNLGFRQFVARPIFSSDSFNADKQKMERFLHPGRFSVASVYAPISFPPLPLIALKIKDDATPATVAAIGSLRSIDPDRIILKKIILTGYPQRVSKQKATVRYMFHNPEDVRWFKPVEVWSKCGRRGRIKEPVGTHGAMKCILNGVLQQHDTLCMSLFKRTYPRCLRERSSGGPGLWFLPSVKGEEITFAAHGNEALRKQKTRVAGNTVLAGGPSCSRSGRTDYNFRTNRSGKFLRAASTMNSSNRKSKVRASSILQRFSKLNAIRNGGKEAGGYSQAMRTLTTSNGHVGEPVSRLGQKQIVDALVLGERRRAVSLLYEFSLGNNKLSADDFASILQSCARLPDPLFVMETWKIMEEKEINISDKCYALAVRALCKGGYLKEALSLMSIMAENTNCYSMLPIYNNFLAACYETQTIDYANECMDLMEHQMVGKNEITYAQLLKLAVLQQNLSAVHEIWKECSKFYSLSLLSLRKFIWSFTELRDLNSAHTALQHMVRLAFTENSYISRTAEGRFCDLRLDIPAPSSGNWSFIDVSVDTVDSSNFDMEIQSLGRKTLSASVVKLLRWSFNDVMHACAQVQNCDLAEQLMLQMQTLGLQPSGSTYDGFIRAIATTRGFSDGVEVLKVMKEKNIKPHDSTLAVLAVMCSRELELNLAEAFLDEMSKIHNPRPYNAFLEACDVLVPGWFNKPISLSRLFFFLASQTYPSKHILASLDLDITTDRPERAVQILAKMKRLNLQPNIRTYELLFSLFGNVNAPYEEGNMLSQVDVAKRINAIEMDMMRNGIPHSHLSLRNVLKALGTEGMVKELIQYLRAAENRFSRYDTYMITPVYNTALHSLIEAKESKVATQIFKSMVSSGVTPDAATYNIMIDGCSIVGCFRSALTLISMMFRTGFNPQAVTLTGLLKILLRSEDFDGALELLNQGILEGIQLDVLLYNTIFQVAAEKGRIDVIELIVEHMHLQGVLPDPSTCSHVFAAYVDHGFYNTAMEALQVLSVRMIVEVEKDTDEKRTELENLILGEDSEDEPQILKTFKDSKEYLTVALLQLRWCAILGYPVSWSPSDSQWARRLSSNFASTNGLL >Solyc06g066320.3.1 pep chromosome:SL3.0:6:41717654:41723192:-1 gene:Solyc06g066320.3 transcript:Solyc06g066320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNNDPYIDEDGEALVDFDEDVRSDNEQQEQFLGDNLDDDDAWNQRQRERSPTPVYDDLNKSKPRKRLIKKSSARENTPDIGLGDEEDAYGGAAADDMTGNMHDEFDGGGPSSSSAGGGKRKRFGMEFSEEKRKEKKGREKGEKKFKLRKNGGYSGGSRLKDQEGDREMKEMWDTIAGGDSEDDQEGPKNADDDNFIDDSGVDPADRYGSDNEPLSPSNAPQAEEGEEDDEIKQLFKGGKKKKKTEKSAAEIALLVENVMAELEVVAEEDAELNRHSKPAINKLKKLPLLIDVLSKKQLQQEFLDHGVLTLLRTWLEPLPDGSLPNINIRAAILKILTDYPIDLEQYDRREMLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNLEDERAAQYRRPLKKPMNKASGMESRDDDLDLAGYSQGQKSGQSSGRQLTSRPEAMSMDFVVRPQSKIDPDEVRARARAMVQDQRRMKMNKKLQQLKAPKKKKLQATKLSVEGRGMVKYL >Solyc12g095860.2.1 pep chromosome:SL3.0:12:65953584:65960530:-1 gene:Solyc12g095860.2 transcript:Solyc12g095860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFSKDPRLVKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNVLKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVVGTPNEDTWPGVTSLPDFKSAFPKWPSKDLGTVVPNLGAAGLDLIGKMLYLDPSKRITARSALEHEYFKDIGFVP >Solyc01g103040.3.1 pep chromosome:SL3.0:1:91589082:91601297:-1 gene:Solyc01g103040.3 transcript:Solyc01g103040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTPPFKRKAQSNQPEDGSPSSGNQQLVIFEDTPLPESSHHHPESSEQMLCTYQCRQMVKSEFFDALSSAEKQARDNQSKLEALNNDYLKADAERKKFRDQFLNAEQELAAAKGREEALQDQLMKEVNVSHERLRKQLQLYNELEVKFQNEMNLRKKVETSAAAAEEKASLLERKLSSVSESIEREKSRLQNDLELLKSESKFSITKISNNLERMEFRATNAEEESVLLKEQLEELRKRLDECMQQKTEAEKKLSSFTFQEGCSSDSNILVKHLQEELRSYEAEVREARKLKSSHENIELLKITILEEKGRRERAESELLKLAVLQGDMEKLEDELNAWKSIVKDIPGASCAADVPPKFAALQREVLDSMTKVGEIQARLKQMEVALDAADLEKKEAENEAVLAKEKAESSKSEIKRIELKLASVMEETDRLKNVIEDLRKQKSVESGHEVVSGAILQELEASLAKKENCIKELESYLSEQKEVNIRQLNEIKFLNEKLNSEARRIKSLEREGDGLRSQIALLESKTSDKSLAGNANGLLFIHDTQEFHVLDICLRPLEILVHAFGGYAAYDFSVYLSELLLVPLQLGHGDYSSANTKVLRMVNTLGVENEAKQTIEALQNELQKTKEQLLAVQELKGQSGAHNFNFKYMYACDLLSFSAFPVIKQTAVELCYNYSSLVLPGSDAGTLVDSYISGKIMQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDDHQRPDGIAVTRFILQSIYAQSDEEKLEFEYESGNTNILTNKYTSQPEISQQVEIFIRRMNSIPAFTANLTVESFNKRTLS >Solyc01g109315.1.1 pep chromosome:SL3.0:1:96207855:96208560:1 gene:Solyc01g109315.1 transcript:Solyc01g109315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLDAGNEGECLKQGGLQMGKSGIEPHLKVQIDSESTATSASFKPPTTKITAVKYHTSKLPSLHSFIREKILIQSM >Solyc12g014480.2.1 pep chromosome:SL3.0:12:5448806:5455529:-1 gene:Solyc12g014480.2 transcript:Solyc12g014480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSSRIGRASSRYGGTAPIFSGPVRKWKKQWVTTQPNNNLRGTGNTNNVTGPKLMLCRWTPLASVHSGDSTMPEKTPKRKFRYAPIVDLEEKKNEVPDNKAKLRKLNQAITCATPNDNILTKQSMNDIFDDDFEELRTDQTESEVDYDLYLEGCD >Solyc07g039520.1.1.1 pep chromosome:SL3.0:7:47764192:47764416:-1 gene:Solyc07g039520.1 transcript:Solyc07g039520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHLYRVLTVYGSADEVIPLEDALEFDKIIPNHKLVNIEAANHCYTSHQAELTPVVLPFIMEGLQHSAAELGR >Solyc01g090430.3.1 pep chromosome:SL3.0:1:83996207:84001184:1 gene:Solyc01g090430.3 transcript:Solyc01g090430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYPSQLSKMQTNCLHNTHFSSSSEHNSLLLQEINRKKKKENNMVDVGVEFLLENLKQLVLDNVELIGGAKDEIENLRDDLSEFNAFLKQAAMVRSENPVLKELVRSIRKVVNRAEDAVDKFVIEAKVHKDKGFKGVFDKPGHYRRVRDAAVEIKGIRDKMREIRQNKAHGLQALLQDHDDSISRGGEERQPPVVEEDDVVGFDDEAQTVIDRLLEGSGDLEVIPVVGMPGLGKTTLATKIFKHPKIEYEFFTRLWLYVSQSYKTRELYLNIISKFTGNTKHCRDMSEKDLALKVQEILEEGGKYLIVLDDVWSTDAWDRIKIAFPKNDKGNRVLLTTRDHRVARYCNRSPHDLKFLTDEESWILLEKRAFHKAKCLPELETNGKSIARKCKGLPLAIVVIAGALIGKSKTIKEWEQVDQSVGEHFINRDQPNSCDKLVRMSYDVLPYDWKACFLYFGTFPRGYLIPARKLIRLWIAEGFIQYRGDLSPECKAEEYLNELVNRNLVMVMQRTVDGQIKTCRVHDMLYEFCWQEATTEENLFHEVKFGGEQSVREVSTHRRLCIHSSVVEFISKKPSGEHVRSFLCFSPEKIDTPPTVSANISKAFPLLRVFDTESIKINRFCKEFFQLYHLRYIAFSFDSIKVIPKHVGELWNVQTLIVNTQQINLDIQADILNMPRLRHLLTNTSAKLPALANPKTSKTTLVNQSLQTLSTIAPESCTEYVLSRAPNLKKLGIRGKIAKLMEPSQSVLLNNVKRLQFLENLKLINVGQIDQTQLRLPPASIFPTKLRKLTLLDTWLEWDDMSVLKQLENLQVLKLKDNAFKGENWELNDGGFPFLQVLCIERANLVSWNASGDHFPRLKHLHISCDKLEKIPIGLADICSLQVMDLRNSTKSAAKSAREIQAKKNKLQPAKSQKFELSVFPPDSDVQTAS >Solyc06g010270.1.1 pep chromosome:SL3.0:6:5744679:5746344:1 gene:Solyc06g010270.1 transcript:Solyc06g010270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKKVLQNLRTLKKWQIPNPHLHHRAKIADTIINAVLNPIVIAPSTLLSNLTPNLIHLILSDPHINTPKCLHFFNFLLLNQSFITFKIGVETHLTLACRLVKEGYFEYAETLLVLVPNIETFRFPFAVVASFFEKHNVQSKFASKIFNLLLKALSDNAKFNQALEIFMYMKLNAIEINKRTCIQVSIFSLTVVVDGLCRRGEIKKAGELVEEMLSKGVKPNIITCNTLVDACARRWNFEEMNYTLALMKRERVDLNVETYKFLVDGFLSSGKIDDAERLILEMYVKGFKVDIHLYNLMIKGYCRLGNMERVSAAKELVDKMLGQGVKLDGSMLDILIYFYFKAGMIEEAVSVLRLMEKRELIADTSMYELIIDGLLKLDRTEEAISWLTPLIKRGVSRQKLATIPLVDYLRNSVSEKLVHKRKENENNFKTTFYSDTISLRHEDAHSAEMTNVSAVINEYIK >Solyc10g011840.2.1 pep chromosome:SL3.0:10:4119278:4121550:1 gene:Solyc10g011840.2 transcript:Solyc10g011840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVVCITSGGTTVPLEKQCVRYIDNFSYGHRGAASTEYFLTADYSVVFLYRRGSCQPFCSTLPDDPPLECFSVADDSSIEVDALHAEIVKRAITESRTAVAEGILLKLPFTTIFEYLQILHLIFVSLRDFGLSAIFFWQLQFLIFMFRGKVWLYIKSSRHLVLWIYDSPKYQRCFSCLGMNGRQWPSTYRLRLVNHPALFSKATKLILCLREKSSLLLETDTYILLAKATMALKKYKMHMVIANELSTRKEKVIVVTEQEKVTVRRDSTRARAEVESLLVELIVDRHSTYIKKFDA >Solyc11g008680.2.1 pep chromosome:SL3.0:11:2859733:2864222:1 gene:Solyc11g008680.2 transcript:Solyc11g008680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:K4D5C8] MALNVNGVSLKSHKMLPLPCSSARSLRVMMASTIHRPSVEVGSVKKAFTPPREVHVQVTHSMPPEKIEVFDSLRDWAAQNLLVNLKPVEKCWQPTDFLPDPASDGFDEQVKELRERCKEIPDDYFVVLIGDMITEEALPTYQTMINTLDGVRDETGASLSPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKQIEKTIQYLIGSGMDPRTENNPYLGFVYTSFQERATFVSHGNTARLAKEHGDMKLAQICGSIAADEKRHETAYTKIVEKLLEVDPDGAILAIGDMMRKKISMPAHLMYDGRDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWEVEKLTGLSSEGRRAQDYVCGLAPRIRKLEERAQARAKQRSPVPFSWIFGKEIKI >Solyc12g056240.2.1 pep chromosome:SL3.0:12:63145382:63157103:-1 gene:Solyc12g056240.2 transcript:Solyc12g056240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQPEKKPQSVYDFSLKDATGNDVDLSIFKGKLNILIIICLVVYSGMTNSNYTELNQLYEKYKDQGLEILAFPCNQFGEEEPGTNDQILNFVCTRFKSDFPIFDKIEVNGENASPLYKFLKSGKWGIFGDDIQWNFAKFLVDKNGQVVDRYYPTTSPLTIEYHIPTTTTMAGQPEKKPESVYDFTLKDAKGNDVDLSTYKGKVLLIVNVAGLTDSNYKDLNHLHEKYKDQGLEILAFPCNQFGAQEPGSNDEIVEFACTRFKSEFPIFDKIEVNGENTSPLYKFLKSAKWGLLGDNIQWNFAKFLVDKNGQVSDRYYPTTSPLSMESVYLR >Solyc04g051470.1.1.1 pep chromosome:SL3.0:4:50519252:50519491:-1 gene:Solyc04g051470.1 transcript:Solyc04g051470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKSFPYHSASYKEARFDFEDRTKSFSFNGPVGGNSETKRRKRIASYNMFSVEGKVKTSLMNSFKWIKSKFSDNYYD >Solyc06g069445.1.1 pep chromosome:SL3.0:6:43316432:43317833:1 gene:Solyc06g069445.1 transcript:Solyc06g069445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTFTSYTLYKRSESPNNSGFSKSPLYSNPCTLVLPLLTQKSLNSDSLLSKFRHQQQIHLVYLLRICDYLFLPLSGFNDISVTKVATLLMAMAILQKNFY >Solyc05g050770.3.1 pep chromosome:SL3.0:5:61772991:61782671:1 gene:Solyc05g050770.3 transcript:Solyc05g050770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLPILMLIVAFEIICQQPALAGLSVKYLPGFEGQLAFQLETGYIGVGEADEVQVFYYFIKSESNPKVDPLIYWFTGGPGCSALSAIFFEFGPITLDTRAYNGSLPTLSLNPYAYTKVASIIFVDLPVGTGFSYATTQKANYSDNLLSADHAYEFIRKWLIEHQEYLNNPFYVGGDSYSGITVPIVTQFISNGNDMGIKPWINLKGYILGNPVTFAGQDYYIIPFAHGMGLISDELYESLVTNCEGELIYRDRPIINPLCSRDMDTFNWLTKDIYLYNILESPCELVSKDSRRWLTQNVTKLKNSATYPQVKCRKEWHALALIWANDNNVRDALHVRKKTIGAWEECRGNLSFGDIINNTILYHSNLSRKGFRSLIYSGDHDMVVPFISTQVWIKSLNYSIIDDWRPWIVDGQVAGYTRSYSNKMTFVTVKGAGHTTPEYKPVQSLAMLKRWLSYESL >Solyc06g062980.3.1 pep chromosome:SL3.0:6:39866975:39869985:1 gene:Solyc06g062980.3 transcript:Solyc06g062980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA-associated Sm-like protein LSm1 [Source:UniProtKB/TrEMBL;Acc:K4C729] MSWASPEDIYLSTSLASYLDKKLLVLLRDGRKLLGTLRSFDQFANAVLEGACERVIVGEIYCDIPLGLYIIRGENVVLIGELDVDKEELPPHMTRVPEAEIRRAQKAEREATDLKGTMRKRMEFLDMD >Solyc08g029240.3.1 pep chromosome:SL3.0:8:37395007:37395635:1 gene:Solyc08g029240.3 transcript:Solyc08g029240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSFKIADSQAYKLSFFGPIQEPFLGCKV >Solyc10g082050.1.1.1 pep chromosome:SL3.0:10:63068664:63069200:1 gene:Solyc10g082050.1 transcript:Solyc10g082050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKKRVILRNVTVKLGCSSSCIRPKFSSIFHPKPRRHHSADSAAVFNHHKTPNNKYSFSNSTITTATTFSPSPTPSPAHYSSDAERAVQGFGRIGGESVAVEKDSDDPYVDFRQSMLQMILEKEIYSKDELRELLNCFLQLNSPYYHGIIVRAFTEIWHCVFSVNPGVTGAESPFL >Solyc04g064740.3.1 pep chromosome:SL3.0:4:55892339:55896383:-1 gene:Solyc04g064740.3 transcript:Solyc04g064740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLKFSSCGHLRSDLVKDLNFGKMKHCHTWVFHSFNGVLVIGPLLLLFLVAIGSGYLYNIYSFPEPIVHENENRTSDFSGECNFFVGNWVPDESYPLYNASECPFAEQGFSCLANGREDKEYLKWRWKPRNCEIPRFDVHEILEKLRGERVVFVGDSLSRTQWESMICILMTGVEDKKSVYEVKGRKITRQIRHLQVRFSSFNLTVEFYRSIFLVQPGAPPKRSPKRIKKALMLDKMDDISKEWIQSDILIFNTGHWWTPTKLFELGWYFQIDGKMKLGMTINGAFKHALATWKSWVEKKVNTDRTRVFFRTFEATHWSDESRDTCNVTRLPWSETNGKDEDLFSDIIIDTVRNVSSPVTLLHVTPMGAYRSDAHVGTWSDNPLVPDCSHYCLPGVPDMWNELLFTFLFSDQIY >Solyc05g007050.3.1 pep chromosome:SL3.0:5:1637301:1644064:1 gene:Solyc05g007050.3 transcript:Solyc05g007050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGDGEKGESWDVEKSKGKKKKEVVEEETGCWTKLWFIGSCISSRSKVDSSISGISTHCDKYAFVLTSCIALAESKSTNDTSRDQPVAPIISSTTTSNAESNSSTSKLEEELKVSSRLRKFAFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSMPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLVDPRLEGHFSIKGAQKAAQLAARCLSRDPKVRPMMSEVVEALKPLPNLKDMASSSYYFQTMQADRVGSSPSTKNGVRTQGSFSRNGQQHPRSLSIPNGSHASPYHQQFPQNSPKPNGKT >Solyc10g054276.1.1 pep chromosome:SL3.0:10:55146423:55146986:-1 gene:Solyc10g054276.1 transcript:Solyc10g054276.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRITRLRDERKIYLSQKKYIERVLEHFNMKSAKPVNTPLAGHMKLSKCVLQLGRKKENMAKGELYHDNQSCRSVLHYLQLKLSILRVLKPARR >Solyc10g077120.2.1.1 pep chromosome:SL3.0:10:60094160:60094744:1 gene:Solyc10g077120.2 transcript:Solyc10g077120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIATMAVLNAKCFTKASINNPSKPKPISLLSIHNLPKGLLTSKPSNLSIPLTGTAIAGAVFSTLSSCDSAFAAQQIAELAEGGDNRGLALLLPLIPALAWVLYNILQPALNQINKMRNQGVIIGLGLTGLAATGFFNTPEASAATDIATIAESASDNRGQLLLIVVTPALLWVAYNILQPALNQINKMRSD >Solyc12g016180.1.1.1 pep chromosome:SL3.0:12:6264080:6264739:-1 gene:Solyc12g016180.1 transcript:Solyc12g016180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRLRNTRNYSENVRNSILDRRERSLFKKAEELSILCDVEVAIVIFRPGKIQPITWKSASLDQDVLTRYLGFIEFKRLNKLVTHEYYLQKKIDKKEEQISKLEKMNEAKEMEILFNQLVEGKSINELDAREMKGLLKVFAAKMAKLDERKKELNQTPNPPSNKENITLSGSPMEESFNGPWFIQTIATLGDGSDIEFSPKEGNGVNVEDDGHSKDLD >Solyc08g008273.1.1 pep chromosome:SL3.0:8:2696669:2702135:1 gene:Solyc08g008273.1 transcript:Solyc08g008273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFLCPSGFTRVLSYRFMRKIWGYQMGSLDPAPNGQERQKTVNSRYLSGCHRHEKSGNVDYRLSYQKKMLEQRRNDMLLGHSRPRLPSTDAEYSIDPVDGGRSGGDCTVEPPQLRINRDCSILMSFVKFDGEVE >Solyc01g090460.3.1 pep chromosome:SL3.0:1:84012412:84014574:-1 gene:Solyc01g090460.3 transcript:Solyc01g090460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HD-ZIP protein [Source:UniProtKB/TrEMBL;Acc:Q42437] MSSEKEDGLGLSLSLGIMSCPQNNHKTTPSLPLNLLPFMHHHQVSSGRKDEGGERVRGGIDMNEPARMIIECDDEEDEEEDQVLMVSSPNSTVSSVSGKRSHDREENEGERATSSLEDDGGDAAARKKLRLSKEQAAVLEETFKEHNTLNPKQKLALSKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTDENRRLQKEVSELRALKLSPQFYMNMSPPTTLTMCPQCERVAVSSSSSSSSVVNATRAQNHQAPVPMNKPWAAMFASKTLDVQRSQM >Solyc04g082070.3.1 pep chromosome:SL3.0:4:65945516:65948324:-1 gene:Solyc04g082070.3 transcript:Solyc04g082070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPVPSSITEEPQPKKQKMSTTTTSDEEYAAGAGDGSAPERKPRYKRRKVAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALYQAGGVPDHDKCQPRKYEWARSARTDKGVSAVGQVVSGRFYVDPPGFIDRLNSILVPQIRIFGFKRVTNAFSAKKFCDKRRYVYLVPVFALDPYAHRDRESVLASLGSGKELVKCLNCPERGRKVAGVMGRRVFDPITQSVIIVDAPVVTANDPTTDTNMIIENEASLSEKVEERIDVVERSDENAKKEEQVEESVFCYGEKEKERFNRILKYFEGTHNFHNFTTRTKADDPAAKRFIISFNANTVVNVDGIDFVKCEVIGQSFMLHQIRKMIGLAVAIMRNCAPESLIVTAFRRDVNINVPMAPEVGLYLDECFFTSYNSKWKDTHEEVSLKAYLEEAEEFKVKYIYSHIASTEQKEGTMAVWLHSLNHRNYPDLRAVDIVKPAGGGLEVVETAVEAGLGAVNNGESTDNVSSAVAGPEVTETVVEAGVGAVNNGENTDNINSAGAGPEVVKTVVEACLVAVNNGESTGNVNSAGDDSEVVKTVVEADLVALNNGESINAKNGEAGRDADLINS >Solyc03g081320.3.1 pep chromosome:SL3.0:3:53620358:53624134:1 gene:Solyc03g081320.3 transcript:Solyc03g081320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVEEFFEILERPTIGAVFVEIMVFLGPVWIAFLLGITVGWIWKPKWAAWKNCKFDFSLPSSPSASVPSTSKPQGFHSDEAPTPSFASYVDAGSEIEQFDSGPSRLNTLPISDEDLDHLWHLVERKDGGPTWKQMMDHSTSNMSYQAWQRHLETGPPQYCSRTVYEDATPELLRDFFGDDEFRVKWDDMLVHAETVEECPTTGTMVVHWVRKFPFFCSDREYIFARRIWEAGRSYYCVTKGVPCPNISRKDKPRRVDLYYSSWFIQAVESREGNGQLTACEVLLFHHEDMGIPWEIAKFGVRQGMWGAVRKIERGLRSYQRSRASNAKISHCALMAQVNTKIDPEYLKSMDGNEESSGTEIEVLPAKCEGNINIPKLLIIGGALVVACTLDQGIIPKTFLFNVAKRFGNIGKKASPRP >Solyc04g007710.3.1 pep chromosome:SL3.0:4:1389747:1396547:-1 gene:Solyc04g007710.3 transcript:Solyc04g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BNS8] MVMDFKEFFTEYGEAHQYEIQEVVGKGSYGVVAAAIDTHTGEKVAIKKIKDVFEHSCEATRILREIKLLRLLRHPDIVEIKHILLPPCPREFKDIYVVFELMECDLQHVIKANDSLTAEHYQFFMYQLLRGLKYMHTANVFHRDLKPKNILANADCKLKICDFGLARVSLGDNPSAVFWTDYVATRWYRAPELCGSFFSKYTPAVDIWSLGCIFAEMLTGKPLFPGKNAVHQLDLITDLLGTPSTEAISRIKNEKARRYLSSMKKKAPIPLSQQFPHVDPLALRLLERLIAFDPKDRPSAEEALAHPYFRGLANKEQEPSSQTISKFEFDFERRKLGKEDIRELIYREILEYHPQMLQEHLHGTDHTHFMYPSGVDCFKQQFDHLEGQSGRGGSTLFPRRYASLPRERVCASTEEEADKDGEFERHVVASMAQRCLPSPPSSPKVKKPDIANTVEGPNEAVHSTPTNTERCMLRSSSISFSKCVGAIWDCEDTTCKPYQNGINKSSKTALYA >Solyc02g033035.1.1 pep chromosome:SL3.0:2:30166054:30170125:1 gene:Solyc02g033035.1 transcript:Solyc02g033035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNVVLPYDLLTPSVSDSTCSQSVHSIDYHHPLFLHASDAPSSMSINMPQLGMENYYLWQEAMQLSLLTRNKLGFVDGCITCDTFGKNHELLWDRCNTVIKFPMFTAIQYAQLMGMLNNAPDHQASVNLAGTSLHTPVNNPTWTIDTVTISVPTTSETIQPDHTHPPSPIASLSNSRNDSLPLFDPTLPSQTSRRSTRQSKPPIWMKDFIIPTQAHGPSNNTCLYSLGDSSFKIKDLGDLKFFLGIEFSKIASRIVMYQRKYALDLISDLGLAGAKPVGTPLELHIKLTTAELDCIIEVDNDPPLDDSPGLGVLQTSNSTSILSAFCDEDWASCPNTRRSVTGYLIKFGSSSISWKSKKQPTISRSLAEAEYRCLASTVAELVWLVGLFAKLNVI >Solyc05g052180.3.1 pep chromosome:SL3.0:5:63311537:63314272:-1 gene:Solyc05g052180.3 transcript:Solyc05g052180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGGRKNLKRAIEEDNFTLEQGQSIMQVVDLRGSNLIQVMDAKGENSLAIFPAKFQKSMWIKRGNFVVVDESGREEAVESGRKVGCVVTKVLYFEQVRVLQKSAEWPEIFKSIAVESSKQDIVSQIEEDEDSSDDDGLPPLEANTNRVNPFQMATESDSDSDSDSDSDSDSDS >Solyc09g057790.3.1 pep chromosome:SL3.0:9:51247969:51248682:-1 gene:Solyc09g057790.3 transcript:Solyc09g057790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFICTFLLFKESSSSSSVPNTNYVVPQDKASSCITHPLAEILRDLNKRIPDNSIKAGNNDDHSTFITWYHANRMLSFYAPGWCGEKCDSVYRVTIRGSDVEAHRESTGTVSQGSGQYTDVVAAAEEIAFCRACARFGLGLYLYH >Solyc07g009090.3.1 pep chromosome:SL3.0:7:4040469:4041464:-1 gene:Solyc07g009090.3 transcript:Solyc07g009090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYTTFLALLLCLFLVAATEIQMAEGKYCWKKSGKWNGPCQYSYKCSHHCKYYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc10g052790.1.1 pep chromosome:SL3.0:10:53476207:53477031:1 gene:Solyc10g052790.1 transcript:Solyc10g052790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQEFTEFKSEVKLIAKLQYRNLTKLLGYCTNGMVANTFYSRGSLARLVIAILLGSATIAVRNLQTIPTGGQNFFEYVLEFIRDVSKTQIGEEYGPWVPFIGTAPLCPLMNRMVLRPSFDSDGRHRSHETGPLLLRKKPCPLLRY >Solyc04g072785.1.1 pep chromosome:SL3.0:4:59843311:59843949:-1 gene:Solyc04g072785.1 transcript:Solyc04g072785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKNFHYLNGGDSLACGGNVRSLPAGYGGSDGVLHMQPTNTCPSSHLDSSAAVYTLDCKKHYLLCKIRQFKRMMVYISRPGINRRLQRKQAFKKLAVSRKSADLRRFLTETVFAAVNKRC >Solyc03g119507.1.1 pep chromosome:SL3.0:3:69574274:69574789:1 gene:Solyc03g119507.1 transcript:Solyc03g119507.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIKTFRLQNIGKIILIFSSAKIDLMEIVFLQQFHYIADAFAIDKLNVQHSFLSIDQLVFDSVDRRTDRIPEFELVNLSNDEEFIFISHAVETQNTNTKP >Solyc05g041200.3.1 pep chromosome:SL3.0:5:51104563:51109046:-1 gene:Solyc05g041200.3 transcript:Solyc05g041200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:UniProtKB/TrEMBL;Acc:K4C0A1] MGMGKETLSTTDTTGATFKLVGFNNFIRANPRSDFFSVKRFHHIEFWCGDATNTSRRFSWSLGMPITAKSDLSTGNSVHASYLLRSVSGELQFVFTAPYSPSISVPSTAGIPSFSTPTYRDFTAKHGLGVRAVALEVENAYLAFSASVARGAKPRFEPVTIDEHVAVAEVHLYGDVVLRFVSLVKDADTLIFLPGFEAMDETSSFKELDYGIHRLDHAVGNVPELGPVVDYIKAFTGFHEFAEFTAEDVGTAESGLNSVVLANNDETVLLPLNEPVYGTKRKSQIQTYLEHNEGAGVQHLALVTEDIFRTLREMWKRSGVGGFEFMPAPPPTYYKNLRSRAGDVLSDEQIQACEELGILVDRDDQGTLLQIFTKPVGDRPTIFIEIIQRIGCMLKDEKGQVYQKGGCGGFGKGNFSELFRSIEEYEKMLEAKHVNQVAAVE >Solyc06g069180.3.1 pep chromosome:SL3.0:6:43066637:43074035:1 gene:Solyc06g069180.3 transcript:Solyc06g069180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGMFIPYVGGVEHARVLLPPLEGLCSVEETCVREKAVESLCKIGSQMKESDLVESFIPLVKRLATGEWFTARVSSCGLFHIAYPSAPEPLKNELRTIYSQLCQDDMPMVRRAAATNLGKFAATIEQPHLKTDIMSMFETLTQDDQDSVRLLAVEDCAALGKLLEPKDCVAQILSVIVNFAQDKSWRVRYMVANQLYDLCEAVGPEATRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPILGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPKWAMEHIIPQVLDMINDPHYLYRMTILHAISLLAPVLGSEIACSKLLPVIITASKDRVPNIKFNVAKVLQSVIPIVEQSVVESTIRPCLVELSEDPDVDVRFFANQALQATK >Solyc01g098230.3.1 pep chromosome:SL3.0:1:88681182:88689305:1 gene:Solyc01g098230.3 transcript:Solyc01g098230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKIVRDLFISKQPVFRRILAPQQVVLGRVTTNLRFVGADRYLGIRHFGVFNEFSKKVKGEVDSNQEFQQSVKVLKEKAEELKGVKEDLKTRTKQTTEQLYKHVDGVWTEAEATAKKVYANVEEKISATKEEVRSSFRTGKEETPGSSGHSDTSGKNTNESSESASAKTQQEQQQQQQSFSSDNAETVFSKVKSAASSLSSKVSPAIQKIKEAKPVDLAKKGYGIILDELKGTPGKRKRLEHSAPTQETSSNFERSTRTDVAVLPSKQSKWNKKWEALKEKMQGHPVFKRFTGMSEPVVTKSQEIAEDIRERWETSDHPVVHKIQDINETVFGESTAALSFKEIRRRDPSFSLPEFVAEVQEVIRPVLGAFFKGDSEVLKKYCSKEVIERCKAEHQAFESQGIIFDNKILHISDVEVRETKMMGDSPIIILAFQTQQVYCIRDKLGSIKEGGQDTIHTVYYAWAMQLVEAEELGEGAIHPIWRLKEMQQLGVAALI >Solyc06g053430.3.1 pep chromosome:SL3.0:6:36295113:36301489:1 gene:Solyc06g053430.3 transcript:Solyc06g053430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIQVALLCFVVGVLGAKAEQLSTKECENLGFTGLALCSDCRTLAEYVKDQELVSECLSCCTEDSDDAMSKVVYSGAVLEVCMRKLVFYPEVVGFIEEEKDQFPFVKVQYAFNSPPKLIMLDDHGEQKETIRIDNWKREHILQFLKEKVKPSSDI >Solyc09g030385.1.1 pep chromosome:SL3.0:9:32052925:32063815:1 gene:Solyc09g030385.1 transcript:Solyc09g030385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREQAKSILEFWVCCFGTCIFAEIPCIVVDSSSKLVRPGTLAGKRFKFSFTVALRSLLSPISFLSLFSATSYMDSSPYSCTSKLRHIGSIFVPISRVRPIYLKAVTGSRDPTTCWKLVDKCSKAQEMGCIHEVYYIYALIYRFMATNVWPEVQKYRDEINNRPPTNPRNSLGKIKWMYLLNLSALGQGRKGILGLVPPLETPIDFLPQIFAHHLHNL >Solyc01g090850.3.1 pep chromosome:SL3.0:1:84432763:84441846:1 gene:Solyc01g090850.3 transcript:Solyc01g090850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSGQYQQQQQGAGAGAGDPSQIQAYDPSMYAQYPQQSTDPSQIQSYDQSHYQQQQPADPSQIQPYDQSTQAYYAYPYNQGYNQQYYYPQDYYSNAYQQQYQHEPTSIHPPGVPIPPSTDPYATQQQPQYSYYPQQQGINYGEVATVTMPNVSTPTITPSPYKGKGKRGGRPYRGGAHGHLGGGQLQPIYTLPTYAENQPKVFQGASQIQGGLSQVKPSSSASGNSAPVRPPRMAWCELCRIECNTPEVLEQHKNGKKHKKNLKAYEERQKLNKQMDGAHGNQTINSEVKPRISYQPAVEGSGQLPLGHLPSETVTGDRQPLPQEKLPPQSVIEEDVGITGKQKVEETDPLDHIQGQGRGVKRNLRGGRGSKLMKTQNGSRKPAVPPKPQKMVPLICELCNVKCESVVVFQSHLAGRKHLSNVKDFQGQQAMVGQAALQALYPALQALYPALQALCQPNSGASTSVAPQGHQHNLHEILGILTQQALSAIPQDQLLGIGAAATLAIPQDQLLGIGAAVASAFSPPSDLLAQDNQGSKLQGSVSEETSENAAAEDGRNCDLPVLPSTESKPEENIDNKHENVNLEVEKKVMSVEEPLRFGTSGDVSSTSAALDAAVSVSNSEVVSSDCAAHSGLDGKLAD >Solyc02g071830.2.1 pep chromosome:SL3.0:2:41763933:41771324:-1 gene:Solyc02g071830.2 transcript:Solyc02g071830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSYFWELLSTWIYVEVASKENSADAIKFPWMRWISINLGLIFSSMVQEKAGNANFLNNLMLFEVITKTLCSLIWKIACPYFHITNFANTLNVRINCYCPFEELSSLSKSQYLSSTQQQELTVEYIEDFDDDDDLDELDSQRFSRRVPMLLVILCLDCRYLLLIPLSSFKMYSFLAFTWMDGRYLYFRLYFFLAIGDDHLRETAYEFLLDAAGPSGLIVPSKEIKKEKTSRLMTKLGCSKSDNAMTQSQHLSVLVSLLETMRVQMEIPGGHGRQDMTCSVKHVGGGAYKSFCYRVGEAGIKAHERRVPLAKIEESELQLRVWGAKDLKAVGAHALTYTASPTQRCKGQCLKSLREIAVPLAERPAGGDLTGEEVKEILELLKSTWRNLGITETIHYTCYAWGWAELAYEKNSLQKGFFWLATLTFERFLNKFSNSKFSGSSLSGFCLDILVAARVGTHVEEVAVSSLPFYEVASN >Solyc05g031600.2.1 pep chromosome:SL3.0:5:47056837:47060193:-1 gene:Solyc05g031600.2 transcript:Solyc05g031600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGTDGCLVKCSSAPCATPENDIKDTFTTINLDHGDPIMYEPYWRKIGNKCGITFNGDDSLSYFANGKSLCWFMESKLEEQIKRLHNIVGNAIVDDYYIVVGTGSSQLMQAALYALSPTDQLEPVSVVSATPFYSKSLGHTLKYNAYPEVTDFVRSGLHKWAGDARTFEKSGSYIEFITSPNNPDGVIREPVVNGDQGKLIYDLAYYWPQYTAITIPANHDVMLFTISKCTGHAGSRIGWALVKDKEVARKMTKFMEISTIGVCKEAQLRAAKILKVVSDSCLDPKMENFFEYSRSLMTNRWQRLRQVVMASDFFVLQKYPLQYCLFTKDFCEAHPAFAWLICKGGEEEDCQKLLKEHKIHTRSGRRFGSDLRTVRVSMLSRDEDFNIFLERLMAIRGPTN >Solyc09g065270.3.1 pep chromosome:SL3.0:9:63571964:63578459:1 gene:Solyc09g065270.3 transcript:Solyc09g065270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALSPATPVRLLCQQQLTRKPVLFCFQDKFPTSGNVVCWSASANYSSLWVGARRLQPLVKPSWGKRSGIVRCATIEEIEAEKSSIEKDVKERMEKTVETVRSNFNSIRTGRASPAMLDKIEVDYYGTPVSLKSIAQISTPDATSILVQPYDKSSLKAIEKAIVSSDVGMTPNNDGEVIRLAVPQLTSDRRKELSKIVSKQAEEGKVAIRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKVTDEYMKKIDIVFKQKEKELLKV >Solyc05g026317.1.1 pep chromosome:SL3.0:5:40480227:40481456:-1 gene:Solyc05g026317.1 transcript:Solyc05g026317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSQGSHSQSVTQASGEVQGHKSPVFGECTIAENIIIDKVIGETGDSNSNAIDQSQTIESKLKKGRKKRSRVWDHFTHKTDIDRSEKVVCNYCKKEYFAVTKEHGTTSMLTHINKCIKMPYNVDIKQ >Solyc07g008107.1.1 pep chromosome:SL3.0:7:2836250:2837956:1 gene:Solyc07g008107.1 transcript:Solyc07g008107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLSTLVVVGAILFALIQHVSMAQQTHVVGDTLGWTVPNGGAASYSTWAARKTFVVDDILVFNFRSGSHSVAEVSKGAFDSCNTSSPISISTNGPTNITLSSAGSHYYLCTFPSHCTLGQKLAINFL >Solyc07g062450.3.1 pep chromosome:SL3.0:7:65313446:65319797:1 gene:Solyc07g062450.3 transcript:Solyc07g062450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRNHTTELGCIACDDLSELGAGKEGWLVNNPNLLTALDTHSIALANRSLVLILHWSEGSDPVGNRVKIVPDLSPIEAEYISAIEWLVFDDIKVLALGTSRGYLLIYSLRGDLIHKQIVSPGKILRLRVRDTKRDLTQDASSEEVCVVMSGVIARFDGSDIQNMLQRWFRERHSQFWDETESENSADTFGRLPYQLWNVNKYGSCVDAAITGLMPPPLLELQSSQRYYCAITVGADAVISAYRLSVDRSRSIVGAILSKVVPATFSTITSISKMLWRSDPSPTKRPEPKPQPFARASPLTCFKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDASCLFVEMLAKKDVETSRSAYHDHVKSDYCLCLAIHAPRKGIVEIWQMRTGPRLLTIPCAKGSKILQPTYRFASSEMSVSSYVPLELFFLNGDSGLLFVLNRSLS >Solyc10g086280.2.1 pep chromosome:SL3.0:10:65280996:65282752:-1 gene:Solyc10g086280.2 transcript:Solyc10g086280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKIKVEGAEKKNESSIVLKLDLHCEGCAQKLRRFIRHTHGVEKVKSDCETGKLTVKGDVDPSWLRERVEIKTKKKVELISSPPKKDAGDKKSGGDKKSGEKTEDKKEDEKKPKEAQVISVVALKIRVHCDGCAHKIKRVIKKIKGVQEVNIESEKDLATVKGSMDIKKLTPYLIDKLKQNVEVVPSKKDNEGGEKKAKEGDNDKKEKESGGGGKGGDKKEKGDTEKKDGESKVASGGGGGGGSEVVNKMEYYGYNANTFYAMPMQHQSYMNQDYGATMYNHGYAHTGYVVEYGHQPQYVPPPPPPTYLNAPQMFSDENPNGCFIM >Solyc09g091720.1.1 pep chromosome:SL3.0:9:71426617:71428802:1 gene:Solyc09g091720.1 transcript:Solyc09g091720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CWJ8] MANFTKEEIDVVVVGAGPSGIAVAACLNNLGINNVVLEKQDCCAYLWKKKTYDRLHLHLSKDFCSLPYMSHKTSSPKYMPKKEFIRYLDEYVDHFNIKPKFKTCVEAAFYNNQEKKWNVKSRNLTSGEIELYVSDFLILATGENNLGYIPKMVGIENFEGKIIHSSDYRSGEKYKDKKVLVVGSGNSGMEIAFDLSNYESHASIVVRSPIHVLTREMVYMAMLLLKYLPIYLVDTVIAIYAKFMFGNLAELGIPQPEEGPFSVKISKGRSPVIDVGAINKIKLGQIKVLPGISYIKEHTLVFDNGNEHQFDAIIFATGYKNIATKWLKDYSSIFLEDGTLINWKGENGLYCAGFSKRGIAGISMDAIAIVDDIKTIRGDKI >Solyc02g079390.3.1 pep chromosome:SL3.0:2:44525091:44527367:-1 gene:Solyc02g079390.3 transcript:Solyc02g079390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQKGQWVKLNLNGDEENAMGYDYGGGVLRMWEGELFCDCLEDRRIAVQSVCLKTLRTWFVSLSPPAGGKLGADLPSTAGSCPWYRFGKNMKRSGFGSCFLQGDSSLDDCIFHLTCPCCTLSQEARTLEMNNVQDGIWHGRGDTICVGSYSEPGRTPFELSPPAVVSTKS >Solyc09g015255.1.1 pep chromosome:SL3.0:9:8328033:8328572:-1 gene:Solyc09g015255.1 transcript:Solyc09g015255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLKNTAFTFCTLMNEIFYHYLDKFMVVYLDDIVIYNNTLKEHVEFTREPTIFQAGEVRTSYDISLNKENYTWTRQKFGRSKSERHPERRISCVFGARSDKYRSKVVVTEETVLTLPDYSNAFEVHTDASNFAIGG >Solyc12g077546.1.1 pep chromosome:SL3.0:12:20292359:20292844:1 gene:Solyc12g077546.1 transcript:Solyc12g077546.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKTVPTPIVVRPPFTFDRRLFDNATLYRSIVGGFNYLAVTRPDIQYAVNRNLELSVYSDSDWANDMDDHSSTTGNLLFLGLNLISWCTKKKTRVSHSSTKAEYRAMEAVVVEAMWFHHITDAL >Solyc08g075520.3.1 pep chromosome:SL3.0:8:59792064:59797528:-1 gene:Solyc08g075520.3 transcript:Solyc08g075520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTSLPGLSHHQMLLGGAVKELSAAMASLNVYSRCDFDGRVGCWIGNEKGRWNKLAAASTMAPKKRRLSISPLASSALAETPYTSRAEFYQEVGIIISCDMPSLFNVFMLLKSPDLSGGINFGTFIEDFTIWQVLKDAREKFTQEISFQSKDKDISLAKALLYVASEDEAFMAFNREMDANSLQSERRSASLASHAIDWTCVEAMPLAGRNMKEWMVELDVIAREVEAELVSREIGCDLVEVLDAVNMVLFKLRGFKRSPVLVDSTCAYLHSVLSSGYCSAILLSVIYIEVCRRLNLTIVGSRVGEDFLIWPQTGNPEELFKVISGHSMFGIVNGKCVDDPRAKASDINSNSLPGLELATNRDIIGIALANLMRLHWKRASRANHGLMLTSPLRSVDHADDKSSKTNCLNVPLLRPQDLRFKMLNCNLWHEKRRIENIGRILLFY >Solyc01g106410.2.1 pep chromosome:SL3.0:1:94205103:94208911:-1 gene:Solyc01g106410.2 transcript:Solyc01g106410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYMADLVLYPVLQVILEKLATPYVQKFHDLYHLKENIEKLQNTLPTARAFLDDAHKRQETDQRVENWLVKLKDIAYQLENLLDEFTAESVMCESRSGKAKQVRSLFLPFEPSKHLFDLAKMLPKKLKELDEIAKQGFSLNLRATTTERQVDNYDRTKVTGSFVITSKICGRDDDKKKLLELLLTTCDGKTGGVVSIIPIVGIGGLGKTTLAQLVYNDEKVVHFFDIKIWVYVSRDFDVSKLMLSIIQSATKRKCELLEMDLLQAHFQDSLGGKRFLIVLDDVWNEDQEEWDKLGDLFQSGGAGSRIIVTTRSTKVASILGTTSPYCLQGLTEDDCWVLFKQRAFSKEEEGEYPNLLDIGKQIIKKCGGVPLAAKTLGSLLRFKREKEDWMFVQESELWKLENCNSGILPALRLSYLQLPLHLQRCFAFCSLYPKNYEIHKEKMIHIWIAEGLITCHEKNRQLEDIGNEYFNDLLCLSFFQEVKKFDETDLVVYIMHDLIHDLARSVGSQDFVILGHDFTQGNMSQVHHLSILFHSDPTSFPKELYGAKHLRTLQFLFCTGDIPSSFPLNFKYLRVLDLSGCVKKVHESISDLICLRYLDLSSTSIQTLPHTICNLSNLQTLNLSFCGNLMELPFGLANITGLRHLNIVGCNGLTRLPAGLGNLVQLQTLPLYIVGKGIGESISEISSPHIRGELSIRGLENIRDKEEAALANLRAKKYVELLRLQWGSENIVRMSTGSTSYEVCREVDGTSRSLSRDDDNVVEGIIECLQPHVNLKKLYVFSHIGCDTLPTFGKLPFLKTLYLQGMDGVTHIGEEFYGGKPLKFPSLEDLTIKDLPCLKEWSCIENEAAVFPRLQKLVVDKCPNLISAPTFQSLLYLELRDCHPKILESVDNMSSLSNVVIDALQGLVHLSGKLLENNKSLETVEILSCKNFISLPQEIEHLTYLKSLTISNCEKLTHLPTGIRKLQALEFLEINGCHSLESLPSEEFAGFNSLKSLSIENCGNLIYLSSGFLHLTVLEQLSIMSCPRLTLSRGSFQNLSSLRSLSIISCPELYPLPASLQHVTTLQSLVIHSSPYLTDLPDWLAKLSSLRSLAISNCEHLISLPEGMKYLNALQHLSIQDCPHLERLCKKKAFSTIDEVTGYSEVQQQDTRSNISSEI >Solyc02g077230.3.1 pep chromosome:SL3.0:2:42791543:42795790:1 gene:Solyc02g077230.3 transcript:Solyc02g077230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKEDKSEISRSSIEEVDVHKETKSKEVNNDQVIVDSPKIVTIRSDENTNAEQVKDEEKNNNDDPPKMVTIESEENRDAELVKINQIEKETKCRPIAFLLGLPFALISLIIAFIGGIIWIIGLILTCICPCCFCVTVLVEMALGLVNAPFQLFHYMTDKIPF >Solyc10g047350.2.1 pep chromosome:SL3.0:10:40522538:40526903:-1 gene:Solyc10g047350.2 transcript:Solyc10g047350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAGHPSETSHTVNSDENLRQDNHHNANSSPPQTTPEAAVSDSQLDDLPKSSETKISSDLPPPTGVTPPQVKRRRRRKRFFTDLIPPSVSAAAVNGLRVLRPNPKPSTAYSYSETELATGDDPSKNHNRRRRMSDLAKEVDVEALIAISVGFPVDSLTEEEIEANVVSQIGGIEQANYIVVRNHILARWRSNVTVWLTKEHALESIRAEHKNLVHSAFSFLLHHGYINFGVAPAIKEVKFKPPEGASKGNVIVIGAGLSGLVAARQLISLGLKVVVLEGRGRPGGRVRSKKMTGRQNGVVAAADLGGSVLTGINGNPLGVLARQLGVPLHKVYYVLKQLSETPMLTPGNEFYGTNVQFNFTSSYNLFLFYLSLKNSDSYQSS >Solyc03g013500.1.1 pep chromosome:SL3.0:3:45847681:45848117:-1 gene:Solyc03g013500.1 transcript:Solyc03g013500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITDMLCRRASLLMSRFAQLGTSNFMILFLAEYDILMHPFHIIGLACVKGSSLLSVMHASLVTSSFIRESIENEFDNEGYRFG >Solyc03g005400.1.1 pep chromosome:SL3.0:3:258439:258599:-1 gene:Solyc03g005400.1 transcript:Solyc03g005400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFVNVCQDLLYAYGWPSRTPFFG >Solyc08g067990.2.1 pep chromosome:SL3.0:8:57119642:57122356:1 gene:Solyc08g067990.2 transcript:Solyc08g067990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVIILLFSMNILFFLHSSTSAIPISTKKTYIVHMKHHLKPPSFSTHHQWYKTHLQSLTSSTQNSLLYTYTNAYHGFAASLDSHEVELLRKSEYVVNIYQDTFYTPQTTRTPEFLGLDKIDFGAGRTSPEFNMAAQDVIIGVLDSGVWPESESFSDLGMSNVPSRWRGKCQSAPDFDPKVHCNRKLIGALYFSEGCQGCSQEIQSPRDYNGHGTHTASTAAGSIVANASLFGYAKGTARGMAPQARIASYKVCWNEQCAGSDILAAFDHAIMDGVDVLSVSLSNNEKTYYTDPIALGAFAAMEKGIVVSCSAGNDGPVASTVVNTAPWVITVGAATLDRDFPATVTLGNGQKLQGVSLYSGKVEMGNKLLSLVYQQGGNSSSNLCFRGSLDPNIVGGKVVLCDRGGNARVEKGLAVKEANGVGMILANTPETGEELLADSHILPAVAVGRKVGDVIREYVKTENNLTVVFSFGGTVVKVKPSPVVITFSSRGPNAIVPEILKPDVIGPGVNILAAWPRNIGPTSLDIDRRRTSFNIVSGTSMSCPHVSGVAALVKAVHPEWSPSATKSAIMTTAYTQDNTNSSFHDSALYGTFSNPFAHGSGHVNPQKAFSPGLVYDIRIQDHIKFLCSLNYTIDQIQSIVRRLNFTCAKKFADVGQINYPSFSVLFEINSKRVVRYTREVTNVGAASSVYEVAIDAPPSVTVTVKPTKLVFKKVGEKLHYTVTFVSMKDVKPGNAFGWISWKNAKHEVRSPVAYSWLTPQLDLET >Solyc01g067277.1.1 pep chromosome:SL3.0:1:75490001:75491507:1 gene:Solyc01g067277.1 transcript:Solyc01g067277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKRHWSRKKLARRLLFDKSANDENERSILTKLKQQCRGAVHMKYGGNGHRFDIGKGKSSQLCGIYITKH >Solyc11g006980.1.1.1 pep chromosome:SL3.0:11:1485229:1485867:1 gene:Solyc11g006980.1 transcript:Solyc11g006980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSQMIVFLVIFLQSYISLAYDPNPVNDYCIPRTEFSSIFLSCKNTSLVTVDDFIYSGIKDPGNYKHTGFSSIPVSSTVFPGLNTLGMSFVRADFDIDGVNVPHFHPRATETAFVLEGKIYSGFVDSGNRVFAKVLEKGEVMVFPKGLVHFQMNVGDSPATILGSFNSQNPGLVKIPSAVFGAGIKEELLMKAFGLNDKEIAKLRKKFVPQ >Solyc12g035710.2.1 pep chromosome:SL3.0:12:44455751:44459075:1 gene:Solyc12g035710.2 transcript:Solyc12g035710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPLNSVAVVRMAMRNGAVPAALEAEIAFGTVSWFVYAGISCLLVLFAGIMSGLTLGLMSLGLVELEILQRSGTRSEKNQAATILPVVQKQHQLLVTLLLCNAAAMEALPLYLDKLFNQYLAIILSVTFVLFFGEVIPQAICTRYGLAVGSNFVWLVRILMFLCYPIAYPIGKILDCVLGHNEVLFRRAQLKALVSIHSREAGKGGDLTHDETTIISGALDLTEKTAEEAMTPIESAFSLDVNSKLDWEAMGKILARGHSRVPVYSANPKNVIGLLLVKSLLTVRAETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKAKGKNKKPPLLKPEANSENSLETTLLTKKDGKSDNVVVDIDNAILPAVSASGDAVTKSVPHSSDDIEDAEVIGIITLEDVFEELLQEEIVDETDEYVDVHNRIRVAAAAAASSVARAPSIRRLTAQKAAGGQSKQGQAPKKSSEDVSSSSRRIQGSIDEPLLENKR >Solyc07g064690.1.1.1 pep chromosome:SL3.0:7:66858724:66859113:1 gene:Solyc07g064690.1 transcript:Solyc07g064690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVPNSSMTLLFVEMGVGYDQHGQDVTSAAMRACKSAISSNSIPAFRRGSIPGVSFEQMKLQIKLGVPRPLHHTLDLEKVKSVFPYGRISNVEVVDGGLICSSGVQVEEMGDKNDDCYIVNAAVYVGY >Solyc02g067050.3.1 pep chromosome:SL3.0:2:37859656:37861522:1 gene:Solyc02g067050.3 transcript:Solyc02g067050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEACFVTSKPLSEKLFPLLGSRVSYSTRRRSFVSQFHQPKKVGNPFSVTCCQASSPLPSPSPQDEERPFTETDWRSFRARLVAGERASRSEDRSSVVNPDTVDDLPPPPAVTIGSKWAHTIHEPEKGCILIATEKLDGVHIFERTVVLLLSMGPIGPMGLILNRPSLMSIKEMKPSVLDMSGTFANRPLFFGGPLEDELFLVSPNEDGLGKSGVFDEVMRGLYYGTKESVGCASEMVKRDVVGVDNFRFFDGYCAWEKDQLKDEIRAGYWTVAACSPSVIGLSDVGSVGLWDEVLGLMTPKKVW >Solyc07g025230.2.1.1 pep chromosome:SL3.0:7:26809544:26814389:-1 gene:Solyc07g025230.2 transcript:Solyc07g025230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENGIVPDILTSTTMVHMYSKAGDLDRAKAAFESLRSQGFLPDMGVYSSMILAYVNAGDPKKGESLMKEMEVRDMKPSKKIYMALLRSFSQHGDANGAQRIATSMQFSGFQPTLESCMLLVEAFGKAGDPDQARQNFDYMIKLGHKPDDRCTATMIAAYDKNNLLDKALSLLIELEKDGFEPGVATYSVLVDWLSKMQLIDEAEQLLDKIAEQGEAPPFKVYISLCDMYARANVEKKALQALGVLEAKQEQLEPEDFERIIWSLIAGGFVQDAQKFQGLMEARGFTVSEQLQVTLMASQTFLRRRPSVR >Solyc02g067450.3.1 pep chromosome:SL3.0:2:38211187:38217101:-1 gene:Solyc02g067450.3 transcript:Solyc02g067450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSLFIIFFVLGAIIPAFNAHIGDFDEVWRRRAEEAIKFTHETYESEPANITLAFNQKTRHTVKELSTVVSKNETIRRALGTKKYDGPCTVTNPIDKCWRCDPNWADNRKKLVECSMGFGYKTTGGRDGEFYVVTDPSDDYTTPKPGTLRHAVIQKEPLWIIFEKNMKIKLHQELIMQGDKTIDGRGATVHITGGASIMIQYTKNVIIHGLHIHDIVEGSGGMVRDAVDHIGLRTKSDGDGISIYGSSNIWIDHMSMENCYDGLIDAVEGSTGITISNGHYTDHNEVMLFGASDSSSIDQKMQITLAFNHFGKRLVQRMPRCRWGYIHVVNNDYTHWNMYAIGGSKNPTIISQGNRFIAPPDIFKKEITKREYSPESVWMQWTWRSEGDLYMNGAYFVQAGDPDWSKKHQNLYDGIAPAPADQVTWITRTNVALVVLLVTCLTVGAAVAADNSTRRHLAKKYKGPCMATNLIDKCWRCDPQWADNREKYADCAMGFGSKATGGKGGRVYVVSDNSDSDVENPAPGTLRHAVIQTEPLWIIFERHMHIKLQRELLMQGHKTIDGRGFNIHIEKGAGLKMQGVSNVIISNLHVHNIVITPGGMIRDSAEHVGIRSEDEGDGISLFSATDIWIDHVSMSRATDGLIDAVKGSTGITISNCHFTDHDKVMLFGANDNHVEDKKMQITLAYNHFGKRLDQRMPRVRFGFFHIVNNDYTHWMRYAIGGNNGATIISQGNRFIAQASPLIKEVTHREKVEESEWKNWTWLSIDDDMQNGAFFKTSGDQDALSKLQDLNLIPAEPSYKVGIITKFAGSLACTAGRPC >Solyc09g042250.1.1.1 pep chromosome:SL3.0:9:22913252:22914637:1 gene:Solyc09g042250.1 transcript:Solyc09g042250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSALSPIQQRLVFSVTPLLSTSLHKNGSQVYFAHKQTFTPLFLDTINSKNGGFQTISDFNHQLTSLVLADEFELALKLTSSSSSYGLTPNEYTYSIWVNLYCKIHDPETAKSILDHMLEHGFQPKVETFTTLISSFCKAGKLQQAYQVFDVMGQIGCEPTIHTYNCLLKGLCFLGRVEEAYDLLINIKRSKIKPDIYTYTAVMDGFCKVGRSNEALELLEEAMEMGLTPNVVTYNTLFNGYFKEGRPLDGIGLLRKMKEEKCMPDHITYSTLLHGLLKWGKIEVALSIYKEMLKLEFEIDERMMNTLLRGLCRLSRKQKELLQDAYQVFVKMRSRKLVVDPVGYELVIEAFCSGNKLDKALENLYEMIRIGYSPKAFTFSNLIRVLCLEGEVEKALLVFVPMMKNGKSPSKVPFNLLINELNQKEMILNACYLYGVALKCGVVTRNKPVVCLRGDEVSN >Solyc04g071470.3.1 pep chromosome:SL3.0:4:58534294:58543831:1 gene:Solyc04g071470.3 transcript:Solyc04g071470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELKAKFLKKAVISSTLIEHPSPANLQSTRLAIHMNDDNDSSCWVYIASGCRIYKVLIPMENSLLNLGKEDLLIPEQCEVLEASVVNRCPHRSEIQSIVLAETESSGCSMLGSVDSYGHLIVSRLDANGDDVNGLTYSVSPRDCGVGEGSWAGLCFNPTQRSMAAVAHSFSKTIDVYDQDIHVRTLRPLWHPSSLMFMQNLDLGGGNSVLAVAEGCQLTIWDLRMKEKGGCVRRICGSVGDNLYAVCNSSKGTIAAGGADRTVTVYDPRRWSAVSRWLNCSKYEITGLAFSSVDPEYVYVQGVDYEVICGRWQESKKIFSYRGDSNWLGFSKCSDRDVLGGWSDSGSLFVADIRAVNGLHLPAATSVF >Solyc01g009245.1.1 pep chromosome:SL3.0:1:3208321:3211020:-1 gene:Solyc01g009245.1 transcript:Solyc01g009245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSFTSIPKCPNSSRFSYSSTQLPFNLITKCELINTNDGSQKLEIGSPIIVIESPKLLKTAASVPCLRPNVGLVKPGDVGRIVSRKPMDVWAVRLSIGTYLIDRKYFKPLELDD >Solyc06g051825.1.1 pep chromosome:SL3.0:6:35597205:35603295:1 gene:Solyc06g051825.1 transcript:Solyc06g051825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYQKHIYFGSLLGATDFEEVIQKEGRKRVQHVNEISTSVDDPLVPDIAQRQQGTGPQVCDGQASLGHF >Solyc05g005370.2.1 pep chromosome:SL3.0:5:291618:294309:1 gene:Solyc05g005370.2 transcript:Solyc05g005370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGNHLGGSGNGGFHSYGRSPQPTPADPPSPDTEMSLELPAHLNQEVVEIISQEQDQVIPNVARIMQSTHPSHVKISDDAKRTIYHCMSEFICFVTYEANAHCQREQRNTITVEDMDWVINKFGFDDYIEPLPYNFPPNSEDDGGECGSLTRESLLKRPMVDTASSSNITSYNVPSNFPMAHHHFVYPPPMGNGDMQGRASTSQCAGASVDTDVESPMEEDKE >Solyc04g010135.1.1 pep chromosome:SL3.0:4:3429294:3430098:1 gene:Solyc04g010135.1 transcript:Solyc04g010135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHTVYKKPQGIHNLVASGEGSANDGEKISISAGTEEECYASAYESDGYQNFARHVDTESVGFFQRGWHIRRRDEGLADYRFRHKRNDYDS >Solyc09g063040.1.1 pep chromosome:SL3.0:9:61280781:61283122:-1 gene:Solyc09g063040.1 transcript:Solyc09g063040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKLNNGPAEVDVISLVGMPRLGKTTLAYRVYNDKSIIDHFVFVLGDEEEVVKVIQLSYDHVSDYVNPCLVYLGITLPVSLLNEIGMLVHLKYLIIQTNAKDLPPSFSNLCNLEILVVNNLERSCMVLSPCFWKKCFPMLEKLDISRCYELMDIPDSFGDIASLKFINVPYNPQFIESIFSIKEYVEEMTGEDKLELAWGVEDDQCFEGDNHHMSCSQIVFLWGCTQQDLRLEVT >Solyc07g005150.2.1 pep chromosome:SL3.0:7:168221:172107:1 gene:Solyc07g005150.2 transcript:Solyc07g005150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNHLCRRDESLVLQNLKLKLSIDDSASTDCESNGYFPYPKTLSWNRTTDCCTWDGVTCDKVTGRITHLDLSCSQLHGTIDSNSTLFQLSHLQNLNLAYNDFSPSQISSKFGWFPSLTHLNLSRSGFSGTVPLQVSYLSKLISLDLSAGCYFSGIIPPTIGDLTELTNLQLFSNNFNGQLPSTILNLVQLVEFDISSNNLTGNIPDIFGNFTKLKSLSLSYNLFTGLFPSSVTNLTELESLDLSNCSITVSYLNLSSNFLTAIDLLHNFESLYYLDIGSNLIQGELPAPPPLLFLFIASNNNFTGKLPNSPLCKMSSLVILDLSNNSLSGVIPKCLVNMSTSLSVLDLHNNNFSGTIPNNFGKGSSLRSLNLRKNKLEGVLPRKLTNCRGLEVVDLGENLLNDTFPKWLGSLPRLQVLSLRSNKLHGPITITRNQVLFSKLKILDLSYNDFTGNLSERFFNNLKSMIIEDQTGTPLTYIGEVRYHDSLTLSIKGQQIELVRILSIFTTIDFSNNKFKGDVPKSIGNLGSLRGLNLSHNSLIGPIPQSFGNLSVLESLDLSWNQLSGNVPQEVASLKSLAVMNLSQNRLMGRIPRGPQLDTFENDSYSGNGGLCGFPLSRNCGDNEMPHSTVPDIEDEEDSGFMDWRAAIIGCGCGSVFGLFIVYIIFLTGRPKWFVNIVNQHINRILLKIEAKRRIEAKKRRRHKRRRMKF >Solyc12g005720.1.1.1 pep chromosome:SL3.0:12:382639:383361:-1 gene:Solyc12g005720.1 transcript:Solyc12g005720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKLISCLFFLSSIAIVISTDPLFHFCSNHENFTANSYYAQNLKNLLGDLYLKTPPTGFSTSSIGQNHDQTNGLSLCRGDVTSNNCKSCVLDASEELGKRCPYDKEAIIWYDNCLLKYSDKDFLGNIDNTYKFYMWNVRVVSNPEYFNAKTKELLGSLSENAYKTTNLYAIGEMEIEENKKLYGLVQCTRDLSNEDCKKCVDGIITEIPSCCDGKEGGRVVSGSCNFRYEIYPFVNIP >Solyc07g016130.1.1.1 pep chromosome:SL3.0:7:6303849:6304364:-1 gene:Solyc07g016130.1 transcript:Solyc07g016130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESLYVPLMYQQEMVQDIKDKALLIVQENDTSKNISIIIDIAHRIPQTIANIYHGHDHEEANEDELGLIEEQVVMDLMTLEETRVFMHVVPTSKDAIEGLEKVKVETLNGDKSFGETCMVCLGKSLTKDIVELTCMPSKHVFHGYCIIQWLEKNHVCPLCRFRIPIDKKI >Solyc07g053340.2.1 pep chromosome:SL3.0:7:61923859:61924968:1 gene:Solyc07g053340.2 transcript:Solyc07g053340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNPVPISRNNSMINRRKGQLCLLSALQEIVRSEIREGNFVCLSGLDLLCFCQTGYEGKIWICNPVTKKTLALPVLSDFAGKACLGYVSSTNEYKIVVSFEGRVDNSDDWNLEADYEELLSFNILTLKLEETSVVGSWRYLLLSCTQYSGTCHQRDRVSEAWCLEYSVEVPQSAYNYITILAYLPRNDESTGDILIQSLKGNLFCHETDTQKFKELQSLNKVKFEWCGIYYESLFSIGMPGN >Solyc06g068770.3.1 pep chromosome:SL3.0:6:42735948:42739696:-1 gene:Solyc06g068770.3 transcript:Solyc06g068770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWTLGIFVLLYLALLLKIEGLKFHRSEHTERISGSAGDVLEDNPVGRLKVFVYELPSKYNKKILQKDQRCLNHMFAAEIFMHRFLLSSAVRTFNPEEADWFYTPVYSTCDLTPNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFIVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITIPPYAPPQKMQSHLIPPDTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKNNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVFVAEKDVPNLDTILTSIRPEEILRKQRLLANPSMKQAMLFPTPAQSGDAFHQILNGLARKLPHDKTNYLKPGEKSLNWTAGPVGDLKPW >Solyc09g064950.1.1.1 pep chromosome:SL3.0:9:62860676:62860867:-1 gene:Solyc09g064950.1 transcript:Solyc09g064950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFSVKGDIYSFGILILEIFTGRRPTYDTLFQASSSFHDFVETTLPEKVMEILDKTTFQEY >Solyc03g096220.3.1 pep chromosome:SL3.0:3:59586472:59588123:1 gene:Solyc03g096220.3 transcript:Solyc03g096220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNMQIGGLKLAFDVYPPNSRFRKSAPGDPCFVLCLASEYPPSKEEIEDLERHSHGIPLKFCLVEHGRLSFFTFNKVELPILP >Solyc08g005870.2.1 pep chromosome:SL3.0:8:660592:662496:1 gene:Solyc08g005870.2 transcript:Solyc08g005870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLKKGSWTKKEDLLLTEYIKEYGEGTGWRSLPKKAGLLRCGKSCRLRWVNYLKPGLNRGTFTPEEDDLIVRLYTLLGSRWSLIAGRIPGRTDNDIKNYWNTSLLKKLKAQGNEPREHKSQAKKRRRKEEKANVSSQINRKNNNENKKKMKKEKIVEKHNIEDEEATKIEEQPHAQDFAQPVSDSNHNFTSSCSNQDGTSSSTSSSEIHSSSQNTEYHNQDEIFEKLQVIDELLLNDNCLFQPEKCIEFNNNENHMLFEEVYEEYFQLVSENSC >Solyc03g083470.3.1 pep chromosome:SL3.0:3:54786042:54788243:-1 gene:Solyc03g083470.3 transcript:Solyc03g083470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHILIHLFLHLIFLTVTLAQLVPPHDQCLPTRCGDFGPVIRFPFHLKNHQPSHCGYPGFELSCTSKNITQFNLRFPIQATINKFDISISANVSVEEINYKSQTITLSDLNASCLPRQIANTNSSASIFTFDPNAYGGFTLFNCSSKQKYSSASIRIDCLSSPQYDVLAFRSYNSISEYPSSCTMMYNISDVPYELLYGKQEYSYTRIFLHWSEPFCGNCEEDGKYCKMKSNSSRSETECIDIPEEPSKGLSKKVTVAIVFGSLFAFVLVSLAVYKIVKLKMKKEDQRRIERFLEDYKALRPTRYSYADIKKITEQFKYKLGQGGYGKVYKGKLSNEILVAVKVLNNFKGEGEDFINEVGSIGRIHHVNVVRLVGYCADGYRRALVYEYLSNDTLQKIISSGNGRGSSSLGWEKMLQISVGIAKGLEYLHQGCNQRIVHFDIKPHNILLDQDFNPKVADFGLAKLCAKEQSAVSMTAARGTIGYIAPEVFSRNYGDVSYRADIYSFGMLLLDMIGGRNKFDDAAKAENSSSQIYYPEWMYKQLEKGEEIAIQIEHDDENSIIKKLAIVGLWCIQWFPADRPSMKVVIQMLVGEGIPIMPPNPFGSMNSTDMKTSADRNQYSSEIQRSSETGANSN >Solyc09g015155.1.1.1 pep chromosome:SL3.0:9:8147666:8148319:1 gene:Solyc09g015155.1 transcript:Solyc09g015155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAILPITSQSTAADTTQQIGPTPAFRSFINHISTTVQTGFANRRPWSELLDRSAFSKPESISDATLRIRKNYTYFRINYLSLLAVVLAFSLITNPFSLLTLSGLLAAWLFLYLFRPSDPPLVLFGRQFSERETLGLLIVSTVVVIFLTSVGAVLVSALMVGLGIVCTHAAFRAPEDLFLDDQESPATGFLSFLSGGAANAAAVAVAPAVAARV >Solyc11g066830.2.1 pep chromosome:SL3.0:11:52936105:52942276:1 gene:Solyc11g066830.2 transcript:Solyc11g066830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTILLSNMYQRPDSITPGVDAQGNPIDPRKIQEHFEDFYEDLFEELNKYGEIESLNICDNLADHMVGNVYVQFSEEEQAANALKNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGRYRTRHSRSRSRSPYRHRSYEDRSHRSHSRKHDERDHYYESRSRRNRSTSPDHRRGRSRSPGGRRDRSPVRDGSEERRARIEQWNREKEQAELDNRANADSNYKNESNENGSAPNQDQYYNQQ >Solyc12g042760.1.1.1 pep chromosome:SL3.0:12:59125937:59127376:-1 gene:Solyc12g042760.1 transcript:Solyc12g042760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFLSIQIPLLLLSFFFFPLGLSFTVIMSDSGALVDAPQTGFSMNNNRARTDPREQEAVYDIMRATGNDWAIDIPDVCRGRWHGIECMPDNDNVYHVVSLSFGALSDDTAFPTCDSTHSFISPSVRKLPHLRILFFYRCFSNNPQPIPGFLAQLRPTLQTLVLRENGFTGPIPNELGNLTRLRVLDLHKNNLDGSIPISLGRITGLRSLDLSDNKLTGSIPSLTFPQLNILDLNQNHLTSSIPSTLMSCHSLIKLDLSRNRLSGSIPDSIHHLNNLILLDLSYNSLTSPFPMSLKKLNSLQTLVLNGNPMDSATLPDNTFDGFNSLMILGLSNMNLQGPMPNSLGRLPKLRVLHLDGNKLNSSIPSSFGNLRNISELRLNNNMLSGPIPFKRDMVWRMRRKMKLSNNDGLCYNKENGLGDDLETLLDSGIGHCGDSKTVPIKTVQHISTLNRSNSNKLLSSLGCFLWSALVFVLVCLL >Solyc03g123610.3.1 pep chromosome:SL3.0:3:71914380:71921192:-1 gene:Solyc03g123610.3 transcript:Solyc03g123610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVVKKLVNRKRSPFFTLRPLFAKSIMRRFVADKAKTLINRSRTTCSSSFPPPIITSTSVLQRLTSSSSSSSQSTAVLRFLSTSSDSMASDYSSTPVTLNNVNPKVLKCEYAVRGEIVNLAQKLQQDLKENPGSHPFDEILYCNIGNPQSLAQQPITFFREVLALCDHPLILDKSETQGLFSADSIERAFQILDQIPGRATGAYSHSQGIKGLRDTIASGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIGSENDGILCPIPQYPLYSASIALHGGTLVPYYLDEETGWGLEVSELENQLKTAKSKGINVRALAVINPGNPTGQVLAEANQREIVEFCKKEGLVLLADEVYQENVYVPEKQFHSFKKVARSMGFGEKDISLVSFQSVSKGFYGECGKRGGYMEVTGFSPEIREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESFAAEKEGILSSLARRAKTLEDAFSNLEGVTCNKAEGAMYLFPRINLPDKAIKAAEEAKIAPDAFYARHLLNATGIVVVPGSGFGQRPGTWHFRCTILPQEEKIPAIVSRLTEFHKLFMDEFRG >Solyc09g072620.3.1 pep chromosome:SL3.0:9:65669088:65674940:-1 gene:Solyc09g072620.3 transcript:Solyc09g072620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGLYRRVLPSPPAIDFASNEGKQLFLEAIQNGTMEGFFKLISYFQTQSEPAYCGLASLSMVLNALSIDPGRKWKGPWRWFDESMLDCCEPLEKVKAKGISFGKVVCLAHCAGAKVEAFRSNLSTIDDFRKHVMACTTSDDCHLISSYHRGLFKQTGSGHFSPIGGYHAEKDMALILDVARFKYPPHWVPVPLLWEAMNTIDEATGLHRGVLLQSCKHESWVSISKHLMDDLPVFLSSENVKDVKDVLSTLLSNLPPNFADFIKWVAEVRRQEENGQKLSEEEKGRLAIKEEVLKQVQDTPLYKHVTSILFSEDSVCQLKAETESSLTNVAASICCQGADIFAGRSGLSDRFCCRQTCVRCYRATGNNPATVVSGTVVNGNGNGEQGVDVLVPTSQAKTSCCSSGQNGCSPMHPGSNDVLTALLLALPPQTWSHIKDMKVLQEIENLVSAENLPPLLQEEILHLRGQFLLLKRCKDNKVEEDLAAPPF >Solyc01g014397.1.1 pep chromosome:SL3.0:1:13017669:13017904:-1 gene:Solyc01g014397.1 transcript:Solyc01g014397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGMYGLPNIKCIDREGPFSYPFHGLNEGGGTVFFMNSGVIIKFYCTGRSREKYLYFSLWNLRVQENAVWVM >Solyc07g009175.1.1 pep chromosome:SL3.0:7:4233718:4245674:-1 gene:Solyc07g009175.1 transcript:Solyc07g009175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSVVKNLEYFQDFLENIDCGKIEELEREIRSTVNEAEDAIELEIYEINKLEKGNARESPKTAEERDHMALVPYASAVGSLMYAMVCTRPDIAHAVGVVSRYMANLGKEHWEAVKWLLRYLRGWDH >Solyc09g009650.3.1 pep chromosome:SL3.0:9:3059225:3059926:-1 gene:Solyc09g009650.3 transcript:Solyc09g009650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILISGPNTAARYEAIFLSKTMEDVLWMYSP >Solyc06g083690.3.1 pep chromosome:SL3.0:6:49068074:49076003:-1 gene:Solyc06g083690.3 transcript:Solyc06g083690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMSLTKNFTTFSIPQSFHPQNGTQIGRHLQRIPNSNYSVPSVRLHRFGSRIENDGPRKIGRVQIRAMSGSFGSRLEESVKKTITENPVVVYSKSWCSYSTEVKVLFKRLGVDPLVIELDEMGPQGPQLQKVLERLTGQHTVPNVFIGAKHIGGCTDTIKLYRKGELESLLSEAKAGKTES >Solyc04g005580.1.1.1 pep chromosome:SL3.0:4:383763:384203:-1 gene:Solyc04g005580.1 transcript:Solyc04g005580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNYLPPSISNPNSTIMSSSSSSNSSQTHEPNLQSNTNTETQEEIIKKDEEKQDEEEEEECGFCLFMKEGGCREAFIDWEKCVEPGENNNEDIAEKCIEVTSALQKCMEAHSDYYAPILLVEKYVEAKVIAESENTESIDQKEEL >Solyc04g009060.3.1 pep chromosome:SL3.0:4:2618770:2627640:-1 gene:Solyc04g009060.3 transcript:Solyc04g009060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGSSRRAKRPFVEDDDSNKAPEQKRVRFPKGKKAKQGDTTIDGCEDKPSGRTDPRNAAKERSARRNQITAELLDEENEGEIADISRAEVEYEENETFIDDGIAIEPFNLNKEREEGYFDASGNYVEYLNENEIKDAWLDSVDTEKTYSGKKAIKISNEDEIQDLTSEQLGKMKRRIADVLEPGETVLRGLRRLKGTSNNNKGKMSGETKQLFDQLTEDAMKLMENGDYNVYEEKQESFQREAEGYEKLAQARQGKSSESMQPKPYSILDEGFLPSGSTATAEGHPMHKTDVASSNSYNSASKSDDAFDMFGEDDENTPANPAPNGGKL >Solyc08g006320.3.1 pep chromosome:SL3.0:8:984998:986712:-1 gene:Solyc08g006320.3 transcript:Solyc08g006320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLLNYSNLNEQLALQEAATAGLKSMDNLIRFVSFQQQQNQTVQPDCREITDYTVSNFRKVITILNRTGHARFRRSPVQVTDDSSTALTLSPLTNPAEETVPAVKVPVEKYQSKALTLDFTKRKVGKSIGCEAVPVASSTTSSSFMSTITGEGSVSNGKVFSSMSLPPRPPVSSGKPPIAGKRCRDHELSDEFSGRTSSSGKCQCKKRKSRVKKVIRVPAISSKTADIPADEYSWRKYGQKPIKGSPYPRGYYRCSSVRGCPARKHVERATDDPGMLVVTYGGEHRHVQTTISGNVTGAGAGSSGERMMAFELTGQKNGERLGLEI >Solyc08g080745.1.1 pep chromosome:SL3.0:8:64069805:64073763:1 gene:Solyc08g080745.1 transcript:Solyc08g080745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINQGIIQQILVLYFILSYNEVQGEISLSELEDQELESQLKLLNKPAIKTITTTYGDIYDCVDFYKQPAFDHPSLKNHNFHPNMKPTLSRIQQSSGTSKSKESSTIWLNDGGCPFGSVPIKRITKDDLIRQKHMPPPEVHTQFSQVAIVSTRDDLDYKFGGAGMTSTLWNPSVKAPQHSGCRLKVQKSSDIIQIGWRVDPTLYGDTLTRMFIHFQAGNIGCFDTLCPGFVLVDTEIGIGAPFSNVSQRGDIHNLWEYSMYLDRDLANGNWWVLVEETHREIGFWPQNIFTQLASFATHIEWGGVAYSPQNIPEPPMGSSFFPTKKQGYDGSCNKIIALNYRGETIMINTIPHTDNPDFYRVYDIPPTKGKFEHVALYGGPGETT >Solyc06g082890.3.1 pep chromosome:SL3.0:6:48567481:48574627:-1 gene:Solyc06g082890.3 transcript:Solyc06g082890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKIKAVFCMQLLPLLIGAFSVIRINGSWDVEEGLLRRQTGHQREFDYFKLSLLWPGTACRNTTKCCSSNACCRSNSPSIFTIHGLWADYNDGTWPACCSGPQFDKEQISTLRKPMKKYWPSFSCKATSTCHHEKGSFWAHEVKNTGHVLIQLSTMSMSTS >Solyc02g032450.3.1 pep chromosome:SL3.0:2:28966817:28986711:-1 gene:Solyc02g032450.3 transcript:Solyc02g032450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGAGSIGSSPAFPGSMLQSQKGFLSEPSKLHFTRETSEGTPLKQFHDVEEMARKLDDLLEGIEGEGGFRDASIRAQSSSVLALEEGIESVSEKCRIWRAVMDKQLGEVQLLLDKTVQVLARKVYMEEIFKQATDERYWNLWNRQKLCSELELKRQHVNEVNKSLTSQLIELERHFNTLELNKFGDTDRIQTNKRGFQSRPGQPRNFQSLHNLRNTMTTQLIVAEQLSESLSKLMTDLSIDSPAKGQNIRKELFETIGLPYDGASHKSPAREKAENTPFNKELSVFLAVKERSRRKKPSPVKSLEPETARRRRDSLDRVGDLGCNFGGKQSGRFEKEAECTKSIGKLDLGKKRLKISELPYCRCMCVAHAGDLHIWCQNVYSGTQLHAFPMCLHCAQNWASFETPKTTVKRIVLQEDRPKETSNKSSLSLDKKHHQSRMRERSATAQSNIFSASSISPEQVRSQDVLPRHAAETPPMISPVSSLQHESQLTGVTSQYGLVDTHNLATTRSGRSTIPLKDIVQTGGPGAIQQSGNRMQQPNSSGPPAQTLAPIKFTIDTSNADGKPGITKPKFTIDTSNADGKPGITKPNFTIDTSNADGKPGITKPVRDWKNAPVTSGSAQFESNSNLNYSLPTAAAAANSAFTLSAKFIHSEVVNKSQGSEISLSAQESTHSSSSHVKIMPSASLSSSQEPMLSPISSPWTSFESVSKASIGSNQKISQSSVASLTQSSSLQSTQKLDTLPITPSSDSTRSESPTILSRPLVAILDKKADTNSDKPASLANLSTKIDTPQDPASQPVVLFSVPKSQAGPLVQSNEQSPSLKSASQVHPRAESSQVSNVGLNPIPGQPFSGSSIPPSVKSGCSDVVTHEDDMEEEAPENNQMKENALGNLAGFGIGSAATPVSTKPNPFGVMSPNKASSPANSLFTSTASSSELFRPASFSFQPIQPPQPSAPANFGAFPGSFSLTSTSQAPAVSGFGQPAQVGQGQHALGSVLGTFGQSRQLGAGIPGTGVGSASSFGGGFMSNSSAGGFGGGFSNLSSGGVGFGASAAPSGGFAAAATGAGGFAAAATSAGGFGAAAAAGGGFAAPTGGGSGFSGGGFGAFSSQQSGGSGFPAFGGSSATARPPSQLFTQMRK >Solyc09g091990.3.1.1 pep chromosome:SL3.0:9:71660409:71663231:1 gene:Solyc09g091990.3 transcript:Solyc09g091990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKRLCFVLPADDLDEIDHENEQVESHNQKKKIESLSKRGCGGQVFDGEKLVPKIKNESFAKRGCGGQVFDGEKQFSKGKNESFSKKGSGGEVLDCEKQVPKVKNESFFKRGYGGQVVDGEKQVVQQKPKKKNESLSKRGCGGQVLDFIHESFSKLLDSKWVTCCHQEIGEKQFSGVFHDTEGMQLGEKGGGDYNHHHNPRIFSYSELFIGSNGFSDDEVLGSGGFGKVFRAVLPSDGTVVAVKCLAEKGEKFEKTFAAELVAVAHLRHRNLVRLRGWCFHDDQLFLVYDYMPNSSLDRILFRKQDNAGSPVLDWERRKNIVNGLSAALFYLHEQLETQIIHRDVKTSNVMLDYNFNARLGDFGLARWLEHELEYQPRTPSMKNQQFRLAETTRIGGTIGYLPPESFQKKGCATAKSDVFSFGIVVLEIVSGRRAVDLASPDDQIILLDWIRRLSDEKMALQAGDSRLVDGSYKLNDMERLIHIGLLCTLHEPQSRPNMKWVVEALSGHIYGKLPDLPCFKSHPLYISLSSPSNSTTSNTITSRSTATTSTSTTPGFNSTMFITATGDTMYLSAESGSTSSNNESGNCSSRRQSSNFLMVETAREITFKEIIAATNNFSDSRRVAEIDFGTAYHGFLENNQHVLVKRLGMKTCPALRVRFSNELQNLGRLRHRNLVQLRGWCTEQGEMLVIYDYSQSSLLSHLLFHQNHHRDNASSTLRWRHRYNIVKSLASAIRYLHEEWDEQVIHRCITSSAIILDPDMNPRLGCFALAEFLTRNEHSHHVVVDKNKSVRGIFGYMSPEHMDSGDATTMADVYSFGVVLLEIVSGQMAVDFRRPEALLVNRVHEFEVQKRPYEQLADWRLNGNFNTRELIRLVKLGMACTRYDPESRPSMRQIVNILDGHDQWLMENGRKKESPEEWRTRNASALSLVRRIQALGIQ >Solyc03g043710.1.1.1 pep chromosome:SL3.0:3:7248260:7250203:-1 gene:Solyc03g043710.1 transcript:Solyc03g043710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade IX lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4BFZ2] MSSNVCCIALFIASLLIPFVNSVSFQISRFGPDVIDILYEGDAVASVGEVEFNKANYLCRVAHAIYREKVPLWDPDHDSTKLADFSTRFSFTIDTQNRSSYGHGIAFFLAPVGFRIPPNSDGGFLGLFNTTTSDSAQSQIVSVEFDTFSNVEWDPPFEHVGINNNSIASSVTAPWNVSLHSGNPIETWITYNATTNNLSVIWNYGTGPNSSMFYIINLRDVLPPWVTIGFSAATGLNVERHTLESWEFSSSLVITELGGNDREKIGLIAGLTTLGGILLVSAILALIVLRKRRRKVKGNPETISLTSFNDDLEKGAGPRKFSYKELDTSTNHFSEERKLGEGGFGEVYKGYLIDLDIAVAVKKISRGSKQGKKEYITEVKVISRLRHRNLVQLIGWCHDQGEFLLVYEFMPNGSLDFHLFGKRNPLSWTMRYKISLGLASALLYLHEEWEQCVIHRDIKSSNIMLDSSFNVKLGDFGLARLMDHELGPQTTGLAGTLGYLAPEYIKTGRASKESDVYSFGIVALEIATGRKSVDPGTGNCDAGLVEYVWDFYEKGKLLTVVDEKLNMDFEREQVERLIIIALWCAHPESNLRPSIKQAIHVLNFEASLPNLPMKMPVPVYYSPRPCGEPTVSSGEPTMTYTSIDVGR >Solyc03g007220.3.1 pep chromosome:SL3.0:3:1783277:1783911:1 gene:Solyc03g007220.3 transcript:Solyc03g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKKSTLASVFIFVTFITTSVAQSSTTYDQCAPFYYCGDDPVFRVIMVIKYVVGFSILIAVVVWQWKRRNLNTWNDNLMNRPSFSNEQN >Solyc02g068240.3.1 pep chromosome:SL3.0:2:38800914:38806046:-1 gene:Solyc02g068240.3 transcript:Solyc02g068240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQEDNSIIHQQPAPPSAPTPVEFKGANSGSLLHTVLALVLWLGSVHFNFLILIASFIFLPFSKTVIVIGILLILILIPIDEKNNFGRRLARYICKHACGYFPVHLYVEDIKAFDPNEAYVFGYEPHSVWPIGAVALADLTGFMPLPKIKVLASTAVFYTPFLRHIWTWLGLAPITRKNFKSLLASGYSCIIVPGGVQETFYMEHGSEIAYLQKRKGFVRIAIETGKPLVPVFCFGQTAIYKWWKPRGKLYLEFSRLIKFTPILFWGVLGYVMVFHCSSPVPFRRPMHVVVGRPIMLEKNPEPTVEEVSEVHSRFTEAMQELFERHKKRVGYEDLPLRVV >Solyc07g055850.3.1 pep chromosome:SL3.0:7:63896833:63902776:-1 gene:Solyc07g055850.3 transcript:Solyc07g055850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinone biosynthesis O-methyltransferase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CGB8] MASKLVLNRLRSLCRVSQLSDVRFDKLRDPVSIFSTSQNQVTWRSYSEASQPTPINPPPPPPPSPNTSTTTSSSLNEFELAKFSAIAETWWDAEGPFKPLHLMNPTRLAFIRSTLCRHFRKDPNCTRPFEGLKFVDVGCGGGILSEPLARMGATVTGVDAVDKNIKIARLHADLDPQTSSIEYRCTTAESLVEEQRQFDAVIALEVIEHVADPAGFCKSLSALTIPGGATVISTINRSMRAYATAIVAAEYLLHWLPKGTHQWSSFLTPEELVLILQRASISVQEMAGFVYNPLTGRWSLSDDISVNFIAFGTKSAKKDETLD >Solyc07g053870.3.1 pep chromosome:SL3.0:7:62402424:62412764:-1 gene:Solyc07g053870.3 transcript:Solyc07g053870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNTFKETLKPCTNQSISQSSSTSYNFETKSVNPRKPPKSSLSQQLLRLEDHTSLIQNKPQTPKKQNHFELKRKYEKTEEEEVEEEEEKGIGVGKPKLDPLLLDQAGPYEPLVLSSLEGKPPVQVPASINCRLLEHQREGVKFLYSLYQNNHGGVLGDDMGLGKTIQSIAFLAAVYGKDGDLPESSVSKERQRTMGPVLIVCPSSLINNWENEFSKWATFSVCIYHGPNCDLMVDKLEARGVEILITSFDTYRIHGRILSDIEWEIVIIDEAHRLKNEKSKLYEACLAIKTPKRYGLTGTIMQNRLMELFNLFDWVIPGCLGTRDHFREFYEEPLKHGQRSSAPDRFVRVAGERKQHLVSVLRKYLLRRTKEETIGHLMLGKEDNVVFCAMSELQKRVYQRMLLLPDVQCLINKDVPCSCGSPLKQVECCRRTASDGVIWPYLHRDNPDGCDHCPFCLVLPCLVKLQQISNHLELIKPNPRDDPDKQRRDAEFAAAVFGEDVDLVGGNTQNKSFLGLSNVEHCGKMRALEKLMSSWVSQSDKILLFSYSVRMLDILEKFIIRKGYGFSRLDGSTPTGLRQSLVDDFNSSPSKQVFLLSTKAGGLGLNLVSANRVVIFDPNWNPAHDLQAQDRSFRFGQKRHVIVFRLLAAGSLEELVYTRQVYKQQLSNIAVSGNMEKRYFEGVQDSKEFQGELFGICNLFRDLSDKLFTSNIIELHEKNRKKDDGTHSKEDLNVRGMYFVPEKDITTASFEGAESSKRKEEECTAVAPALEDLGIVYAHRYEDIVNLGPAKIKEKKEQTMHLDYPPRQPKASTAGKKKLDTITGKENTGTVNPITIHKKNQYSILARSMGMEEVQFSKWLLSATPAEREKVLKDYRKRKEKIPNG >Solyc07g017860.3.1 pep chromosome:SL3.0:7:8194894:8200704:1 gene:Solyc07g017860.3 transcript:Solyc07g017860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINCSNNNNIVLGEGLASEEVNFVIPSHEFSKQAHVSSLQKYEEMYGKSMEDPAGFWSEIASEFYWKQKWGQPVYTDNLDIRNGKINIQWFKDGKTNICYNCLDRNIDAGNGDKFAILWEGNDLGRDATLTYTQLLARVCQLANYLKSIGVGKGDAVIIYLPMLMELPIAMLACARIGAVHSVVFAGFSAESLAQRIVDCKPKVVITCSAVKRGAKTIFLKDIVDDALTQSAQNSVKIDVCLTFENESALQKQMTKWVEGRDIWWQDVVPKYPVTCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPKDIYWCTADCGWITGHSYVTYGPLLNGATNVVFEGAPNYPDAGRCWDIVDKYKVSIFYTAPTLVRSLMREGDEHVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDGKCPISDTWWQTETGGFMITPMPGAWPQKPGSATLPFFGVQPVIVDEKGVELKGECSGYLCVKNSWPSAFRTLYGDHERYETTYFSAFPGYYFTGDGCNRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCVEAAVVGVEHDVKGQGIYVFVTLAEGVSYSEELRRSLIKVVRDKIGGFAAPDKIHWAPGLPKTRSGKIMRRILRKIASNSLDELGDTSTLADPTVVDQLIALAHS >Solyc03g096460.3.1 pep chromosome:SL3.0:3:59989078:59989923:1 gene:Solyc03g096460.3 transcript:Solyc03g096460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Wound/stress protein [Source:UniProtKB/TrEMBL;Acc:Q672Q3] MGVAAQVNQMWFNLMIVLFFVSISSISAEDCVYTAYIRTGSIIKAGTDSNISLTLYDANGYGLRIKNIEAWGGLMGPGYNYFERGNLDIFSGKGPCVNGPICKMNLTSDGTGPHHGWYCNYVEVTVTGAKKQCNQQLFTVNQWLGTDVSPYKLTAIRNNCKNKYESGELKPLYDSESFSIVDVI >Solyc12g088860.1.1.1 pep chromosome:SL3.0:12:65047025:65047324:1 gene:Solyc12g088860.1 transcript:Solyc12g088860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNTYRRNVASDGKLEMSLQEFKKWMKIFDTDKDGKISKEELREAVRTNGGGWFRRLKGRHGIKGVDANGNGYIDENEFNNLVEFAQKNLGVRIVSY >Solyc03g120440.2.1 pep chromosome:SL3.0:3:70305480:70315832:-1 gene:Solyc03g120440.2 transcript:Solyc03g120440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTLTMKRENSVISAAIEPMVRVTRSRAAVSEKSMVASTGELPKQRGQKRALQISSDPAILRVRNKNVPLTSCVEQKCRPVLKDVTNLCCNTSNGDAVKVPKKKKIMRVGNSSMNVSTTSVDPEVSQFPAKLPPRSSLNQSCSDRKSHEQQGNAVEIKGIVRKAVPDAALSTSTKLDFIDIDSDKKDPLQCSQYAHDIYNNLRVAEVRLIDILAQLIRWPLSNFMETLQRDITESMRAILVDWIVEISPYNFYLMMDSSRLSGPSFTFSALMVSEEYNLVPDTLYLAVHFIDLFLSKNYVERKNLQLLGITCMLVASKYEEMCGPRVEEFCFITDNAYTKNEVEYCSFKFSQQDLEFLHYVTNKGGKDKHSSCIVLAMEILVLNFLGFRLSTPTAKTFLRRFIRAAQASYKNPNLELEFLANYLTELTLLEYGFLKFTPSAIAASSVFLARWTLDQSSHPWSPTLEHYTYYKAQHLKTTVIALQGSECSWMQDKSASCDNFSPNGRKQGALNAASSKERALVSSVDDLYDFICSGPLISKIGLTPEKVAESIDEWIEYGLRLCRLFQLNQLSLNEAQKIRIYHYYIPVFLWCEQEISQHSSKFKEEEEIPPLVIGFSAPQGCGKTTLVFALEYLFKITGRKAATVSIDDFYLTAEEQAKLRDSNPGNLLLEFRGNAGSHDLPFSVETLTALSKLTKEASATFGTAPICLTNIQLHPGVKVKLPRYDKSAYSGRGDRADPSEWPEVEGPLPVILFEGWMLGFKPLPPEVVKAVDPQLETINKNLEAYYDAWHKYVKSWIVIKIQDPSYVYQWRLQAEIAMRADGKPGMSDEEVKDFVSRYLPAYKAYLPTLYSEGPSGSDPKHVLLIDIDEGRNPILGC >Solyc10g084290.2.1 pep chromosome:SL3.0:10:64027489:64032883:1 gene:Solyc10g084290.2 transcript:Solyc10g084290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMQTPKARTVSVEVPQRTSSSTTKTARKLRTSGPEVDSVSSPNPASRTPKDRSPKVIGRRSPRSPAIEQKRPGRMSALEDQLAQLREEVKAAKEQLSLSESLKKTSQQEADEVKRQLAVMSEKLEESEKQLLKCSDSEEANLLELHKISQDRDRQWKSELEAVQKQHELDSAALASALNEIQNLKLQLDRVADSEATQARHAESAHSETQSLRIQLKETLTLLQQLQNQLNKSKESEATVLEEVSKAQLELEVAKMTGNTLRSEGLKAMEACKSLALELEQSKRQVAALEERVNKLQSDQSSKNMNLVDPTGSSVAAQVIGIDVEADELKTEFSNLKDEVNQLRAAVEDSERKYQEECIQNTLQIRSAYEIVEQTKYESIQRETEWTSRLSAAKAAMEELKEKLMNTEAELEMISDENKGLNVQLEVLSVDRESELQDELKKSESLLADLRASLSEKETELQSTTEENEMLKSEIKKREMESTKVNDEVLALAEAARIAEREALMKLGYLSEEADKSSRKAAQVLKELDAVQTSNSDMEAELRRLKVQSDQWRKAAEAAAAMLSANNNGRYVERTGSLDYHTIGGKLRSPLSEDMDIDYSPKKKNGTMLKKIGSLLKKGHK >Solyc12g070156.1.1 pep chromosome:SL3.0:12:29740812:29741279:-1 gene:Solyc12g070156.1 transcript:Solyc12g070156.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSCDSISNSIMMTCFCGELTRCFTPRTPLNLVRRFYRCSKSKIENCEFWRWEDKSSENSSIEANLLMSKLEVATLKMENLRESLNAVKIERDNLKKKLENLESLNYFEVNKLRNLKAKVPKLKM >Solyc07g049130.1.1 pep chromosome:SL3.0:7:59480543:59482571:-1 gene:Solyc07g049130.1 transcript:Solyc07g049130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLRPFLKKTFFGTCLVHDELQKNELNKYCITCDSDLCKNCIATDKHNGHDLLQIYRHVYKDVVPLDEMEKYIDCTRIQPYKCNKKWVVALNPLPHSGSGSLIVGDPTCYTCKRRLNDPEQFRFCCIACQVEAKREKPVETKRKRKRKGIPHRAPLK >Solyc05g010660.3.1 pep chromosome:SL3.0:5:4867524:4892104:-1 gene:Solyc05g010660.3 transcript:Solyc05g010660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVVSRSLTSSPMASVPYSPSFVLGSGSTNRVCLKTTFLPRNNIKNGFLQSGLRWKQDKRDVGVVVRCEASAVAEKEASETSGETHEYQAEVSRLMDLIVHSLYSHKEVFLRELVRVNFFPNALVEVFMMLGNCLIEYPLSLYVVLLTSDSSLDSNASDALDKLRFLSLTEPSLLGDSSELEIRIKPDPDNGTVTITDTGIGMTKEELIDCLGTIAQSGTSKFLKALKENKDLGADNGLIGQFGVGFYSAFLVAQKVVVSTKSPRSDKQFVWEAEADSSSYVIREETNPENLLTRGTQITLYLRDDDKYEYCEPKKIQDLVKNYSQFVSFPIYTWQEKSRTIEEEKKMTKKTKTEKYFDWELTNETKPIWGEVEFRSVLYIPGMAPLNNEDVVNPKTKNIRLYVKRVFISDDFDGELNKVMRFVLSGRQRECIVLHPSFKQYKFKLMPKWLWVPVKVQCPFFPNQLMLVLCISVSEVRIMRKRLVRKTFDMIQDLSESENKEDYKKFWENFGKFVKLGCIEDTGNHKRITPLLRFFSSKSEEELISLDDYVENMGENQKAIYYLATDSLQSARTAPFLEKLVQKGIEVLYLVEPIDEVAIQNLQTYKEKKFVDISKEDLELDDDDEVKDREAKQEYNLLCDWIKQQLGDKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMRAQTLGDTSTLEFMRGRRILEVNPDHPIIKDLNAACRKSPDSSDAKRAVELLFDTALISSGFTPDSPAELGGKIYEMMAMALGGRWGRFEEEETEASEETSIESDASSAEVVEPQVVEPSDFPTKDPLNMWRYISKQVYSRKLRNTHDSDFLGFAQSFRKTRPLQGLCEEGYRNPNLGVSQSSIFSRVNGKSRCLDGMCEDSCRNHYLGFSESSIFSRVKGDFRVCGSLGVLRSYGSAAEAIASTSEEDIDEIQELIEEMNKENEALKTNLQPKQPKTVGGMGIGKYNLLRRRQIKVETEAWEDAAKEYQELLMDMCEQKLAPNLPYIKSLFLGWFEPLKDAIAAEQKLCDEGKNRGAYAPFFDQLPAEMMAVITMHKLMGLLMTGGGSGSARVVQAASHIGEAIEHEARIHRFLEKTKKNALDGSLEETAGDIMKERERLRKKVKILMKKQKLQQVRKIVKQQDDEKPWGQDNLVKVGCRLIQILIETAYIQPPNDQLEDCPPDIRPAFMHTLKTVDTVKGSRRYGVIQCDPLVRKGLDKTARHMVIPYMPMLVPPQNWSGLVCRSSLVFQYDRGAYLFLPSYIMRTHGAKQQREAVKRVPKKQLEPVFQALDTLGNTKWRVNKRVLGILDRIWASGGRLADLVDREDVPLPEEPDTENEEEIKKWKWKVKAAKKENCERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFSEGRPLGKSGLRWLKIHLANVYGGGVDKLSCEGRAAFSENHVEDIFDSADRPLEGRRWWLGAEDPFQCLATCISISEALRSPSPETSISHMPIHQDGSCNGLQHYAALGRDKLGAAAVNLVAGDKPADVYSGIAARVLDIMKRDADKDPATDPNVMRARLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGAIEDDNELFAAACYAAKTTLTALGEMFEAARSIMSWLGDCAKIIAMENQPVRWTTPLGLPVVQPYRKLGRHLVMVKRQRTAFPPNFVHSLDGSHMMMTAIACKEAGLSFAGVHDSYWTHASDVDQMNKILREKFVELYDAPILENLLESFQQSFPDLQFPPLPERGDFDLREVLESPYFFN >Solyc05g008565.1.1 pep chromosome:SL3.0:5:2882638:2885581:1 gene:Solyc05g008565.1 transcript:Solyc05g008565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPVFGGKHKSLCSLPDNMKFFCRLVVNIVAVCLVQKAGIDMQGLGAPEILQNFHSQPSCIEVYESDLCLLLSALNLWTFLRLVILVDDITEILPPYLDERKQIPG >Solyc11g009030.1.1.1 pep chromosome:SL3.0:11:3165149:3165547:1 gene:Solyc11g009030.1 transcript:Solyc11g009030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDEEKGLIWKLPIVKSKHLGKIGPGFGIGVGCGFGIGIGLIGGTGFGPGLPGLQLGFGLGAGCGIGVGFGYAVGRGYGYDDNRRYSNAGTRPASFPNHDEVGQLIDEIVANTKKLIKTTTQELDKWRRA >Solyc08g061696.1.1 pep chromosome:SL3.0:8:49501721:49502136:1 gene:Solyc08g061696.1 transcript:Solyc08g061696.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQHVPIIVGGSNSYIEKHVEDPVFMFNYKYDCCFICIDVERSVLNRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVP >Solyc06g060250.3.1 pep chromosome:SL3.0:6:38320157:38328441:-1 gene:Solyc06g060250.3 transcript:Solyc06g060250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4C6K6] MDAEAIVKELRGTYGSGKTKSYEWRVSQLKALLKIAENHEKEITDALYSDLSKPELEAFIHEVSMMKTACKLALKELKWWMKPEKVKTSLTSFPSSAEIVPEPLGVVLVISAWNYPFLLSLDPVIGAIAAGNAVVLKPSEIAPATSSVLAKLLGQYMDVSAIRVVEGAVPETTALLEQKWDKIFYTGNGKVGRIVLAAAAKHLTPVVLELGGKSPVVVDSNIDYKIAVRRIIAGKWGCNNGQACISPDYIITTKESVPKLLDAMKQELEKFYGKDPLKSGDLSRIVNANHFQRLSKLLDDNKVVDKVVHGGQRDENNLKISPTILLDVPEDSLIMKEEIFGPLLPIITVNKVEDSIQFIKAREKPLAAYLFTSNKKLEEEFVMNISAGGLLINDTTLQVALSTLPFGGVGESGMGSCHGKFSFDTFSHKKAVLRRSFAGDVPARYPPYTAGKARFLKALLNGDIIGLIRALIGW >Solyc06g066610.3.1 pep chromosome:SL3.0:6:41966587:41981556:-1 gene:Solyc06g066610.3 transcript:Solyc06g066610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFGGKLDLTNLDLAGAVNKLSESVKNIEKNFDTALGLEEKSESSNNEASGLWPSTTDRRALFDPVMSFMGQKSEGTAEESVEKAESSKPTLPTGEVVEDSAETTTRRGVVPKEPKEETTDIIEETKSVQEPIEEAKDVDAKPNQMISAEEETEEARAVDVQLNSSTEPSFDQEEQRSVTGPDERKAEINSLAEASKVNELDQETSPGILQKNIPERESSENLELVVSQSSNALSQTEVGIPLLVDSKENTGNDGEQKKEVTEESPPVQLEDASNYPTDREQKKEVTMESPSAESRDASSDRADSGRPSVSDSVTASEGESLEEHSNRSFLGDQHTDEGLKKLSDTVMPENELVSIPVEATQRGNDQETGVKERLSSGSNSSDVTNSVVELEKLKKEMKMMETALQGAARQAQAKADEIAKLMNENEQLKAVTEDLRRKSSDAAIESLREEYHQKVSALERKVYALTKERDTLRREHNKKSDAAALLKEKDEIITQVMAEGEQLSKKQAAQEAQMRKLRAQIRELEEEKKGLHTKLEVEENKVESIKRDKAATEKLLHETVEKHQAELATQKEYYTNALNAAKEAEALSEARANNEARTQLEGRLREAEDREAMLVQALEELRQTLTRTEQQAVFKEDMLRREIEDLQKRYQASERRCEELITQVPESTRPLLRQIEAMQETNSRKAEAWAAVERTLNSRLQEAEAKAATSEEKERSINERLSQTLSRINVLEAQISCLRAEQTQLTRSLDKERQRAAENRQEYLALKEEAETNEGRVNQLEEEIKELRRKHKQEIQEALTHQELLRQELEREKTARLDQERAARSTNYVPDQSPIMKQKSGIENGSLTRRLSSASSLSSMEESYFLQASLDSSDNLSERRNALEGNISPYFMKSMTPAFRQKDGELASYMSRLASMEAIRDSLAEELVKMTAECEKLRSEASMLPGIRAELDALRRRHSAALELMGERDEELEELRADIIDMKEMYREQVNLLVNKIQVLSSSLSAT >Solyc11g050730.1.1.1 pep chromosome:SL3.0:11:15906468:15907073:-1 gene:Solyc11g050730.1 transcript:Solyc11g050730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNCTVCGGNGHNQRTCSEKGKSIKLFGVEITTTTSAGGAMSKKDSMERRVKKGNPWTEDEQTAFLKGLDFHGKGNWSKIAKDFVPSRTSTQVASHAQKYFMRLLGANERKYHKKSSVFDLRLDQLEDTHDHAIVPLGNNYKSQNVPSVVPNYYMMKRVLPLTWVYMYPYYHRDYASTSASATTFNKPLSGISSSSNSSS >Solyc06g054260.1.1.1 pep chromosome:SL3.0:6:37191883:37192509:-1 gene:Solyc06g054260.1 transcript:Solyc06g054260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaD description:Photosystem I reaction center subunit II, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P12372] MAMATQASLFTPPLSVPKSTTAPWKQSLVSFSTPKQLKSTVSVTRPIRAMAEEAPAATEEKPAPAGFTPPQLDPNTPSPIFGGSTGGLLRKAQVEEFYVITWESPKEQIFEMPTGGAAIMRQGPNLLKLARKEQCLALGTRLRSKYKINYQFYRVFPNGEVQYLHPKDGVYPEKVNPGREGVGQNFRSIGKNKSAIEVKFTGKQVYDI >Solyc06g084270.3.1 pep chromosome:SL3.0:6:49509055:49514712:1 gene:Solyc06g084270.3 transcript:Solyc06g084270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLPGLLLNSAGLTLAGARSLSCRNNQPPAAISSANPPSLSSTIQVVGWKGAGGSGSENSKLFNSFEDNQEEYDWNDLETDLYHWTKTLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPMSTSHPQMDSWLGNRKRILVLNREDMISTADRNAWATYYANQGIKVVFSNGQLGMGALKLGRLAKALAGTVNIKRKAKGLLPRPVRAGVVGYPNVGKSSLVNRLLKRRMCAAAPRPGVTRELKWVRFGKDLELLDSPGIIPMRISDQTAAIKLAICDDIGERSYDAADVAAILVQMLSGLPTVGNKVLCDRYKIEADGRSGKIFVQKLAVQLFNADNNQAAFRILQDFRKGKFGWIALERPPK >Solyc12g049140.1.1.1 pep chromosome:SL3.0:12:61515286:61516071:-1 gene:Solyc12g049140.1 transcript:Solyc12g049140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQVKKQWLQFACVLAFFLIATCTMAYSPNNSYELSDSTHNKVPNTVFKSADFKVPSESEKEYKSSFLPKNNNYKKPSVSGDNYKKVSFVPEHESFLPKNGYYKKPSLSEDNYKKESYVPKVPSMAKPKYKESVYPKFDYFKKPSVSEDNYKKASYVPEVPSMGKPEYKKSFFPKFDYFKKPSIPEDNYKKTSNVPEVPTEPKTKYNVPSLPKNDYYKKSSVPEDNYKKVSYVPKVPSVPLPKNDYYKKPSLSKDNYKKV >Solyc09g072840.2.1 pep chromosome:SL3.0:9:65963109:65965571:-1 gene:Solyc09g072840.2 transcript:Solyc09g072840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEEYSSFMTCILRININADRWQRTIAKVLKNVDVTNFRMNTDGTMELSGSVYPDKFLKILKKARNKAELCHLQFGQCSTNLFLPSRPIDYSIGSNKFGVPNNMLLSSFVGQMEDNQTGYYGGRDGRFLHYGDKPSQSKSEKKLEHAAGCINATTQRTKLQLDSEKGKVETLKSQGVNSCCLM >Solyc09g005750.3.1 pep chromosome:SL3.0:9:520611:526534:1 gene:Solyc09g005750.3 transcript:Solyc09g005750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRHRKSRSASGVMEGSKLAQKQVATPEVTLNSRSYCDEATRGDMIMHDFGKSSSKRVTGTPIKNLLAEEMAREGESKKRPTSIVARLMGLEGMPSPQHIGRQQRRFSDSCQHRNEHIDSRRRKQLFDEQSSKRSSMEHQEFKDVYEDLEASHVGNRRHSSRWNETGRFATPDMALIQQKFMDAKRLSTDERFQNSKEFNDTLEALDSNKELLLKYLQEPDSLFVKHLQDLQVESASSKCSRIAVLKPSNSVKYEGSAKSSKSVRGGSCKKSISLQKERLDGLLLQSQHRHSGHNSQKSSPVLSEGKEENILPTRIVVLKPNLGITQSNIASVPHHPDERKHAKYLRASPGGAGEEEEKNSSKNMGIYRPKSNEARDIAKEITRRMRDSFGPFDGRDAYFRGSGVKGYAGDESSCDIYESDSTGDSDIATLSCRKSSGRGNLKKSSSLGSESSVGREAKKRLSERWKMTQYYQDIEMAGKSNTLGEMLSLPDGVTKHDYCDTMVHVEEATKEPGGRKGTTEWDFPLGISSRDGWKDVCINDSSGYRSTSPPFFSKKHRTRARREFSNKQCSVSKEPVNQEQSVNHHRSRSLDGMVNLRDEFSSKNSRSSKKKLHSRQLVSDTSSKGKLRQRIDMNLKEDLSEKLSLASQVPSADGMSYTNASDDAETDSITLSSEYSVEMHRKLPAECGSASPINQEVSILQEALPEPSPTSSAAASVVLEYPAPEPESSISSKGADHRSPLSVLEYPAPEPESSVSSKEADHPSPPSVLEVPFTEDVSSGSECFERVSAELNGLRMQLKLLKMESGPYADVILSDDEVESFEDNCSLRSQSWQSSYILDVLTDSGLKTSDPDTFVTSFHTLECPLSPWVFDNLEKKYTDETTGPRYERRLLFDRINLGLLEIVRKYVDPCPWVKPIEGIIWRWETYGMKNILHQLLRSHEDPANADTPGNVVEEMHWLAIKDEMDVAVKDIEELLIDDLIEEVVGAV >Solyc10g050710.1.1 pep chromosome:SL3.0:10:50296764:50297506:-1 gene:Solyc10g050710.1 transcript:Solyc10g050710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPYDPYMYTIPTESVLCVWLLPEYVHKCFVYFELFIRNNSIRKFISKILKPNHELSEFIIKEWENQNWDEIITRIWPYTKYLDVVVTGDNECYFGLNLNPICIPSELCYTIIPNMCYFEFLPQDSAPRLVDLANMEVGKNMLDIDPEKTDEAELQNSVDRATANLHLYNISVVEYNSYVDTKTILGPNHANPRSFEPTLFGYGRFF >Solyc09g008760.1.1.1 pep chromosome:SL3.0:9:2191010:2191723:1 gene:Solyc09g008760.1 transcript:Solyc09g008760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSYAISSFSSSSNNNTSSTYAQEVKKNKKLASYHSSLHGVRRLPLKPMTKLPIAPLPPTPPKIYRVEPNDFKDVVQMLTSSPEFQSVSNNSVSRSDSGSGSRYGFGSGCSSGSSSFNSRRLQDIAPPPLNLSPVSLQRSNNNNNTNNDDDVLEQWREYLLPSSSTNNQFEMCVDSTTFEAQEKSHVISRIPSENYFGSCSPLANFPLSPASFAWCSSILFSPGTLTSPSAVQII >Solyc03g111725.1.1 pep chromosome:SL3.0:3:63862688:63863062:-1 gene:Solyc03g111725.1 transcript:Solyc03g111725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQRNLIPGDLEWSGHGDSKIEKGDWNTGRHSGQTGSEWPRHVRSGHGHSPESTQPPSSLLLQPSGKPIRLPKSSRQQIGQTPDPADPGCPDPDPMLDWWLTCYCCCYPPLLNL >Solyc07g054315.1.1 pep chromosome:SL3.0:7:62791573:62797359:-1 gene:Solyc07g054315.1 transcript:Solyc07g054315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVELNGDDTKQTKKVCIMEKFDTPSLLIPFRGTTQTESQVVVRQTQLGEPNPRNHKSLTLHLLSVVVLSRGVSVFWCITRVNYKLYPDQESPSSNDTTAGFIPV >Solyc06g009440.2.1 pep chromosome:SL3.0:6:3372891:3374205:1 gene:Solyc06g009440.2 transcript:Solyc06g009440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLTFALGRQICTLNSFIGEENRSSSLKPSLDKRVSRNSLIGGYFKHSHIQQAEYLFDQMPHRDVIFWNTNALRVAQRQQARKVYRCFLDMNRCGEMRPMSSLSRGLGRVFDEILDKDVMLWNVLILGYMKFGCAKNAMHEFERMLKSGVRSGGIARIMWLALMSRARRDCSK >Solyc07g007360.2.1 pep chromosome:SL3.0:7:2099939:2104072:-1 gene:Solyc07g007360.2 transcript:Solyc07g007360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGRKRGRKKKKKMNKKIIKLTKKFKTTLQLRHQHCTKLIPQLIAAISSAYSFLRRNDLNLLPHQSLALESLISSSSTSISNIVSLLSLPPPPPRAAPPPRAAVTSPSDDDPVPECWFQRFLMADSDTLWAETFNLTKPSFTLLLRLLTPSLSSLSVPPNYALALTLFRLAHGASYSAVSRRFGIDSPTACRVFYTVCKAITENLGHLFELKSDINRVIVGFGWISLPNCCGVLGIEKFELGGDVLGENGFMIVQALVDSEGRFLDVSAGWPSTMSPETILRKSKLYLGVEESKEYLNGSSFELNDGNSIPQYILGESCFPLLPWVLTPYRGESSVEDGAEVAFNSVHRRGMQLVGTAFGRVRENWKLLTRKWNKQCIEAFPFLIVTCCLLHNFLIKCSEAVTDETEEYPRFEEFPVFDGEVDESGKKIRDALASHLFRFSFGLKFSICHLVLDVHYAEMGKNAGTQKVNITACSVAPARKAPLSCPSLALGRVEMTFLKFGLIRGKMGSIVSGAG >Solyc01g009740.2.1 pep chromosome:SL3.0:1:4032844:4038809:-1 gene:Solyc01g009740.2 transcript:Solyc01g009740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVLMTIACNGKVANFNVSSEKAKDILIFAELLKVTDVIPPTKSQSNVETFSGGRFWEKQYPRLDYIMPMTFFYEGNTTTLNVSIDKAVNILAFVERSNFGDACPSGKKFPAEILSGDQHLARRNSVLGFSEKQKDRLCDGSKNGLRTALLTICYWGMVGNFNVSNDEANEILKFAKRSITNDVFSSNSGDLSLPKINSSLRFSEKPQIRLGCESENGVKVEPLTIFYDGKIVVYDVSIEKATNILKFVERDAISSKKEFSMESLSGDLHLAKRNFMHGVLENRNERFCPPGFEDIKIAPLSIIYNGKLAIFDVRSYKVDEILKFAESSKQQTLSQDVLTTRKSSVRFLEKRQERMTMVSPYGFPHAAPENKK >Solyc04g051067.1.1 pep chromosome:SL3.0:4:49356853:49358134:-1 gene:Solyc04g051067.1 transcript:Solyc04g051067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFFPNKEAVVEMVKDMSSPGLLHSWRSSGVLDEKYVLLSQISTHVSGYLNMPSANCGSGLSKGLSNMLSSNRLSVFASEKAMTSHGSADKKNDEVDLTVPGDLAILAFIEVLQLDKRLLDPNTK >Solyc06g036420.2.1 pep chromosome:SL3.0:6:26062920:26067321:1 gene:Solyc06g036420.2 transcript:Solyc06g036420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLVTKANEAFIDDHFELAVDLYSQAIAITPNNADLFADRAQANIKLRNFTEAFSDSNKAIELDPSTAKAYMRKGLACMKLEEYQTAKETLEIGASLAPGESRFTRLINECDEHITKEAGELPKCATVETTESIVPSFGSMSLDNVAAVPKETQLAFNLPYEGTPAVQPKHEFYQKPEEAVVTIFAKGIPVENVSVDFGEQILSVSIDIPGEAAYSFQPRLFGKIIPQKCKYEVMSTKIEIRLAKAESIHWKCLEYTGEIAVVQRPNVSSDSHKPSYPSSKMKCKDWDKLEAQVKKEEKEEKLEGDAALNKFFQDIYKDGDDDARRAMMKSFVESNGTVLSTNWNKVGTKKVEGSPPDGMELKQWEH >Solyc11g039410.2.1 pep chromosome:SL3.0:11:45292848:45293546:1 gene:Solyc11g039410.2 transcript:Solyc11g039410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASEGSTSEGLHECVVVPSHWLHNINIGQRHAPSTKISLLWENDICKQKVTTLIGGYISQVLCVERNIRKGRSTLCKRHCPTARKISSGVSVGRYRRRPIAGSINLSLSALGKRHCPTTTGIGQTTMVVDFCIGPCLCTSHRGRQVWTSRIDRGLWTQLSAHRAFPSPTPFSYTQRTDDIIHEKPASAVTCILFNRHRP >Solyc03g094030.3.1 pep chromosome:SL3.0:3:57177622:57182360:-1 gene:Solyc03g094030.3 transcript:Solyc03g094030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSNPETHESPQKSVYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEYIKFIMYPHCLFFLELLQNPTFRNAMAHPANKEVAHRQQFYFWKNYRNNRLKHILPRPLPEPATAPSSAPPASLPSSVAPPTAPPPAPTPVTAAALSPMQYAIPPGSGLAKTDPRNASVDRRKRNRKDG >Solyc12g019190.1.1 pep chromosome:SL3.0:12:9495174:9496381:1 gene:Solyc12g019190.1 transcript:Solyc12g019190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHHTSTRNIILRNMTSAAHSSRIYYVRPGFRLNGMVLIVLEYYYSSNGSLTIVLSNGTNIYHVSIVPRNHFSYWIPNGIITNTMTPALPNANNIWSLPYAVMNYASRFTNVNHGPNLMPFPPHPANVHMDLLARQDQAGNVNRGLSREIIFARMNRVMYQLTEISTSDEDTCSICLDYYSDGQIIGSTDCHHTFHFDCINQWLMQVNSCPICRRTALAV >Solyc10g079350.2.1 pep chromosome:SL3.0:10:61030261:61038440:-1 gene:Solyc10g079350.2 transcript:Solyc10g079350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELSSNCGMQIEICSVANGATKKEIGRAKEYIVKQLELEMGQSVEMGTIHAGDFMRRFCSNLGMTNQAVKAAQEAVKKSEEFDIRRSPISIAAAVIYIVTQLSDEKKPVKVFVLGDDELHWRLNTTHITANCCYLKHLHIEYPTCHVKNCMNADVSLATGVAEAVDTHSNFPMAESNTTKSNDQISVEDAQVAVVMVPLPAQGHLNQLLHLSRLITSYNIPVHYVGATTHIRQAKLRVHGFDPVTIRNLHFHEFPTPPFENPPPNPNASHKFPDHMLPLLNATIHFREPVCSLVNQLLGDNHRRVIVIYDSMMTWVVQDVPAIPNAEGYRFNSFSAFYMCSSYWETKGKPSQPETEIFDDIISSENCAIPELWELWNKQGALEGKICSGELYNSSRVIEGLYLDLVAKEINGLNLWAIGPFNPLLSQQNKQHQTLYWLDKQETNSVIYVSFGSTTTLSNEEIEELAIGLEKSMQKFIWVLREADKGDIFAGEERRARLPEGYEERIKGRGIIVRDWAPQLEILAHPSTGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNSQLITKVLKTGLTVRHWARRDELVASEIVENVVRTLMTSPEGDEMRKRASELSIAVKKSVMDGEGYGAEMNSFIAHITR >Solyc04g017595.1.1 pep chromosome:SL3.0:4:7581582:7585319:1 gene:Solyc04g017595.1 transcript:Solyc04g017595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSLLLGVHYRAPQHLQSLISCSGRTTADGLEIVAEEESLEDEISPQGLVLVPRGSDDRVVVGPHVRGSRLRASSATGDAEVDLLLPGTARFQIQLGVNMPARAEYIPKEGQTQVFHEVYDVVLYLGIIDILQEYNMSKKLEHAYKSIQFDSVSISAVDPTYYSERVLEFIRKVFPTYAVAT >Solyc06g008450.3.1 pep chromosome:SL3.0:6:2350825:2358814:-1 gene:Solyc06g008450.3 transcript:Solyc06g008450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR resistance protein-like protein [Source:UniProtKB/TrEMBL;Acc:A1Y9Q9] MEKRKDNEEANNSLVLFSALSKDIADVLVFLENEENQKSLDKDEVENLKLKMAFICTYVQLSYSDFEQFEDIMTRKRQQVENLLQSLLDDDVLTSLTSNMDDCISLYHRSYKSDAIMMDEQLDFLLLNLYHLSKHHAEKIFPGVTQYEVLQNVCGNLRDFHGLIVNGCIKHEMVENVLPLFQLMAERVGHFLWEDKTDEDSDEDDQNDRDSRLFQLTHLLLKIVPTELEVMHICYTNLKASTSAEVGRFIKKLLETSPDILREYIIQLQEHMITVIRPSTSGARNIHVMMEFLLLILSDMPKDFIHHDKLFDLLAHVGVLTREVSTLVRDLEEKLRNKEGNNQTNCATLDLLENIELLKKDLKHVYLKAPDSSQCCFPMSDGPLFMHLLHMHLNDLLDSNAYSISLIKEEIELVKQDLEFIRSFFVDAEQGLYKDIWARVLDVAYEAKDVIDSIIVRDNGLLHLIFSLPITIKKIKLIKEEISALDESIPKDRGLIAVNSPKKPVERKSLTTNKIIVGFEEETNLILRKLTSGPADLDVISITGMPGSGKTTLAYKVYNDKSVSSHFDLRAWCTVDQGCDEKKLLNKIFNQVSDSDSKLSENIDVPDKLRKQLYGKRYLIVLDDVWETTTWDEVTRPFPEAKKGSRIILTTREKEVALHGKLYTDPLDLRLLRPDESWELLEKRAFGDESCPDELLDVGKEIAENCKGLPLVADLIAGVIAGREKKRSVWLEVQSSLSSFILNSEVEVMKVIELSYDHLPHHLKPCLLYFASFPKDTSLTIYEFNVYLGAEGFVGKAEMNSMEEVVKIYMDDLISSSLVICFNEIGDALNFQIHDLVHDFCLIKARKENLFDQIRSSAPTDLLPRQITIDYDDDEEHFGLNFVIFDSNKKRHSGKHIYSLRIFGDELDDSLFDTFHLRHLRLLRVLVLDTSFIMVNDSLLNEICMLNHLRYLRIGTQVKYLPLSFSNLWNLELLWVENKESTLILLPRIWDLVKLRVLFADACSFFDMDADESILIAEDTKLEKLRILGELLISYSKDTKNIFKRFPNLQMLQFELKESWDYSTEQHWFPKLDCLTELEILNVGFKSSNTNHSGSSVKTNRPWDFHFPSNLKQLSLHDFPLTSDSLSTIARLPNLEELSLYDAIIQGEEWNMGEEDTFENLKFLNLRLATLSKWEVGEESFPNLEKLKLQGCRKLEEIPPSFGDIYSLKVIKIVKSPQLEDSALKIKEYAEDMRGGSELQILGQKNIPLFK >Solyc02g068070.3.1 pep chromosome:SL3.0:2:38686164:38690888:1 gene:Solyc02g068070.3 transcript:Solyc02g068070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRNFPSLSILSLMALLILAVEQYESLGSSRKSVHSGFKTDYNGVGSGMCASAVTVHGYKCQEFEVTTDDGYILSVQRIPEGRVGGDGGPKTNRQPVLLQHGVLVDGVTWLQNSPAQSPAMILADNGFDVWISNIRGTRYSRRHVTLDPDSADYWNWTWDDLVAQDLPALVDLVFKQTGRKIHYIGHSMGTLIALASFSEGKQIDKVKSAALLSPVAYLSHMTTALGDVAARAFVSEVRAKSGFTSFLYILQSLGAMHTFEPVSDFLKVLCAQAGVDCYDLITALTGKNCCLNASTVNHFLKNEPQSTSTKNLVHLSQTVRDGVLSKYDYGSNDFNQAHYGETKPPRYNLTNIPHDFPLFLSYGGQDALSDVQDVETLLDNLKYHDVEKLHVQYIKDYAHADFIIGITAKDIVYNQIISFFRNQG >Solyc09g005985.1.1 pep chromosome:SL3.0:9:673867:676092:1 gene:Solyc09g005985.1 transcript:Solyc09g005985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLEGQKIPEALSFYQFSCLVHDFFGNSKFQRLFSPIFLWTSVKTLLMESVGRDNQNVPLRRSNEPRSRTLSVEPVRLYNQKYQFEGQRNPEVVMEPVGHDGRNNPFQGQTSREAVYGFLVIRNYEVVMKPVRRDGQNGPFSRSNKPRSKYTHFVDYRVL >Solyc01g089840.2.1 pep chromosome:SL3.0:1:83445303:83446012:1 gene:Solyc01g089840.2 transcript:Solyc01g089840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFATSFAVAETGRCSFHCDYSSSVHCFRDQVRFLGRSRKPQSDWPLAIRELCSLNHIEEAAVLSTCNRIEIYVVALSKNQGVREVTEWMCKYSGVSIKDLQFLLYNKDATQHLFEVAAGLDSLVLGEG >Solyc02g069140.2.1 pep chromosome:SL3.0:2:39653414:39663371:1 gene:Solyc02g069140.2 transcript:Solyc02g069140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIKVLPLPCPTYLYLHKIEASTSSTHKSRRAFSLSALTISLSALVFSSGNAPTTSISWANPQVPKFLDLPNSGGVKALDLRVGDGETPIDGDLVAIHYYGRLAAKQGWRFDSTYDHKDETGEPIPFSFVLGSGKIVGESTMFVSFPLGCEPSNEIFPFSEFPYFFGLSEQVISGIETAVKSMKVGGLRRVIIPPSQGYQNTSQEPLPPNPEASLISDHLAAMFLHFTGVWSNCCQFDDDGRFCGFADREVMAEEGGRSFARRNQLLDIEKQVHKWWTEGDVFRAEPKESPPKVGEKFFGNFPFPYMNGYLHLGHAFSLSKLEFAAAYHRLRGASVLLPFAFHCTGMPIKASADKLSREISRFGNPPVFPVVKEAENVETEVKVEGEGNQGLPGGNFKGKKSKVLAKTGGVKYQWEIMRSYGLSDEEIARFKDPYYWLTYFPPLAVEDLKEFGLGCDWRRTFITTDMNPYFDSFVRWQMRKLKASGKIVKDLRYTVYSPLDGQPCADHDRASGEGVIPQEYTLIKMEVLSPFPPKMSVLEGKKVYLAAATLRPETMYGQTNAWVLSEGKYGVFEINDTEVFVLTYKAALNLAYQRLSRIPEKPSCLLELSGQDLIGLPLRSPLAFNKTIYTLPMLSVLTEKGTGIVTSVPSDSPDDYMALHDLKSKPAFRAKFGVKDEWVLPFEIVPIINHPDFGDSQNERDKLEEAKKTIYKGGFYEGTMIVGEFAGMKVQEAKGLIRSNLLEMNQAVIYSEPEKKVMSRSGDECVVALTDQWYLTYGESEWRKAAEECLASMNLYSDETRHGFEHTLSWLNQWACSRNFGLGTRIPWDEEFLVESLTDEIWEFLFCNGPFPENSSISSSLLKEMKKEFDYWYPFDLRVSGKDLIQNHLTFFIYNHAAMFPKHYCPRGFRCNGHIMLNSEKMFKSTGNFRTLRQAIEEFSADATRFALADAGDGMDDANFVFEAANAAILRLTKEIAWMQEVLSAEPSLRNGPPCTYADRVFANEINIAVRTAEKNYSEYMFREALKTGFYDLQAARDEYRLSCGSGGMNRNLLWRFMDVQTRLIAPICPHYAEYAWRELLKKDGYVIKAGWPEADLPDLTLKKANKYLQDTIISMRKLLQKQVSGSKKGNVNLNSQNKPTVGLIYVDEQYGGWKKECLGILQRKFDTSTGSFAPDKEILSELQKSDIAQQGNFKQIQKLCMPFLRFKKDEVLAVGVQALDLRLPFGEIEVLEKNSDLIKRQLGLERLEILSMIDDALERAGPHAAVVRQNPPSPGNPTAIFL >Solyc03g007600.3.1 pep chromosome:SL3.0:3:2140256:2146399:1 gene:Solyc03g007600.3 transcript:Solyc03g007600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLRYSPVIFPTRRQETVQLPKNYNRNVVVCEAKGPRPRYPRVWKTKKKIGTISKSLKLVECIKGLSNVKEEVYGALDSFIAWELEFPLITVKKALKILENEKEWKRIIQVTKWMLSKGQGRTMGSYFALLNALAEDGRLEEAEELWLKLFSQNLESMPRIFFQKMIAIYYHKEMNEKMFEIFADMEELGIRPTVPVVKMVGNVFQKLGMLDKYQKLNKKYPPPKWEYRYIKGKRVKIRTKDLDKSHDHDVESNSEEVDESEFDENSQDQENEDYVEQIEDAEECEPAEVSVVSSETRESSMST >Solyc10g007660.3.1 pep chromosome:SL3.0:10:1928672:1932062:-1 gene:Solyc10g007660.3 transcript:Solyc10g007660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4CXU5] MGDLTQKNVVENENNGSNIGEIILRLLPMGLCVVALVIMLKNSQTNDYGSLSYSDLGTFRYLVHANGICAAYSLISAIVAALPRPTTLPRAWTIFLLDQILTYIILAAGAASTEVIYLAYKGDTNVTWSETCGSFGGFCKKATASVSITFIVSLCYVGISLLSSYRLFSKYEAPIGQYNNKGGIEITNY >Solyc11g062110.1.1 pep chromosome:SL3.0:11:49279511:49280089:-1 gene:Solyc11g062110.1 transcript:Solyc11g062110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSYNHVKIIFKRLFSHLNLVSGYWGPHFRTNKQINLDSPLWLRWRLDQRVIASRETPIEVHYESLGTFYEIYGHYLIVRSLKKSFLYTFEPLLVILLFIEKSKKLCSGFLGPIHMLPNSMIPRDFGAL >Solyc11g027777.1.1 pep chromosome:SL3.0:11:18936261:18937578:1 gene:Solyc11g027777.1 transcript:Solyc11g027777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQGLVLLSLLGDLLECLKLLNEGNVDWWKARLVVLGNIHEYGVKYEETLAPVQKRTTIKKKDRVSKSFDESEYRAMSTS >Solyc04g077810.1.1.1 pep chromosome:SL3.0:4:62787495:62788235:1 gene:Solyc04g077810.1 transcript:Solyc04g077810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPFICGSFHHQDDDMEFFSPCSTPKRSKKSLFRSSNKNNPYSNRGLDKFSALLADLEDKKQRIYSEIAPDDISFVRFVFSNSNDAKPIIVKLKDKKSSKSCQEEKIESTNKQMTREVYEDCEEGKAESAEKRVIKKSVSKWNSMKLENLKRPKFYLPLTIILILVFLAIYGRSFAIMCTSIGWYLIPTIRGGDSRSSVSTRKPQRKKDYMRRFSEKSVVREEPISPTSVMNGPSGKYDHRKSWS >Solyc07g020920.1.1.1 pep chromosome:SL3.0:7:14641319:14641810:1 gene:Solyc07g020920.1 transcript:Solyc07g020920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIILVLQQACFLLIYGDIAAVNCHYKSLDFRAFLCDIVCDVVRRLKTGDVGFTSINDMRILVSAAAKVKLDVTSLQQYLDEISKEGDMERKLTDLTKLRKTIMVVSIEAEKDILERNRKVFAKEEHLEKAEKRLQEAKQVERFVRMLEIVREKVQQDIKEV >Solyc11g020153.1.1.1 pep chromosome:SL3.0:11:10402221:10402487:-1 gene:Solyc11g020153.1 transcript:Solyc11g020153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKQQLEKHKEVSGSSGNKSELERYIAEYIEPDSDDFDILMWWKVNEPRFPILAEMVRDVLVIPISSVASECAFIIGGRVLDPLGVH >Solyc08g076700.1.1 pep chromosome:SL3.0:8:60759238:60760878:-1 gene:Solyc08g076700.1 transcript:Solyc08g076700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPCCKVDEDLKKGPWTSEEDEKLVEYIKENGHGNWQLIPKRAGLNRCGKSCRLRWTNYLRPDIKRGAFSEEEEEIIINLHSLLGNKWSKIASHLPGRTDNEIKNLWNTHLKKKLFKSGIDPVTHQPITDPNLLLSLSNLMNPFESALRLQAELTEIAKIHIIQNIIQVLNTPPPTLIPSLQENNYPMQQFYNNNIIAPYDQIITNEPSPISKNLSDNNMIKNSSVFENNMINNVDYNSEYSSLPSLVPVTPENSVPFDQQIIDMINPSYYDDFCELEKVLNDEANIV >Solyc05g007820.3.1 pep chromosome:SL3.0:5:2256547:2262983:1 gene:Solyc05g007820.3 transcript:Solyc05g007820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHEPYWRTNSSFSPAPSRWDFRFQPETLSFGSNDGVQLYGSSASSNSRDSRSWVRGNQLANHQYVISDGVGAYCSSPSDISPAQQWTPPAIQEINIDDFGTSRRDAITRPFSFSPTMEGASIARDGRGSTSSRSDSSDCDSITKSHSSYRSFPSRRFFMSKPIHPLSFPTETTPRREAIDSLSAGFLEFDASTSQRDKHRLSSASGSLDLTEASESFHSDFLSKPCNPSDCFRCGLCERFLSQRSPWSSRRIVRSGDMPVAGVLSCRHVFHAECLEQATPKSCKSDPPCPICAKLEEGSSPEQRVFSKFFPRLKPFSEEGPSKPWGCAHSGDCVEGALHGPSRGTMLSLNKNRIRKNLSLKGDSGKDFPGKLRKTNTFSSQLFIGSVDHAMVGSSKASAGSGLK >Solyc10g076220.2.1 pep chromosome:SL3.0:10:59140465:59155742:-1 gene:Solyc10g076220.2 transcript:Solyc10g076220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFVFLHVLVIFCVARIAFSDLSDDFYDDICPQALPTIKRVVEDAISQERRMGASLLRLHFHDCFVNGCDASILLDQTATIDSEKTARANNNSARGFEVIDRIKSEVDKVCGRSVVSCADILAVAARDSVVALHGPTWEVELGRRDSTTASRTTANNDIPTPLMDLPALIDNFKKQGLDEEDLVALSGGHTLGFAQCFTFRNRIYNETNNIDSTFASQRQANCPRSGGDSNLASLDPTSALFDSKYFSNLVSKKGLLHSDQALFSGGETDELVKTYSTDLRTFSKDFAKSMLKMGNIKLLTGNQGQIPRIAFSDLSDDFYDDICPQALPTIKRVVEDAISQERRMGASLLRLHFHDCFVNGCDASILLDQTSTIDSEKTARANNNSARGFEVIDRIKSEVDKVCGRSVVSCADILAVAARDSVVALHGPTWEVELGRRDSTTASRTTANNDIPTPLMDLPALIDNFKKQGLDEEDLVALSGGHTLGFAQCFTFRNRIYNETNNIDSTFASQRQANCPRSGGDSNLASLDPTSALFDSKYFSNLVSKKGLLHSDQALFSGGETDELVKTYSTDLRTFSKDFAKSMIKMGNIKPLTGNEGQIHDFYDDICPQALPTIKSVVEDAIRQERRMGASLLRLHFHDCFVNGCDASILLDQTDTIDSEKTARANNNSARGFEVIDRIKSEVDRVCGRPVVSCADILAVAARDSLHGPTWEVELGRRDSTTASRTTADNDIPTPLMDLPALIDNFKKQGLDEEDLVALSGGHTLGFAQCSTFRNRIYDETNNIDSTFASQRQANCPRSGGDSNLASLDPTPALFDSKYFSNLVSKKGLLHSDQALFSGGETDELVKTYSTNLRTFSNDFAGSMIKMGNIKPLTGNQGQIRVDCRKIDNKISSID >Solyc09g008590.3.1 pep chromosome:SL3.0:9:2056560:2057571:-1 gene:Solyc09g008590.3 transcript:Solyc09g008590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNIVFIASLILVFSNFIEASDGMDVMHISGKVLCQDCTQGWNEWVDGAKAIKGSIVSLTCLDERRRVMYYGSDLTDEAGIFDLIVNQTCHGKTIKPQNCFVRLVSSPDPVCNIATDFAGGKSGVKLHRPTVVYRDLLKYVLSPFYYTT >Solyc02g092730.3.1 pep chromosome:SL3.0:2:54347221:54353075:-1 gene:Solyc02g092730.3 transcript:Solyc02g092730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDALIKIKNEVDPSPTFRRSCREGICGSCAMNIDGCNGLACLTKISSDSVSTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPAPTPGKEIPQSKSDKAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEHTLERLDAVNDEFKLYRCHTILNCSRACPKGFNPGKLRTSSAWRWRLEPALCSVVYQSILLTLILEDQ >Solyc08g013800.3.1 pep chromosome:SL3.0:8:3238089:3239973:-1 gene:Solyc08g013800.3 transcript:Solyc08g013800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVHKASTIEPPLQSASWAELPVEITMDILQRLGTVEIVESAQRVCSTWWKVCHDPAMWRVIDLKYDPNSDMRGVLNKICRIAVDRSQGQLLKISIGSKDLLNYIAERSSQLRHLRLVNCGNVAGALVSAAKNFPLLEELHTHLTFITAEDIQSVGRYCPLLKSFTLNASRIGYSLSTDDAQALAIAKSMPELRHLALLLNPLTNVGLQAILDGCPHLVSLDLRHSNVNLEGDLGRRCRQQIVDLKQPHDSTHDYEFDSKIHYYYGCWSYDEYEYQDYLSNIVRYIDSENENW >Solyc09g092750.3.1 pep chromosome:SL3.0:9:72154995:72155791:1 gene:Solyc09g092750.3 transcript:Solyc09g092750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAFLLIILSIFLVISSEVASRKLAQSSITSLEEGLALPPIGGGLPGIGAPIATRGMVDKEGLLGIGAPIGLP >Solyc02g068150.3.1 pep chromosome:SL3.0:2:38756313:38758650:1 gene:Solyc02g068150.3 transcript:Solyc02g068150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAVAKAFVDYYYTTFDTNRAGLASVYQESSVLSFEGQKYQGTQSISGKLTGLPFQQCKHQINTVDCQPSGAAGGMLVFVSGNLSLPGEQHTLKFRKWDYMRFSNLNKAGGVLFFSCYYLKGQTRFYGLKNQWFNMFHLIPTQGGSFYVQNDIFRLNYA >Solyc03g063880.3.1 pep chromosome:SL3.0:3:38837765:38855901:-1 gene:Solyc03g063880.3 transcript:Solyc03g063880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAAVIVPLGVLFFISGLVINLIQATCFVLVRPISKSTYRRINRIVAELLWLELVWIVDWWAGVKIKVYADPETFNLMGKEHALVIANHRSDIDWLVGWILAQRSGCLGSSLAVMKKSSKLLPVIGWSMWFSEYLFLERSWAKDERTLKSGLQRLSDYPLPFWLALFVEGTRFTQAKLLAAQEYAASAELPVPRNVLIPRTKGFVTAVSHMRSFVPAIYDATICIPKSSPAPTMLRLFKGQPSVVHVHLKRHEMKDLPENDDAIAQWCRDIFVAKDKLLDKHIAEDTFGEEKLQDIGRPIKSLVVVASWSCILILGTIKFLQATALLSSWKGVAISAALMAIITVLMQILINFSKSERSTAAKVAPAKEKTV >Solyc02g064870.1.1 pep chromosome:SL3.0:2:36559877:36560865:1 gene:Solyc02g064870.1 transcript:Solyc02g064870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIRKKSVMTEKEAKYKWRRGRTLGKGSYGFVSLAFTNTDDTPSPTIPSLIAVKSSRLKITCKDGLYLYNLELEYASAGSLADRIHERGGLPEFQVKKYTKNVVLGLSLIHKKGIIHCDIKSHNILLTTDEVTKIADFGLSITLQQINCGEEVGLRGTKRYMAPEALLKKEYSPGFDIWSLGCTVYEMITGKPLWKSSDIMLNRIKYEEPDIQNPKLSTEAKDFLNNCLVRNPSARWSADMLLNHSFLKSAEDVQPPDAKKRQCADMSLFCKKRAKTTFRTHPHIPDSVIQVDSDSTDGN >Solyc03g097330.1.1.1 pep chromosome:SL3.0:3:61080966:61081400:-1 gene:Solyc03g097330.1 transcript:Solyc03g097330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMTRMIDDSVEVLLLLLKSMLNLSAEETLTASPQHEKKCKKMHICCSGTPARRRRQRVLGQKSSATPISATKPLRSILRPKLLQNRLKGYVKSVESEGLLTHTVSASTVQKDSSWSYHHFNTNRIHQNINELKENCLHRSTL >Solyc04g051040.1.1.1 pep chromosome:SL3.0:4:49301235:49301408:-1 gene:Solyc04g051040.1 transcript:Solyc04g051040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVLVDGDGIIGTKSVSWIFVVVLTDSVKYVTYSCILPFKGSAPLVNAPEDGVFM >Solyc06g011371.1.1 pep chromosome:SL3.0:6:6844343:6844547:-1 gene:Solyc06g011371.1 transcript:Solyc06g011371.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGYAFRLNQRTRHLLHADEQDISPLLFVVVYLYDIVIYNNILKEHVEHLKKVFQVLRENQLFIK >Solyc01g109650.3.1 pep chromosome:SL3.0:1:96446862:96453598:-1 gene:Solyc01g109650.3 transcript:Solyc01g109650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQQGRVVLFCFVFFLLFDSTFQQRVSSSTEKFALLQLRSSLGLRAKEWPIKGNPCFNWAGIRCKNGRVTEINISGFKRTRVGGQTPQFSVDALQNLTLLESFNASNFVLPGSIPEWFGLRLVSLRVLDLRSCFLIGPIPPSLGNLTSLVTLNLSDNGLTGQVPPSLGLLSHLSSLDLSHNKLVGVIPDTFVSLKNLTLLDMSSNFFKGAIPSDIGTLLQLKSLNLSDNSFSTLIPTQLGRLSNLVDLNLSFNSLSGVIPELGGLRNLKGMAVGHNSLSGSLPNALWSMPGLQFLDVSSNNLTGILPNVSSVVSATGAVFNLSHNTFYGNLPSLNRSFSFLDLSGNYFEGKLPNYAQRNASISSNCLQNVTSQRNRSECASFYSGRGLLFDNFGEPNATEPPPASKSNRKSHRNKIILVAVLGSVGLLALVFICILLLIFCTRKRGATNQRATEVGPGPASSSPPPPAPGVSLNFSSLGDAFTYQQILQATGEFNDANLMKHGHSGDLFRGTLEGGTLIVVKRIDVQSARNEAYLSELDFFSKVSHSRLVPFMGHCLENENEKFVVYKYMPNGDLSSSLFRKNNSDDDSLQSLDWITRLKIAIGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLNEACAQEGESHQNRISRLLRFPQTSEQGASGSPSATCAYDVYCFGKVLLELVTGKLGISASNDASMKEWLDGTLKYISIYDKELVTNIVDPSLIIDEDLLEEVWAMAIVARSCLNPKPSRRPLMRYILKALENPLKVVREEHTSSARLRATSSRSSWNAALFGSWRSSSDVAAVPAAASAHKLEGTSSLKQSGTTGSQGSGPNGDNNGHSSSTRRQSKEIFPEPLEEQDVERGPQAE >Solyc09g058990.3.1 pep chromosome:SL3.0:9:52987052:52995838:-1 gene:Solyc09g058990.3 transcript:Solyc09g058990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIARRVHSSLPSLQFIGLQFQSAHAAGSSSPHRRQRSKFSPSAMLKKVDDKSDWWVVDGEMHEIGENVPPRERFVISRQNIPNKRRKQLREQFMRRTRLVLKESEHEPWCKRYMELYKEMRENWERLYWDEGYSKKLAQDHANYDSAEDDDEDFSPYRRSRPNADQMKEQGGVTGRNRQGDNWEKVSLIRDKFEYDRERRMREKAFAPMSEANNIGMDYSTPKYQASDTRRYISDSDSDID >Solyc04g008910.2.1 pep chromosome:SL3.0:4:2521277:2525059:-1 gene:Solyc04g008910.2 transcript:Solyc04g008910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTIEITSIDDQIPLLPQSENDEIEEGRKVDHLIDIKETSGHDLVGSQTKKSINQIFDEMFEDLDNSSIKSCTISKVIVVLCESNPYAYTPKMISIGLYHKKNPQLRPMEKHKLLYLRRFLQRKEGLDVERCINELKELKEEAIKCYEDKEDLGNNNQFCQMLLLDGCFVVEFIRERCKLYPKEEEEIINVHDCYIFRDLMLLENQLPFFVLDKLHGMKKQDDELPLEIQVMPNATELFEAGVTFVKEDKLGDNTNLFNSIKFENGLMKIPSFRVEDDTEILLRNLIAYEQQSYDVMPKYFSDFATFMDYLIDSDKDVTLLRQKGIIENWIGEDNEVATLFNKIGNGVFIDSEFYFKEECIKAIEHCKKPWNRMKANLKHNYFSNPWVGASTVAAIILLILTAIQTILAFTGSVKK >Solyc05g006510.1.1.1 pep chromosome:SL3.0:5:1127290:1128447:-1 gene:Solyc05g006510.1 transcript:Solyc05g006510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BWH7] MGCFGFDFSNFQSITVFFLLLMLGLSFSPNGCLGIRSFPEERRFIEAPEYRNGVQCPVTAETQDMVHIAMTLDSEYLRGSMAAVHSVLRHSSCPEHIFFHFIAAEFDPSSPRVLTQLVRSIFPSLDFNVYIFREDTVLNLISSSIRHALENPLNYARNYLGDMLDPSVTRVIYLDSDIVLVDDIEKLWKTPLSGSRIIGAPEYCHANFTKYFTDSFWSDPDLPQVFGSRNPCYFNTGVMVMDLQKWREGNYRKKIEKWMELQRQRRIYELGSLPPFLLVFGGLVEPINHRWNQHGLGGDNVMGSCRSLHPGPVSLLHWSGKGKPWARLDEKRPCPLDYLWEPYDLYKPKPKSRPNYQRSKMRLYQYNNLDFSTSNILFGYSNYFI >Solyc08g066160.3.1 pep chromosome:SL3.0:8:54625706:54632424:1 gene:Solyc08g066160.3 transcript:Solyc08g066160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSEMTREDSWAKRRESLLIASAYEEDRIRKSRECTQEGVRAGTKAAAVACVASAIPTLVAVRTIPWAKANLNYTAQALIISAASIAAYFITADKTILECARRNTQYDKSA >Solyc01g107470.3.1 pep chromosome:SL3.0:1:94906648:94907805:1 gene:Solyc01g107470.3 transcript:Solyc01g107470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQEMQKAIGAYREVLRLVRRLPKDSRPYYAKYARENFVNYREIDSNDPNALQELLQRTYNHSLWVLKKYSVDQSAADRLKNICAD >Solyc05g014370.3.1 pep chromosome:SL3.0:5:8214430:8216333:-1 gene:Solyc05g014370.3 transcript:Solyc05g014370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTASKDIITLRGSAAIVSEYFGYAANSILYNRGVYPEESFKKVKKYGLTMLLTEDEGVKTFVSNLTAQLAEWLETGKLQRVVLVIMSKASGEVLERWNFSIETDSEVVQKGVSREKSDKEIMREIQAIMRQIASSITYLPCLEEPCVFDVLAYTDMDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDDEWDES >Solyc12g036010.1.1.1 pep chromosome:SL3.0:12:44570151:44570321:-1 gene:Solyc12g036010.1 transcript:Solyc12g036010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCGTARGISMSPRNAILLERIFSQTLIGRVLADDIYMGSRCISTRNQAIGIGLVN >Solyc09g010500.3.1 pep chromosome:SL3.0:9:3879929:3882990:1 gene:Solyc09g010500.3 transcript:Solyc09g010500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDYDMDGGYVDEPMDPEPDEGAEIEEDNGNNDDIPDPLMGEGEEKTEQQEPVERPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGT >Solyc05g008060.3.1 pep chromosome:SL3.0:5:2482105:2486023:1 gene:Solyc05g008060.3 transcript:Solyc05g008060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:E5KGD4] MITWHDLYVVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFIAMNNPYEMNFRFIAADSLQKVIMLVVLSLWANLTKNGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGQDGKLHVTVRKSNASRRSFAMDHRPSNLTGAEIYSLSSSRNPTPRGSNFNHNDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEENCAPGGLVQSSPRFGYFPTQQPAPGSYPAPNPEIASAGPKSTKPQQPNVQTQKQEVQQQQQQHQQPNAKANNHDAKELHMFVWSSSNSPVSEAGGLHVFGGNDFSANEQSGRSDGAKEIRMLVSDHTQNGDSKAIPQIGEFGGEDFTFGGANGGGKDGDEEKGEKEGPTGLTKLGSSSTSELHPKLAGVQDAGMGKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLISFRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNTVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIILGL >Solyc02g032557.1.1 pep chromosome:SL3.0:2:29173529:29176605:1 gene:Solyc02g032557.1 transcript:Solyc02g032557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVLGANPMSHTGNYESVMYNRTSGNQKFTRNCHLYCEVCKIRGHNKDNCWKIVGYPPEFKYKKKKPSEGRSAAYNVSTKENTQNDVLHAGSGQSEFKYGSDTNVYSHGKNSGSMDQVQSKTSQVDANHFTQEQYNHIVQMLAQHSPQVKQNSMSATTANTAGMTNSMAMNVSHKPNWIVDTGATNHMASNLELLNKLSVNKLGYNRTVQLPNGDETQVTHTELKFKQSEYDQSMFIKKDESGMVIILVYVDDLLVTGDSLKIVKEIIEKLKQVFKMKDLGELRYFLGIEFARSDQGILMHQRKYTLELIPETGLGSSKPASTPMDTNVKLKTKQLDEYIRLGNSEKSNTNDPLVDQGA >Solyc03g026240.3.1 pep chromosome:SL3.0:3:3702593:3704171:-1 gene:Solyc03g026240.3 transcript:Solyc03g026240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDERDAKDAIQGLDRIEFGRKGRRLRVEWSKEERSRKPEGSKKSSSSFRVSKTLFVINFDPYNTRTRDLERHFDPYGKILNIRIRRNFGFIQFETQEDATRALDATNMSKLMDRVITVEYAIRDDDDRKNGYGPGKTYNQSPRRGYDRGRSRSPRGRDRLSPDYGRGRDRPSPDYGRGRDRPSPDYGRGRDRPISDFDRGRDRPNSDFGRGRDQLSPDYGRGPSRSPKHREGNSEYGRGHSPAVGKERNPGHGNVRSPSPRRERTGPGNGLMSSPLNISPGYGDGPSPSAQRERRDKYSPDGHNRGSSPGPKPEPVGSPVRDVVRLQLGKDRAPDLLEAVFILGSNICRMNQCNIPWEMVFALGSDLELVPCICVVYLIHPFPLDTDLDKTWAVEVI >Solyc10g078680.2.1.1 pep chromosome:SL3.0:10:60547688:60551396:-1 gene:Solyc10g078680.2 transcript:Solyc10g078680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPHQEDFSLKETKPHLGGGKITGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSLDPYVEVKLGNYKGTTRHFEKKSNPEWSQVFAFSKDRIQASVLEVIVKDKDFVKDDFVGRVLFDLNDIPKRVPPDSPLAPQWYRLEERNGTKVKGELMLAVWMGNQADEAFPEAWHSDAAAVSGADGLANIRSKVYLSPKLWYLRVNVIEAQDLIPNDKSRFPEVYVKAMLGNQALRTRVSMSKTINPLWNEDLMFVAAEPFEEPLILSVEDRVANKDEVLGRCAIPLQYVDRRLDHRPVNTRWFNLEKHVIVEGEKKEIKFASRIHMRVCLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLEVGVLSAQGLSPMKTKDGRATTDAYCVAKYGQKWVRTRTIIDSFAPRWNEQYTWEVFDPCTVITIGVFDNCHLQGGDKSGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIHLAVRFTCSSLVNMMHMYSQPLLPKMHYLHPLTVTQLDNLRHQATQIVSLRLSRAEPPLRKEIVEYMLDVTSHMWSMRRSKANFFRIMGVLGVVISVGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGIWYYRWRPRHPPHMDTRLSCADTAHPDELDEEFDTFPTSRSPDIIRMRYDRIRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLVAAVVLYVTPFQAVGLLTGFYVLRHPRFRYKLPSVPLNFFRRLPARTDCML >Solyc12g007130.2.1 pep chromosome:SL3.0:12:1569701:1576608:-1 gene:Solyc12g007130.2 transcript:Solyc12g007130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNRDRELLIPVANTGAGDDGAGDKPSSSSSSSSSHHHSGRETFSKVVRSWASKKFMTGCVILFPIAVTFYITWWFIHFVDGFFSPIYAQLGIDVFGLGFMTSITFIFLVGVFMSSWLGTSVLGLGEWFIKRMPFVRHIYNASKQISSAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVVLQNYSGDEELCCVYVPTNHLYIGDIFLVNARDVIRPNLSVREGIEIVVSGGMSMPQILSTLDPGSIQVDRR >Solyc03g095860.3.1 pep chromosome:SL3.0:3:58966397:58968701:-1 gene:Solyc03g095860.3 transcript:Solyc03g095860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVEVSEPEIGIDFALGCKCRATVNLRSLSAAYPIAFKVQTSSPHKFLVNPPSGLISPLSSTSFQVILKPQPQIPPTFPRSPSDRFLVRTAIASELELKLNSSSESTRSEIVNSWFKSIGHRSTHDIKLKVVFVGPFLLRHAVSNGDCDSVRNIIKRHRSIFTEFSTREAESLFRVAKQLPNNNKDMVNILIEGGLKVDACTEPNDVKWVSKGWTALHIAVANDRREEIERLLRVNGGCRWLDSRDKEGRTPLHLAASKGLLGSGKALIGAGAQVDARSKDGRTALFRAAANGDCEMAKMLVEMGADPTLTELHLRRSALDIARAKGHGVVVKILERGEAVLHAARHGDVQLLETLLEKGATTNFRDQYGVAALHMAAIKGKKDAVMILAEFGADLECQDIQGHTPLQMAVEGGCAHTVEVLLNRGANVNVKNNKGITPLSVSKFLGYEDITQLLVDEGAVLSEIPSNSPSPVS >Solyc01g096475.1.1 pep chromosome:SL3.0:1:87435477:87438867:1 gene:Solyc01g096475.1 transcript:Solyc01g096475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CITRX description:Thioredoxin-like protein CITRX, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q9LKW0] MQAASLAFHPPALRTSPSYLSSKLPHHLNYSLFKHAPSTSTLSLTQVLSRNTICKPPAVGKYVREDYLVKKLSAKEIQELIKGERNVPLIIDFYATWCGPCILMAQELEMLAVEYENNALIVKVDTDDEYEFARDMQVRGLPTLYFISPDSSKDAIRTEGLIPIQMMRDIIDNDL >Solyc12g044630.2.1 pep chromosome:SL3.0:12:60627793:60628834:1 gene:Solyc12g044630.2 transcript:Solyc12g044630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRO1 description:Profilin-1 [Source:UniProtKB/Swiss-Prot;Acc:Q41344] MSWQTYVDDHLMCDIEGTGHHLSSAAILGFDGSVWAQSPNFPKFKAEEITNIMKDFDEPGHLAPTGLFLAGTKYMVIQGEPGAVIRGKKGPGGITIKKTAQALIFGVYEEPVTPGQCNMVVEKIGDYLVDQGY >Solyc02g080400.2.1 pep chromosome:SL3.0:2:45183100:45196141:1 gene:Solyc02g080400.2 transcript:Solyc02g080400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLPSDVMHGEGNLLAHLVIVGYKVTYQQNPLLEYQFGVADLFEDLQDGIQLCRVVQLLRHDPSILSKMVVPSDTRKKSLANCGTVLLFLQEAGVSLCDQDGTILMAEDIVGRDKELTISLLWNMFVHLQLPLLINKSLLSEEISKIQGVVKQNSNDCTHLDMLLSWIQAICGSYDLKVKNFSSLVDGKAMWCLLDYYFRKDHRCSCSYQALCETKEEVSIVSAVDYTDAVHNFILSQKLPLLLGKFPEVIQVSDILETNGACNGQSVIILLVFLSYQLLVKRNKDQLNFHKLLGFNCQSPERRRLSTNQWFMHPQAAVDKEQTHWKDGEDAARNFKAVMAWWQEMAQQNNKCFSKETSSSPKRSFILRGSNDTYKGNAAKVIQSHFRQSVQQRKYLRIKNAVYILQAAIQAWLWVKREPSIQFFGSPAYLASLCGTRSRSANFEKHAAFVIDRHAFLKLKRSVIIIQRASRDWIYRKHAPRNSLLQDLFTPTFTDAAIVIQKCIRGWIARSCLVNADQFHEVPKECEDNIHHINTEIAIQCASNEYKLSSSLHSHHFAATKIQSYYRGWLMRKKFVDQKQATIKIQSIFQSARCLRDFHSYKQETLSVITIQACIRRWIAKRDVYRHKSQIIMIQSHCRGWLTRRKLLIEKEAVIRIQTAVRSLKYRKAFLHQKHAVLEIQRFARGAITRKRLLGASCYRNVSKLGYQALELKILLQAVVKLQRWWRCKLLHAQRTKATVVIQSHVLGWIAWKRASNKERLLQAVLKLQRWWRSKLLHEQRTKAAVVIQSHILGWLVRQSISRNKDQLLQATLKLQRWWRDKLLHKQKTKAAVVIQSHVQGWKARQSASRKKHLTLLAVLKLQRWWRGKLLHKQRTKSAVVIQSHVRGWISRQSVSRNKHRIVVIQAYMKGYLARKDLRGKLLDLRLKIQKSAANVNDGMRIINRLVAALSELLNMRSVSDILHICATLNMATQHSEKCCEELVAAGAVGTLFKLIRSLSRSIPDQEVLKPALSTLRNLSRYPHLINVLIESCGSLETIVSEFLRNKEEGYFIASDLLKKIFTENKGVEAVRKSPALLKRLHNHVEELSRRAKAEKRTKPHATKEPVDKRLREAVEILELIKVSMGNPTRRLSMKV >Solyc05g042055.1.1 pep chromosome:SL3.0:5:55405565:55409449:-1 gene:Solyc05g042055.1 transcript:Solyc05g042055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVEPMNGDGSARVVVNDVEDASIVELVGPSSIDSPSFRTSDTAESSISISDDQIAPLLIQSERPKINIFTISYPREKLNKDEVIRVVETGKSPFLQLIFWLWSGSRYSGLLCVVVSCTIYSLMEIVSDVFTAQSVPLFEIAFARCTVVLILSFVWIRKSGQPIFGPTSAMKLLVLRAVSGCISLLSYIYSQFYFPASSGYLCLRLSY >Solyc08g076130.3.1 pep chromosome:SL3.0:8:60281146:60287226:-1 gene:Solyc08g076130.3 transcript:Solyc08g076130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLGEFKLPNFFNYPPYFTLQPVRETREKQIQLWKELIIDFCRTQKIFVIALEVDFPLFSNAAIERSLSHEAREAFLSALVSDGRAEWMDKGHRKCLVLWHRIQDWADLIVNFVKENGLEDSVMTVEEIRSGVESRGTELHGIDRTVLMRALKVLEHKGKLAIFKGTSADDEGVKFSV >Solyc03g098740.1.1.1 pep chromosome:SL3.0:3:62445759:62446358:-1 gene:Solyc03g098740.1 transcript:Solyc03g098740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLLSCFLYFTLFQTIKSEPVLDTNNEQVRPGYTYYILPAASAGSGGGLTLAKGENGSCPLDVFQARNSQSLGIPLKFLMVNSSAGLVIDENEDINIKFAAQRYVSICNVSTVWKIEDGIVTTGGIKGGSENGTSTSLFTIQKYEDAYALQYCPRATGCSFICPRLLCGYIGILTAENGSRHLAVNRPVFKIVFRKA >Solyc07g005980.2.1 pep chromosome:SL3.0:7:818486:822086:1 gene:Solyc07g005980.2 transcript:Solyc07g005980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSPLGWECKTDGSIRSEVVEKQLEGSLLTPVSSVGRYPVLSSKKDAQILKKNSSQFLSGKESESMRKLRIRAETRNKSSFSSHTISSSSKITTKKEKVQVQQIKKISAPPLVPPRALAGKTRLPTFTRQSQSSTRTEEPSRVNERYLGYEEPVHHIAWEGSDENFHSPRVTCPICEKDLCDMPDEYAYADEYNDGVEPSVLPSVAILSCGHAFHAVCLDGITPEENSSDPPCFFCFSCMS >Solyc02g036310.3.1 pep chromosome:SL3.0:2:30973414:30974541:-1 gene:Solyc02g036310.3 transcript:Solyc02g036310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQERSRHSYANQNATSFTYLLNKMQTSPLEGDKVSSHDASLLPEREFSQVRESMHSAMSTNKTEIVNVALDEFSEGYLLFSPENRYNMLLSLAKEYDLNWTQVRELMKQHLDLQLPNDKAEDSGHEEEDHYQLSTGLSGI >Solyc07g007020.3.1 pep chromosome:SL3.0:7:1807928:1812938:-1 gene:Solyc07g007020.3 transcript:Solyc07g007020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTYIPVHMKMQITMWSFFILLTGLLYKETTQVSALRIWSSSAKLSSQRPGCPERCGNVTIPFPFGIGKGCYFNEAFKMSCDNNTAYSHNNDLYVQHISMDSITVDISFVSNPYNKSSGMNIYSDEILQGTGNEYFSFSNKNKFVAIGCDVYANVKDSDTGSSVSGCASYCDNSTNNVSSYSASSSYCTGNNGCCQSEFSKIIPRQFTMTIQTMNTENTSWRSSNCTYYLIMEKGSSESDFTELRGKCKEDDRYQGRMVLDWVIGNVSCDKAMRRPKDYACRNNSRCVNDTSRPAGGYRCDCSPGYQGNPYLLHGCQDIDECTSPKRNRCPKNTLCINTPGGYHCDSNNVRHMLAKQLSIGIGAAITFVILVAVCLWLHKWIQKREEKKAKQKFFKRNGGLLLRQRISVNGESSGGSLPKLFLKEELEKATDNFNEIRILGKGGAGTVYKGMLSDGSIVAVKKSNAVDKDQIEQFINEILILSQINHRHIVKVLGCCLETQVPLLVYEYISNGTLSSHIHGNLSHSSNPTFSKSELDDQIPLHPAIILSWDHRVRIAAEIAGALSYMHSCASTPILHRDIKSSNILLDDNFRAVVSDFGLSRLLSVDKTHLTTKVGGTFGYIDPEYFRSGQLTEKCDVYAFGVILAELLTSQRVVTSNQPEDPGLVIRFTLALKENRIIEIVDPEIVKEVEDEHVILAVAKLAKRCLNFNARRRPSMKEMAAELEQQVKMRQDMPHTESFQDNISPKSESSCSHTSACTEEDHQNSVSRNEMHYDRKGSL >Solyc10g005170.1.1.1 pep chromosome:SL3.0:10:137024:138220:1 gene:Solyc10g005170.1 transcript:Solyc10g005170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSQPQPQEHDDQRGNLKDLMIIDNENSDEIVQIQIIHEETNMSTSSETTNKCYLFLLGMNYMLLFIGSISSSLLSKFYFNHKGNSRWVSTWVQSAGFPLLLLPVYSPFYVFKSSNRKPFTNFTPKMLLLSIVIGLFLGLNNLLFSWGNSYLPVSTNSLVLSLQLVFTLITSVVIVKQKITYTNINCVFLLTLSSVLLALGSSHDKPKDLTKTKYFIGFFSTIGAGLLFAIYLPLMEKIYREVCCYSMVVEMQMVMELSATVLATLGMIINGGFYEMKKEAKNVFDLGEKTYWLTVMVNVVTWQFCFMGTAGMVFLSSSLTGGVCMTALMTVNVLGGVIVFKDNFGLIKVISTLLCIWGFSSYLYGMYKKMEEKKDDSLEKIIINNEEKNMTTDDA >Solyc09g090200.3.1 pep chromosome:SL3.0:9:70232567:70242167:1 gene:Solyc09g090200.3 transcript:Solyc09g090200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CW46] MESAKRWFCKLGKKDKPKPPKKQETTSNGKEGSKLSPHEEAPSTATKQKVAAAKQYIEKHYKEQMKSLQERRERRNMLEKKLADAEVSEEEQSNLLKYLEKKETEFMRIQRHKMGADDFEPLTMIGKGAFGEVRICREKATSHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVESNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDKHGHMKLSDFGLCKPLDCSNLQEKDFTAANKLSGALLSDGRPAPPRRTQQEQLLHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGCECDWWSLGAIMYEMLVGYPPFYSDEPMSTCRKIVNWRTHLKFPEEAKLSPEAKDLICKLLCNVEQRLGTRGADEIKAHPWFKGVEWDKLYQMKAAFIPEVNGELDTQNFEKFEEGDNQIPTAAKSGPWRKMLSSKDVNFMGYTYKNFEIVNDNDVSQMAELKKKSNKAKRPTVKSLFSEDSEATDSQQAHGSFLNLLPPHLESSKQAEQL >Solyc12g042260.2.1 pep chromosome:SL3.0:12:58346403:58348081:-1 gene:Solyc12g042260.2 transcript:Solyc12g042260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGFCVPLNNSCSVCCIFFGLYLNALGIGGIKPRVSPFGADQFDDTDPKERVNKGSFFNWFCFSINIGALISNTLIVWIQEHAGWGIGFGIPAVFMSIAIVCFFFWYSGYIIPQMELVCPDDSTLLYETPDKSSAIEGSRKPLHTVELRLLAVVSNTEFRTGDYSNAWRLCTVMQVEELKILTCMLPIWTTGIVFSAVYAQMDIGSFKIPPASLSLFDAISVIIWVPIYDRIFVPIASRLTVKEMGFSKLQRIGIGLFLSVVCMLVAAIVEFKRLQLARDLIWWMNQLRYLSISFGRFHSILYWEQRSLCSALTLMSTTMGNYLSSFILTVVTSITTQDGKPRWIPNNLNSGHLDYFFWILAALSFCNFVIYFFFAKCINPRSHPKSKFLLYT >Solyc01g095590.3.1 pep chromosome:SL3.0:1:86683540:86688966:1 gene:Solyc01g095590.3 transcript:Solyc01g095590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQAKVRLVRCPKCENLLPELTDYSVYQCGGCGAVLRVTAKNKNEELNKFVEKSEEETMEKPENFDLSDKKLMNMADGSGYDVKSNGSSSSRDRRRALRDAPETYRANLRNVADNWANEDDRVMYKTNIDEQRQENMANEFDPFDSQNGKEIETRGIGRITPYRSSRQRSEAEVLSRSRRADREGMRFSSSIHSEEGSLRYSSGSIYDSDKRLYRKDVDGFNQEEQIQENKAKEFELSESRNGKEIESRRTGRVPDWRSEAEVLPRARRVDPEGMRYASSVNSEEGPSGYWSGSNYDNDKRLYGKDVDDLSQVDCPVDERAELLRKFDELKEQLSRFGDVSELPNERVPLERRMAHHEGYGNPVDRFPESSTRILNGALAQNHVSGERPPYLNHYNAPSPSMNSHHMAGGGFYPSRDRIQGYGGPRRSQLLGGDPYEAPAPFQLQPSHSRFSGRSMGNEIPPTDPYRPYLPQANPHHPSCNCFHCCNLNQVRRRAPPAAFGEKRFSDISQNPTFHHHQNRGAFAVGGFARRLPPRGQPSGQLCLPVAGGAPFLTCFNCFQLLQIPKEVFHGGKRQKMKCGSCSAVMVIAVSDNKLTVSIDERIDKSTKKMGHQQRVMLNEGNRYSNGHTNRPSMTFSSEDYDTSKYDFHAMDQELGSLSTGRGSSLKSAEMRSLCSTSSRSSREEDNLNKFAATMEKSDSELPMKGKESPPPAGSPLQEYFDHSNKFHAANRLDHGNRSTRSDAEMLMQKRTTSEQNSTKDLAAATEMDIPSNEYGNTSSSLGSGVYCKEGDQLKATKASFFSGIIKNSFKEFSRSDSSDGEKANITVNGHLIPDRLIKRAEKRAGPIQPGHYWYDFRAGFWGVIGGHCLGIIPPFIEEFNYPMPVNCAGGGTGVFVNGRELNPKDLKLLASRGLPADRDGSYILEISGRVLDEDSGEELESLGKLAPTVEKAKRGFGMRPPKVEA >Solyc03g118240.3.1 pep chromosome:SL3.0:3:68645245:68647471:-1 gene:Solyc03g118240.3 transcript:Solyc03g118240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLRVDKILFQLVWCRHEAQVIIIFLEGWWDPTVTNGNESYPYPARLHTIINYLPATTKFSSNSTPTIMAFSSPLFSPVNFTLNPNPQLNHPKCTKPNNRRNLTICAIPPLSAATDISAVTDSLDGKTLAVLGGSSVAALAAILSLADPERRRQLQAEEVGGGDKEVVREYFNNNGFQRWKKIYGDTDDVNKVQLDIRLGHSKTVENVMKMLTEEGSLQGVTVCDAGCGTGCLSIPLAKEGAIVSASDISASMVAEAQKQAQEELFKGKDDQSLAPVMPKFEVKDLESLDGKYDTVVCLDVMIHYPQSKADGMIAHLASLAENRLILSFAPKTFYYDLLKRIGELFPGPSKATRAYLHAEADVERALQKAGWKIKKRGLIATQFYFAKLIEAVPA >Solyc11g064950.2.1 pep chromosome:SL3.0:11:50557354:50559725:-1 gene:Solyc11g064950.2 transcript:Solyc11g064950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLVLLGALAFDVEYARWLEEQNRRINELRGAVNSHAGDAELRIIVDGILAHYDDIFRIKGDAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINQLEPLTEQQLLAINNLQQSSQQAEDALSQGMEALQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLEGFIRQRALSRGEPIRRRARRRRFMGLLLIAFRLTPI >Solyc08g016090.3.1 pep chromosome:SL3.0:8:6742203:6753108:1 gene:Solyc08g016090.3 transcript:Solyc08g016090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRLMKKILKEQEPAETQQLINSEDESESPPASSANFRNPFDLLDDEDDDDGGVDQAPSGDNDRLLFSIDIRTIDLVQLGAKDKGNSWNESLEQLSIEDDSSHLEHVAPDTGKYNPTNLNGRGKVVKQCISSVLQVDPKFLNAENELRRIFGSKVVNSFEKGHQTGSSRQSRGGRRGSQSHRKTILVCPLEHWPKWDGSLSMELVETRDGISDFRYVHTSSYSQAQKAFESAKAVHDFNGIVNILLHHPYHVDSLITLAEYYKFSGEQQMSADCTARCLYALECAWHPMFTPLVGNCQLKFSCEINKPLFSVLFSHMKNMDRRGCHRSALELCKLLLLLDPDDPMGVLFCIDYYSLRAEEYTWLEQFSEQYKSDNSLWLFPNFSYSLAVCRYYVENDEHAKEIKAEDLKASSVDLVKQALMLHPSVLKKLVTKVPLKDRAWTSIIKHNFFSSDQTGTPSLDHLINIYVERSYIIWRLPDLQKFLRDAALSVIETLQNDGTYARDWTCVRKEAFSSEKNEYSHLLVSDFSDSVPTLPPDNLQNFMVDPRGEVPNDAQPANHRVQDLTDRNAIAVLLESMLPWINYGSGHDQPEHDEQANEG >Solyc04g051427.1.1 pep chromosome:SL3.0:4:50490954:50492382:-1 gene:Solyc04g051427.1 transcript:Solyc04g051427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADQSRISMVDSTDNTPMYKPPTVAPNIQKLLNIQNNNLETWKFEQEVCRRALVEMIILDELPFSFVEKEGFNKFMSKVQPLFYIPSRRTITRDCYEVYGEMRINLKQSLREIQPRICLTTDTWTSVQRINYMCLTAHFIDRDWVLHKRILNFCPITSHKCEYLAESISNCLLDWKLDNVFTITLDNASSNDVAVLELSKKLDMWGANLMEGKHLHVRCMARILNVIVQDGLKEIGPSIKRVRQMVKYVRSSSSRTRNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDGNFNSFLATDVCEDGSIAGSIQYEDWANVRNITKFLEKFYELTLKFQVHDICELDAYLKLCIASDDLDLSKMASRMKEKFKKYWGTP >Solyc09g008450.3.1 pep chromosome:SL3.0:9:1901355:1908125:1 gene:Solyc09g008450.3 transcript:Solyc09g008450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQRGRNGMMGEEDDEGGLFEEELEFEEEPDSHIPLHLRDIFNAAESGDVDALRQALDNFNGNIDEPLEDGDTALHITCLYGHLACVELLLERGASVEAKDEDGAIPLHDACAGGYTEIARLLINNAPDPECVKRMLDTFDEEGDAPLHHAARGEHLDVIRLLIASGASACRNNLLGRTPSELVEPESEACIILDEAISAEIGRPYRST >Solyc08g061960.3.1 pep chromosome:SL3.0:8:50219739:50222767:1 gene:Solyc08g061960.3 transcript:Solyc08g061960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPVRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFKENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEEEEFVVPPPMIAAPAIEVLPVA >Solyc04g014600.3.1 pep chromosome:SL3.0:4:4873170:4875224:1 gene:Solyc04g014600.3 transcript:Solyc04g014600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKARIIGIGMDYSATSKIALKWAIDNLIEEGDTIIIIHVVSSKVEPTSKQLFEDTGSPLIPLDEFKQINVSKYYGLNPDKEVIDMLEPVAKLKKVTVVSKVYWGDAREKLCDAAESLKLDSLVIGGRGLGVLKRVLLGSVSNYVVQNATCPVTVVKTSPQK >Solyc06g036725.1.1.1 pep chromosome:SL3.0:6:27727837:27728193:1 gene:Solyc06g036725.1 transcript:Solyc06g036725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKQLEKASMQIGSFAGEQRGQSSGSYKGKNVVVVMFNHMALINHISIAALAPKPASIKLRESLFVGAKLNGKNVHIMVDTGATHNFMKELKAKELGLNYVANNTKLKTVNATPT >Solyc01g013920.1.1 pep chromosome:SL3.0:1:10366854:10367693:-1 gene:Solyc01g013920.1 transcript:Solyc01g013920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEFSFRILENLGKSFLKYGNSIHSFKTYENYHEGLLNIKKNKIISNVALFKLGCARKILRFIRNEPFYLKVPNSTRSGNVAALSFIKWIKMDIDFIDARILRHFIVNSKKLVNVWYLEITATLQVSRPFAHLKFLGDVMLYYVVTAYLYYKFLRLITYLWSNFLNNECYTQSAVKASLHEHILHASPDLHRQICFTVENFEKLDILSMSG >Solyc09g089950.1.1.1 pep chromosome:SL3.0:9:70036370:70036660:1 gene:Solyc09g089950.1 transcript:Solyc09g089950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAHLLLALFIGLLFLSTPTLSRKMHFKNIEENTISPSKKILFHHHRHPHPHPPAPTPKCHDPPTTPIIWTPVPAPPPTQGPTVDALARSFELS >Solyc03g097070.3.1 pep chromosome:SL3.0:3:60850146:60858475:-1 gene:Solyc03g097070.3 transcript:Solyc03g097070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNLLDKVSAFGERLKIGGTEVGQKITAGMSSMSFKMKELFQGPNQADKLVEEATAETLDEPDWAMNLELCDMINHERINSVELIRGVKKRLMLKSPRIQYLGLVLLETIAKNCEKAFSEIAAERVLDEMVRLIDDPQTVVNNRNKALVLIEAWGESSSELRYLPVYEETYKSLRSRGVRFPGRDHESLAPIFTPPRTVSASEPSVTLAQQIQHEIPRENFTAENTKEVFDVARNSIELLTTVLSSSPQQDALQDDLTVTLVQQCRQSLNIVQRIIETAGDNEALLFEALNVNDEVQKALSKYDDLKKPTVVLSEPEPAMIPVAVEPEESPRAGKEDALIRKSAGSRSAVQGGSNDDMMDDLDEMIFGKKAGGTSESGHDSKKQQSPKDDLISF >Solyc05g010392.1.1 pep chromosome:SL3.0:5:4547145:4550884:1 gene:Solyc05g010392.1 transcript:Solyc05g010392.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEQGLMWKVPEDLTSPTIGFIPILDSFLRHLYLKECEIQIPCFIKGFIKLIRLTLKSITLSSDTFKSLISNCPLLEDLVLKDIDNLYPMSINASKLRSFVFRGDIQLIHLENVPVLSNVLYTPRELVLQDEDDFVNIFSSIPALDCFSWDFFEVDNGSTEVIPTRLPSALNCLKCLFMSWITLGQFFELSFALCIIRSSPNLEEIEIKVVTNVNDIQHLVLKPPFRSKPYELPSAFFTCSQLRHLFLKDCLIRPHPVFELKNVPLLSKVSYEPTEFSVEVEHDVDKIFESIPALENLC >Solyc04g025820.1.1 pep chromosome:SL3.0:4:20803933:20806863:-1 gene:Solyc04g025820.1 transcript:Solyc04g025820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFLTFAVQKLGDFLTQQVSLLTNLRDEVTWLRHEPLFIQSFLKDMSSKEKKFYSVDEENQSLKKRIMDISHRRETYGLHFCTNIASSTSQSKASQKCHLHLWHGRIRQDTVAKNLYNRHDILNSFPTRAWICVSQEYNTMDLLRNTVKSIQGRTKETLDLLERMTKEDLEIYLCDLLKERKYLVVVDDVWKKEAWESLKRAFPDSKNGSRVIITTRKEDVAEREDDKALEIEVRRENRDIGRSVSKFHHNDGSKGVRTERRSDAYFRDVAKPKKSQLFRAYLGKEIMYSDNRFSQLEFLILSDLEKLERWHLGTNAMPLIKGLGIHDCPNLKEILRE >Solyc08g029348.1.1 pep chromosome:SL3.0:8:37204223:37204680:-1 gene:Solyc08g029348.1 transcript:Solyc08g029348.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQVTKRAMMSLSRVTKKVETPYNMKFYSSGKKCSWWIQDLEPLEFVYKMNLLGCRSSDPPGFRIDEIHGSSGRESLIKARATSRFNDLAGFKDLVAVEPLLLLPRRFRKN >Solyc03g117675.1.1 pep chromosome:SL3.0:3:68235406:68238896:-1 gene:Solyc03g117675.1 transcript:Solyc03g117675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLMRTTMEESPELQWQKGKNAAVKLEFEDALDEAYGPLSKRSKLSSSSLQQQLVVEADGFPVPPSQYNPLDEPSPLGLRLRKSPSLLDLIQMKLSQGNNAKVGNQGKKEQKGNTGTIEKLKASNFPATVLRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIMGLKANYPDDGPGTLDVVLSRQPLFFRETNPQPRKHTLWQATSDFTGGQASMHKRHYLQIPQGLLGKHFEKLIQCDPRLNFLSQQPEIILDSPHFETRISVFEDPNVCESEFNLNNERSPPFLNLHGAASPSGAHCSSTNGEQDFVVSRRLENIRPETPSPSSVMDTRAIQDVSRDMEQLKGLGNLDQIRVPGLHPSMSMKDLVSHFEQRFSEQGTSEVISLSSDELQSLEILEEISRCLFSDTQNMPASDEKSLMTRVNSLCCLLQKDPATAHKSENYGGVAVGGKRTDELISFFPGATCGKKVEDPSTSEDKSNDPAPSMSRKDSIGDLLLNLPRIASLPQFLFNIYEDSDRPAR >Solyc05g052570.3.1 pep chromosome:SL3.0:5:63630310:63632913:-1 gene:Solyc05g052570.3 transcript:Solyc05g052570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDLGKKVGIFGSVFLMFYGFDLVANELMCTGSRSKVCPSDLNMDKKDGHGRNCSKLLELSASDDLASFICEVEKGCAVDEFSFWYGRRFGSKKMGFEERTPLMIASMYGSIEILKYIVGTGKVDVNRACGSDGATALHCAAAGGSESSVEVLKILIDASADVNVCDSSGNRPCDVIASYPKSLRNSKRKSLELLLNGCLAELAELEEEEEGKTAIQMTKEGSEKKEYPVDTSLPDINDGIYGSDDFRMYCFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYNYTCVPCPEFKKGVCAKNDSCEYAHGVFESWLHPAQYRTRLCKDETGCSRKVCFFAHKPEELRPLYASTGSAIPSPKASPVGSMDMSTLSPLALGSSSMLLPGTSTPPMSPAVTCSSPMGGNMWQNKANITPPALQLPGSRLKTSLNARDLDLDMDMLGLESIRTQQQLRQQLIDEMAGLSSPSYWNKDNRMADLKPTNLDDVFGSMDSQLLSQFQGLSPRVTSTTSSQLYSPSVSHLQGLSPKVSSNGSQMQSPTGLQMRQNMNQFQSSYTNIPQSSSPMRKPSTYGFDSSAAVAQAVMNSRSAAFAKRSQSFIDRSGMGHRAVPNGVANSPPLMSSDWGSPDGKLEWGFNSDDTNKLKRSQSFGFRGGNGAPTRSTITPSPLNEPDVSWVHSLVKDVSSTGTGLYSSEQQKHGGGVRDSIPPWLEQMYIDQERIVA >Solyc05g024260.3.1 pep chromosome:SL3.0:5:30969405:30972056:1 gene:Solyc05g024260.3 transcript:Solyc05g024260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4BZR4] MALFDLHHPWLFVFGVLGNVISILVFLAPVPAFRRICKEKSTMGYQSVPYVVALFSSMLWMYYAFIKKNAILLVSINSFGCIVETIYITIFILYASKEARRQTVQLLVLLIGGLYTLIFLITLFPLNGVLRVQVVGWICVTVAVGVFAAPLSIVFQVVRTRTVEFMPFTLSFFLTLSAIMWFGYGLLLKDLCIALPNVLGFFLGMIQMLLYGIYRNAKPAIDLEKKVCEHAVNSEQIYPVDSEDVNFNDTEEINMAAIVPVVVANNNENEERLGDDVAQVKLQPFQTPVLVVCAA >Solyc04g008710.3.1 pep chromosome:SL3.0:4:2379097:2381150:-1 gene:Solyc04g008710.3 transcript:Solyc04g008710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLVPALSSTPPCTAAMLNCSGSVSSTSSSPSCIGLLTCSFSQPPHTVALMPFLRPTSLCYSRSRRSSQIVRMAPEEEKKTQRSPLDFPIEWERPKPGRRADIFPQFSPMKTPLPPPMPGHDLHRINDREEYSN >Solyc06g075300.2.1 pep chromosome:SL3.0:6:46889843:46891154:-1 gene:Solyc06g075300.2 transcript:Solyc06g075300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIGGGTHPASVLAGFDHTTLSTALNVSTAELKTFLTRQTSGPIVHLIGSHDTNIWTNFIAQECHKKLAHLKRIVTSAEEASPKEEESTWKPDFKNEYGWRKNVDESDYSPLQISGNGVYLVNLSSGSMMAPHVNPRAIEYGVVLKGTGRIQIVYPNGTLAMNARVREGDIFWVPRYFPFCQIASTNGNFEFFGFTTSARRNHQQFLVGKNSLMQRLRGLEFAAAFGLERKGLKGLLMLNMNKQFLNNGGFAIVSKCIRTALQN >Solyc03g044560.1.1.1 pep chromosome:SL3.0:3:10148974:10149291:-1 gene:Solyc03g044560.1 transcript:Solyc03g044560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIHHMYAFTFLLALIACHQVLFTEGRQLNTLKKYKFDSTQIPSQAVINSKQRYEEKANHFQFTRKKENSPASRPKVSFDNLKDHSPGIGHSFQNKNIVGTNVR >Solyc04g081040.1.1.1 pep chromosome:SL3.0:4:65183489:65183758:-1 gene:Solyc04g081040.1 transcript:Solyc04g081040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSPLSPSDSPASVISQLQEEEQLNNNYASIQEEQLNKFKDITYQFQTEQHYQDYGSLSPAPYFGRGDPAPIPHNTEHKAKCYKHSC >Solyc01g107280.3.1 pep chromosome:SL3.0:1:94772547:94782185:-1 gene:Solyc01g107280.3 transcript:Solyc01g107280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAGITKLSQRLQLIRSQKIYQIKRVMSTFSNIDDRAETRKNSKEEEIKKEEWWVPHPRTGIYFPIGQERVMNDIPNGAASLPQNYWLRNEDGVEKPDPDSPIAYKHYY >Solyc11g071535.1.1 pep chromosome:SL3.0:11:55264853:55267627:-1 gene:Solyc11g071535.1 transcript:Solyc11g071535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEDCERKSKKPKKQKKDEIFVCVRENVLWAYLPLLELGLFEPNLLTDSPKFHFPKQSLVFTVHISVAGCRHSPWSSPYSVAFLLLIDLSEESVDGY >Solyc03g123430.3.1 pep chromosome:SL3.0:3:71785173:71789439:-1 gene:Solyc03g123430.3 transcript:Solyc03g123430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLGFSLTPDFRIDDDDEEEVKNYERRNEAAENTPVSVMPLSTNRSLCMMDPFPRCEPTEDCWRYENHEMSTANNPEEEEGPKLEDFLGCCYSNSPSNEEQQISEINVNIPPSINQSDHEQHQLEIGANMKLIQSPQMMIQNSQLQSSHHEFAHSTSSVYHLPFDGATSVSGFKSWLRQASVAAPLMSSAEEIVNPSNCQALSLAAAPKKRPVAVKNGGGSKESVPRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQGGYDTEEKAARAYDLAALKYWGPNTHINSPLNTYEKELEEMKNMNRQEFVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFDISKYDVKRICSSSTLITGDLAKRSPSKDSVVCPSSYEDYSSCTTSPQPILAITNGEQLQQPIDSFMNMVPLMGAAGSSSRSSSNAPSPKCSGSGEMSCDFGVGLEYPQAYYSPVQVQDHKFEDGSKENDDNSSSSGQIGNMDLVHPVPMFALWNQ >Solyc01g009970.3.1 pep chromosome:SL3.0:1:4509210:4513148:-1 gene:Solyc01g009970.3 transcript:Solyc01g009970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVTVNSLKPLTCAPFFSSLNSFNCPCSLVSIPTIHSRLRPLLAQMAATGEVEPQVIDSHLHVWASPQEAAEKYPYFPGQEPSLTGHVEFLLECMEEAGVDGALIVQPINHKFDHSYVTSVLKKFPSKFVGCCLANPAEDGSGIKHLEDLVLKDGYRAVRFNPYLWPSGEKMTNKIGKALFSKAGELGVPVGFMCMKGLLLHLQEIEELCTEFPSTVVLLDHVAFCKPPKNDEERRGYSELLKLSRFPQVYVKFSALFRVSRNPYPYEDLPHVLPQLVSSYGAHRIMWGSDFPYVVAECGYKEAREAVSYLAKQGHLPSSATEWIMGKTIMQLFDGKWSSVAN >Solyc06g009533.1.1 pep chromosome:SL3.0:6:3531985:3537478:-1 gene:Solyc06g009533.1 transcript:Solyc06g009533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AASNCRYFSLAEIKQATKNFDESYVIGVGGFGKVYKGVIDGDTKVAIKRSNPSSQQGVNEFQTEIELLSMLRHRHLVSLIGFCEENNEMVLVYDHMAYGTLREHLYKGNKTVLSWKQRLEICIGAARGLHYLHTGSKYTIIHRDVKSTNILLNDKWVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPTRSKEQVSLADWALACQRKGNLEDIIDPHLKGKINPECLKKFAETAEKCLADNGVDRPSMGDVLWNLEHALQLEDNPDGVISISKATEDIESPTASKSASGRSRNGSTTISSDAASNCRYFSLAEIKQATKNFDESYVIGVGGFGKVYKGVIDGDTKVAIKRSNPSSEQGVNEFQTEIEMLSKLRHRHLVSLIGFCEENNEMVLVYDHMAHGTLREHLYKGNKTILSWKQRLEICIGSARGLHYLHTGAKYTIIHRDVKSTNILLNDKWVAKVSDFGLSKTGPNMNQGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSYGVVLFEVLCARPALNSNLPKEQVSLADWALACQRKGNLEDIIDPHLKGKINPECLKKFAETAEKCLADNGINRPSMGDVLWNLEYALQLQENPDGVLSTSEATKQVDHDNADQHSLIAMHRSTLSLESENENENADGKTDDVFSQIVSPTGR >Solyc05g012215.1.1 pep chromosome:SL3.0:5:5498544:5501145:1 gene:Solyc05g012215.1 transcript:Solyc05g012215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYKNYINRLLRLRLRETLLSPKAFLWFSGEGVEDFNLKVIRTIIRKATTVANTNALLKATVLQLE >Solyc01g067600.3.1 pep chromosome:SL3.0:1:76249887:76258668:1 gene:Solyc01g067600.3 transcript:Solyc01g067600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGSSRVQMMEEHDLEEGEACYYNKDDDDTSFDPDIALSYIDEKLQSVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPSILPQPRTPQISQNQGTSRSPSHFASEGAPQNPTSVSGLPSTRKNGTAASRGGHTLHDSRVPFGGDTTRQDSSAQESNKFPAKHEVSMIKSLNPTDQRTLKLRIRVGSDKTAQKSTALHTSLGLISPSSSMENSPTESGEMLAKVEESHSDSPANILQTMTSFPVAGNVLLSPLNEKFLTLSRNEKPFAENEHVSVAKDTNPQSVMSANSSTSRLEDGDVLIRKKSKSVGLSEYVEELNSEVRNDTMSLLKKNSGIESLKNRHCFSNDLNQRVVHDLVCDTHESVKGAGGAPEAIKASEKEVPLKKREINRLKDQLFGSDLDKDDSLESLSDLSGDKYDHQEVRSRSVELQLESFQRNASFDIKEGGMSKYSRSVPSFRANSNISESERDSSGAASLRKKAVKQAATHKLDQPRIPHTEKQSSEGKKKLTEHQPGLKPAADMVEVRGVSTTLKNKKSSMKDVRVAHVFDAQLKKPTNQLGRPPGDKLKKSKLEARKGQHSSSSKSRQVPCKKADSHVACATPMKDPSAMGIHDVKELTSGAEPPVAPVFIEEDWVACDKCERWRLLPYGTKPAQLPERWICSMLYWLPGMNRCDISEEETTRALHALYQMPLPDNLNSLQNHTGRSAVGVVSADMHGLGGSSQNAGFDYMANGGKKKHKNTSSNHGPMLTTNSNLPSELVKNRSFKNVNQPVAESNSISKSNAQIPVKSSDVLGKQLNKLTERMANGDENPKKKSKRESDQYDRRDLKKLKIKSDQAFVATRGVVTGTQDYHEHGNLKDTKPGLTERVQILEKKHGNRVQDSRDSDLIDIKTNIRREISIKKRKLRDQDYLMDSQSKGNLLGDSDVNAIVGKASGESGFRKQKKPKVFHSEKKEPSTSKGEEKSSRTRGAVTRIVLPGTRDFPIDRSVEREHQTMKYRVKVQSRLTMEDIDSLKKDLGSEQLPVAATSSSSKVSDSRKCRANHQVKGSPVGSVSSSPMRMLIMSNASPARMESSGKDDAKLDDIGSPRKYLDRDGDFESDKSRVLIKGKRPGIPHSEVCENFVLDFQGNDAREKIESSGIHSSDLGNSHMCNNNVDVPEKCSPYMPEKRAAYCSDGKGRLSKKHVSVLNEYKSAKDPPLQFKEKDWNTGFNTQRLEENISDQLGSKEVLNSKIDPNNLDSSTKSFKNNQKVSKKDPTHCSDGSRELRLKHDGVGSTTKLNSVYDLEGKVLTKEKLHQESDARFAMNGRSIQTESRDLRSQVGAHAEDKLGTSVIKSKPASGAQKGSFKNVGMVNSSVSARVSTILKDPGIGVCQNASHNSMGRLESDHCAVQEPSAPTPSKRESSSQTASIILAEAEKLRDTADRLKNSGFHADYNHGYFQAALLFLQGASHFESSNGESSKSREMNQIQIYSNAAKLCEACALEYEKHDETATAALAYKCMEVAYMRVVNCKSMSSSRIWHDLHANLQVPPQGESPSSSASDVDNTNNLTVAEKTVLSKNSGSHSGNHVIAPRNRPSLVRLLDFTKDVNSAMEASRKAQIAFAAATNVGEAENKDAFISVKRVIDFSFQDVEELIRLVRQAIEAINHNGFGGSRG >Solyc06g051210.3.1 pep chromosome:SL3.0:6:34477822:34485807:-1 gene:Solyc06g051210.3 transcript:Solyc06g051210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVATTTAAPTEMKRKKKKGRPSLSDLHERDNKLSISTPSRRSNRRNPNPNSNSPPEDFIDDDDERKEKKVKLVVRLPESNQQHFVQDSSSANSLSDSEGDNHDASVNAKRRKIDSVDPRSDDVVADQEEKLSKATDTSNGSPLVSGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPDEIPDYHEIIKHPMDFGTVRKKLDGRLYSNLEELEADVFLICSNAMQYNAPDTVYFRQARSIQDLAKRDFNNLRHEGEDGELQPKVVRRGRPPSKNLKKSEESSPPQSKNLKMSIEGSPIDCIAPEISSGATLASGEEKVGGSNSYNLRKGPMLYKFRSADISSTYRSRGETYSEWLVDWNEFPASILRADMKYGKKHFSVDENRRDTYQLFHQSASCSEPSLLWNADDLKRLMAVGVHIEQHAYARSLARFAADLGPVVWKVASKKLETVLPAEVKFGPGWVGEGGGSTLSSTFSSQNKSSDCLAADHHSSRTVIPSIRGVGSAVICRPTDGNVEAGKTLNSQNDDVAEASGDFSCAIPPSNSQAKQKPSANPRNGFNGMIGYDLSAQMEVKRLSMSKGEPNVQEAASRPGGQMVGTIPKRDVSSSHQSPSNRVSSLENSLRESWTTLHSGNLEQNLRLSGDSQPASVSAGQYRVSLPHPPDLNVKVQTSSSPSSSLRVGSPQQPDLALQL >Solyc01g111060.3.1 pep chromosome:SL3.0:1:97369096:97375462:1 gene:Solyc01g111060.3 transcript:Solyc01g111060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPHGDKSAEVKEEAAAAVSSVDNRPISTILFIVEALPLVKKFQLTEDLNSVFPKGVPWVRFYGNYKGLTVNIVCPGKDPALGVDGVGTVSASLVTYASVQALKPDLIINAGTAGGFGAKGASIGDVFISSEVAFHDRRIPIPVFDIYGVGSRKAFATPNLLKELNLKVGKLSTGDSLDMSPMDEASIIANDATIKDMEGAAVAYVADLLKVPAIFVKAVTDIVDGDKPTPEEFLENLVAVTAALDQTATQVVDYISGKCLVEL >Solyc11g007230.1.1 pep chromosome:SL3.0:11:1635802:1639998:1 gene:Solyc11g007230.1 transcript:Solyc11g007230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIQLIRGCTSSKSLFNGKSLHAQLLKLGSLKADIFTNNHLLTMYLKLNQFDDAQQLFDRMPERNIISWTTLISTYTQLGMYEKALGCFRSMNLEDGFGPNGYTYVAALSACSSLGAERTGKELHGRMLRTEERLNSFVSNCLVNFYGKCGLLISARIVFDGILEPNSVTWASLISCYFHCGEYGEGLNMFVLSLRGGVIVNEFFCGSVLGACAVVKSLQLGMQIHGLIVKLSLGMDQFVVTGLINFYAKCGRLELARQAFDEADGPELHAWTAIIGGCVQLGSGREAIELFCKLLSSGLKPSERTFSSVIGAFADVKEVRVGKQIHCRIVKMGFDSFSFVCNALLDFYSKSDLFEESLKLFQEMKEQDVVSWNTLIAGCVSSSRYEEALRFLREMLLEGFEPSLYTYSSILSICGDLPAIEWGKQTHCRVLKSRLDSNVVVDSALIDMYAKCGRLGYARRVFDILPAKNLVSWNTMVVGYAQHGFGKEALEIYGMMQSSGVKPNDITFLGVLSACGHVGLLDEGLHHFTSMTKVHGIIPRTDHLACVVSLFARKGKTKEAYHFIQSFSVEPDKVVWRCLLSGCKANRDFVLGKYAAEKILDIDPDDTSAYIVLSNIYAELQMWDETAKIRKLINAKALKKETGHSWIELQNKMYTFSACNIMSLQESYLQQVLTGLTAQLLDSDYNESIVVKPHYVRARNSTCGEKKDDKKTAAEFNKKEAKDQNANATRHGGAKGYTTTGEPEYSTTAYDPTNDTIGGGGGGEGPVLFDHPTIKTQDPSFPC >Solyc11g011330.2.1 pep chromosome:SL3.0:11:4375553:4377836:1 gene:Solyc11g011330.2 transcript:Solyc11g011330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNENVEAFGWAARDTSGVLSPFNFSRRVTGEKDVQFKVMYCGICHSDLHQIKNEWSNSIYPMVPGHEVVGVVTEVGSKVERFKTGDKVGVGCLVGSCRKCENCDNDLENYCPDQIMTYNGVYTDGTPTYGGYSDIMVTNEHYVVHWPENLRMEAAPLLCAGITTYSPLRHYGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSASKRDEAMDRLGADSFLVSRDPDQMQGAAGSLDGIIDTVSAIHPLLPLINLLKTHGKLVMVGAPEKPLELPVFPLLLGGKLVAGSAIGGMKETQEMVDFAGKHNITPDIEVVSMDYVNTALERLLKSDVKYRFVLDIGNTLKKN >Solyc01g112305.1.1 pep chromosome:SL3.0:1:98306770:98309542:1 gene:Solyc01g112305.1 transcript:Solyc01g112305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFLHSILSLLYHDIRANKSLLTTIKGIGSNQVDLISMLVSETPFSIEGLQRRPYNQVAGFTAEAEEFDE >Solyc04g056320.2.1 pep chromosome:SL3.0:4:54006663:54013002:1 gene:Solyc04g056320.2 transcript:Solyc04g056320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLKPHLHFHRKTETPIIIFHFMSFTGDLRHLPPNTIGDDPMVPLLNLSAVQTRMDSLQKFLSDSVNSNTLLGQHQMNMVSDEITSAIHQIIVNGAALLSSAQLTNPPPPPPPPPRPSSSAELKINLKSNHKRSFPEFDRRDFESKVEVLDEEEEDGGDWEIIELDAVELLAEHIHFCDFCGKGFKRDANLRMHMRAHGNQYKTPEALAKPEKCIDSSNSNKRRRFSCPFIGCTRNKSHNKFRPLKSAICVKNHFKRSHCPKMYSCTRCNKKSFSVLADLKSHLKHCGETKWKCSCGTSFSRKDKLFGHMALFEGHMPAVETAPAIENEKDVGVDINGGSGNGLMDIRTGSDNGFLDRLMLDGFESIDSYCFQDLFESSSPNGLNTVPNTTGWFV >Solyc10g049820.2.1 pep chromosome:SL3.0:10:46773471:46807596:1 gene:Solyc10g049820.2 transcript:Solyc10g049820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEFGLPYIVKLQGSVRSYMMEFGLPYLVKLQHIPNEFVIEHGPNLGDSVLLEVPNGVAWKVKLLNSSGMFSLFIFDLNASEIEYPPGQNEDMTPQNRSVLCVPPEESGINLPLLGEPPNENRINLTPPDDPPEEDVIYLSPPGIYTIMAKELHNVFYDLSYVPWNVFPWGTIPRSGGGGRVGKAPQGGGLGARRGEASGTLPRPG >Solyc02g084037.1.1 pep chromosome:SL3.0:2:47813108:47815863:-1 gene:Solyc02g084037.1 transcript:Solyc02g084037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWRFSSINVRSNLAHDEVKNCCLAQRFGRVYEILGNVPFLGLSSLSHRQTVRSVALSECLRLFGASPSFHQLYVAIGIGTCYGMVDGNGPPERKPFVSQMFTKNKIISQLLWENHENHAVNKDIIKLSPNMVETSVREGRVLNPAIRNMNVKENRLLIGAPSLSLLRILSCIFGLEVTLDIPVNYEIRKKRCRPRVEKRN >Solyc01g067590.3.1 pep chromosome:SL3.0:1:76195578:76214325:-1 gene:Solyc01g067590.3 transcript:Solyc01g067590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAMDYARDGGTALIPTRFVWPYGGRSVYLSGTFTGWSQWPMAPVEGCPTVFQTVCSVPPGYHQYKFIVDGEWRHDENQPFVSGGNLGTVNTVLLARESDYLPAVLSAQIPPSSNMDVDNQAFQRLVRVSDVALPDDTPRISQTDLDISRHRISAVLSTHSAYELLPGSSKVIALDVDLPVKQAFHILHEQGIPMAPLWDFSRAQFVGVLSALDFILIMRELGNHGSNLTEEELETHTISAWKEAKSYLSRQANELGPDNSLKDVALEILQNGVATVPIIHSPAQDGSYPQLLYVASLSDILKHICRYFKHSPESLPILQLPIGAIPLGTWVPKIGEPNRQPLAMLRPTASLNAALNLLVQAQVSAIPIVDDNDSLLDIYSRSDITALAKDKIYTHINLEEMSIHQALQLAEEPYATYGLSSQKCHMCLQSDSLHEVMERLSRPGVRRLVVVEAGSKRVEDWRFPFPCEKNEDQFRRRKFRFPAMEQLSEEEKKALRGSKFAPLPSAPSSSRPQPRLAHPGGPMKTNKAAALAKFLDRKLQDPSGLSSLDPRLIELAVNNAKHTLQASGTSSRGRIVQHVDSFGDSEESAEDEEVKISVQKKGKKNRKRKKMKEKQRKKLEESSNGMAKKPKKKLKL >Solyc10g006330.3.1.1 pep chromosome:SL3.0:10:962094:964742:1 gene:Solyc10g006330.3 transcript:Solyc10g006330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYITNRRNSNTQLLQELEALSETLYQPPSHPPTTRRTTSLVLPRDSIPPIESLTSGAKNDNDTDSIVVNPKPRSRRMSLSPWRSRPKLDIQSEDNIQQQTNTSTSNAKLVKKLDGKGADLNSEKKGLWNWKPIRALAHIGKQKLSCLFSVEVVTVQGLPTSMNGLRLSVCVRKKETKDGAVQTMPSRVTQGAADFEETLFIRCNVYYTPGTGTSNGGARYKFEPRPFSIFVFAVDAEELDFGKNIVDLSEMIEESVQKSFEGSRIRQWDTSYTLSGKAKGGEVVLKLGFQIMEKDGGVGIYSQGEGGTKNAKSYSSTFARKQSKTSFSVQSPRMTSLSSANWTPSQGGTTANIQGIDELNLDDEPVKEEPESKVEDLDLPDFDIVDKGIEIQDKGVEMEDKDELATKEVGEEEEDGDERSEGNSDKRSVSSSHEVVKEVVHDQMHLTRLSALDSIAQQIKALESMFRDENQVKMEEDDSESQRLDADEETVTREFLQLLEDPGVSQQKTDNQETPALKLQGGGGNEDNEKRESGIFIPDLAKGLGCVVQTRNGGFLAAMNPLNTVVLRKDTPKLAMQISKPFVLPSVPSSMIGFELFQRMAAVGLEEFTSKILSMMPMEELVGKTAEQIAFEGIASAIIQGRNKEGGASSSAAETVAVVKSMATAMNTSRNERISTGIWNISDKPSTVDEILAFTLQKMEAMTVEALKIQADIPEEEAPFDVSAIKKDDDGHPLDSAVPLEDWTKDDKSDSIMISVVVQLRDPLRQFEAVGGPMIALVQAVPIDEETNNFDDEEKKFKVACLAIGGLKVRSGGKKNAWDTEKQKLTAMQWLIAYGLGKMAKKAKKTSPLKGQDLLWSISSRVMADMWLKSIRNPDIKFIM >Solyc04g025377.1.1 pep chromosome:SL3.0:4:24243336:24252525:1 gene:Solyc04g025377.1 transcript:Solyc04g025377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPMSYDILPIWVHHSLGVPGRFLEWAHVLEFFFDSTDPFDGLNTPPD >Solyc07g043400.1.1.1 pep chromosome:SL3.0:7:57236862:57237065:-1 gene:Solyc07g043400.1 transcript:Solyc07g043400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSNNEAYEVQHGKCYQWQSKYPYSLEIKFALLVFLELFLVNCLVRQIQFLSLCSDYLVIYSFQSS >Solyc10g039250.2.1.1 pep chromosome:SL3.0:10:20944902:20951204:-1 gene:Solyc10g039250.2 transcript:Solyc10g039250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVIVMGVVAQVGAVEAEVVDVEAEYVVVVDVETEVLDVEAVNAVVVDVEVKV >Solyc01g067255.1.1 pep chromosome:SL3.0:1:75457565:75461505:-1 gene:Solyc01g067255.1 transcript:Solyc01g067255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGPVSTPTSSSSKLSNTGGCPFHDQTLYRSTVGALQYLMFTRPNIASVNLMVYFFHGSSTLLHGYSDSDWVGDIDDRNSTTGFTIFLGSHLISWASRKQRAVSRSSTEAKYRALAAATSEMTWIEHLIREIGCYTTSFPILWYDNLSATYLTSNPIFHFRTKHMDTNFHFVHDKGSVLGIVLGYISCLFIMFFMCEMGVQCDVHFDEA >Solyc01g098455.1.1 pep chromosome:SL3.0:1:88850519:88852376:1 gene:Solyc01g098455.1 transcript:Solyc01g098455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQSDHYVLDWPWKMSHQLHPSPILTTPASSNVCRRFVFSCSQFGTSVATTSGLWITTECGRLQVCPGEIVILPQAYWFAIDLPDGPSRGYVAETFGTHLQLPDLGPIGAFECAKLLAI >Solyc03g121850.3.1 pep chromosome:SL3.0:3:71369806:71385809:1 gene:Solyc03g121850.3 transcript:Solyc03g121850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMEVTEKMCATEEVVDALLECMVEPLLGRSSCKSKEVPTLYQQKSMAKQVGAVVFLYNYYYRKQHQDQKIEFLNFASFCQLAVVLKPTLMTYMKLMHRSVYTDVDNLESQLSLTEKEIMRACDISSTLDDAEVVPLSQKWPTSKVAVFLIDSRRENCLLMHSSMTCAVWSIIEKHLDVSSSYLFDSKCISKKKKANMFSTSLQYADGSSLQDLALSAATEATGINRSDLVVLESHLVYSLDKEKASTRLYLVQSTKLVNEGFTIPIRDIIESLQGPLIKKKSCGWSVAPAVEYFHLLSYREILSNWHSREQLSSGLQDLNVEVVAAHAYDVHVGGSSCKKEVNQENVAPPMIKLCITDFNDEKIVEAKRRTMQGVSAVGLSQLGSQQSVDGVTTLASKEYAISQSALTVLRWKREKLHYQLRILEDEIALCNKTIQAELNGGENEALKDVCNDMCKEDEDSANQLVEDSCTIQYSKGKRLSEAILTLQNQCQQLDQFCCRNNWVLPTYQVFPLEGGFLAKVIVRAADSKVVSRSKICESPREARESAAAHVISSFQK >Solyc03g116870.3.1 pep chromosome:SL3.0:3:67610843:67613520:-1 gene:Solyc03g116870.3 transcript:Solyc03g116870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTTLLSNSISPFFANPPNFPVTHVTNVPFLNHNPSFPARKSGNFCLNAKKKNPWLDPFDYGDDPEMEYGSLFSEGKQDEDPRPPDNPDNPYGFLKFPMGYSVEIASLGLKIRGDVRRCCCVIDGGVYENLLFFPVIQMIKDRYPGVQVDILATARGKQTFEMNKNVRWANAYDLDDDFPEPAEYTDMLGILKSRYYDMILSTKLAGIGHAVFLFMSTARDRVSYIYPNVNSAGAGLFLSETFTPESMNLSEGGYHMYRQMVDWLGRPARKVPRQPLPPLKVSISRKVREVVEAKYKNVGAQKGKYIVIHGIKSDSKASMQSRGDIDSLLPIEIWAEIAEEIRGVKPVFVIPHEKERENVEDAVGYDASIVFITTPGQLAALVDDSAGVIATNTAAIQLAHARGKPSIGLFCSEDKARSFVPNAEAKKCATISSNTGKLVDIDVEAVKSAVQIFTMPLAIF >Solyc08g006258.1.1 pep chromosome:SL3.0:8:938501:938882:1 gene:Solyc08g006258.1 transcript:Solyc08g006258.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMHRQGLDGRPRKNLAFLTLESGSPKKWCAIAHENCRNEAYARFGARMALQIGRTSRDGQPRPRKNLAFLTSESGSPKKWCAIANENRRNEAYARFGARLTLQMGRTSRDGKPYA >Solyc06g018100.3.1 pep chromosome:SL3.0:6:17094866:17098247:-1 gene:Solyc06g018100.3 transcript:Solyc06g018100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKIVGILIFVVVVVSAAGGGGENHHHQQQKWWKWMSSTSAAMVNPVVSSSIVLPLYGNVYPLGYYYVQLNIGQPSRPFFLDPDTGSDLTWLQCDAPCVRCTTAPHPFYKPNNDLVPCKDPLCASLHPAGYKCESPEQCDYQVDYADGGSSLGVLLNDVFHFNMTSGARMIPRLSLGCGYDQLPGQSYHPLDGVLGLGRGKTSIVSQLHSKGAVQNVVGHCLSGRGGGFLFFGDEVYDSSRIVWTPMAHDRMKHYSAGSGELIFGGKGTGLKNLFVVFDSGSSFSYLNAHTYEGFISLLKKELNGKPLRETKDDYTLPLCWKGRRPFKTINDAKKYFKQFALSFGNGWKSKAHFEIPPESYLIISSKGSVCLGVLNGTEAGLQNVNLIGDISMQDKMVIYDNEKQAIGWMSANCDRPPKSSNMIM >Solyc08g007510.3.1 pep chromosome:SL3.0:8:2061119:2088046:1 gene:Solyc08g007510.3 transcript:Solyc08g007510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMLIWLLFFFIVVAILVMVIFQLISLTDLEFDYINPYETASRINKVVLPEFITQGVLCFLYLVTGHWAMSLYCVPYLYYNVNMYMQRRHLIDVTEIFNMLSWEKKQRIFKLAHIIILLVISLFWVIYSALEDDELDL >Solyc08g013900.3.1 pep chromosome:SL3.0:8:3356374:3363888:-1 gene:Solyc08g013900.3 transcript:Solyc08g013900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGIGFWASPRCSYIDDNVMEIMNLDTCAGIEQIFASYPTFSPINPMSIDYAPFEEQNTETFPCEGVNLMFQQNDDQFCFVESSEEADLVVETGMGKNSSKQNYVTHIVEKCVIPKSPCQSLAERMLKALELFKKSSGEGILAQVWVPMKSGDQYILSTYEQPFLLDQVLSGYREVSRKFTFDLEMKPGSCPGLPGRVFTSRIPEWTSNVMYYKEAEYLRVQYAVDHEVRGSIALPILEDEEYDTLCCAVLELVTVKERPNFDLETSHVCQALQAVNLRSTTPTQFSSQSLSKNQRAALAEVKDVLRAVCHAHRLPLALTWIPRSRGGGGDEIRAHARESIASLDEKSVLCVENTACYVSDKEMQGFLHACMGHDLEEGQGIVGKSLQSNHPFFYPDVKEYHINEYPLVHHARKFGLNAAVAIRLRSILTGDDDYVLEFFLPVDMEGSTEQQLLLNNLSRTMQRICRSLRTLSDAELIGEGGEKCGLQSESVLSPPPIDLSRKSSQQSLLNSTLDLSKAPIDVCDSERAGIEANISHKQTPSVSRKRTEKKRSAAEKHVSLSVLQQYFSGSLKNAAQSIGVCPTTLKRICRQYGISRWPSRKISKVNRSLVKIRTVLQSVQGIEGGLKFDTVTGGIVAATSILQDFDSQKSMLSPCKDVSIKSSEFLFQDAVSALQTSCIDNHDSLVKMEEDLNVDGNQLPESSHFGPSSFRVGDKPNSLLSGVCHGSKLAALDRRSSLPANPDTMPRTSSGNVSLDSFHTKGGWRSCGLNTSNLKLDNSGCHFISLCPDSMAKTDDIEEKMKGSIEVDGDDGVMEHHKVSSSGVTDLSNTSRSTMNGSSSSSHSSGERKHTTAEDGGSQITVKASYVKDKIRFKFEPSAGCFQLYEEVAKRFKLQIGTFQLHYLDDEEEWVMLVNDADLNECLEILDILGTRNVKFLVQDVSGTVGSSGSSNCFLTNGS >Solyc06g075550.3.1 pep chromosome:SL3.0:6:47054374:47057700:1 gene:Solyc06g075550.3 transcript:Solyc06g075550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCSGDGDTSVKKPEKKIFQTISNHKYKRVEQPQPKQVVTPVASQFKVVKNEDAKVANRSMSKKDGGYSNISPTDGKTGGAKAQRFKFDQLIAATEDFKEDYFLGEGGFGKVYKGHLEDTGEIVAIKQLDPNGCQGVREFVVEVQTLSKADHANLVKLIGCCAEGDQRLLVYEYMSLGSLEDHLFDPWPNQKPLDWNVRMKIAAGAARGLEYLHDKMNPPIIYRDLKCSNILLGEGFHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLEIITGRRAIDYTKSATEQNLVSWARPLFKDRKKFYKMADPALDGHYPIRSLYQALAIAAMCVQEQPNMRPPIVDIVTALSYLASLKYDPEIEPPIRKSYKSQSPQKSIKDDDETW >Solyc01g099560.3.1 pep chromosome:SL3.0:1:89640798:89642708:-1 gene:Solyc01g099560.3 transcript:Solyc01g099560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATQTIDIGEECIKTNYYGAKWMIQELLPLLQLSDSPRIVNVSSSTGKLQHVRNEWAIGVLNDCDNLTEDNVDEVLNVFLKDFKEDLLESKNWPLTISAYTLSKAALNGYTRILAKKYPNFLINCVCPGYVKTDLCYNCGILTVEEGAQSPVWLALLPQGGPSGNFYNRKKLSPF >Solyc03g013225.1.1 pep chromosome:SL3.0:3:48240712:48241686:-1 gene:Solyc03g013225.1 transcript:Solyc03g013225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVKDEVRFELLLDMSTCELVKIFLSRPHKIFHPILKRNHMAFIKMLLNEKYEALASEKLEVVRTHMRNLVIFNHIELLPTCKHVRDGICATHSSMFSPFSSCFLVILVLFLNIILIERVYNWTH >Solyc02g076695.1.1 pep chromosome:SL3.0:2:42405260:42406225:-1 gene:Solyc02g076695.1 transcript:Solyc02g076695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKITPMSGKSFASATERIQSWNGSLQVVTRRVKQKLAHKKRKVPLFVWTSMGASLIFNYIDVAEEERALLSHLTVKATLKLFRFSFSELRDGFNSNYDFVEVDTV >Solyc09g014450.3.1 pep chromosome:SL3.0:9:6067696:6071250:1 gene:Solyc09g014450.3 transcript:Solyc09g014450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHISGTSAAMTPPLDRSGDFEVATEESGDYVIGLESGTSEAQFIGENGPILPPPERMVAEEGFALREWRRYFFGSSQLRGVIFVPFSRLNAIRLEEKEKREKEIVREIIEEAEEYKAEYYRKWKLRCENNVAANREKEKLFLANQEKFHTEANKAYWRAIGELIPKEVPTIEKKGKKDKEKQPFINVIQGPKPGRPTELSRMRQILVKLKHNPPEHMKPSAKPSLEPRKDAKTGTETSVTASAKPQATTAAP >Solyc08g066730.3.1 pep chromosome:SL3.0:8:55613539:55621697:1 gene:Solyc08g066730.3 transcript:Solyc08g066730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHADGTDEIAAVEELRQQHETRIQTLHNAQLELIASLQNIVPDIVSSLDLSLKTISAFNGKPFTPLPSPLPNAPNHNPNLLVPKINSCSGKRVSELSRSGSEKEKMVIDECGGPLSVVRAMVAVCLLERVPFTAIDSSTLLRKLENDQSHTAAEKAAIRELGGESGAIVAVEMALKSMAEDNGCVELENFVVSGKSRIMVLNIDRTRLLKELPESKQTEGSVGGGNRNNQEIMKRGIDNGGAFGMGRGMSEMWEHPHMQGMTAMFPGNMGGPRGGHRGMVGMMGMPRGVGVPPPMHRPPMGPNGQIGGGGNSIALKPRSEEDELKDLEKMLNKKSFKEMQKSKTGEELLDLIHRPTAKESAVAAKFKSKGGSQVKEYCSALTKEDCRRQAGSYIACDKVHFRRIIAVHTDVNLGDCSFLDTCRHMKTCKYVHYELDSTPDVSPLMMGASTLAPPKPLKPQRAHYCSEVELGEPQWINCDIRSFRMDILGQFGVIMADPPWDIHMELPYGTMADDEMRTLNVPALQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNVHGGWMSLGNQLQGVRLVDEGLRARFKAAYPDVEVQPASPPRPSAMEVDSSSNQIRNTFAGGELKAAGTQVTEATPPDAAAYATEGKPVNRDVEMTS >Solyc03g121900.1.1.1 pep chromosome:SL3.0:3:71407863:71408183:-1 gene:Solyc03g121900.1 transcript:Solyc03g121900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative lipid-transfer protein DIR1 [Source:UniProtKB/TrEMBL;Acc:K4BMY8] MEHYNFAQKIMTLALFAIVLSSVNIEVVRAQGICNVSGEGLMSCRPSITPPYPTAPTAQCCNALSRADMACLCSYKNSQLLPSLGIDPNLAIQLPQKCRLPNPPRC >Solyc09g066150.1.1.1 pep chromosome:SL3.0:9:64809477:64810985:1 gene:Solyc09g066150.1 transcript:Solyc09g066150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIDLEFSTLLICLVPFLFIFFINLFHSKSTKIPKSYPIIGSYFSILANKHRRIQWSSDIIQTTTNLTFTLIRPFGNRQIFTANPSNVQYMLKTHFHIYQKGHMFKSTMADFLGDGIFNVDGEIWKYQRQVASHEFNTKSLRKFIETVVDAELNERLIPLLAVAASEKKVVDLQDILQRFAFDNICKIAFGYDPAYLSPSLPQEKFAVAFEEAVKLSSERFNAIFPFLWKIKRHFDFGSEKRFRIAVDEVRQFAKQLVREKQSELNQKSSLDSVDLLSRFLSVGHRDDDFVTDVVISFILAGRDTTSAALTWFFWLISEHPVVESSILNEIKLKSHTPVYEEVKEMIYTHASLCESMRFYPPVPIDSKTATEDNVLPDGTFVKKGTRVSYHPYAMGRAEELWGSDWNKFKPERWLDKDEVTGNWNFVTKDMYTYPVFQAGPRICLGKEMAFLQMKRVVAGVLRRFKVVPVTEKGVEPVFISYLTAKMKGGFHVTIEERDHE >Solyc08g078460.3.1 pep chromosome:SL3.0:8:62382435:62385243:1 gene:Solyc08g078460.3 transcript:Solyc08g078460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIVKYGIIGVGMMGREHLINLYHLKSEGVSVVAIADPHLPSQKYAQKLADSFDWPLQVYAGHKQLLESGLCDVVVVSTPNMTHYEILMDIINHPKPHHVLVEKPLCTNVSDCKKVITAAKTRSDMLVQVGLEYRYMPPVAKLIDIVKEGALGQVKMVSIREHRFPFLVKVDNWNRFNCNTGGTLVEKCCHFFDLMRLFIGANPVRVMASGAMDLNHKEEVYDGRVPDIIDNAYVIVEFDNGCRGLLDLCMFAEGSKNEQEISVVGDTGKGEALVPESIVRWGTRAEGRNGVQTLLAEDKRIKYDGLHHGSSYLEHLQFLSAVRARGEQAPAVGLHDGLVSVAIGVAAQLSIQKGRSVTIEEVMHEQLLQSTKS >Solyc04g074880.3.1 pep chromosome:SL3.0:4:60892217:60898550:1 gene:Solyc04g074880.3 transcript:Solyc04g074880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIFLIFNIIMLSIGNCGGPLISRLYFIHGGQRIWIPSVLQTVGCPVILIPLAIAYFQRRKIQGPEAKIVFITRQEFIASAGVGIIVGLDGYLNSWGPAKLPVSTSTLINATQLAFTALFAVLIVKQKLTAYSTNSVVLLIAGAATLALRANGDRPAGESAKDYMLGFVMTFVGAVLYGLMLPLIELIYMKSKQAVTYITVLEIQMVLGISATVFCTIGMIINKDFQAIPREASQYTIGESKYYMVLVLCAIIWQFALMGLVGVIFYSSSLLSGIIGAFLLPVTEVLAVILKWRKFILVLNCITLGIGYCGGPLISRLYFLHGGQRIWLSSWLACIGWPIVLIVLVIAYFYRLKTQGSDTNFFCITRQEFTSSAALGILVGLAGYLYAWGPAKLPVSTSTVIYAAQLGFTVVFAFLIVKQKLTSYSVNAVVLLIVGAGTLALRAESDRPEGESTKQYVLGFVMTLLAAASTGLALPLLELIYIKAKKDVTYIMILEIQMILNIFASAFCTVGMIVNKDFQVISREAREFELGKAKYYLVLIWSAIIWQLSTLGIVGVVQYGSSLLCGILIALLLPLTELLGVVLYHETFEVTKGLAIFLSLWGFVSYFYGEMKQNHKKKREEEENPIPQTEMDQTDLSVI >Solyc02g068845.1.1 pep chromosome:SL3.0:2:39315984:39317035:-1 gene:Solyc02g068845.1 transcript:Solyc02g068845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSLYNFKVNFIYTDATVYLHNGCSNPVVHCDLKPSNVLLDQDAASVVYYKQHQMQELVWKILFQHFKISGSIVATRYHPLDYLDQAIKRG >Solyc06g051450.2.1.1 pep chromosome:SL3.0:6:34946072:34946828:1 gene:Solyc06g051450.2 transcript:Solyc06g051450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQGGWRQVRLGEIWLEVSVAAPSTRGTDRATGCTHVEVFTLNDQMAHTSILYREKVHIIMRKLY >Solyc01g010400.1.1 pep chromosome:SL3.0:1:5245627:5246952:-1 gene:Solyc01g010400.1 transcript:Solyc01g010400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTTYKCSPPVTDNTKAILTLNSFENGGNGGGPSECDNQYHSDDTPVVALSIGWYNGGDRCLNYITISANGRSVKAKVVDECDSTMGCDDEHDYQPPCPNNIVDASKAVWEALGIPKGDWAKVYRAVRRRRRSAMETTENYRCRRRRSALSTMREV >Solyc01g067690.3.1 pep chromosome:SL3.0:1:76390887:76392645:-1 gene:Solyc01g067690.3 transcript:Solyc01g067690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAISTASYPSLLDRYSISNSSQFPSSLALEDFEARDHSFPLVEQPSLLQMVESGGCPPPMNITSQFPVNNILPQTSIVNSGSNYNSNCYSFAGQSGKQLQPMQQTEGNSAGVQNY >Solyc10g007690.3.1 pep chromosome:SL3.0:10:1940930:1942822:1 gene:Solyc10g007690.3 transcript:Solyc10g007690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4CXU8] MATQALISSSSISTSAEAARQIIGSRISQSVTRKASFVVRAASTPPVKQGANRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGAAGAIAPEILGKAGLIPQETALAWFQTGVIPPAGTYNYWADNYTLFVLEMALMGFAEHRRFQDWAKPGSMGKQYFLGLEKGLGGSGDPAYPGGPLFNPLGFGKDEKSMKELKLKEIKNGRLAMLAVLGYFIQALVTGVGPYQNLLDHLADPVNNNVLTSLKFH >Solyc07g005320.3.1 pep chromosome:SL3.0:7:246866:259901:-1 gene:Solyc07g005320.3 transcript:Solyc07g005320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDEFGDLYTDVLRPLTASFQSQQQPVAQEEAAPKAAGATSRPIDLNINSDDEEILYGAPNSNSKPNFAGPSAITGQEKTLASPLDVKSGSRLPESNLYLKLGAGRMEGLGGINESDSTARVLVESEDVKLAKTEFQDLNFMDEANIDIVVEETDDKDDILVGNHQNVGEDHENLKDGTGNAGNFVIEASGAEQLIPGLAIPGVSGGAGNTGEGTFEDDWDSDSEDDLQIVLNDNTHGPMGMERMGIGEEDDEDEDPLVIVADNDGPSHPPMMEEQDWGEEGGPAANGERKEILDALKVNGAPGVAGKVGYPNHAYNHPYHSQYKYVRPGAAPMPGVPPSGPGGIPGQVRPPVNVGPVAGRGRGDWRPPGMKGGYGMSGWGGGASGRGLGIGLEFTLPSHKTIFEVDIDGFEEKPWRLPGIDITDFFNFGLNEDGWKDYCKQLEQLRLESTMQGRIRVYETVRTEQEYDPEMPPELAAAAGMKDIPSENLNGKIDGTTNDLGRGSMRMRPPLPTGRPIQVETGSGDRLPSIDNRPPRQRDSDAIIEIVCQDDDQYTGNDKNEGQLDNIPSTEDFRGDARRGPLQEHVQESDGFQNPYKSHRREANARRTQFINPIGDHLTKGDGVVPFSPEAPDQFVSDSGGQTSAYDNKNCVSKQEERGKTVSAHDRSPDITSSNSRDRLQVDSQKEESFESVDRTHTPVPSSPTADRPAQEQDMEDRDDIPDQVVGADTNSEVDGEEMTLDARTDSEAMNDEFLHSAKKQKLSSRHEQSSPQETDDGEDSKAGRSSENSKVQSGSSRGYRKLRDDMDEEVVQGRRSLRVDNAKKTVARDEDRVRKKARYEKEAEKHSGVVKGREDSYPRKGADSSSAHYVDRRREREYSEGLWQRRDDDLQGRRAKMEEPRKRELIDEIGIRHRSKAREFEGSDREERHLYRKQLENVTLRPDYDKDMGARHRERDELKRYDTLDDRHNKRRKEEVKLSREHIDKEETFHSHGETMVRRKRERDDASDHRKRDELMRLREDEQLYIRHKEDGVFQRERNDRQREREEWYRLKQSHEETLPKREREEIRGGMRAGRVPEEKAWAAQSRGKDEYRNSDQHSKDVRHADHIRRRDRVENESPSRLRTREDERRARHDRVNSREDRAPIASDNSRVNEKRHKDYLKKGKEFESDHNSQMALNMNEDELNGQKNELVSSKGKFVQGTNDNKIHRNRQSSKKHQEAASSDDEQEDSRRGRSKLERWTSHKERDFGINAKSSSLNMKDSNVHKGTGTSLANKNQDEALKMVEENQQPAANYKNGGAPEINSLETKPVEDKHLETVEKLKKRSERFKLPMPSEKEAPVSKKVEGDPLSSVQSETPPDSEVKPERPARRRRWTSS >Solyc10g055160.2.1 pep chromosome:SL3.0:10:56376403:56377569:-1 gene:Solyc10g055160.2 transcript:Solyc10g055160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCWQPNAGTTINSQILIEVSNCVESINGVKEGVWKNTFCFYKPMLKEQANASQFPQHFLGASLQEQPDKFFMALSRQRLIVEAESSMQTIMEKLQSYRIKLALNCEGFQYRLGYFRVRVGKVVPINSENLRGIVMEMEYLPISKWKTSHLIMSEFLEILKETLGKKSLPGHFVHDEPNF >Solyc03g122140.3.1 pep chromosome:SL3.0:3:71564444:71570475:-1 gene:Solyc03g122140.3 transcript:Solyc03g122140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPVNVNEFEELARQVLPKISYDFFSGGAEDQHTLKQNIEAFRRITIRPRILVDVSKIDMSTIILGHKTSAPIIVAPTSSHQLAHPEGEVATARGAAACNVIMGVAFTSTCTMEEVASSCKAVRFIQTFAFKREITANMVQRAERNGFRAIILTADTPRLGRKEADIKNKMIAPPFKNFEGLISTVAVSDKGSNVEAYAAETLDPSFCWKDIAWLKSITKLPILIKGVLTGEDATKAIEAGVAGIIVSNHGARQLDYTPATISALEEVVHAVQVKVPVLFDGGIRRGTDIFKALALGAKAVLIGRPVIYGLAAKGETGVKQVIEMLKNELEQTMALAGCRTVDDITRSHVNTETERMECRM >Solyc11g013440.2.1 pep chromosome:SL3.0:11:6453893:6462770:1 gene:Solyc11g013440.2 transcript:Solyc11g013440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit F [Source:UniProtKB/TrEMBL;Acc:K4D6E8] MASSDHTILQFSPSSTGISAKVHPLVIFNICDCFVRRPDQADRIIGTLLGSVLPDGTVDIRNSYAVPHSESQDQVALDIDYHHNMLSSHQKVNPKEVIVGWFSTGFGVTGGSALIHEFFSRETTNPIHLTIDTGFTNGEASVKGFVSVHLSIGDQQLAAQFQEIPLDLRMVEAERIGFDILKTTAVEKLPNDLEGMEASMQRLLALIDDIYKYVDDVVEGRVPQDNKIGRFISDTVASLPKLSSQDFDKLVNDGLEDQLLLLYLASLTRTQLSFAEKLNTAAQIL >Solyc03g116530.3.1 pep chromosome:SL3.0:3:67397153:67398567:-1 gene:Solyc03g116530.3 transcript:Solyc03g116530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNSGNPLALTSLNHISLVCRSVEKSIEFYKNVLGFVPVRRPGSFNFNGAWLFSYGIGIHLLQSEDPEKMPKKTEINPKDNHISFQCESIDAVEKKLTEMGIKYARQLVEEGGIYVDQLFFHDPDGFMVEICNCDNLPVIPLAGEIARSCSRANIQLLQPQQTQKQSAVVQVPVIKP >Solyc07g056660.3.1 pep chromosome:SL3.0:7:64588675:64599388:1 gene:Solyc07g056660.3 transcript:Solyc07g056660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSAYDSFELLNSCPTKIDAVESYGSNLLVACSDGSLRVYGPESSVPGQSPPADYHNQNLGLQQERYVLERTVNGFSRRQMLAMEVLVSRELLLSLSESIAFHRLPNLETLAVITKAKGANVYSWDDKRGFLCFGRQKRVCIFRHDGGRGFVEVKEFGVPDTVKSMSWCGENICLGIRREYMILNTTNGALSEVFPSGRIATPLVVPLPSGELLLGKDNIGVLVDQNGKLIQEGRVCWSEAPAIVVVQKPYAIGLLPRHVEIRSLRVPYPLIQTVVLRNVRRLVRSNNAVIVALDNSVFGFFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRSAKEQSIHIRYAHFLFENGSYEEAMEHFLASQVELTYVLALYPSIIVPKSSFIPEPQKFVEVGDAPYLSRASSGLSDDLDSTPSHVLESDEMDIESKKMSHNTLMALIKYLQKRRYSVVEKATTEGTEEVVSDAVGDNFISYGTSRSKKPTKGRIHAPITSIARDMAAILDTALLQALILTGQFSAATDFLKVLNYCDVKICEEFLQKRSQYACLLELYRSNSMHREALKLLHQLVEESKSEQTPVELSLKFKPDMVIEYLKPLCATDPMLVLEFSLPVLESCPMQTIELFLSGNIPADLVNSYLKQHAPNMQATYLELMLAMNENSISGNLQNEMKSYERNTAASEVRKLRLLSFSSLICNLWQVQIYLSEVLDLYAELSSQQKWDEKTFSPTRKKLLSALESISGYNPEVLLKRLPPDALYEERALLLGKMNQHELALSIYVHKLHVPELALSYCDRVYESGLQQHSAKSYGNIYLTLLQIYLNPMKTTKNFEKKITNLVSSQSPGIPKVGSGTPAKVKGGRFKKIAEIEGAEDTRFSPSGTDSGRSDGDTEDAAEEGDSTIMLDQVLDLLSKRWDRIHGAQALKLLPRDTKLQNLLPFLGPLLRKSSEAYRNFSVIKSLRESENLQVKDELYSQRKAVLKITSDSMCSLCNKKIGTSVFAVYPNGKTIVHFVCFRDSQNMKAVGRGSQSRKR >Solyc08g007320.3.1 pep chromosome:SL3.0:8:1869295:1878965:1 gene:Solyc08g007320.3 transcript:Solyc08g007320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFSTPFQPFVYQSPQDAVTPFQILGGEAQIVQIMLKPQERIIAKPGSMCYMSGSIQMENVYAAENEAGVWQWLFGKNTTSIVLHNTGTTDGFVGIAAPSLARILPIDLAKFGGEILCQPDAFLCSINDVKVSNTIDQRPRNLVASAEGFLRQKISGQGLAFVVGGGSVVQKNLEVGEVLAVDVPSVVALSSTVNVQVKYNGPMRRVVFGNDNLVTAVLTGPGIVFIQSMPFHRLSQRIARAVTSPNMRDNPKFFIQIAIFFFLAYVVVVSSLILTDI >Solyc07g064150.3.1 pep chromosome:SL3.0:7:66545508:66546967:1 gene:Solyc07g064150.3 transcript:Solyc07g064150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDVQIPTAFDPFAEANADNSGAGSKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVFNFRVTRGRTFLPFLSRLES >Solyc08g079230.1.1.1 pep chromosome:SL3.0:8:62966598:62967014:1 gene:Solyc08g079230.1 transcript:Solyc08g079230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGAYSIALVLTLNILFFTMVSSTNVPCPPPPHPKPHYPTPTPSTPSSKGKCPKDTLKLNACANLLGDLLHLVVGSSPAKTQCCSLIEGLVDLDAAVCLCTALKANVLGINLNIPLSLSLLLNNCGKYAPKNFQCK >Solyc11g013450.2.1 pep chromosome:SL3.0:11:6470475:6475935:1 gene:Solyc11g013450.2 transcript:Solyc11g013450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNTSCLCYFHPKEVVVGVCALCLNERLLILASKQEKMIKKKKKKKIIINLREKMKINNDDDGEEHSRMHYLPKIFALTSFFNRLDIRHSRKETIHDIDVSSTCSYEDSFISIKFENNGVGSWEKGAVGTVPKLSLIKHCDNNNNNNNNNNNKVALIDHVTKPRMQLRWRKRIGHIFHLIKLKRSSTKGGNANHVGTKLEGVKVRHGWIRTLTKRKTKQ >Solyc10g080220.2.1 pep chromosome:SL3.0:10:61696945:61711930:1 gene:Solyc10g080220.2 transcript:Solyc10g080220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAECHSKFEELEEVEERLVSLVQKCPNLRILQQIHGHIITHSFLPSSAISFILSKVLTFAALSPNGSLNYAKRVLTQIPNPSIFSYNSLIRGFLESHTASNEPLFIFKKLVKRNYPKTNSFTLAFVLKSCSILAAFDEGQQVHKHVVQSGFGSSPFVQTSLLNLYAKCEEIGLAEKVFDEIPERNVVAWSAMISGYSRLGMVNESFSLFREMQKTGVLPDKVTMVSVISACAMSGALDLGRWVHSYINKQSIENDLELSTALVNMYAKCGYIEKAIEVFEAMPFKDAKAWSSMIVGLAVNGLAEYALVTFSRMNKAKERMALLLLGLVLNVSVEPNHVTLVGVLMACAHSGLVSEGKRYWADMIESGIEPSLEHYGCMVDLLSRSNLIDEAYSFVESMPLAPCPAILRTLLVGCKKNKILDKGEILGQHLIELEPWNAENYILLSSLYASVSDWEKMRYVRKQMKDKGIKAMPGCSSIEVDGFVHEFVMGDWSHPEAEEIKEFLRDISQRVYSAGHEPWIAPILHNVSDAEKEIALCEHSERLAIAFGLLKTKAPAVIRIVKNLRVCRDCHEVTKIISRIYNREIIVRDRVRDIHALTPPRPPSNSGRRIETWETSSHRSSSISEVASSENFSTMSREFSALVLAGSFKREDAVINGWESEEVQKATSWMKKIERKLEEKRVKALEKMQNDIAIAHRKAEEKKASAEAKRGTKVAKVVEISNLMRAVGRAPAKRSFF >Solyc06g036020.1.1 pep chromosome:SL3.0:6:25501929:25503913:1 gene:Solyc06g036020.1 transcript:Solyc06g036020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLISCYPNSFQLRLAFNCRKSLPVFFSCMRVRKLECPRIHFFLFAGNDRINDTNYVGGRNTWVNKILRPQLMDGMKLMMLLKKSLIPRGRNSHLQDTHLHGYVTDETHIQKELNNETAIGDVLGASESTSKSPEVRVCGKLLATSTFENKEYSLVAGKIESTNESGEKEFSDAKLDVESVINPNGTDQIDNQEKISSL >Solyc09g074060.3.1.1 pep chromosome:SL3.0:9:66183036:66184398:-1 gene:Solyc09g074060.3 transcript:Solyc09g074060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKSILSDDPEPDPPLPKKPHDSSPEKEIVPDQNLEKPNSRSDSNAADGADGPDAGVWSFGGLLKTLSTRSESVLETYRRDLQEFGSGLKKETELFREVASRAVKDLPNSIEVGTTVSHAIDGVIKSTTEIISQGKDTLLASSDAESETPDANQTLNSGRYSRFESQLMNIQSDPNTFCVDPEDLEEYKKWKSEFDLKENSKEVEILIEENGSLEGIFKRVVPNEVDNETFWCRYFYKVYKLKQQESVRANLVKRAISIDDEEELSWDVDDDDDNNEQENIAKSKFDEKGKEGSVVESSVNDVKKESATQDSTNVAKEVPANKSEPSKQDSTNIAKEVPTNKSEPITQDSTNVAKEVPTNKSEPNKQDSTNAVKQDESQTISSASVDRKEESQPNVDGGGKSKVVESVGSNKDSKKED >Solyc02g064800.3.1 pep chromosome:SL3.0:2:36514223:36521525:1 gene:Solyc02g064800.3 transcript:Solyc02g064800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQISSIVSRYLNSCKLHEVLPNTAVLSQFYKASMQEKVQKRSTLVVPLDELEDDDMLPLVDILLNVHLYNVDAVDILSTSKSVVNQESVVSLMRAVNSILRSVDLQDTLLRKDILWDLFQGGLNCQLLKLRSTEIQKLNMVGSFMQLHTLTLDFCSSLTSLEKDCFTCMPKLMRLSMCGTRIADLWTTREALSRLHSLTELRFQNCICCKDTGPCLASSNGADSPASVGKLFINQDSLNDSANRVSNYSGGILSSGTSFVAISGKVDFQLEVSSGDLHVKERDDYSEYINLKLRDTSVVSKMYLTCHPSPICYEKHYREYMIASLPHLRVLDNFPVKKLDREMANTVFSQYYEYLPYKRRQKENVASVLHMRETGRGNAYHNKSSLIKEAVSCRKSPHFYSRSLCAAKLGSSASPSLHTMSNISNNIKEGSESLRPRQFEYHPTNPSLMAFGTLDGEVVVVNHDAGNIFSYIPLFGVTNSILGLCWLNKNPFKLLAGSDSGSLRLYDINDTLQKANGGCSSSSPVVFDKFEHLTSLHVNSTDDQFLTSGYSKKVAIYDICSGKRLHLFSDMHQEPINVAKFAHHSPNLLVTSSFDRDVKLWDLRQTPNQPCYTTSSSRGNVMVCFSPDDLYLLVSAVDNEVKQLLSVDGRLQTDFGIASTGSAHNYTRSYYMNGRDYVISGSSDESIVRICCAQTGRRLRDYYLEDRRLESPILVQSLRSDPFRHFHMAVLASYVRPSSKRDIIKVNLLETGQYGDEDFNRKDFSSSYGHGG >Solyc07g006130.2.1 pep chromosome:SL3.0:7:982179:984358:1 gene:Solyc07g006130.2 transcript:Solyc07g006130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSHDNDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIITEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEVLANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAIETLIVWENLDINRYVLKNNTSGETIIKHLNKEQDTVQSNFRDPDTNAELEVQDKLSLLEWFANEYRKFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDVRAFDELSDDGVEQEACTGVRGLSPYSAAPVLNKKLLGDLQIDDLHEVKYPVISSSLSVLYEDHFYFINSVPRVEQEGCVGERGLAPDLATHVVSNKSLKTLHQMDDDLREEMKLLVISLHLSKGAESYTYAMLSAEGEMPELQSYYLLPS >Solyc02g081970.3.1 pep chromosome:SL3.0:2:46274126:46278331:-1 gene:Solyc02g081970.3 transcript:Solyc02g081970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPLHSPANMAALFFRLLFFSLIVSNVVLVQARFIVEKNSISVLSPYSMHSKHDASIGNFGVPDYGGSLVGTVVYPSKGANGCAEFDGDKPFKSKGHRPNILLLDRGDCYFALKVWNGQQAGAAAVLVADSIDEALITMDSPEESTDANGYIEKIGIPSALIEKSFGDALKEALKKGEEVVIKMDWTESMPHPDQRVEYELWTNSNDECGVRCDEQMNFIKNFKGHAQILEKGGYTMFTPHYITWYCPEAFILSSQCKSQCINHGRYCAPDPEQDFGEGYQGKDVVFENLRQLCVHRVANESSRSWVWWDYVTDFHIRCSMKQKRYSKECAEEVMKSLDLPVDKIKKCMGDPEANVENDVLKTEQDLQVGRGPRGDVTILPTMVINDIQYRGKLERTAVLKAICAGFKETTEPSICLSGDLETNQCLERNGGCWRDPKSNITACKVWTIMLFETSMKLSSSFVENYCGIYNNLLHEPRACVVLKDTYRGRVCECPLVNGVQYKGDGYTSCEAVGPGRCSVNNGGCWSETRNGQTYSACSEGELSGCKCPYGFKGDGHKCEDVDECKEGLVCQCDGCSCKDTWGGFECKCKGNQLYIMEHDTCIERHSSKIGRVLMFSFLAIAVGAGLAGYTFYKYRLRSYMDSEIMAIMSQYMPLDNHNQNQVVHHEAEPLQQSSV >Solyc03g098360.2.1 pep chromosome:SL3.0:3:62124100:62125940:-1 gene:Solyc03g098360.2 transcript:Solyc03g098360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPMSFNSHTLLATHAVFLVFLFSSTLKYATEATILGNETDKLALLGFTSKITEDPSRVFTSWNQSVHFYRWTGVKCGLTQERVVSLNLKGLSLAGEIPINLSHCVNLKSLVLDHNTLVGQIPYQVGFLTKLVRLYLKNNNLTGIFPVSIGNLTSLEEFYLSYNSLEGEVSASLAQSTKLRLLGLSVHSFSGEFPPSLLYLGNCQFNGSIPSSLANASKLLQLDFPANKFTGNIPKGFGNLRNLLWLNIGSNHLGYGKNEDLDFVNSLTNCSRLQMLHFGDNQFVISNLVNLNLLEMSNNILTGSIPDSIRRLTNLGGHNFGNNLLIGVIPSSIGNLTELVCLYFGLNRLEGNIPSTLGNCSQLL >Solyc10g047700.2.1 pep chromosome:SL3.0:10:41745341:41745736:-1 gene:Solyc10g047700.2 transcript:Solyc10g047700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEFEGSTRPKKYTNNFSREKFLGQGGFGYVCKRYMKESNSYIDVKGISRETNQEIKEYASEVRIIRLTHKHMVQLIGRCHQKRELLHVYEFMPNGSLDYNLYKGQSHFTCTIRFKNSEGLA >Solyc02g005315.1.1 pep chromosome:SL3.0:2:8052478:8061190:-1 gene:Solyc02g005315.1 transcript:Solyc02g005315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCHLRPFKPNLHGGRLKGEKEKKEKEDRKLRKILRLSIQSQLEMENWSAVVNSKDINESDTSSQIHTLPDRSTVEVMMKGKAMGK >Solyc09g061760.1.1.1 pep chromosome:SL3.0:9:60540172:60542058:1 gene:Solyc09g061760.1 transcript:Solyc09g061760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSFKLSIPCSCNKRIYGAFLTTQSQPLLTIPVPTQENITRLILEQKTANQALQTFRWASNISNFTHNHSTYRAIIHKLCNFRRFDCVHHLLNEMPSSIGSTPDEDIFVTIVRGLGRAGMIKQLIKIPELVSKFEKKPTLKLYNAILDVLVKEDIDIARAFYRIKMMGNGIQGDHYTYGILMKGLCLTNRIGDGFKLLQVMKTCGITPNTVIYNTLIHALCKNGKVGRARSLMRDLVEPSDVTFNILISAYCGEGSLVQALVMLEKSFSKGYIPDVITVTKVVGLLCNNGRVSEAVELLERVEERGGIVDVVAYNTLINGFCRLGKVKVGCRLLKEMELKGCMPNADTYNGLISGLCESKMLDLALEMLNEMKRVGINWNFVTYGTLIHGLCSGGRVENGLKILEVMKDDKGVSTVHISPYNNVIYGFYKENRLEEALVFLWKMENLFPRAVDRSVRILGFCENGNIDEARKVYDQMVKEGVMPSALVYANLVSGYCSKECIKGAFDLMNEMIERGYLPVAYTFNDVIILLCKQGKVGKASKLMENIKGRGCLLDSGSYGPFIDVFCSRGDFHKAYMFFLQMVEKSIVPDCHSWNMLLVCLCQQTTWIEGNNKTCHLTSQLQAIIQT >Solyc12g094470.2.1 pep chromosome:SL3.0:12:65670520:65673693:1 gene:Solyc12g094470.2 transcript:Solyc12g094470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKLLVVFLVSFLLVNSIVAENPYRFYEWNVTYGTISPLGVPQQGILINGQFPGPDIISVTNDNVIINVINNLDDDFLLSWHGVQNRRNSYQDGVWGTTCPIPPGKNFTYRLQMKDQIGSFYYFPTTAFHKAAGGFGSIRIFSGPFVHVPLPPYAGDFTVLIGDWYKRNHTNLKAILDRGHKLPFPDGILINGRGPNGATYTVDQGQTYRLRISNVGLENSLNFRIEGHNLTLVEVEGTHTMQETYTSLDVHVGQSYSVLITADQAPKDYYIVVSSRFTSKVLTTTGVLHYSTSNSTVSGPIPSGPTIEVGWSLYQARSIRTNLTANGPRPNPQGAYHYGMIDTTRTIRLATSAGQVNGEQRYAVNSVSFVPTDDTPLKLADYFKIGGFYPGNIHDVPIGGRIHLNTSVLQTDYRTFIEIVFENKERIVQSWHIAGYAFWVVGMDGGHWTPASRNQYNLRDAVYRSTTQVYPRSWTAIYIALDNVGIWNIRSEFWARRYLGQQLYMRVYTTSTSLQDEYLIPTNAILCGKVSEFHNKTMLN >Solyc08g062230.2.1.1 pep chromosome:SL3.0:8:50831801:50831992:1 gene:Solyc08g062230.2 transcript:Solyc08g062230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITNTNRLVISVQGNYDTSHNIILIVDFFLRFTDKHISQEIVLSKLQSKVLHYTPFFYHYLS >Solyc01g086895.1.1 pep chromosome:SL3.0:1:81689414:81692051:-1 gene:Solyc01g086895.1 transcript:Solyc01g086895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLGGVIAYKSAQSHAFSPPRPLGFNFIALFSQFGLRLLISGSTFHSRFRRNLFELSWSITRVPSVLVKKIKDIILLYGILKNCEGSSFTQKSSFTNKSLANLIKLQRAKHTDPSEHFKKELEVTLLAIVT >Solyc11g005810.2.1 pep chromosome:SL3.0:11:654081:660044:-1 gene:Solyc11g005810.2 transcript:Solyc11g005810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRTNGVSRSQKSNGVQSEGPNWVIIAGSALLSTLSVRLGYKLKQVLDSRQPNKTTSSLKGNEKSSDGSKLGNGHMQSSTYYFSQDDDGCYNCNTEAGGLGITKQQYNGSEPEMVLPLVAVPAIGFNKENGGVWSTSPDRLELPQKPFHRSNSSESPCVSESGSDIYSKREVIQKLRLQLKRRDETILEMQEQIVELQASLNAQMSHSAHQQSLLDAANRDLFDSEREIQRLRKAIADHCVGQVGSCDKPPTVPVWPAEVRYGHHTNGHLEVDRNSDTSEKGRGDGERFEMLKREKDELKEVIEGKDYLIKNYKEQTVELSIKVKELQQRLDAQLPNIL >Solyc02g069740.3.1 pep chromosome:SL3.0:2:40116887:40128305:1 gene:Solyc02g069740.3 transcript:Solyc02g069740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPSRQAPKGENNIECSGSSRRRKESAIWSPGEARRPILEEAPVYYPNDEEFKDPLGYIASIRHNAQKYGICKIIPPASWSPPCPLREKNVWECAKFSTRIQQVDLLQNREPMKKKKTRKRKRRLHSKTGSTRRQPRSLGSESNTHSDSSDDKFGFQSGSDFTFEEFQTFSKDFKELYFRMKDTEVWKPSIEEIEGEYWRIVENPTDEVEVLYGADLETGVFGSGFPLESSSPKSSTLDQYATSGWNLNNLPRLPCSVLCFEENNISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGEPKIWYGVPGSHAAALEDAMRKHLPDLFEEQPDLLHELVTQLSPSVLKSEGVPVYRAVQNAGEFVLTFPRAYHSGFNCGFNCAEAVNLGPVDWLEHGLTAVELYSKQCRKTSLSHDKLLIGAASEAIRALWELSAVENINSINLRWRSFCGKDGMLTKAIKGRIEMEEERLKRLLPLVQLQTMDKDFGLKDEQECFSCFYDLHLSAVKCQCSPGQFSCLKHSNLMCSCEPENKTVLVRYNRDELNTLVQALEGKLDAIEQWTSKDPDNFSLNRRQHNSVKQDSERDGFETDPSMKNDSLSGLLREQTHNPKKQCSSCSDDATTSYASNHSSGKKLFGVDLSRGSPSVQQNGTFDSEIDPLSTKVSERTLLYHVDPLKLGSIASGKLWCSKQAIFPIGFRSRVKFFDASSPEITSSYICEILNGGLIGPLFKVSLEECPDTNLVSSSAQKCWEMISHRVFEELATKLNPGRQDLPPLQPDTECINGLGMFGLLSPPIVQSIEALDTNYQCLEYWKNKLKLKDECVTVKGPSGSSESTVDMARSAVMERGQCSGTKVATEEEHANYSSSNTELQLVLRRLLNKADPEELRIMHKILCSGSTSPEWRIAFATLSQEILRKV >Solyc07g009010.1.1.1 pep chromosome:SL3.0:7:4009260:4009490:-1 gene:Solyc07g009010.1 transcript:Solyc07g009010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESVSITTVVKPLMRRFLALLRSSASCLHKLESLSMLIKLGFLRLESESIHGDSSPNPQVCVPTGKWKKMDRWLV >Solyc11g028090.2.1 pep chromosome:SL3.0:11:20274260:20275543:-1 gene:Solyc11g028090.2 transcript:Solyc11g028090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIGKVPVLKTPDGSIFESNSEEAAVSALKRALGTLNTHLASTKYLVEHLITLADNIVVCNLSIGFRMIMTKSFTKEIPRVERYFWTVVNQQNFSKILGKVKQAKSILAVQSKKPTQLEKTKANEEPTKEVNKEEPSLVEKETTPKPKAKNPLDLLPPSKISG >Solyc02g082040.3.1 pep chromosome:SL3.0:2:46337345:46338474:1 gene:Solyc02g082040.3 transcript:Solyc02g082040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLIKHIKTHGHSCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGCFSEQEERIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLISQGFDPNTHNLLSTKKKANKNNYTKSSIFTIETSLSSSSTKELVSMDMIKASLAALSTFPNYANNMSMPQYQTSLSRLYGSVCVPTTTNIIGNSNYASTIDYKKQSTVINEKCMWSFTGFETPQHEFGNGHEEMKQEAQVQVEDKSCQEEVLYKVNDLHEFNHDGRRIAENVTFDEGSDFDFEFMNSELVPRGIFTNIDSIDQLAWDC >Solyc07g006145.1.1 pep chromosome:SL3.0:7:984861:988421:-1 gene:Solyc07g006145.1 transcript:Solyc07g006145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQINIKIAISFVIVIIFLRWIWKFLDWVWIHPKKLEKRLKLEGLKGSSYKFLLGDMKEINSMVEEAKSKPINFTNDYISRVFPHFNKLMMQYGKNCYTWLGPKPTMLISEPEFVREILSKSYIYQKIQGNPITKLLAQGLASYETDKWAKHRRIINPAFHLDKLKHMLPSFYLSCCDMINKLDNIVSSKGSEIDVWPFLQTLTSDAISRTAFGSNYEEGRQIFELQKEQADLIIQAARWIYIPGWRFVPTKRNKRIKQIANEVRSLVLGIINNRIKEMKEGEATKDDLLGILLESNFKEIQIHHENKNFGMTIDEVIEECKLFYFAGQETTSVLLVWTLILLSKHLDWQEKARQEVHQVFGSNKPNYDMLNQLKVVTMIFNEVLRLYPPGTMIGRRVQKETKLGHLSLPAGMLLLLPTIYLQHDNEIWGDDAKEFNPMRFSEGVNKATKGKFAYFPFSWGPRICIGQNFAMLEAKMALAMILQHYALELSPSYSHAPHTIITLQPQHGAPLILRKL >Solyc07g015920.2.1.1 pep chromosome:SL3.0:7:5724686:5725363:-1 gene:Solyc07g015920.2 transcript:Solyc07g015920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHLQVDAILHYATSRVIPQQTLDEIKISFNLIKSLTPCNLLVFGLGHDSFMWSSMNSRGTTFFLEEDPKWSRAILKDLSFIRSNTVRYRTTLYEAEKLIDHYNKEPDCWARKSILRGNTKCKLALNTLSKEVYDKEWDIIMIDGPKGYFDQAPGRMSAIYSAAVMARNRKGPGVTHVILHDVDRDVEKVYAELFLCRKNLVNGVGKLWHFEIPPATDMNTDFC >Solyc02g083510.3.1 pep chromosome:SL3.0:2:47431119:47436133:-1 gene:Solyc02g083510.3 transcript:Solyc02g083510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDYVDPPSAPLFQTAELYNWSFYRALIAEFVATLLFLYVSVATVIGHKKQLGPCDGVGLVGIAWAFGGMIFVLVYSTAGISGGHINPAVTFGLLLARKVSLLRAVAYMVAQCLGAICGVGLVKGVMKDDYTKHGGGANTVAVGYSTGAALGAEIIATFLLMYTVFSATDAKRKARDSHVPVLAPLPIGFSVFMVHLATIPITGTGINPARSFGAAVIYNDTTAWNDHWIFWVGPFLGALAAVIYHQQILRGHAAKAF >Solyc11g067075.1.1 pep chromosome:SL3.0:11:53110599:53111966:-1 gene:Solyc11g067075.1 transcript:Solyc11g067075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLLMVDNNKFSISDIRCLYQALNAGNKKQEASTQYGRSCSSLELNMITLHFGEFLMYCLICVFLPLHIALLEMG >Solyc09g075750.1.1.1 pep chromosome:SL3.0:9:67794539:67795534:-1 gene:Solyc09g075750.1 transcript:Solyc09g075750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIDRKPKSYTLPSSPTHSSSSSDFEFTIPLSPRSRKISPNICPADELFYKGQLLPLHQSPRLSMVRTLLLASSSTSSSSDTTTTASRDSTGSSGSSNDSQYSYSSAGDLVLLPDGCDSSRPSSVAEEDEFKRLNTGSVKKACGTTNKYLNFSLARFSSVFRKESNKSNRNDSEAISGSLPAQTSSVRRMSTSAREVIRKYLKKVKPLYEKLSQKPSEKIIVSTTCKSRENICSMIKENTQNATISHSFSGNLRYPRRRSYVSSCPSSMRSSPSHSGILSRSGYNTPTTNKIMTGGNSSDSSTMEELQNAIQGAIAHCKNSMLQNHNVN >Solyc03g093945.1.1 pep chromosome:SL3.0:3:57087653:57089260:-1 gene:Solyc03g093945.1 transcript:Solyc03g093945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGETNNFVHDEVLNDDTLIEVTTNHVSSNTDTQTTESTVPIFSLRLDTSTLANAPLVPRRSTSPLHTPIYVDNIILTGIDIDEITSLKMFFHDQFRIKNLGRLHYFLVLEILYRENGIIGSLIFSLIREEYDIYVQHLSQFMQSPREPHLKAAYHVLRYLQQDPTLGIIISNNSDLTFSAYCDSDWTSCPDSKKSMSGIWFSWSKKQPTLSLSSAEAKYRVVRQVVGEI >Solyc06g009967.1.1 pep chromosome:SL3.0:6:4373525:4374022:1 gene:Solyc06g009967.1 transcript:Solyc06g009967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYLDDIAVYSNNMEDHVEHLLQDVQSLDGRRQGGGNSKLRGSNESTRVAILSWPHHYHRCFIFSYSTIAFPLTDLLKKNCELEWTDTCQTAFEKLKAAVTEEPSLALPYFTKAFEVHTDASDFAVADILMQE >Solyc06g076650.3.1 pep chromosome:SL3.0:6:47742832:47746348:1 gene:Solyc06g076650.3 transcript:Solyc06g076650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQEYLDKHLLSRRIEDAVNAAVRAKTSDPVLFISNHMRKAVPSVITKVKARQILDSRGIPTVEVDLHTNKGLFRASAPSGASSGMYEAIELRDGEKGTYLGNSVNRAVKNINEKISVALVGMDPTLQSQIDQVMIDLDKTENKSELGANAILAVSIAACKAGAAEKEASILPQ >Solyc08g015660.3.1 pep chromosome:SL3.0:8:5200159:5210280:1 gene:Solyc08g015660.3 transcript:Solyc08g015660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6,7-dimethyl-8-ribityllumazine synthase [Source:UniProtKB/TrEMBL;Acc:K4CJ96] MATTAFVEFSVVVRPQSNFVNTSYLQQLHSHRPFLFLSIPKSTAALSFTQSQGFGCGIERQQCDRRDFVQTSAVRELAGSLISAQGHRFAIVVARFNDLITKKLLEGALNTFKSYSVREEDIDVVWVPGSFEIGVVAQQLGKSRKYQSILCIGAVIRGDTSHYDAVVNAATSGVLSAGLNSGTPCIFGVLTCDTLEQAFDRVGGKAGNKGAEAALTAIEMASLFEHHLKPLQ >Solyc01g005630.2.1 pep chromosome:SL3.0:1:444811:445619:1 gene:Solyc01g005630.2 transcript:Solyc01g005630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPKHQKQRKFVGVRQRPSGKWVAEIKNTTQKIRMWLGTFDSAEEAARAYDEAACLLRGSNARTNFHNHTPVSPALSMKIRNLINHKKSLNKINHKSTSTSSSSIPTSSTIHQQTQISNDDAYKPDLNFYDQITCSNFDHTSTFANDFDTILLDDENVDNFHDVVPKEIIEDHTQFENMNVERQISTSLYAMNGVNEYWDNFHDSSNNNNNNDWDLPMLYQMFCPS >Solyc12g070200.2.1 pep chromosome:SL3.0:12:29769174:29772036:1 gene:Solyc12g070200.2 transcript:Solyc12g070200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNTIFLYNPYNPKHYVSNFSLSSFFTILSSLSQEKKKKTKTTRERERMGACASKPNVLNGDAPEDALDKEELVNKEDIVIVDDADANLTPSNEEGKGSKEVTLVKGNEVEKVVDDQEKKIEHVKSQNLSMESEDEEKLPEEEAPATNTTIEEVKVAFEDNKIEDNVTVTEDLDSDAQKNKTDEKPIVVAENLNSDDQKNKADNKPVATKKGKFWWDK >Solyc01g098280.3.1 pep chromosome:SL3.0:1:88733413:88734700:1 gene:Solyc01g098280.3 transcript:Solyc01g098280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KILQLKVHYFMMGAENKTLFCYCRWGWTEKVLPDGTTLYVGGITRQVTVKTRIKYNDFVNTVFDRLGIADPSDKILQFTAKFCKSRLIDLRDQKDVNAMFQFNDGSADVYVSSLVKEPNSRPASGSGKTVELAVVSESKPDTHVRDDENDLATPLKKARCIQSAGDSLERLNQNGADLPVGDVQNNSSKQASIPPSGDGNVIVISDSEPDTTPDVNKQASIPPSFGTYYVNPKELYKFPHRIPSFKMTGNEGKGVPAGSFELYPYSLPLNPNDTWYPGKVKETANSRPVEDVSSAVPSGSKEKSSTSEHASTSQMTGNLNGVHAANEELPMTSDLELKLWFGETKK >Solyc06g071630.2.1.1 pep chromosome:SL3.0:6:44256470:44259426:-1 gene:Solyc06g071630.2 transcript:Solyc06g071630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKITERGIHEAENPIEVSFREAFELLKPQLNPPFTLKVLTKEEYSNLNKALIFGIFSQPNLAEVHIKHLHAIVIDGYDLFTCMLVKIVDELYVKLVDSVKIQLIWVTKEMLNVLAIGFDKLMVALLRQIVGGDFSEGNLWLCLEMVALFLANWDCLLEEEPLMLNKGLYVFLRLLADHYGVPSNPMIDGLKRMEVVFCVRLLREQFLLCLKIGRDLIRLLQDLVHIPEFKAIWRDLLLNPRHFGVDGFKDISQIYHTKTPSSYFLLRVTPEMETKLRFLLTHVKLGNQKRYQVWFAKKFLGVPGSEVILTDIVRFICCSVHPSSEIMQPDILPRWAVIGWLLTSCRKSYVQANLKLALFYDWLFYDETTDNVMNIEPAILLMVNSIPNYIEVTRTLLEFLLIVVDNYDIERKEIVSRGVSTALSTLVKRGVVSSLDVLTRCDMIAPVHREMFGKLVLVMQGIHSQKIGSASIIHDISPPPSHLLSSSLGSQHPNRVNCN >Solyc08g065130.2.1 pep chromosome:SL3.0:8:52862378:52865163:1 gene:Solyc08g065130.2 transcript:Solyc08g065130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIFEANIRAGDLVGGCYRIIMNLERLINFYETELQFTYNEIFRVQAVDIQNNINRTTVNTNTTDVQVMNSIGGFHRSEEIDGFGDYSMSGFGDSSKRINSISSLNSQIIKIELLDDIKDEFAVRQGEFETKVAISSSKDKQSMVENGDLKPYFGKNCKGKALASDDDKVVSNTRVN >Solyc05g043445.1.1 pep chromosome:SL3.0:5:57287326:57293283:1 gene:Solyc05g043445.1 transcript:Solyc05g043445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTRGHITGHGSTAAVCQSPCHASPAYVGPGPCNSGGPVFRFVLRFIVKEPGEEPCSHYCSENSYRFKYSHIDVETPEYESPIKRQHR >Solyc02g090047.1.1 pep chromosome:SL3.0:2:52346304:52348664:1 gene:Solyc02g090047.1 transcript:Solyc02g090047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHGEEILKNYLIPRAMLSSRVDGDLHKWIDAGSRGKTDELIRKKKSTKSHSAPPFYQGKKKFFATAESSRKAAGNKNIMTVHDVPLMPAVRRLHHSVEAICLELHDSHPINVINLPPQVAVTVNSLMKGLHQKQTSSESPSSFMDQPGINDYKHGFLHGKCIFETSHLVPEVVETVKSSIGKTYQRSCRCHKGLNMSLKLHSDHLWQAILELRLRHTDAHIYYYKAFTAVLYSSGLH >Solyc01g057670.1.1.1 pep chromosome:SL3.0:1:63389835:63390257:1 gene:Solyc01g057670.1 transcript:Solyc01g057670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIGCGLFKFLNRKLNKYLVTNNFLHVVVAKPVKKGVYKIFPKTGEVWALYKNVSAHLMKGNNLEDFEYVIVEIVDVPYDYVDVKFLEWVKGFKFVYKDRVEEEKADKAVKICVSEHLRFSHQIPTFRLIEERDGSLRGF >Solyc11g050934.1.1 pep chromosome:SL3.0:11:17026280:17026687:-1 gene:Solyc11g050934.1 transcript:Solyc11g050934.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGALKYFLGIEVAKNSEGTLICKRVKPANVPMKKNQELALEERSPLADLESYRCLIYLCFIRPELSYSVHVLSQFTNCPQAEHREASLQLVQFLKRKHGQSIYLRSACDLKLYE >Solyc04g072800.3.1 pep chromosome:SL3.0:4:59859426:59863728:1 gene:Solyc04g072800.3 transcript:Solyc04g072800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLNQSVGTLRSCRVNGNSGLCPDYGHVSFRPVSKGFKVDVGLLRGGSFCSRKTSTCVIQASASQATVFDEASAPSSATTSDSNKKTSEAALILIRHGESMWNEKNLFTGCVDVPLTNKGVEEAVEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPTIIHEESEQAGAWSQIFSEDTSMQCIPVVTAWQLNERMYGELQGLNKQETADKYGSEQVHEWRRSYDIPPPNGESLEMCAERAVAYFTEH >Solyc09g010770.3.1 pep chromosome:SL3.0:9:4057302:4064419:1 gene:Solyc09g010770.3 transcript:Solyc09g010770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dol-P-Glc:Glc(2)Man(9)GlcNAc(2)-PP-Dol alpha-1,2-glucosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CRA4] MGKIAVAVIVSSWVVAVSILVNRIVTEPYMDEIFHIPQAQQYCKGNFRSWDPMITTPPGMYIVSLAYVASLFPGIFSMKDDLSFSDTCSSSILRSSNGVLAVFCSMMVYNILTHLRPSLTDRKVTLRTVVLALYPLHWFFTFLYYTDVASLTAVLASYLMSLKKKYFFSSLVGAFAVLIRQTNIIWILFIACTGVLDYILDQPKDSADLIDSSQSQESTIRQLHLALLSTKRLLLQCLPQTYFSHEVREIISRLWQFKWEIIALFWPYLIIMAAFITFVFWNGSIVLGAKEAHSVSPHFAQLMYFSLISVLFMTPMHFTIGQAAALARSFWKNNKLVSFFQLCTTLAVGFLSVHFFSIAHPYLVADNRHYTFYLWRKVIKFHWSMKYLLVPLYVYSWISIFNILAKNQRKTWVLVYFLATAATLIPAPLIEFRYYTIPFFFLILHSHVDDDRSWLLMGIMYLAINIFTIYLFLFRPFSWVHETGVQRFIW >Solyc04g007660.1.1.1 pep chromosome:SL3.0:4:1354427:1355536:-1 gene:Solyc04g007660.1 transcript:Solyc04g007660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFFALLISVSFIIAAAAKTGHVITFRSPSLYPESFTWDPKSHNFIIGGTRHQKLLSISESGVAETLISDTDLPENSSFLGLAIDRRNNRLLACIHRIPTATHPSPFNALAAYDLKSRRRIFLTPLLDKNDQNPIATVTEIIHPAAANDVAVDSSGNAYVTNSDGDFIWKVNIDGEGSVFSRSETFKSHPVDLTVDYHKCGLNGIVFISDGYLLVVQSNTGKMYKVNVNDGTAKTVNLNRDLTAGDGIAVRSDGVVLVVSQYKLYYIKSENNWDEGVVFDETTLDVEGFATAVVVGNRKRVYVLYGHLIEGIMGNGNREEFNIVEIEENEDKEDNIWLFVLIGFGFTYFLFWRFQMRRLVQNMDKRVT >Solyc06g074560.1.1.1 pep chromosome:SL3.0:6:46277613:46277840:1 gene:Solyc06g074560.1 transcript:Solyc06g074560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKNGARTVLELWINVPRSKESEITEEGIRFKKDTAVRTASSTLPPNQQPSRQARPPLSLSFLHSASFFALFQ >Solyc04g005150.3.1 pep chromosome:SL3.0:4:98742:102149:-1 gene:Solyc04g005150.3 transcript:Solyc04g005150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDTANAESINQKAGLLKDQVRLIKRKDCDRYEIASIPDNLSFEKGFFIVIRACQVLVQNNEGLIMIGVAGPSGAGKTVFTDKIMNFMPSIAVISMDNYNDASRIVDGNFDDPRLTDYDTLLKNINDLKTGKAAEIPIYDFKSSSRIGYRTVEVPSSRIVVIEGIYALNEK >Solyc01g012700.3.1 pep chromosome:SL3.0:1:9821322:9826667:1 gene:Solyc01g012700.3 transcript:Solyc01g012700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRISPILKAKLIIQLQGSPKMAQIIMGAAAKHLTPVTLELGAKCRAIIDSLSSSWDKKIAMKRILSGKFGNCAGQVCIGIDYILVDKTFVNELVKLIKPWIPKMLGENPKESHSISRIVKKNQFLRLKNLLDEPMVKKSIIYGGSSDEDNCEPTVLLDPPLQSTIMTDEIFGPLLPIITLDKIEDSIEFINAMPKPLTIYSFIKNEEFERKITKGTSSGSLVSNDTIIQYAADTLPFGGVGQSGFGRYHGKFSFDTFSHEKAIARRSFLTDIWFRYSPWSDHTLQLFRSAFIFDYLSVVLITLGLKWA >Solyc02g088075.1.1 pep chromosome:SL3.0:2:50907615:50909688:1 gene:Solyc02g088075.1 transcript:Solyc02g088075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNGGGKTGVIQLNMLHNCLPLAFPLDHLNAYLVPFQKLKEAIVQLLVVVYATINGTALRGEKCSTVPPTLVRAWRVKLKHGDVPIQDNKR >Solyc01g103160.3.1 pep chromosome:SL3.0:1:91699660:91703558:-1 gene:Solyc01g103160.3 transcript:Solyc01g103160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYAILLRSLRRSSATVAAVRTQITTSVHNPQNPNFLLPHRSFAFSSAEEAAAERRRRKRRLRIEPPINALRRDPLPRGPPSPDDPRSRLPDTTSALVGPRLNLHNRVQSLIRAGDLDAAAAVARHAVFSNTRPTVFTCNAIIGAMYRSGRYNDAKALFQYFFNQYNIIPNVVSYNHVIVSHCEAGEVDEGLKVYSHIIENAPFSPSAVTYRHLTKGLIDSDRIAEAVDLLREMLNKGHGADSLVYNNLILGFLNLGNLEKANELFDELKERCTVYDGVVNATFMDWFFKQGKVKEAMESYRSLLDKKYRMVPATCNVLLEVLLRHGRETEAWALFDAMLDDHTPPTFQAVNSDTFNLMVNECFRLGKVSEALETFKKVGKGLKTRPFAMDVAGYNNMITKLSELEMMEEAEKYYMELCNKSLSPDVTTYRTMIEAYVKMDNVEGTLEKYTKMVEAGLRVIPVYAEKWFNFLIEKGKVAECVPILTKMGEREPKPDVTTYDIVIRALCEEGNYDASSNLVIQTINYGVGLTSSLREFLLESFGNQGRREEIERVFATKPTYSPPSTPPRGQVPWLSQLPRQLPGTSRAAGQQTSPASLSSQVQGRPSALNSTWPPSFIGGQQQASSPSFIGGRGSSQMQGQQSAPNPRWQQASPPSFVAGQGSHQLQGQQADPNPRWQQASPPTFVAGQGSHQVQGQQSAPNPRWQQASPPSFTAGQGSQQMQGQQSEPNPRWQQASPPSFVAGQGSHQMHGQHSAPNPRWQQASPPSFIAGQVPQQMQDQPSTFARNSHQASPSFIQHGASPPSFMAGQGSQQMQGQPSAFARNVQQASPPSFVPQQDASAHSFMGSQQMQGRPSGFTQGSQWSSAPSYMAGQGGQHQNQGQPSGFTQTPYKASPYSMDGQRTHSMQGLTSGPVHNPLNETSHTSESVRDPASTFAQVPGQYVRHQATEEASSQGDNIEQYQYPQVARQGRM >Solyc04g071085.1.1 pep chromosome:SL3.0:4:58101640:58102845:1 gene:Solyc04g071085.1 transcript:Solyc04g071085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFTYFIVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPVYKYKSPPPPIYKYKSPPPPVYKYKSPPPPIYKYKSPPPPVHKSPAPYYYTSPPPPSHY >Solyc06g082120.3.1 pep chromosome:SL3.0:6:48086134:48090938:1 gene:Solyc06g082120.3 transcript:Solyc06g082120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNFGTIASYYNISQTTIERFSSSVTSQTNLNGLLEILASASEFDHLPIRPGEEESIKRLIHHLPFSIENPNYTDPHLKANALLQAHLCRRMVVGNLVFDQREVILSATRLVQAMVDVISSNGWLSLTLVTMEVCQMVTQGLLHRNSMLLQLPYFTEELVNKCQKNRIETVFDLAEMEDDDKCKLLHMSDVQVRHIARCCNQFPIIQLKYNVVENHNVSVQVTLLRDTEVGPVIAPRYPGTKEEEGWWLVIGDTMSNQLLAIKRVTLQRKSKVKLDFAAPAEAGTRNYTLYFMLEEVAVTTGEENEDAILDLKAKLYRFDKEGNQWKERGAGTVKLLKHKETGKVRLLMRQSKTLKICANHLVIPTMTVQEHAGNEKSCVWHAADFADGELKDEFFCIRFASLENCKTFMETVQEVAESQTKKEENVDASNAAGLLEKLSVEDKKPQEKSEEKTEEKPTDNAEKKEETASA >Solyc10g078170.2.1.1 pep chromosome:SL3.0:10:60163588:60165795:-1 gene:Solyc10g078170.2 transcript:Solyc10g078170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFNKMAVAINPQNHGFKQFVRPPRCKIPSFSQLDYINILESSQTSLAHSVHHVPFEFSNIQKSFSTPCLSLSTRTEEDIESNPRVEIIGGHRAPKVHALVVEVAIAMASGVKPELLASGLGGAYLFRASNGNAVAVAKPADEEPLALNNPKGFAGRMLGQPGMKRSIKIGETGVRESAAYLLDHDGFAGVPPTTLVKFSHVTFNINDSQSISASTYKTASLQRYMEHDYDAGDLGASGFSVASIHRIGILDIRLLNLDRHAGNILVKHGKESYAVDSAELVPIDHGLCLPESLDDPYFEWLHWPQASIPFSESEMEYIRGLDPFKDADLLRNELPSIRESSIRVLVVCTILLKQAVTSGLCLAQIGEMMTRKCYGGQEEWSALEKICFSAKVNMESKIKEDDQSICEEKERSVGVFQFDYEDGDDSYQEDEEADEILQGSAITAKPPKIPRFSSVRSMSTIANPSVFHYEEECDCVNNKICGSDTLIDDDGGKEDNINDSDSQKTSAMLRSMSFGTKNYKNDGEGISFGEMSSEQWILFLEVFEKLLAEAFEGKNCMCLLKQRLGSSCEF >Solyc07g063530.3.1 pep chromosome:SL3.0:7:66120019:66124797:1 gene:Solyc07g063530.3 transcript:Solyc07g063530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALAQILINLSPLKTPHDALYRKPNFLGLKLQRPSCCFTNLRARKVSICSSWYKLGAFKEKNSILTDKNGIFMKEERWGCEKRMVFVKFKQGFGLDGIGDGGGGGRDNSETVRVLSNLVLAIGLTYLTMTGQLGWILDAIVSVWLLAVLLPILGLGAFIWWAGRDIVQSACPNCGNEFQIFKSTLNDEVQLCPFCTQPFSVVGNKFVRDPVTFSNQSTTFGQAFGDFSTRSKKGKNSSVGIVDIEAEVKDAD >Solyc07g005670.2.1 pep chromosome:SL3.0:7:550919:554381:-1 gene:Solyc07g005670.2 transcript:Solyc07g005670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIKFLIIIIFILIFSYFCSIILMGSTMYETSQYHKKTCTKQIDTSFGNMLLFGAGSDLIKNELGAYGEKVLGSSSAYVQSNIGRYISNPQYYFQVNESYVKNKLKVILFPFLHKGHWMRTGHMARGEFSFKPPIYDINAPDLYIPMMAFGTYLVLAGYFLGITTKFSPEALGVQFTTGLLCWLLQILLLEATLHSLGSGEVPLLDIVAYSGYIFVNASIILLCRVIWDYAFYIVTIFECFCVGVFLIKTMKRILIGEVRTFEKHSTKRNYLLLLMALSQIPLLFWLGNVGVGAK >Solyc11g071580.2.1 pep chromosome:SL3.0:11:55301479:55304285:1 gene:Solyc11g071580.2 transcript:Solyc11g071580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERQKKVSLVLAVNGERFELPCVDPSTTLLHFLRSETCFKSPKLGCGEGGCGACVVLVSKYDPNLKKIEDFSVSSCLTLLCSLNGCSITTSEGLGNTRDGFHSIHERFAGFHASQCGFCTPGMCMSFFSALVNADKGNKPNPPPGFSKLTSSEAEKAIAGNLCRCTGYRPTADACKSFAADVDIEDLGFNSFWKKGDSKEVKVSKLPPYDPTKNFSTYPEFLKSESATNSESSRRYPWYSPVSIEELRSLLNSNVMENGASFKLLVGNTGTGYYKETQRYDHYIDLRYIPELSIIKRDQTGIEVGAAVTISKLISFLKEENKVNLGSYGTLVSQKLANHMEKIASPFVRNSASMGGNLVMAQKNGFPSDIATLFLGLCATVSLMTSHGVEKLTWEELLSGPPLDLRNVLLSVFIPFKQDQSSSEIHSKFLFETFRTSPRPHGSALAYINAAFQADVSLCQKGLLINNIQLAFDAYGTKHATRAKKVEEYLTGKILNIHVLYEALKLVKLAVVPEDGTLHPEYRSSLAVSYVYEFLHPFTDVHSAISGGLHNGISDILVEELPESCNDGCISQEREKTLLSSAKQVVELSNDYYPVGEP >Solyc01g074060.1.1 pep chromosome:SL3.0:1:81263090:81264039:1 gene:Solyc01g074060.1 transcript:Solyc01g074060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPITYGDYPVSMRERVKNRLPKFTIEEAEMVKNSYDFLGLNYYTSPYAANIMYPDTVNITYPEDYQMSDSNVTIVEGVNDLQRPDFIRQHLLAIKDAIQDGVNVKGYFVWSFLDSFEWSSGYTQRYGINYVDYNDNLKKYPKRSIRWLKKFLSSRDIVSSSFKFNNT >Solyc08g075910.1.1.1 pep chromosome:SL3.0:8:60108881:60109786:1 gene:Solyc08g075910.1 transcript:Solyc08g075910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSCSHWCYSCRQPVNLRRQNDVCPNCGGGFVQELEDITSSSVDNQTQRPRFMESVSNFLRRQISATSNTSERGRSDGGAERGNLWNPLLIFSGDTPVHMPGDGGVLEFLNEALGFRQENGGDYFVGPGVEEFFEEIVNRNQRGAPPPVSRCSIDSLPTVKISKKDVRSDSHCPVCKEKFALGTKATKLPCKHLYHSDCITPWLQQKNSCPVCRKELIPEKSGNDHSSRSSRSESRSSSRRVSARENISQNQERRRPWSSLWKFGSSRSSSRSTPAAETSSETSHQHNNYSEYSNWPFE >Solyc11g005220.2.1 pep chromosome:SL3.0:11:187947:192781:1 gene:Solyc11g005220.2 transcript:Solyc11g005220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:UniProtKB/TrEMBL;Acc:K4D4D5] MIHFVLLISRQGKVRLTKWYSPYSQKERTKVIRELSGMILTRGPKLCNFVEWRGYKVVYKRYASLYFCMCIDQEDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYILDELVIAGELQESSKKTVARLIAAQDSLVEAAKEEASSLSNIIAQATK >Solyc07g021230.2.1 pep chromosome:SL3.0:7:16778616:16780575:1 gene:Solyc07g021230.2 transcript:Solyc07g021230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPYLDMRLALRIIQGRVIGVTHYLLVRFPRFSQGLGQDPTTHHILFGIALTHDFESLDDIIEERVYQNIFASHFGQLAIIFLWTSGNMFHVALKGNFESLLQEPLHVRPIAHAICDPHFDQPTIGLRTNEYLYIGALFLLFLFSIFLIAGWLHLQLKWKPSISWIKIVESRLNHHFSGIFGVSSLAWKGHLVHLVFPASRGEYVPFTCQWSLYARNPDSSSHLFVHLQTQSLWLTDIDHHHLAITFIFLVAGHMYRINFGIENNMYSLPAYAFIEQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIKDYNLEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVTLPFGTPKKQILIEPILINGYNPLMVNLHMVLTIDPGDFFVHRAIGIGLHTTTLILVKGVLDARCSKLIPIKRISVIVFHPMA >Solyc04g025281.1.1 pep chromosome:SL3.0:4:24320372:24324013:-1 gene:Solyc04g025281.1 transcript:Solyc04g025281.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSNLILGERKKTRNGIAKKNGGVALPYSVEQVRSAIFAKKEPVRSAIIDSCIRVTDNGRETFHRKLGATSPEEAANWIHCLKDAALNTERSLTAPSRRKWQPFRLSVSKSVAHKRSMDMADASFMHVDAMTFDVIAPSPWKIFGCQNGTSLRLFKEAKDKGSNGKQRDGSPAVMAVGVIEGTSEAIFRTLMCLGQSRIEWDFSFYRGCVVEHLDGEQKEEICYYGVTGEERKMAHMLFSIILSFTASVRRKMDMFVLALKVMNPKGKKGYCTIPLFIGQSMCVSKI >Solyc05g055185.1.1 pep chromosome:SL3.0:5:65785498:65787018:-1 gene:Solyc05g055185.1 transcript:Solyc05g055185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKRVSIFNNYCNCSRYLKKSEENKTKNDKERLDSYYKRNYRDYFGYLEGTLKDKKEELTESEQGILDWLEKNK >Solyc01g104350.3.1 pep chromosome:SL3.0:1:92644123:92651182:1 gene:Solyc01g104350.3 transcript:Solyc01g104350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRELENEQNIDMIVEASAKKQNGSDSKELEASHVNCASNYENHTSLAEQVKEEPHGIEDDDIDIIECTDPADNVLVQSDKEELTESSSSFGSIFSESEYCTTMNDIECTSEYSGDATSELAFSGFGDIFRMTRKKVTPHWRDFIQPIRQRCKLIELKLHLLQSQSRKYEKQMRDENHQMKLQIGSVPLEDFGSKSLSFSCNSLRDNVVKRKKRRRTEDTLDIAAYMSHHPLFSFFEKRNSSADGSFLDNELDKIAICSDKINADDDLHQHPADGDASLEKILHKIGVLQSQVIQLKTRLDKVTSENGMFSSTGDLNSLLPCNVLGSSALLQDNRGKMPAGSHDVVSQLISEYNMVMPPDSAAARHGQAVNVPDVIESTDCSLPVGTNTN >Solyc01g049825.1.1 pep chromosome:SL3.0:1:46568951:46572272:1 gene:Solyc01g049825.1 transcript:Solyc01g049825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQEVCIWIPRSVQSRRAATYLSYKLNTKSKSLQFNFNFEHDVVLVSVRECRVLFDSSYRSEHFYAASEIDGEDAQAKVLVSNAIFVELPVRTRSLPPRSTIHSLFVNLLRLPALMLEIYMRIMLHGADRKLV >Solyc09g011240.3.1 pep chromosome:SL3.0:9:4573065:4578626:1 gene:Solyc09g011240.3 transcript:Solyc09g011240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEATEMPYIELNTGFSIPAVGLGTWQSDPGVVGKAVETAIKMGYRHIDCAQIYKNEKEIGEVLSRLFKDGVVKRRELFITSKLWNTNHAPEDVPVALDKTLQDLQLEYVDLYLIHWPVSMKPGSVDFKPENLMPTNIPRIWEAMEKVYDSGKARVIGVSNFSTKKLEDLLQVARTPPAVNQVECHPSWQQAKLRELCKSNNVHLSAYSPLGSPGTTWLKSDVLKQPAVISVAEKLGKTPAQVCLRWGIQMGQSVLPKSTHEARIKENLDVLNWSIPDDLLAKFSEIPQARLLKGASFAHETHGQYRTLEELWDGEI >Solyc03g119140.3.1 pep chromosome:SL3.0:3:69317082:69332915:1 gene:Solyc03g119140.3 transcript:Solyc03g119140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSVLWTHCWVLADSVGLPCRLVKGQEYTGSYEVAMNYVKLEDGREYIVDLMADPGTLIPSDTSGTQGDYEESILSISPSSKDVDSHTGSSSSGVACSSEDHSEYGTEERKSRFGEISAGNESPSTGNSEKQKGNNNSDDFTKLRTVKEQGPETSSRTVYARSPYSHTRSPSWTEGISSPAVRRMKAKDVSLYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEVYSEQLDSSPVEGKSRPEDMESQGRDEVEKIKSQVDLDCNNFLPPLAYHAQSKVNPRGPFDPHLDGGEVSGQHVSPHSELAAAKFTKNMPVAAAAAAAAAVVASSMVAAAAKTTYGSKADLPVAAAVTATAAAVVATTAAVAKQYENLETSALLPNSPAFLLNLMDSKRVDKDADGAVPEKRGSGDQVHEALGVNSEGERVSDRSTGNDSVKSDVTLDDVADCEIPMEEITLGERIGLGSYGEVYRGEWHGTSLQGDLYGLWGMANCGRVNSTPPIDLRFWEVAVKKFLDQELTGESLEEFKSEPSGRDPLPPIVRLVVQATNGEKLERATAGLLEDLIFPWKVMIMKRLRHPNVVLFMGAVTRPPNLSIVTEFLHRGSLYRLIHRSNNQLDERRRLRMALDAARGMNYLHNCTPVIVHRDLKSPNLLVDKNWVVKVCDFGLSKIKHSTFLSSRSTAGTSCIPANLCTSFYISPFVEDSSMLLVLKQFRLLEMFWLPSFSFKKVVAVIHGSKINLNILGDLELTWMTLVLKLMLHKMLAVSRAEWMAPEVLRNEPSDEKYVLHNHITPFKVLNKYVLVKATITQESEQFYNKYQGSTISLFTVIGIMVVDLYNDFMPYRCDVYSFGVVLWELCTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDMDPAIADIIRKCWQTTKAPQHESHAVSFDKIWYLDPKLRPSFAEIMAALKPLQKPITSSQVPKPLGNREPPSPVNVQQQKPSKSARLSASADSTDWIASSLTRRFGLGAGLAWAGFLAFGVVSEQIKTRLEVSQQETNTRVVEKEEEVVLPNGIRYYELKIGGGATARPGDLVVIDVKGSIQGSGEVFVDTFGGDGMKKRPLALVMGSRPYSKGICEGIETVLKSMKAGGKRRVIIPPNLGFGEEGADLGTGLQIPPSATLEYVVEVEKVSIAPA >Solyc10g052868.1.1 pep chromosome:SL3.0:10:53880077:53880737:-1 gene:Solyc10g052868.1 transcript:Solyc10g052868.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIKNLRTQNCGIVVLGEKDEDSENLDYYGDLANAIELQFVMDKKFKMKPLRIENEVDSNMKNAIEYMFITPSAIDQGQGRGLKSLDEK >Solyc10g076845.1.1 pep chromosome:SL3.0:10:59914460:59915783:-1 gene:Solyc10g076845.1 transcript:Solyc10g076845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSFLDKVCEISKQSFALLLKRSYNMVNQMEGYGNDKVLTDKQRLDLSDRLYLHVFPEDIRKLQLWPQKPDCFRYILRFYSEMTELDTHRANKILLGGRDVFEEYMKNMKLLSESLLKAMNCFLDQCGERGTTIARFNFYPPCPRPDFVLRVKQHADASAITILLQDKEVEGLQIMSNGIFKRVLTNAEQEKNTLAIFIMPDVAVGIGPVEKLINEERPRAYKDIKNYVALFFQSYQQGKIPIEAAKISN >Solyc03g013480.1.1 pep chromosome:SL3.0:3:45858835:45859284:-1 gene:Solyc03g013480.1 transcript:Solyc03g013480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRNNGSPLISTHLRSTNAREVPYSFLLLLIVAKYLVRTIFLIVSRASSELQTMFERNLFLDALEQLRDSLEEIRGSTSSNNLLGPAYGVKSKISKKKDLNINLIDLIQNPINRIIF >Solyc02g093420.3.1 pep chromosome:SL3.0:2:54936664:54937799:1 gene:Solyc02g093420.3 transcript:Solyc02g093420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVLQILFHRNQIPNYQQQETQTVPNALSENISQARDTYTSRDYPANAQEDARLSKNERCGGSKSYNANLNLPKGNQSDDSVRIESCHSDTCGLKLSNFCA >Solyc12g011300.2.1 pep chromosome:SL3.0:12:4152002:4155722:1 gene:Solyc12g011300.2 transcript:Solyc12g011300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVILLDFWCSMYGMRARIALEEKGVKYEYKEEDLKNKSPLLLQMNPIHKKIPVLIHNGKSICESLVIIQYIDDVWKDIGPLLIPKDPYDKAQAWFWSDYMDNTVHEYARKTWATKGEEQEQAIKDFLGGLKLLEGVLGDKPYFGGENFGFLDVSLIGYYSWFLAYETFGKFNVELECPKLISWVKRCMERESVSKALPDSKKVCEFVLHLRNKIGLE >Solyc04g058090.3.1 pep chromosome:SL3.0:4:55140742:55146463:-1 gene:Solyc04g058090.3 transcript:Solyc04g058090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSCGANLELSRCIAASPVCHLKSSIFELRSCIPKLQGLSKKLLPLCKDINARSNKERSIPFSVTCSGSQVDTAADEPSSLTYKDAGVDIDAGSELVKRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHDTIGIDLVAMSVNDIVTSGAKPLFFLDYFATSRLDVDLAEKVIKGIVDGCQQSDCALLGGETAEMPDFYAEGEYDLSGFAVGIVKKDSVIDGKNIKVGDVLIGLPSSGVHSNGFSLVRRVLKQSGLSLKDQLPGESITLGEALIAPTVIYVKQVLDIISKGGVKGIAHITGGGFTDNIPRVFPKGLGALIYEGSWTIPPVFKWIQEAGRIEDAEMMRTFNMGVGMVLVVSPEAADGILMEVQKTSIAYRIGEVVKGDGVSYS >Solyc12g088720.2.1 pep chromosome:SL3.0:12:64957701:64962319:1 gene:Solyc12g088720.2 transcript:Solyc12g088720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:K4DGU3] MAQIQVQHQTPVAGGNGVAVAAAAAGAAAAVAAPGGGATSQFTSTSLYVGDLDFNITDSQLYDLFNHVGQVVSVRVCRDLSTRRSLGYGYVNYSNPSDAARAMELLNFTPVNGKSIRVMYSHRDPTLRKSGSANIFIKNLDKSIDNKALHDTFSSFGNILSCKIATDSNGQSKGYGFVQFDNEESAQGAIDKLNGMLMNDKQVYVGHFLRKQERESTTGMTKFNNVYVKNLAESATDDELKNVFGEFGTITSAVVMRDADGKSKGFGFVNFENADDAAKAVEALNGKKFDEKEWYVGKAQKKSEREQELKNKFEQTAKEAVDKYQGVNLYVKNLDDTIDDEKLKELFAEFGTITSCKVMRDPSGISRGSGFVAFSTPEEASRALSEMNGKMIVSKPLYVALAQRKEERRAKLQAQFSQLRPVAMPPSLAPRMPIYPPGAPGIGQQLFYGQGPPALIPPQAGFGYQQQLVPGMRPGGAPMPNFFMPMVQQGQQGQRPGGRRGAGPVQQSQQPMPLMQQQMMPRGRMYRYPPGRNVPDGPMPGVAGGMLSVPYDMGGMLPRDAAAMGQPVPISTLATALANAPPEQQRTMLGESLYPLVDQLEHEHAAKVTGMLLEMDQTEVLHLLESPEALKAKVSEAMDVLRNVQQATSSPADQLASLSLNDNLVS >Solyc02g068530.3.1 pep chromosome:SL3.0:2:39050518:39058845:1 gene:Solyc02g068530.3 transcript:Solyc02g068530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPSMETVPVSPPPQALLERLKDYGQEDAFSLWDELSSEEKDLLVKDIENIDLPRIDRIIRCSFHSQGLPAAAIEPVPESCVSTVEERTMEDREKWWKMGMKAIAEGKLGVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFHLQAERILCIQRLAAITANEGAGIVPIQWYIMTSPFTDEATRKYFESHKYFGLEADQVTFFQQGTIPCVSKDGRFIMETPYRVAKSPDGNGGVYTALKSSRLLEDMNSRGIKFVDCYGVDNALVRVADPTFLGYFIDKGVSAAAKVVRKAYPQEKVGVFVRRGKGGPLAVVEYSELDPSLSSAVNQETGRLRFCWSNVCLHMFTVDFLNQVANGLEKDSIYHLAEKKIPSIHGQTVGYKLEQFIFDAFPYTPSTTLFEVVREEEFAPVKNSNGSNFDTPDSAKLLVLRLHTRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLESICRGRTFHAPCEISF >Solyc10g075080.1.1.1 pep chromosome:SL3.0:10:58848653:58849021:1 gene:Solyc10g075080.1 transcript:Solyc10g075080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSENGSAAWADEVEEVMLQKPKAGKVWDTFDISKLAKVGFKLDYLAPEKHGEGSIIDIDFEDIESEVNYWNNVVVCYVLGAHLPFTVINGYIQRIWAKYGFNKMAMLKNGVMIAMFNSV >Solyc07g019440.3.1 pep chromosome:SL3.0:7:11184013:11189170:-1 gene:Solyc07g019440.3 transcript:Solyc07g019440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CCQ6] MDALCAGTAQSVAICNQESTFWGQKISGRRLINKGFGVRWCKSFTTQQRGKNVTSAVLTRDINKEMLPFENSMFEEQPTADPKAVASVILGGGVGTRLFPLTSRRAKPAVPIGGCYRLIDVPMSNCINSGIRKIFILTQFNSFSLNRHLARTYNFGNGVGFGDGFVEVLAATQTPGDAGKMWFQGTADAVRQFIWVFENQKNKNVEHIIILSGDHLYRMNYMDFVQKHIDANADITVSCVPMDDGRASDFGLMKIDETGRIIQFAEKPKGPALKAMQVDTSILGLSEQEASNFPYIASMGVYVFKTDVLLKLLKSAYPSCNDFGSEIIPSAVKDHNVQAYLFNDYWEDIGTVKSFFDANLALTKQPPKFDFNDPKTPFYTSARFLPPTKVDKSRIVDAIISHGCFLRECNIQHSIVGVRSRLDYGVEFKDTMMMGADYYQTESEIASLLAEGKVPIGVGPNTKIQKCIIDKNAKIGKDVVILNKQGVEEADRSAEGFYIRSGITVIMKNATIKDGTVI >Solyc08g062170.1.1.1 pep chromosome:SL3.0:8:50666858:50667355:1 gene:Solyc08g062170.1 transcript:Solyc08g062170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSLRNFNRSLNNFNNNREEVYVMKLLIEKAWSITLVNDTFPHPRNIYRVIVNDESGREFKTNIGVGKPAPVWNEVIEICFKKSPVKEKLKLMVVRENCYNDPGTSTGEKVVGRATILVPDDVQCKKDGVIEFVKFDGIENKVKGFISYRILLTKERRHYTNIN >Solyc05g052830.3.1 pep chromosome:SL3.0:5:63840852:63851826:-1 gene:Solyc05g052830.3 transcript:Solyc05g052830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIHVGIVLSTGINSAYALGYAGTIMVPLGWTGGVIGLVLSTLISLYASTLMAKIHEYGGKRHIRFRDLAGFLYGRTAYSIVWASQYANLFLINIGFIILGGQALKAFYLLFKDDHRMKLAYFIAIAGLACVLFAIAVPTLSSLRVWLAISSAFSLIYLTIVFVLALRDGINSPPRDYSIPGSKISRVFTTAGAAGSLIFVFNTGMIPEIQATVRAPTVDNMLKALYFQFSIGSVPVHVVTYVGYWAYGSSSTSYLLNNVSGPVWAKALANITAFLQAIIALHIFASPAYEYLDTKYDIKGSALAIRNLAFRTLVRGGYLALTTFLSALLPFLGDFMSLSGAISAIPLTFILPNHMYMIAMKKNLSSLQKSWHLLNIIFFSALAAAALVAAFRLIAVDSKTYHTFADFAFALGYAGLIMVPLGWVGGVVGLILSSAISLYASTLIAKLHEYGGRRHIRYRDLAGFMYGQTAYSLVWASQYANLFLINTGYVILGGQALKAFYVLFRDDHQMKLPHFIAVAGLACVLFAIAIPHLSALRIWLGFSTFFSLVYICIVIALSLKDGLEAPPRDYSIPGTKNSKTWATIGAAANLVFAYNTGMLPEIQATVREPVVNNMIKALNFQFTLGVIPMHAVTYIGYWAYGSSASSYLLNNVSGPIWLKGMANIAAFLQSIIALHIFASPTYEFLDTKYGVTGSALACKNLAFRIIVRGGYIAITAFLSALLPFLGDFMNLAGAISTFPLTFILPNHMYIVAKRKKLSFLKKSWHWLNIIFFSCIAVAAFVAALRFITVDSTTYHVFADL >Solyc07g026580.1.1.1 pep chromosome:SL3.0:7:29309079:29309438:-1 gene:Solyc07g026580.1 transcript:Solyc07g026580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLINMNVWNRAAIAMLCWDLANNEDKLWIKWIHAYYLKGHNESSWMIRKIMHAKEIVDQVKLKEGKGMVKQIYDYLRGEQTKPKWKCLMFKNAASPKAIFTLWILLNKKLETVDRLAK >Solyc11g056470.2.1 pep chromosome:SL3.0:11:45670442:45671839:1 gene:Solyc11g056470.2 transcript:Solyc11g056470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAANKLSAIAAEMGQLQNEIQQHHRVLNSFLRSVRTMDHARKEARIRATRERIEGLEERQQALRAEQQTLIVHGALGRLEDYNIRLRQLFLVCSISVCRRKVVCFFFFFVWWRSTPMLSVFVCRGNPVNLKNYLVRDCLLLLMPQQRIEGKLSIPDQAPIKAYKSVNLILKGLLGSRLLVKRSGVKKGGDAALAFSANSSDSLPSFRLRGYILVAIKGHISLYKEKGIVWAFASRTIASQPAFPVLRSLIRFRRGWECRFGCLLPYDKEESFPRASAS >Solyc07g043590.3.1 pep chromosome:SL3.0:7:57656564:57663074:1 gene:Solyc07g043590.3 transcript:Solyc07g043590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQHKSNRQLQRAPCFSSAGQRAEASPSVIVIGGGIAGLAAARALYDASFQVVVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQDLVTKVGETFESILKETDRIRQEFSEDMSIGRAISMVFDRRPDLRLEGIAHKVLQWYLCRMEGWFAADADSISLKYWDQEELLPGGHGLMVRGYRPVINTLAKGLDIRLDHRVTQVVRRYNGVKVTVEDGRTFVADAAVVAVPLGVLKSNRIKFEPRLPEWKEAAINELGVGIENKIILHFEKVFWPNVEFLGVVAQSSYECSYFLNLHKATGHPVLVYMPAGQLAHDIEKLSDEDAANFAFKQLQRILPNATTPIQHLVSHWGTDVNSLGSYSYDAVGKPHEFYERLRIPVDNIFFAGEATSMDYPGSVHGAYSTGLMAAEDCRMRVLERYGEVDLFQPVMGEDTPVAVPLLISRM >Solyc11g068970.1.1 pep chromosome:SL3.0:11:53840825:53844040:1 gene:Solyc11g068970.1 transcript:Solyc11g068970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASMNNDEKPSTFFTKGLLWFKSLHEKIFANIVGIAKQFKKNAKDDPRRVIHSLKVGLSLTLVSLFYYFQPLYNGFGVSTMWAIMTVVVVFEFSVGATLGKGLNRGMATLLAGALGVGAHYLASASGKVVEPILLGLFVFLQAFALTFIRFFPQVKARYDYGMLIFILTFCLVSISGFRVDEIVDMAHKRISTILMGASVCIIVSIFVCPVWAGEDLHKLVAKNMEKIGNFLEGFGDEIFKSSEDITESKVAKPSLIEYKSVLNSKNTEETLANFAKWEPGHGQFKYRHPWKQYLKIGGLIRQCACRIDALNGYINSEIKAPEEIKEMIKETSMRMSIECGKALKELSKSMRKMNLPISADEHVTNAKNSAKKLNSLLKSRINNWEEINLLQVIPLTTIASILRDIVTCVEEIGQGVNELASLANFKTTKSSKEIINTNKMVVVEKIELSNNHVVITINEQNMKL >Solyc01g110350.2.1.1 pep chromosome:SL3.0:1:96996622:96997710:1 gene:Solyc01g110350.2 transcript:Solyc01g110350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAMAVDDDMKDDSDSPLDLISIDSNKSGDVLSPEDVAWADSCLINDLAILDHGMDSLKHVLLDTFPSQAIFSAVVRDDSPQDSRIVPSIEETEISGILDDSIYDFSPINEQEGDTTRHLINNKDPDIFWSRINLENVFSSTYNENMRVVEPSDSEVDSEFSTFVEENLDDDIFKVWELDIPDEEDGLVKQLNKALVGSSVDSIPPASENVEVLVNKLVDDIVSGLDDMSLNPTTD >Solyc05g041170.2.1 pep chromosome:SL3.0:5:50848826:50850692:-1 gene:Solyc05g041170.2 transcript:Solyc05g041170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILHWRPNAGTSVNTQILTEISQCVESINGVKDGTWKNTLSFYKPIIKEQANASEFPQNYLGISLQGHPNKYYMLIREERLIIEAESSLQKIMETLQSYKLRVTLIFEGFQYQLDDFRVRVGKAVPNNSENMRGIIMEIEYLPISSWEISHQIMGEFFDIWKEALGKRSLPGHFVHIEPNFAEYGLSDQYTSQHTAVQYDIIITQMVATAISSQGIRI >Solyc02g077525.1.1 pep chromosome:SL3.0:2:42987976:42996151:1 gene:Solyc02g077525.1 transcript:Solyc02g077525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATSSSILFQQMLLYTLTKSACKLRKSHSSINGGVRDALSRTGTFDSIGVVVYSFVGVTTGRFGSREDIVGSVVGVFSDVES >Solyc01g105920.3.1 pep chromosome:SL3.0:1:93849594:93852680:1 gene:Solyc01g105920.3 transcript:Solyc01g105920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta myrcene/limonene synthase [Source:UniProtKB/TrEMBL;Acc:G1JUH4] MVSIFSNAGMMMVTFNRPSFTCFSSLHHYSISARGAINNISTPISATRRSGNYKPTMWDFQFIQSLHNPYEGDKYMKRLNELKKEVKKMMMTVEGSHDEELEKLELIDNLERLGVSYHFKDEIMQILRSINININIAPPDSLYTTSLKFRLLRQHGFHISQDVLKDFKDENGNLKQSICKDTKGMLELYEASFLSTETENTLKSATRFTMSHLKNYVDNHSCGNQDDDIIVELVVHALELPRHWMMPKLETEWYIRIYGRMPNANPLLLELAKLDFNIVQAAHQQDLKILSRWWKSMSLAEKLSFSRDRLVEDFFWSVGLAFEPQHSLCRRMLAKNVAFIIVIDDIYDVYGSLDELEIFTHAVERWDIKAMEQLPDYMKICYLSLFNTTNEMAYHILKQQGINVLPYLTKQWTDLCKSYLQEAKWYHNGHKPRLEEYMDNAWISIATPLVLLHAFIFLTNPITQEALESLNNYPDIIRRCAIINRFVDDLGTSSDELKRGDVPKSIQCYMNDTGASEEEAREHINLLIKEMWEVMNKDQISKQVLFSEEFIKIVFNFSRTSHCVYQHGDGHGIQNSHITNRISKLLFEPLII >Solyc08g081560.1.1 pep chromosome:SL3.0:8:64698211:64698851:1 gene:Solyc08g081560.1 transcript:Solyc08g081560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEYKHNNIFEESDQHKNKHAHLLGYTRTLQWKCVESRTDSKRFYYECFILSPLMKGQADTIGIAMQRALLGKIEETYITRVKSEKVPHKYSTVSKKYYSKSSDKYYETYVG >Solyc04g005490.3.1 pep chromosome:SL3.0:4:324730:332743:1 gene:Solyc04g005490.3 transcript:Solyc04g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVPITTRGGEPTDSGDPVVTLDQVPRWSNAEFRYAHENEDPTNSCFPDPLASASGSEFNGSGIVSKFPMDHEINSKIYLWRGDPWNLEVDAVVNSTNENLDEAHSSPGLHASAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHIAAENALSHCYRSCFELLIENGLKSIAMDCIYTEAKSYPREPAAHVAIRTVRRFIEKQKDKVEAIVFCTTTSQDTEIYKRLLPLYFPRDKHEEEVALLKLPADVGDENGETTIDERKIRIKPLPNVKKSNPRISQASVDLSVSNVGLTRSSSYLDAFLDPAFMSLIKDPDQRRKEQWEKTAQAQTSWNFFKMFGYGDVAGPPLSAAEEYSLHSRYIAKANTLNFSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFIIHVVKEFEPLIQKPYSIVYFHSAATLQMQPDLGLMKRIQQILGRKHRHNLHAIYVLHPTFGLKSAVFGLQLCVDNVVWKKIVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >Solyc03g083050.3.1 pep chromosome:SL3.0:3:54303657:54305200:-1 gene:Solyc03g083050.3 transcript:Solyc03g083050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHYIRHDDQTTSTNFSSSYSTPKLSLSKLPNKPIRNTNNDVLATYSTPPLHPTLTIPFQWEEAPGKPKVTKSKTARCLDLPPRLTLLLNEGGKITNTPSPTTVMDGPYSVGRCFSSIDEEIVSSNNKENIMMGSWRWENMKENNNNNRGVVDKGNFDFSGSLNRSTNSSVRLTRKGSFFNFSRNLGGIYEGFKQVVPRRWRRPKNKRAI >Solyc12g036020.1.1.1 pep chromosome:SL3.0:12:44570439:44570609:-1 gene:Solyc12g036020.1 transcript:Solyc12g036020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERFNPVHIMSFSGAPGNASQVHNRGMRGIMSDSQEQIIHLPIQSNLCEGLSLTE >Solyc11g011570.1.1 pep chromosome:SL3.0:11:4638263:4639371:-1 gene:Solyc11g011570.1 transcript:Solyc11g011570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSCKWGLRRIEYIYSYLVEGVICTSIYTLETPNLIKVDGRKEEKQSIISLLQIFSISMVDFGERRHHYASQIRIRSRPIFNFHQYSNRSGNIKSDLPTLVLQFTLFARQEAWRKSPVNQPSELIYLNEFYKFETRSCEVKLCPSQYTSQDVFFSVLKENFTNWGDYYEVSNDYVIRQMILQMDKILERVLKRVGNTNCEYLEIFVGIALKMEYVLDGRMQGIPRRLNGGMVPATKTSVMELPERMDIDDDQCLKDIECVICLEQLALKKEGGKIICMPCSHMFHGDCITTWLETSHYCPICRYDLPTP >Solyc10g007460.3.1 pep chromosome:SL3.0:10:1804042:1807992:1 gene:Solyc10g007460.3 transcript:Solyc10g007460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLKKFNSWRFRTVASTCLNSSTPYQFSSFLGRPFSFSAQIEKESTSSDSVAKKPLGVFFQEAVGLLEKSENKELKVKSVKLMKSTPLSMEDHTVCKELSPDMALFVAHLYNEGYFKYSNFLSGKKFDITCFENSYARNYITYAAKQFGRDHQEIVKWLSGSDLKTIALFGCPSIAKQNVLSAKRLRKYFRIQEDNVCSKCALKASCKFVNQNVRKGDRTNLHLAAVLRVIILYALESVPPQLVIPDEIKASVRRLLMDILRLSQTVS >Solyc03g114680.3.1 pep chromosome:SL3.0:3:66065389:66071704:-1 gene:Solyc03g114680.3 transcript:Solyc03g114680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAKKINCLLNTRTHLTRKPSIQGNQKPQNALLHTHKKKRIFSASPMAQVSISLLNFLNDGEDDVIEHENGVVEDLDSSPYWPLSFGDFDVYDPSDLSDFPSRSQISSYSRGRTGLALSDDVSETDSVVTVMDRENQVNFVMDMFQQRVEQSQSLIRVVSNPDLLHADPVLETDFGLIGGNEFTGMSSLDVDFGLGLGFRGDSDNSGFHIEDCDGPEQFVSGLRVVDYESDWDPDDNCVSGRLFNLEEVDGEDENENICDNESDDPSLRLCWGSFQLEDHRDVNEDFEWEEIDGRVDEREILSMFLDVEEVSESPAPEDRARELENLEWEFLLNVQNLEPDPEITNDEFDFGRHVDRDDYNYTTEYELLFGQFAEGDHGFLGRPPASMTVVRDLPTVAVSKGDLENNNATCAICKDELNLGEKVRQLPCAHRYHGDCILPWLGIRNTCPVCRYELPTDDHGYERRRRVQTIHTLELDQVLFIVIVSVASQLGRAKSEFLLALECLQIDHHHHPNAREVGEKLYGICLDKFCSGANGTSYPISLL >Solyc05g015520.3.1 pep chromosome:SL3.0:5:10826066:10831692:1 gene:Solyc05g015520.3 transcript:Solyc05g015520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLAMLRQLIGQVKQLLELQSSSPSSSSLLAVPPNISFHLQTPPLVHLPRCYFLNLDENSAEDSCYNIIMTAGKSENLKMLEPGKPPPKKKARKERNQGKVTGTSCSIENLDQQIWKEFPEDLFEAVVARLPVATFFRFRLVCRKWNSMLTSQSFSEECAQVRQPQPWFYTITHENVNTGAMYDPTLKKWHHPTIPALPTKLIVLPVASAGGLVCFLDIGHRSFYVCNPLTRSFKELPARSVKVWSRVAVGMTLCGKSAGEGYNILWVGCDGEFEVYDSRNNSWARPGTMTSNIKLPLALNFKSQTVSIGSKLYFMRSDPDGIVSYDVVSGVWKQFIIPAPLHLSDHTLAECGGRIMLVGLLSKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHVRMTCLGNKGLLMLSLRSRQMNRLVTYDFSSREWMKVPGCVLPRGKKRQWIACGTAFHPCLTAVA >Solyc08g007770.3.1 pep chromosome:SL3.0:8:2287276:2294106:1 gene:Solyc08g007770.3 transcript:Solyc08g007770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKFGFTITTSSRLFHATFRKKTIFSPNSVSPLINFGGRKLSIRRRLLVLSPKATADQPGQLREDEIEDSKILQYCSIDGKGKKSLGEMEQEFLQALQSFYYEGKAMMSNEEFDNLKEELMWEGSSVVMLSVVIAVSHYAGPDEQRFLEASMAYVSGNPIMTDKEYDKLKMKLKRDGSDIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPAAVVALGLFFFLDDLTGFEITYLLELPEPFSFIFTWFAALPLILWLSFTITNAIVKDFLILKGPCPNCGTENTSFFGTILSVSNGGSSNKVKCSGWSLTMFLVVATLAIIDRVNGAGYGDTPSGFQATPWQLSHATFYGDESASATMGGACGFGNLVTSGYGTDTAALSSVLYNKGFACGQCFQIKCVQSDSCYSTIVTVTATNLCPPNPAQDSNNGGWCNPPRTHFDMAKPAFMKIAQWKAGIVPVSYRRVPCVKKGGIKFSLQGNGYWLLVYVMNVGGSGDIASMWVKGSKTSWLAMSHNWGASYQAFATLSGQTLSFKITSYTSHETIIATNVAPSSWQVGMTYQANVNFK >Solyc07g026990.1.1.1 pep chromosome:SL3.0:7:32910915:32911100:-1 gene:Solyc07g026990.1 transcript:Solyc07g026990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVNDNWVKDRIGYYRMYCEILGVSPGHNLDCSNQRHFEIAFFHLNNSYFEMKKVFRLFP >Solyc08g068017.1.1 pep chromosome:SL3.0:8:57171422:57180178:-1 gene:Solyc08g068017.1 transcript:Solyc08g068017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRAGCMQKAKYDIGEEAVNRFSLPPEDKATLELAEWVDSAFGRASFFRAGSDVEDAVFRAADGTSPVQELDFSSLRAQLGPLPAILLCIDIAATSAKSSSISCKLLSQVTLYSHKRTQQETDEEGFTNPQGRHMAAGMLYRMGRSGSVLCRSKWSPSSDKRRPGCRLNLQLAEIMLSEIYPGNSPKIGSTYWDQIREVAVISVIKRVLKRLQEQLEQLRIYPYNAKTALANDKEIFSETGDKAYKQLRMDRNPKAIDLGLSPGGIAK >Solyc12g007000.2.1 pep chromosome:SL3.0:12:1441071:1446300:1 gene:Solyc12g007000.2 transcript:Solyc12g007000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLCKITLPPDNYLATYSKKIRGKIVENRIAELDGISVRAFFKWWGITGIRSSGENLVFEVGMGKSIPLPENSTFSIEERIENKLLYACATSKDKSEKGICQLLPLNGL >Solyc07g005640.3.1 pep chromosome:SL3.0:7:514252:518364:1 gene:Solyc07g005640.3 transcript:Solyc07g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTNLKAETMKLMDERTNTEAEMDVVIQRLCQPGGPGLSGNLVDSEGFPRTDIDIPSVRADRRRLAELRNDHKIITEKIDQNIQVLHSARLASSLSSVKDSGVQGSAVNIGSSSSPGNYSVTAATSAAMDIDVVFSRPFAVIDEITEASPAAEDGLQLGDQVVRFGNVQSGENLLQRLAAEAQSNQGCVVTMTVLRQGAMTNLQVTPRVWQGRGLLGCNFRIL >Solyc06g072277.1.1 pep chromosome:SL3.0:6:44699731:44703209:-1 gene:Solyc06g072277.1 transcript:Solyc06g072277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSTALSTDVKESNQENLFMVRGNLSNDGSPREQEADEEKSEHLHGDCDRGDNENAYDEDEEEDMDFNPLLKETASLDASSSLSSEIEGLDADAVDSGQNIDESLRVCCEERLPDFSQDCLIGDKELGEEIVMRNRASSAACPEDLRKISPSEPKERDSTLDTEPESGISNSKKTVLNGGGDHFEDLSVGGCNNIANSGRSIIDMDNEDAICKRTRARYSLASFTLDELETFLQETDDEDDLQNVNDEEEYRKFLAAVLHGGDGNSGNIQDNENVDDEDEDNDADFELEIEEALESDLDEHLKDDIEEYEAVGRRPKTRQTRRQRSSLENKNKILGLSDRPLRPLLPYLPSSPYSVHGAKGMMPPSSLLPANDGFVNGFTPHQIGQLHCLIHEHVQLLIQVFAVCVLEPAKRHIASNVGELISQMLRKRDEVLANRSVPYPSFCFFSPYVCPSVSDEPLHISPFQITNKISSAHDLQRGFTNNQVGCPLGSWVPHINGPILSVLDVAPIKLVKDFMDDVSHAVQDYQCRQVGGLNDSCSEKKPLFPVQNIHFTAEPDGRASLYSNSVPPSSSISQKSKKTLAAVLVEKAKQQAVASVPNEIAKLAQRFYPLFNPALYPHKPPPAMVANRVLFTDWV >Solyc06g072610.3.1 pep chromosome:SL3.0:6:44915930:44922496:-1 gene:Solyc06g072610.3 transcript:Solyc06g072610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C8Y1] MNGVAPPRSTGSDGGAVENTSFLRMYQTWKGSNKFCVQGRFIFGPDVRSLFMTIFLIVAPVAVFCVFVARKLVDDFAGHWGWSIMVVAVVFTVYVLVLLLLTSARDPGIIPRNPHPPELENFEGSIQSGPGQTPQLRLPRVKDVNVNGITVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCLYVHGFCWIYIKRIMDGEQTNIWKAMARTPASIVLIGYTFISVWFVGGLSVFHLYLIGTNQSTYENFRYRYDRRVNPFDKGVIHNFLEIFCTSIPPSKNRFRERVQREAGIPAREVAGGFVSPNLEKTMSDLEMGRKPGWHETATGTDDFEEPPRNDNQLDKDEELLSASPELSNTALAEGRSILHPRRSSWGRRSGTLNIPPDVIAMASEIGESNRTTVSDSAYRIDNHQ >Solyc10g074950.2.1 pep chromosome:SL3.0:10:58731894:58734296:-1 gene:Solyc10g074950.2 transcript:Solyc10g074950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDAINYLDTILVPLSLFITIGYHLYLWHHLKHKPSHTTIGMNMLKRRSWLRELNQGSEKKGTLAVQSLRNALMETILTATITILITLAVAALTNNTLKVSNLFTSAFFGSQTGKTIVLKYGSATIFLVASFLCSSMALGFLIDANFLVNALGEFSINPTHTETIFERGFTLAFVGDRVLCMTFPLLFWMLGPVPVVVSSIALVWGLRERDFAGNLSTTMNKTRALLEGEC >Solyc12g088810.2.1 pep chromosome:SL3.0:12:65016911:65023358:-1 gene:Solyc12g088810.2 transcript:Solyc12g088810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKLHEEEGSAIVALGSLLKLTEVHLSDYSQEGKVLLESANTDVYKSNASPLNVLADFGSLLEDLELARQMNEMGLPVSFHTKKEQKRNRTVKGKRKDGKKKILSSCENTQDEVLTSIQELKEEFEPNGTLHGNSNKDSSYLSLLGQREFSSFYTGDGDYHRLNGGEEGLNNLSAGSAHTSLECIDCNQQSGLSLDNTKDNVSICEKVQVEKDVGVMVGSSVECGNHAESCPKNSNVDSRCISGEASNGHCEGNPLNGEQMEYACMECSLSAEQVESIATQSAEHCTEDGLLFDVVGEELNSCKATNNNCEGTTNDWRFYWDNDYQRNYFYNIVTMQCTWDPPPGMDDLIFTNFTTKQPETALEMVELDDADLKESNDLQTSASLPSELDIADGIIEDDVLLDRQLDELEGAGQFADNLCTLSSTKQKKRVRKTKAKWKLPTEAQELEFCNTNEEISPSLNKYWCQRYLLFNKYDDGIKMDGEGWFSVTPEAIAKHHALRCGSGTIVDLFTGVGGNSIQFAMRSKHVIAIDIDPKRIDLAQYNAAIYGVRDQIDFIRGDSFVLAPNLKADVVFMSPPWGGPDYLKERTFDMKTMLRPHDGNFLFSIGRGIASKVVMFLPRNVDINELAELSLSANPPWSLEVEKNYLNGKLKAITAYFCKPS >Solyc02g070760.3.1 pep chromosome:SL3.0:2:40946056:40949794:-1 gene:Solyc02g070760.3 transcript:Solyc02g070760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEIKEEKEAGIGQKKKIFVAGATGNTGKRIVEQLLAKGFAVKAGVRDVDKAKSVLPEANPFLQIVKTDVTEGSAKLADAIGDDSNAVICATGFQPSWNLLTPWKVDNFGTVNLVDACQKLGIDRFILISSILVNGAAMGQLFNPVYIILNVMGLVLVAKLQAERYIRKSGINYTIIRPGGLKNDPPQGNIVMQPEDTLFRGSISRDQVAEVAVEALLHPESHYKVVEIVASTDAPKRSFEELFGSIEQQ >Solyc02g085980.1.1.1 pep chromosome:SL3.0:2:49421248:49421712:-1 gene:Solyc02g085980.1 transcript:Solyc02g085980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRKEGGTKEVQVEIINLDEEIEKRSEKSVGEIRPLDVKDYEDMGLILDLEKLGETNYESAGIDFNAMFSDFLPEDEEKVMEFTPYEKEIINPPVVLSSTVSSLMELEKLMEIPPPDEGSLLATKANWDFELMEWIWSPYTYEECLRYNKKK >Solyc09g015170.3.1 pep chromosome:SL3.0:9:8152443:8155216:1 gene:Solyc09g015170.3 transcript:Solyc09g015170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRNSDGVIVTQSDFQALKAIKHELIDFRGILKSWNDTGLGACAGGWLGIKCVNGEVIAIQLPWKGLGGRISEKIGQLQALRKLSLHDNVIAGPVPTSLSFLPNLRGVYLFNNRLSGSIPPSIGRSPLLQTLDLSNNQLSGTISPSLASSTRLYRLNLSYNALSGSIPVSFTQSPSLTFLALEHNNLSGSIPDTWGNVVVNKPYQLQYLTLDHNLLSGKIPVSISKLSMLEEINLSHNHINGTIPDELGSLLRLTVLDLSNNTINGTIPASFSNLSALSTLDLKSNLLDSQIPDTMYRMRNMSVLDLSNNKFIGHIPATIGNISRLTSLDLSGNNFSGEIPDSLVSLANLTSLDVSYNNLSGIVPSLLSRKFNSSAFVGNLELCGYSPSTPCASPPPQTVPSPVSGVVKPHRHRKLSTKDVILIASGALLVVLLLLCCMLLCCLIRKKANSRAKNGGKAGGLATTTGRGAKSVPAVGGAEVESGEAGGKLVHFDGPFVFAADDLLCATAEIMGKSTYGTAYKATLEDGNQVAVKRLREKITKGQKEFEAEVAELGKIRHPNILALRAYYLGPKGEKLLVYDYMSNGSLSSFLHARGPETTIDWPTRMRIAIGITKGICFLHTKENIIHGNLTSSNILLDEHNNPNIADVGLSKLMTTAGNTNVIATAGTLGYRAPELSKIKNASTKTDVYSLGVIILELLTGKSPSEATDGLDLPQWVASIVKEEWTNEVFDVELMRDAPNIGDELLNTLKLALHCVDPTPTARPEAPQVLQKLEEIKPEMMLAATSSGDDGTTVQEKSDE >Solyc01g013810.1.1.1 pep chromosome:SL3.0:1:10114532:10114741:1 gene:Solyc01g013810.1 transcript:Solyc01g013810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIKCLQKFHSESLGKLGDSFLKCDVSIQLFKNYEIHHGGLLRIKKIKSFPMLHFETLDALVKYEIYA >Solyc10g076740.2.1 pep chromosome:SL3.0:10:59781574:59784899:-1 gene:Solyc10g076740.2 transcript:Solyc10g076740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDPNGLNAHVPLLEYIQNMKNIVLHIKSLSEKTRIIVLSTPPVNEEKIIKLFGISRRSNESSHIYSEACIKMCKELGIKVIDLWTALQNRNDWLSAHFTDGIHLTAEASKVVVKEILKVVKEADWEPNLHWKSLPNEFAQCSPTIFVGTDGNTPLNVADLDLDWQAQWI >Solyc08g075690.3.1 pep chromosome:SL3.0:8:59940891:59943474:-1 gene:Solyc08g075690.3 transcript:Solyc08g075690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKEEFEAHAEKAKTLPESTTNENKLILYGLYKQATVGDVNTSRPGMFNMRDRAKWDAWKAVEGKSTDEAMNDYITKVKQLLEEAAASA >Solyc11g068480.2.1 pep chromosome:SL3.0:11:53485483:53488727:1 gene:Solyc11g068480.2 transcript:Solyc11g068480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEKQNKEKEKVVAETTVQIDQEGLPKTIVRRLVKEKLSQLSTDTDISLLRDSLLAFSESARIFIHYLSATANDICKESKRQTINAEDVFKALEEIEFPELIEPLKASLEGFREKNSKRKSTSSKSPESNKKAKLKEPVENGKGKMKEQPSDNENEDAQAEEEVNEVEDRVDD >Solyc03g121260.3.1 pep chromosome:SL3.0:3:70898075:70911885:-1 gene:Solyc03g121260.3 transcript:Solyc03g121260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATFGIRSVPSNIWLHKFGARTAAASIRSRSFTTLASLSPVEDTEDIQLNVIPKEDARELVSNTSNNNNYKSNKSYYPKRGQTLELVCESLAFKGKGVCKVADSGFVLMCDRALPGERFIGRVTRKKDNYAEVSGGQVKKLKTITPHSDYVEAPCEYASHCGGCKTQSLSYEAQLKAKEQQVRDLVVHVGKFSEQEPEHAGVMKPIVPCDIQFHYRNKMEFSFGPKGWVPAEQLQEKSTDECVYALGLHAPGFFDKVLNVNKCLLQSDSANEVLATVQECWRDPELGLSPWNVHSHTGFLKHLMLRSGRNIETGLPELMVNFVTSSDNPECLRPLVEKIATIPEVVSIVNNVNTSIGNTSVGEKEYTLYGKSTITEILRGLTFQISANSFFQTNTRQADVLYKLIEDCAFLKGDGSEIVLDLFCGTGTIGLTLAKRVKHVYGFEVVAQAVSDARQNATLNFINNATFIEGDLNKIDSTFASNLPKPDIVITDPNRPGMHIKLIKFLLRLRASRIIYVSCNPATCARDLNYLCYGVPEQNIEGRYRLSSLQPVDMFPHTPHIECGRHVDHEVVLKPVPIYIISHESQLPATFLYPSPKNEMVVGLDCEGVDLCRYGTLYIVQLAFPDAIYLVDAIRGGRKLINACKPALESVYVTKVIHDCKRDSEALFYQFGIKLHNVMDTQVSFWYIIRGEKRSLFPSEGGWILFYLFLSSVDMPTMWNMHIWISFPDNSLKPYRRNEHFEKVLGWFSKTLDPQFWTYRPLSELMVRAAADDVRFLPYVFHRMMEKLSEESLWRLAVRGSLCCRCFCISDNEYADWPAIPSIPEFLNVERETLEDEILSILDVPPGKMGCVIGRKGSSILSIKESCKAEILISGSKGAPDKVFIIGPLKQVRKAEAMLRGRML >Solyc03g096360.3.1 pep chromosome:SL3.0:3:59838197:59840222:-1 gene:Solyc03g096360.3 transcript:Solyc03g096360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERIRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTKEEVDWYLGKRLGYIYKAKTKKNNSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGAKVRVFMYPSNI >Solyc08g067450.1.1.1 pep chromosome:SL3.0:8:56552737:56553678:1 gene:Solyc08g067450.1 transcript:Solyc08g067450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLIVKEVGNGSFGNVWRALNKQSGEVVAIKKMKKKYYSWKECINLREVKSLRRMNHPNVVKLKEVINENDILYFVFEYMECNLYNLMENRPHFFVESQVRIWCFQIFQGLASIHQQGYFHRDLKPENLLVSKDYMIKIADFGSAREINSQPPYTEYVSTRWYRAPEVLLGSPIYGPAVDMWAMGAIMAELLTLRPLFPGLGEADEIYRICSVIGTPSKSEWAHGHELAAAINYQFPQIRGVTLSNVLPYVSEDAINLITWLCSWDPCKRPTAVEVLQHNFFQSCFYVPPSLCSNTAAAPPSVGITANPLV >Solyc01g014390.3.1 pep chromosome:SL3.0:1:12869979:12873980:1 gene:Solyc01g014390.3 transcript:Solyc01g014390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHCQLEDYANGHAVTVSSVLVSTWQQEAEYESGTKSRIVLDVAAGLTYIKEVVCWLD >Solyc02g083440.3.1 pep chromosome:SL3.0:2:47403010:47404469:1 gene:Solyc02g083440.3 transcript:Solyc02g083440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSSVKSIFVEEQNDVPPICPKPRKLGSTTTLPEFLKSLNCNDNSQKNFDGRSGILNIVAEKTRDGRNSPSCYSGSPPGRTSNPLVQDVQFIQQMEHFSPLTRTNLSDKFGFTSVSPA >Solyc01g087420.3.1 pep chromosome:SL3.0:1:82246951:82267579:-1 gene:Solyc01g087420.3 transcript:Solyc01g087420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDFARRFESGDVEGSMKDVGGEEQGLSNVKVMCRLCFSGENEGGESARKFMSCKCCGKKYHRSCLKVWGQHRDLFHWSSWTCPSCRLCEGCQRTGDPNKFMFCKRCDAAYHCYCLQPPHKNISSGPYLCPKHTKCHSCCSNVPGNGLSKRWFLGYTCCDACGRLFEKGNYCPVCLKVYRDSESTPMVCCDMCQRWVHCQCDGISDEKYLQFQVDGNLPYACPTCRGNSYQGRNLEDAVQELWRRRDVADRDLIASLRAGAGLPVEDEIFSISSFSDDEDGTPVVKNEHSRSLKFSLKGLVGKSPKKSKEYGKKSSYKKYGKKKGLTGHKEGHPDAPSGGYSVGDVQNEELQAYGELESFSSPVGSFTKGICSINQAGVIKHKFIDEVTGDMGKRTVQMKGIKPQHLDEDDVGIQTSMPKTSKGPKFVIHLGSQNKNIADSPKYDASSCQKEQELATSNGSEDLVQLSENENSERNDTADKLGGGKGHKVNHMDQIKGQNHRGKESNLLKIKKVSSKGTNFPAKVGGKFAVGSGPYPPLKTFGILGKGSNDGSIIIRAGIEAPATRDNKLASVKHAEAGPASCDDLNDLKNSTPSVSNSARKDPKPLLKLKYKNPCHESQNAWASPGEEDKSVVKGQRSKRKRASAFGEKSSTRADDNLSQQYEDNTMDEFLDANWILQKLGKDAKGKRVEIHHSSDNTWHIGTVAEVFEGSPVVSVAFDDGKKMNVELGKQGIRFVPQKQKR >Solyc03g097060.3.1 pep chromosome:SL3.0:3:60842276:60849277:-1 gene:Solyc03g097060.3 transcript:Solyc03g097060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKFTYDLLWLFHNTYKETSNLTSNGSPVHRLIEQHSIDYWLWADLIAPESERLLKNVVRVYKQEEADLFYIPFFTTISFFLMEKQQCKALYREALKWVMDQPAWNRSEGRDHILPVHHPWSFKSVRKFMKKAIWLLPDMDSTGNWYKPGQVYLEKDLILPYVANLDLCDAKCLSSSRRTTLLFFRGRLKRNAGGKIRAKLVEELRGADGVSIEEGTAGEGGKEAAQVGMRKSIFCLNPAGDTPSSARLFDAIVSGCIPIIVSDELELPFEGILDYRKIALFVSSSDALQPGWLLSFLKSVSGAQIKEMQANLAKYARHFLYSHPAQPLGPEDLVWRMMAGKLVNIKLHTRRSQRVVKGSRSLCTCECRSPNATSPGPLS >Solyc03g116950.2.1 pep chromosome:SL3.0:3:67713514:67716874:-1 gene:Solyc03g116950.2 transcript:Solyc03g116950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSLVSGSECAISNSESGAEDRDKRWQSSVGESGAEALSFTSNTKEINFPKACKRQRKQRKRKYFLSQEEGEPTDDPTPSTMTMQHNLTPKGVHENKGVRVDVHGYKVKVSSGPILTAIFAKYGDIAVNCHYKSLAFRASVLDIVCDVVRRLKTGDFGSTSIDNMRILVSAAARVKLDVTWLQQYLDEISEEGYMEKKLSDLMELSKTTMLVSIAAKKDMIGRNRKVFTAEERLKKAEKRLQKARSRAGQVERSVKVLETVRKKVQQDIKEVEDQAQYRLNRLNELL >Solyc11g020860.1.1.1 pep chromosome:SL3.0:11:12831996:12832241:1 gene:Solyc11g020860.1 transcript:Solyc11g020860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARIARFVTEVAPPQFVNVMRHRASKMLDTINEEEREASKSELIFLKSFSPSSSSSSSNANNSKYFLKQVERSFPVYGS >Solyc01g058360.1.1 pep chromosome:SL3.0:1:66329543:66334202:1 gene:Solyc01g058360.1 transcript:Solyc01g058360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERFSGTLSSFDDVHMLTLMLPYGNDLHSLLSESNIQLPEKVRDFHIAKREEDIDSYAGYIGSAFMFGRTLTSAFWGVVADCYGRKPVIIFDTSIVYVRDFHIAKREEDIGSYAGYIGSAFMFGRTLTSAFWGVVADCYGRKPVIIFGTSIVVVFNTLFGLSTNFWMAVVTRFLLGNLNGLLGPIKAYAAEIFREEYQALGMSTISSAWGIGLIIGPALGGFLAQPAEKYPDLFTKGSLFGRFPYFLPCLCISVFALVVALGSFWIPETLHNHDSERPHQDTYKALEAASDTKDENESAPKENLFKNWPLMSSIISYCIFALHDMAYSEVYLYISLISLILRVAD >Solyc11g011400.2.1 pep chromosome:SL3.0:11:4475509:4476443:1 gene:Solyc11g011400.2 transcript:Solyc11g011400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSFHIKKSSKVCSNNIDDLSLKKSTTLANWYEEFEVLSRNYKKAQEPSSRYYKMMCVTSSYDDVVVMEKNVYNNSRLPEKSPAPVVKFDTCRPQRKHV >Solyc08g062703.1.1 pep chromosome:SL3.0:8:51885259:51886427:-1 gene:Solyc08g062703.1 transcript:Solyc08g062703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIIMQEDVKRQSRGNNILRSKGNNLLRKEKNLAGEKQGNLGKLRMMMKTYVKSKKDLRKIFSIKIEKGQNPQEPIGSRVIGFKGDKFGVSEPTN >Solyc02g079540.2.1 pep chromosome:SL3.0:2:44624762:44628539:1 gene:Solyc02g079540.2 transcript:Solyc02g079540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4B9H5] MKLSLSCKNILLCCAFLVLFFLITNASSDSISINEFLQDSETLVSNNKTFKLVFFCPENSANRYVGIMFNMKSQSVIWVANRDQPLQDSSGRVTISEDGNLVILNGQGKSVWSSNISPAVRNSTAQLLDTGNLVLKDNSSERVLWESFSDLSDSYLQNMKLGTDKSTNTTNLLKSWRSPVDPSDGSFSAGIQTETIPQIFIWKNGLPHWRSGPWDKQVFIGVPNMTSFYFSGFELVNDNMGTTYFYYSYYQGDDILYLVLNSTGFLQQKYLYARKNEWEVTWATPSNECDFYRKCGPFGSCDSESSPICSCLQGFKPKNQEEWVKGNWTNGCIRKTVLEKERNNSNIEQGKQDWFLKLQSMKVPDYPIWVPSAKEDCESDCFRNFSCIAYSYYRGIGCMHWEGSLIDSQKFSKGGADLFIRLAYTEQEKKKSNKVAIRIIVPIICTIVIAILGYISSKLLAKHRGRKRKRELLSKKSFPSYYKLSLARDDINRVKFEDLPIYSFDMLANATDNFHLSSKLGQGGFGSVYKGKLPEGQEIAVKRLSQSSGQGQEEFMNEVVVISKLQHRNLVRLLGCCIERGEKMLVYEYMPKRSLDAYLFGVHIEEEYFLDWSKRVIIIEGIGRGLLYLHRDSRLRIIHRDLKASNILLDEYLNPKISDFGMARIIAGNQDQANTIRVVGTYGYMAPEYAMTGRFSEKSDVYSFGVLLLEIISGRRNTSFYQEDGALSLLAWAWKLWNENKIVELVDSKIIELQLKKEIHRCVHVGLLCVQEYAEDRPNVSTVLSMLTREIDDLPSPKQPAFTTRPTPSKKGSSRIQVSVNDVSITIMEAR >Solyc03g093990.3.1 pep chromosome:SL3.0:3:57129880:57136766:-1 gene:Solyc03g093990.3 transcript:Solyc03g093990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPEIISPFSPRSDARPLIDRLLCKMRPLSSSSPPVLPNDASESGESAERRLHEAEERLREAIEELQRRQRRARGIYPPCDHADESCVANAIGNVCQSFLLSYGVRVGIGILLRAFKLARRQSYSSLLDLKQLVSEKDLIVREEACRIGLLFGGFSGSYHALRCLLRKLRRKETPLNAILAGSVAGLSILALDDSNRRRTLALYLLARLSQCAYNSAKSKNQFHLWGSHWSHGDSLLFAFACAQVMYSFIMRPESLPKAYRDFIQKTGPVAAPVYKAVKDCCRGSPVDVASLHAYLSKAKGSTTIKLEEFPSIIPCSVIHPGTKSCLVHDANAAAATFRKTFPLYFSLTFVPFVVLRLQKFMDAPTRTCWYALTGAVRSTTFLSAFVGIFQAVICCHRKVASKDHKLVYWLAGALSGLSVLLEKKARRGELALYVLPRAADSLWYILVNRHLLPDIKNAEVPLFCACMGGIMYYLEHEPDTMAPFLRGLIRRFLASRISNPTPPSNKGASYTYLHTHDATKETKMPEIRETETLTAEKYNLESISGL >Solyc03g111685.1.1 pep chromosome:SL3.0:3:63806853:63807306:-1 gene:Solyc03g111685.1 transcript:Solyc03g111685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGNVLMLTVASFFLYIQSSVEMFVRCGFYQFFNTLYTIAYEKVKATALFSGVFENLKLY >Solyc06g062920.3.1 pep chromosome:SL3.0:6:39833459:39835655:1 gene:Solyc06g062920.3 transcript:Solyc06g062920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-regulated dual specificity cytosolic kinase [Source:UniProtKB/TrEMBL;Acc:Q94FU1] MATCGIDWKSVLPNCFKGNNVRSEAKVMENSKQMNSDHHRLAFSDISTDSRSVLISLDDLSSNAVIGSNLHVFTYEELKLITSDFSSANFLGKGGFGPVHKGFIDDKIKPGLDAQPVAVKLLDLDGNQGHQEWLTEVVFLGQLRHHHLVKLIGYCWEEEQRLLVYEYMARGNLEDQLFSRYSSCLPWLTRIKIMVGAAKGLAFLHGEEKPVIYRDFKASNILLDSDYRAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTSKSDVYSFGVVLLELITGRRAMDKKRPLKERILVDWARPMLRDPHKLDRIMDPRLEGQYSTQGAKKVAALAYQCLSHHPRSRPTMSNIVKILEPVLDMKDIPMGPFVYVVPSSKPDKGTEIGELKTKVNDENKAGVRENEVDNAGENREDGNAKQRRVGHRYKHRLKTDASVYSDTHLYHKTVKHERTNKLNSY >Solyc04g012200.1.1.1 pep chromosome:SL3.0:4:4477905:4478090:-1 gene:Solyc04g012200.1 transcript:Solyc04g012200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRMHRQGLDGRPQKNLAFLTLESGSPKCAIAHENRRNEGYARFGARLPSKCVVQAVMAN >Solyc02g082035.1.1 pep chromosome:SL3.0:2:46318901:46319453:-1 gene:Solyc02g082035.1 transcript:Solyc02g082035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSKSSFSLFSLVFVILLSIGLHRVDAFGPPCKTNQDCVLYCSVPIQRGTGICLGGYCTCEKQESINNARKILHD >Solyc11g012245.1.1 pep chromosome:SL3.0:11:5127711:5127965:1 gene:Solyc11g012245.1 transcript:Solyc11g012245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSVILVTRLRVEFVVFFVVSTLSLGKQAKGMNVESGRGGIDDKGPLPNAKRRILKLASHAMKKQVVPPRLIEVR >Solyc12g077360.1.1.1 pep chromosome:SL3.0:12:21282097:21282276:1 gene:Solyc12g077360.1 transcript:Solyc12g077360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLPFATFAKSSPPLTYSMTMKIFVFVAMTSLSSTMLGCRTRRITDISLLICSIIA >Solyc01g088327.1.1 pep chromosome:SL3.0:1:82993998:82995295:-1 gene:Solyc01g088327.1 transcript:Solyc01g088327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASKKIAEVKLNQEDGKAPGAVSLCDVDLDQVSVDFFLNWCNKSSNDECFLATNHELSGLPPGRLPVHISTSSILQTLSTSGSIDTEPFEELYSLSKSQYLSSTQQQELTVDNIEDFDDGDDLDELDSRRYSRRVLNDAADLVLGLP >Solyc10g044670.2.1 pep chromosome:SL3.0:10:27326384:27332862:1 gene:Solyc10g044670.2 transcript:Solyc10g044670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSRDVHNFLLTCYSRAARQMKIEGYANFGMTPNNYVWKGNNGATHLFLMIEMSSSRPSQSSTTSSRSKHSARIVAQTSIDAKLHADFEESGDSFDYSSSVRVTSVAGDEEKPKSDKVTTAYLHQIQKGKFIQPFGCLLALDEKTLKVIAFSENAPEMLTMVSHAVPSVGEHPVLGIGTDIRTIFTGPSGAALQKALGFGEVSLLNPVLVHCKNSGKPFYAIVHRVTGSLILDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRVMGYKFHEDDHGEVVSEITKPGLEPYLGLHYPATDIPQAARFLFMKNKVRMICDCRAKHVKVVQDEKLPFDLTLCGSTLRAPHYCHLQYMENMNSIASLVMAVVVNDGDEEGESSDSSQSQKRKRLWGLVVCHNTTPRFVPFPLRYACEFLAQVFAIHVNKELELENQFLEKNILRTQTLLCDMLMRDAPLGIVSQSPNIMDLVKCDGAALLYKNKIHRLGMNPSDFQLQDIVSWLCEYHTDSTGLSTDSLYDAGFPGALALGDAVCGMAAVRISDKDWLFWFRSHTAAEVRWGGAKHEPGEKDDGRKMHPRSSFKAFLEVVKTRSIPWKDYEMDAIHSLQLILRNAFKDAEVVNSNTNSIYKKLNDLKIDGMQELESVTAEMVRLIETALVPILAVDVDGQVNGWNTKIAELTGLPVDEAIGKHLLTLVEDSSVDTVNKMLELALQGKEEKNVEFEIKTHGPSRDSSPISLIVNACASKDVRDNVVGVCFMAHDITGQKSIMDKFTRIEGDYRAIIQNPHPLIPPIFGTDQFGWCSEWNTAMTKLTGWRRDDVMDKMLLGEVFGTQAACCRLKNQEAFVNFGVVLNNAITGQESEKIPFGFFARYGKYVECLLCVSKRLDKEGAVTGLFCFLQLASHELQQALYVQRLSEQTALKRLKVLAYIRRQIRNPLSGIIFSRKMLEGTSLGEEQKNILHTSAQCQRQLNKILDDTDLDSIIDGYLDLEMLEFKLHEVLVASISQVMMKSNGKNIMISNDMVEDLLNETLYGDSPRLQQVLANFLLVSVNATPSGGQLSISGRLTKDRIGESVQLALLEFRIRHTGGGVPEELLGQMFGSEADASEEGISLLVSRKLVKLMNGEVQYLREAGQSTFIISVELAVATNSS >Solyc07g054770.1.1.1 pep chromosome:SL3.0:7:63109341:63109610:-1 gene:Solyc07g054770.1 transcript:Solyc07g054770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVTAVSLGVVEALKDQGFCRWNYTIRAINQHAKNNLRSYSQAKRISPRSSSLISPKSEMKEKAKQSEESFRKVMYLTCWGPY >Solyc02g077225.1.1 pep chromosome:SL3.0:2:42790775:42792899:1 gene:Solyc02g077225.1 transcript:Solyc02g077225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQAPISLKSSSSTPASLPRAATAGQQQPAAGDSRSAAASRGDSRSAAASRQPDQVQEVDNYAFVSCEFMPNIVGDLIWDTNTKMIP >Solyc09g091565.1.1 pep chromosome:SL3.0:9:71297559:71307450:1 gene:Solyc09g091565.1 transcript:Solyc09g091565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLSVSRRIVTNIHGFRSVQRTLCSDTGVKNPNLVSEKKPLEKPGLTDDIPQYDIAIVGGGMVGMALASTMPLTKQLTVAIIDSNPALINNFHIKKEDPPDPRVSTVTPATISFFKDMGAWEFVQQSRHAYFDKMQVWDYTGLGYTRYNARDVDADVLGCVVENKVLHKSLLSCIQNTDFQKTIHSSRLSSITFPAMSSVTSSSGTSSSASGSSAKLELDNGNSMYAKLVVGADGSKSSVRELARIQTTGWKYSQSAIICTVEHAVENFCAWQRFLPNGPIALLPIGEKFSNIVWSMDPKEAVDRSSMSEDDFVQAVNHALDDGYGPQPRSKSFEGGNVFSWLKADSTSSTHEGFEVPPKVTKLASHRMAFPLSLMHANSYASKRLVLIGDAAHTVHPLAGQGVNMGFADALCLSKVIAEGVAVGSDIGEITLLQRYESERKAANITMMAILDGFQKAYSIDFMPINVLRALAFNGAQYISPLKRKIISYASGNQMLPLFT >Solyc04g008295.1.1 pep chromosome:SL3.0:4:1981334:1983994:1 gene:Solyc04g008295.1 transcript:Solyc04g008295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRRRIFNKYLIAGVIAGAGITFQTLTPNSFLSSTDSPFINGVVRSSRALFTITSTVIDYKFALYGLNPHSEDYRLTLSELHLRSAKRILTMCEANKGIYIKAGQFVAAIRQVPKEYSTTLSSLQDHAIPFQFESIKQVLVSNLGLNCLSEVFFSFDEVPVAAASIAQVHHAVLVKDRQEVAVKVQYPGLEYQMKFDLVTMSLLSKLVGWIFPGYRFQWLVTEFEKSIASELDFIAEAKNLERIRENFKDNSMVRVPNVFWGFTTRQVMTMEFCRGRKVDDLEFLKERGISEVKVAKTLAEVFAEMIFVHGFLHGDLHPGNILVSPEGKNGFSLVLLDFGICKQLNEDFRLKYCELWEALVVKDPAKIQEIGEYFGVGKYSRYFPVIFTGRTIDSKSALGEGMSVEEKKNLKQELKSLKMEDISSFMESLPTDFLTVLRTDGLLRSLTSKLGAPLRVRLLAYAEYALYGLSLKADSKSDSAIEVVLFRFKIGLRYIQLRLLFGILGLVSWLANIKHTSTRRFKDFLASAEMKVNGFLRSVICSINKALAWEDEKQKFFCTMEVRSFAAVLP >Solyc11g006465.1.1 pep chromosome:SL3.0:11:1151331:1153169:-1 gene:Solyc11g006465.1 transcript:Solyc11g006465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPKAASQEDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGGGNPFGGMWWKQQSS >Solyc12g005760.2.1 pep chromosome:SL3.0:12:402523:411456:1 gene:Solyc12g005760.2 transcript:Solyc12g005760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDDFLQLLKRIGAFLTLKISNLSQTLDSRCAGAIAGLAFAIVFIWKIMRSPSGPQRRHPKQQAVTPGSSGVSSHFSENVPSSEVSPPSENSNAQNVIDEFFQPVKPALGQIVRQRLGEGRKVTCRLLGVILEETSPEELQKQATVRSSALEVLLEITKFCDLYLMERVLDDESEKKVLLALEDAGVFTSGGMVKDKVLFCSIENGRTSFVRQLEPDWHIDTNPEIVFQLARFIKYELHVTPTKSERTAINVFSSTSLEQFFGAA >Solyc03g115140.3.1 pep chromosome:SL3.0:3:66416468:66421727:1 gene:Solyc03g115140.3 transcript:Solyc03g115140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSEKRCLYEVLGVSRDCTADEIRSAYRKLALQRHPDKLVRSGVPESEATAAFQELVNAYEVLSDARERAWYDSHRSQILFSNSGPGNSSNSGSVPDLFSFFSNSVYSGYSDKGKGFYKVYGDLFEKIYQHDLNFARKLGTDLPKEAPLMGNLESPYAQVTAFYSYWLGFVTVMDFCWADQYDVMAGPNRKSRRVMEDENKKLRKKARREYNETVRGLAEFVKKRDKRPEWTKAEELADDGIEEESDEHERKKADENELYCVVCSKKFKSEKQWKNHEQSKKHKEKVAALREAFDEEDDEYSEVVEDGESEELNVADADRTTDLSADDGVNELAEHLEGSTRIQEDELEDDEVQSSDEDETTNYQSASDIKGVADELGLDDDEASVLEAMISGRKSRKNAVPGHSRQTSAKNEASNDEMDFMEYNNLRRSHVNEVDTGVEINSAKNDSSVCQGLSSQSPAETANDHKGDDVSSGNSEKVPSQDTNKKTTTKKDKNNKSKDTTKDAPKGRKQKGKSKSSSNLCDTCGEEFDSRNQLHKHLGSTGHAKLKSR >Solyc08g081120.3.1 pep chromosome:SL3.0:8:64327049:64333485:-1 gene:Solyc08g081120.3 transcript:Solyc08g081120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEAATENGDSASLNEILNFKGAAEDNLAESKLFDGIQSKHGLADIPAAKISELMKLNSLESASTHSLFSVVSNILDDSIERKNGDIPQCVASLVKLVVQEIEARVSKQADNLRKQNGLYKSREERYQSRVKALETLALGTTEEHEVIMKKLQQIKIEKAKMEEKEKLQEQDLIRLMEDNDHYKMQISSLDAELESSKHAHEKDRLQLEAQLEQTRVESENKILELQCLLSESTKKVQELEAFSESKLVKLKRRELGYKHFIDSHFGSLQELRMSSESIRKEVMRTKEIYVEELSHFGFNLKGLVDAAQNYHTVLEENRKLYNEVQDLKGNIRVYCRIRPFLPGQSQKLTTIEYIGENGELVVTNPSKLGKDSHRLFKFNKVFAPAVTQEEVFRDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPSMSSVENWGVNYRALNDLFNISQSRKSSIAYEVGVQMVEIYNEQVRDLLCSDTSQKRYPC >Solyc06g073930.3.1 pep chromosome:SL3.0:6:45798461:45812668:-1 gene:Solyc06g073930.3 transcript:Solyc06g073930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPSEDSSQQQQTESSVKDDQRVYFVPYRSYRLHLAVSSLGKILLISVCFHGVDQSVTHAYITSSTGTSTFTALLRNCICHYRWWKEAQDSAPSDGKSATLYAVAPAPSYGAMKLINNIFSPDIAFNLRREEESLSQSQENGEVGVSGRDYALVPGDMWLQALKWHSNSKAAAKNGKSFSVTDEDIADVYPLQLRLSVSRETSSLGVRISKKDNTVECFRRAYRIFSVDTEPSRIWDLSGQTALFFSNESNDMIKDSQKQSEQDLLLELQVYGLSDSVKNKAKKDEMSVSYLNGSSLLMNGSGSGITSNLTRSSSSSFSGGSCEAGTLGLTGLQNLGNTCFMNSALQCLAHTPKLVDYFLGDYKREINHDNPLGMKGEIASGFGDLLKKLWAPGASPVAPKTFKVKLSHFAPQFSGFNQHDSQEVLAFLLDGLHEDLNRVKDKPYVEAKDGDDRPDEEIADEYWHYHLARNDSIIVDVCQGQYRSTLVCPVCKKVSVMFDPFMYLSLPLPSTSMRSMTLTVIESGSDLQISTFTITVPKDGKLEDLIRALSIACSLESDETLLVAEVSAQFPIYNNRIIRYLEEPSDSLSLIRDGDRLVAYRLHKGTEEAPLVMFTHQQIDEHYIYGKLTSNWKAFGIPLAAHGRVLKGSDVCSLYLQLLVPFLVQNRAQMDEHNFDRSSTEVRTEMEPDDKRVNGFPARIAEENAAEHLDMEFHFYLSDDKAITKGAEIVMNEPLKSTDIPGRLNVLVNWSPKMVEKYNTSLFSSLPEVFKSGFFGKRPQDSVSLYKCLEAFLKEEPLGPEDMWFCPGCKEHRQATKKLDLWRLPEILVIHLKRFSYSRFIKNKLETYVDFPTHDLDLSSYMAYKDGKSSYRYMLYAISNHYGSMGGGHYTAFVHQGADRWYDFDDSHVSPINKDKIKTSAAYVLFYRRVEET >Solyc01g056530.2.1 pep chromosome:SL3.0:1:54584592:54587391:1 gene:Solyc01g056530.2 transcript:Solyc01g056530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSFSMHITVYLQLQKLLLSPTLFQPSIVTLRICSYLYQNLSKGSAIDLFATANIAVGYFLIMYVVTPLMYWFNVYKARNSPIFSDEKYDHEGRLYLSIVLLLTYGFSFACLTATVVHVFLFHGWDLWHLSKSALQEKKMDVHTKLMRKYKQVPKWWFMIILRINIIATVFVCEYYKNQLQLPWWGVLLACCLAFFFTLPIGVIAVTTNQTPGLNVITEYIILSTSSKMERQ >Solyc11g007790.1.1 pep chromosome:SL3.0:11:2028442:2031135:1 gene:Solyc11g007790.1 transcript:Solyc11g007790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARESFFPTKLFGEETKRTCERIWRCLKKDKVITSIGIYGVKGVGKTTLAKLINHLVEQKTNSQVIWINVSQQCNIKVLQNDIAKSLGFDLIEEHDDEKRAIALHESFKVKKDFVLVLDDVLENVPLKMLGNPLKIEGGRLIVTSCLLETCRKMGCQREFRVKTLEAEECWSLFVEKLGNEMIVPREVEGIAKVMVNECTKGLPFGIVALAAKVRELELSNVDEWRKAFDESCKEENNDDVMKMLLYSFDSLKDEKLQQCFLYCCLYPGNENISKDHLISRFVLEGLIDEQESREAEFEEGYEILNRLEGVCLLESGVNHTVKMHSLIRDMALKITNENPMFMVRAGVQLHDAPEQNEWIENLDKVSLMRNKIAEIPEGTSAKCPRLTTLMLQQNYHLWKIPDSFFEHMKALRVLDLSHTCIEKLPDSVSELENLTALLLAFCWNLRSIPTLAKLESLQELDLSGTGIQTLPESLEALLSLKCLSMYAMRWLERVPIGILPQLSTLQRLVLSHHIDVQGEELEVLNELEEFQGRFSTIHDFNRFIKAQENEGCLAFYRILVGDYDGLGQMTQIEFNHGRISDKLVKCYGLGKEDEVLLLPQDIQHLKIESCNNFSTCLSEFLSCLYDSKDLKYFKVRWCNKLEYLMKVKQGQESVLLPSLEHLDLFELPSFIGIFDECETSLSPSIPLVGTFSFLRMIRIERCHNIKKLLPIDLCSNLRHLERIYVLSCSQIEEIIEDHENDGIVVFPKMTRMTLWSLPQLKSIYNGKMKCNSIKKVSIKGCVKLRNLPLFFSHEDELKIPSTLKEIAINSSEKEWWESLEWDHSNTKIELQPFLSYL >Solyc03g115910.2.1 pep chromosome:SL3.0:3:66977317:66980757:-1 gene:Solyc03g115910.2 transcript:Solyc03g115910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSNPKFQSAPICFFHRMGRVRLSVKRLESHSNRQSTYCKRRCGILKKAQEISVLCDIDIILLLFSPTGKPTLFQGGQSNFDEIIAKFAQLTPQERAKRKLESLEVSLIFITTMCSPLAMLCCYFIIQVKVITMLMVTLQTLRKTFKKLDNDIGVPEFLDASDPSVEELHSQVKLLQSRLTDVEMRLNWWSNPDNINKVEDFALMECALRESLNAVHVRKLQKTTHLHLLMNSELDGNTHQWHPENKILRMPFPQTPNILPQENMGYFGDNSVAESSHVQGSGEVDQARQDTTAMLDNGVLNDLTSIACLRQQLSEQYSYNPNEDLDLLERNMLDPQSDANLKGYLMDYAFQRNFNLTRSVDSVNYSAVDAVAVPDFDEKSYAQPATSSD >Solyc04g064650.1.1.1 pep chromosome:SL3.0:4:55827991:55829841:-1 gene:Solyc04g064650.1 transcript:Solyc04g064650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLLKFLQKSKTSKQLKETHLQIIVNGLMNNNFIVPKLITFSSNFISLDYGLHVFESLENPTLISYNTLIQCFIGKTFKYALYTYNHMRVSNITPNSFTFTFLLRCFESFDVLQAGEVVHSQIAKLGFESSVFAKNTLMDFYAKCGGNLGSARKVFDEMYERDVVSWNTMIGAYMIHGNQEYALCLFEAMPERNLISWNSVIAGLLKVGNMEMARSVFKRMPEKNDVSWNMMISGYVKLGDVETARAIFDEMPEKSIISWTAVVSGYATSGDVLSARKMFDRIPEKNVVSWNAMIAGYVNNHMFDEALSVFQLMLIDGNCKPNQTTLISVLSACSHLGSHEHGKWIDSFIRKNKFDLSVPLGNALIDTFAKCGDMENAKAVFLRMAQRCIITWTTMISGLAVNGHCKDALELYEKMCLEGVEPDDVVFIAVLSACTHGGLLEEGKRVFYHMMDKFGIKPRIEHYGCMVDLLGRAGKFEEALSFIKSMHLEPNVVIWATLLSACKTYRNGELLESLTRRILEQEPNNPSYLTLIINLSSSVGRWQDTLNFRIATRDQGIVKIPGCSSIQIGNNVHEFLAKDTKHPQRKEIYRVLGCLNGHLKSCEVERIVMTMAS >Solyc11g010940.2.1 pep chromosome:SL3.0:11:3987674:3990098:-1 gene:Solyc11g010940.2 transcript:Solyc11g010940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQDNKGESQSSGGGDDGGGGPMGARPKEQALNCPRCDSPNTKFCYYNNYSLSQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKKMKTSSSSTRFSGDSKDTISGSSDIGGLKFFHGLSPAMDFQLGGLNFPRLSNNSSTSTVGGNIFNQFSSFGENSTPNIGSTSCFSLDPSGSSSLLGFNNNFPFSSSMLKQGNEGVQEMGSMGVHHGTMASSIESLSSINQDLHWKLQQQRLSMLFGGENQKENIISSSIPLHDDQNQNQNQIQIQKPQPILFQNLEISSSKQQEDHQETFGNNNVDSRKDCSTTIGNHHGNNLSTEWFFDNSFGLNSNSTHSNNNNNNGNGNANDDQNVNNWNSTIQAWSNLNQYSTLP >Solyc07g007560.3.1 pep chromosome:SL3.0:7:2225581:2236332:-1 gene:Solyc07g007560.3 transcript:Solyc07g007560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTVRAENSSFYHFPHYGNHKVSIFSSSLRPQRLNLLAQKHILKLRISCSITERQKEEAAEKQRIVKGLKLNGEVGKNGGLISTSEDELGEAGNEDKEVGFEWGWPPWKNLPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNSSVAGLVQSSFFWGYALSQLPGGWLSKIFGGSRVLEVGVLVWSLATMLVPYLAGFMPALIFSRILVGIGEGVSPSAATDVIARTIPLEERSRAVSFVFGGLSFGSVTGLLLAPPLIQNYGWESVFYLFGFLGIAWFSGFQLVKEDRPWSFTEPISWPPSFSRNKSLGELGTSLKDVPWKAFFRSKAVWAMIYTHFCGSWGHYTCLSWLPTYFSEELNLNLTEAAWVSVGPPLASILVTSVASQFADSLISRGVDTSLVRKICQTIAFLSPATCMILSSLDFGLPPWEVVTILTGGLALSSFALSGLYCTHQDISPEYASILLGITNTVGAVPGIVGVALTGYLLDSTHSWSISLFAPSIFFYLTGTIVWLAFASSKPQSFSEGD >Solyc08g059760.3.1 pep chromosome:SL3.0:8:45299186:45305477:-1 gene:Solyc08g059760.3 transcript:Solyc08g059760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTVAVDCWLLSSGVSSFTSTSKLYFPANFSRKPRFGSNTIRASATTNGSSEGVIYKALLCGRRALLSMITLPLVMPCERIDNSVGAIAADESFQVREEIRKVLSKSKAAGVLRLVFHDAGTFEIDEKTGGMNGSIVYELDRPENKGLKKSLKILDKAKSQIDLVQSVSWADIIALAGAEAVSLCGGPSIPIQLGRIDSMVQDPEGKLPEESLDAISLKQCFERKGFSTQELVALSGAHTLGSKGFGNPTVFDNEYFKILMEKPWLSSGSMTSMVGLPSDRALVEDDECVGWISKYAEDQSLFFDDFKNAYTKLVDTGATWKKAL >Solyc09g063025.1.1 pep chromosome:SL3.0:9:61183501:61186493:-1 gene:Solyc09g063025.1 transcript:Solyc09g063025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHPFNSILVSKFGSALQRLICQVLTCIHYCYISIISGLSLRKVIWPAENLEIGVFGHAYFLHETDSSEIGRNCGTPIERIAVLGFVFIIRDQKYAQEANIRHFPSALLLLHYSVCKASISHFKLAYPRPAKFSLNTILSMTESGTESCYCSWLPIH >Solyc03g123370.3.1 pep chromosome:SL3.0:3:71757853:71761904:1 gene:Solyc03g123370.3 transcript:Solyc03g123370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTIALYASPPSSVCSTPYQCHSHASYDFDLNGRLSSSSSSTSSSSQKSIVGGLSSLFSSPAVKASYSTGTEDLGSLWHDRGDELSSSFRCSSLSSSLKRDHQSPVSVFQGPVSCSTSSSGIGSYSRSPPKRIAGDVCSIRSGTGGLFNGFVRHALGSCVDHDPAAFQVLDDDSRSSGLLDELTFNMEEGFLESMTEPYAKNLLLGAQARHKIFYDDFVVKAFYEAEKAHRGQVRASGDPYLQHCVETAVLLATIGANSTVVAAGLLHDTLDDTFMTYDYIFRTLGAGVADLVEGVSKLSQLSKLARDFDTASKTVEADRLHTMFLAMTDARAVLIKLADRLHNMVTLDALPSTKQQRFAKETLEIFAPLANRLGISTWKEQLENQCFKHLSPDQHNELSSKLMDSFDEAMITSAVEKLEQALSDGSVSYHVLSGRHKSLYSIYCKMLKKKLSMDEVHDIHGLRLIVENEEDCYKALQVVHELWREVPGRYKDYIEKPKCNGYQSLHTVVLGEGMVPLEVQIRTKEMHLQAEYGFAAHWRYKENDCKHSSFVLQMVEWARWVVTWQCETMSRDQSSVGHTESIKPPCKFPAHSEDCPFSCKPDCGTDGPVFIIMIENDKMSVQEFAANSTVKDLLERAGRGSSRWTPYGFPMKEELRPRLNHEPVSDPNCKLRMGDVIELTPAIPHKSLTEYREEIQRMYDRGVSPLPAAANTVVGLRS >Solyc05g014320.3.1 pep chromosome:SL3.0:5:8154944:8158049:-1 gene:Solyc05g014320.3 transcript:Solyc05g014320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLIVKVYSLLFKYNLNRRLQSLIQSPISYPFNGVVSRADESIITSNPSFSTDGVATKDLHIDSLTCLSLRIYLPQSALISLRNLESGEGVYGGYVPGKNGKNCKKLPVILQFHGGAWVTGGIDTVSNDVFCRKLAKSCDAIVIAVGYRLAPESRFPAAFEDGVAAIKWLGKQANLAECSRSSLDKRIVNEEKHRGRQIVDGFGASMVEPWLAAHLDPSRCVLLGVSCGANIANYVARYAVEAGKLLDPIKVVAQILMYPFFIGNIPTHSEMKLANSYLYDKATCILAWKIFLPETDFNLDHLAANPLKPGNEKPLDLKHMPPTLTVVAQYDWMRDRAIAYSEELRRVNVDAPLLDYKDAVHDFATLYVLQKTPKAQACLEDISIWVKKYISLRGNEFSY >Solyc11g068710.2.1 pep chromosome:SL3.0:11:53632471:53633538:1 gene:Solyc11g068710.2 transcript:Solyc11g068710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDGGRTAITAVHSDIIQTLILTKLDAFRNTVGTFPAGHRSLFSDSFPSITHNKSAVDVHFEGNLLYSKVLTNETESGWFMSSPFRIELLGHKEFVPTPVKFDGEDGNCKLELQRMKLSWILIDPSRNRAVNVSSLNPVSVQRHWLTGELKVRYSTVMGSGAGEGLVQCGIVVTCEGKEGGELHVREVSMQIEDMDGKVLCGKDSLVILQEVIEGGRKKRKENEEKENYENFLELKKKWKENKQKKEKKMDMMCIASGITILISFWTLIVFGSRSNGSYFS >Solyc08g079010.1.1.1 pep chromosome:SL3.0:8:62783020:62783496:1 gene:Solyc08g079010.1 transcript:Solyc08g079010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKVQNLPRTVTRFEEYREVVKSRAGNGGESAAEKGGEDHARCVADGNEVMRFYCLGPTNGGAYENGNSAWTFSSGKGAAVCTYSGSGAAHENAGGGRGRRAMLVCRVIAGRVGKQLGFDSLIEGRVGYDSVSGDSGELLVFDSRAVLPCFLIIYKL >Solyc03g034417.1.1 pep chromosome:SL3.0:3:6426891:6429384:1 gene:Solyc03g034417.1 transcript:Solyc03g034417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEASLLSVEFRSCCLSETSFMSEQAIFYLGSIGLLGEYCPWSRKKNRHFSGQVDCMHLESFKEEHFDFSGCWKAQLALSNCAAVEFSEHS >Solyc09g018000.1.1 pep chromosome:SL3.0:9:12306573:12307026:1 gene:Solyc09g018000.1 transcript:Solyc09g018000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLEVAPPPSSPAPPLSRTRPTVGPAATSVDRHRLNSFAVKFHGKEHTKSREIGEDLVSDDVAKEIDEEIEDMNMEKFELQLDGGDDKNKMKKKRSKVTLVEK >Solyc08g014370.1.1.1 pep chromosome:SL3.0:8:4289257:4290858:1 gene:Solyc08g014370.1 transcript:Solyc08g014370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDDKKMLCLQFDDNNLEFSYLLGLSILLPTIVLVYCLLKFLLQLLIHHPQNMSQPKKRETEIVTNEKSDAEDQLDEAKGQQAVLYRFRQRHKKIQRETTSCSKLIAKEEESCVSKTPPRVRDGDLLHFSHRHPLLRFHLKGTEVIRCNMCAITISGVAYGCDCCRYFLHEVCSNIPKRIRHDFHPMHSLTLLPIPSIALWHSKVETEFCCVACGYDSSLFSFYYHCDLCKFDLHLECASVMTRLIRKVKYPLDLFTSFPLKSEGAAVLCSICNQVMNRQSAWLFYNREFDYICHFECAAEEELGVIGDQSFLSEVQKSLLLRKSLPDQQQKLEKLGEVIHFSHRHPLKAIKQTNETPIRSCCICSNQDLSGYICQLCNYFIDESCFPLPRRIQHHFHPNHPLILATYNDQPKSKCRACGHENGATYCCFTCKFSLHRSCAGAPMTFTLGTNKKVSYKLLYSYPYDGEMAVIKCNICSNEFNSKESFMYYNFDLDEVLHVQCALNKETDSYDTKFVLASERLKSIQIEEDHSK >Solyc10g054450.1.1 pep chromosome:SL3.0:10:55411107:55411527:-1 gene:Solyc10g054450.1 transcript:Solyc10g054450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLSRYGKDPGLGGIHTSVAGRAGHIVTQVQDHKAETVRTGVLSAKDSVSRLEDIFDSTGSRTYT >Solyc05g052710.3.1 pep chromosome:SL3.0:5:63760625:63762274:1 gene:Solyc05g052710.3 transcript:Solyc05g052710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLVGSVPMSTVQSMASLSPNLSFSRSHSVAGSVSITAASPSPSISFSSLTDSNLPLVYCGRGDKKTAKGKRFNHSFGNARPRNKKKGRGPPRVAAPPAAPKRDPFDDGQVVKIEIHDP >Solyc09g057945.1.1 pep chromosome:SL3.0:9:52701804:52703756:1 gene:Solyc09g057945.1 transcript:Solyc09g057945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLSKGYYQNNYRENITAMARKYRVAMAIALSQLCAQLTPKSFPGSPNHTGGKILQVNSHAISDDVRKQLYHHTDSIMCCTIKMNLLQPAAIVPAAPVDVPTGKQPTQPIFRTVQALSQVAYIKRRSYACQKNTSNAFTFLLAMENHFCCCTHYKTYGMRTFAGCVEHITATISR >Solyc05g046130.3.1 pep chromosome:SL3.0:5:59113890:59116740:-1 gene:Solyc05g046130.3 transcript:Solyc05g046130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLVKIFAGLLIVALDIVAGILGYKAEAAQNQAKHVTLWLFECNKPSHEAFVLGLAAATLLGVAHVLANLVGGCSVCSNDDIRKATPIKQLSIACLVFTWIIFAAGLSTLVIGTKGNHKSRTSCGYLHHNYLSIGGILCFVHALLSVAYYVAASQNLA >Solyc02g084380.3.1 pep chromosome:SL3.0:2:48059190:48062821:1 gene:Solyc02g084380.3 transcript:Solyc02g084380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPHVKKEALMDLVAKVGKHVYRKNGVLTGLKSFGTVQLGYGIKKLDGRYYQAQLMQMTVMTPPSFNNELHYLNKEDRLLRWLLVKHRDIKFGVDLWGEDDVKSDLSKFSSNIYEIEEEEEDDDDDDDNDDDVDESEKNSA >Solyc01g008890.3.1 pep chromosome:SL3.0:1:2844633:2852040:-1 gene:Solyc01g008890.3 transcript:Solyc01g008890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDEHKENDAVEEPSEIPRVEKSINPVGESSVVLPRVVRIYMPDSDATDSSSDEEEKLQGEKSKRHKRTCIKEIIIENGKTRVISKKTSKEKKDIKMFQENAKKYKGVRQRRWGKWAAEIRDTKNKTRLWLGSFDTAEEAALAYDKAAIEIRAMTPKEELQVKEGVKEPSVIALVEKSSNQVGEISQVFPRLVRIHAPDHDTTDSSSDEEEKDEEEKSEGHERTCVKEIIIENGKTKDISKKKSKEKKASNLMQEDVNKYRGVRRRKWGKWAAEIRDTRKKTRLWLGTFDTKRC >Solyc12g096020.2.1 pep chromosome:SL3.0:12:66079688:66083110:-1 gene:Solyc12g096020.2 transcript:Solyc12g096020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMSMVAVPFVFGSLICHKPSIGSHMDVTRIKSMDDATNLYSNSRTKTLADTVSGGNDDCSSADSESDLSITASSVPEESRSEGTMSLDVISENESNWIAGDAVVRESEDDDSLSLEGDQILDNSCSLSVVSDCSSLCADDFIGFEIASDIEGQDFVDSQKSISHDELIGKTGVLVESDVEDTLIRPAAVPERLEEQITDKDLNATVSRSVFEVDYIPLWGYTSICGRRPEMEDAFATVPRFMKIPLPMLIGDRVLDGLSRRLSHLTTHFFGVYDGHGGSQVANYCRDRIHAVLAEELETFMMNLSDESIRQSCQELWNRAFTNCFLKVDAEIGGGAGDEPVAPETVGSTAVVAVVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYKRIEAAGGKVIQWNGHRVFGVLAMSRSIGDKYLKPWIIPDPEVTFIPRTKDDKCLILASDGLWDVMTNEEACDMARKRILMWHKKYGATLPVERGEGIDPASQAAAECLSNRALQKGSKDNITVIVVDLKAQKKFKTNTSTQLAS >Solyc01g087090.3.1.1 pep chromosome:SL3.0:1:81894939:81895578:-1 gene:Solyc01g087090.3 transcript:Solyc01g087090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIGPSSSKSQSPKIIHQNHNYNNPPKISLQNPLFLIFSRKSKVVSFLKKKKINLFGFKWRCCTCSLQWRFLQCL >Solyc04g071730.3.1 pep chromosome:SL3.0:4:58780303:58787087:1 gene:Solyc04g071730.3 transcript:Solyc04g071730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVRDRTEDFKDVAHRSALSLGYDESKTAALLASFIMHKPRQKSGFTRAALKTLESIGTLEQFLMKHKKDYVDLHRTTEQERDSIEHEVTIFVKSCKEQIDVLRNSINEEDANSKGWLGLKGDNLNADTIAHKHGVVLILSEKLHSVSSQFDQLRAIRFQDAINRVTPRRKRKNTTKSNAAETSVSSNLDPNMKRDSEGLGDPDTQAAPIRVQEQLLDDETRALQVELNSLLDSVQETETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATQNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Solyc06g034410.3.1 pep chromosome:SL3.0:6:24355945:24357494:-1 gene:Solyc06g034410.3 transcript:Solyc06g034410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSPESATKAYLKAIKMGKSAKEPDVSEFISALVAGNNAQLMVVACAGAADSTTLALVAAAQQTGGRVVCILREFDKLNPSIEFLQHNAMHVEFVIGDAKTLLVNDYRRADCVAIDCNINNFEPIFKTARRIGGSTAIVLGYNALQMGSWRCASFNAHLLPIGESGLLMTRMTRKGSDLGVSEKKSRWIVKVDQCTGEEHVFRVRSPHGKSLEA >Solyc10g019230.2.1 pep chromosome:SL3.0:10:12617601:12618247:1 gene:Solyc10g019230.2 transcript:Solyc10g019230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HELYIDGRVPDFSTFTSPKLLATHVPFASLPKSVQDSKTKLVYLCRNPRDTFISMWHFTNNLLLHHNGTNSIEETFDLFCEGVSLNGPFWNHVLDFGNKLRNLEVNTNGHFSTGDAYNLFFRKGEIGDWKNYYTTEISDKLNHTIEEKFQGSELKFSYV >Solyc03g111140.3.1 pep chromosome:SL3.0:3:63283865:63286116:-1 gene:Solyc03g111140.3 transcript:Solyc03g111140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:UniProtKB/TrEMBL;Acc:K4BJX7] MVSFETFLPTNNPVQRKNSGTMGYDVPEGVDIRGRYDPEFSKILTRDALQFVTDLQREFRNHIKYAMECRREAKMRYNNGGLPGFDPATKYIREGEWVCAPVPAAVADRRVEITGPVERKMVINALNSGAKVFMADFEDALSPSWENLMRGHVNLRDAVNRTITFHDQARNKVYKLNDQTAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLYFFHNYANFRKAQGQGFGPFFYLPKMEHSREARIWNNVFDRAEKWAGIEKGSIRATVLIETLPAVFQMNEILYELRDHSVGLNCGRWDYIFSYVKTFQGHPDRLLPDRVQVGMGQHFMRSYSDLLIHTCHKRGVHAMGGMAAQIPIRDDPAANDAALELVRKDKLREVKAGHDGTWAAHPGLIPACMEVFTNNMANAPNQINSMKRQDASVLIEDDLLQSPRGVRTMEGLRLNTRVGIQYLAAWLTGAGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVNLDLFGRVVEEEMARIEREVGKEKFKKGMYKEACKLFTRQCTAPVLDDFLTLDAYNNIVMYHPIGSSRL >Solyc05g055290.3.1 pep chromosome:SL3.0:5:65835262:65839166:-1 gene:Solyc05g055290.3 transcript:Solyc05g055290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAMKRVVLLALPVVLLSIIYRAIIPPPPRSCSTAGVPSQTPKIKLRDGRNLVYKEYGVPKNIAKYNVIYVHSFGGSKFEASLIIPQAIEELGVYFVSFDRPGYGKSDPHPKRSFKSLALDIEEVADQLELGNKFYVIGFAMGAHFVWGCLKYIPHRLAGAALLAPAINYWWPGFPSNLTKEAYDKQLIRDQWVYKVAYYAPWLMYWWNTQKYFPGFSVITGEFKLSQKDLKIAYSLDEMQLQQAYVTQQGEFESLHRDLIIGFGKPEFDPMDMKNPFNNNNNDANIHLWHGVEDGIVSVKLQNYIAKKIPWINYHELQDAGHLFPCGDDSIKYDIWKALLNHEEKK >Solyc11g069900.2.1.1 pep chromosome:SL3.0:11:54813118:54814174:-1 gene:Solyc11g069900.2 transcript:Solyc11g069900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIMVFYLVMLVMTTTSSFATSRILIDNNKVDSKVFSQEESKSVDNHHYIPRQEFNNGDGGVNPIGTRA >Solyc04g051590.3.1 pep chromosome:SL3.0:4:50818676:50821460:1 gene:Solyc04g051590.3 transcript:Solyc04g051590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIKLLIWACCLALSTSFAYGLGVNWGTQAAQTLPPSTIVQMLKDNKIDKVKLFDSDHWTVKYFAGTGIEVMLGLPNNQLEKFANDYDFAKEWVKNNVSAHLYNGGVDIKFVAVGNEPFLKAYNGSFLKTTFPALQNVQKALNSAGLGDKIKATIPQNADVYESGNSGPSQGDFRSDIRDLMQKICRFFKDNNAPFLVNIYPFLSLHENKHFPLEFAFFDGQTKAVRDNGISYTNMFDANLDTLVVSLKKASCSGVKIIVGEIGWPTDGDLYGNVTLAKRFYSGFFKKMATKKGTPLYPGFIEYYLFSLTDENQKSILPGSFERHWGIFRYDGKPKFPMDITGQGHETMPIGAKNVKYLENKWCVLNKYAEDIGKLPSSVQYACSRSDCTAVDYGGSCNKLDGDGNVSYAFNMYFQMNGQDVESCVFDGLAKIVEKNASVDSCLFPIGLESVGVRIGVDAILNILVGFFLFLTLL >Solyc12g089297.1.1 pep chromosome:SL3.0:12:65414405:65415471:-1 gene:Solyc12g089297.1 transcript:Solyc12g089297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVNVRQDVVYAYGWPSRLVRPIWKHTIFWVTRIPTSKMQIFFLVFRQDLVYAYGWPSRLVRPIGNVKRAPKRAYPSFR >Solyc01g005100.3.1 pep chromosome:SL3.0:1:91751:97452:-1 gene:Solyc01g005100.3 transcript:Solyc01g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEHPRLILHNFLPLDLCKELEFIHKSCCTVGYRPNVFSTTLSHLIATNCAHFIMPIIPIRERLREKAEEYFGCQYELFVEFTGLISWCRGASIGWHSDDNRPYLKQRDFALPVISIANKCRKYTLPLPGVGEYAGQTKADSHYSHLGTWCDLKQYMDFISNMEKLKFILVGLVHEFIIRIRSLGARILAETVSQRSGIMKEDSSYDADFKGGIFHFKDGEPADIVPMTGDVIMYTADDRNIHSVDEITEGERITLTLWFSRDASYDEDPKLISSLSPDLLGVAESKLHSYIPVPGSINMYWFPPDKASSFSSGFDIRCGRLRVLGFDIYPFQKTFHLSESESSYNLLELLSGPLLIARESKMFEPQFLNIMHALQMVQFYLWKFSNLKTKVEGTSPNITPTSQTQKTEIDHLKSVFLKDLQLAERFFGHSKTIKDMEYEFDWDTFSAAVLEWECYVLKLQKELVLHLPHWKTNQSIFFVELGDLED >Solyc04g077570.1.1.1 pep chromosome:SL3.0:4:62620637:62620984:-1 gene:Solyc04g077570.1 transcript:Solyc04g077570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTTTSCASFFNSRPSSVEPRIRSSAASTTPGCGKFDGMAMWLINGVSAAFFASLQRCSCIRIATHEDEGEDANDLPLMYNDGNYGISSNNSSCSSRRPILMTKAKKNKEAFY >Solyc07g041320.2.1 pep chromosome:SL3.0:7:52458383:52471950:-1 gene:Solyc07g041320.2 transcript:Solyc07g041320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRGNENGSSSGEPYGRLQVRKSGLGMRGGSSNKCGKPDITMNDAFCYLKRIKDMFWNKREKYHMFLVLMNDLREKRIDMVGFIAKIEGLFKGYPTLLLGLNPFLPKGYKITLSNEDKRNFERAVSLMTKIKACLAQEYKCLLDVLATCEKERKDAKELYRKAAVLLKDHPDLLDELAKFLSVSSTAKPLFNLDEDRISMN >Solyc06g069590.3.1 pep chromosome:SL3.0:6:43457898:43460564:1 gene:Solyc06g069590.3 transcript:Solyc06g069590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNIYDLGDGEFAAAIAATAFAIRSLEENAGSQNQTKAKRPVIRPPEAAPMRRTSTMNRKTSAEIVSITPVANDKMQKGISRGSRNAENKADAWEKAQIAKIRKRHDELLSALLAWENEKKMMAKEQMERRKNQVELALKRNLQHYKNKLARIDHIAKGARTQAEEKRRYEETTVKEKSNKIRSTRTGPVTCYCF >Solyc03g120495.1.1 pep chromosome:SL3.0:3:70359591:70360948:-1 gene:Solyc03g120495.1 transcript:Solyc03g120495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLWLKSSRICPLTPHQASPGPQSSSMSTAFSQNFFLLALILPSSLSLKQLWPPPGMKFENMSCKSKKSHHDFPNKN >Solyc07g041340.2.1.1 pep chromosome:SL3.0:7:52554840:52556881:-1 gene:Solyc07g041340.2 transcript:Solyc07g041340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDTFSVLGNNGTQIDGKVFQTFQKSFVQVQNLLDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSCSFTKSVDASSEGDSTGKATHKRNKPL >Solyc03g114220.1.1.1 pep chromosome:SL3.0:3:65695423:65696151:-1 gene:Solyc03g114220.1 transcript:Solyc03g114220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVLSIYEDQPPWEIFGANYEEKFRYFITPLKKQKTEYTRFSRICAKGTWQGQTGNRTAPVVGFKRNLKFKTSERGQNNTWLMVEYHVADSFFKENNHIPKEDFVVCRIKKNKKGKEKNVDHVMEAQDGDVVGIIDPMLLEPNHNNDYSTREDQVRVCDAVEATTTEFDIQNSTYEATTTEKKETALEVQEGHGVDDIRSNELQEEMYRAFDDIPFELVVEFTRSTTYIIHINSSLDGLCS >Solyc04g080925.1.1 pep chromosome:SL3.0:4:65061850:65062145:-1 gene:Solyc04g080925.1 transcript:Solyc04g080925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEGVYSVFVCLLIGLVLSTKIELCYGGISSDYVRNYNSNGDMLLNSDVFQVPTGYN >Solyc01g066953.1.1 pep chromosome:SL3.0:1:75096407:75098298:1 gene:Solyc01g066953.1 transcript:Solyc01g066953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPARQILGMQIVRDRKAKKLVLSQKKYIQKILRRFSMDKAKVVRKPILCGYTDSDMAGDIDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAEFIAVEACKELLWMKRFLGELGCAQERRTSRDVTGQGLLLRHIV >Solyc01g095540.3.1 pep chromosome:SL3.0:1:86639006:86644551:-1 gene:Solyc01g095540.3 transcript:Solyc01g095540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWYVVAALLTVLTSSQGILTTLSQSNGGYKYDYATVPFLAEVFKLLVSSVFLWREMQNSPPPKMTMDWKSVRLYPIPSIIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTGILFRLFLKRKLTNLQWMAIILLAVGTTTSQVKGCGEASCDSFFSSPIQGYLFGALSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGSIFNMGRLLMDDFRSGFEEGPWWQRIFNGYNTTTWLVVLNLGTTGLLVSWLMKYADNIVKVYATSMAMLLTMVLSVILFNFKPTVQLFLGIIICMMSLHMYFAPPSTLVDLPLTVKPVSESVSEVPAE >Solyc03g115850.3.1 pep chromosome:SL3.0:3:66934672:66936754:-1 gene:Solyc03g115850.3 transcript:Solyc03g115850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMENFSASVKMDDQQQMELPPGFRFHPTDEELITHYLSKKVVDMNFSAIAIGDVDMNKIEPWELPWKAKIGEKEWYFFCVRDKKYPTGLRTNRATAAGYWKATGKDKEIFRGRSLVGMKKTLVFYRGRAPRGEKTNWVTHEYRLEGRLSLNNLPKTVKNDWVICRVFQKTTGGKKIHISGLVRANSDENEMVNTVLPPLTDSSPSHVHCFSNYVTTQKNQENNMINSFNNSPNFPLLSNSIDIFQRNSLPTSFTWNQNVPLQHNFPQPGSFPIQDPATLRNLLENYGHQSFKKETDMISVSQETGISTDRNTEITSAQQDLDCFWTY >Solyc04g079780.3.1 pep chromosome:SL3.0:4:64199895:64205602:-1 gene:Solyc04g079780.3 transcript:Solyc04g079780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVASLASSFASLSFSSQISQKPYAVSLAHSKQFSFSLASKISTLVVSASVAEAPETEELETRENLLKLVKSRLPGGFAAQPIFGTGRRKSASARVVLQEGSGKIIINYRDAKEYLQGNPLWIQYVKTPLATLGYEASYDIFVKVEGGGLSGQAQAISLGIARALLKVSESHRKPLREEGLLTRDARVVERKKVGLKKARKRPQFSKLQVGTYSLWQHLVESDEDYYNGEYEDDYDRDPEPESDDDLSCGKAPSCRVIKKESLLAAQKEDLQRVVDLLSLKEHHARTLLIHYQWDVDKVFAIFVERGKERLFVEAGITLEEKNENPSSDPSTEYTCEICFEDFPDEQTTLMECNHRFCNDCWTEYFVVKINDGKSRRVTCMAQKCKAICDEGKIRDLVTAKDPNLAEKFDRFILESYIEDNKRVKWCPSVPHCGNAIRVEDDEYCEVECACGQQFCFNCLCELHSPCSCVMWDLWLKKCDDEAPTVNWLSEKTKHCPKCHKIVEKDGGCNLVQCICGQPFCWLCGEATGFEHTWNSIAGHTCGRYKENHLKSEEDSVEDYWRLTHYYSRYKAHIGSLKIEASESKQKILDKVHSLESKEFQLKDFSWAMSGFYRLALSRRVLACSYPFAYYYFGALFANEITKEEREIKQNLFEDQQQQLETNIERLSMFLEEPFGSYAEDKLVETRMKIITLSTVTDDLCKNLYECIDNDLLVPLQQATHTIVRYRSNGVEKASEL >Solyc03g118040.3.1 pep chromosome:SL3.0:3:68508840:68528417:-1 gene:Solyc03g118040.3 transcript:Solyc03g118040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSSLWKSMATRSSANSAFAKSNVTRSFSSTAEHPDAKHRGFMKGDFVPVYVALGLIAMSVGFGLQTAMHQLKRAPNVSVKKSRRETIPEVTEPEEVVDEADKFIKKSFFRKVAHVQDFDNQSVMHDPIRGDALARPGTRLITCIAKSDEILPLSFSSGTICHKQWPLSLPLLTGLYRPSLTFMVRFDPTIVPVGSGTSRCNIEICGSGSGACLKYLAPNKVGSPQRVMEETRRKIWAQYAFLLLACCFFSQLYATSDVKFYESFDEAFDGRWIVSEKEDYSGVWKHAKSEGHDDYGLLVSEKARKYAIVKELDNVVDLKDGTVVLQYEVRLQDGLECGGAYIKYLRPQEAGWTAKGFDNESPYTIMFGPDKCGATNKVHFILKHKNPKSGEYVEHHLKFPPSVPADKLTHVYTAVLKPDNDVLILVDGEEKKKANFLSSDDFEPPLIPAVTIPDPEDKKPEDWDERAKIPDPDAKKPDDWDEDAPMEIEDEEAVKPEGWLDDEPEDVDDPEATKPEDWDDEEDGEWEAPKVDNPKCAEAPGCGEWKRPMIRNPVYKGKWHAPLIDNPNYKGIWKPRDIPNPDYFELDKPNFEPIAAIGIEIWTMQDGILFDNILIASDEEVAESYRKTAWKPKFDKLVFDLLYKVAGIPFLGEHKTKVLDLLEKAEKQPNITVGVVVSIVVVVFSVLLKLIFGGKKQQPARASAETEKTDGAETSTSNSQAAEEKEEQSEDAGAAPRRRTRRDN >Solyc09g010490.2.1 pep chromosome:SL3.0:9:3846839:3851232:1 gene:Solyc09g010490.2 transcript:Solyc09g010490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADARGKCAACKYQNKRCDENCPLAPFFPSNKIDEFDKVIRLFSFDFLKDMLNSVTTNEEKAKMVETLILETKIRSENPVYGSIAVTEKLSLEIENTQKELDLIQKTIAFYKELDGRSSLNKICNLVIVDKVKAVEKGHEIHESLIDGVVNVKLQETFSGGMPFYVHCEASPKRMESGVRVESELKNFEVFNFIEGLYIPNSLEVVNVGIGRLKHFSESLQVNCILNFENEANISLISFMKKEPTCKNLMTKASNRYPYYAVKILVEKQVEAIQARGLEVLERANCIMGFKIFEFIGGRMSTEHLVGVVGQLKMKLLSSTFGKNIRATSLRLVTFVNGWSETACPISVARSGIRRHHRGNGALLLVRANFALSMSGEVEPVVFLVWHMLLEDL >Solyc06g050820.2.1 pep chromosome:SL3.0:6:33725142:33730473:1 gene:Solyc06g050820.2 transcript:Solyc06g050820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLFDNSTKDALSGGPLAQVPSDSLFYVDKSRDLSVKRKIEKHREKVLRCDSMLQCNTFVEVVPSSTGKSPRRKVKFI >Solyc02g069910.2.1.1 pep chromosome:SL3.0:2:40265236:40265556:-1 gene:Solyc02g069910.2 transcript:Solyc02g069910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFFGGNGGRRDTKPPVAKPMAENVTWIGGERGGISVHHNSRDHVRDVLLISSLVCGATGVVLLAVSVFVCVVLRFRKEKSVENGKETTPLGTPVDDVVLAQKGT >Solyc07g007270.3.1 pep chromosome:SL3.0:7:1996021:1997320:-1 gene:Solyc07g007270.3 transcript:Solyc07g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLLLSLLLLSTLVYEAQARNIPMLKENGDFGEVNIICKDNHCSSSGRNRKLMTKTTSTSSPITTTTSTKNIKNEGNIKAHDTTILKGQSSSENFSINSSPETGHRKTSSDRHPDVLDLAGMDYSPAKRKPPIHN >Solyc01g100030.3.1 pep chromosome:SL3.0:1:89986442:89987810:1 gene:Solyc01g100030.3 transcript:Solyc01g100030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFHIPFPNTVQGGNFWRHFSFDSENSPLHKPPTHSTNPNTVINLSSTFLLPKMAENQINSPEITEPSPKVQKLDHPENGNVPFFRVKKLSENAVLPSRASSLAAGYDLSSAAETKVPARGKALVPTDLSIAVPQGTYARIAPRSGLAWKYSIDVGAGVIDADYRGPVGVVLFNHSEVDFEVKAGDRIAQLIVQKIVTPEVEQVDDLDSTVRGSGGFGSTGV >Solyc03g098345.1.1 pep chromosome:SL3.0:3:62116006:62120258:1 gene:Solyc03g098345.1 transcript:Solyc03g098345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISIVERSLFRDNDGGTKVVKHRFLGFLIWQALQSTAVFFLSKTLLLSLFTRTPFKPSFLSIFTFIVFHFSLLIFSTSLFIISSPRPQRAASPLNLLLGTARLILVPISNSQPLLSPDFRLRARASISFVLFVAVAAVSASLSVITLCLSCNAFDQLKQRRLVIGKLGFWGLQIGLIYGVHYVYNKRWVLQFPIIQRPPFFSFKMGLPLAVGKALKLSAAGYVLSAILSFVLPYEFKGQLPVGNFITEQILFYIGSFVVILCWELCHHLHQVLHTKRSVFAPPKGSAAAETNPSEPLLAALEESTPESLLQYLAYLDLCMVSEGNVDPWRRAAFFEESGETYKRVISVCLTPVEQFTRNVSEVLESSPVGNSLQLSHQLRSPNEQLADSKVYESFDDFQLLAWCARIVASLSVHSHKEDRFGVAQLSGSNAAVISTLLSSLLAVETLMGKKTNLQSSNTLMDPAGIKWATLNPGRRDSAAGMAGRRKGAPFYAKAYSMADILKTSIYGIVSAFYDEMSHSAKEGLLEKDWIISSKPLYGTRELLSQKLRLFLDFQAS >Solyc09g061390.1.1.1 pep chromosome:SL3.0:9:59231377:59231772:-1 gene:Solyc09g061390.1 transcript:Solyc09g061390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Maturase K [Source:UniProtKB/TrEMBL;Acc:K4CTX2] MDQQNHLIISTNDSNKNQFLGCNNSLYSQMISEGFACIVEIPFSIRLISSFEGKKIFKSHNLRSIHSTFPFLEDNFSHLNYILDILIPYPVHLEILVQTLRYWVKDASSLHLLRFFLPYTIGSDAIFAILK >Solyc02g078550.3.1 pep chromosome:SL3.0:2:43775820:43786429:-1 gene:Solyc02g078550.3 transcript:Solyc02g078550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSTVLLYEGERLVGEVEMYGEKGVVWGEKLIRISHYSPSSERCPPLAVLHTVTTGLSFKLEPTKSKPLTQDSPLTLLHSTCLRDNKTAVMSLGREELHLVAMQSKNIGGQCPCFWGFKVASGLYDSCLTMLNLRCLGIVFDLDETLIVANTMRSFEDRIEALQRKINSESDPQRASVMLAEVKRYQEDKIILKQYAENDQVVDNGKVIRSQSEVFPALSDNHQPIVRPLIRLQDRNIILTRINPMIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPDSNLINSQELLDRIVCVKSGLRKSLFNVFQDGNCHPKMALVIDDRLKVWDDKDQPRVHVVPAFAPYFAPQAEGNNSVPVLCVARNVACNVRGGFFKDFDEGLLQRISEVAYEDDIKQVPSAPDVSNYLISEDDPSAVNGNKDSLGFDGMADSEVERRLKEAMLASTSVPSQMTNLDPRLVPALQYPVPPVISQPSIQGPVVPFPTQHLPQVTSVLKSSVTQISPQDTSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDQVSSEPKFPIGTPLQVSVPPRVQPHGWFPAEEEVSPRQLNRPLPPKEFPLNPESMHINKHRPPHPPFLPKMETSMPSDRVFFENQRLPKEVIPRDDRMRFSQSQPSFRPPGEDVSLGRSSSSNRVLDLDPGHYDPYLDTPAGALQDIAFKCGVKVEFRSSFLSSPELQFCLEVLFAGEKVGEGIGRTRREAQRHAAEESLMYLADKYLSCIKADSSSTQGDGFRFPNASDNGFVENMSPFGYQDRVSHSFASEPPRVLDPRLEVFKKSVGSVGALRELCAIEGLGLAFQTQPQLSVNPGQKSEIYAQVEIDGQVFGKGIGPTWDDAKTQAAERALVALKSELAQFSHKRQGSPRSLQQQGFSNKRLKPEYSRGVQQRVPLSGRFPKNTSAMP >Solyc09g064850.3.1 pep chromosome:SL3.0:9:62545699:62557919:1 gene:Solyc09g064850.3 transcript:Solyc09g064850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CUB2] MGASKSVPQKSIYEFTVKDSKGKNVDLSIYKGKVLLVVNVASKCGFTSTNYTQLTQLYNEYKDKDFEVLAFPCNQFLKQEPGTSEQAQEFACTRFSAEYPIFQKVRVNGPNEAPVYTFLKASKGGFLSRSIKWNFTKFLVDKEGKVIRRYGSTTPPLSIKGDIEKALGEN >Solyc03g034190.3.1 pep chromosome:SL3.0:3:5912759:5915164:1 gene:Solyc03g034190.3 transcript:Solyc03g034190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSMATQLTVNWVPAFSSQNQFFSPKFVFFTKGQSFSRRSNVSAIVPRRNLGGFATSAAAALSFAEETSTRDSISSDSLQKEKLGVLVKPLEKPRLVLKFIWMEKNIGISLDQVIPGHGTIPLSPYYFWPRKDAWEELKVMLESKPWISQKQAIILLNQATDIINLWQQSGGDLA >Solyc02g064955.1.1.1 pep chromosome:SL3.0:2:36616075:36616977:-1 gene:Solyc02g064955.1 transcript:Solyc02g064955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIGNNTKLILLHPYIQKQGTSYRLWVLASISFLTIAFLLALIYTRESFTTSSVAAVSSITASANPPLSKAVVRALTHYASNSNNTERMSYTDIKQIADVLRQCQQPCNLLVFGLTHETLLWKALNHNGRTVFIDENRYYAAYIEEKYPEIEAYDVQYTTKLSDRKELIDAVKEQIRNECKPVQNLLFSECKLGINDLPNQFYEVNWDVILVDGPRGYWPEAPGRMSAIFTAGVLARSKKCGNPKTHVFVHDFDQKVDRVTSEEFLCIENLVNSKDMLGHFVIGRMDPNSYTFCRNHI >Solyc02g080520.3.1 pep chromosome:SL3.0:2:45286413:45288925:-1 gene:Solyc02g080520.3 transcript:Solyc02g080520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITDGFASSIDCQLTTDHQLHHRRKKTRTPVKGGSGSASANQFLVSKFNSPSMEMECRGFEFFNGGAKMGVSARKLAAGLWHLAAEFDSAGGGSGGMKRQCGSIHPLPCWIPIPKSVMEGATKWDPGHFKTSREVNHSNNLVKLYERQRTATTSLAPALQLVELIRARIHIQELEADRRRLRKKFKHFARKLNEERSSWMRKECQKMHAIVDHLKDDLRRERRNSKQLDIVNSKLLVDLADSKLSSRQYMHDYENERKSRQLLEDSFLRANNVTVGMTDLIEVQVVKQVVDSLNIQETKEFCSSSPILNDIYTLNEGSIICEASKLGTNTFADYSTPCRSSAIQIVGTEVSKTCAMTTSSECLNSVIDHDQSCKDESGRETVTQVEGQFSNYTVGETEHSVNCTDRGRYLLCGKVNHEQNTGQSGSLDFETSDISLVLPKKPKKKGSSFRKLWRSSLSTEDFCKTISVDDSGRFSNGSTSNVDVVFSENVPAERALAYQDFVNHCCSGGPRNPHTARAMKGCIEWPRGIPKHGLKSKLLEARIQSQKSQLRSVLKHLN >Solyc03g083730.1.1.1 pep chromosome:SL3.0:3:55098269:55098874:1 gene:Solyc03g083730.1 transcript:Solyc03g083730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGYFFTYFLISIALIISSNNSNSVSAARPASGETNTDFIRTSCKSTTYPTLCFSSLSSRSSAIGVSPQLLAHESLSVSLETAQSTSAMMLKLSHSQGMTPREVGAMRDCVEELSDAVSGIKKSLGEMKELKGKDFDLKMNDIQTWVSAALTDEDTCTEGFAGKVMNGKVKTVVRGKILEVAQLTSNALALINRLAAIHG >Solyc10g081690.1.1 pep chromosome:SL3.0:10:62830643:62831653:-1 gene:Solyc10g081690.1 transcript:Solyc10g081690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLGSAFMAVFAVSGSVVLLAMKVHQHLLSDFINKLELQIDKNQAKKKVMFCNKVVELGSQNKDKSNDESLESMPLNRQVLYKGILNNKNLRRL >Solyc03g019840.3.1 pep chromosome:SL3.0:3:62802176:62808353:-1 gene:Solyc03g019840.3 transcript:Solyc03g019840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVRRLSVTVSKQVVGDAASSVRGSKTQCRWRSFAATVPSNSAADRRKQKKVSTEERIAMVQDFVNKYRAMNGGKFPPAYAAMKEVGGGYYNVKKIVQEMQYNAKMPVDKDSVVKEVSARKAAIRKDKSNEVKLQLSSATCLEHECEDTSSIGEAEAKLQTPIAAEQMLLHEISRVSGSDNKDAAAQVLGAEVKSISHSEEPLPENNIKQKDSPMEDFNFDGRKQMDEQRHSPEPEKRTRKLSNERKADIQAESKPSLWKNMKSFADGILNMWWKQ >Solyc01g109310.3.1 pep chromosome:SL3.0:1:96206406:96209554:-1 gene:Solyc01g109310.3 transcript:Solyc01g109310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4B3E4] MKLWKKAMYFAYRKQISKKWIDEFKKVWHIAGPAIISSVSVFSLDCVTAAFAGQLGKLELAAVSEVQNVLLCFVIGIMLGLGSALETLCGQAVGAGEYNMLGIYLQRSWIISIVAALLLTPTYVFASKIMKLLHQDPDISDLSGKFAIWIIPQIFASALHYPTEKFLQAQSKVWFMAFSSTLTLAIHVLLNWIFVTKLSMGLVGAAVVGNISYWILVVAKIIYIVCGWFPEAWTGFSFLAFKSLAKFLKLSVSSAIMLCFEVWYFTAVILVVGGLKDAEVAVDSLSICINLQVWAIMFIIGFTISASVRVSNELGAGNPKGAKFTIGVNVLMAAIFGALFSVIILTTRTQFPRMFSNEPKVISETSKLGCILALTTFITSVQTVLHGVAIGAGWQFLVAFVNFGCYYGFGLPLGALFGYKFKLGVQGVWYAMLGGSLLQTIILLVIIGRTNWQKEAVQAEIRVKTWGAPTETQQQS >Solyc09g007470.1.1.1 pep chromosome:SL3.0:9:1030121:1030945:1 gene:Solyc09g007470.1 transcript:Solyc09g007470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFSKPISSPGRTEKFPPPLMRFLRSNVGSKSNRARAQASPMFLRKNKNNIAIEKTQEPSSPKVTCIGQVRVRRSSNKTTKKRKRIEQKKTQKKCCKLQLHKPISNLKKWVCCFPFGYCVKQVESAQKVTIDAQIIAISESEKNKDDYAGCNVNPPVNALILTRCRSAPYRSSSLASKFWGSPLNNQNPQTNNIREPEEEEEEPQPPPPSVLEKWDLGSRKSHGNEEMQSSINGESSKGCVHPLLLTRCKSEPSTTGERLNPTRFSDTNPLS >Solyc02g076760.3.1 pep chromosome:SL3.0:2:42430818:42435769:-1 gene:Solyc02g076760.3 transcript:Solyc02g076760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQLQPRVIGIPRNQGLFDVKVYTTNRARLRTSSRNRFMCTLNQSAHVSAQTEQRESRSLVKMCGITSARDAALAAEAGANFIGMIIWPNSKRSVSLSTAKEISKVAREYGAQPVGVFVDDNADTILRASDAADLELVQLHGNGSRDAFPVLVGEKRLVYVLHVNEEGGLINSVSDEESSLVDWILVDSAKGGSGKGFNWAQFKLPSIRSKHGWLLAGGINPENVCEALSALKPNGVDVSSGICGQDGIEKDESRIVSFMNEVKSLRL >Solyc03g120140.3.1 pep chromosome:SL3.0:3:70103994:70112084:1 gene:Solyc03g120140.3 transcript:Solyc03g120140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTQSKIENEETVTRCKERKHFMKEAVSARNAFAAAHSAYTMSLKNTGAALSDYAHGEVQFPSNAAAAAASSSSSPLPGGTPPLSSAPIDMPPPPPLPPFPNTSFPASPLQRAATMPEISIPTPDPKRSDMIIEEENEDDMETESTHGLRHRSSKSSGGGGIGGRGAASHRQGIEDEELPTPPSPPRTLPQNNRTPPPPPPPDNKGMDSMSWDFFFPSMENVPAPTLAEEDESRIERQELERRMMEERAKRTENDGRADESERVRKNEMPKEADVVETVEEPPSQPPPPPQAATKVVKRVKNVVPGENKKKGGQFNLLQIFSELDDCFLKASESAHEVSKMLEANRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGLPNADDELDDFDSEEHETHATVLDKMLAWEKKLYDEVKAGEQMKLEYQRKVASLNKLKKRGTNTESLERVKATVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYPKLVDLVNGMAIMWENMKGYHVSQSKIAQALKSLDISQSPKETTEHHHERTLQLYVVVQEWHSQFDKLVIYQKQYIKALNNWLKLNLIPIDTNLKEKVSSPQRPQNPPILALIHAWHDDLEKLPDELARTAIYNFSAVINTIFEYQKEEMKLRDRCEDTRRELNKKTRQYEDWYHKHMQRRIPDEMDPESAQEDTLVVDRQLQLEALRKRLEDEEDSYQRQCLQVRDKSLTSLRSRLPELFGAMSEFSLACADMYRDLRSIAKHRNRSVNT >Solyc09g057767.1.1 pep chromosome:SL3.0:9:50988723:50989353:1 gene:Solyc09g057767.1 transcript:Solyc09g057767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGGMTIKYGTCLKNHATKFGYYSVDGCREFVNKGDDGTKEESICANCDSRFIHPHVIPHGGGNAPIIYHPFTTRVMPVQYIRCVFYYYPSYDKSSMVI >Solyc02g091170.3.1 pep chromosome:SL3.0:2:53197895:53201647:1 gene:Solyc02g091170.3 transcript:Solyc02g091170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVRHEEDSVEVNIDISELNLQHSSIIEQGLFGESEKVKWRGTWVFLGSIVHGDEMILITEYLPKGNMQDILRKRLDPPTALRYALDIARGMNYLHRHKPLPIVHNNLHLNTVKLQVVYISVLCALLIGLEIFILLNVQLAYYFITQSNWGNLLLDECGHLKIGQTNNGCNLISHLCHDISKDIYSFGLIFYQVDSRSSPIVNRGLHKYSYFYKAIICYSDRNPRRSLFTIKKNRLPAV >Solyc04g015975.1.1 pep chromosome:SL3.0:4:6560058:6563128:1 gene:Solyc04g015975.1 transcript:Solyc04g015975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIRNRTLVYLGPDPSKPKKKLQLQPTLKLQCISWYHSSRCKLDFQTGRPVMRSQHGISKPKKSFNLLKSVFKSPLPRNFVSSLCEPIWKMVMDNEYNALIKNKTWELVSRPPNVNLGNCLKDLGLLSYFLGIAVTCHEMKYADKFIERAGMSSCKSSPTGIDTKPKLGLTTSKPFEDPSFIRVLGTSIPYIQRLDISDVVQHGTIDFCLHFYPSSTSTLISYIDADWGGCPDKRCSTLGYCVFLGDKLISSSAKHHATLSRSSAEAEYRGIGNVATLVYCDNVSVIYLVGNLVQHQCTKHIEMDIHFVREKVARGQ >Solyc08g013863.1.1.1 pep chromosome:SL3.0:8:3321095:3321583:-1 gene:Solyc08g013863.1 transcript:Solyc08g013863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNAFGLTNAPVTFCTLMNKIFHPYLDQFMVVYLDDIVIYSSTLEKHMKHLRKVFQVLRKNQLYVKREQCKFAQHEVNFLGHVINQGELRMDQAKIWAIQEREASTKVTELRSFLGLANYYRRFIGGYSAKAAPLTELLEKNKRGFGARSAKELSKVSRPQ >Solyc04g056494.1.1 pep chromosome:SL3.0:4:54256104:54256837:1 gene:Solyc04g056494.1 transcript:Solyc04g056494.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIYTTLYDEATRIVTLVSIPKLKPQAIVPASTSRKKVEKRKSEVKTKKAASVSFLGVLFFMLLFGGVLTIDGLMNGTGYFGKYGGIDHCLHCGWGDQGESNKRSTNKAADKFVYVGNSSDPLTTSLYIPSNDKIVNIHWNSIIQPVFASEKAMTSHGSDNKKNREANLTVLGDSSNLDFIEVLQRDKGLLDPNIEEKGKLQSYFSEQRQI >Solyc07g041860.1.1.1 pep chromosome:SL3.0:7:54521020:54521391:-1 gene:Solyc07g041860.1 transcript:Solyc07g041860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVTSENQLFFPWMNIMKKNNPHPPWLFLCNFTCSVKKAIDEGLILMADKNQHSSRGKPFFAVDDSTIHDDNSTFDITQKGKRKMVLPTHKKQVRRMVEKRGLVDESSDNTVFESRVFHLKL >Solyc09g016953.1.1 pep chromosome:SL3.0:9:11865320:11892828:1 gene:Solyc09g016953.1 transcript:Solyc09g016953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKNDMHLLIHIFNGCKRDEAVTVDCPYMNIWCFDEQKDRVTLYCSQKVVLLDLERPSLIRLRHVLLIKISVASTCTFDCTRNGRRVVGKTVLCMLSKNYLFHPQGTVFQLHCLSKRVVGWRSSKMIADYATASFKLTSTKKISWLSGEQLSYGEGNCYYQGGPSPVIPPPTYVAPLPRKNRGFLKGLSYPLRINIHIDGVIHVLRLRHSVFLGDAVLKTSGRLVVSITKEKGNPINRPIDPSFKLDKDPDGQPIVTLSTTEAEIVPATTCTSQAIWLRKLLEEVNCKQGYETPIYSTIAQPSNCPKIWSFTGEANT >Solyc06g065250.1.1.1 pep chromosome:SL3.0:6:40802739:40804631:-1 gene:Solyc06g065250.1 transcript:Solyc06g065250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQVLVSVDEMLGSGSHTNEQVLESVREMLGSGLDSNGQQITVVPSSNSVLIDQPLAIGQEFPDVDTCRRTLKEIAISLHFEIRIVKSDRSRFIAKCSKEGCPWRVHVSKCPGVPTFTIRTLHGEHTCEGVQSLHHQQASVGWVARSVESRVRDNPQYKPKEILQDIQSQHGVAVSYMQAWRGKERSMAALHGTFEEGYQLLPAYCEQIRKTNPGSIASIATGQENCFQRLFISYRAAIYGFLNACRPLLELDRVHLKGKYLGLLFCAAAVDANDTLFPLAIAVVDVESDENWMWFMSELRKLLGVNTDSMPRLTILSERSAGMVEAVETHFPNAFHGYCLRYISENFRDTFKNSKLVNIFWNAVYALTTSEFESKVSEMVDISQDVLQWFHHYNPQQWAVAFFEGLRYGHFSLGITEVLYNWALECHELPVVQMMEHIRQQVTSWSNDRRNMGMRWTSILVPSAEKRISEAIADARCYKVLRANEIEFEIVSTERTNIVDIRSRVCSCRRWQLFGVPCAHAAAALISCGQNAQLFAEPCFTVHSYRETYSQMIYPIPDRSLWNEAGEGTDGGGANVDIIIRPPKTRRPPGRPKKKVLRIESFKRPKRVVQCGRCHMLGHSQKKCSLPS >Solyc08g078030.3.1 pep chromosome:SL3.0:8:62023613:62026465:-1 gene:Solyc08g078030.3 transcript:Solyc08g078030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCNENIGVAHDFVSNLQIEAIQTVMPMKQTDPRISRRVFIGENPGSGNFQRRFHMVFCYNKVSETDSGSMVAGWIKESLGKALVEKPLLAGRLRSIGENDTNYGEFEIVSNDSGVRLIEAEIQMNFDDFIHLKEKKNIEGQLVFWDDIHEPNTPYSPLFYVQVTNFKCGKYSVGISCSLFLEDPYSMTSFLNRWSKIHINMVSEADAPKIPTFFLPKLRRKGCSSPTLYSSLNTSNYHVNDTLIFKLPLKILNLSDDINKNNLVEKCVEEVENKYGKNLSTKLCLFVRETSEDVNVETFTREGINSFGSIKNGLISANYLDDLGLADNMRFNEENKAIHFSCWIINPGNEDLLLITPSPGDESGSQKNVIVTMRD >Solyc02g087220.3.1 pep chromosome:SL3.0:2:50312314:50317245:-1 gene:Solyc02g087220.3 transcript:Solyc02g087220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQVTITLGRSGQKVVKRSSASQGNGFSQQTLSRGKRSLGETYRTDSEDPTKSLRKRVRGDKDAGGSRYGRQNGARIGKNDLRLKLMHRRRQREIHLQIEERKKEQHKRMTRSVQSAEQSHKRLVTSSQPSSGASELLQIEAIRSSYTSQTAAGVRSRSPYRLANDSKEVSSSRNITAAQHVPSVRPAEASRMVHMAGYDLIDSSQLKVLTPVTMRSALGARNPLTGLPSANGGMLHRPYPDQPPLTVASLLNSLGLGKYTIHFQAEEVDMAALKQMGDRDLKELGIPMGPRKKILLAMLSQT >Solyc05g021243.1.1 pep chromosome:SL3.0:5:26750183:26751790:-1 gene:Solyc05g021243.1 transcript:Solyc05g021243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSKRSSKKKKDKDYTDRPYKSHRKKKRLDKREKRKSSRSDKKSFRHNSDACYKCGRVGHYARDCKVKDKIKSLNLDDNIKDSLCKILLNSSPEGSGPDDSGGEESYTSEDLRVLHDESYISSSDRECTPCKKGETCEDEQNTDEFYKLYSQFKDLNINVISSDDWIEMIKLIDDPIIRSQIIDKMGSTLTNKEESPRKEVQTENTTYTMAELKRQLHKRSQRNNIPTTIQDLSEKVNNLKKEINDLKNENIILDKRITFVENINSTQKDKQDADDSFNSLNNLTPEDFTEENKKSFLKTIELITAHKPLAKISITLQNPKLQDKIEYLKNQFSLQICGDHPNVFWERKKYIVSLPYEEDFSEDYIPTKARPCQMNSDYLEL >Solyc08g061748.1.1 pep chromosome:SL3.0:8:49540452:49549306:1 gene:Solyc08g061748.1 transcript:Solyc08g061748.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFNKKKVIFIMGATRTGKSPEDFCLQAIVYIEKILKTQRVPIIYIKKLVEDPVFMFKYKYNCCFIWIDVEKSVLNRRVDMRVDQMVKAVNEVRQIFIPDADYTKGIRRSIGVPEMDNGDDESKQMILQASISSIKRNTRILICNQLDKIQQLISEKMWSVHDIIATNVFKEDREEDLDEAWTNTILQPCQDIVKLNQIQTSQLKIFVCKLSLNVFQLLLEGQIRILKNLCKILCSCSNISIIVVLFGLMLILNSRVDMRVDQMVKADYTKGIRRSIGVPEMDRYLREETNLDGDDESKQMILQDSISSIKRNTRMVICNQLDKIQRLISEKIWSLHHIIATNAFKENREEDLDEEWTNTVLQPCQDIYDCCFILIDVEQSVLNRRVDMRVDQMVKEGLVDEVRQMFIPDTHYTKGIRRSIGVLEMEKYLSEETNIDGDDVSKQMIRKASYSSIKRNINMFICNQLDKIQRLISEKMWLVYHIIATDVFKEDREEDLDEAWMNTTQRVQIIVGGSNSYIEKLVEDHVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKAGLMDEVRQIYIPDANYTKGI >Solyc06g076460.3.1 pep chromosome:SL3.0:6:47646117:47649371:1 gene:Solyc06g076460.3 transcript:Solyc06g076460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDTVKLISREGFEFIIDKKAAMVSQTIRNMLTSPGSFAETEHGQVTFPEINTTILEKICQYFYWSLQYASGKETEFHIEPEITLELMMAANYLHT >Solyc12g088180.1.1.1 pep chromosome:SL3.0:12:64607302:64607985:1 gene:Solyc12g088180.1 transcript:Solyc12g088180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVLTTQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMFRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQKAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAELEDEDGGDEGDEY >Solyc11g069190.2.1 pep chromosome:SL3.0:11:54111110:54121157:-1 gene:Solyc11g069190.2 transcript:Solyc11g069190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:Q2LAI9] MEIDLNHALVSEVEKNVCCNEECDKGGGGGCVNCSLYTSTTSSCSSNVSSSSSLALTSIYKELWHACAGPLTSLPKKGNVVVYFPQGHMEEAVSAFPFSPVKIDLPTFGLQPQIFCRVEDVQLLANKENDEVYTQLTLLPLPESMAISLEGKEHEDSGTDEEGNGVNPGKSASHMFCKTLTASDTTTHGGFSVPRRAAEDCFPPLDYKEQRPSQELIAKDLHGVEWKFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGNLRLGIRRAARPRNGLPESIIKSQYSGPDVLSSVATALSAKSTFHVFYSPRASHADFVVPYQKYVKAINSRIPVGTRFKMKFDLDDSPERRYSGVVTGISDMDPFRWPNSKWRCLMVRWDEDIMSNHQERVSPWEIDSSVSLPPLSIQSSPRLKKLRTSQQAPSVLDSHFAGGSALLDFEESIRSSKVLQGQENLGLISPPYGCDKPVRPLDFELQRVARHNLMPNGVENIIVGDFVKTQPPTTYTGFLESNRFPKVLQGQEICSLRSLTGKGDVNFGAWGKPEFGCNVFGTYQRPRANFYPLASEGARNVFLPYNAMYRAGQDPVVPSYSTNFQRENPTLNQNSIQNVVRREEVGMPKFVNEQRPPEMSKVSIPENHFKNENDDSFNAQAPCKLFGFSLTKEPSTPSSQSSGKRSCTKVHKQGSLVGRAIDLSRLNGYDDLLVELERLFNMEDLLRDPNKGWRILYTDSENDMMVVGDDPWHEFCEVVSKIHIYTQEEVEKMTIEGISDDTQSCLEEAPAIMDVSKSSSVGQPDSSPTVIRI >Solyc08g077290.1.1.1 pep chromosome:SL3.0:8:61319069:61320028:-1 gene:Solyc08g077290.1 transcript:Solyc08g077290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLDEDAKPRFLFQSKPLQQSNSDPETQTRSLYRPGIIISISLAVLFFALSILYFSFEPFGSIFLWISLSLLVGPFAPSSVTGGDIRVGLGEPIQDLPKDDLSDTEPDTKKSNRRSNRPTKKNVDFEPVLATNYDLKPEKTNGSVANSKNSNGSVANLEKKVGDGVWNEGDEELLRKMTGKHPVGKPGRWEAIADGFNGRYKVESVIKKSKELGEKKMSDGDSYQRFLKDRKTVDKRAEGGNEADFENVEAKKAVESGWSSGEDLALLNALKTFPKEVAMRWEKIAAAVPGKNKAACMKRMAELKKDFRSSKSANAEA >Solyc12g055685.1.1 pep chromosome:SL3.0:12:62585419:62589994:1 gene:Solyc12g055685.1 transcript:Solyc12g055685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFDMSDLGLMHYSLGIEVKQSSIEIFTSQKKYIQETLQSFGMQSCNSVTTPTELELKLEKNLIGKKIDNTFFKQLVGCLMYLTVTRPNIMYFVSLVGSSNQRMGFSSMTSWLEDFGFMESSIVPRRERQVRAKWTVSMASPILE >Solyc10g005050.3.1 pep chromosome:SL3.0:10:50277:52426:1 gene:Solyc10g005050.3 transcript:Solyc10g005050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTPSLSLSSSSTLVDGKTTRQSAAVASSQCVTLPTLPPRPAVQSRAARTTAYCRKIARNVAAMATSTGEVATADATAETATTELPSELVQKIQEVWDKVDDKYAVSSLGVAAFILLWSSTGVISAIDRLPLIPGVLELVGIGYTGWFAYKNLIFKPDREALIAKIKELYKDILGSS >Solyc01g105330.3.1 pep chromosome:SL3.0:1:93425038:93429947:-1 gene:Solyc01g105330.3 transcript:Solyc01g105330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAPTCGPKLETLAHIDISKFSQSELHELSLCSDSAYDLRRTNEVVIPQIDRSLFNESAGSRRQTYSRLRHQHHRSRVPGLHPSTSQPKPPCTSDPENHAILHFLKFFIHNPNSQSPPPPPITQPATSGVQEKTLLLMNEPDKKRKRGRKSKDNKKLKENGVEILNKNGEVVDLNNLENNGDKLYSGELEKRTVRLQTEEEVLGFVRDLDGQWCSRRKKRKYVDASGFGDILPIGWKLLLALRRRDGRVWVYCRRIVSPTGQQFISCKEASSYLRSHFLSGEANQPTQQVDDTVAKSMSNFHSDTSLVLSTDIQENPHSLQKGDMAKHNVVAHAVVPSSSTLHLHLSDVCLMEMDNLPEVKVQDIFECYKCKLTFEEKNAYLQHLFSFHQRTTRRYRVGPSVGDGVIIRDGKYECQFCHKVFEERRSYNGHVGVHVRNNARGTVDIAAAVAADKGVQSPHHDGLLSRTCKMDALIEIAQNAVVETSSARPATKDSSMPSSTSTDLDGNMSTNIDQVARSTTDIGLSDTKEFMTETCLEQGRNQPDYTCVQVTKDKSSEILANKFNLRVISTNDSEQPEGDDAKKAGSNKVVQGPGNKQTKENDDVRPETMELTFQEIASQNALTSSSVSMVQSLHNNFEHSEEGVGKKDGTDNVAVGKGNSLTKANDVELETMELTFQDDATLDELTNFSLSMVQPSHSAFEHPESDDMKKDGNNQQAVCPGYSLTKANNDLESETMEFILQQNAIRDGLAGFSEPMVQSFHNSTGILSGSSKDNDEVSAIGQNLDNGTGFEELRLDEIDHFEYSFDGGHESSSLPATSIGLGNDARMKEAFASVGFDSGGIILNMEELNQLSTVCVWCRVEFKLEAYDTEAHSDSIGFMCPDCKAKISGHLESVFP >Solyc07g007930.3.1 pep chromosome:SL3.0:7:2591084:2595865:1 gene:Solyc07g007930.3 transcript:Solyc07g007930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSFSRPKSPMMFDLISISSHHPNSIINTINRPIQNPKIPSFFSSTTTHFSYSFNKYSNPLQFSVSSPTTSVLAHKGSEVEFEKGLEEEEAAAKTVVDAAMTITPAIRISDRKLMVKDRTILTNVPDNVLTTPGAASGPLEGVFLGAEFDQDNNRHVVPLGKLQDVRFLSCFRFKLWWMAQKMGDKGSEIPMETQFLLVETTDGSHLGSNDNKNDDNIVYAVFLPLIEGSFRAVLQGNAEDELELCLESGDKDTVGSAFNQAVYIHAGSDPFIVITEAIRAVKLHLKTFRQRHEKKLPKIVDYFGWCTWDAFYQEVTQEGVEAGLKSLTAGGIPPKFIIIDDGWQSVGGDPEVDKPLMRLTGLKENEKFQKKEDPTLGIKNIVNIAKEKYGLNYVYVWHAITGYWGGVRPGVKGMEEYGSVVKYPDITKGVMENEPGWKTDAIAVQGLGLVNPKSAYKFYNEMHSYLASAGVDGLKVDVQCILETLGGGLGGRVELTKQYHQALDASVARNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPASHTIHIACVAYNSVFLGEIMLPDWDMFHSLHPAAEYHGSARALSGGPVYVSDAPGKHNFDVLRKLVLPDGSILRARLPGRPTKDSLFTDPSRDGVSLLKIWNMNKYTGVLGIYNCQGAAWSTVERKTTFHKTNSEAITGYIRGCDVHFISEAALDPNWSGDTVLYSHGSAELVVLPYNAAMPVSFKILEHETYTVTPIKVLAPGFSFAPLGLIDMYNAGGAIEGLKYEVKAGAELSELEAGYQGEGNLVAEDKIENLSTEAVAVVSMEVRGCGRFGVYSSVKPRKCSVGGDMVDFAYNSESGLLTLNLDAMPPADQKVHIIEVEV >Solyc02g061640.2.1 pep chromosome:SL3.0:2:33678831:33680984:-1 gene:Solyc02g061640.2 transcript:Solyc02g061640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDTYQTVKDDSGDIAGGGETVTINIRCVNDFKLSVQVSLDSTIGLFKSILSQPADIPAKEQKVIYNGWILKDDQTLKSCGLEADHTVHLIRGSAAAASASATNVVNPNANQDAPRVAVPTTGGLFVRVGLF >Solyc01g108600.3.1 pep chromosome:SL3.0:1:95746574:95760428:1 gene:Solyc01g108600.3 transcript:Solyc01g108600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAVLLRSLSSTSTLAFSRIFSRSSHRFASYSARRHRLLQNLQRRRSLVRSNVRGISSSINLKRQFYPLSVRAIATSSPQSSQEFLGADDEVAEKFGFEKVSEQFIDECKSKAVLYKHKKTGAEVMSVSNDDENKVFGVVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLNTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDFQTFQQEGWHYELNDPSDEITFKGVVFNEMKGVYSQPDNLLGRTSQQALFPDNTYGVDSGGDPRVIPSLSFEDFKEFHRKFYHPSNARIWFYGDDDPNERLRILSEYLNMFDASSAPHESRVEPQRLFSEPVRIVEKYPVGEDGDLKKKHMVCVNWLLSDKPLDLETELALGFLDHLLLGTPASPLRKILLESGLGDAIVGGGIEDELLQPQFSIGLKGVSEENIQKVEELIMSTLQGLAEKGFDSDAVEASMNTIEFSLRENNTGSFPRGLALMLRSIGKWVYDMDPFEPLKYQKPLEALKARIAKEGSKAVFAPLMDQYILRNPHRVTVEMQPDPEKASREEQIEKETLDKVKASMTQEDLAELARATHELRLKQETPDPPEALKSVPSLSLQDIPREPVLVPTEIGDINGVKVLKHDLFTNDVLYAEVVFNLSSLKQELLPLVPLFCQSLLEMGTKDLDFVQLNQLIGRKTGGLSVYPFTSSVHGKVEPCSKIIVRGKAMSQRTEDLFYLINRVLQDVQLDDQKRFKQFVSQSRSRMENRLRGSGHSVAAARMGAKLNVAGWISEQMGGVSYLEFLKVLEDQVEKDWSQISSSLEEIRKSLLSKNGCLINLTADGKNLNNAEKHISKFLDLLPSTSLVEPAAWNAQLSRSNEAFVVPTQVNYVGKAANLYEAGYELKGSAYVISNYTSNTWLWDRVRVSGGAYGGFCSFDSHSGVFSFLSYRDPNLLKTLDVYDGTSSFLKELEMDNDALTKAIIGTIGDVDSYQLPDAKGYSSLLRYLLGVTDEERQRRREEILSTSLEDFRKFGDVMEAVKDKGVVVAVASPDDVEAANKERSNFLEVKKAL >Solyc04g007690.3.1 pep chromosome:SL3.0:4:1373816:1377528:-1 gene:Solyc04g007690.3 transcript:Solyc04g007690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D6RT11] MISWHDLYVVLTAVVPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISMNNPYEMNFRFIAADTLQKVIMLIVLCIWSNVTKNGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGDYSGSLMVQVVVLQCIIWYTLLLFLFEFRGAKMLIMEQFPETAGEIVSFKVESDVVSLDGQDFLETDAELGRDGKLHVTLRKSNVSRRSFAMTPRPSNLTGAEIYSLNSSRNPTPRGSNFNHSDFYAMMGFPGRLSNFGPVDSTPRPSNFEENCAQGSPKFGFYPAQSNYPAPNPEIASIVSINTKNQQVQYQHQHQQQQNGKASHDAKELHMFVWSSSASPVSEAAGLHVFGGTDFSANEQSCRSDGAKEIRMLVPDHPQIGDNKDVSQDFGGEEFSFGGSTGGGDEKSKDEKKEEKEGLTGVHTTTTGVQDSGTRKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLISYRWHVHMPKIIEKSISILSDAGLGMAMFSLGLFMALQPKIIACGNTVATFAMAVRFLTGPAVMAAASIIVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIILGL >Solyc09g090430.3.1 pep chromosome:SL3.0:9:70425958:70430320:-1 gene:Solyc09g090430.3 transcript:Solyc09g090430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKANMVASLMSVKQKSGKTFSQIAEETGLTNVYVAQLLRRQAQLKPETAPKLKAALPLLSDQQIHQMMEAPLRSYDPNLIQDPTVYRLNEAVMHFGESIKEIVNEEFGDGIMSAIDFFCSVDKIKGVDGKDRVVLTFDGKYLPHTEQKTEHMVSRLMRKE >Solyc01g057320.2.1 pep chromosome:SL3.0:1:60474940:60482351:-1 gene:Solyc01g057320.2 transcript:Solyc01g057320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDASSSSSATSLRSASRTNLKPVESNENDFHNLFTKFPFPPPRTPLNSIPDPSQDLQSETLRASHRKYDTPDTHIGNGVRGKAHSEPNSAQTTPVRRISNVFTPGTCSGVRHTGPKGAALSSRTSKGTSVINSQISVQVPHFELAEDPSFWKDHNVQVLIRVRPLNNTEKVSQGYSRCLRQESTQTLVWLGHPETRFTFDHVACETISQEKLFRVAGFPMVDNCMSGYNSCMFAYGQTGSGKTYTMMGDIGEMSGKLSEQCGITPRIFEYLFTRIREVRYEKKDHLEEDMRKNEKLKYSCKCSFLEIYNEQITDLLEPSSTNLLLREDSKKGVYVENLTEVSVSSVDDVLRILLQGAANRKMAATHMNTESSRSHSVFTCNIESCWEKELMKHFRFGRLNLVDLAGSERQKSSGAEGDRLKEAANINKSLSTLGLVIMSLVDLAHGKHRHVPYRDSRLTFLLQDSLGGNSKTAVIATISPSLCSASETLSTLKFAQRAKLIQNNAKINEDASGDVSALQQQIQLLKGQLSFLLKHQGSENYFAESVPHLDQFSLGDCPESFDLSEELDMHTDRGPQHGGKNSFHYLKTTLFNAERRAKLAEMEVRRLEAEIEKMKYLCWFTGSSATGRGST >Solyc04g057850.1.1.1 pep chromosome:SL3.0:4:54882672:54883436:-1 gene:Solyc04g057850.1 transcript:Solyc04g057850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVAHFSYSTLSELESLSRLTAPTLSKCSRDVIYSNLGLSSKLTRYALTLGRVYRTTSTIDDYDKNISLEVTKLGDRICHKLRKSKNVYSTGKGSKNVLTELQLVEFQIVKYLCLDDCDSLTHLLKIQCQNNIPFPELKRLEVSRCRGLQYAFCVSLAGGSWIVVSPNDEEEEISRRTCEVIKFPNLYELDLHSLECLTHFCSDSVEGIEFPPLREMNFFELPEFQNFLPTTNNSITHSNPLFDENILFYCSM >Solyc08g081220.1.1.1 pep chromosome:SL3.0:8:64400780:64402444:-1 gene:Solyc08g081220.1 transcript:Solyc08g081220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTGMMIVAIVVAYLLWFKSITKSMKGPKGPKIWPIVGSLPGLLENGNRMHEWIAENLRVCTGTYQTCICAIPFLARKQGLVTVTCDPKNLEHILKVRFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRAIKNRFCPILEMAQVQGKPVELQDLLLRLTFDNICGLTFGKDPETLSPGLPENIFATSFDRATEATLHRFIIPEFVWKLKKMLGLGVEVSLSRSLKQLDNYMTDIINTRKLELMNHHNGGPQHDDLLSRFMKKKESYSDKFLQHVALNFILAGRDTSSVALSWFFWLVSLNPRVEEKILIELCTVLVETRGNDTSKWLEEPLVFEEVDQLTYLKAALSETLRLYPSVPEDSKHVISDDYLPDGTFVPSGSNITYSIYSTGRMKFIWGEDCLEFKPERWMSKDGNKYQVQDAFRFVAFNAGPRICLGKDLAYLQMKSIAAAVLLRHRLAVAPGHKVEQKMSLTLFMKYGLVMNVNPRDLTPILAKIENFCKIDHSCGGEHMINNGINQPGATAVNGIAA >Solyc12g009900.2.1 pep chromosome:SL3.0:12:3074034:3077002:1 gene:Solyc12g009900.2 transcript:Solyc12g009900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIGKAVSRFDVESLQEMLCFQEQLIEKLYNELDEESEASSTAATEALSMILRLQGEKAAEEMEAEQYKRFVEEKMSHAEESLCVLQELIDQKQIKIDELDHQAQDYRYKLVSMGYDDDIDDSELEYFEDLEGKLIVQSIGRCKSTPNIPLKDDNMKKDDLKDENISPKRDMNDVPMVGSISVYCEQMEKLEQRVKQIAGANNYAKLSSLPISKRSSCNNFLEQFKETYIVQHRVNKSDNEAAASRSVSPPNVLDVFEVPRAIKDEDFVVRKEAERLVKDETDWHKKYLIPMQHLDICPTTSASETAEIRHFNRTVFEIDEVERQGTRQEPDKHEELMMLHDIKEKLNLEPLKHLKVCPMTSDSETEEIRRVNRSTFKKTEVERQGTRQEPNRHKELMLLHDRKEQLNLVPIKHLKNCPMTHASETAEIRHVNKKFEIAEVERHDTRQEPERNKELMLLHDRKEQLNIVPIRHLNNCPMTNASETAEIHRVNKKFEIAEVERDGTRKVERHGTRQETERHKEIMLLHDIKEQLNLLPMQNEDKLHRVGEATSPTTSVSETAEIRPVNRTTLEITEVDRQRMRQESNRHEQLTLLYEIKEQLNLMQSDIRTWKNIKSSPRDEPSVDSLTEVMACFWM >Solyc09g031800.1.1.1 pep chromosome:SL3.0:9:27885636:27886082:-1 gene:Solyc09g031800.1 transcript:Solyc09g031800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNLYLIGLLPIPMNSIGPRNDTLEESVGSSNINRLIVSLLYLPKGKKISESCFLNPKESTWVPPITKKCSMPESNWDSRWWRNWIGKKRDSSCKISNETVVGIEILFKEKDLKYLEFLFIYYMDDPIRKDHDWELFDRLSLRKI >Solyc01g008010.3.1 pep chromosome:SL3.0:1:2116732:2120424:1 gene:Solyc01g008010.3 transcript:Solyc01g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGQGIEILENTSNVHNRLPRPMIQSIFSGFQEKVQECVKSNFKRLKIAHDKSITRGNNKGATLVIDVDKEKQLQSWKENPSWVDPPPYVKVSVPKGSLCNLNVKVNIGLPPDTVFDIVTDPENRRVFKNIKEVLSRKVLVDEGSRQVVELDQAAIWKFLWWSGTISVHVLVDQNREDYTMKFKQVKTGFMERFEGRWKVEPLLVDEHLCHPFKPKTLADYISYTKGKGRIGSTVSLEQLIQPAIVPPPPISWYLRGITAKTTEMIIIDLVAEAARIRGSSSNDGSRGLKVNEESSIESQVSDIRDIKERWALRRRTSRHHRRSSSIAK >Solyc09g061950.1.1.1 pep chromosome:SL3.0:9:61017659:61018180:1 gene:Solyc09g061950.1 transcript:Solyc09g061950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENENKKGKQKIEMKLIENERARMVSFSKRKKTLFEDAHKFATRTGADVGVMLFSPSGKPYSNDSATIADIIDRFLKVKQEDHKRDYAEGESNGFEALKDLHKELQAWNDKEKKRKLMHKIMHPSLEIPSDKHMEEQKLALKLKVEKFKNEIQSAITTEHLKFDLNVVPDPEE >Solyc11g045240.2.1 pep chromosome:SL3.0:11:31585819:31600236:1 gene:Solyc11g045240.2 transcript:Solyc11g045240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLCSDLHFSIKTWSFFSMLFILAFFTTLNYYQHSTPTSLFYFNSFISSATNYTLATYLRHLTLHPHLAGTAPSLHTALYVKSHFQSLNLQTHVANYSVLLSYPLFSSVILHFPNGSVLSLGLVEPGFSSSGVVKPYHAYSPSGSAYGEPCFLNYGREKDYIALGASGVKVKGCVGIVRRGGGLSRNEMVEKAAARGVKAVLMFTEGEFENGVERGTVMNGLGDPLSPGWGGVENGEKLRMDDPLVMQRFPSIHSLPISMKSAEIILKSLEGPEMPYEWRKSLRCSTSGRLGPGPIMINFTYQGEKKMATVHNVFAVIRGSQEPDRFVLLGNHRDAWTYGAVDPNSGTAALLDIARRYALLMRLGWNPRRTIILCSWDAEEFGMIGSTEWVEQNLINLGSKSVAYLNVDCAVQGPGFFPSTTPQFDNLLTEITKKINDPDSEGMTLYERWTAADRGIKIQRLSGVDSDFAPFLHHAGVPSVDLYYGRDFPVYHTAFDSYNWMVNFGDPFFQRHVAVTGVWGLLALRLADDPILPFNYRPYAAELQDYTRILSKLLEGRNISLHPITAATQELAATAKETLDEAKSQ >Solyc02g067380.3.1 pep chromosome:SL3.0:2:38150389:38152160:1 gene:Solyc02g067380.3 transcript:Solyc02g067380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSRTQSSEGGTSRISDDQIIQLVSKLQQLLPEIRNRRSNKASASKVLQETCNYIRNLHKEVDDLSDRLSQLLSTIDADSPEAAIIHY >Solyc02g081610.2.1.1 pep chromosome:SL3.0:2:46048463:46056874:-1 gene:Solyc02g081610.2 transcript:Solyc02g081610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQMETSISGSSSPAHPKWRKVVYGGMQPGFGDNHTDESFLEEMIMNANVVKRDLLKVVLDAVSISQYLCIVALVVLVWTYTLTNTLTEKYLLLLNVSLLGSGFFILLLTADMIPFNLLLNYLLKNTFFITALYMLSPIYHTLTRSISSNSIWALTASLLILHLFLHNYSGSTVKAPGALDNPTLTSNISLNASIVASLLISSRLPSRLHVFAIVLFSLQVFLFAPLVTYCVKKRSFKLHICFSLQLLVLTLVFTYQLHKLLFIVLLGIFVFVNLVCPYWLIRIQEYKFEINGPWDEAKLCFNITE >Solyc05g042000.1.1.1 pep chromosome:SL3.0:5:55297417:55297650:1 gene:Solyc05g042000.1 transcript:Solyc05g042000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFLCLQQSIALKINVVSATILVVKPSGWLHFTLNLHGNLYCRSHKCFHPDFSYYLHLYYILSSIVVGSSYLIHG >Solyc07g053320.3.1 pep chromosome:SL3.0:7:61913684:61919466:1 gene:Solyc07g053320.3 transcript:Solyc07g053320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSISWVGNIYQKFETMCLEMEEAMYQFGNVTRWNLLKGDGNKCRHLMDHTIKKHSYFCTSSSTSTGKEVLFWGSSTAITIDTVKYVENQMNTVGTNVKRFCSEVMQDVHPQCNIDPVKVAAADLSLNPYAHYEIDKKLKANLKGSARGFSNKLNDDTQVIKGKSKSGGVYKRQNVGIKEIVRDSHLTKKPNAICLASGDALKLSSSAEVRGGFELASDHVTLTSALASVKGSDSGEVASKVSNHVIQTNVSTADTSITSEASVMMSVESVGKKQTGSSSISVDTCTKELACNTRFKTSSDVRNNLANEEIDESHEEKSDNLLSKYDSIESDLEIVEKFDEFQLNETCVLVEEDRIHVPQGPVKQKSYKKKLRDAFSTKKRLTRKEYEQLGALYGDQQIKVESEDKVMPVLAMNSNTKMLSANDHPESEWEIL >Solyc07g063900.3.1 pep chromosome:SL3.0:7:66373996:66377523:1 gene:Solyc07g063900.3 transcript:Solyc07g063900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAKAAAPATRGLRALLSTFSPSFPFNTPSKQVEKPPPAEPSTNLFVSGLSKRTTSEGLREAFVKHGEVVHARVVTDRVSGYSKGFGFVRYATLEDAEAGIKGMDGQFLDGWVIFAEYARPRPPPPSMQNNNTTPGYGNYNSSPYGSQ >Solyc08g068085.1.1 pep chromosome:SL3.0:8:57233990:57236172:1 gene:Solyc08g068085.1 transcript:Solyc08g068085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLEQKASEWSGVDPKDAVAVDEINLFQKLGLQTFINLSTNFYNRVYDDEEEWFRSIFSNSNKEEAIRNQYEFFVQRMGGPNLYSQRKGHTALIGRHRTFPVTHKAAERWLHHMQQALDSAADIDEDSKTKMINFFRHTAFFLVAGVELKNQNKGTGCKNCSHHH >Solyc08g061100.3.1 pep chromosome:SL3.0:8:46727800:46736330:-1 gene:Solyc08g061100.3 transcript:Solyc08g061100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4CKQ2] MEAGGGMVAGSHKRNELVRIRHDSDSGPKPLKPLNSQICQICGDTVGSTASGDVFIACNECAFPVCRACYEYERKDGNQSCPQCKTRYKRFKGSPRVDGDDDEEDVDDIDNEFNYAQGNSKARQQWQGDDAGLSSSSRHESQQPIPLLTDGQPVSGDFPSATTDTQSVRSMSGPLGPGDKHSSLSYVDPRQPVPVRIVDPSKDLNSYGLGSVDWKERVEGWKLKQEKNLVHSTNRYSEGKGGDIEGTGSNGEELQMADDARQPMSRVVPIPSSHLTPYRVVIILRLIILGFFMQYRLTHPVNDAYPLWLVSVICEVWFALSWLLDQFPKWSPVNRETFLDRLALRHDREGEPSQLAPVDIFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARRWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVQPSFVKERRAMKREYEEFKIRINALVAKAQKMPEEGWTMQDGTAWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFLMDPVLGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGLQGPMYVGTGCCFNRQALYGYDPVLTEADLEPNIIVKSCCGGSRKKGRSGNKKYIDKKRAVKRTESTVPIFNMEDIEEGVEGYDEEKSLLMSQRSLEKRFGQSPVFIAATFMEQGGIPASTNPASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWYSLYCMPPRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLMLLERLAYINTIVYPLTSLPLLAYCTLPAICLLTGKFIIPEISNYAGMWFILLFLSIFATGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKANDEDGDFAELYVFKWTTLLIPPTAILIVNLVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIVHLYPFLKGLLGRQNRTPTIVIVWAVLLASIFSLLWVRIDPFTSDASKTAARGQCGINC >Solyc10g006580.3.1 pep chromosome:SL3.0:10:1119499:1121204:-1 gene:Solyc10g006580.3 transcript:Solyc10g006580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRTLDFGERNGYLKGVITEVIHDPGRGAPLARVTFRHPFRYKHQKELFVAAEGMYTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHKVGDRGVFARCSGDYAIVISHNPDNGTTRVKLPSGAKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >Solyc01g014643.1.1 pep chromosome:SL3.0:1:14408829:14414626:1 gene:Solyc01g014643.1 transcript:Solyc01g014643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIQEANQCIIERFLNMMEFLGTMSIRNLLAGADKISLSKTVTISREKLSLLRSMKCWILAGTTQIMSLTGMFQRIGAYRICLSDCFFVVAIYSDDCEKKRYAL >Solyc03g111850.3.1 pep chromosome:SL3.0:3:63942239:63947660:-1 gene:Solyc03g111850.3 transcript:Solyc03g111850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVMPLRTTTAATATAASAAATVRPRFSFQLLANPRPRKPAFSLSVPTTIFTTHRARILPQFTPVRAQEDNSALVSESTIPEGDALKIKEWEVERFQDEIAASQGIKIRRRPTTGPPLHYVGPFEFRMQNEGNTPINILEEIIWYKDKEVSQMKEKKPLLLLKKLLSSVPPTRDFLGALRESYSRTGLPALIAEVKKASPSRGVLRENFNPVEIAKAYERGGAACLSVLTDQKYFQGSFENLEAIRNAGVECPLLCKEFVIEAWQIYYARVKGADAILLIAAVLPNLDIQYMIKICKLLGLTALVEVHDEREMDRVLGIDGVELIGINNRDLGTFKVDISNTKKLLEGERGERIREKGIIVVGESGLFTPADIGYVQEAGVKAVLVGESLVKQEDPAKGISGLFGKDISC >Solyc02g082160.2.1.1 pep chromosome:SL3.0:2:46424488:46426765:-1 gene:Solyc02g082160.2 transcript:Solyc02g082160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSTLANSFTWRQNGIHPKLKISHIPNYFNVTELWDASIFQRLKEPKPIEQVHAQIVISGLSQDTRLCNRLMNSYASCRLITQTHKIFSVIEHKNLVSWTILINGFAKNGLFLEAIELFGKMVNCGLMPNAITVSSILPAIGKLRLNLIGKSLHCYWVKQEYQCNVFVQSALVHMYSKLGYPIAARYVFDSMPERNVVSWNAIIFAYSNNGMVEEAIWLFNLMRRSLLMDTFTIMSLVSATFGVGDLQIVTQIHGLVVKSGHDNNQRVETGLIEKYIGVNFVDDAYCIFCEMPVKDVVAWTLMLTGFVNSGNWSMVVEHFNQMMGTDEVELDSVALIGILSGCSSSGALQQGRMVHALVIKNGFEGDTFLGSSIIDMYANCAELGDARRFFEGMATKDAACWNALIAGNGMLGYGNDAIDLFLKMKDLGLDPNDSTLVSVLSACSHSGLVDQGLYIFDNMVARWNLYPNQKHYACVVDLLGRAGRLNDAYSVITSMHLQPGVDVYGALLGACKAHGNIELGVEVSQRLFELKPHDAGYYILLSNIYALSGNLEGVKSTRLLFKSKTLEKDPGMSSIEINGAVYTFMANEKDHPLYLEISRFLKDLIFRIQEEGYVPDFKSVYQDVAEDLKKDILYHHSEKLAIAFGLMRTKPGTIIRVTKNLRACNDCHSSCKYISKVFGRTLVIKDKNRFHVFHGGNCSCGDYW >Solyc08g029408.1.1 pep chromosome:SL3.0:8:36410869:36419414:-1 gene:Solyc08g029408.1 transcript:Solyc08g029408.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSSTNGADTPFADITHFPNLIGALQYLAITHPDIQYIFGTLGRGLLIRPGDLELRGFSDSDWANNKNDKKSTSGFLIFLGPNLNSWCTKKQPKVSRSSTEAEYRALALLAAETM >Solyc04g078350.1.1 pep chromosome:SL3.0:4:63191031:63191656:-1 gene:Solyc04g078350.1 transcript:Solyc04g078350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPTVQHISDCFIKPLYDSEESKKPIYLSIIMGYSHLVVSKHLNKKIPLFTPSLSINCVNTPGTRFIHASLDSTVSDILSPKDVPLVVQSFLDHDRAINHDGHEFGFGKGVALRSGYAHKFDGEVSLYEGIEGDGSMDLEVCLLPHFMASLETDKEFMDSLLS >Solyc03g031800.3.1 pep chromosome:SL3.0:3:4308385:4310781:1 gene:Solyc03g031800.3 transcript:Solyc03g031800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BFF2] MASPIAYFLVLSAIIVVLFSSTQAEVQGSFDDNFSKSCPETHFKTSEDGQIWYLSLDKKAGCGFMTKQKYRFGWFSMKLKLVGGDSAGVVTAYYMCTEDGAGPTRDELDFEFLGNRTGEPYLIQTNVYKNGTGNREMRHVLWFDPTEDFHTYSVLWNTHQIVFFVDKVPIRVYKNANYTNNFFPNEKPMYLFSSIWNADDWATRGGLEKTNWKNQPFVSSYKDFSVDGCQWEDPYPSCVSTTTQNWWDQYDSWHLSSDQKLDYAWVQRNLVIYDYCQDTERFPKKPEECWLNPWE >Solyc01g102380.3.1 pep chromosome:SL3.0:1:91089719:91101064:1 gene:Solyc01g102380.3 transcript:Solyc01g102380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFRKMFPLIMVIMTLLAINSDKACAGDPDMLQDVCVADLTSTLKLNGFTCKNMFSAADFSSMVISKPGATNNMFGSLVTGANVMAIPGLNTLGVSMARIDYAPGGINPPHLHPRATEMIYVLQGELDVGFITTANVLVSKHIVQGEVFVFPRGLVHFQKNNGHMPAAVIAGFNSQLAGTQSIATTLFAATPAPGVPNDILAQAFQIVMAVVMLAISLDKASAGDPDMLQDVCVADLTNSLTVNGYLCKKNFSEIDFSSMAIAKAGATNNTFGSLVTGANVMKVPGLNTLGVSMARIDYAPGGINPPHTHPRATEMIFVLEGELDVGFITTSNVLITKHIVKGEVFAFPRGLVHFQQNNGDVPAAVVAGFNSQLPGTQSIATTLFASSPTVPDSVLTKTFQVAISLDKASAGDPDMLQDVCVADLTNKIDFSSMAIAKAGATNNTFGSLVTGANVMKVPGLNTLGVSMARIDYAPGGINPPHTHPRATEMIFVLEGELDVGFITTSNVLITKHIVKGEVFAFPRGLVHFQQNNGDVPAAVVAGFNSQLPGTQSIATTLFASSPTVPDHVLTKTFQVGTKQIQKIKSRLAPKK >Solyc05g015220.3.1 pep chromosome:SL3.0:5:10076204:10113200:-1 gene:Solyc05g015220.3 transcript:Solyc05g015220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSRGSTRSRASKSSTENPQIRNPNRILRKDKTRNNSLIRIFSVNLKFLIGFGIIAFAIAILFICTLINPMEKPQKPRVITPLPAPKLMDLPMFQGAHRESLYWGTYRPQVYFGVRARTPQSLVAGLMWLGVKNERYFMRHVCQDSDELKQYGWTSHNGRDYGHQVLSEQTMTLTTSFLKSKDHGSGYGGDWAVRIGVQSNESVPDEEMLKTAHLFFYVADEGGKSLTLGSRVLDIHGDSILASGSRSDIGDWQLHLFSEDVSGVHYSGFKTPHIHNLSDLVQANLAVQARQFGHLQLSDSSDDSPSILVFQISARIPFKADIVFLSGTSTRDSRVEERASRLTGTSLTSLFSEKQKEFDNKFKKSFILSDELGLEPVTVGKAALGNMLGGIGYFFGQSKISLPSISTPNAGDNSVLYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDVYISLDIIGHWLDLMNIDGWIPRELILGAEALSKVPEEFVLQHPTNGNPPTLFLALRDLISKLKKEKFAATEARDISVFLDRAFVRLEAWFKWFNTTQAGKEMGSYYWHGRDTATIRELNPKTLSSGLDDYPRASHPSEDERHVDLRCWMHLAADSMHSIAELLKMDKDIKKEYSSTAKLLSDFKLLNKMHLDTANGAYCDYGNHTEKVQLTWKIVETGSNYPRREFIREVLEKPVLQLVPHLGYVSLFPFILRLIPPDSQILESQLDLISNKSILWTDFGLRSLSKTSSMYMKRNTEHDPPYWRGPIWIPLNYLIVSSLHHYSQEPGPYRERAKTVYNELRSNLIRNIVGNYKRTGYLWEQYDPKKGKGKGARLFTGWTATVLLIMAEAYHEV >Solyc08g008580.3.1 pep chromosome:SL3.0:8:2951917:2953923:1 gene:Solyc08g008580.3 transcript:Solyc08g008580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQQNQATSRLILMAGKSEQNAVVLDKQRPANVAQNSMMHHLNLEDPAAALANVRHEFSEHGGVNMSIEASITFTVMEPENLSRMFAGELGPDNDFFIYSRHLNPTVLNLSRLIAALEGTEAAYCTSSGMSAISSVLLQLCSSGDHVVASRSLYGGTYALLTHFLPKACNITTSFVDIRDLNMVDESIVEGRTKVLYFESISNPTLMVSNIPALCRIAHDKRVTVVVDNTFAPMVLSPARLGADVVVHSISKYISGGADVIAGAVCGAASLVNSMMDLREGALMLLGPTMNAKIAFELSERLPHLGLRMKEHSNRALVFATRMIKLGFKVIYPGLENHPDHVLLKSLANKDYGYGGILCVDMETEEKANCLMNVLQNYTQFGLIAVSLGYYETLMSCSGNSTSSEMNNEEKELAGISPGLVRMSIGYNGTLEQKWSQLEKALSQMQ >Solyc08g076710.3.1 pep chromosome:SL3.0:8:60770252:60774185:-1 gene:Solyc08g076710.3 transcript:Solyc08g076710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYPCCKVDENLKKGPWTSEEDEKLMDYITKNGHTNWQLIPKKAGLNRCGKSCRLRWTNYLRPDIKRGEFSIEEEEIIINLHSLLGNKWSKIAGHLPGRTDNEIKNFYNTHLRKKLLRLGIDPRTHKPISDLNLLINLSHQFTSKQNINHHLMNNPNFPLAYALKLQAQVTEIAKIQQLVQSLFTPISTNNPLSTKFQENYFATSSSHVITNNVATNTHFLNALLVNSCGTIQNLDNSNSCISNSSELSNYQSELNSKVDNFEGLNNNSSKTNLDYNNSLPSLITPSSPDQANDAHVNQDYDPNTFSQLNHEGIDDSFWNDIFP >Solyc06g068860.3.1 pep chromosome:SL3.0:6:42800024:42810342:1 gene:Solyc06g068860.3 transcript:Solyc06g068860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:E0XN34] MKNMGKFEIWFLILMVCGLWVVEAKYMVYNTSQGIVSGKLNVHLVPHTHDDVGWLKTVDQYYVGSNNSIQVACVQNVLDSLIPALLADKNRKFIYVEQAFFQRWWRNQSPGMQSTVKQLVNSGQLEFINGGWCMHDEAATHYIDMIDQTTLGHKYIKQQFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSLFFGRIDYQDREKRKIEKSLEVIWRGSKSLSSSTQIFSGAFPQNYEPPSKFYFEVNDDNSLPVQDDVNLFDYNVQERVNDFVAAALSQANITRTNHIMWTMGTDFKYQYAHTWFRNMDKLIHYVNQDGRVNALYSSPSIYTDAKYALDESWPLKTDDYFPYADRINAYWTGYFTSRPALKLYVRMMSGYYLAARQLEFFKGRIETGPTTEILADALAIAQHHDAVSGTSKQHVADDYAKRLFIGYKQAEDLVSNSLACMVESASASGCKNPQINFKQCPLLNISYCPPTEADLAPGKKLVVVVYNALGWKRTDVVRIPVVNKNVIVEDSTGKEIESQLLPIVKESIVIRNYYAAAYFGESPTSSPKYWLVFTATVPPLGFSSYVITSGKQAVAASIPQTFYKTDGSQSDAVEVGPGNLKLLYSANGAKFTQYFNKRNQVRSSLEQSFSYYSADDGSKDDYKDIQASGAYVFRPNGSFPIHPEGKVPATILRGPLLDEVHQNINSWIYQITRVYKEKEHVEVEFTVGPIPIDNGIGKELVTQIQTDIKSNKTFYTDSNGRDFLKRVRDYRADWDLQVNQPAAGNYYPINLGLFLKDNNNEFSVLVDRSVGGSSLVDGQLELMLHRRLLNDDGRGVAEALNETVCALGKCMGLTVQGKYYIRIDSLGEGAKWRRSFGQEIYSPLLLAFTEQDGDKFTKFPVPTFTGMDPSYSLPDNVAIITLQELEDHTVLLRLAHLYEVDEDKDLSTKASVELKRLFPKRKINKIREMSLSANQERVEMEKKRLKWKAEAPSDLRDVARGGPVDPTKLMVELAPMEIRTFVIDLSQSVPEGWKSHMSL >Solyc03g083990.1.1.1 pep chromosome:SL3.0:3:55359688:55360059:-1 gene:Solyc03g083990.1 transcript:Solyc03g083990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKTIASQSSVPLFLSLNLLLFAVVSGTNDTGNCVGSQQTCSIDTLKLGVCANILNLVNVIVGSPPTLPCCSLIQGLTDLEAAVCLCTAIKANVLGINLNVPLSLSLILNTCGKKYPTGFTC >Solyc03g118280.1.1.1 pep chromosome:SL3.0:3:68674044:68677151:-1 gene:Solyc03g118280.1 transcript:Solyc03g118280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVLSKRILTIYNWSCRRHVIKKSMRYSHSKSTPEEISTILKDKISVSKSTAEEISTILKLKNWKLLLESSEIPKKLNADVVQFVLDGNKLLVNPKRLLDFFDWSNQKVGMAHIDSFSILALALCNSNNFSPAQHVFDEMIQRRFSVRDIASSLVKCYRECDKFSSQTVAFELPIDACRKKGMLNEAVSMFLGIKNEGFFPSLLCCNTLLNELLNGNKMELFWKVYEGMLESKMSLDVYTYTNVINAYCKVGNVKDAKRLLHDMGEKGCNPNLVTYNVVIKGLCGTGTVDEALKLKSLMEGKGLVPDIYTYSTLIDGFCKKKKSREAKRILDEMYEVGLNPDHFAYTALIDGFMKEGEVDEAFRIKDEMVERGKSLNLMTYNSIINGLCKIGQIERAVTIKADMIEMGISPDVQTYNYLIEGYGRKNNMDKASELLVEMTDRNLVPSAYTYGVLINAFCNAGDLCQAILILEKMIAAGVRRNAIIYTPIIKGYVEDGKFEEAKHILQDMWQDGILPDIFCYNSIVSGLCKVGRIDEAKACLVEIDKRRLRPNSFTFGPFISWYREAGNMQVAEQYFWEMIDRGIAPNYVTFACIIDGYCKYGNISQAFSVLNHMLEIGRLPNVQLYGILINALSKNGKLSDAMDVLSELYNKGLVPDVFTYTSLISGFCKQGNLEKAFLLLDEMSQKGVRPNIVTYNSLIGGLCKSGDLSRAREVFDGISGKGLAPNSVTYTTIIDGYCKAGDLDEAFCLSDEMPLRGVQPDAFVYNALLHGCCKAGEIEKALSLFHEMVEKGIASTLTLNTLIDGFCKLGRLSEALELVKGMSDMHILPDHVTYTILIDYCCKNEMMKVADELFQTMQGRKLIPTIVTYTSLIQGYHRIGEKLKVFSLFEEMVARGIKPDEVVYSSMVDALYREGNLHKAFSLWNELLDKGLLKGHVSETLVGSWCEKGEISALLASLNEIGAQGFVPSLAMCSTLAHGLNQAGYSEILPMFVETMVKFSWISNSMTSNDLIRHCQIDEHTESISNTPKQSAL >Solyc02g026080.2.1 pep chromosome:SL3.0:2:25448469:25451345:-1 gene:Solyc02g026080.2 transcript:Solyc02g026080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFFKVFNPETSAKWIKIPIGYTKYKNGKIPRKVSLRDQYGNMWPIRVTKQGKDIYFKYGWEKFIEDNNVEFADFLIFDYDGKGTFDFKLLGMNGCVKNGSGGKNKREEMNVEHGKSVDLKEKTRGSDRSNSSFDYDSDEHYMVEEDVEIKEETHKGASYSKCRYMEEEVDEKQEGGGKEQKDEDEEEDEKVGTYTEKVQHSKVGCQKVNVCRVRDIPEHYGADIFKSGRVTQPKNPYFVAKIQERIRDKLYIPMEVIRDYKLELPSRMIIRDSAGREFETRVSKWTDGRVWLFGGWIKLGRLNLVEKDDRYICELVRGNCGKALYLQVQVLHEGSSSHPDNK >Solyc06g036803.1.1 pep chromosome:SL3.0:6:28592398:28592731:1 gene:Solyc06g036803.1 transcript:Solyc06g036803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILRNTIKHMIDDEYSNTIIPLHNVIRKILVKTFSVEFVKIDQRNLFNLIPIYF >Solyc03g114200.3.1 pep chromosome:SL3.0:3:65686321:65687480:1 gene:Solyc03g114200.3 transcript:Solyc03g114200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHTIRTIVGIIGRILHAGNVISFFLFLSPMPTFIKIWKAKTVMQFKPDPYVVTALNCAVWVFYGMPFVHPDSLLVVTINGIGLFIEFLYIIVFYIYSDGPKRYLQKKISIFLGVEIILFAILVFVTLTFLHGTKKRSMLVGILAVIMNVAMYASPLTVMRRVISTKSVKFMPFYLSLANFCNGGIWFAYAFLKFDPYILVINCIHSPNGLGTLSGAIQLILYAKYYKTTNWDDEEKPNEVELQRNSDTV >Solyc02g030605.1.1 pep chromosome:SL3.0:2:26980019:26980959:1 gene:Solyc02g030605.1 transcript:Solyc02g030605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEENRENMRNVDLNVACLLNGESSGSQRSVASNLTDLETQQTPNQWESAQEVREKLRYFLHNMPCVVYDSPNGKKTKGYLLYSSVRREDLKIVCSCHANFLTPAEFVKHGGGGDIENPLKYIDIVLA >Solyc04g054150.1.1.1 pep chromosome:SL3.0:4:51766161:51766727:1 gene:Solyc04g054150.1 transcript:Solyc04g054150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKKTLNSSVESPLSSNTSDNFSKELDKFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLVWAMTTLGFENYVGPLKGYLNKYRESEGEKNSMARNDETSHEPIITSTNNIIGTSYNNNNNNNNVTNFSLARPEFIQSYKQNYGENLTSKANLHGVGW >Solyc06g053805.1.1 pep chromosome:SL3.0:6:36751000:36752170:-1 gene:Solyc06g053805.1 transcript:Solyc06g053805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRLNKYFCCLMSLLSHIIEITGTKFPSSLFSAMLIWSL >Solyc01g111140.3.1 pep chromosome:SL3.0:1:97420201:97430878:1 gene:Solyc01g111140.3 transcript:Solyc01g111140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMGDGYVGTAQDAVRIRRLEKQREAERRKIQELKNKTASSKGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVTIKTKLEEEEKEKLQKLQQEEEELQLQKLKKRKIKADPRLSFCDDLDNGNEDEDEENKNEESDKRVWRKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQELIKNEPLQITYSYWDGTGHRRVIQVRKGDSIGEFLRAVQQQLAPEFREVRTTSVENLLYVKEDLIIPHLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWESDPAVEVVEGTEEAGDLGIVGDDVQDFSSESYTPAAGVETICVFPKNPSKVVAAGEESELLVGMKNDGESHLNIIAIQASVHLPFDHRYLVQNLSVQAFNNATVPPSAQATFPYIFAVSKFMQPGSFDLVGTIVYEIDQNAYQNVFYNGTIEVTEPGGLLSVESVFLFCLAVALLGLLGFWIRGQIQNLSKKTKRAPKAKVEVGTATTDASTDEWLQGTAYSQSQANKLKKKK >Solyc01g106395.1.1 pep chromosome:SL3.0:1:94203392:94204110:-1 gene:Solyc01g106395.1 transcript:Solyc01g106395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLHCDLWPQGDPHKKKASHRSGVPQPAQRYRFKKIVTTAYILCAFLSQNPELGREWHAIPPLTSERTPLPQPGIGLFLFDVTGPVAR >Solyc09g098240.3.1 pep chromosome:SL3.0:9:72592445:72605042:-1 gene:Solyc09g098240.3 transcript:Solyc09g098240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQNLAVILAGALSPNPDERKAAENSLNQFQHTPQHLVRLLQIIVDGSCDMAVRQVASIHFKNFVAKNWCPHDPAEQSKIMPSDKELVRQNILIFIAQVPSLLRVQLGECIKTMIHADYPEQWPTLLPWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYHVVEETFPHLLNIFNKLVQITNPSIEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLNMLERPVPVEGQPADPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPDNKAFAQMFQKGYAGKILECHLNLLNVIRAGGYLPDRVINLILQYLSNSISKSNMYSLLQPRLDIVLFEIIFPLMCFSDNDQKLWEEDPHEYVRKGYDIIEDLYSPRTAAMDFVSELVRKRGKENLHKFLFFIVEIFKRYQEAAPEYKPYRQKDGALLAIGALCDKLKQTEPYKSELEHMLVQHVFPEFSSPVGHLRAKAAWVAGQYAHINFADPNNFRNALHSVVTGMRDPDLPVRVDSVFALRSFIEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWKCMNSAEAEEEADDPGALAAVGCLRAISTILESVSRLPHLFIHIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISMDMWTLWPLMMEALADWAIDFFPNILVPLDNYISKSTAHFLTCKDPDYQQSLWNMISSVMGDKNLEDGDIESAPKLIQVVFEHCKGQVDHWVEPYIRLTVERLRRAEKSHLKCLLVQVIADALYYNAPLTWNILQKLGLALEVFNLWFLMLGQTKKSGKRVNFKREHDKKVCCLGLTSLLPLPVDQFPREALDRVFKATLDLLIAYKDQVKRLRRMRQKTMMI >Solyc05g054930.3.1 pep chromosome:SL3.0:5:65573710:65578714:1 gene:Solyc05g054930.3 transcript:Solyc05g054930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFFFFRTSSNDANNPSPPSKEKSSNSIDKSQSSKEASSPSLRRSLSLSSGSFYDSGSGKKNFRDPSRSPCHSKKVHPKKSGRDSCRSRARTPERQPPDNFFQRHDMENGYLVRKHSSGASFSTHHYDPSESSSHSSSNVSSKVLDRYIDGEQEQEKRASANLFPSEDDLEIGYACTQLPPRVHLTAPGSPLPDVRKQRPTSQSFRETKPSKLCFTSGELGDTGFEHESPRKLAKKVVERLSQSRSMAKISSEDFDSDGPITIEDIYSGNLSRCPSVCSDGVPRKSCSADDPNARTYEYHHEAIPGLDEKNYLGMEDNSDLVLLRKLKEAEERAVLLSEELEDGKFLHGRGLSVPVLIQTIRSLTEEKLQMAVEVSSMLQDQVAERASAKEEARRLQEELDSRTRRLEMEKNELQSALEKELDRRSSEWSLKLEKYQIEEHRLRERVRELAEQNVSLQREVSSFNEKELDNRSKISFSEKQLEDLSKRVEEVSEENQNLRQQLSQLQEEYRVAQDDREYVRENYQEKVKECEDLHRSIARLQRTCNEQEKTIDGLRGFCEDVGKKTPANYDNQLEKLQVEQIRLVGVERALRKEVDSFRIQIDSLRHENISLLNRLRGNGKEGGFSTFRLDQELCNRVCCLQNQGLNMLRESSQLCGKLLEYTKENVRQNGGIDGQFLIECNVKIQGFKRGIETLTSSLQTVSSVINEKSHPVNSDSQPSSKGDAFHQQNSQKPDEIKQSELKSETLLTAVLREKLYSKEMDIEQLQADLAAAVRGNDILKCEVQNALDTLSCAKHKLKDLELQMIKKDENINQLQNDLQECMKELSLMKGILPKVSQERDYMWEEVKNYSEKNMLLNSEVNTLKKKVETLDEDILMKEGQITILKDSLGKPFDLLSSPDSTREFLLE >Solyc07g008530.1.1.1 pep chromosome:SL3.0:7:3458740:3460305:1 gene:Solyc07g008530.1 transcript:Solyc07g008530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tyrosine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:K4CBX2] MAAAGAGAINSGLRSLLLNTTLRPFTSSLLRILPLPTYVFLPNTPSRHNFSHRPFCSISPLPASQEDIFSKPNSKSRPNVLHILEERGLLESVTSDSLRSVCSDPNLGPLKVYCGFDPTAESLHLGNLLGIIVLSWFLRCGHNAVGLIGGATGRIGDPSGKSLERPELDLVTLEKNISGIAGIVKKILVCSPGVCENAREVQILNNYDWWKDVKFLDFLRNVGRHARVGTMMSKESVKKRLENAEQGMSYAEFTYQLLQGYDFVHLYEKEGVNVQIGGSDQWGNITAGTDLIRRLVGKSSDNGAVVGSVPVVFGLTFPLLLKSDGTKFGKSEDGAIWLSASLLSPYKFYQYFFTVPDDDVVRFLKILTFLSIEEIAELEKDMGKPGYVPNTAQRRLAEEVTRFVHGQEGLEEALKATEALKPGNADTKLDWKTIEGIAADVPSCSMNYEQVLDIPILDLYVSSGLLESKSAARRMLKQGGLYLNNAKVDSESKKIEADDIVDDKVILLSAGKKNKMVVRIS >Solyc06g067980.3.1 pep chromosome:SL3.0:6:42252570:42253441:1 gene:Solyc06g067980.3 transcript:Solyc06g067980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGNDNLFSSGQNQMRRDAPSDINNQNNPGFLQETGNQVKNMAQGAADVGKGAAQGAVSVARGAALGAANIAQGAADAVKNTVGGANNDNAATGGIPNYLDEYPKHNPTNTNI >Solyc02g030505.1.1 pep chromosome:SL3.0:2:26763659:26766712:1 gene:Solyc02g030505.1 transcript:Solyc02g030505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVETDASRDAITLTIRENEMTLLLQAIFHNTVAEVVKAKGGKKSFLGTPTESAILEYGLLLSGDIDKQRRGCKLLKCSNLECRFVGVITSTVVFQVIIVEFLGTLASTTPLNWKLWLLSVLIGAASLIVAVILKLIPVEHKNKHHDGNNLLPNGPELV >Solyc04g063400.2.1.1 pep chromosome:SL3.0:4:55534804:55537259:-1 gene:Solyc04g063400.2 transcript:Solyc04g063400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCRPLPEDLVVDILLRLPVESLLRFKCVCKHWYALIKSPSFIEKHFHHKNNCARLLVCNLKVAREGHAIVKSVVFSLLPEEIVPGVIPEQKTLLQLPRVADFTCVAGPVDGLFLVQKKFYGDDVCLGLWNPATREFRSLPPAPFEIEYFFSDHDHQFGLGFDLLTQDYKVVWIRVFWDEPGQGVYPRVYACVYSSCNNSWKHLTPEFPSSPTLSAPLDATYLNGVYYWLSRGLDEIYTIRSFDMGSEQFGEMQVPDIPREHWGTLTLRGNSLALLTGDPGQPMTSIYDVWVLEQQGTWSKVLTVQPHIDVHWPRNIWGNDKMVFEIAETSQLVLYDPTTRQVTDLGFQLDLNIAGCWVFNYKESLVPIKRGNKTQGEDHAVEQIEHFFYTIPMDGDPVIL >Solyc11g006950.2.1 pep chromosome:SL3.0:11:1452208:1453087:-1 gene:Solyc11g006950.2 transcript:Solyc11g006950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLFPPLYLYYRCFSHLIASFKDIRKQYIVEKRMIKMERKTFGLLFLLIVFASQMRIQQVEGRVCISQSHRYRGPCVLDHNCAIICRNEGFSGGDCIGWRRRCFCTKLC >Solyc07g042740.3.1 pep chromosome:SL3.0:7:56380021:56384844:-1 gene:Solyc07g042740.3 transcript:Solyc07g042740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKGGRLRIEKAKEHFFLRMKREWEEDATLATTSTHLPVTEAERTDSLKSQKKGSKLDEAQIRIYFPKLGKIKPVSLRGTGKHKCSFQRVEVPSLSIHFCDCEEHSDTTHTDKQKSLCNYDSKDGGMDEKELNIMNSVLNRIFERENYSEETPRDFKLSKKVQSSNGTVDHLQNDKNLVNQEMVDDDNLILNMVAGANDRMIMVKDPIQEAMTAIQANEDFVDQEMDNDDDNLIINVVAG >Solyc08g080970.2.1 pep chromosome:SL3.0:8:64223736:64224129:-1 gene:Solyc08g080970.2 transcript:Solyc08g080970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPSKTEKRIYVLALCAPNAPRPTVSRAKKKSMPNKKHQVAETMASTSVELSGCGSKKKPTPRPFYPSNIKHRASSKNQEPCDFN >Solyc05g013860.3.1 pep chromosome:SL3.0:5:7250564:7254185:-1 gene:Solyc05g013860.3 transcript:Solyc05g013860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRGEQFDPCLLSSIQEDLLIMKILRIHDPNDRYKIDARQLLHVVESTMHCISPKFSDPQSNYMNSWNVEVFGLEEKLGYTIKKISHEILCKCHENGDLHEETMMLVETLGPFRWDAKVVLTLAAVVSIYGEFWLITQLVHCNSLAALTAKLKQMPKELNMITIQLKALNLLIDTMIEAANLVLDFEGLPLQQQLLDDDTIVVTKSKMYITVYWILRSSISCAYQIADFGTLKDNQVHSNSTTIAAWTLFSLVYKLSGLCNDLKEKLRTCQMQIGRKFPEKIRNLFKMSHFDNQEVLRVLFSLKNDLPLKDSSLEKYYGIQELENKVVILLLSKPELFTSEKIFYLVQRMHDHPLHKEIEGSYAILWVPIPYSQAWSLTDEMNFQFLSNSLPWFSIRQPWSLHSSVVNFIRQEWSYKDEPVMVVLSTDGVVTNLNAVDMIWLWGAKAFPFSTSKEKELWEQENRILELLIDGIDPLLTNLVEEGKHFCIYGSDNISWIKELNDTFKKIKNAGIQLEAVYVGYRNPSKDAENILDISIEDNLSVSLSTTKMKLFWLRLESIKNSVARVEQAAHYSSSLQKVLRLLDACETSNDWMIIGKGLSTDEMILKGREVQECLNLVLGMTEHVVKLGLFSAIRGAMGSPLPVKPCYHNEILPAEEGLLSEETVVCSRCNRPVEKFIVYQCNVTETAEEKQEAKID >Solyc04g015270.3.1 pep chromosome:SL3.0:4:5471979:5479814:1 gene:Solyc04g015270.3 transcript:Solyc04g015270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BQ30] MKMKLRKPVLFLLLVTVFAPIVLYTDTLGTYFTSPSSSRTEFIEDLSTFTFGGDVRPLNVLPQESSTSLKEPRGDVYSENSSQTISNASDTLGSEDARKTRQLTEESLKHQTATGSSNDGVEVAMNGNHISQVTDNLHEPQQTDKTSPKLVSAGKDESIAMETNSKKKTSSTDPNQTLDSTKTETRHDQHTVQTSGKVVSGETARGKDEERNAQIVPPDARVRQLKDQLIRAKVYLSLSATRSNPHFIRELRLRIKESLRALGEATKDSDLSRSANEKLKAMEQTLAKGKQIQDDCATIVKKLRAMLHSAEEQLRVHKKQTLYLTHLTAKTLPKGLHCLPLRLSTEYFKLNSSQQHFPHQENLENPKLYHYALFSDNILAAAVVVNSTVSHAKDPSKHVFHIVTDRLNFAAMRMWFLANQPKYATVDVQSVEEFTWLNSSYSPVLKQLNSQSMIDYYFRSRADSDPNVKFRNPKYLSIMNHLRFYLPEIFPKLDKVLFLDDDIVVQKDLGGLWSLDLKGKVIGVVETCGESFHRFDRYLNFSNPLISENFDPRACGWAFGMNIIDLNEWRRQNITEVYHSWQNRNHERQLWKLGTLPPGLITFWKRTYALDRSWHVLGLGYNPNVSQKDIQRAAVIHYNGNLKPWLEISIPKFRDYWSKFVDYDQTFLRECNINKLGGS >Solyc11g010510.2.1 pep chromosome:SL3.0:11:3569365:3572223:1 gene:Solyc11g010510.2 transcript:Solyc11g010510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASWLISSPCSKLNHHLRCRNHRLILSPAFLFSGTGKQMVTASSSASSSSNRGAFTTLKERVTFEKEIKKSKFIAISGHIPDEGSAQSFLSEVRDPRATHNCWAYKVGEQYRTNDDGEPSGTAGKPIHSAIASSGLDRIMVVVIRHFGGIKLGTGGLVRAYGGVAAECLRNAPTCLVKSKVPMGLEVPFELLGVLYHQLQSFQAEDIKQDYDTGKDGVTMVTFKADFDRVQGLEEAIKANCSRDIVFYKR >Solyc09g083310.2.1 pep chromosome:SL3.0:9:69408846:69415420:-1 gene:Solyc09g083310.2 transcript:Solyc09g083310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLLKNVVIDVESIAYATESSKKNKSVKPLDEMNDLWEPLNNLVTKSEEPVPKFIIKEQKVRQQNVVKKLDVVQATEAPTIQVAIETEGGTISGITRVVNERVHPIWFTLVACDKQTCPLPLPQISSRYIKIKDVNKPSSYIKKYLAHKLSLQSEDEVEIHMLGMQIQPTFPLKNLAELWLRVAPNSGKHLAKVGASAQEFVMVLNYCRSHP >Solyc10g084940.2.1 pep chromosome:SL3.0:10:64412920:64416375:-1 gene:Solyc10g084940.2 transcript:Solyc10g084940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINVEMETITRAEIDDQKLVYDSSVDHKGRVPLRSSTGVWKASLFIIVIEFSERLSFFGIATSLIMYLTKVIHQDLKTAAKSVNYWTGVTTLMPLLGGFLADAYLGRFSTVLLSSTVYFLVNRISAHCNLVVESLLNL >Solyc12g038645.1.1 pep chromosome:SL3.0:12:51617238:51619807:-1 gene:Solyc12g038645.1 transcript:Solyc12g038645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLCCHALKVLFFDLNFTSIPEKYILKRWSKNGKHEKGFAKYSKKKGTTKSSMAVRLNGLMKESFTVMTLAANDIDSEDIAGRYLYKARVEITKHQIELYGENCNKNRHKFDSSVDPLIGANDRMDPIKKKGKGNGYSRMKPKITWRFIKYFPSIFDSKSEFTI >Solyc06g084520.3.1 pep chromosome:SL3.0:6:49642336:49649501:-1 gene:Solyc06g084520.3 transcript:Solyc06g084520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQMKTIELEEGWNFMQKGITKLKKILEGHPDSFSSEEYMMLYTTIYNMCTQKPPHDYSQQLYEKYKEAFEEYINSTVLSSLREKHDEFMLRELVKRWANHKLMVRWLSRFFHYLDRYFIARRSLPALNEVGLTCFRDLVYQELKSKARDAVIALIDQEREGEQIDRALLKNVLGIFVEIGMGEMEFYENDFEDAMLKDTSAYYSRKASNWIVEDSCPDYMLKAEECLKKEKDRVSHYLHSSSETKLLEKVQNELLVVYTNQLLEKEHSGCRALLRDDKVEDLSRMYRLFHRIPKGLEPVANMFKQHVTAEGMVLVQQAEDSASNKAESSSGSQEQVFVRKVIELHDKYMAYVTDSFANNSLFHKALKEAFEVFCNKIVAGCSSAELLASYCDNILKKGGSEKLSDDAIEETLDKVVKLLAYISDKDLFAEFYRKKLSRRLLFDKSANDDHERLILTKLKQQCGGQFTSKMEGMVTDLTLAKENQSHFQEYLSNNSAANPGIDLTVTVLTTGFWPSYKSSDLSLPVEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNINGKFESKTIELIVGTYQAAALLLFNASDRLSYSDIKSQLNLADDDLIRLLQSLSCAKYKILTKEPSNRTVSSTDHFEFNSKFTDRMRRIRIPLPPVDERKKVVEDVDKDRRYAIDACIVRIMKSRKVLPHQQLVLECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFKYLA >Solyc11g005840.2.1 pep chromosome:SL3.0:11:672566:675050:-1 gene:Solyc11g005840.2 transcript:Solyc11g005840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEQPMFREHHNTKKYNHSSSISSTVSSVASHEDLRSRIESFHVREKAISKTESAEKKLSWLRSQIIGENVDFDSPFGKRRLTYADHTASGRSLQYIENYIINNVLPFYGNSHTSDSHVGYQTTKIVHEAAAYVKKCLGGGDEDAIIFCGSGSTAAIKRLQEVMGISVPSILREKVLTKCFRNEMKERWVVFVGPYEHHSNILSWRQSLAEVVEIGLDENGLVDMEALRDQLELYKSTNRPLLGSFSACSNVTGTYSDTRAIARLLHKNGAFACFDFAASGPYAKIEMRSGEIDGYDAVFISPHKFLGGPGTPGILVMNKALYRLRTSPPSTCGGGTVDFVNPYNEKDTLYVENIEEREDAGTPPIIQKVRTALAFWVKEFISHKVIERMEHTYIELALQRLLPNPNIWILGNVTAKRQAVLSFLIYTTTYSSSSDGSGGDNELYLWRETGNKKDKPLHGPFVAKLLNDLFGIQARGGCACAGPYGHKLLKVDEPHSLAFKDAIEMGYTGVKPGWTRISFPYYMSKEEFEFILAALEFISIYGQRFLPLYNFNWKSGAWTFKKKALKETLTRGMDHNCNFCGSPMMKELNLGGANTCHDNTKEGSCTNSNKEGIIYKYVKYLETAKRVASLLPKFPQQRPIPEELDTNLVSFRA >Solyc08g007050.2.1 pep chromosome:SL3.0:8:1606118:1609721:-1 gene:Solyc08g007050.2 transcript:Solyc08g007050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDFGLIFFTSFAIGIFAIYFSLKRANDLFYTSKFNSKVYNIPPGDMSLPFIGNMFTFFTTFKYGDPESFISYFTSRYGQGGMYKAFMFGTPSIIVTKPQVCKKILMDDENFELGWPQSVLNLIGRKALHGITSQEHKRLRRITTSPIKGKHTLSLYLSLIEEVVKSSFEKWNAIEDEPIEFLSEMKKSTFEVIIRIMIGSEIDPQWLDMVEKVYTIYLKGFLALPINLPGFAYHSAFKARENLVKIFQSVIDERKVMNMKDESRSKGNMIDLIMAIEDDEGRRLNDEEIIDLLIVYVFAGHETTAHTAAWSIMYLEQHPEFLQKAKEEQEEIVQRRHPDSDKKLSYDEMIQMKYLSKVIDETLRCSNVTLAIYRNAKRTINMNGYTIPKGWKVLTWIRQVNLDPNNHVNPKEFNPSRWDDDEVKTYSAFLPFGAGPRLCPGAELARLEVSVFLHYFLLNYRLERLNPKTSVTYLPIPSPTDNCLARLKRISSSC >Solyc02g086940.3.1 pep chromosome:SL3.0:2:50163406:50168590:1 gene:Solyc02g086940.3 transcript:Solyc02g086940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEEAARDLIPLPEAGMNNEIPSNGSVPAIRVESIDKNSKDKERENPALLVGPCLNGDGKVNTGAEVGNSEVEYIESENLNDVEDVDTSLKTLLTGLDSKDWVLVCEALNDVRRLSLFHKEAMVDMLRNVISLVVKSLKNPRSAVCKTAIMASADIFKAYCDTIVDLMDPLLVQLLLKSSQDKRFVCEAAEKALKAMTMWVSPSLLLPKLQPNLKHRNPRIRAKASSCFSRSVPRLGVEGIKAYGIENLIRIAASQLSDQLPESREAARSLLLELQNIYEKAFNVIPPPVVNEDPETITVSEDPETISWEHFCQSKLSPLSAQAVLRVTNLTREGIVLGS >Solyc02g082920.3.1 pep chromosome:SL3.0:2:47106241:47107335:1 gene:Solyc02g082920.3 transcript:Solyc02g082920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHI3 description:Acidic 26 kDa endochitinase [Source:UniProtKB/Swiss-Prot;Acc:Q05539] MKFNIVSPVALSCLFFLFLTGTLAQNAGSIVTRELFEQMLSFRNNDACPAKGFYTYDAFIAAANSFPGFGTAGDDTARKKEIAAFFGQTSHETNGGSAGTFTGGYCFVKQIEQSDRYYGRGPIQLTHQSNYERAGQGIGVGQELVNNPDLVATDPIISFKTAIWFWMTEQDNKPSCHNVIIGQWTPSPKDTAANRVPGYGVITNIINGQFECGMGPNTAAESRIGFYRRYCGMLNVPTGENLDCNNQKNFAQG >Solyc06g050900.3.1 pep chromosome:SL3.0:6:33852593:33858594:1 gene:Solyc06g050900.3 transcript:Solyc06g050900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNDYTQDGTVDLRGHPVLANKTGKWKACSFLVGYEAFERMAFYGIASNLVVYLTSQLHEDTVASVRNVNNWSGSVWITPLLGAYVADTYLGRFWTFTFSSIIYVMGMVLLTMAVSIKILKPSCENGVCNKASTSQIGFFYASLYIIAIGAGGTKPNISTFGADQFDDFNPHEKKLKVSFFNWWMFSTFGGALLATIGLVYIQENMSWGLGYGIPTVGLIFSLIIFYIGTPTYRHKVRKSQHPAKDLFRVPIVAFANRKMELPNDSSQLHELDMQYYFTTGKRQVHHTPVFRFLDKAAMKHDNDTGSRLPCTVTQVEGAKLITGMAMIWLVTLIPSTIWAQINTLFVKQGTTLDRHLGSTFQIPAASLGSFVTLTMLLSVPMYDRYFVPFMRKKTGNPRGITLLQRLGTGFFIQILAITFAYAVEVRRMHVIKMHRINGPKEIVPMTIFCLLPQYVLLGVADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGLGNFLNSFLVTMVDKITGSNGGKSWIGDNMNDSHLDYYYGFLLVMSVLNLGVFLWASNRYIYKRESREMKDEFPELEGKVIDLLP >Solyc11g066130.1.1.1 pep chromosome:SL3.0:11:52101254:52102012:1 gene:Solyc11g066130.1 transcript:Solyc11g066130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Osmotin-like protein [Source:UniProtKB/Swiss-Prot;Acc:Q41350] MASSSAKILLPLSLLFTLLSLSQSTNPNFILTLVNNCPYTIWPAIQPNAGHPVLERGGFTLHSLTHRSFPAPNAHWSGRIWARTGCNYQHGKFYCATGDCGGRIECDGLGGAAPATLAQFVLHHGHADFSTYGVSLVDGFNIPLTVTPHEGKGVCPVVGCRANLLESCPAVLQFRSHGGHGPVVGCKSACEAFKSDEFCCRNHYNSPQTCKPSSYSQFFKHACPATFTYAHDSPSLMHECSSPRELKVIFCH >Solyc02g081170.3.1 pep chromosome:SL3.0:2:45788127:45790041:-1 gene:Solyc02g081170.3 transcript:Solyc02g081170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastid lipid associated protein CHRC [Source:UniProtKB/TrEMBL;Acc:Q0ZPA3] MASISSLNQIPCRTLQITSQYSKPTSKISTLPISSTNFPSKTELHRAISVKEFTYPKPKFTAQATNYDKEDEWGPEVEKISPGGVAVVDEEPPKEPSEIELLKKQLADSFYGTNRGLSASSETRAEIVELITQLESKNPNPAPTEALTLLNGKWILAYTSFSGLFPLLSRGNLLLVRVEEISQTIDSESFTVQNSVVFAGPLATTSISTNAKFEVRSPKRVQIKFEEGIIGTPQLTDSIVLPENVEFLGQKIDLSPFKGLITSVQDTASSVAKSISSQPPIKFPISNNNAQSWLLTTYLDDELRISRGDAGSVFVLIKEGSPLLKP >Solyc07g055215.1.1 pep chromosome:SL3.0:7:63455987:63459954:1 gene:Solyc07g055215.1 transcript:Solyc07g055215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFAANSEISKTEEFSNNPEIQETEITHEEVKESNNLDTKCGEKTEKTAEVVIDIDKKTECIDENQVIEIVCRICHLNDETIEILQLGCDCKGELGVCHRHCAEAWFNQRGNRSCEICGKTAKNVETRTLAERQNRIMVIEWNQRAVEARRSSYITSSSAATRDDCRWRCQQSCCNFLLACFVVAFTLPWFFRLNLP >Solyc07g040950.3.1 pep chromosome:SL3.0:7:51321653:51326445:-1 gene:Solyc07g040950.3 transcript:Solyc07g040950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNPPLTLPQNKLNPGGVLSVSTSGIPNKIFQPSSTRWSHRRRLMTRAKPSVEMDMLAKDSVGTDAVDDKDFGVVCMHHVGILCENLERSLDFYQNILGLEINEARPHDKLPYRGAWLWVGSEMIHLMELPNPDPYTGRPEHGGRDRHTCIAIRDVSKLKAILDKAGIPYTLSRSGRPAIFTRDPDTNALEFTQVDA >Solyc01g105810.3.1 pep chromosome:SL3.0:1:93734583:93749543:1 gene:Solyc01g105810.3 transcript:Solyc01g105810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQKIQIKKIDNLTARQVTFSKRRRGLFKKAQELSTLCDADIGLIVFSATGKLFEYSSSSMMQLIEKHKMQSERDGMDNPEQLHSSNILSEKKTHAMLNRDFVEKNRELRQLHGEELQGLGLDELMKLEKLVEGGISRVLKIKVLHMDKNDYYGGESSSLNLIQLWKRFRGNDQPPEELGTSKEYNVDMIPKFAMANGTLVRVLIHTDVTKYLNFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFLYVQDFEETDPKTHEGMDLNKITAKEFIAKYELEDDTIDFIGHALALCTDDNYLAQPAMDFIKRVKLYAESLARFQAGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPQCKVEFDDGGKVVGVTSEGETAKCKKVVCDPSYLPDKVQKVGKVARAICIMSHPIPNTNDSHSAQVILPQKQLGRKSDMYLFCCSYSHNVAPKGKYIAFVSTEAETDDPESELKPGVDILGAVDEIFYETYDRFIPSHDSAADNCFISKSYDSTTHFESTVMDVLDMYSKITGKVIDLSVDLSAASATAEE >Solyc02g088380.3.1 pep chromosome:SL3.0:2:51119537:51120148:-1 gene:Solyc02g088380.3 transcript:Solyc02g088380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLMMIRSMMLLGLMKCAASGLDKVGDFVFDKHYYSVLEVNQTNYEQCIDSDFISNITRGGRDVFHLTQARPYYFISSGGYCFHGMKLAINVEQPLPASPAPSSSNKTNASPPQIDKHLIISAFASSTLVWMFLFAQAT >Solyc09g061823.1.1 pep chromosome:SL3.0:9:60622479:60629456:-1 gene:Solyc09g061823.1 transcript:Solyc09g061823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSKRSSKKKKDKDYTDRPYKSHRKKKRLDKREKRKSNRSDKKYFKHNSDACYKCGRVGHYARDCKVEAGYLQRLFLCLYQKL >Solyc05g010245.1.1 pep chromosome:SL3.0:5:4420579:4421965:1 gene:Solyc05g010245.1 transcript:Solyc05g010245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKSDYVVRCCVEHAAAVAKTFLISDAVVIDIPGPNKPYLGSHPGQQQNPKAYRTRELGAPNKEQYSLVTNLSITAFPNPIPWKFGSTTTSQIIALNTPSPVALAKATGRFVFSYWIHKSESVFSNAIWIFSVSRRGNPTATKTELRWSRLRSVIVLWRLNPFERRDSSEIERFVVVNGMGMGWI >Solyc09g092470.2.1 pep chromosome:SL3.0:9:72030538:72033398:-1 gene:Solyc09g092470.2 transcript:Solyc09g092470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPS14 [Source:UniProtKB/TrEMBL;Acc:G5CV54] MATNLTLETDKEIKNMNQLSMIDTTITRPLANYHSSVWKNYFLSYTPQLTEISSQEKLELEELKEKVRQMLVETSDKSTQKLVLIDTIQRLGVAYHFDNEIKISIQNIFDEFEQNKNEDDNDLYIVALRFRLVRGQRHYMSSDVFKKFTNDDGKFKETLTKDVQGLLNLYEATHLRVHGEQILEEALSFTVTHLKSMSPKLDSSLKAQVSEALIQPIYTNVPRVVAPKYIRIYENIESHDDLLLKFVKLDFHILQKMHQRELSELTRWWKDLDHSNKYPYARDKLVECYFWATGVYFGPQYKRARRMITKLIVIITITDDLYDAYATYDELVPYTNAVERCEISAMDSISPYMRPLYQVFLDYFDEMEEELTKDGKAHYVYYAKVEMNKLIKSYLKEAEWLKNDIIPKCEEYKRNATITVANQMILITCLIVAGEFISKETFEWMINESLIAPASSLINRLKDDIIGHEHEQQREHGASFVECYVKEYRASKQEAYVEARRQIANAWKDINTDYLHATQVPTFVLQPALNLSRLVDILQEDDFTDSQNFLKDTIKLLFVDSVNSTSCG >Solyc02g086050.3.1 pep chromosome:SL3.0:2:49476720:49486599:1 gene:Solyc02g086050.3 transcript:Solyc02g086050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQVKFSGISDELQNLLDADMDNVEARRCTREAFKHIQLSIDHILFKIDGTKLFLKENPVPSIGVETWYAYFTFAVYCNGGLSVFKWVPDAGLKMEESYEVNSRGLEIFSKSWLPETRPKAIVYFCHGYGDTCTFYGEGIARKLASFGYGVVAMDYPGFGLSEGLHGYIPSFDQLVDDVVEHYSKAKEKPEFRNLPSFLFGESMGGAIALKVHQKQPNSWNGAVLVAPMCKIADNMVPSWFVTQILIGVAKFLPTQKLVPTQDVRELAVRDAKKKEHTAYNVISYKHKPRLRTALELMNVTKEIEGLLEKVSLPLLILHGKSDLVTDPSVSKALYERSSSSDKKLFLYEDACHALLEDAIFAIILIVVARNSHTHQPWPYLLLAFLTLNSHSRRKQPPSSTLKTINSNLLSQQVHKRRTKHGNGWSFLGGSRVKWLPSSQVASSVFTLGTAGVLPFYTVMIAAPKAELTRKLMDSAIPYIVLGLLYAYLLYLSWTPDTIRLMFASKYWLPELSGIAKMFSSEMTLASAWIHLLAVDLFAARQVYHDGLQNGIETRHSVSLCLLFCPIGIVIHLLTKAVLLSSAEKTVFRTN >Solyc05g012700.3.1 pep chromosome:SL3.0:5:5890441:5893974:-1 gene:Solyc05g012700.3 transcript:Solyc05g012700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTASASAYISLSLSISPPTRKARSKFQLGKCKPLISSNFDCKCRALGEGSQPETQSQTIQPTIYQGVYGPWTIEDSDIREVILYRSGLVTAAASFVLASSAALLPNDSVMSNLIEKNLDLFYAIGSCGLGLSLFLIHIYVTEIKRSLQALWFLGAIGSLATYSTLAHPAGMNLVQYVIENPIAVWFVGPLFASLTGLVFKEGLCYGKLEAGLLTFVIPSLLLGHLTGIMDDGVKITLLSTWLALFVVFAGRKFTQPIKDDIGDKSVFIFNDLPEEEKADLIKRLEQQQ >Solyc09g005180.3.1 pep chromosome:SL3.0:9:163754:166359:1 gene:Solyc09g005180.3 transcript:Solyc09g005180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSNSLSIQVVHLSHSPVMVDLKIIKLSLIFFLIFSVKSSPPEDPIKCSHNTNFNCTITNSYGAFPDRAICKASEAIFPTTEEELISVVANAAREKRKMKIATRFSHSIPKLVCLDNDDQDQGLLISTKYLNKILRIDQENMSITVESGVTLRQLISEAGKAGLVLPYAPYWWGLTIGGLIGTGAHGSSLWGMGSSVHDYIMQLRIVTPADVSYNYAKVRILENGSSELNAARVSLGVLGVVSQVTLKLERVFKRSITLSERDDSNLGEDATTFGRQHEFADFTWYPSQRKVIYRIDDRVSPNTRGNALNDFLGFRSTPSLVLAILRTTEENQELTNDAGGKCSSAKLTTSTLKLGAYGLTNNGFTFTGYPVVGLHNRVQASGTCLDSLEDATITTCPWDHRVKGLFFHQTTFSISLSKVKGFIEDVQKLVVLEPKALCVLDLYNGILMRYVTTSNAYLGKQEDGLDFDITYYRSKDAMSPRLFEDILEEVEQLAFFKYGALPHWGKNRNVAFIGAITKYKNVDKFLKVKQSYDQLGLFSSEWTNQVLGLKEGLTILKEGCALEGLCICSEDIHCAPKKGYFCRPGRIYKDARVCTRLSSW >Solyc01g008977.1.1 pep chromosome:SL3.0:1:2929533:2937486:1 gene:Solyc01g008977.1 transcript:Solyc01g008977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQERELTLGETTLEDYLVKAGLFVADASLGHTMSLDNPTAMQNFVPPIGLSPSPSLSDTPVSDRKRGAMDIDKTIDRRLRRKIKNRESAARSRARKQAYHNELVNKVSHLEEENMKLKKEKEKRKPEPIVLVIDYKEPESLMLDPLTILALFLVVVGWLGFLLDGECFTRLVDLTVVRKTVARRANLKPYRHDMNSSLLAFLDVLLFSLMMHMKKLKMKWTLYYTVAIVREDDRREDLPVKIGDFAGAGYFQFFTPRISSEFGGIFEFRRLTTMSNCPINQMKSKLSSLSTVICIPSDAGPSQ >Solyc11g067163.1.1.1 pep chromosome:SL3.0:11:53198319:53198819:-1 gene:Solyc11g067163.1 transcript:Solyc11g067163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRVCLTSSDPGEEIKRKKILDKKLASLCKKAHDLSVLCDVKVGIVCSIPENPEVFSWPSSIEAQNTVTDHVAFPKHKITMHNDFLRLKIKEREEEIRKLEETVDKMEMENLFNEILKGNKRLDEVNVAEIKGLLKLIAVKRAQFEQRKIQVNQIAANNNGGDP >Solyc01g108270.3.1 pep chromosome:SL3.0:1:95523168:95526234:1 gene:Solyc01g108270.3 transcript:Solyc01g108270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSIFLLWLVSIPLTIHAAIDVNQPQGFLLNCGGNEKIQQDSLTYGPDDRFIKTGNKSEIKQEGLVPLLSSVRHFPADAEEKFCYNFPVNKGKKLIIKTIYYYGGFDGGTEPPIFDQIIDGTKWNINTSADYADGLSSYYEVIMVAQNKVLRICLARNEHTTSDPFISAIEVLYLDDSLYNTTDFGKYALVTLARHSFGSHDKLTFPDDKYNRHWDPLVDDNPVVTSQASVTPTTFWNMPPEKVFENSVTASRGKSLVVNWPNFPLPKTYYYLALYFQDNRTPSLYSWRVFDVLVNGVKFFSNLNVTTSGVTVYSTTWPLEGETKITLVPANTSPVGPVIAAGELFQLLPLAGRTLTRDVSVMTDLRKSFNKKPQDWHGDPCLPKDKSWSGVTCSSGTNARIIALNLTNAGISGALPPSISKLTALSHLWLGSNELTGQIPDLSSLQNLETLHLENNQLEGSIPESLGKLPKLREVFLQNNRLKGSVPGSLRNKNGINLKVSPGNEVSS >Solyc07g019550.1.1 pep chromosome:SL3.0:7:12074128:12077917:1 gene:Solyc07g019550.1 transcript:Solyc07g019550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNNQFFEIIRCLLVDVDDSCLLITATFLKKSKFEVVTVKSAKDALGVLRSSGLSFELVVTEVHMPEINGFQLQQEIAKKFNIPVAFYSVDEKESTIIKGLESGVILFMGKPISQNDIIFLWKYAAMRKKIYKGKQVINQQNQDDANERIPHEVIHIESSSPDSERDKSARKSEENKSEHSSSPPSKKTRIVWTDPLHNKFVDVVSKLGMKEAHPRKILDRMDTPELTRIHVASHLQKYRMDLKKDSRVQQLMPENSNVVDHVIETDHQDFHSCQREKELARILCRHINKMSHNIGLGEASIELSTLQQSYEITGNGGTGTTTDDFVMLDNVYSTELTSIISGSQLNVQSSNMVRFFKFFRLFSY >Solyc05g041860.3.1 pep chromosome:SL3.0:5:54623567:54644701:1 gene:Solyc05g041860.3 transcript:Solyc05g041860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVEGEKVILVPYMREHVPKYHEWMQDPLLLQATGSEPLTLEQEYEMQLSWTQDPLKQTFIVLDRELIVENFIHGEPHVEAMVGDVNIYMNDLDDPQMAEVEIMIAELKSRGKGLGKESVLMMMTFAFDNFKIHTFRVKIGELNHVSLSLFQKLGFKETSYSKIFNEMTLELPMTESKIFEMRQLVGNMVTHS >Solyc06g074980.3.1 pep chromosome:SL3.0:6:46620249:46627336:1 gene:Solyc06g074980.3 transcript:Solyc06g074980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQAESSDSKGAKKDFSTAILERKKSPNRLVVDEAVNDDNSVVALHPATMEKLQLFRGDTILIKGKKRKDTVVIALADETCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGLTGDLFDAFLKPYFLEAYRPLRKGDNFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERISKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDSIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLSKDIDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKEKRRAENPDSMDEDADDEISEIKPAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFSETSGGAAASDPFTTSNAGADDDDLYS >Solyc04g011950.1.1.1 pep chromosome:SL3.0:4:4316440:4316703:1 gene:Solyc04g011950.1 transcript:Solyc04g011950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLKEKEVEVCKAFCHNAELEAQTWQARARAQEFTATTLQTQLQAAAGDDDVNNKIIHTYMSRIRGIIEPFKRRSYMCFKIGSDS >Solyc04g074260.1.1.1 pep chromosome:SL3.0:4:60340258:60340614:-1 gene:Solyc04g074260.1 transcript:Solyc04g074260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATVANGEFEAKSIRIVDLRLLSQSELYFLSLCSITDYNSCRDDEFIIPKIDRSVFNEFVASPKRNHFCIPYASRAFSFSRNRNRIDNSKSGNVSSIRQKKKRARRIRMTWQIRH >Solyc03g097300.3.1 pep chromosome:SL3.0:3:61059632:61066304:-1 gene:Solyc03g097300.3 transcript:Solyc03g097300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFPSNIDVHLRPQQLLHRPLTPLQSQNPNSNPNPNPSSSNNPSSQIPNLQNPGTSQQQQQQQQQQKLTRVAPESNRVELQMAYHDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDKILDSDTSGQMLSRSQQWDHNIAVKVSEFTATFEKQVLAFNIISRKRDVGEFRTEEKLMLEQSLLQEERKSLLELKTEMEARQKMGRETHDPNLQMAALVHAEQARAESQARAEMMNRAPIRASALGPRGSNIQMGNDVGEHGQEVSPDEMINGWGNNGHKDEKEPSEDFLNDEETDNGDVGTQSEWRGGGELDLNTR >Solyc04g050890.1.1 pep chromosome:SL3.0:4:48810457:48811173:1 gene:Solyc04g050890.1 transcript:Solyc04g050890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPNSTVFANVLSPKIIIRLSSIRYELSWKEFRSEDEEINEIKYVIGSSIFDSEVQGGLRWPNDKDSSGGRYVVFVIGHTTAKSYRSSSIRLKLQHADPFDFRYSSTSALHRRFS >Solyc03g096000.3.1 pep chromosome:SL3.0:3:59223024:59237386:-1 gene:Solyc03g096000.3 transcript:Solyc03g096000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:K4BJ22] MAAATAPIIMKETLTLPSAGVNQQFINFTNVTMESEKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSAIMNPNSRILALKAQVPGTTQDHLQIFNIEAKQKIKSYQMPEQVVFWKWITPQILGIVTQTSVYHWPIEGDTEPIKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLYSVDQQRSQSLEAHAASFASFRVPGSDRDSILISFATKSLNAGQVISKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVSMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSIGGFYAINRRGQVLLATVNETTIIPFVSGQLNNLELAVNLAKRGNLPGAETLVVQRFQELFAQTKYKEAAELAAESPQGILRTSDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTVSREWALECMKDLLVINIKGNLQIIVQVAKEYCEQLGVDACIKLFEQFKSYDGLYFFLGSYLSSSEDPEIHFKYVEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPANAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVDECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQSRYVVERMDGDLWEKVLNPENEFRRQLIDQVVSTALPESKSPEQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPARVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQGVNVLLDNIHDINRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDTTQFLDVIRAAEDADVYHDLVKYLLMVRQKTKEPKVDSELIYAYAKIDRLSDIEEFILMPNVANLPNVGDRLYDEALYEAAKIIFAFTSNWAKLAITLVKLNQFQGAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLRLVKPYMIAVQSNNVSSVNEALNEIYVEEEDYDRLRESVDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKRAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQSEAKAKENEEKDVMKQQNMYAQLLPLALPAPPMPGMGGGFGPSQPPPMGGMGMPPMPPFGMPPMGPY >Solyc04g078920.3.1 pep chromosome:SL3.0:4:63670100:63671240:1 gene:Solyc04g078920.3 transcript:Solyc04g078920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETSTQLKSDAIFDQLKLHLSTDAGKELIKKIGLVYQINIAPKKIGFNEKAYVVDLKKGETKEGPYEGGKPDATFSFTDGDFVKIATGKMNPQIAFMRGAMKIKGSISAAQKFTPDIFPKPSKM >Solyc01g099970.3.1 pep chromosome:SL3.0:1:89934780:89941739:-1 gene:Solyc01g099970.3 transcript:Solyc01g099970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSALLLSVLNEDLLIRILSFITHDSDRKAFRLVCKAFLRVDSFHRTHLRILRPEFITTLFSKFPRIYSLDLSVCPQIDDGAVSMLLGYGLPDWSRRLTRLVLSRTTGLKSAGLEMFMKSCPVLESIDVSYCWGFGDREAAALSCGGSLRDVKLDRCLGLTDVGLAKIAIGCQCLEKLSLKWCIEITDLGIDFLSKKCTQLMQLDISYLKVTSVSLHSISSMEKLKLLTMVGCSIVDDEGLHHLGKGCPSLQALDVSRCDRLSSSALAFLINGHPSMLQVYASHCFHEFPTEVIQGLKDLKNLKTLILDGAPVSESFFKIINFNCKYLVEIGLGKCKGVTDKGILQLVSGGVNLNILNLTCCSELTDNAISAITDSCRSVLCLKLECCNLLTEKSLYHLGLHCSLLEELDLTDCFGVNDTGLYYLSKCTKLICLKLGLCTNITEKGLYCVARNCSEIRELDLYRCNGIGDDGLYALSSGCKRMQKLNFSYCSEVTDRGLECLSHLPELSDLEMRSLLNVTGTGLTALAMGCKKLAELDVKDCTSIDDSGFMALAYYSRNLQQINLSHCAISDVGLCMVMGNLTRLQDAKLVNLHNVSTNGFEVALRASGVRLKKVKLIASLRLHLTPDIVKTLRARGCRIRWD >Solyc07g015940.3.1 pep chromosome:SL3.0:7:5838829:5864332:1 gene:Solyc07g015940.3 transcript:Solyc07g015940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ECLHNKRFNIMHINLVYISKAEDSIHQTLILINQPGDKKYNLYSLGNSTEN >Solyc01g111765.1.1 pep chromosome:SL3.0:1:97860270:97864075:-1 gene:Solyc01g111765.1 transcript:Solyc01g111765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFLPSISSRASRRADRNHLQSKDGKEGLASGKSYQDSPMKIIWKRGFIRLVLVGGIIWGLLILTALLFHAWSCQSSIAFFSALCNKSSVIYGAIQSLGLVTPPHRCSIPVADDPNKIVIPQKRSTEKFVQSLSYFMEDFTETNGTRSPPLFGGHITWQQRDESFKVKPTMKVHCGFIRNGGAEMAQKDVKFVEKCRFVVASGIFDGYDTPHQPSNISTRSQKLFCFLMVVDEVSLEFIKKNVTVREDNDGGEWVGIWRLILLKHPPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLLLLERYLWREKNTFAIAQHKHHRNVYEEADANKRRKRYARPLIDLHMKIYRYEGMEPWNPKKSTPSDIPEGAVIIREHTALSNLFSCLWFNEVHLFTPRDQLSFGYVVYRLGGLFKFFMFPNCEYNSIFTLHNHTREHSSKVEWVKSLDEFKKDKTGGLKESRGGLGLWTPYPGDLDSVVLPSVKRTSKAG >Solyc02g084020.3.1 pep chromosome:SL3.0:2:47793278:47799746:-1 gene:Solyc02g084020.3 transcript:Solyc02g084020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein Sm D3 [Source:UniProtKB/TrEMBL;Acc:K4BAS0] MSRSLGIPVKLLHEATGHIVTVEMKSGELYRGSMVECEDNWNCQLESITYTAKDGRVSQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEARIKGKGTSLGVGRGRAIAMRAKAQAAGRGAPPGRGTVPPVRR >Solyc04g064490.3.1 pep chromosome:SL3.0:4:55644970:55654177:-1 gene:Solyc04g064490.3 transcript:Solyc04g064490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BT40] MRRRNSDFRRPVRRRFSNVFWLTLCGLVVLLLIILLSRTTRDSSSRLVYTKRPYRHDRIADGLNITEEMLRPESMTRQINDQISLAKAILVIAKESNNLQFAWELSAQIRNSQMLLSNAASRRSPLTNGESESAIRDMALLLYQAQQLHYDSATMFMRLKGKIQSLEEQANSFSEKSSKYGQIAAEEVPKSLYCLGVRLTAEWFRSSNLQKQFKEEKQAAAKLVDTGLYHFCVFSDNILATSVVVNSTAMNSKNPDMVVFHLVTDEVNYAAMKAWFTMNSFRGVTVVVQKIEEFSWLNASYVPVLKQLQDSDTQNYYFSGNHDNSRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSALFTTDLNGNVNGAVETCMETFHRYHKYLNYSHPLIRQHFDPDACGWAFGMNVFDLVEWRKRNVTGIYHYWQDKNVDRTLWKLGTLPPGLLTFYGLTEALNPSWHVLGFGYTNVDSKLIEKGAVLHFNGNSKPWLKIGIEKYKPLWDKYVDYSHPLLQQCNFH >Solyc05g050850.3.1.1 pep chromosome:SL3.0:5:61919855:61922057:-1 gene:Solyc05g050850.3 transcript:Solyc05g050850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVYQKCSRLRVMDSLATVMWPPPRIINQSFKVQNLIEYPLNKRVKILLIHFPSRSENPFSLILSILK >Solyc12g006050.2.1 pep chromosome:SL3.0:12:644987:648386:1 gene:Solyc12g006050.2 transcript:Solyc12g006050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVHNHAEKDGSNDGGLVDFRGNPVDKSRTGGWLGAGLILGTELSERICVMGISMNLVTYLVGDLHLPSSDSANIVTNFMGTLNLLALLGGFLADAKLGRYATIAIFGCIAAVGVTLLTLATSIPSMKPPVCDSRSKGHCIEASGQQLALLYAALYTISLGCGGIKSSVSGFGSDQFDSSNPKENKAMIYFFNRFYFCISLGSLFAVTVLVYIQDNVGRGWGYGISAGTMVLAVAVLLCGTSLYRFKKPEGSPLTIIWRVLILAWRKRKISHPSDPGFLNEYHNAKVPHTKMLRCLDKAAIIDDYAVADENRISSWIVSTVSQVEEVKMVLKLIPIWSTCILFWTVYSQMNTFSIEQATFMNRNVGKFGIPAGSFSFFLFISILLFTSLNERVTVPIARRITGNRQGLTSLQRVGIGLILSIVGMVAAAVVEKQRRENAIHHDYNISAFWLVPQFFIVGAGEAFAYVGQLEFFIREAPEGMKSMSTGLFLSTLSMGFFMSSLLVSIVHKVTNGSWLKNNLNNGKLDYFYWMLAVLGVLNYFVFLVFSTRHQYKTQHLSTTLEDSEEELKNWNDTSIDNTQKNPNDAEKEQV >Solyc03g098440.3.1 pep chromosome:SL3.0:3:62171999:62175721:1 gene:Solyc03g098440.3 transcript:Solyc03g098440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIEEKNIPLSERACRKQGSLDTLQVLSGIAPPFVAVNSCGCLGRCGAGPNVVVLPGAVYVKHVGTPTRTAEVMAFVCLGRDDVEGESRRSLEALALRKRAEDEMGNGNFSEAHGLLSQAIALKPFGGVHIMLKDRCAAELAMGNLAEALEDSKEALNIAPNYPEGYICQGDVLMALDHVDAAERSYSMALELDPSIRRSKSFKARITKLNEKLALANSA >Solyc09g064890.3.1.1 pep chromosome:SL3.0:9:62621214:62626216:1 gene:Solyc09g064890.3 transcript:Solyc09g064890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMHNILKIVLIVALFLVVHPSGSRSQWVTNQPSPLCPSQFALVNHACSLLPFNPVSPPSPFLLVSPPPPPGSSERRHRRRHDHHHEYKESSAEENCCRWMKQVDNECVCDLLVRLPLFLSRPVHQYTILVDPGCNITFECGSRGADSHMLPPHP >Solyc06g033880.1.1 pep chromosome:SL3.0:6:23470866:23472135:1 gene:Solyc06g033880.1 transcript:Solyc06g033880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSIPSSHATRKISRNKSKKQSSIFIDSESSDNFFHPASVASSRGLKDLPFESPVISHIMATTLLPRADSHSMLTLHDTFSVYCLISCIKYRSSSATTLVPSKAWASFTLVPHGFLKLTVKAWAIRMRRVDDVMTKLAANGEQVDSLKDLLLAAHHKTDNVKDVSNKTSVDVDDIH >Solyc02g044007.1.1 pep chromosome:SL3.0:2:229734:236806:1 gene:Solyc02g044007.1 transcript:Solyc02g044007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMEWMKLVDETYLVGMQNFLDFAFKKQESVVVAKEEGGHGEGDAAKGRDNRSKTIPPKDETTGTNAYRIKTKAATQESYENCRKGAPHREGCYICGETTHAARYCPSLRKLSVMVAAEKQQEKAAMQTRGSVAEQRGQNSGMDKGKNVIVGMFNHMDLFNHISIAALAAQSASIKPRESLFVDAKLNGKDVRIMLDTGATHNFVTEQKAKELGLNYVASNTMLKTINATPTFVHGFAPKVSIDLGDWSGLIDFTIVPMDVFDYEVNAFISPHHNQLHISDTGDSCVVPLIRVPQNGIHLLAMQIIKGFKRGEPTFLVALVGGIESCSEAVPLHHCIEQVLSEIRTCWRKSFPNGHGEEQVSNSLDYDLFDRLGQAKVFMKMVLRKVRITEGDKPKTTCVTRYGAFQWLVMPFGLTNAPATFCTLMNKLFHQYLHQFVVIYLDDIVVYSDIMEDHVEQLRKVFKVLRYNDLCVKWEKCSFAQPTVQFLGHTISHGEIRMDGDKLLRIRRLQRRYPNYGPSLALPIIIDALFFSYSAIATRLTDLLSKNREWERSDACQAAFDRFKADITEEPILALPDFTKAFELLLMFLDNPQ >Solyc06g035830.1.1 pep chromosome:SL3.0:6:25175830:25177222:-1 gene:Solyc06g035830.1 transcript:Solyc06g035830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHSQPSSHLLTLQAAALWCNQEGALLGRG >Solyc08g079970.1.1 pep chromosome:SL3.0:8:63472188:63480134:1 gene:Solyc08g079970.1 transcript:Solyc08g079970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQYRSILSIIGLICVLFPFTTNATEQDNSQIYIVHCEFPDGKRSTNNQDLESYYLSFLPATTSDSSREAPRLIYSYRNVITGFAAKLSLEDLKEMEKMEGFVSARPEGLLDLHTTHSVNFMGLNQNMGFWNDSNYGKGVIIGVIDTGIFPDHPSFSDDGMPPPPAKWKGICQFNTTKCNNKLIGARYFSYTGNDPWDENGHGTHTASTASGRFVPGANILGNANGTAMGVAPLAHVAIYKTCSAIGCFGSDILAAMDMAIEDGVDILSISLGSRSRQFYEDVIALGAFSAMERGIFVSCSAGNSGPYTFSVSNDAPWILTVGASTIDRKIKATAVLGNNQEFDGESAFQPSDFPSTLLPLIYPGSNDSDILAQYCYPSSLNNTNVMGKIVLCEAGITRAVDKGIAVKAAGGAAMIIMNPKSWANTTFAEAHVLPVTHVTYADGLKIQAYINSTTTPTATIAFKGTTIGDNRAPVVAGFSSRGPSYVSPRILKPDIIGPGVNILAAWPVSLENNTNTNSTFNMISGTSMSCPHLSGVAALLKSVHPDWSPAAIKSAIMTTADVLNLGSNLIEDETYLPADVFATGAGHVNPSKANAPGLIYDIKPSDYLPYLCGLNYTNRQIAVILQRKVNCSEVTSILEGQLNYPSFAIQVKSNSGVQVYSRTVTNVGQANSTYRVDIDSPQGLDVKVEPTTLVFSDVKQKLSYQVTFTPFAAPSTTYTQGSLRWISENHIVRSTSVSCLHLSDIAALLKSVHLDWSPAGIKSAIMTTADVINLKSNLIEDETYLPADVFATGAGHVNPSKANDPGLIYDIEPSDYISYLCGLNYTNRQLLSLCSAKLIVRRLRMAQYRSILTMIGLICVLFPFTTNATEQDNSQIYIVHCQFPDGERTTRNQDLESYYLSFLPATTSDSSREAPRLIYSYRNVITGFAAKLSLEDLKEMEKMEGFVSARPEGLLDLHTTHSVNFMGLNQNIGFWNDSNYGKGVIIGVIDTGIFPDHPSFSDDGMPPPPAKWKGKCQFNTTKCNNKLIGARYFSYTGNDPWDENGHGTHTASTAAGRFVPGANILGNANGTAMGVAPLAHVAIYKTCSAIGCFGSDILAAMDMAIEDGVDVLSISLGNRSRQFYEDVIALGAFSAIERGIFVSCSAGNSGPYTFSVSNDAPWILTVGASTIDRRIKATAVLGNNQKFDGESAFQPSHFPSTLLPLIYPGMNTSDTLAQYCYPSSLNNTNVMGKIVLCVAGITAGVDKGIAVKAAGGAAMIIMNPESWANTTLAEAHVLPVTHVTYGDGLKIQEYINSTTTPTATIGPSYASPRILKPDIIGPGVNILAAWPISLENNTNTNSTFNMIAGTSMSCPHLSGVAALLKSVHPDWSPAAIKSAIMTTADVFNLGSKLIEDETYLPADVFATGAGHVNPSKANDPGLVYDIEPSDYIPYLCGLNYTNRQVTVIVQRKVNCSEITSILEGQLNYPSFAIQVRNNSEAQVYSRTVTNVGTANSTYRVDIDSPRGLDVKVEPTTLVFSKVKQKLSYQVTFTPFSRPSTIYTQGSLRWISENYVVRSPIAVGFSDLF >Solyc00g007010.3.1 pep chromosome:SL3.0:2:32822703:32836484:1 gene:Solyc00g007010.3 transcript:Solyc00g007010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKGDSSQKLYKRMRLWEFPDQYVVEPTDGSSGSCLEISRVDGSMKLIDEIPHCSSLRVPKIRTIFGVIGILKLLAGSYLLVITERESVGSYMGHPIFKVSSMKVFPCDHSLKNTPVEQKKMEAEFSALLNVAEKTPGLYFSYDVNITLSAQRLHDLGDESKLLPLWRQADPRFLWNNYMMEVLIDHKLDPFLLPVVQGSFHNFQAAIEKDIVDVTLIARRCNRRTGTRMWRRGADTDGFVANFVESEQIIQLNGCTASFVQVRGSIPLLWDQIVDLTYKPKFEIVRLEEAPRVAERHFLDLRKKYGNVLAVDLVNKHGGEGRLNEKFANAMQHVDGDDVRYLHFDFHHICGHVHFERLSILYDQIEDFFIKNRYFLLNEKDEKIEVQLGVVRTNCIDCLDRTNVTQSMLGRRMLEFQLRRLGIFDAEETISTHSNLDESFKILWANHGDDISIQYSGTPALKGDFVRFGKRTVQGIVNDGRNALMRYYLNNFVDGTKQDAIDLMQGHYIMSVSRDMTATSQKGGIEAIASFPLALGLILTGLFFATLSLGRVRNDVWNLLFSLIWASISLAIAALVKANGRMFCNRPRLHQSRR >Solyc01g100300.3.1.1 pep chromosome:SL3.0:1:90256673:90257427:-1 gene:Solyc01g100300.3 transcript:Solyc01g100300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLFELLPTDEDLEEDPEGHGVVSFEVSSSSSCLISSFSFFSFFFSLITTSSSSSS >Solyc03g113410.2.1 pep chromosome:SL3.0:3:65047862:65050119:1 gene:Solyc03g113410.2 transcript:Solyc03g113410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:K4BKJ3] MDKIMEEEDSFHSNTDAIIYPISDVSQSLLSDPNIASSPSTSADDQQQQPHQWTTAAAAAVGYSNDDLSVSVSVSSACSRISYKQIDKDQIDVSKAKERVQLPKDFTSSRSRIKVHMQGVAVGRSVDLTVLRGYDELISVVEEIFDIKGELSPRIKWQLVYRDDEGDMMLVGDYPWPEFCEMVRKLYIYSREEVKKKYS >Solyc05g009300.1.1.1 pep chromosome:SL3.0:5:3436839:3437321:1 gene:Solyc05g009300.1 transcript:Solyc05g009300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSISLSFLVINPKSPLFPPQNQSISSTRNLHIHKIHRSNLPISFKIIRNRRISAISELSEVNVAETADQIVSSTGDDGVSTVIQSLLVIAFVGLSILTVGVIYIAVTDFLQKREKEKFEKEEAAKKKKSGRKGKIVARARGGPRGFGQKVEEAEDD >Solyc03g096437.1.1 pep chromosome:SL3.0:3:59971867:59973279:1 gene:Solyc03g096437.1 transcript:Solyc03g096437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPSPTVEVSENIHAVPSQVDSHIRRNSRSTKEAIWMKDYVITKRHSNTKHPMDNFLNYDKLKPEYRSFVSLTGAKPASTPMEYNLRLTSVEHDQANGYTADVVLHDITPYQRLVGKLLYATITRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSIGQGVWLHSEPANILTCWCYSDWAACPNTRRSITRYVIKFGESLVS >Solyc10g083150.2.1 pep chromosome:SL3.0:10:63135627:63145252:-1 gene:Solyc10g083150.2 transcript:Solyc10g083150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYFKFKSAKDYDSIPIDGHFITVGNLKEKIFESKHLGRGTDFDLVVTNAQSNEEYLDEDTLIPKNTSVLIRRVPGRPRMPIVTAPVTEPDEPQVEYRSEEAQAVRSNFVGGESSATKYPEDLEWDEFGNDLYSIPETISVQSSNQVQDAPPPSKADEESKIKALIDTPALDWQSQPSDGFGAGRGYGRGQGGRMMGGRGGRGFGWGGGLERKTPPPGYVCHRCKVPGHFIQHCPTNGDPNFDIRKVKPPTGIPKSMLMATPDGSYALPSGASAVLKPNEAAFEREVEGMPSIRSVGDLPQELHCPLCKEVMKDAVLTSKCCFSSYCDKCIRDHIISKSVCVCGATNILADDLLPNKTVRDTINRILESNNSSAEHGGSALQVQDMESARILPPKIPSPSQSAASRGEPLPPPPPPPPVKEENSKAQEIAEEGKNGSASQQMLERGRTLKVADVSEATHESVSVKEPVSPGSAPLADEEVQQKPVVGEAGKKKKKKKTRLPLNPAAAEMQWRAAQDLAAENYMMSMGPAAYNPYWTGMQPGLDGFGAPYPGAMPYNPYGMGPLDVPFMPPPVVPHDPFGGQGFMLPFGPPMQRDLAADFGMGFNAGPPIMSREEFEARKADLRRKRESERRGEREFPKDREHARDVGSTADGPSLKPKSKAPSSSSRPRQPERPSPDLDHRVDDHRRPERPSPDLDHRRRAERPSPDLDHRRRSERPSPDHDYPRRPERLSPDRRLRDPELPRPSSKKKYEDYDDHHHEDRHRHEDRHQRGTHRSSSSHHRSESSANAKPSSVGPSEPQSKSVDKRKASVFSRISFPAEDSAAASKKRKVPSSSEVPVSSSASHRGGTTNGYHGEYKTTTGSRKSATVSVDYESSDDDRHFKRKPSRLR >Solyc02g032760.1.1 pep chromosome:SL3.0:2:29603693:29604509:-1 gene:Solyc02g032760.1 transcript:Solyc02g032760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKAVKQTVAAVAQTAAPEASNPTIPSGIDVPEAYYVEDEGPLIPPGAVVFDASRAAVCATAATSCFTAFRGDILEVFVGT >Solyc04g025117.1.1 pep chromosome:SL3.0:4:25575450:25576022:-1 gene:Solyc04g025117.1 transcript:Solyc04g025117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRIYKGHQRVDMLKELSFLSDVLVCSKRLVTFVRYVVPKLAIVIFPWTVIFSPMVSLVWTRLCKGSSQGTTLHQITISTINKSRLIEKLKALEILKKIVEKEAQMMNNNAFPFQTLGSAWNPPNCAS >Solyc05g026003.1.1 pep chromosome:SL3.0:5:39252760:39261406:1 gene:Solyc05g026003.1 transcript:Solyc05g026003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNVLASTDWDWSLSMLLDFITLAKQFQTQKDHNSERYPRVVAYIDFRIQKLKQEMSKSFAMKDLGPARQILGMQIVRERKAKKLVLSQEKYIEKVLHRFSMDKAKYTFSYALQIEHETVSDEKEDMKKVPYASAVGSLIYAMVCTRPDIAHAVGVVSRKPILCGYTDSNMAGYVDTRKSTSGYFVTFAGGAVSWQSRLQKCVALSTTEAELIAICIHKENPIPCHLSKVQVQDQPIHGDLQLHLGLSIHVRFDDSHDAHAESILKWIGPYIEHEESLDTGD >Solyc11g050997.1.1 pep chromosome:SL3.0:11:17172909:17177990:-1 gene:Solyc11g050997.1 transcript:Solyc11g050997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPYNVVVSKDGTENFNTIVGAILTDLDHDVKPFFKKGTYHEYIIRVDKNKSNIFMIGEGKKTMIITGNRSFLDDDQTYDTTVVGVLGNGVITQDLTFRNNVGSIKRQTVALRVEADLVSFYEC >Solyc05g012190.3.1 pep chromosome:SL3.0:5:5457065:5466399:-1 gene:Solyc05g012190.3 transcript:Solyc05g012190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSGSSSCAGEWKAEEAIAGNAEALRVLRELITYPLLYSAESRKLGLKWPRGLLLYGPPGTGKTSLVRAVVQECGAHLIVISPHSVHRAHAGESEKILREAFSEASSHAKLGKPSVIFLDEIDALCPRRDSRREQEIRVASQLFMLMDSIKSSSTSVSHVVVVASTNRPDAIDPALRRAGRFDAEIEVTTPTEEERMHILKLYTKKLQLDASVDLRAVAASCNGYVGADLEALCREAAMSAVRKCSDSNLDDDSYSINMEDWKHARSVVGPSITRGVTVEIPKVSWEDIGGLKDIKKKLQQAVEWPLKHSEAFERLGVSPSRGILLHGPPGCSKTTLAKAAAHAAQASFFSLSGAELYSMYVGEGEALLRNAFRRARLAAPSIIFFDEADVVATKRGGSSSGSSTVGERLLSTLLTEMDGLEQAKGILVLAATNRPHAIDAALMRPGRFDLVLYVPPPDLEARFEVLSVHTRDMKLNNDVNLRQIAEDTELFTGAELEGLCREAGIVALRENISATVVSDRHFQTVKKSLKPALTKEEVASYSSFMNNRSERSAHSFQSISKKRDNKPTKNLLVFATPVTITVISIAMYIGVRYFLMPTETSTRELTST >Solyc06g035900.1.1.1 pep chromosome:SL3.0:6:25324768:25325031:-1 gene:Solyc06g035900.1 transcript:Solyc06g035900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGEQEQLLKKKAEYIEKLKNKIALVHKSVEEKSAITGAKPGDIFLPPSRWQQNVRPLEVIQRNQSLDVSEVEDTRFVSLVIKVV >Solyc01g103770.3.1.1 pep chromosome:SL3.0:1:92173899:92176234:-1 gene:Solyc01g103770.3 transcript:Solyc01g103770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVGFRLQIIPYPGYTGCVLRGRGGANLSCFSEDLRAKHLITPWCTFSMQSRKLDHYSQVTIDFSFIIRNLSLECIDFIVNIAVEPHKRVAYTLISLANE >Solyc03g019980.2.1 pep chromosome:SL3.0:3:62707194:62710864:1 gene:Solyc03g019980.2 transcript:Solyc03g019980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIDALSCDGDGLKVTKKNTIYKGYPLLLLDISKMEVFVASFNFHAFLAIHAVFLVFLFSFSLQHAASAAFLGNETDKLALLGFKSQITEDPSRVFVSWNDSVPFCQWTGVKCGLRHVRVIRLNLKGLRLAGTISDHLGNLSFLNSLDLAENAFHDKIPQQLGRLPRLQYLNLSFNYLTGEIPVNLSHCVKLKSLVLEQNTLVGKIPYQVGSLTKLVKLYLRNNNLTGIIPGSIGNLTSLEELYLSYNNLEGEVTASLARLTKLRLLGLSVNSLSGEFPPPLYNLSSLELISLSLNNFSGNLRSDLGNYFPNLQILYLANCQFIGSIPSSLANASKLLELDFPVNNFTGNIPKSFGNLRNLLWLNVWSNRLGYGKHDDLDFVNSLTNCSSLQMLHFGSNQFGGTLPHSVGNLSSQLQRLLFSENRIGGSIPREISNLVNLNLLDIGSNNFIGSIPDSIGRVINLGALNLGNNLLTGVIPFSIGNLTELVYLYLGLNRLEGNIPSTLGNCNQLLRLGFSENNLTGTIPQQLFALSSLTDILASYNSLTGELPVYIGNWSHLTYLDFSHNNLSGMIPQTLGKCLALGEIYMKGNSLQGTIPNLEDLPDLQSLDLSLNNLSGPIPHFIANLTSLHYLNLSFNNLEGEVPVTGIFSNLSADVLSGNSKLCGGIQELHLQSCVYQKTRKKHVLALKFILTIVFAASFSILSLLLVFLCWRRNLNNQPAPEDRSKSAHFYPNISYEELRTATGGFSSENLIGSGSFGTVYKGTFPSDGTVVAVKVLKLQHEGASKSFLAECQALRNIRHRNLVKVISVCSSSDFNGNDFKALVFQFMPKGNLDEWLHPENEMHEKSSLTILQRMNIIIDVASALHYLHHECQTPMIHCDIKPQNILLDEDLTAHLGDFGLVRLVPEFSNESVLHQFSSLGVLGTIGYAAPEYGMGSKVSIVGDMYSFGILILEIFTGRRPTDTLFQASSTLHHFVETALPEKVTEILDKTAFQDEMSKATCLEEYWGNIKKEQMECLVGILEIGVACSAESPRDRLTMTQVYSKLTLIREKFYKGQIEAPSYK >Solyc01g102360.2.1 pep chromosome:SL3.0:1:91071646:91073903:-1 gene:Solyc01g102360.2 transcript:Solyc01g102360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAVMVSFGLYFSFVMGFDLSGSANTLTNLMGSTFLLSILGGFISDTYINRFHTVLIFGPFEIIVSSLGISNLAFALITIQAHYKSLQPICLEPNCISGKKAMFFYASLCCFALGGGAVKGALPALGADQFDQKDPKEAKALGRYFNFLMFSSVIGGAFGVTFVVYVSTVKAWWKGFLISLVSVTLGFIFFAFGKPFFRLQQPAGSPLTRIFQVIVVAINNGKLQLPENSEELYEISEKESDSSQSKLAHTKQFRFLDKAAIHPKTGEPAPWTVCTVTQVEEVKVLTRMLPIIASTIIMNTCMAQLQTFSVAQGYRMNRFINKFEVPAPSVPAIPLIFMCILIPIYDMVFVPFARKITKHPSGITQLQRVGVGLVLSIVSMAVAALVEIKRKHHSLKDPLHPIHLAWLGFQYSIFGIADMFTLVGLLEFFYKEAPVGMRSLSTSFTWISISLGFFLSSVLVDIINSVTKKRAPSKKGWLVGLDLDQNNLHLFYWFLAILSGLNFLNYLYWASWYKYKTDEIVEPKTKPNLGDSISLSKSVSVSGVPLLKPSESTNAMPTIEESSNGPTEEANENSAAAS >Solyc04g008850.1.1.1 pep chromosome:SL3.0:4:2469941:2471380:-1 gene:Solyc04g008850.1 transcript:Solyc04g008850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFLALAILLIFSPTTIATTPSEIKNSTHEIFNVKESIAQLDTKPQTPFENQEEYHVDNEIEQESGNLKLKLNLIHRDKLQIPHFPYNNDKVFEGRISRDLKRVSSIIHRIENGGVNYRVKEFGSDLISGMDQGSGEYFVRVGVGSPPKNQYMVLDSGSDIVWVQCQPCTQCYHQSDPVFDPSLSVSFTGVSCGSSVCDRVENSGCHSGRCKYEVMYGDGSYTKGTMAIETLTFGHTMVKDVAIGCGHSNSGMFTAAAGLLGLGGGSMSLVGQLGGQTGGAFSYCLVSRGTDSTGSLEFGRGVLPVGAAWVPLIRNPLTPSFYYIGLSGLGVGGARVPISEDVFKITELGDGGVVMDTGTAVTRLPSEAYVAFREAFVAETASLPRAPAVSIFDTCYDLDGFVTVRVPTVSFFLSGGQILTLPARNFLIPVNDKGTFCFAFAPSPSKLSIIGNIQQEGIQISFDGANGFVGFGPNIC >Solyc02g092520.2.1 pep chromosome:SL3.0:2:54226957:54227810:1 gene:Solyc02g092520.2 transcript:Solyc02g092520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFSSQDTSQSPSFYLSIIPELIRAWGVNRCTRDGESKEEFAPTKSQSFFVYGTNGGTSVLIL >Solyc04g008885.1.1 pep chromosome:SL3.0:4:2509188:2509433:1 gene:Solyc04g008885.1 transcript:Solyc04g008885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIAVLIAVRRREVRAWFKSHRMLVFIKVLLWVCDSMSRRCSSLSRFIIGAIESAVKGISPVL >Solyc02g014600.1.1 pep chromosome:SL3.0:2:18025306:18028363:1 gene:Solyc02g014600.1 transcript:Solyc02g014600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGVSLRASSLKLQDHGLRSRNCTSSSRILGGSLRASCLKLRVLFWFTHGIAPQALGDWVVPQGVRPQVIVAWEAHLENRPSSSWDLDLHTQGRRASGSRTLGGELWTLCWSSVNPGGALYASLLNLREPGWPTQEITLKIGIFPLDMKERLARSRYLASSSKRHCDSCSRSKDCTLRVSCLKLRVTWWRAQGIAPQALGDWIVSQGVMTHVLVAWVELKALCLKLKEPRAAHLKLRKLRGALRKLHLKIERPSGAFRASCLKPKELGCALRPSCIKIMGAEWRAQCVAPQAPLSRFHAQGNLPQAARPLVGIPGHCASKSSSLGNFFKLRELRWHSRHCSSSSRSLYGMLKNSRLRLRDLNSALRASCLKIQLPTCTLKASCLYIVNLGWRTPSLAPQASADWFPNSRHSSRSVA >Solyc12g026420.1.1 pep chromosome:SL3.0:12:23228085:23228441:1 gene:Solyc12g026420.1 transcript:Solyc12g026420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRRHDQTRRCPSILPFPSPQQQTRDSSGGGGQYRPASSPLYSTSQRGRAAVKAIQRGRAGHQQLRQPCLFSFSLLFLVIGYDVGHIKLF >Solyc02g079860.1.1 pep chromosome:SL3.0:2:44826858:44828400:-1 gene:Solyc02g079860.1 transcript:Solyc02g079860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNFCLLEVDVNGREIFLVDKTILASFSGRLRKLFSKLTGKTTRLKLIFDKFPGGAECFEHIVKFCYNGGTIKITPFNMFQLHCAANYLEMNQQMQQGRPSLVEQTISFFEKIHYMTWSELIIGLKKCQELIFFMPSSSLLQQFMDCVVGRLELHYISSPCASSTDNSSMQFSGDISTESRGIYPCQATWWFAHLGFLKLNMFKMIIDTMISKKLDHHVISSFLFYYKRMKCLNASSVQKCRIIETVIKFLYSLDSNFVSIRGLFDILQASFTLKMSKCSIEKLEHLIGSQLDRAKLDDLLVPSPAGEKIAYNINLILRLVDIFLSTNREKILMYQVKKVAELIDVYTIEVAPDPRLKPSKFLALAMAMPDIARESYDNIYIAIDMYLKVHINVLCEEEKIKICCVLNYEKLSEETQKEAAQNENFPACAVVTLQNRLKYSDTRTSNFS >Solyc08g007340.3.1 pep chromosome:SL3.0:8:1909254:1917365:1 gene:Solyc08g007340.3 transcript:Solyc08g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein sec16 [Source:UniProtKB/TrEMBL;Acc:K4CIM1] MASNPPFLVEDQTDEDFFDKLVNDDDDDDVGFKVATSSTGLGAGAGASVSSVYDDGNESDEVKAFADLSISDDVDSGVETGKKEGEKVDKSDDSNAKPGLVVEGNEEKSSGSLASLTAVRSDGLLESSSGNLKTEVTDGKTENHASGSSNSGVKEVGWSAFHADPVTNDASGFGSYVDFFSELGDKNGDATADVGENVNKGSILPAEQVHDKKQVHETEYLENTSSLTQGQDSYAHDATTEQVADGQDLNSSQYWENLYPGWKYDTSTGQWYQIDNYESGANVQGSTDSSLVSDGTSEVLYQQKTAQSVSGNAAESVTNWNQGLQVNGSTENVTNWIQASDNTSAVTDWNQVSLASDAGGVTTDWNQASQLNNGYPSYMVFDPQYPDWYYDTVALEWRSLESYTSSAQSTVQGESQLDQNGLASVQTSSYNNDQRDYGAYGHNDNSRFQGFSSSGGDYNWSGTLGNYNQYSSNMSQNENAAKSNHMSEYSGNQQLENHYNQDFSASSHFNSQISNHYEGTVPYNAKAIQNQNDQRFLPGGGFSHQFSQPTLQQHEQKHASNDYYGTQTTANYSQQAFQSSQQFGHAPTVGRSSAGRPSHALVSFGFGGKLIVMKDYSSSGNSSFGSQNPVGGSISLLSLMDVVSERVDSSSLAMGACDYTRALCRQSFLGPLVGGSPSIKELNKWMDERISNSESPDMDYRKGEVLRLLLSLLKIACQYYGKLRSPFGSEAVLKESDVPETAVAKLFASVKRNGMQFNQYGTVAQCLQQLPSEGQMRTTASEVQSLLVSGRKKEALQCAQEGQLWGPALVLAAQLGDQFYVETVKQMALQQLVAGSPLRTLCLLIAGQPADVFSVESTSQSGMPGVNAVQQPAQFGANIMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERSDIVAAHICYLVAEANFEQYSDTARLCLVGADHLKFPRTYASPEAIQRTEIYEYSKVLGNSQFILPPFQPYKLVYAHMLAEVGRISDALKYCQALSKSLKTGRTPETETLRQLVSSLEERIKTHQQGGFSTNLAPAKLVGPRVSSSQSTMAMSSLIPSSSVERISEWAADSGRMTMHNRSVSEPDIGRTPRQVDSSKEASSSNTGSDASGAGGTSRFRRFSFGSQLLQKTVGLVLKPRQGRQAKLGDSNKFYYDENLKRWVEEGAALPDAEPPLAPPPTAAAFQNGAPDYNVKNVLKSESSICNNGFPEMKSPTSAADGAGIPPLPPTSNQFSARGRMGVRSRYVDTFNKGGGNPTNLFQSPSVPSIKPATAGNAKFFVPAPMSPVEETGNSTSNEQETSSNSESDSVSAVNGPIHFPAPTSSAAPMQRFASMDNLSNKGAVASSLSANSRRTASWSGSLADAFSPNRSEIKPPGSRLSMPPSSFMPSDANSMHSSTNGGSFSDDLQEVDL >Solyc01g103045.1.1 pep chromosome:SL3.0:1:91607192:91608702:1 gene:Solyc01g103045.1 transcript:Solyc01g103045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVTEYSVKVVLVYVDDLLITGNNQHLIDDTRKTLHNKSEKGVLLNQRKYTLELLKLGGAKPASTPMKTNAKLTTVEYDSIVRNNDNPELTDISSYQQLVEKLIYLTITRPYICFAVQVLSQFMQHSKRSHWDVVLRVLTYLKKTLGQGVLLMKGPITSLTTYCDLDWATCPSTRRPVTGYVIQLGDSLISWKSKK >Solyc01g005600.3.1 pep chromosome:SL3.0:1:420193:426353:-1 gene:Solyc01g005600.3 transcript:Solyc01g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSHKTDGESLDKALRSSQKDEVTAILFYASWCPFSTDVKLKFGALSSMFPQIRHVMVEQSKATPSVFSRYGVHSFPSILIVTQTSRVRYHGQKDLPSLVNFYKRTTGLHPMVEVTESQITYKADGRKAFQKWKGSSLKELSSTEPYLALSVAFLLFRASLYFFPGLVTRVVALWAAYIPHLNMGIFGDSRQILGRVFHVIDPKRAWSKLKLCKTRNFHKGARNARVWASSLTSVSLGETSAARIAPSGDL >Solyc08g061890.3.1 pep chromosome:SL3.0:8:49715933:49722378:-1 gene:Solyc08g061890.3 transcript:Solyc08g061890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISFKFRSCSDFDSVDIDGRTSISLGELKIRIARLKNLNVGRDFDLILSDATSGKVYDDESIQIASGSSVIIRRVPAKKTPSTIKPAIGTMKYLRLKHVDRMNQVDAQVNKFHDFRADFFPLDEGIFPKNDVGFDQQNCWDFEKDYPLGPRAIRSNFPAFRSKVLPDVLRCPLCRIFMKDAVMIPCCQLSFCEKCIRLVLLEKAKCPKCSSSRCRVEDLLPNLSLRRTIRRFLATDPENALRRYVPDGESGNQVKDMCCAVTVAQQEPEMPHSPSASGKGSNQVMGDPPVGEKALSRIMQQTDGGRDSSIKKNADLWCDTRGGNMNFAPADIMEDRNCYVYGSNPNPMLQTGKPFVWLIHIVGRALCNVFALAVLEKGNLMLTGGFPAYSSPYWNNNTYSTPLPFANMYGNAGNASFNADMVPPAPAYVPPYMPSMHAGMPLRGEIMRMGGMALPAGNRDDLPLNQYEYMGGKLAEDKRIIQNEIMGSGQYYQAESNFKEHFPKDDRVATGKFHKKREPGTNCSEDHFARRTHRKQLHVEKRRERSRRSSSANRDKVTRHSDRFNDGFLGSSDRRRREIHQHHFRDSRKRHERDSHHKHFPSSAHPVHQTARSKERRGFGHEAKHSRHHARHFTDEVRDNKKWKSSSFYEDCRDGYHHKRKRDH >Solyc08g028975.1.1 pep chromosome:SL3.0:8:39147928:39152259:-1 gene:Solyc08g028975.1 transcript:Solyc08g028975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRKTQTEGEHSTKSSRGKPRVAPSASPGPAKRSRGRPSAAAPSASPRPAKRSRGRPSAAPNASAEPSASATPTEYKH >Solyc01g098480.2.1 pep chromosome:SL3.0:1:88860499:88862445:-1 gene:Solyc01g098480.2 transcript:Solyc01g098480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLFSCHNDLTCFPSAMPTSYLPSKALTLGTNKKLKNSCLVQKILNLSSQGYLKQAFNYLNILTRKGIRLDSKTLAFIIQQCANSRSREEGKWIHLHLKTTGWKHPTTFLANHLINMYSKCGDHMEARKVFDKMTSRNLYSWNNMLSGYTKSGLIKAAKRLFEQMPEKDVVSWNTMVIGHAQAGYFNEALKLYREFRRLSIGFNEYSFAGVITACVKSRDFSLTGQVHCQVFIAGFLSNIVLSSSIVDAYAKCGKMSDARRLFDAMRVRDVLAWTTLVSGYSKCGDMVSARELFEAMPEKNPVSWTALVAGYSHSGMSIQALELFAKMMKLQVQPDQFTFSSCLSACAGIASLKHGKQIHVFLVNAGFRPNTIVLSSLIDMYSKCGSLEVARRVFDTAYNKHDAVLWNTMLSALAQHGMGEEATEMFFKMVKFGVKPNRITFVVLLNACSHSGLVQEGLSFFETMTSSYDVLPDQEHFACIIDLLGRAGHFSEVLAQIKKMPCEPDDHIWNALLGVCRIHGNVELGRMAAELLIEVYLGCGKM >Solyc05g051770.3.1 pep chromosome:SL3.0:5:62989539:62998835:-1 gene:Solyc05g051770.3 transcript:Solyc05g051770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLKLQPSSPLFDNKFDQLTAGNLVYGRRFSIRLRQRQLASLSVQSETASSSSEPLVISNLNSTVGSSSAPQLSQWTLTHRHIHILNFIACVAAISATWLFFSAIPTLLDKEMFTPLLKQKKAFRRAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDIGPYLPSQGLTQGVRSSTRAVRLAEERLRQFSSMPQSATAQGLLVPMETRTAGPALAKKVRDLREGIVKGRQVLQMLFTLTRLPSLPGGRLPDSNSRIIAGSRITGTLFGYRKGRVSLSIQENPRNFPTVVVELALQTNVLQKEMNLGMVRIALECEKRDKREKINLLEEPLWTMYCNGKKNGYGVKREATEEDLSVMEILKPVSMGAGVLPGKSDVEGPDGEMTYMRAHFERVVKSKDAETLYMLSPDANDGPELSIFFVRI >Solyc03g006890.2.1 pep chromosome:SL3.0:3:1423024:1426303:1 gene:Solyc03g006890.2 transcript:Solyc03g006890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRCFNCFGGEQKLEISGQIKNRDYPWDIYTLKELVNATNNFHNDNKIGEGGFGSVYWGRTSKGIEIAVKRLKAMSAKAEMEFAIEVEILGRVRHKNLLGLRGFYAGEDERLIVYDYMPNHSLITHLHGTLSADCLLDWPRRMKIAMGSADGLCYLHHEANPHIIHRDIKASNVLLDSNFQAKVADFGFAKLIPDGVTHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISARKPLEKLANGVKRDIVQWALPYIQKGDFNHIADPRLKGKFNLQQLKNTILIAIKCTDGNPENRPSMLQVVDWLNNIIVMEKRKKDIKITAFDALSLLQRTIDL >Solyc01g014095.1.1 pep chromosome:SL3.0:1:11399088:11403629:1 gene:Solyc01g014095.1 transcript:Solyc01g014095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISPVNISDTIPLNTCLPYNPAPSHQPGSYSSMFSDHWFERDLFENKMSESNILKASETLVIENHHRCGSVHDGVGEVYEEKSEKQMKRASKGKSKSKSKTYVAKKVPTRNKDNHAVNKQTIISNLCLHIIFGGRVFDLDIIHKPGTDSVYDLVEIQSWSHLFKIKSHVLHEEESGMLPEVIGRVLSKFSL >Solyc10g044980.2.1 pep chromosome:SL3.0:10:29245076:29245453:-1 gene:Solyc10g044980.2 transcript:Solyc10g044980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPKYTTGHQKSKRSKKSVETYKNYIFKVLKQVSPDPDISSKAMEIMKNFINHMFEKHAHEFSTLARGTIKMVLPVELDKHDAIEGTMAVSKFKRS >Solyc01g095380.1.1.1 pep chromosome:SL3.0:1:86529443:86529643:-1 gene:Solyc01g095380.1 transcript:Solyc01g095380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKGTGGPILNGSTSIANPTKHGAHLNVRQIDKSWALPQQSIANYHFMYHSFSHYIYIYIYRLKK >Solyc04g050930.3.1 pep chromosome:SL3.0:4:48921355:48924893:-1 gene:Solyc04g050930.3 transcript:Solyc04g050930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Violaxanthin de-epoxidase [Source:UniProtKB/TrEMBL;Acc:C0KZ34] MALAPHSNILCNHEAIKCQVGSRLQSHTRFSWGRADYFGSIVLVKICSRRQIPTYLQKSSRICCGLDSRSLQLSSRGKQNLSSAHRINQNVPKGNTIWKFPEDVALMVLKKWGQLAKTAIVTIFILSVASKADAVDALKTCTCLLKECRIELAKCISNPACAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGDFPVPDPSVLVQKFDMNDFIGKWYITRGLNPTFDVFDCQLHEFHTEGNKLVGSLTWRIGTPDGGFFTRSAVQKFVQDPKYPGILYNHDNEYLHYQDDWYILSSKVENSPDDYIFVYYKGRNDAWDGYGGSVLYTRSSVLPETIIPELQIAAQKVGRDFNTFIKTDNTCGPEPPLVERLEKKVEEGERTIIKEVEEIEEEVEKVKEKEVSLFSRLFEGFKELQQDEENFIRELSKEEMDILDGLKMEATEVEKLFGNALPIRKLR >Solyc10g079880.2.1 pep chromosome:SL3.0:10:61477107:61481202:-1 gene:Solyc10g079880.2 transcript:Solyc10g079880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit E [Source:UniProtKB/TrEMBL;Acc:K4D2M9] MASKYDLTPRVAQQLDIHLIFPLLEFLQEQGLYPDEYILKAKIELLNHTNMVDYAMDIHKSLYHTDDVPQEMMERRAEVVARLKALEEAAAPLITFLQNSSAVQELRADKQHNLQLLQERYQIGPDQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSDRSVSALWGKLAAEILMQNWDIALEELNRLKEIIDSKSFSTPLNQVQNRIWLMHWSLFIFFNHDNGRTLIIDLFNQDKYLNAIQTSAPHLLRYLAIAFIVNKRRRPQFKDFIKVIQQEQHSCKDPITEFLACIYVDYDFDGAQEKMKECEELILNDPFLGKRAEEGSFSSVPLRDEFLENARLFISETYCRIHQRIDMGVLAEKLNLNLEEAERWMVNLIRTSKLDAKIDTETGTVTMEPNQPNVYEQLIDHTKPLSGRTYKLVSQLLEHAQTQLAR >Solyc01g008490.3.1 pep chromosome:SL3.0:1:2512726:2514234:-1 gene:Solyc01g008490.3 transcript:Solyc01g008490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGAGWWLSTRFFKILTEGGAIQVPPHVLDMHHPRMPLPHEMAQEPVYVNAKQYHGILRRRESRAKAELEKKLIKVRKPYLHESRHQHALKRARASGGRFAKKSDAGTSKGDGSGSAVPLQSVHSSGSEPLLSNAADVPEWHKDRSLAEHQNSYSNGNGYGKQISFQESKYQAQSAQVGEGGSSGQRW >Solyc01g109300.3.1 pep chromosome:SL3.0:1:96202784:96207125:1 gene:Solyc01g109300.3 transcript:Solyc01g109300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ISPH protein [Source:UniProtKB/TrEMBL;Acc:D0VNY3] MAIPLQFSSISTRTDLSLPETRTFRLPKPFSVIRCSAGEPVPSSSATAESEFDAKVFRKNLTRSANYNRKGFGHKEATLELMNREYTSDIIKKLKENEFEYTWGNVTVKLAESYGFCWGVERAVQIAYEARKQFPTERIWITNEIIHNPTVNKRLEDMDVKNIPLEEGKKNFDVVDKDDVVVLPAFGAAVDEMLVLSDKNVQIVDTTCPWVTKVWNTVEKHKKGEYTSIIHGKYAHEETVATASFAGKYIIVKNMAEATYVCDYILGGKLDGSSSTKEAFMQKFKYAVSEGFDPDVDLVKAGIANQTTMLKGETEDIGKLVERTMMQKYGVENVNNHFVSFNTICDATQERQDAMYKLVEQKLDLMLVIGGWNSSNTSHLQEIAEERGIPSYWIDSEQRVGPGNKISYKLMHGELVEKENFLPEGPITVGVTSGASTPDKVVEDVLIKVFDIKREEALQLA >Solyc11g071790.2.1 pep chromosome:SL3.0:11:55447875:55451164:-1 gene:Solyc11g071790.2 transcript:Solyc11g071790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSVSKSFLRRHWEGYKEFWGERFSFLDNYSRFIKRDKPLPSWSEADVEEFIASEPVHGPTLKTAREAAKFGAVGGLLGAVSTAGVTWKYSRSLHGTALSLGAGAVFGWTFGQEVANHRLQLYRMDTMASQVKFMEWWQNKVERQS >Solyc02g094540.3.1 pep chromosome:SL3.0:2:55699158:55718932:1 gene:Solyc02g094540.3 transcript:Solyc02g094540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADQWKKRLRAINNTDCHLEPHGLKKKKKKQGLAGYNLKLRSNVSLVWDDKKRCVLAKKEQIGISQRELSPFLDSISHHHSILADVFTLPHETFELNNLSDVLSHKVWQANLSEDERGFLMQFLPEGSGLDDIVYKLLGEENFHFGNQFLKWGQMICSGSFHPDNVMRQEQHFKANKKAYYMELQNYHDNMIGKLQLWKESLESCKDSEEEMVERILRKGLTEGTYGSSPDGAKMAARSRKGEKLNKRNIQHSDGAKYMSYIKVSREHYQRVKNSMKHNSNSIQPRSLSNVLGDVENLHVQPFEFYEEEERQKLHDHWLQLANRDVPAGFAKWIKRRSQEFHVRISLGQEMEQKLNVQIKGTDKISSDGIFAELTDCKEAEERTNSDGIFAEQTDNKEAEIILSMEVEADQQEDNEKSDRLIEKQMEREIVNNEVFLQSEVDKHESMDESDGLIEKQMEREMLNNELPLQSEVDQHEGEENSDGLIEKQLEREVLNNELPLQSEVDQHEVKEKSDGLIEKQMEREILNNDPIKSEVDQHEGKKESDGLIEKQMEREILNNELPIQPEDQEGGDSASLFDEQTPDSTANTDYDDESLPVSLSQDLGHVSLDDSNQLGHFKLDSNENNIIQQADEVSPTVSEYPEGLNSVDVPVDQGVSLASTSDGWPAISIAASYGCATPISHEYSSAEELSLGHPRVTEERVASLINLEAVPTGKDAGRDMLSREPSAISLFGSYPQNRNEIFHPFFKDPDSSSYNREQRQSPLDFQPATNLMVQQSQYSGHFREQLHVQLPIELRHKGLNDLLMHQNFQGNLYTDGSRYSFPRHEQLNVGIQDWAVNSVHVSTPPQTHLSSGDLLNQNWFSGENHARGSWCTLGGVGGPSQSIGSVNNSDQSLYSVLSECNALHQSGSYNVSGSRERLIPSRNYGEMGVGVPTTSNASQQQAVSLSYTSSQESPSGLKPNGLGWTSMSTQNPGYHDSMGKPFLRPWNP >Solyc12g013570.2.1 pep chromosome:SL3.0:12:4412651:4414323:1 gene:Solyc12g013570.2 transcript:Solyc12g013570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTEVHVLEGQWSSGLCDCFNDPINCVATCFFPCITMGENAEIISKGETSCVAATTIYFLLCSIGCQGGYGFHYRSKLRKLLGLPKETCKDNIVHGCCCFCAICQEHRELKLHGADPTIGSYFNFHLVEIGV >Solyc12g089195.1.1 pep chromosome:SL3.0:12:65296237:65300708:-1 gene:Solyc12g089195.1 transcript:Solyc12g089195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVETRFISKLIIFIGVMFSEYINYGYSYESALPVDRLVVQLTDKAQVDIGTITLLHSD >Solyc01g086710.3.1 pep chromosome:SL3.0:1:81534500:81552075:1 gene:Solyc01g086710.3 transcript:Solyc01g086710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKPKSDGSKAMSRPHLVAEKDYSALKELFSHHIESFDYMVDNGLETMLLNIKPIEIVDSFSSLKLRIWFGKPELFPPQKERASKAMHDALYPFECRQAKISYSGKFLVDICFQYGDGAVIREKFNFGQFPIMLKSKLCHLRGADDSKKLVAYKEEPSEMGGYFILKGLERVIRLIILPKRNYPTSMARNSFRDRREGYSDKAVVIRCVREDQSAVSLKLYYLNNGSARLGFWIQGREYLLPVGIILKALVDTTDHEIYVNLTCCYNEECDRVKGSVGTQLVGERAKIILDEVQALSLFTRAQCLRHIGEHFQPVVIGMQNDSYKTVADAVLRDYIFVHLDNNHDKFNLLIFMLQKLFSLIDQTSVLDNPDSLQNQEVLLPGHLITIYLKEKLQDWLLKLQRSLQEETDGEKKKFELSSLTDVKKAIEKNAPKQIGLTIESMLKTGRLATQSGLDLQQRAGMTVQAEKLNFLRFLSHFRAVHRGASFAGLRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTASCRITSYYDSKGNVKDFFKMRMSILNVLIAIGMTPSSPKLVQAGPPELLSVLLDGRIAGYIPSDLIEKAVTHLRRLKLSSTSSIPVDLEVGYIPLSMNGAYPGLYLFTSPSRFVRPVRNISAPAEEGNDLELIGPFEQVYMEISCPDGGDGGRKTLFPATHREIHPTNILSVVGNLTPWSDHNQSPRNMYQCQMGKQTMGFSSQALNCRADQKLYHLQTPQTPIVRTKAYEKYCVDDYPLGTNAIVAVLAYSGYDMEDAMVLNKSSVDRGMCHGHIYQTETIDLTEQSAKSDRAQRLFRRSNLDKSSHQLIDSDGLPYVGQRINPNEPYCSIYNEITSQTTCMKLKGSEPVVVDYVAVDVKNKAHLQKANIRFRRSRNPVIGDKFSSRHGQKGVCSQLWPDVDMPFSAVTGMRPDLIINPHAFPSRMTIAMLLESIAAKGGALHGKFVDATPFSNTMKESESESSSLVDDLGSMLAVCGFNHHGTEVLYSGVYGTELTCRIFIGPVYYQRLRHMVSDKFQVRSTGQVDHITRQPIKGRKRGGGVRFGEMERDSLLAHGASYLLHDRLHTSSDHHIADVCSECGSVLTSSVIQPPKRAVQAILKQLPAREPKKVTCVACRSSKGMETVAMPYVFKYLAAELAAMNIKMTLKLSNEAGA >Solyc02g063450.3.1 pep chromosome:SL3.0:2:36087659:36093959:-1 gene:Solyc02g063450.3 transcript:Solyc02g063450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKQQLEWNEAQKTLINVDLVAAAKEQLKFLATVDRNRWLYEGRGLDKAIHRYYSCWLPLLAKHSESPYFDGPLVVPLDCEWIWHCHRLNPVRYKTDCENLYGRILDNHDVVSSVNAKSKKDTEELWKHLYANEPYDLDSARALSEDVHAKAEKYSDYDLVSAVSRQSPFFYQVSRPHINNNLYLEGAVARYKGFLHLIRRNKERSIKSFTVPTYDIDLIWHTHQLHPASYCKDLVDIMGKVLEHDDTDSDRTKGKKLDTGFFRTTRQWEETYGLRYWRAGAMYRGSSPSPLGNSYYPSNPVSKSADIFHEHQKIMQYPEMEAVEVMLELVDIRNLPEGREGSFFVSFSKTQPDRIFNAKRKLTILSITGEKQVASFQCEPNGHLLFDLMSCSSSGLPIPKPVKSVGSVKVSLEDLVCPTSKLTMEKWLEVVPSSKMEALKPICLRVAISVTTPTAAPYVFHFVRPRAFSKNSCLFPLPGRIQHAKNWTRIIDDAGDEVISLQMRDSKKSKGETDSTLHKEVVGVSKFGEVHSLAELVGKEWLLLGAQWSLQLQTSSCDDGQLFELAGQRNVKFFPGQRLDYEHKYCTKQRSEDDFMTAVEFSAQDPYGKAVALADLKFGVINVKEEWFLLPGSITAFVLCDTLKKEGYSSLVGSAKHSKEKLSTQETDVCHEEDNRANLESETEKGVKLDLEATKGSIVAPANEAISGGCNNLMKRGACGSCGAVFGNKLKSGGCGCGSMLESGGCSGSGCGGCGGGGCGSMLESGGCGGSGCGGCGSMLESGGCGGGGCGSMLESGGCGSMLKSGGCGGGGCGCGNRLESGGCGGCGGNSLKSSGCGGGGCGNGLACMTTVEVNA >Solyc12g005030.2.1 pep chromosome:SL3.0:12:18295:20323:1 gene:Solyc12g005030.2 transcript:Solyc12g005030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSKSKPTEYKSSAHHRSGGSDGGRGHHHGTHQTQIQYTKSAGPETQSPMRTQASRKPVETILGKAFEDVKAHYTLGKELGRGQFGVTFLCTEISSGHQYACKSISKKKLVTKSDKADMRREIQIMQHLSGQPNIVAFKGAYEDKNSVCLVMELCAGGELFDRIIAKGHYTERAAASMCRAIVNVVHVCRLRTFSCQTSENAALKATDFGLSMFIEEGKVYKDIVGSAYYVAPEVLRKSYGKEIDVWSAGVMLYILLSGVPPFWAETERGIFDAILKEDIDFESQPWPSITTSAKDLVRKMLNKDPKQRISAAQVLDHPWLKVGGVASDKPLDNAVLSRMKQFRAMNKLKTCFKGHC >Solyc02g085740.3.1 pep chromosome:SL3.0:2:49174677:49181342:1 gene:Solyc02g085740.3 transcript:Solyc02g085740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLLISLLIISGFNHSCTLQLTTLLIIHPQTKTNSLFTLLLIKGISYVLLLQTHERCHKNPICLKLKPIVHQKLTLTMVVEAHQLFLPKPPFSSPSFPSPPPHFSSFLFHPSSLSLALFHSDSSISLYSSFSPFSIASFPPPQTTLHPPISAAAFLLLRNPNPITLFLISSPIYGGSAVLFRFYILNSARKSFTPAKVVCNHTDFKFDESKFGVVFGVSHGVSLKLVADVNVFALYSISNSRVWVFAVKHLGGEELKLMKYAVIDCSLPVFSISVSFGVLILGEDNGVRVFPLRPLVKGRVKKERATNKKSLNGGLEKDKMEIKKLPLRNGMIHGMNAEISAADGSKLMELKFTSNGMVENRTESAKLRSVRLRQDSREGIANFVAFKNKDDNFESIKIPVKSAKAIGIQALSSTRFLILDSEGNLHLLFPATSVHGSETPYSMKQLTHNMKVRKLTVLPDSSTRTQTVWTTDALHTVHMIAVTDMDASSVNKTDSKDPAEKLVQTSVVQAIFSSEKVQEIAALSANTILLLGQGSMFAYAIS >Solyc04g015210.3.1 pep chromosome:SL3.0:4:5389515:5396797:-1 gene:Solyc04g015210.3 transcript:Solyc04g015210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIIVAAVSPAATKAVSFLVDSLSQLLSENVELIRGADRDFQRLLDEIEPINELLAGDYAQLKSNNNDLDKLFQNIQRTVYKAEDAIDKFLIQAKIDEANFFNKIGPFVKWNNNRKIAPEFGKILEQVTEIRKQTQQVLEKTGIQSSAFQPGETTQPQGPAEEDVEVVGFNKPAEDVKERLCEGSKDLDVIPIVGMPGLGKTTLSRKVYNDSSLDFYFYHKIWIYVGTSKKPKDILVEIVKEVAQSNSKELIKDKDEDQLAHIIRDFLVERGKHLIVLDDVWDTQVVDFVKKAFPNKKSRPRGDRIMLTTRQQRVAEAVSARPHYLENLSKEDSIKLLEQRVFANKRTCPIELQGYRDGIVDKCCGVPLAIVVISGALRGCMDESEWRVVEENVGKHLINKDDHKSCLKFVETSYNHLPQEKKAAFLYFGVFPQGFDIPAWNLIRLWVAEGLIKSGHKDSEIEKVAETYLSDFASRNLVMVMQKRSNGQIKTCRLHDMLHEFCIIEAARISLFQQVYLQPGVRVFPSIEDPNTSRRLCIQSSIPYNFIPKDRIVQHVRSLLCFSSDQKQIDLSNLDVQLIPSAFPLIRVLDIQSLIFEFSKMFYGLFHLRYIAIKGDFTIIPSLFRNFWYLQTLILRNDDTNTSSSTLEIKEDIWKLLQLRHLHSDLPVKLPPPPTTTSNSRTSCLQTLSKVTPDSCKKTVFAKACHLRKLGIEGKLALLLGKERGFDSFQELRCIEKLKLLNNDFSEELHLPPHFFSLQKTLNKLTLSSTRFKWSEADMLGQLECLKVLKLKENAFTGKKWKPKKGSFSKLQVLHIVWAGEWETWDASNRPFQSLTHLALISCYDLKAVPHELADLPYLQEMKLTRTFQAVSSAIEIKNKILQRQDPESSIKFNLITFPPTPSTN >Solyc05g042170.1.1.1 pep chromosome:SL3.0:5:55942390:55942674:-1 gene:Solyc05g042170.1 transcript:Solyc05g042170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLLGLSVLTLMICSLLVTKTWADEDKNPEEAAAAADQNSKPAAAAAAVGATPKEPKGDDSDAHDSDENYVLPGGFNGIEDQFDPEIIVVGH >Solyc05g006620.3.1 pep chromosome:SL3.0:5:1263753:1277598:-1 gene:Solyc05g006620.3 transcript:Solyc05g006620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFEQQAITASLSSLRLYYDIFLSFRGEDTRNNITNSLYNALYSKGIRVFRDSEGLTQGDEISTGLIEAINDSAAVIAIISPNYASSRWCLEELATIYELGKLVLPLFYGVNPSDVRRQLGPFLDGFRDLERKFSPEKMARWRNAMERVGGVSGWVYDNGDESQLIQLVVQRVLDELSNSPMVVAPFVVGIDYSLEELIRQLDVKSNGVKILGLHGIGGVGKTTLSKALYNKLASDFTHRTFILNVKEIATQQGIMSLQKKIIQGLFPSNAFSFSPANAIEGREKFRRMLREKRILLVLDDVDDVNILKALIGGKSWFFEGSRVVISTRNKEVLIEDIVDETFEVRELGDTDSLKLFSYHAFRRPDPSPTFLKLSKQIVSITGKLPLALEVFGSFLFDKRSEEEWVDALGKLKQIRSPRLQDVLKISYDGLDDEEKCIFLDVACLFLDQLDKKVENVIDVMEGCGFRARIAFDTLTTRSLIKVIDGGDLWMHDQIRDMGRQIVRQEGFSDPGKRSRLWDVADVLSVLQGRKGTQHIQGIILDQHQRHSSKIKTAKAITRERFQEVPSFSSALAYIKELYKGQFQNDAKETNELVLNTEVFDGIVNLRLLQLDNVKLEGNLGKLPSSLKWLQWKRCTLSSYYSNYYPSELAILDLSESQIERIGSGEWTWSRKKVANKLKVMNISDCHKISAIPDLSKHKMLEKLIAERCSNLQRIHKTVGNLTTLRHLNLRDCRNLVEFPGEVSGLKNLKKLILSGCTKLKQIPEDIGKMKSLQELLLDETAIVNLPSSIFRLTKLERLSLNHCYSLKQLPGVVGNLSALKELSLNGSAVEEIPDSIKNLKNLHTLSLIRCKSLAALPHSVGNLKSLANLWLYGSAIEIIPESIGCLYYLRSLSLGNCQQLTALPVSVKGLASLVELQIDKVPIRCLPHIGALKSLKTLEIRNCEHLGSLPDSIGELLALRTMTITRNDAITELPESVGELQNLVILRLTKCKRLHKLPDSIGELKNLVHLLMEETAVTVLPQTFGMLSSLMILRMGKKPFLQVPQSTEITETATYAERETVPIVLPSSFSKLSLLEELNARAWRIVGKIPDDFEKLSSLEFIDLGHNDFSHLPSSLKGLHFLKKLLIPHCKQLKALPPLPSSLLEINAANCGALESIHDISELVFLHELNLANCMSLGDVQGVECLRSLKMLHMVGCNVSCASIVRNKLDKLAVKNLDNLSIPGNEIPSWFTPNEVHFSKHENNDIKAVIIAIVVSVNCAEPDDLRDELPVVPNIFAKIIRANRPVFTTGMYLAGVPTTSEDQVYLCRHQDYHPLVSILEDGDSIHVGLGNLPVTGIELKKCGIHLVQENDDDYEGNEESLDETQQSVSERLTRFYGASNRESNIFNSNSAQEDGEGDGTGNFLSFVKEIFCALKYLFFKRF >Solyc08g048450.3.1 pep chromosome:SL3.0:8:12866687:12895286:1 gene:Solyc08g048450.3 transcript:Solyc08g048450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKRPLQCMLRRNCTRASFSALPEGNPSSPSQNLINLESQCSAHNYHPIPIVFSKAKGSSVWDPEGKKYLDFLSAYSAINQGHCHPKIMKVLAEQAQMLTLSSRAFYNDKFPVFAERITSMFGYDMVLPMNTGAEGVETALKLARKWGYMKKSIPKNEALIVSCCGCFHGRTLAAISMSCDNEATHGFWPLLPGQLKVDFGDSVALEKLFKEKGDQIAGFLIEPIQGEAGVIIPPEGYLTAVRDLCSKYNILMIADEIQSGLARSGRLLACDWEEVRPDVVILGKALGGGVLPVSAVLADKYVMLCIQAGEHGSTFGGNPLASAVAIASLDVIRDEGLAERSAQMGEQLRHQLIKIQRQFPHFIKEVRGKGLFNAVELNSNSLLPVTAYDICMKLKERGILAKPTHDSIIRLTPPLSMSLEELQEGSNALHDVLVHDLPKMQKEKPATVSHATSNVCDRCGRDLYGSS >Solyc09g059040.3.1 pep chromosome:SL3.0:9:53281695:53285465:-1 gene:Solyc09g059040.3 transcript:Solyc09g059040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKIMHAVQYHSYGGGAAALKHVEVPVPTPNKDEVLVKVEATSINPLDSKIQKGMLRPFLPSKFPFIPTTDVAGEVVEVGSNVKSFKAGDKVVAMLSTKSGGGLAEYVVPKDSLTVSRPAEVSAAEGAGLVIAGLTALQALVNPAEVKLDGTGPRKNILVTAASGGVGHYAVQLAKLGNAHVTATCGARNIDFVKSLGADEILDYKTPEGAALKSPSGQKYDAVINCTTGIPWSTFEPNLSSSGKVIDLTPGASSMLTFAVKKLTFSKKQLVPFLVNANKENLELLVKLVKEGKLKTVIDSKFPLSKAEDAWSRSIDGHATGKILVEP >Solyc02g084080.1.1 pep chromosome:SL3.0:2:47831012:47831477:1 gene:Solyc02g084080.1 transcript:Solyc02g084080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIPAVFPDRSAIPPNPYNVSDLEQGYDDSKTPIVRTIPIEESTHGLTVSIATTAAALAKSQEQGSLVDTNILVRLLLNLQEIPKQMKEYGMATKAATVVSLNAAMSRQVDLLVPCPRQNRIR >Solyc03g120020.3.1 pep chromosome:SL3.0:3:70017910:70024239:1 gene:Solyc03g120020.3 transcript:Solyc03g120020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRLGVPFKGISEDIRGRVSCYKQDWIAGIRSGIGILAPTTYIFFASALPVIAFGEQLSRETDGSLSTVETLASTAICGIIHSILGGQPLMILGVAEPTIIMYSYLYKFAKGREDLGQTLYLAWAGWVCVWTALMLFLLAIFNACSVISKFTRIAGETFGMLIAVLFIQEAIKGLVSEFSIPKAEDPSSEKYQFHWLYMNGLLGIIFTFGLLYTALKSRKARSWWYGTGWMRSFIADYGVPLMVLMWSSLSFIVPSNVPSGVPRTLYSPLPWESASLYHWTVMKDMVKVPPVYIFAAIIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLLGLPPSNGVLPQSPMHTKSLAILKKQLIRKKMVESAKESIRQKASNSEIYGNMQAVFIEIDSSPISAVAKELEHLKEAIMKCESDNANDEKSSGIFDPEKYIDAYLPVRVNEQRVSNLLQSLLVAASAGAMPVIKKIPTSVLWGYFAYMAIDSLPGNQLWERMLLLFISPGRRFKVLEGVHASFVESVPFRCIAIFTIFQFVYLLVVFGVTWIPIAGILFPLPFFLLISIRQHLLPKFLHPRHLQELDAAEYEEIAGAPQRALSFSFRETEITLPRTEGEIEICDAEILDELTTSRGEFKVRTISFSEDKRPQVIYPTADTESE >Solyc09g082440.1.1 pep chromosome:SL3.0:9:68593902:68594307:1 gene:Solyc09g082440.1 transcript:Solyc09g082440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDLDGSYPRKESLIPCNSWIGLAASIKGLYGQLLHYLTNLSIKKWNSLRIGASDEDVPLDTLIDPAKAEASIWLIEEMHIYTTSLFFHC >Solyc07g055100.3.1 pep chromosome:SL3.0:7:63364022:63366666:-1 gene:Solyc07g055100.3 transcript:Solyc07g055100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRHNHTDNPSLITSSNPNSSTIFHPSAADAAVVSHSGTMSDDDHLAPPFSNHNSPSPSHSRSPLPGRDNKLLALPPPPMLTPASMSARTPVFPAREDCWSEAATHTLIEAWGSRYLELNRGNLRHQHWEEVADAVNAQHGHIKKQYRTDIQCKNRIDTLKKKYKIERAKVSQSPGRYISAWPFFSSLNVLIGVTAKASPPPPATTLPPQRRMPPTQATSPPQWRAPPPEMSPLQWRSPPPEMLPFQWRTPPVPPPPLLGIPVGPRSKRPAAAIDYKVSRRNFSTKRKRNRRRRVWQHQLWPLLLVESRERRVGGW >Solyc06g069410.3.1 pep chromosome:SL3.0:6:43283403:43286967:1 gene:Solyc06g069410.3 transcript:Solyc06g069410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSEKFSVDFLMGGTAGIFAKSAAAPIERVKLLLQNQGELMKRGQLKRPYVGIGDCFQRVLQDEGFMSLWRGNQANVIRYFPTQASNFAFKGYYKNLFGYSKDKDGYVKWFAGNVASGSAAGATTSMLLYHLDYARTRLAMDAQDCPLNGKRQFKGLIDVYGKTLSSDGIGGLYRGFGASIMGITLYRGMYFGIYDSMKPIVLVGPLKDNFPASFMLGWSITTFSGVCAYPFDTVRRRMMLTSGQGTKYQNSMHALREIIRLEGFAALFRGVSANMLYGVAGAGVLAGYDKLHQIVYRPTYSFESQRAFNK >Solyc01g073890.3.1 pep chromosome:SL3.0:1:81096088:81104284:1 gene:Solyc01g073890.3 transcript:Solyc01g073890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKLEPQQSNTTITHFSHPHTLELITHQNFAPSQLCSCCKIQANGSVYTCKSCNFFLHVECSQMPQQINHPFDKQHALSLLPKPIYPEGNFRCDACGETGDGFSYHCNSCAIDLHILCAVLPQYIAHRSHCHQLEIQFSSPYPSKSFRCDICKHVGTNQWLYRCHTCGFDAHLNCTKLQSHFHQNPITSSNSKSAPQHHCAGVDHMNQEIKNEISSLETLRKQREDEDKLMAEMIMGGVNHETQRLNQLLGRTNPGNNNGTAAATQFGASQNNEMSFPEILRKQREDQDKMMAEMISGATYRQNQQLSHMIAASSLNNQAINHQFNQTLMSYGGSAGGGAAGQGQIPNLYQNTMGAGLFNTGAGFAGLGLNSLFGNLKF >Solyc05g008020.3.1 pep chromosome:SL3.0:5:2439409:2443816:1 gene:Solyc05g008020.3 transcript:Solyc05g008020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BWX6] MTMPFKEFFTEYGEAHQYEIQEVVGKGSYGVVASAVDTHTGKKVAIKKINNVFEHVSEATRILREIKLLRLLRHPDIVEIKHILLPPCPREFKDIYVVFELMECDLQHVIKANGSLSPAHYQFFLYQLLRGLKYMHTANVFHRDLKPKNILANADCKLKLCDFGLARAAFGDTPFAIFWTDYVATRWYRAPELCGSFYSKYTPAVDMWSIGCIFAEMLTKQPLFPGDDVVHQLDLITDLLGSPSTEVISRIKNDKARIHLSSMTKKAPVPLSQKFPDIDPLALKLLESLIAFDPKDRPSAEEALAHPYFRGLANIENEPSARPISKSEFDFERRKLSKEDITELIYREILEYHPQMLQEYLQGTEHTSFLYPSGVDCFKEQFDLLEGDGRNITHHPRRYTSLPRERVYVSIDEETDQHDDYERHVETVVAHNRLPSQRGSDEINRADSSNENEGHSQNELSPTSNTARCLIRSASISFSKCIGAVWDDCEDTTYKRYDNGISRSSKEPATLYA >Solyc08g045630.2.1.1 pep chromosome:SL3.0:8:18272420:18272566:1 gene:Solyc08g045630.2 transcript:Solyc08g045630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTITPGLLIGHNNPYHFFLSSQGTITPILFFMSLEGTITPIIFLHRAQ >Solyc07g007860.1.1.1 pep chromosome:SL3.0:7:2542847:2543263:1 gene:Solyc07g007860.1 transcript:Solyc07g007860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNTKTTTLFFISMLLISSSFTPILGCGHCKKHKKKPIIISPPPPIEVPTTSCPIDTIKLGACVDLLGGLVHIGLGNPVVNECCPIIEGLVEIEAAVCLCTTLKLKLLNLNLYVPLALQLLLTCGKTPPPGYTCSL >Solyc06g076265.1.1 pep chromosome:SL3.0:6:47527261:47529337:1 gene:Solyc06g076265.1 transcript:Solyc06g076265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSKKSFLVQFRVCWTTETGFLEEKILKMSNLEYSTVNLFSGKSCSVYFLDPSGERRTLQNHRDLDNPSTLLECWNRTITSVNFVVIKQPIMVFYFLDYLVQFTTKVV >Solyc10g011960.2.1 pep chromosome:SL3.0:10:4245688:4249897:-1 gene:Solyc10g011960.2 transcript:Solyc10g011960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLILIKLDLQDVREKRKALKTVSALTGIDEISMDMKGKKLTVIGTVDPVNVVSRLRKFWWTEILIVGPAKTPEQEKKEEPKKEETKKEEPPKEEPKKEEPPKEETKKEEPPKEGAKKEEPPKEETKKEEPKKEEEEEDDDDDDYDDDDEEEIEGPKKEEAEV >Solyc02g092740.1.1.1 pep chromosome:SL3.0:2:54353131:54353283:-1 gene:Solyc02g092740.1 transcript:Solyc02g092740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLIRRAIYRVQSSSPAARLLVARAHASDTKSQQVQPQVVSNLPMESR >Solyc04g026210.3.1 pep chromosome:SL3.0:4:18317511:18319068:1 gene:Solyc04g026210.3 transcript:Solyc04g026210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVIQIALDVARGLSYLHSKKIVHKDVKTSNLIMDKDARVKIIDFGVSRIEASCPLDMTAQIGTIDYMAPEVLIGVPYDHKCDVYSFRICLWEIYCCSIPYNGKFLLLTQVHVNTRVLRPEIPNKCPSIVANIMKQCWHADPKERPEMKEVMLMLEAIDTSQVAQMDCFHFH >Solyc05g043320.2.1 pep chromosome:SL3.0:5:56780249:56791595:1 gene:Solyc05g043320.2 transcript:Solyc05g043320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIILFFVQIIIPFVVGGKVPAIIVFGDSTVDTGNNNQILTVLKSNFPPYGRDYYDNKATGRFCNGRIPPDFISEAFGLRPFVPAYLDPMYNITDFAVGVCFASAGTGYDTATSHVLNVMPIWKEIENYKEYQKRLEAYVGIQKSKYIIEEALYIVSMGTNDFLENYFAMQSVRAFQYTTEQYRGFIIGHVENFIKEIYQLGARKISLTGLPPMGCLPLERAANMLRGQGDTCNNDYNDCALKFNEMLSALIQKLNKELPGIRIAFANPYGIILQMVQNPASFGFEVERIACCGTGLFEMSYLCNKLNPLTCPDPNKYVFWDSFHLTQKTNQIITNSLMKNVLHQFV >Solyc03g119740.3.1 pep chromosome:SL3.0:3:69777442:69781923:-1 gene:Solyc03g119740.3 transcript:Solyc03g119740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSDSSSSSVVWSREEEKAFENAIAQHWVEDSEQQWEQFASMVPTKTIDELKEHYQLLLEDVAAIEAGQVPIPNYKGEEASSSSTKEVNLGYPGSVDGRRSNCGYANGFSGTNHDPIGHGGKGNSRSEQERRKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSINNGGDVSTHQAPITGQQVNPNPSNPAALGPSVKHRTQPNMHSMGMYGAPMGHPVAAPPSHLASAVGTPVMLPHGHHPPYVLPLAYPIPPPPPPMHQ >Solyc05g055450.3.1 pep chromosome:SL3.0:5:65942678:65949217:1 gene:Solyc05g055450.3 transcript:Solyc05g055450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGAAQSEYNSSTEGVQEHSSSPRKSQSPPPSTDHDENKISIKFLLSNAEAGSIIGKGGSTISDFQARSGARIQLSRNNEFFPGTMDRIVMVSGPIDDVLKAVDLVLNKLLDESYVEDGGDVGPRSKVRLVVPNSSCGGIIGKGGSVIKSFIEDSRAGIKILPQDENFPGLHDRIVIVIGTLGEQMRAIELILYKLAEDAHYVQNMNAPFPYAAYLGMNYGPPNGVIGGRYPNNRYQNKMEPNSEDRNNSVTIGVADEHIGLVLGRNGRSVMEISQVSGARIKISDRGDFMSGTSDRKVTITGSQRAISIAESMISKKVATVTES >Solyc09g009330.1.1.1 pep chromosome:SL3.0:9:2701428:2702129:-1 gene:Solyc09g009330.1 transcript:Solyc09g009330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNVYENENSDEDSNEDEDDEDEDENDEDVNRLTFYFCKPGYNTDFHKQDVQSIIRDPRLGRWTVFKKKIYALIGMQHILICLDVDNDSGRITATPMANESPEKSRRFSDHPCYTDFIIQSSCNDMLLYVHLISNGRNYRMPYHFRVLQFDFVRKRWIKAESMGEIAIFISLNAAITCSTKGTNLNKELIYFTDDRYLYIYDLITQSMSLSLPCPHVSKRKGRDIHWLTLNQ >Solyc06g050905.1.1 pep chromosome:SL3.0:6:33860552:33863507:1 gene:Solyc06g050905.1 transcript:Solyc06g050905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGNFGASVNFSGPSKMQQHDESNQPEKANEILTGLPQFTKEQYHKILLMLGSKPEDTHSSMAAVESVQTSEIDAGLWHRRLGHVSAGVMKTLGVLGSVHYIPPSNIAPPNNLVVPVDTSTHSCPLLFRSLILQLCLQLDLLQLWLGNHPELLSLRTKPLTTTLETNLKLTSTEYDTFINEESIEEKDKLLTDPSQYQRLVGRLLCLTMTRIDIAYVVQVLSQFMHKPKTSHIEVALRVVKYIKGAPRLGPVNASRQFQQT >Solyc12g062425.1.1 pep chromosome:SL3.0:12:32506946:32507630:-1 gene:Solyc12g062425.1 transcript:Solyc12g062425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITRSSLKLIQDTKRALQQAFKMKDLGELKYFLRIEFTISNVGILMLQRKYALELIFDAGMTTVKPAVHGLVVAFCDADWASCLLSRKSVIGYMVKVCQSSVSWKAKKHTTTSKRLVEAKYKSLSSTFQINVVY >Solyc10g005430.3.1 pep chromosome:SL3.0:10:313300:314288:-1 gene:Solyc10g005430.3 transcript:Solyc10g005430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVEKLAREMQKGAASIEGVEVKLWQVAETLPAEILAKMGAPPKGNAPIIAPDDLVEADGFAFGFPTRFGMMPAQFKAFFDATGAIWKNQQLAGKPAGIFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGVDMFDMEDIKGGSPYGAGTFAGDGSKQPTEVELEQAYYQGQYIATITKKLKGSSA >Solyc09g018060.3.1 pep chromosome:SL3.0:9:12716994:12720471:-1 gene:Solyc09g018060.3 transcript:Solyc09g018060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSEKSREREEEENPVGSVHKYVEGVSRNGSIVPSTHLSIDERVLVDPKQLFIGTKIGEGAHGKVYEGRYGDQIVAIKVLNGGNTSEERSALEGRFVREVVMMSRVKHENLDGSCLQFIGACKDPLMVIVSELLPGMSLRKYLVSIRPNVLDLHVAINYALDIARAMECLHANGIIHRDLKPGTTCTIMQTICCSRPIRSL >Solyc11g010340.2.1 pep chromosome:SL3.0:11:3413397:3416016:-1 gene:Solyc11g010340.2 transcript:Solyc11g010340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVVFQQDPFNYSHKDCNFYNLETFHDYGNFGYEGYNWNSSIPQSYNDDDNNNNINNNNSNSSPDKYFPVESTVVSGRRKRRRTKCAKNEEEIHNQRMTHIAVERNRRRQMNDYLAVLRSLMPPSYAQRGDQASIVGGAINFVKELEQLLQFLEAHKQVITTNQQHIQYSSFSKFFTFPQYSTGNNNHPLAATTSNEGSEERRSAVADIEVTMVESHANVKVLSRRRPKQLLKIVNWLQAMCLTILHLSVTTADHMVLYTFSVKVEENCELNTVSEIASAVHEMVAMIKEEAMPC >Solyc09g011780.3.1 pep chromosome:SL3.0:9:5070754:5075824:-1 gene:Solyc09g011780.3 transcript:Solyc09g011780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDTPEVQEVTVAVDGSHGGGIGDASMGGGGGGGGVDGGDEEEVLMLEDGSGSSDQVRKKTADRVKGPWSPEEDAILSRLVSNFGARNWSLIARGIPGRSGKSCRLRWCNQLDPAVKRKPFTDEEDRIILQAHAIHGNKWASIARLLPGRTDNAIKNHWNSTLRRRCAEHGKLRSDSSNILEDASAGKSKASSEETQSCGDVNSLKAIEAKDVSSQENQEGNHYEDRSQEVVQQSDVANDPRTLFRPVARISAFSVYGSLNVPESQLQTPRLIPTQAPDLGITKLLEGAFTDRLVPHQCGHGCCGNVNQEINGSSLLGPEFVDYAEPPSFSSHELAALATEISNVAWYKSGLENSSIEATFNPTTRAACGTSHLPSRPF >Solyc07g019497.1.1 pep chromosome:SL3.0:7:11815541:11820146:1 gene:Solyc07g019497.1 transcript:Solyc07g019497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSEYKLDPGPLESNVLTGQLTHRSQDIWEGNVNMILNTRREDGNFWKLIEKYPIHPRVLEVIRLSGLYGVYKSNRPAIDRSLITALVERWRPETHTFHFRTGEATITLQDVEVLYGLPVNGDPVLGNEMIRTIEDWQNICQRLLGFVPSLEDFKTNSIKVAAFNSHVLSQPHLSNMATQDMVNQKARCFMFWMIAGMMMADTSSGYLKLMYLPMLEDVNKIGSYSWGSATLAYLYHFLCKASQSTQNEIAGFLPLLQRCNPKTLSQIWAWERVTVLRPQIVSHRDARTICHVGLPRGPHATRWFAHLSWTNTTKHVLKVYRDALDSMIEDQFIWEPYSDDLIESLPLYCHAGRDIWRVRVPIFCWDVVEVHLPDRVMRQFGLQQAIPTPFPFDSNHFCHDHPPGVLSFKWDINNTSAIIISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYFLFSSDPGWTSICGAVTALGGMTVYTSQKRRVINSQSIVYLLKNSRVLKMMTTPDTHLQTKLLLSSKFTPFFVGT >Solyc10g077080.2.1 pep chromosome:SL3.0:10:60078622:60085190:1 gene:Solyc10g077080.2 transcript:Solyc10g077080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSRRSLCCILLLVLFGISIQYQCSASKIDADQTALLLVNASEASARKMPDTLFGIFFEEINHAGAGGLWAELVCNRGFESGASFTPPRIEPWSIIGNNSSVIVSTDLSSCFDRNKVALKVEVLCDNGGANICPDGGVGVYNPGFWGMNIEHGKSYKLVFYVRSDETLNLSVALTSLNGLNKLATKTVVANNVSNWTRVFVLLEAEGTDSNSRLELRSTTKGVIWFDQVSLMPLDTYNGNGFRKDLFGMLKALKPAFIRFPGGCFVEGERLKNAFWWNKTIGPWENRPGHYGDVWGYWTDDGLGHFEFLQLAEDLGALPIWVFNSGISHKEQVDTSDILPYVQDILDGLEFARGAPNSKWGSFRAEMGHLEPFDLRYVAIGNEDCSYSKYRGNYLEFYSAIKEAYPDIKIISNCDGSSKALDHPADLYDFHIYASASDMWFNASRFDDALRSGPKAFISEYAVHGKGAGKGSLLAALAEAAFLIGAEKNSDVIEMTSYAPLFVNDKDWKWTADAIVFTSSQAYGTPSYWMQHFFIESNGATYLTSTLQANPSNALIASAITWQNETDNNNYLRIKVVNFGSSRVTLSISISGLSLQSSGATKTILTSSNVMDENSFTDPKKISPVKTRLGEISENMDVTLLPYSFTSFDLLRKSISIRTVTTASDLESSF >Solyc01g104700.3.1 pep chromosome:SL3.0:1:93005303:93008329:-1 gene:Solyc01g104700.3 transcript:Solyc01g104700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDGNLHFVESPALAPPEVQIDLAAQALHEQELQAALNQPLPDDDDEAFE >Solyc03g059190.3.1 pep chromosome:SL3.0:3:30120563:30124233:1 gene:Solyc03g059190.3 transcript:Solyc03g059190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRHESQVFSSLPKTSRWWSKETVAIVTGGNKGIGFALVKRMAELGLTVILTARDNARGMEALESLKQLGLHLYYHHLDVSDISSIQLFTSWFTNNFTALDILVNNAAVSFKDIEENSVEHAETVICTNFYGPKRLIQQLYPTFRSSSTVTRILNISSRLGLLSKLRNEELKSMLLDEENLTEKQIDGLVKLFLQHVKNGTWKSNGWPELWTDYAVSKLALNAYSKVLARKYKGKGISVNCYCPGFTQTSMTGGKGKYTPQDAAEIGVNLALLPPQHLPTGMFYLGSNPPNTYSKL >Solyc07g039195.1.1 pep chromosome:SL3.0:7:45679004:45681118:-1 gene:Solyc07g039195.1 transcript:Solyc07g039195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTIQVSVSMGKRAYQTWDKGDFSFPLTTFRDNLVVRLEDVEGNELSRKEVETLSIVEKGCWDDVFQFEEGHYVHMKLQFILSEDERNLIRNVRESALKKKQDKVPATNVKYKGTAVPMRDTFALSLPITREFSGLITHSKNYINGRKT >Solyc09g010610.2.1 pep chromosome:SL3.0:9:3953697:3954746:-1 gene:Solyc09g010610.2 transcript:Solyc09g010610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVEEHANCKAIRLFLWNEKLTKNQISSSEGLCICYIRSTFTAIQPRVFDYKHKKLRDRERCTVIPFDLSKLLNKDASLGRLLVSPTLQFLPQTIKQLGDDISFSLFTQFQFTQAFLNPPPRPTYVIVLEISHIRCCDFRPPFDLPLPPYFIPPDVPSNHRRFRRNWNDVAACVSSIDFVNDPYNRYREDVVAYLRKLRDYTGEGGICPTKPPIAVDVARGFVEVQCLLPWLWKKNTCPSCRAVYPLHYSPILDRQIQNLNPRH >Solyc03g082885.1.1.1 pep chromosome:SL3.0:3:54211155:54211505:-1 gene:Solyc03g082885.1 transcript:Solyc03g082885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEKLDGLARWFGTSVASAFFASLERCSCVNLNTSESDDEEEEEAKERPLMISALSSHLDSCAATTNPTSADNLPV >Solyc07g043030.2.1 pep chromosome:SL3.0:7:56683067:56684530:1 gene:Solyc07g043030.2 transcript:Solyc07g043030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQTKPLPEKHHKSTLISLNIFSCSDYHWSHLVSICKFVENLVSRDERVYVTILIIISPWDAYIKRCFPPAFSGTFLDVLDSRIRYITLAQIERPYPEELPIISNKSWPDIDSNPKIIGLVSDMFSFFTSGAGFLGFLLYLSVWHDQFGREFNRSDPVTSKVLPTFAFVKEADPQLTPVYTVGFLLYLESQNAKGNSKSEDEEIMKWLDQQLPSSVLFLCFGSAGNFQPSQRLPVNAETTKLEEISPEGFLERTKDRGIVCGWAPQVNILAHKAIGEFVSHCGWNSTMEKQQINTFQLVKDIEMAVELTLTYKMEKVIRSTMDSKNLLRKRVKDMEEIFRKELTEGGSSFISVERFAETILDSCD >Solyc11g044310.2.1 pep chromosome:SL3.0:11:33255470:33266777:-1 gene:Solyc11g044310.2 transcript:Solyc11g044310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPMKLASTAIRAPSLFPCSNFTHLPASNSLSLSPKLPASIFSPLLIPISGRKKLSVRAGNLDCESVEQMDLKKEFPEFRKDLYPSIEPYSTGFLKVSDLHTIYWEQSGNPNGRPVVFFHGGPGGGTSPNNRRFFDPVFYQIILFDQRGAGKSKPHACLEENTTWDLVGDIEKLREHLKIPEWQVFGGSWGSTLALAYSISHPDKVTGIILRGIFLLRKKEIDWFYEGGAAAIYPDVWEPFRELIPEEERNCFVKAYHKRLNSDTLETQYAAARAWTKWEMMTSHLLPNEENIKKGDDDDFSLAFARIENHYFINKGFFSSDSFLLDNVEKIKHIKTIIVQGRYDVCCPMMSAWDLHKAWPEAEFIVVPGAGHSANEPGIAAELVAANEKLKNVLEGVS >Solyc03g120630.3.1.1 pep chromosome:SL3.0:3:70459022:70460289:-1 gene:Solyc03g120630.3 transcript:Solyc03g120630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTSPLLSQFKSLTISTPFLHGSSSLSRLSTPSSSITSQKPSPPAFLPPIRAMRSMQGRVVCSTNDKTVSVEVTRLAPHPKYKRRVRKKKKYQAHDPLNQFQVGDYVQLEKSRPISKTKTFVAIPVPPRNQTKVKEEENQELGLPLESLQTS >Solyc02g063180.3.1 pep chromosome:SL3.0:2:35788675:35791782:-1 gene:Solyc02g063180.3 transcript:Solyc02g063180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHMGSYQLPLQPSRKLRSFHVQSSSSCSFNKQEPAQNSTGLQFICKKKLLSDLTTWGIGGPCNYFVQVFNQTQLVSAVRYCNEQSMRFMILGKGSNCLFDDMGFNGCVILNRIELLEKIESGVYRVGSGYPFNRFGVMSANEGFSGLEFAAGVPGTVGGAAYMNAGANGQETAAAIDSIEIITNEGESRMLNRRELNFGYRLSPFQEMKDLASITAVTFRLKFSKTAREMQQEYLARRWRAQPLGQRSAGSVFRNPSSMGVSAAELIEKSGLKGLRVGGAMVSNKHANFFINCGSATSQDMLELIRLVKDAVNQKFGVELKEEILYIHPS >Solyc09g072920.1.1 pep chromosome:SL3.0:9:66029554:66030178:-1 gene:Solyc09g072920.1 transcript:Solyc09g072920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAELGNRVNERMSEFGERIGWTLQNVDEKLISDFCSKIGVKKQVLKVWLYNNKKKTKKHSKYQPTAADILPELVIHKILSNLNYVEASRMTILSKTWLRSWLTHPNLLLVVYSGTDEKRMIGKIDADIVDKIMKRYMDEKIPIDKFELSMDIVTPTTGYLFDSIDKWLDVALQNGQNVYEKWC >Solyc07g054560.1.1.1 pep chromosome:SL3.0:7:62970572:62971438:1 gene:Solyc07g054560.1 transcript:Solyc07g054560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCFSSTRKRHRMSPPCSAVNGRDPPPSEEETVKEVLSETPIPKPHHPSPPEVLIDDKVADFPQVKIESTAVVKPRDEIKFESAVVKPREEMKFESTVVMKPEVSEEPSEMCSFTESYSTTATATEKREEDGEVTQRSPIRVHRKRQNTGDLNGVRERSFRSQTGRSAPSPEKRRSPASSRGVQGRGMPQQRRNVGPPNGPRRGPSENGVRRSNSPAKRGTVDARRNVRNRSPAAREAEKPGNQSPARNAENEVSSSKTEKPKEEVSPVTGESLENPLVSMECFIFL >Solyc10g078177.1.1 pep chromosome:SL3.0:10:60168420:60170012:1 gene:Solyc10g078177.1 transcript:Solyc10g078177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPPSPIVNFLNCPHRRRFSPANLAGDEFLLDLHELFQLIFSRVEGSLCGRCMLRLINAIGKYHTPIDVARWWSSK >Solyc08g015680.1.1 pep chromosome:SL3.0:8:5216517:5222059:-1 gene:Solyc08g015680.1 transcript:Solyc08g015680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4CJ98] MQHNVEQPPPTSAGGAQETVIIVGQEEQPQLERKKRKLASKFPLVNISGNILLAYQSLGVVYGDLSTSPLYVYKSIFVGKLQDYQTPETIFGAFSLIFWTITLIPLIKYVLIVLSADDNGEGGTFALYSLLCRHAKFSLLSNQQAADEELSAYKYGFAGQSTSCLGLKRFLEKHKKSCTVLLIIVLLGACMVIGDGIITPAMSVISAMSGIKAAAEHLSHGEVLVLSCLILVGLFALQHFGTHRVGFLFAPIVVIWLISIFGIGLYNIIIWNPKIVHALSPYYIIKFFRETRKHGWFSLGGVLLSVAGTEAMFADLGHFTSCSMRIAFSFLVYPCLVVQYMGQAAFLSKNLASVPDSFYNSIPDSVYWPVFVIATLASIVASQSIITATFSIVKQLNALGCFPRVKIVHTSKHVKGQIYIPEINWILMILTLSVAIGFQDTILMGNAYGLACMTSMFITTFLTTLVMIFVWQRNIALATCFLLFFWFIEGVYLSSAFTKVSQGGWVSLVLAFVFLAVMFVWQYGTRKKYSFDLHNKVPLKWLLGMGPSLGIVRVPGIGLVYSELATGVPAIFSHFLTNLPAFHSVLVFLCVKSVPVPYVSPEERFLIGRICPRPYRMYRCIVRYGYKDIQRDDGDFEDLLIQSIAEFIQIEAVESQLSSSENPSLDGRMAVISKKNVQSTSTLIVSEDFGIRDSIQSSKSLTLQSLRSAYAEENPQIRRRRVRFQLPENPGMDPAVKAELEDLIRAKEAGVAYIMGHSYVKARRSSSFLKKFAIDIGYSFLRKNCRGPSVVLNIPQISLIEVGMIYHV >Solyc03g025927.1.1.1 pep chromosome:SL3.0:3:3373644:3373955:1 gene:Solyc03g025927.1 transcript:Solyc03g025927.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELWQTVKDSITVLSPVISSTVCCLGVFWFARSCSPPETSRNGCNHHFSLKRFLKRNWTSMIQRRWQSRVRSVEFLRTGNFRSVLSYYVFWVGFLCKVISM >Solyc02g038707.1.1 pep chromosome:SL3.0:2:31897914:31900072:1 gene:Solyc02g038707.1 transcript:Solyc02g038707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICSGALVVMKAIRRNNNMYHYQGSTVIGTAATTSNDEKEAEMTKLWHMRLRHAGGKSLKTLLDQGLLKGVEFEGKIIFPTQGSNEETTENFPLEGEPVEEEEYLRRVLKRFGMNKKTKSVSTLLAPHFKLSDAMSPNNEAERE >Solyc03g059200.2.1 pep chromosome:SL3.0:3:30128788:30130816:-1 gene:Solyc03g059200.2 transcript:Solyc03g059200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKANVKRGPWTAEEDAKILAYVATHGIGNWTLVPQKAGLNRCGKSCRLRWTNYLRPDLKHDNFTPQEEDCILELHKTIGSRWSLIAKQLPGRTDNDVKNYWNTKLKKKLVNMGIDPVTHKPFAQVFAEYGKISGLPIQSPTNHIQFFNNNINIFSNETTTPHHPLQQNYSTQIYTRETLQTLCFTEQSHLPSSSSTSWNDDFILGDPLTPGTFLSNHPVEQPTCSPAMDSFVDTILARDKQMLMDFPPLLDVYLDY >Solyc09g082660.3.1 pep chromosome:SL3.0:9:68798772:68802403:1 gene:Solyc09g082660.3 transcript:Solyc09g082660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CVP2] MAEKSGHGSILRSEALKKYILETSVYPREHELLKELTQASFDKYKIVSLMGVPRDEGQFLSMLLKIMNAKKTMEIGVFTGYSLLTTALALPEDGKVIAIDPDKDAYEVGLPFIKKAGVEHKIQFIQSQALPVLEKLLNEKEEGTFDFIFIDADKENYLKYHEIVLKLVKVGGVVGYDNTLWFGTVALSEDDPMPPGLKALRGVVREINTFLANDPRIEMSQLSIGDGLTLCRRLY >Solyc07g015910.3.1 pep chromosome:SL3.0:7:5697842:5706366:1 gene:Solyc07g015910.3 transcript:Solyc07g015910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4CCA8] MGKICDKVHGLKPIIMMVFIQIGYAGMTLLYKLASNDGMSLRILIAYRFLFASATVLPLALYFDRKSRPKMTWMVMLLAFLSALFGGSMPQNLFAASLVLTSATFATATLNLIPGITFIIATFFRLEKLDLKTREGKAKVLGTLIGIGGAMLLTFYKGLEIKTWSIKLDLKGHVAASHQIQKPYAHILGPILAICSCFSSAVSLIFQAKMSELYPCHFSSTALMSIMGALQAGVYAISTERDWTQWKLGWNLRLFAVLYAGVVASGLAIIFLMFCVRMRGPLFVSIFSPLMLVCVAIAGSLFLNEKLHLGSVLGGAIIICGLYLVLWGKKQEIRRTSKLTPSINCEESDQEDPIVPSNVIGVAPNFHALNEIVQLSSQQVQKDKESIDLDSKV >Solyc05g055640.3.1 pep chromosome:SL3.0:5:66056501:66059325:1 gene:Solyc05g055640.3 transcript:Solyc05g055640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKHIYTSLFTSPFLHLFELAVFSSLAQLFSTKNA >Solyc02g093110.3.1 pep chromosome:SL3.0:2:54664429:54668641:-1 gene:Solyc02g093110.3 transcript:Solyc02g093110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLAEERKAWRKNHPHGFVAKPETLPDGSVNLMIWHCTIPGKAETDWEGGCYPLTIHFSEDYPSKPPKCKFPPGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHMFIQDVLEYRKRVRLQSKQYPPLV >Solyc12g027550.1.1.1 pep chromosome:SL3.0:12:24139156:24139374:1 gene:Solyc12g027550.1 transcript:Solyc12g027550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTILGIHLILLGIGAFLLVFKALYFGGVYDIWAPGGGDVRKITNLTLSPSIIFGYLVKSPFGGEGLDCNDC >Solyc06g083320.1.1 pep chromosome:SL3.0:6:48819788:48820376:1 gene:Solyc06g083320.1 transcript:Solyc06g083320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDKRENGQNKKQKMKSAVQEEEEYKPIMQELFSQTNTNFMIPIFLDTIESANRSTDLFPLQNKYIEQGEEIPSSVAVEANQSSKVLLSKRKRSNLETVEELPKGSMEEDSENDFDSINLLIRLVETCLELNSEDDMEM >Solyc01g058327.1.1 pep chromosome:SL3.0:1:66262685:66262984:1 gene:Solyc01g058327.1 transcript:Solyc01g058327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKEVANSHLWAKINNVPTSNNDKNSNIPIIDLLAPNVVELMGHECKTWGIFHLARRLFALPAEQKVKVLRSTNGATNHGIT >Solyc05g006840.1.1.1 pep chromosome:SL3.0:5:1473520:1473684:1 gene:Solyc05g006840.1 transcript:Solyc05g006840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFLFGNPKITWSKNFMDARRNGTNSLEGKMMRFKVQTSSKTGKEIFYDLCVL >Solyc04g005770.2.1 pep chromosome:SL3.0:4:492122:494510:1 gene:Solyc04g005770.2 transcript:Solyc04g005770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQIAKLVANGLYKEAINLYSQLHYSSLSPTKFTFPCLFKACAKLKFIPQGQILHSHLIKHGFNTDVYAATSLTDMYMKFGLVESALKVFDEIPQPNIASLNAIISGVSQNGYHVDAFKMFGLFSGLLIRPDSVTIASVLSGCVRIDHGVQMHCWGIKIGVEMDVYVVASILSMYLNCVDCVSATRLFGLVKNKNVVCWNAFISGMLRNGEEEVVLDVFKKMLLDEEPNEVTLVLVLSATANLKNVKFGRQVHGLIVKIELQSRTMVGTALLDMYSKCCCWLCAYEIFKELGGNRNLITWNSMIAGMMLNEQTEKAVELLVELESEGLEPDSATWNSMITGFSLLRKENEALKFFRKMLSAGVVPSVKTVTSLLMVCSSLSSLRFGQEIHAYIFRTENINDEFIVTAIIDMYMKCGQFPLARKVFDQLEVKYDDPAIWNVMISGYGRNGEGEAAFEIFCLMLMEKVQPNSATLNCMLSVCSHIGKLEKAWQVFRLMITDFGLIPTLKQLNIMVDLLARSGRLDEARELLQLIPEPSASVFASLLAASEQFSNAKMGEEMTQKLSELEPENPVPFVILSNLYARQGRWDDAERIRETIDERGLDKLPGYSTPVLLKVIAMSLKAMKPCEGRGTS >Solyc01g099120.3.1 pep chromosome:SL3.0:1:89319317:89323016:1 gene:Solyc01g099120.3 transcript:Solyc01g099120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITEEPDSPSPLKQRKTLKPTSKPSQSTAPEPIKPTKTTNATNPFHFWFYFTISVSLVTLIFMILTSLYTQDPKTWFLSLPLNLRQHYAKGRNIKVQTAPNQPQIEVFAIQEGPSKSSDQVLIVHGLGCSSFAFQKVVNFLGVRGVHAVAIDLPGSGFSDKTMVVEEEHVDDGVLRRLKDMYNEIQEKGIFWGFDQLVEQGYVNYEENKIRVSKRNVVKAVDLGPEEIGKVLGQVIDTMGLSPVDLVLHDSALGLTANWVSENRGLLRSVVVLDSAPTGTALPLWVLEMPVLRDAVLGLGFAFRRLLGTCCSRSVGNLEAEGHRILLKGRDGRRAVVGMGKSLNCSFDLNEWAALDGVKGLPMQVIWSDALSKEWTEEGRQVADAIPQAKFISHSGGRWPQEHNSEEIAESIYQFVSSLPKNVKQTEETVPEHVQTMSDEAKSGDHHHHHGHDSHGHEHAHAGYMDAYGLGQEWAM >Solyc10g007430.2.1 pep chromosome:SL3.0:10:1786456:1788013:-1 gene:Solyc10g007430.2 transcript:Solyc10g007430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKKKSDSEKGSSSLADHMKNKEGDPRMPKLGSSNTRGTSGSSASGSGRSVSSSSSTVLESESAKNGLGEVETARSHLVFQGHRPDEVTSLKLKEVTKHMRRCTEARKRQDWCAMLKEAMEATTSGAVVSSQLFACQTEAHLKLRQINAAQSCLLKTWKYDPSAATYNKSKIFGFSSEAYICYVVALYNVALGQFDTARSAIEKASQHDPENAEVFALLEITSGIALFRAGRYKEACSVYDEAPPTDSMNSFLYCARAACWSKLGEWEKSLADSNQALSILPKNIKALSRRAMSYF >Solyc11g044880.2.1 pep chromosome:SL3.0:11:32330480:32344923:-1 gene:Solyc11g044880.2 transcript:Solyc11g044880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSRGRSSSPSPFHYRKQSNSFSSSNSFMNGGRLMPRSVSSSTTSFIGSASGSRSMTPSRNRTDLAYSRPHGNRSPVNYPSAEELLVNEPVDMSRSGESISVTVRFRPMSEREYQKGDESAWYADGDKIVRNEYNPATAYAFDRVFGPDTSTQDVYEVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDHISPGIIPLAIKDVFSIIQDTPGCEFLLRVSYLEIYNEVINDLLDPTGQNLRVREDNQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVVFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKSSHVPYRDSKLTRLLQTSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQREISCLKEELDQLRSGMLVGVNPVELMTLKQQLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSSKNSIPGYLGDVAGHQRSHSPPEDDKMDSSMLIDGENQKDPSADTSDPKHRRSSSKWNDGISQVGNAITESAQEGISMSDQMDLLVEQVKMLAGEIAFSTSTLKRLVEQSVNDPESSQTQIQNLECEIQEKRKQMRMLEQHIVESGKASVANASFVEMQQTLMKLMTQCSEQSFELEIKSADNRILQEQLQNKCLENKELQEKICRVEQQLAAFKAEQANPSSERCVSDEYIDELRRKIQSQDVENDKLKLEHIQLAEENSGLHVQNQKLSEEASYAKELASAAAVELKNLAAEVTKLSLQNAKLEKELLAAREMSRSRSSNAQTGNVSSRKHGENIRPGRRGRVSGRVSEISGGIHDDFDTWDLDPEDLKMELQARKQREAVLEAALADKEIVEDEYRKKVEEGKKREASLENDLANMWVLVAQLKKENSARQDLKLAADCQLSGEGNMVNPEINVGDNKDLIPDVSQDGVHTNAAAEILKEEPLVAHLKARMQEMKEKDIHLGNGDANSHICKVCFESPTAAMLLPCRHFCLCKSCSLACIECPICRTKIVDRIFAFT >Solyc01g017875.1.1 pep chromosome:SL3.0:1:26023792:26025726:-1 gene:Solyc01g017875.1 transcript:Solyc01g017875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEGHYYFLVMPFALYNALSTFKATMNEVFRMEEHFLHLQIVLGLLRQHQLVAKRSKCQFCQTADDYLGYVISKHGLRVGPIMISAIREWPPPRNVKQVRSFLWFAGYYRRFIHHYAVIAGPLTDLLSFIPFAWTDATQVAFDTLKEKLSDVPVLALSNLTGEFQLVTDASGKGIGAVLSQKRHPRAYFIQKICNKMHKASTYHQVMFVITQAISKWRQYLLGRRYTIYTEQKSLKSLKNQTIHTPEQQILLSKLVGFEFKFMYRPVRGYDLENELKTLYQTNPELTTLQQTVHDQATPNLLFFRGHLVIPADSPLRQTRLQELHDTTVGGNVGVIQQMKDSHQLLVGLLQPLPIPSMVLALLKSNLARAHNPMNGFADKNRRELTY >Solyc01g099870.2.1 pep chromosome:SL3.0:1:89880392:89885215:-1 gene:Solyc01g099870.2 transcript:Solyc01g099870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPFIIGIIGNIISVLMFLAPVGTFRRIVQNKSTEDFDSLPYICTLLNSSLWTYYGIIKPGSYLVSTVNGFGVIVETIYIALFLKFADQKMRVKKYRHPSWDSECGDFSNNSIIGAVHFIWRDED >Solyc12g088640.2.1 pep chromosome:SL3.0:12:64898598:64902467:-1 gene:Solyc12g088640.2 transcript:Solyc12g088640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGGVVNNIFDWYIPPECQSNSSILRLTKGLSWEVAKEPIHQDIDYYAVCGIGPGMSFANFILKNDPNIGLIGLVPCAIGSTNITLWSQGSFCYNQMVNRARIALQDGGTLRALLWYQGESDTLNLDDAESYKSRLEKFFTDARNDLDVPSLPIIQVALATTLGPYMKEIRKAQLRINLPNVKTVDANGLKVGPDYVHLSTQAEAQLGQMMAQAFLEFGSYTIHNSLEVKKKE >Solyc01g103800.3.1 pep chromosome:SL3.0:1:92199596:92201581:-1 gene:Solyc01g103800.3 transcript:Solyc01g103800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:K4B1V5] MSGEDAAVAVPAVETPAPALGEPMDIMTALQLVLRKSKAHGGLARGLHEGAKVIEKHAAQLCVLAEDCDQPDYVKLVKGLCADHNVSLITVPNAKTLGEWAGLCKIDSEGKARKVVGCGCVVVKDYGEETEGLHIVQEYVKSH >Solyc01g068510.1.1.1 pep chromosome:SL3.0:1:77563990:77564502:-1 gene:Solyc01g068510.1 transcript:Solyc01g068510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFNNGSVLLLIIALLFISSMTTSHAARSLLQLPNLPTIPSLPQPTVPQFPAIPNMPTAVTLPPLPSVASLPTFPSVPKMTLPPLPANIPLPNMPSFPNTPNAFTSSIQLISRLPEFYLPCAYGLYCLCFALSLSSINVSYCLYLLECAVLIKVMQYSRFDLPMFIRPI >Solyc09g075570.1.1.1 pep chromosome:SL3.0:9:67693397:67693825:-1 gene:Solyc09g075570.1 transcript:Solyc09g075570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSTRVYRGLKGYWRRRGYQKLNRNNRVDLSTEGSTRKRKFWKIKLTPKLKLKINFKRFSLKKLLIGLRDAYVNAMLRVANTRGFGGEYGGGIDGFGMRRVKEYDEKMLVEIYKSMIIAQGKLGTRAAAKIGPEINVNGN >Solyc01g103020.3.1 pep chromosome:SL3.0:1:91566179:91572235:1 gene:Solyc01g103020.3 transcript:Solyc01g103020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGDEVSIEELASNLSTYKEQLQQVRQLLHDEPDNSEYIDMEKELAESKYYRTGSEACGDCKILELATFKVIALTEELLVTAKQSEDGMGTGTSADASHSFHHSENSDVELGSILDHADKFPVGTKVQAVYSEDGEWYDATIHAHTPNGYYVCYDEWGNKEEVDHANIRPLEEASVNPLVEAEKIAEATKQALKRKIAQAASSDIQSRSLPAKLLIDPNDPEDVKAAKKKKIHAFKSKVRIEQLEVAQNKRQNAWQQFQSTKGQTKKVGFFSGRKRESIFKSPEDPHGKVGVTGSGKGLTDFQKREKHLHLKGANSEASDE >Solyc07g014750.1.1 pep chromosome:SL3.0:7:5250275:5250930:-1 gene:Solyc07g014750.1 transcript:Solyc07g014750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLMNIYLISTARVKISQTSHGKPVHKFYWVLDKKTVIEHITPSNGVEKPLPPPTKLNITTFDRIPHMMLDSAVEIDILTIVLRCGPQKYAGRSHHKCREIIICDNQKNQFLLTLWEDFGEIEGNEIEAKMEKETDLIVIRCNRKK >Solyc10g018770.1.1.1 pep chromosome:SL3.0:10:10115262:10115429:1 gene:Solyc10g018770.1 transcript:Solyc10g018770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLYKLSQKLDEISCDNALGDLAINSQSFFIVNFPHNKLNFGEGVDLTMLHPLH >Solyc09g015613.1.1 pep chromosome:SL3.0:9:10501921:10504364:-1 gene:Solyc09g015613.1 transcript:Solyc09g015613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDKVLIYPLHIYFGYHCNWKTTDIVKQVKVIVPFRDGWFSLNIPNGNGNLDMAFLFVSWANFYSFNYYMTIQMVAGSLFQPTRCPLVVAMLGNWTHTSIGNLTGYIFASILFELRIGIVFIFLPVLFVIILGNKDAVLSKHKRESVVGFREFLGAYTFLYHASGTIFMSSFFDVKGLVGGILIHHVSQLHNIPSSNSPSIEESLDIIFGGPSVDQDQSSHYNPAGEDVYKGVSKVVFVVLMGAAFVVGLFMTF >Solyc06g050270.2.1 pep chromosome:SL3.0:6:32919126:32937879:-1 gene:Solyc06g050270.2 transcript:Solyc06g050270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGNVVMQKYELGRLLGKGNFGKVYYGRDLESGQTVAIKVQKSELTEQTKREISIMAMVKHPHVVQLYEVMATKSKIYFVIEQAMGGELFNKLTKGRLKEDAARKLFQQLINAVEFCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSALAESKRQDGLLYTTCGTPAYVAPEVIGRKGYEGAKADIWSCGVILFVLLAGYLPFYDSNLIYLYRKICKAEYKCPNWFPLEVCKLLSRIFDPNPHKRISIAKIKASSWFKKGLGSKQVVNQNAIADGDAVSSSNNTKLELIKPASVSAFDIICWFNLSGLFINDDQKEELRFTSVKPVPVIISKLVEVGKSLNLEVMKKEVGFLMLEGLNESRYETVCIGVQIFEISVSRYFIELSRSSGDATDYQNMLTQTIRPALEEIVQAWQGQGNFGKVYYGRDLERGQAVAIKVIDKEKVQKSELTEQTKREISIMAMVKHPHVVQLYEVMATKSKIYFVIEQAMGGELFNKLTKGRLKEDAARKLFQQLINAVEFCHSRGVYHRDLKPENLLLDENGNLKVLDFGLSALAESKRQDGLLYTTCGTPAYVAPEVICRKGYDGVKADIWSCGVILFVLLAGYLPFYDSNLIYLYRKICKAEYKCPNWFPLEVRKLLSRIFDPNPHKRISIAKIKASSWFKKGLGSKQVVNQNVIADGDAVSSSNNTKLELIKPASISAFDIICWFNLSGLFINDDQKEELRFTSVKPVPVIISKLVEVGKSLNLEVKKKEVGFLMLEGFNESRYETVCIGVQIFEISESRYFIELSRSSGDAIDYQNMLTLTIRPALEEIVQAWQVMQKYELGRLLGQGNFGKVYYGRDLESGHNVAIKVIDKEKVQKAELTEQTKREISVMAMVKHPHVVQLYEVMATKSKIYFVIEQAKGGELFNKLTKGRLKEDAARKLFQQLINAVEFCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSALAESKRQDGLLYTTCGTPAYVAPEVIGRKGYEGAKADIWSCGVILFVLLAGYLPFYDSNLIYLYRKICKAEYKCPNWFPLEVRKLLSRIFDPNPHKRISIAEIKASSWFKKGLGSKQVVNQNVIADGDAVSSLDNTKLELIKPASVSAFDIICWFNLSGLFIDDDQKEELRFTSVKPVPVIISKLVEVGKSLNLEVKKKEVGFLMLEGLNESRYETVCIGVQIFEISVSRYFIELSRSSGDAIDYQNMLTQTIRPALDEIVQAWQGVQSHQQSLK >Solyc02g078360.3.1 pep chromosome:SL3.0:2:43641708:43647455:-1 gene:Solyc02g078360.3 transcript:Solyc02g078360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGSVKEVQSKAELDKIVADGSPAILHFWASWCEASKHMDQVFSHLSTDFPHAHFLRVEAEEQPEISELHSVSAVPYFVFFKEGKAVDTLEGADPSSLANKVAKIAGSITPGDPAAPASLGMAAGPSVLEAIQELSRENGAPQVSSSGLDDRLTKRLQQLVSSHPVLLFMKGTPEEPKCGFSQKVVDILKKEKVKFGSFDILMDSEVREGLKKFSNWPTYPQLYCKGELLGGCDIVITMHESGELTDVFKDHGVGVSDSLETKPNKTAGGKGGISEQSGLSTALTTRLAGLINSSPVMLFMKGTVDEPRCGFSRKVVDILKQEKVEFETFDILSDDEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGEFRKVLTEKGIHQKVSLEDRLKNLLNSSPVMLFMKGTPDSPRCGFSSKVVNALKEEGVDFGSFDILSDEEVRQGLKTFSNWPTYPQLYYKGELVGGCDIVLELHSGGELKSTLSE >Solyc11g005150.2.1 pep chromosome:SL3.0:11:138523:140798:1 gene:Solyc11g005150.2 transcript:Solyc11g005150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPSRGVLISFFVITLLSYQISYVVGQEGDSDIGLDDIKANASKLSFENSRIRDAYIALQYWKTAMFSDPFNFTANWTGPNVCSYGGVFCAPSLMDDSIRVVAGIDLNHADIAGSLVAELGLLTDLVLFHLNSNRFCGVVPKTFSHLKLLRELDLSNNRFVGGFPKVVLSLPSLKFLDLRFNDFEGPVPSGLFDKDLDALFLNDNRFRFGIPENLGNSPVSVLVFANNDLGGCIPASIGKMGKTLNELILMNDNLTGCLPMEIGLLNKLTVFDVSFNKIQGSLPSTVSKMRSVEELNVAHNKLTGVIPASICQLPRLQNFTYSFNYFTGEAPVCAATRSGDGQENCIVGKKNQRSAKECSSDDAKPYDCRKSKCYSPFATSPSTKPKPKPKPRGPPPPTWKSSGSHNKRSPPPPKSTPLPPPAPYKKSPTYQHRSPPPPTHKISPVTHHSPPPPSPVYYHPSPSSPPPPVYYSPPPPVVVV >Solyc08g066245.1.1 pep chromosome:SL3.0:8:54809371:54811766:1 gene:Solyc08g066245.1 transcript:Solyc08g066245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKEFDLTIVPTEGEIDAPSSPRKNLCLSVMESDIKNETSFQELDMILTQYLETLSERKKYHIGYPINMCYEHHATLAPLLQFHLNNCGDPFTQHPTDFHSKDFEVAVLDWFAQLWEIEKDEYWGYITSGGTEGNLHGLLVGQKRATS >Solyc05g052430.2.1 pep chromosome:SL3.0:5:63505248:63533590:-1 gene:Solyc05g052430.2 transcript:Solyc05g052430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGSYPNRHLLCLFPLIFVTIITSVYGTCQFSFEQDNKLYNYSLTKPIRNFPHGILSEDGFYKVAVNGTVLWFQLCDAMIFNHDPPTCVECGDCGGISRCGMGCSALVANIIGGNTTEVMDKTDPLKGITAKLFNRGSKSNCSLAVSIVCDTNGVQGPQTLELVGTCDYVSTLNVYVSISLCSIGNKVICLGMGSHIMMISYSLAWYKMDLQTKIVNGISGANSLGDGRILFFLGVYLLGGAVYRYYSLGIRGIDIIPNLEFWASLPHTLQSSFTSLVRRFRGPSHEKNKKLAFAIGETKEGCNVFNGKWVWDEKRPLYEESECPYIQPQLTCQEHGRPDRNYQHWRWQPHDCSLPSFNATLILETLRGKRMLFVGDSLNRGQYVSMVCLVHRLIPENAKSMKTIGNFDVFTIKDYNATIEFYWAPFLLESNSDDAVKHRIEERVVRKEKSKEKLPFAIGETKEGCNVFNGKWIWDEKRPLYEESECPFIQPQLTCQEHGRPDKDYQHWRWQPHECSLPSFNATLMLETLRGKRMLFVGDSVNRGQYISMVCLVHRIIPENAKSMNTVGSFDVFNIKDYNATIEFYWAPFLLESNSDNPGKHRIEERVVRKDSINTHGKYWKGADIIVFNTYIWQGSFDDKVKDIVEVSTEEAYRMAMKSLLRWIKKNMDPKKTRVFFSSMSPSHERSIDWGGEPNKNCYNETKMIEDPNYWGSDCRKGIMQVISQEFGKSKMPISFLNITQLSSYRKEAHTTIYKKQWEKNKDDALPPFAIGEGEKECNLFNGKWIWDETTRPLYNESECPYLLPQVTCQEHGRPDKDYLYWRWQPNDCLIPRFNASLMLENLRGKRMMFVGDSLNRGQFSSMICLLHKIVPNSAKSLDKVDSLIIFTVKDYNATIEFYWAPFLLESNADSPWKHTVPNRIVRKNSIDTHGKYWKGVDILVFNTYIWWMSGRTFKILNGTFDDDNMKDIEDVPTSDAYRMGMKNLLTWINKNMDPKRNRVFFTSMSPSHAWSKEWGGDPNGSCYNETKMIDDPNYWGSDSRKSIMQVIKEEFSKSKVPITFLNITQLSMPRRDAHTSIYKERMGPLSPQEKAKPASYADCIHWCLPGLQDVWNELLYAKLFYP >Solyc07g024093.1.1 pep chromosome:SL3.0:7:24232192:24234081:1 gene:Solyc07g024093.1 transcript:Solyc07g024093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTQNPCLDMPPPILLSLDSNLPKSSINDTAAYFTSGSHPILIRYVIDSLGSQFSLKYLAYLEYFLDIEVKKVQDGLILSQSKYILSILSEFSMKNCKFVLTPMCSGKLPRATDGSPPAKAYILQAHPW >Solyc07g055360.1.1.1 pep chromosome:SL3.0:7:63546751:63546978:1 gene:Solyc07g055360.1 transcript:Solyc07g055360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEPNFTGMLTRFSYNELRIITEDFSRKLGEGGFGSVYEGTLSNGTKIAVKRLDGLGHVMDSFLTEVNIVGGIC >Solyc06g054070.3.1 pep chromosome:SL3.0:6:37008858:37014466:1 gene:Solyc06g054070.3 transcript:Solyc06g054070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHRYEMSLALIVFAVIWTGVIAQESDDCTNVWVSMSPCLNYYADSTSPQFSGCCTQLSTVVDEKSECLCQVLKDLGLNINQTRLSALTTACKVQTPPASNCNGRGSASQGGPNDATSTNMAAPFSFFFLLIASYASIINIT >Solyc10g009030.3.1 pep chromosome:SL3.0:10:3046091:3048589:1 gene:Solyc10g009030.3 transcript:Solyc10g009030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDFFWSYTDEPHASRRRQILSQYPQIKQLFGPDPFAFLKISGVVLLQLWTATFLHDATWLKILIVAYFFGSFLNHNLFLAIHELSHNLAFSTPTYNRWLGIFANLPVGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSQTEAHVVKNVLAKSIWVILQLFFYAFRPLFLKPKPPGLWEFINFTIQLSLDGAMVYFWGWKSLAYLILSTFVGGGMHPMAGHFISEHYVFKPEQETYSYYGPLNLMTWSVGYHNEHHDFPRIPGSKLYKVKEIAPEYYENLDAYKSWSQVIYMYIMDRTVGPFSRMKRKLSTKANKSE >Solyc05g018700.3.1 pep chromosome:SL3.0:5:22836817:22842493:-1 gene:Solyc05g018700.3 transcript:Solyc05g018700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFLVLAALLAVTAFAAENEVVWTLDHTNYSQIISQHKMIVVQFYAPWCGYCQQLEPKYEEAASVLSNHDPPVILAKMDTTILENAELARNFTINGVPSIKIFRNGGKTVHDYKGTRETEGIIAYLKKHGGPASLEIKTEKDAATFIDEKKIVVAGIFPQLSLEKIINFTTLAEDLRVDYDFVHTLDAKLLPRGGLVDKPTIRLLKPYDELYADFEDFQIEAMQNFIEETSVPIMAILDDNPENQQFVNHFLHSPGDKVFLFLNFSTDLDAFKIKYYDLALSYKGKETNFLLGDAESGKKALQYFGLDTDQTPLIFVLTMGSTKYVQRHVQPDNLASWLKDCKDGKLKPYLKSQPIPEFNNETVKVVVAETLEDMVFNSGKDGFTVGVLSTWV >Solyc09g082190.1.1.1 pep chromosome:SL3.0:9:68422074:68422424:-1 gene:Solyc09g082190.1 transcript:Solyc09g082190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIELAKCECCGLKEDCTQDYISQVKENFDGKWLCGLCSEAVRDEVNRGKKQFLCMEDALKAHMSFCRKYKSNPAIKVADGMRQMLRRRSDLTSSSSSNSSNSKKYSRSTSSISYY >Solyc11g065710.2.1 pep chromosome:SL3.0:11:51559076:51561191:-1 gene:Solyc11g065710.2 transcript:Solyc11g065710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKFKYDHGNVTDLLNGLSFVGAILLLCIGFIKGSECDETCYKPLQDGITPFANAGFLGNLSFWWLNPLMKKGKNKILEDEDVPHLRSADGAGTCFDQFNEKVDMLKRKDPLGKPSILMAILLCHKKSILISGVFALIKVLTLTTGPWLFLAKCLESLAERQWLFRSRLIGLQVKSSLTAAIFHKQLHVLNAAKKTHSPGQVMNYVTVDAHKIGEFPFWFHQIWTTFLQLVLVLCVMYYSIGVAASAALVIVIVTVVANSPLSKLQLKYQTNLMIAQDKRLKAITEALAHMKVLKLYSWEKHFMDAISKLRSEETKWLSFVQTQKGYYLVLFWSSPILVSSATFVACYLFGVPLHVTNVFTFLASINLVQQPIRNLPDVVGAFIEAKVSLSRIVKFLEEPDMHTRDMKKKRQDDVNICINCTDVSWEMNTLKPTLNDINLDIKNGEKLAVCGEVGSGKSTLLSLILGEVPYINGTVDVYGKIAYVSQTAWIQTGTIQENILFGSNMEPKRYRQAIERSSLVKDLEMLPFGDLTEIGERGNNLSGGQKQRVQLARALYQDAHIYLLDDPFSAVDAHTSTNLFNDYVMGALSGKTVLLVTHQVEFLPAFDSILVSNLHEELC >Solyc03g063497.1.1 pep chromosome:SL3.0:3:36823842:36824913:-1 gene:Solyc03g063497.1 transcript:Solyc03g063497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIISAKIINVETVPYKMLLPFPLSFPALLIFPSNAGRAATGAGGRNLREDACLLGSTKGGRCGGGRKTLSEIEIFFIKNEEGRGWTTSSGLTPLSSFRPSYWDSNLRDFPALSNKKFQLGPGKRWQQLKGRAAVSAPFLVNGAQQVRHLLQKRESTSDNHISARQRRALFSLKIPRSLSCEFPSSEFQTCGALSIPSLEPRRKPPTLRTLYIF >Solyc10g083560.1.1.1 pep chromosome:SL3.0:10:63466591:63467184:-1 gene:Solyc10g083560.1 transcript:Solyc10g083560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGANNGSGSGSGSESGRHPVYKGIRRRKSSGKWVSEIREPRSPNRIWLGTFPTPEMAAIAYDVAALALKGRDTELNFPNSAPSLPVPATNSPRDIQTAAACAAAAIGAAGDALIGGRSNNSNSNSNSRTVSRDVEDNYLIPNNNEYNFMDEDLIFDMPNVIMNMAEGMLLSPPRLNHLPPDDDYIGGADQNLWNYP >Solyc06g069710.3.1 pep chromosome:SL3.0:6:43535369:43537895:-1 gene:Solyc06g069710.3 transcript:Solyc06g069710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSGVVKDDDQMELPPGFRFHPTDEELITHYLSNKVVDTNFVAIAIGDVDLNKVEPWDLPWKAKMGEKEWYFFCVRDKKYPTGLRTNRATAAGYWKATGKDREIFRGKSLVGMKKTLVFYKGRAPKGEKTNWVIHEFRLEGKLSLQNLPKTAKNEWVICRVFQKSSGGKKIHISGLLKLNSNENEMGNSFLPPLTDSATATASKSSHVHCFSNFLTAQNNCFPLLSNPMDSYPTTSLVPNTFSCNQIAPFTTTNNPASFGVQDPSILLRTSLDSYGLNFKKEDIFNVPQETGVISTDMNTDITSVVSNLEMKRRFLEDQVPSAGMVGLQGLDCLWSC >Solyc10g048000.2.1 pep chromosome:SL3.0:10:43377519:43380897:1 gene:Solyc10g048000.2 transcript:Solyc10g048000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIPYKINHVGVIERVRELFKGYPSLFFGFNAYLPNGYEIMLSDEEKASLKNATNYEEERNFVENIKCFGNDNEYKLFTDIMMMYKKERKDLNEVYHEVEVLFNDHHDLLDEFSEFLKDSVSPNPLSSLLLVLDPLLPCGYDITLNDEVKPLKKSIHFEQVFNFANKIKKHLVNNHAYKSFLDITNKCRKERKDVKDVYHEVSVLLNDRPNLLDEFFGFLPDSVTTNIMSNLDGNKNL >Solyc11g067150.2.1 pep chromosome:SL3.0:11:53182570:53186812:-1 gene:Solyc11g067150.2 transcript:Solyc11g067150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSQQTMEETILVGDDLMMGPPSPVIPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDEIALYRQCAERRDKELRRRLQDSEQKLGMSMPLDQAKERATQLESEVTSLERHLILASGVEGMEGFRQRWSLHGRVTDTKRRLEALKGGMENRKKDEPAENISAKKNWSFWWKQ >Solyc04g081570.3.1 pep chromosome:SL3.0:4:65603136:65608754:-1 gene:Solyc04g081570.3 transcript:Solyc04g081570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWKIPFVLFLLCLIYLVPDQGRKIQANAEADSDAPVDPPKVEEKFGAVPNGLSTDSDVAKREAESMSRKTLRASAEKFEFQAEVSRLMDILINSLYSNKDIFLRELISNASDALDKIRFLALTDKEVLGEGDNTKLEIQIKLDKEKKILSIRDRGVGMTKEDLRKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNDDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLRDEAGEYLDELKLKELVKKYSEFINFPINLWASKEVEKEVPADEDESVDEEETSESTSSEEEGEEEDAEKAEDEKKPKTKTVKETTYEWELLNDMKAIWLRSPKEVTDEEYNKFYHSLAKDFSEDKPMAWSHFNAEGDVEFKAVLFIPPKAPHDLYESYYNTKQSNLKLYVRRVFISDEFNELLPKYLNFLMGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKLAEEDPDETNDKEKRDVEESSDENEKKGQYAKFWNEFGKSIKLGIIEDATNRNRLAKLLRFETTKSDGKLTSLDQYISRMKSSQKDIFYITGASKEQLEKSPFLERLNKKDYEVIFFTDPVDEYLMQYLMDYEDKKFQNVSKEGLKLKDSKTKELKESFKGLTKWWKNTLASDNVEDVKISSRLADTPCVVVTSKYGWSAYMEKIMHSQTLSDASKQAYMRGKRVLEINPRHPIIKALRERVVTDPEDESVKLTAKLIYQTALMESGFDLSDPKDFASHIYSSVKSSLNISPDATVEEEEDEAEEPETETKAEEESASDESELKDEL >Solyc10g051397.1.1 pep chromosome:SL3.0:10:52010891:52014632:1 gene:Solyc10g051397.1 transcript:Solyc10g051397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEVAEHEEQPDDIVDQGEQLGDNTEQMEYPEEEQSQPLRRSERQRVESTKYPSSEFSDNNFIILLLYVDDMLIVGQDKELIAKLKKDLSKSFDMKDLGPAQQILGMKIVRERTKRKLWLSQEKYIKRVLERFNMKSVKPVRTPLASHLKLSKQMCPTTKEEKEGMAKT >Solyc04g082250.3.1 pep chromosome:SL3.0:4:66066671:66075568:1 gene:Solyc04g082250.3 transcript:Solyc04g082250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAVLSSNFLGSQIFVSPPTPKTSRYFHLHSRRKYIVPQSILSKKSNSDNFKNVPSKAAIAALLFSSITPQAFALDNTTPAAPPQVIEAEAPKPSASNSLPFAQNIILNAPKTQAQPASDLPEGTQWRYSEFLNAVKKGKVERVRFSKDGSTLQLTAVDGRRANVIVPNDPDLIDILAMNGVDISVSEGEGGNGLFSVIGNLLFPIIAFAGLFFLFRRSQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVRILQVHSRGKALAKDVDFDKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQDNVTTGASNDFMQVSRVARQMVERLGFSKKIGQVAIGGGGGNPFLGQQMSTQKDYSMATADVVDAEVRELVEKAYERATQIITTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYIS >Solyc11g064750.2.1 pep chromosome:SL3.0:11:50197536:50205783:1 gene:Solyc11g064750.2 transcript:Solyc11g064750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVCSSTSISKFILDQPYLHMLETKCTTMTDLKKIHAHLIKSGLIKDKIAASRVLAFSAKSPPIGDINYANLVFTHIENPNPFTWNTIIRGFSESSTPQYAIHLFIEMLNNSQVQPHLLTYPSVFKAYARGGIAKNGAQLHGRIMKLGLEFDTFIRNTLLYMYASCGFLVEARKLFDEDEIEDVVSWNSMIIGLAKSGEIDDSWRLFSKMPTRNDVSWNSMISGFVRNGKWNEALELFSTMQEENVKPSEFTLVSLLNACGHLGALEQGNWIYKYVKKNNVELNVIVVTAIIDMYCKCANVEMAWHVFVSSSNKGLSSWNSMILGLATNGFEDDAIKLFARLQCSILKPDSVSFIGVLTACNHSGLVEKAKDYFQLMKMEYGIEPSIKHYGCMVDILGRAGLVEEAEEVIRSMKMEPDAVIWGSLLSACRSHGNVELARWSAENLLELDPNESSGYVLMANMYAASGLFDEAMNERISMKEKHIAKEPGCSSVEINGEVHEFASGSTFMVEI >Solyc04g074950.3.1 pep chromosome:SL3.0:4:60922802:60926379:1 gene:Solyc04g074950.3 transcript:Solyc04g074950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGDSKTHQRKPRFLCLHGFRTSGKILKKQIFDKWPTEVVDKLDLVFVDAPFPSQGKSEVEGIFDPPYYEWFQFNKEFTEYENFDKCLEYIEECMIKHGPFDGLLGFSQGAILSGALSGLQAKEVGLTKASKIKYLIIIGGAKFRNKSVAEKAYSPAIACPSVHFLGEQDYLKQYGIELLESCVDPVVIHHPRGHTIPRFDEKGSESMLSFIEKVQEEINK >Solyc05g045900.1.1 pep chromosome:SL3.0:5:58466922:58467925:1 gene:Solyc05g045900.1 transcript:Solyc05g045900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQPPPTLSVGQTTPLSQQTSYWSLYCSSKWYLMSRQTGQKLLITQRLLQSFRYQPVGRGLTFSLLYKYDYKSTANPFEQDSCKLGSVMLEEEVRYFFNRKIWSSRSSISPEFIDCSVRIYNGKTIVRCKITEGKVCYKFGEFAFTHKGRPLRTNIGPGRKKGKK >Solyc08g045643.1.1 pep chromosome:SL3.0:8:17830720:17831596:-1 gene:Solyc08g045643.1 transcript:Solyc08g045643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLVYVDDILVMGSNSGHIAALITKLKTQFAVRDLGKFSYFLGIQANCKPGGLHLSQGKYVMDLLNRVQMGSCSPVSAPNSSSYKLSNTGGCPFLDQTLYGSTVGALQYLTFTRPDIAYAVNEVHNLCTVLWSLIWLPDSDRGGDVDDHKSTTGLAIFLGSHLICWASRKQRVVSRSSTEAEYRALAAATFEMTRVEHLVRAKNLSVKYMSTHDQ >Solyc12g056550.1.1.1 pep chromosome:SL3.0:12:63454758:63455894:1 gene:Solyc12g056550.1 transcript:Solyc12g056550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATDFSTFGRSILSLRRDQQVHSMESGGHEGTSHELELEAFQKQVAERFNDLASADSDQLLSIPWIHKLLEVFLSCQEQFKSVVSKNKGLLNKSPADRYVMEYYERSVKALDVCNAIRDGIELIRQWQKQLEIVYYALDNQRSIGEGQIRRSMKALIDLAIGMIDEKESNSSFAHRNRSFGRNNAQKDNNSLGQFRSLSWSVSRNWSATKQLQAIGQNLVAPKNNEISATNGLATAVFTMSYVLYFVMWTLVAAIPCQDRGLQTHFHVTRQFVWAAPVLTLHDRILEESRKRERKNVCGLLREINKIEMCARHMNELTDTIHFPLSEEKEGEVKQRVQELGIVYYGLKDGLGPLERQVREVFHRIVRSRTEGLDSIG >Solyc05g052950.3.1 pep chromosome:SL3.0:5:63971896:63979309:-1 gene:Solyc05g052950.3 transcript:Solyc05g052950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSELGEGSMKMEVIEKEKLVYMWGYLPGAMPQRSPLLSPIIVGVPQQGIGNAGNYSWKDVCGGGCGFAMAISDSGKIITWGSTDDLGQCYVTSGRHGEIPEPFPLPDEISIVKAAAGWAHCVAVTETGEVYTWGWKECIPSGKFLGEQVVDKEVSDGQSSFPAQQVSPHPQGSRSKVGAAPGIETRGGEDGAKRRRVSSVKQPAESSSSGDEGLSALPCLVTLNPGVRIVSVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPVPCIDSSSLRKERAIGLSHGCPGSEGQGLRVPGNYIKRIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIESVAAGLWHTVCISADGDVYAFGGNQFGQLGTGAEQAETLPRLLDAPSLENMHVKVVSCGARHTAVITGDSKVFCWGWNKYGQLGLGDVIDRNIPSQVSMDDHVPITVACGWWHTLLLAESPT >Solyc06g035490.3.1 pep chromosome:SL3.0:6:24468359:24470013:1 gene:Solyc06g035490.3 transcript:Solyc06g035490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFHSIFPLQPDDDANYDSHQQQLIFPHETYTNPCNFIYQQDLVNDFASFDSLELRDNNLTFNNNTNNNQKGKSCGVEDKKKHKKVMHREIERQRRQEMSTLYASLRQQLPLENIKGKRSTSDHILEAANYIEQLQKNVKNLEEKREKLMKDSTGLSNVDGINKSGRSTSRHCSPPAIVTVKECLDGMEILVNCGLGFRLSRVLQVLLQEGLSIVNCSSTKTNTSSLLHTIRTEVSSTDQPRIINVDVIQQKLTDIIHDDTSFK >Solyc03g031700.3.1 pep chromosome:SL3.0:3:4191117:4194221:-1 gene:Solyc03g031700.3 transcript:Solyc03g031700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVTNGISCFSPQPITEQPKLSKGSQFLLKLANPRNPNSRILKVKAAELSYEATIDSPGKESSVSTSNENDPLQKFLKRDYKWGFNQEIDSFSLPKGLSAETVKLISGRKNEPNWMLEFRLKSYEKFLKMKEPKWSDNRYPEISFQDICYYSEPKKKPTLNSLDEADPELIKYFDKLGVPLNERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIREYPDLVKKYLGRVVPPEDNFYAALNSAVFSDGSFVYIPKNTRCPMQISTYFRINAMETGQFERTVIIAEEGSFVEYLEGCTAPSYDTNQLHAAVVELYCHEGAEIKYSTVQNWYAGDEEGRGGIYNFVTKRGICAGARSKISWTQVETGSAITWKYPSVVLEGDESVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISAGHSRNCYRGLVQVLSSADNAKNSSQCDSMLIGDTAAANTYPYIQSKNPTARIEHEATTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >Solyc02g024055.1.1 pep chromosome:SL3.0:2:25361727:25362579:-1 gene:Solyc02g024055.1 transcript:Solyc02g024055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAALAADWVQARSRKSLFFNVKLNVKGFQIMVDTGATHNFVTEERAKGLCLNYVACDTFLKTANALPTTVHDFAPKVPIDLGVWKRVKPHAIMPYHITLLELKELRKQLKELFDPGHIRPSMAPFKKGKGIAFVIDNQALNKVEFKKKYLIPLIAHLFDRSGQAKLFIKMDLSKGYYQVHTRR >Solyc07g005380.3.1 pep chromosome:SL3.0:7:290986:292561:1 gene:Solyc07g005380.3 transcript:Solyc07g005380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTISDEIEVNVPANVAWELYGTLHLSRFIVQELPTLLNKVDVIEGDGSTGTVLKITFPQGTSGIPYFKERFNIVDNEKRYKQSQVIEGGYVDLGYIFYGIRFEVNEKDENSCITKFTVNYEVEDVKLANHAFTMFEPLQTVIRSAKTYLTNKHTSN >Solyc05g015095.1.1 pep chromosome:SL3.0:5:9891053:9893792:-1 gene:Solyc05g015095.1 transcript:Solyc05g015095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDKFKTVLEAMNSKVNVINDDQQSPIGDIHHQPTYTPHEPQSQSANLTEQEATFEDVMQETHITRVHQLNTKSSQLGAQKNPIGHPSALKDRELGDNLHELNQNSPLLDQVVLGDNLNDVSGTASQDQLVLYANVDAQQNAQRETESSSNSRVIYNIYNAASHERIAEAEESIIVAAPIQMVYMPDSNQDTVVTESQDELPDHLLPSVNTLQNIVLQKQVEAEVTPMPAVRHRRPGPFNISPYMTSFGSDAATIDNKNWFYNIGDCGVYVASYAEFLSERKDIPADLDPEEIRLRYGELLWNYGNQKIQARAVSDSEAPLKPVRNRTENNSSERITIH >Solyc09g007500.3.1 pep chromosome:SL3.0:9:1075012:1077711:-1 gene:Solyc09g007500.3 transcript:Solyc09g007500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEDHDNVKNMAKDVEVKGFNPGLIVLIVVGGLLLTFLVGNYLLYMYAQKTLPPKKKKPVSKKKMKKERLKQGVSAPGE >Solyc02g065380.3.1 pep chromosome:SL3.0:2:37130688:37136466:-1 gene:Solyc02g065380.3 transcript:Solyc02g065380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMDYLAMKTDEDTNKLINEDLNELKLAAEKLFDHATKLGGLGFGTSLLKWFASFAAIYLLILDRTNWKTNMLTGLLVPYIFFSFPAVLFHFFRGEVGKWIAFVAVVLRLFFPRHFPDWLEMPGSLILLLVVSPNIFAHTFRDSWIGTAICLLIGCYLLQEHIRASGGFRNSLTQSNGISNTVGIILLLVYPIWALVLRAV >Solyc01g009100.3.1 pep chromosome:SL3.0:1:3046068:3049607:1 gene:Solyc01g009100.3 transcript:Solyc01g009100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTIRSSKAKLVIIANNCPPIRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSLPSDQ >Solyc02g092270.3.1 pep chromosome:SL3.0:2:54041499:54046509:-1 gene:Solyc02g092270.3 transcript:Solyc02g092270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLRRLATHSSQAAWRSSVTPLCRQFASEALVEIKPGEIGMVSGIPDEHLRRRVVIFSPARTASQQGSGKVGRWKINFMSTQKWENPLMGWTSTGDPYANVGDSALSFESEEAAKAFAEKHGWEYTVKKRHTPLLKIKSYADNFKWKGPPKAAE >Solyc03g025660.1.1.1 pep chromosome:SL3.0:3:3122014:3122439:1 gene:Solyc03g025660.1 transcript:Solyc03g025660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLIVFSLVILSIFVHTTNAALTAGFTVHIINALPNNDVPFSVQCESKDDNLGLKTPKVGEDYNFSFRGNLLGTTRFYCHYSWGSKKQLFDVFNSQMYKSRECGNISGNDYECFWKVQEDGFYFAGHNSPESYSKKYDWN >Solyc04g071870.1.1.1 pep chromosome:SL3.0:4:58968468:58969613:1 gene:Solyc04g071870.1 transcript:Solyc04g071870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLQAFIAAASSFVAVLLIFASLYIFCRNPIKRRPIEEETRNHPRSRNRNIRAIASSNTDLSSITVTESATFDPNLDQLSMKQLADATRNFSPELIIGDGSFGMVYKATLTCGKTVAVKKLSADAFQGFREYRAEMETLGNIKHKNIVKMLGYCSTGSDRVLIYEFIEKGSLDQWLYDTSSTSDMLEWMPLHWETRTKIVKGVAEGLAYMHNLDTPIIHRDIKASNILLDANFNAYIADFGLARRIQGSHLHVSTQVAGTMGYMPPEYINGAPMAKTNGDVYSFGVLMLEIVTGRRPNFPFKGEDGCEIRLVNWISGMVEQERFMEMVDDNLSKDELKESAVVEYFRIAMMCANENCHARPPMKEVVKILDGISTFISS >Solyc04g007590.2.1 pep chromosome:SL3.0:4:1271504:1275135:-1 gene:Solyc04g007590.2 transcript:Solyc04g007590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFEKWNAVSAMIVVEFALAIVNALFKKVLNRGMNQLVITTYRFSISTIVLTPIACFLERNFISNLTARVVCSLFFSALLGMRKTSVFFRGTLTQYFFLIGLKYTSATFSCAFINMVPINTFIMSLLLGQENINMKCKSGRAKALGTLCCLGGALILTLYKGMPLIDQSTPEVEVNHNVKSWIVGSSFLFAGSVVWSSWFLIQARIGKDYPYQYSSTAIMSFLGAIQSAILSLVIDRNTSTWFLKGTLEISTVIYAGVVGSGLCYVVMSWCVKQKGAVFTSAFSPLIQIFAAVIDISILHEQIHLGSILGSILVIIGLYILLWGKSKDTQNLKNSPTTEKNVQPTLPVTSSPSHT >Solyc09g091280.3.1 pep chromosome:SL3.0:9:71047359:71054213:1 gene:Solyc09g091280.3 transcript:Solyc09g091280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEELKNHSNSEENGSVDSLEVRFSDFCKNGLSMGESFMVEARKLFMDSKHLLLSNNAAIGAITPEEVERYWFVFVLFSVKRLSENEARNSSNGNEGNGFDLCQILRGAKLNVLDFFKELPQFIVKVGPVLSNLYGSDWEKRLQVMMTWRVNLMFCSYCKGIADQLCAFEPFKQDVAFCGTSDNNFTAFRYYKRAYQELFLSSDNNEVNQSATSNSIVLLPQYYRFGWLLFLSLREHVFSRFKDLVTCTNGLVSVLAILIIHVPVCFRNFNINDSPRFVKKGDKVDLLVSLSSIYQTSIDDLRETMDKVNNLITVKLKKELCLASECRAKNLDNIDADGLTYFENLLEESSLSSSICILEKDYNDAIQNKGELDERIFVNDEDSLLGSGSLSGGAVNMNGIKKKFDAMASPTKTITSPLSPYRSPGASNVNSNLNCGNSKMAATPVTTAMTTARWLRTVIAPLQAKPSPELERFLSACDRNVSADVIRRAHIILEAIFPSSGPGEHCAAGSLQSTSLMDNIWAEQRRSESLKLYYRVLQTMCVAESQILHVTNLTSLLTNERFHRCMLACSAELVLATHKTVTMLFPAVLERTGITSFDLSKVIESFIRHEESLPRELRRHLNSLEERLLESMVWEKGSSMYNSLTVAKPSLSAEINRLGLLAEPMPSLDAIAMHINPSLGNLPPVPPLQKSDLAPNGHICDIRSPKRLCTEYRSVLVERNSFTSPVKDRFLALTNIKSKFPPPLQSAFASPTRPNPGGGGETCAETSINVFFGKIVKLAAVRINGMIERLQLSQQIRETVYCLFQKILSQRTSLFFSRHIDQIILCSFYGVAKISQLNLTFKEIIYNYRKQPQCKPQVFRSVFVDWTSARHNGKTGSEHVDIITFYNEMFIPSVKPLLVELAPAGNEQKNNHVEKTKKDGQGPASPRSSSFPSLPDMSPKKVSAVHNVYVSPLRSSKMDALISHSSKSYYACVGESTHAYQSPSKDLTVINNRLNGNRKLRGALNFDDVDAVGLVSDSIVANTLYLQNGNCISSPRAAVKTEQPEP >Solyc05g010363.1.1 pep chromosome:SL3.0:5:4511609:4518286:1 gene:Solyc05g010363.1 transcript:Solyc05g010363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSKEEKELCSATLPSDILSNLPENVLDEILIRVPLRDAVGTSILSKKWRYNWCRLPGLTLDQTLWDTTNKSIRFVTRFTDIIYHLLALHVGPITKFILSDIAKLGNYSKIDNLVLFLSRNGIQHLVLQFPKNKPYKLPSSFFTCLQMRHLSLHHCSIQPLSTFTGFSELVKLELYEVTISSEMLGSLISHSQLLEKLVLHISSSLDHIQIDAPKLKSFDFTGNVELISLKKVPFLLELSLFNTEVPSPGTGQHDFTKYFESFPNLEHLHLDYRSLQLLAAGSYDIATKLSSPLNGLKCLCLSDICLDELAELSPALCLIRSSPYLQYIQIKFKAFSDVPLNLLKVRNLPENVLDEILIRMTLRDAVRSSILSKKWRYSWCRLPELTLDQTLWDTTDQSICFVTRFTDIIYHLLALHVGPITKFILSDIANLGNYSKIDNLVLFLSKNGIQHLVLQFPKHKPYKLPSSFFTCSRMSHLSLHHCSIQPLSTFTRFSELVKLELYEVTISSEMLGSLISHSQLLEKLVLQISSILDHIPIDAPKLKSFDFTGNIELISLKKVPFLLELSLFNTVAPSPETGQHDFTKYFESFPNLEHLHLDYRSLKLLAAESYDIAAKLSSPLNGLKCLCLSDICLDSLAELSPALCLIRSSPYLQDIQIKVLMIFGGKRTCSATLPLDALSNLPENVLDDILIRKPLRDAVRLPEFVFDQTLGDTTNESISLVTRFTDIIYHLLALHVGPITKFILSDIANLGNYSKIDNLLLFLSRNGIQHLPPPTFKGFSELVSLELCELKISSEMLGSLLSHSPLLEKLVMQISSILDCIQIDAPKLKSFDFTDNINILSLVKSPFLVKLSLVSAAVTLKTETQLSKYFEVCPALEHLHFDDRSLQKSEMDVDAVNLYGCILAIKAEK >Solyc10g078360.2.1 pep chromosome:SL3.0:10:60316380:60319106:-1 gene:Solyc10g078360.2 transcript:Solyc10g078360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTYVEPRTQKMNNVANHLEPWLQLEGKVVFVTGASAGLGREFCLDLARSGCRVVAGARRADRLKSLCDEINTMSSSNSHLVRAMAIELDVTADGTTIEASVKKAWDAFGHIDALINNAGVRGSWLVSKYVGRQMQASKKGGSIINISSISGLNRALMRGGIAYSSSKAAMDSMTKIMALELGEHNIRVNAIAPGLFKSEITENLVKKDWIQNVAKRSIPLKTFGTTDPALTSLLRYLVHDSSNYVSGNIFIVDAGYTLPGVPIFSSL >Solyc08g029342.1.1 pep chromosome:SL3.0:8:36774139:36774696:-1 gene:Solyc08g029342.1 transcript:Solyc08g029342.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITSDDNPCISEVKEFLGIEVLTFNNEISLSQVTYATVLLSTAGIISQSNGTLFSKATHYLTLVGSLIYLTFTLSDIAYVVHIVSQFMVAPCSTHYFVVLRIVRYVIIKSYADVDWGRDPFDRHSTTSYYIFLGYSLIFW >Solyc08g062850.2.1.1 pep chromosome:SL3.0:8:52246729:52247322:1 gene:Solyc08g062850.2 transcript:Solyc08g062850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHLFSEEIKEFLEKPTKSVRSFFSDRWSELHLGSNPTERSTRDQKLLKKQQDLSFVLSRRSEKKKMVNIFKIITYLQNTVSIHPISSYPGCDMVPKDEPNMDSSNKISFLNKNPFFDLFHLFHDRNRGGHTLHYDFASEERFQEMADLFTPSITEPDLVYHKGFALIKSSFIEIIISIWMHYYPPPPLFFLSCLL >Solyc07g052970.3.1 pep chromosome:SL3.0:7:61510576:61514213:1 gene:Solyc07g052970.3 transcript:Solyc07g052970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSCDLQVDVNGEQVFMVDKKVICSYSGRINKLIGKSKRGTRYLKVIFHDFPGGAESFELITRFCYSKGKIEINSVNVSTLYCAASYMEMKKSVSGNQNLFELTEKSLEDVRYWTWSELLDALRLCQNLMPVASSSGVIDKYLDSLIGRVASSCETSPCPSTSSADSSGFRLSCDTKSTESLRNSAFRATWWFEDLASFEPFLIETLVKQMVSKNIDHGLLSKFLFCYQKSRFSVADEKCKTMETVIEMLHLLDSSCISFKTLFGMLRITLTLKISKFSRNKLESMIGSQLDQATLDNLLLPSPVGSSYLYDVNLVLRLLKSFISKGACCVPLTRLRKVASLIDLYIAEVAPDPCLKPSKFLALIRSLPESARDSYDAIYHATVMYLEVHSGLSEEEKMNVCSGLSYEKLSSEACSHLARNKKFPSKSAGQALITQQVKLKSLLQETNQASPYLDSPCSLLETGSPGHEDQQIVLYAGKLDLSTENEKLKEHLQGMQWRVLELEKACRKMQNQMAKMLKSRISSHNNARSLPRLCS >Solyc02g071270.2.1 pep chromosome:SL3.0:2:41320430:41328603:-1 gene:Solyc02g071270.2 transcript:Solyc02g071270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYLGSCLLQIGKNRCLPSRLIGILASFSYYQDILKMPMINVSKEKGRTPWEIRPGSNSVLITNDASLFTSSVPVLQHEKLKVSDGDHGHQSVDDASPSLTIHPDVEVDVLLDDGENRAIGSLLPDDEDELLAGIMDGFDPSQLPNHTNDLEEYDFFESIGGLELEFDGQEHLNLGISRVSLADPDSNGAAIYGLSNGGGAVTGEHPLGEHPSRTLFVRNINSNVEDAELRTLFEQYGDIRTLYTACKHRGFVMISYFDIRAARTAMRALQNKPLRRRKLDIHFSIPKDNPSDKDVNQGTLVVFNLDPSISNDDLRRVFGPYGEIKEIRETPYKKHHKFIEYYDVRAAEAALRSLHKRDIAGKRIKLEPSRPGGARRKLQNCDRPASSPNLPTLSCKEKGNYLSPKLMRRAMEILYHLRSMPLGRRFVCGYSSGTILINNVVGNDLVLQSSQDSEQDDSWTFRHPLVSSIGTSSPGNWPQFGSPIEHGSMQSPGTSPGFRSLSPTIANNLHGLASILHPRASNTLRVAPIGNARTMSGRADFPIGSNHGVPFPQSNSFAEPKISHFGGTMSSFGASSTNGSAVETLSGPQFLWGSPKLQSQQSNSSAWKTESLGNAFSFGGQGDRFSLSNHQKSFLNSSQHHLHHIGSAPSGLPLDRHFGFYPDSSILSPGFRGMGIGTRDESLMVNYGSRTTLNAGVAVPRNMSDNASPRFGMISSQKLSPLFLGNGHFPGHAATSFEGLTERSRTRRVENNNGNQMDNKKLFLLDLDKIRCGEDTRTTLMIKNIPNKYTSKMLLAAIDEQHKGTFDFLYLPIDFKNKCNVGYAFINMLSPSLIIPFYEAFNGKKWEKFNSEKVAALAYARIQGKTALVAHFQNSSLMNEDKRCRPILFHSESSELGDQIVQEHLSSGCSQPEDPVGKLENR >Solyc08g041700.1.1.1 pep chromosome:SL3.0:8:26201269:26202477:1 gene:Solyc08g041700.1 transcript:Solyc08g041700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKAISFCLLPSELIQYIILQLSLPEIIQLKSVNKSILSIISDGDFIRNYNHQSSSATWLFLYKKRWRREALLYGISDCSNRWFTILICDMLKQVVPPGEDVYFLTANANIFLFALNNTQQLMSLDIMTRVVKNIPPSPLGPRGTSLWRRSGMKLLSCPNCNCNHFRFLFAEYHQNRPTLFEYDSRVETWEYCWGTDEVVSQDYYFDDEYIFLSASNGRNGSVIIGVVRPHECCNTTTITTNTPLVIRPTFNNEQEHQHHRLAVGFSWGNSIDLLHVYGDGNMMTIRSDKVNNNSNKRKIKRIELWGLSRNNGRYWEFVSVAPNELIHKISKPYGAMMGCMQKSKGVTRAILMTNLEGTWETIWLSYNNNNNHWAWLPLPDCNMKGSNLAGVTFSSGLTLS >Solyc11g006420.2.1 pep chromosome:SL3.0:11:1124534:1134433:-1 gene:Solyc11g006420.2 transcript:Solyc11g006420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRLHHFNHIFPISRTSFSAFRFNLRVWCCSQSGTNQGKMEQIVVNGSESQVVVDDSSLLQKKISAIRLGGHAKLQVIADFDATLTKFRVNGCRGQSSHNVLQQEDPEYNDKRQKLYEYYHPLEFDPTIPLDEKAKLMEEWWGKTHALLIEGGVTYNGIQNSVAKATIAFRDGVTELFELLEEKGVPVLIFSAGLADIIEEVLNQKVHRSFKNVRVVSNRMVFDENGHLQSFKGKTIHVLNKNEHALDMAAPLHDHLDDVSGLSDEKSALKKRTNVLLLGDHIGDLGMSDGLDYETRISVGFLNDRVEDSLESYRKAFDILYLNDSSMHGVLKLATHLCSTESD >Solyc10g018630.2.1 pep chromosome:SL3.0:10:8879958:8880406:-1 gene:Solyc10g018630.2 transcript:Solyc10g018630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSIGDVRRYIFKGFENLKVDVHRETNVVIESMVGVMENKSKKRKGDSSNSKKEIAVQKRKTNEQHNIDKSETPKFLDDVWNNLMNMDELHNNQVTFVKTTITNCGYTKIHH >Solyc03g115500.3.1 pep chromosome:SL3.0:3:66655935:66661037:-1 gene:Solyc03g115500.3 transcript:Solyc03g115500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRTLFLIFVALCPALSAQIVKQIVLTIDASTKVAWTDANYICATIDWWPKEKCNYKQCPWGSASILNLDLTHPYLVNAIRAFKGLRLRLGGSLQDQVIYGVGNLISPCRPFTQHKDGLFGFSKGCLPMQRWDELNNLFKKTGALVTFGLNALYGRRQANRHAWVGNWDSSNALNFIKYTVAKGYHIHSWEFGNELSGSGIGARVDAAQYGKDVFQLHNLLNQAYQNTPERPLLLAPGGFYDPGWFGKLLQVSGRGTVNVLTHHIYNLGPGSDSKLVDKILNPEYLSRTEGTFSSLTQTILRNGPWASAWVGESGGAFNSGGPDVSNAFVDSFWYLDQLGMAAKHHTKVYCRQTLIGGNYGLLDTATFIPNPDYYSALLWNRLMGKVVLGVVNSAAPHLRTYAHCTKDRAGVTLLLINLSTQIQYQVNIHSTAETSLQVGKKMDHNKKSFARSIKQSVSWVGTKSSDITLSREEYHLTPEGRNIRSRTMLLNGKLLQLTETGDIPSLSPVFTNLNSPLSIEPLSIKFIVFPNFNSPSCT >Solyc01g100700.3.1 pep chromosome:SL3.0:1:90538976:90545827:-1 gene:Solyc01g100700.3 transcript:Solyc01g100700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRQILLSNRRLLSVPSNSVTSGFASFSSKSNPYIVKVGIPEFLNGVGKGVETHVEKLESEIGDFSKLLVTRTLKLKKLGIPCKHRKLILKYTHKYRLGLWRPRAEPVKA >Solyc05g053170.3.1 pep chromosome:SL3.0:5:64148494:64152017:1 gene:Solyc05g053170.3 transcript:Solyc05g053170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLENGQKIGRVHERAEGPAKILAIGTATPFHWVDQTSYPDYYFKVTNNEHLVDLKEKFRRICSRTMIRKRHMLLTEEILKKNPNLCSYNGPSLDIRQDILVSEIPKLGKEAALRAIDEWAQPKSNITHLVFCTRSGVDMPGADYQLINLLGLSPSVQRFMMYQQGCFAGGTMLRLAKDLAENNKGARVLVVCAESSAIGFRGPSEAYPDNLIAQALFGDGAVAVIIGSDPKMGLERPVFEIVSAGQTFVPNGDCHLALHLREMGLTFHCTRDVPPAIAKNVESCLIKAFEPLGISDWNSVFWILHPGGNAIVDQVENILGLEPDRLRATRNILREYGNLSSACVLFILDEIRKKSARDGLKTTGDGLDLGVLLSFGPGLTIETVVLRSMPI >Solyc08g060845.1.1 pep chromosome:SL3.0:8:45678024:45679851:-1 gene:Solyc08g060845.1 transcript:Solyc08g060845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIVYVLEPEIVTLIDSHPSHYNSTRNSHQEPSRTEPGFHQKTSLQVLEFKNFLFRRTSAPNRFEVVVPVSLRNKVETFLFVGARLGFQLAAELWIVNRFQVNSRLLSYCGRRLISHGNNS >Solyc01g110255.1.1 pep chromosome:SL3.0:1:96900430:96900734:1 gene:Solyc01g110255.1 transcript:Solyc01g110255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMQFLDEYCFLQNQNARNSNGEIFHVFAYDFLYLPMDYKNNRSIGYAFVNFTDHITELCGIFLGFN >Solyc05g018600.3.1 pep chromosome:SL3.0:5:22412762:22418285:-1 gene:Solyc05g018600.3 transcript:Solyc05g018600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVVVLDNGAGVIKAGIGGERDPTAIVPNSMGRPLSSKKWLMADQLLSPDVDLTSATARRPFDRGYLINPDLQSSIWSHIFSNLLKITPSHSSLLLTEPLFNIPSIQRSIDEIVFEDFNFKALYVSDSPSLVHLYEASRRPYGLVSKAQCSLVVDCGFSFTHASPVVQNFTLNYAVKRLDLGGKALSNYLKELVSYRSVNLMDESFLMDHVKEELCFVSLDLPRDLRIARKPGKDNLFRCTYVLPDGITHTKGFLKDPEEPKRYLPLYDEAPQQVAGEQIDMDQLENTDNSEDRNRNNGSKIDLTKNEFGLTNERFLVPEMMFRPADLGLNQAGLAECIHRAISSCHSHLHPVLYESIILTGGSTLFPHFAKRLEMDLRPLVPDKYCLKITTQEDPILGVWRGGSLLASSPDFDAMCITKAEYEELGSARCRKRFFH >Solyc08g078470.3.1 pep chromosome:SL3.0:8:62385424:62389240:-1 gene:Solyc08g078470.3 transcript:Solyc08g078470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGEGPLLHLIMEKGPLSGSNLVYKPGSKIQIGRGVRGNTLPIKDEGISSKHLRIQFQSGLWVINDLGSSNGTFLNTIAIDPSRPTKLTDGDIIKIGEETSIKVKIEVMEVDPVEEIEVKGRNTRRNARRGKGLGVIDENRELGLGNGGVGNVGVGSKRATRSCKNVKNEAGNVDEVENFTAIGAEKEGKRNPRRTRGSSRVESVRTGVDSVKEAENTDLVDIERETKQGRRRPRGSKKADSVKDGDDAGEETESLAEVEAERQRKPSPRRTRGSRKVGNDAQETDSLAVTGADREKKPSPRRTRGSKKAQNVKWTDSVEEAKNSVAIDVDKEKKVCSRRTRGSRKEEDVENLHKKENVNMELKQLGKGKGSTASIVHSEVDEVMEKLERDQKDCEEAVESSANVGVERNMPEVVEAELQRDGCKGLVSNVGDTWRVVGLFGDLFAKTNN >Solyc07g009150.3.1 pep chromosome:SL3.0:7:4190250:4203707:1 gene:Solyc07g009150.3 transcript:Solyc07g009150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQSDFQEKVSACFRPWQRSFQFWVRAVDIYTGYKVFQLRVGFEKDVQKQEAMWERQHEVAAEKIYNMCSDLGGFFLKVAQLIGKPDLAPAAWVRRLVTLCDQAPATPYNVVRAVLEKELNQNVDELFESFDFDPLGSASIAQVHRARLIGDKRDVVVKVQHPGVQQLMMTDIHNLQAFALYLQKIDIKFDIYSLTKEIEKQIGYEFNFLREADAMDRIRRFLYENNKKSPVMVPRVIRDIVTRRVLVMEYIDGTPILKLGDEMAKRGISPDGKIAAVARQNILKSLSLAYGQMILRSGFFHADPHPGNILICKGAEVALLDYGQVKDLPENLRLGYAKLILAIADGNATTAKESFKELGIDTLSKCEDEQKEMLKLAQGMFDTKLPPGVKMMQPFSEESSVKKIAVEAFPEELFSILRTLQILRGLSVGLGISHSCAEQWRPIAEEALYNAGRLTDIDMKRSHRHRTRRKSGK >Solyc12g017264.1.1 pep chromosome:SL3.0:12:6471049:6471686:1 gene:Solyc12g017264.1 transcript:Solyc12g017264.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIALYLISAYLLLQVLANIWIVMSQKESLKRKKKAKTYAKTGSLSFLANKKRRLLTTNEIVQAIESEKGQLLKLIEQQAVTPQSEATGQSVEEQPLE >Solyc02g063255.1.1 pep chromosome:SL3.0:2:35856027:35858706:1 gene:Solyc02g063255.1 transcript:Solyc02g063255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGEERIDWILSKLANLLFRRQIFSKSSQSTNDFGAQVAHFGAIKTIDVSENENKSMHVIRGYCSSLYTLKGIIKVKYRQDACEVGDEGGFILNVHGSREGLITPVKGIMKDKKYETLVDVDLQGPPTYSGTLLCMQSMPTESKDVRSCKNA >Solyc09g015220.2.1 pep chromosome:SL3.0:9:8228727:8229542:1 gene:Solyc09g015220.2 transcript:Solyc09g015220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDKDRVVGQGGFGTIYKGYLKDNCIVAIKNAILVLSKINHRNVVNLLGCCLETKVPILASTLSLVIRLKVAAETAGVLSYLHSAAYPPIIHRHIKSVNILLDKSYIDKVSDFGASRLVPSDQTELSTLVQETIGYVDPEFLQTMLGEILTGRTALCFQRPDEERSLAQYFVSSVGKGHLFDILDDSIVCDEGNAGQLKNVVVSAQRCLNVKGDDRPTMKEVAA >Solyc07g020900.1.1 pep chromosome:SL3.0:7:14586031:14586361:-1 gene:Solyc07g020900.1 transcript:Solyc07g020900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRELILHFPGDVILSLSAVYKGWFDEKMLYPTKAVTVMIVSIKKLNSQSTQGFEEWQQLIPWPFLFYWKWDALYEMEANKLCH >Solyc02g083760.3.1 pep chromosome:SL3.0:2:47597992:47599431:-1 gene:Solyc02g083760.3 transcript:Solyc02g083760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTSLMILSLLLLTFCQGIFGATFTFVNKCDHTVWPGILGSPKLDSTGFELTKDTSRSFQAPTGWSGRFWGRTGCNFDDSGTGTCATADCGSGQMECNGGGAATPATLAEFTLGSGSQDFYDVSLVDGYNLPMMVEVSGGSGPCASTGCNVDLNQKCPTELRADGGGACRSACDAFKTPQYCCEGAYASPATCSPSVYSQMFKLACPKSYSYAYDDATSTFTCSNANYIITFCPSSQTSKKSSKADGSTPE >Solyc05g012280.3.1 pep chromosome:SL3.0:5:5564101:5565982:-1 gene:Solyc05g012280.3 transcript:Solyc05g012280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFNKILLFFLSFLLIHPLESVPSIIPLNGSCTDKCGNIVLKYPFGSGFGCGHPAFSRFIKCTTSGVLQFSTTSGIYTISSLDYSTNTFVLTDPFMSTCSSMQNSGSFTLDHDDSSLTPFSIMKQNMFVLLGCSTTSAVFDPKQDLCDGGSGSNVCRGMYSCKGVTGIGLEPNDPISTCCVYDPPVPVGSGYGLNLPRLQCSSYSSIYGFGGDEGDPMKWEFGISLQYNNSYYVDESCKNCEDSGGFCGFSGADESFACICRNGVNSTINCYGRGYALSGTWRHKIQTGMSIGGIIFFWMMMLFI >Solyc01g111740.3.1 pep chromosome:SL3.0:1:97840903:97848351:1 gene:Solyc01g111740.3 transcript:Solyc01g111740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKLEEEEEVVSICKERKQYLKLAVDRRYTLADAHYKYCQALYGVSAALKLFVARHSTPTSPYLITFPPPCCPSSPKKECVVSNPLFLQQTPSEPTQETICCGPCNKSTATPSDSSEEEREEKVMKQQQQPQGYGYYYMEMPQMMHSPPTDFGWDFFNPFNSVRPEMISGYHRISEEDLRAVREQEGIPDLEEEEEEDEDEMKEENKVVATKEKENVEQRENGNEVVQQPVHTANVNQEDQRISLTVVDNPLQGRELLEALTDIEDHFVKAYDAGKEVSRMLEANWVHSQPNLGEPKENSTKIIPAITWKSPASRSPSCKSLVASSSKSSSTWTEFKNDLFDDYGGMGSGSHLLTLGRLYAWEKKLYDEVKAGDSTWKLYEKKCNQLKNHDARGDEGRTADKTRAAVKELYSRILVTIRSAETISKRIDELRDDELQPQIIELLQGMMRTWKIMLESHEIQNKIIFDVKSFTCPAYGKFCNDSHRLATVQLDVELQNWCARFRDYIAAQKAYVEALHGWLSKFTVSEVELYSKSRSSTPACRVNGPPLLMICHDWLSAMNKLPDRAVSVALKGCGKDVRALWVQQGEEQQQKRKVDSMSKELDRKTLAFQKVENKLYEFKLTDRSSELEIDHRAEYLKERKDLLDNFRKRVDLEKEEHQKCMQETQRITLNGFQTGFCRVFESITEFSSEALKMYNELLSSGEKAEKIVNQPSIESSQAGEDVKR >Solyc06g011374.1.1 pep chromosome:SL3.0:6:6867705:6870373:-1 gene:Solyc06g011374.1 transcript:Solyc06g011374.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIPDMQTVECLADYQVESWRNNQSQPSKLHGTNLAGVFLIELSNWPSVGRTFLGLAALGPVSVVKILASCPMMQKLTPVSRVPNQTSQNVLTSCAPKGSIEPLSKALKHHMHLREHAIPSSESASRQCFDHAAHQPACFKDALTSPAGRKKLIDSMEGIMHGTQQALRNWDKPQET >Solyc12g005190.2.1 pep chromosome:SL3.0:12:129286:130663:1 gene:Solyc12g005190.2 transcript:Solyc12g005190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGEVLGLTEREILRALPPELLAASRVSLRIMAVCGSRTSHIGHFVGKMAARRLHYALAVNNSSVSYSNRSIENVRKANISLRNKGQPHNFMLSQYFKTSVAKRKGHEGFGLEGFRVLSLARFSSGTTAPSSLSSDNTKEKEQTADTVDSSEGKIHLKLNSGSFYLPHPAKAKTGGEDAHFIYDPAQAIGVADGVGGWADLGIDAGEYARELMSNCMSVIQEEPKDSVDLIRVLEKAYMRTKAKGSSTACIVALTDEDLYAVNLGDSGFMLVRHGSAIFKSPVQQHGFNFPYQLEGSTAGDSPSLAQAFKITVAPGDVLVVGTDGLFDNLYDADITEVVIHAVEAGFGPQMTAKKIAALAQQRAMDTTKPSPFSDAAKEAGFEYQGGKLDDITVVVSYVTNNANPLAN >Solyc11g010860.2.1.1 pep chromosome:SL3.0:11:3889125:3890816:1 gene:Solyc11g010860.2 transcript:Solyc11g010860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRNKKLKYCRPDWYGEYRNSDCNPNVWNAKVTIRQELSINNPIAHSPCNLPTLYLKFHFDTLFTGQQERVQSRSLNIKLFHSATYMSREKFYRVIEDNIYEKWGEMFDKDDRQDFIDNIFEEIHEIIGEKFNKGRQRLVVSIRTNLFVSDIMKLVWKMKTDENLMNDDCIICFQEFEKGGQEVLCMPCSHVFHADCIAMWLQNGHCCPVCRYDFRE >Solyc08g076170.1.1.1 pep chromosome:SL3.0:8:60319958:60320206:1 gene:Solyc08g076170.1 transcript:Solyc08g076170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQFKPFCYLLLLVFIFSSSASVHSTDIGLGHGELGASRVHDMHQRWQWRSWMNHGSFRGPRKYLVNPKAKHPFDVPKLPV >Solyc02g077820.1.1.1 pep chromosome:SL3.0:2:43236664:43237233:1 gene:Solyc02g077820.1 transcript:Solyc02g077820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREKNNKTIVHLDDDDEVHFQGVWNPNQRRNMWLGSFNTAEEAYDTATRKFSPASKDYLQATTRDFLCKINHNNVMSDHILPNQTRNIASSSRSSSGAVSFPSFHQNTNEFRRFKTVTGTSVVMSTTCDKDLLETLLKTGVILPDQGMSRPKETLDFMGVDPVQRRTANAEPPNLELSLAPPESMLHP >Solyc08g077670.2.1 pep chromosome:SL3.0:8:61699990:61701446:-1 gene:Solyc08g077670.2 transcript:Solyc08g077670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLQARRPRQRHRRKYLRESPSIFRASDSSADQDSAIYEEEGTVWDLVSPNVKAAMYPHQRGGFEYMWKHIAGAIKFERLREKTRLTIVFLQSLLKIYPKSRLIIITPSSLLLNWEAEFQKWEVDIPFYNLNRKDFSSQEEEATVRVFGCLSDAGRKDTQFIHLVKNLTVEDGEVNAKVIREILLKSPGLLVLEEGHTARNENSRVWKALKKVETEKRILLSGIPFQNNIKEFYNTLSIVCPKFTANSEQKWASPSSSIDNNPRALKELRDIIAPIVHTCTEDVKKGSLPGLKVQ >Solyc03g112910.3.1 pep chromosome:SL3.0:3:64673315:64688221:1 gene:Solyc03g112910.3 transcript:Solyc03g112910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pantothenate kinase 2 [Source:UniProtKB/TrEMBL;Acc:K4BKE4] MASSTTKDHSHIEIDGINEESQQMTEKDIVEKDMAPLPGSSIHRSSSRPQLDVSGAAIQGNFEEKDPAILLPNQTDDISHLALDIGGSLIKLIYFSRHADRQVDDGRKRKVKERLGVTNGSRRSYPILGGRLHFVKFETSKINECLDFIHSKQLHCGGMDPRCWPSDAPPSENAVIKATGGGAYKFADLFKERLGVSIEKEDEMNCLVSGANFLLKAIRHEAFTHMEGHKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGQFQRVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNSTIDMLVGDIYGGMDYSKIGLSASTIASSFGKTISENKDLKDYKPEDISLSLLRMISYNIGQIAYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVQFWSKGDAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHHLVERFPMGAPYIGGNIHGPPLGDLNEKISWMEKFVRKGTEITAPVPMAPPATTGLGGFEVPSSKGVLRSDASKLNVGVLHLVPSLEVFPLLADPKTYEPNTIDLSDHGELEYWFTVLSEHLSDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFHFFDAYRTIKQRENEASLAVLPDLLMELDSLTEDMRLLTLIEGVLAANIFDWGSRACVDLYHKGTIIEIYRMSRKKMQRPWRVDDFDAFKERMLGFGDKKPQPHKRALLFVDNSGADIVLGMLPLARELLRRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRGAAEAGGLLVDAMSNIQDGYKENTPSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLVILEGMGRSLHTNYNAKFKCDALKLAMVKNQRLAAKLVKGNIYDCVCRYEPAS >Solyc03g117220.1.1.1 pep chromosome:SL3.0:3:67903840:67904217:-1 gene:Solyc03g117220.1 transcript:Solyc03g117220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLFSIFCPILINNPRPLHPRLSELRNSCRRRRRRRWIVTGSGCGSKDIGNSREGVVGLETVMISDEKLLRMMKRERHRKEKSMILTKAGDFVSETRLFSEPDKLILIDDVVRRIEDDDGVKEQ >Solyc03g116560.1.1.1 pep chromosome:SL3.0:3:67411351:67413795:-1 gene:Solyc03g116560.1 transcript:Solyc03g116560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLLSRIKPLHNPKPKPPSSSSNFPLTRRIKEVVNEVCQILHTQQQWEDAVEIRLSQEEIVPPDIAHLVFDKLKDAHVGLKFFDWVSQRPDGCPLDRFACSSLLKLLAKFRVFPEIDSLLSSLTTCEDKFPTLEALDAVIKAYSDSNLVDKAVELYYFVLRTYNLVPHVVTVNSLLHGLVKHGKIKTARRLYDELVERSGGVEDKFLDNFSTCIIVTGLSKEGNVEEGRKLIEDRWGKGCVPNVVFYNTLIDGYCKKGDIRRAYGLFNELKLKSFLPTVETYGALINGFCKDGHFERVDMLVQEMVERGVIVNARVYNTIIDARCRHGFTVEAIDTVRKMVEAGSKPDIVTYNILISYSCKDEKIQEAEKFLDQVKNMRLVPTKFTYTPLVHAYCKFGDFERALSLLAEMTEYGEKPDVSTYGALVHGLVVSGEVDVALVIRDKMIERGVSPDAGIYNVLMSGLCKKLKLPAARQLLDEMLGGGILPDVYVYATLVDGCVRNGEFQEAKKLFEQTIEMGMDPGLVGYNAMIKGYCKFGLMKDAVACISRMKKSKISPDAFTYSTVIDGYVKQNDLRRALMILPHMVKRNCMPNVVTYSSLIYGFCQNGDLMRAEKLFNGMQSIGMMPNVITYSILVGSFCKVGKLAKAASIFEQMLMHKCYPTDVTFNYLVNGFSHCTHTIFSKEKNDEKCSKFMAIFKRMISDGWHPRNAAYNSIITSLCLHKMLKTALQLRDKMISKGYTTDSVTFAALLHGICLNGKSKEWKSIISCSLSATELSVALKYSLIFDQYLSHGFNSEASVILHTLGKGHVS >Solyc07g027025.1.1 pep chromosome:SL3.0:7:33210240:33213408:-1 gene:Solyc07g027025.1 transcript:Solyc07g027025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMMLLNKSSPADPPPLCSICQHKAPLFGKPPRWFTYSELEHATSRFSQANFLAEGGYGSVHRGHLPDGQVIAVKQYKSASSQGDLEFCSEVEVLSCAQHRNVVMLIGFCVEDGRRLLVYEYICNGSLDSHLYGRNGHPLNWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPSNILLTHDFEPLVGDFGLARWQPEGNLGVDTRVIGTFGYLAPEYAQSGQITEKADAYSFGIVLLELVTGRKAIDINRPKGQQSLSEWFILIIRIEQARPLLRKSAISELIDPCLVNCCLEQEVRGMLHCASLCIRRDPNSRPRMSQVLRMLEGDVLVS >Solyc05g010570.3.1 pep chromosome:SL3.0:5:4773213:4780696:-1 gene:Solyc05g010570.3 transcript:Solyc05g010570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKDPFEATFEEQDDSPPESPAGTDENEGQDQGAVGVNMNEGDDVNTDSREKPSTSKATSVSVSTAGPISKPKEEDEEEEEESMDVQLGKLSSSSDPDKLAKMQSILSQFTEEQMSRYESFRRSGFQKSNMKRLLTNITGSAKISIPMTIVVSGIAKMFVGELIETAKMVMAERKDTGPIRPCHIREAYRRLKLEGKIPKKSVPRLFR >Solyc09g061380.3.1 pep chromosome:SL3.0:9:59205080:59223522:-1 gene:Solyc09g061380.3 transcript:Solyc09g061380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRVRRLKEAYRLLPLLSAGNMYNLLGKDDILLPPLLNCSKNLRADINTMCLYRCHQDHRYSTISEAVSEPLVQLDFPSFIKSTIDEPEGPSHCWFNGTPDKKSLKDGIFLVLIAGFLDGSSITEANFASMLEKVKFLQDRYPFLQIIGFQDTKIPLCSSDICTHLLRRTLKEYIAFPILVANKNVIEIASEACYILFNGSESSSTYYGKEADIVILDKAIKDFRAQESETPKIMHNLTSTWVKPTDDFKEPPLCFPLRNLLLYFPGGISVDESGNRLFLSDSNHHRVIVLDGNGKILDSIGSSPGHEDGEFESAKLRRPAASFYHAAEDCLYLVDSENHAIRRADMGRRVVDTFYPKSKSNKDSSIWSWILGKLWPRNDLAAQSEELNPDALLFPWHILESPNGDLLIFNRSCETLWIMDLASGLIREVIKGFSNILEICEPLILEKSMLLNQIPNDWLQQQVDAHCSSKKIPYVHFISSVVTFQDQILICDTVGQTVLKLNRNSSSLSSFQFSNLGILGFPYWSSSPLERVCAADAALAENSIDHIESFNLLPGKIDIQLKVDIPEYVDLIEPLGESCIWRQTRGAATEISKADSTITSSEKVGIAQQWYDEIDHLAFSTSEIEATIEEATMSCGEEIPEGKVEISCSVNSSPGTSEVIISAALYLRLKKDSDGRSDSRQRKADRIADLLNPGTMVSRDVILQFLLASKRDLEEIIITRPVHVRLKFECPNHPKADNSKDIILTDTSLNVNVALK >Solyc02g086960.2.1 pep chromosome:SL3.0:2:50170797:50173258:1 gene:Solyc02g086960.2 transcript:Solyc02g086960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRANKTGQMYLNSTQQTNCLTKMNDEGNGIDVSGCGVEKLTKTGSSCSDFTVDDVNMKFGDKLRKLSYNCQFQDTADKRGHLCEFCVNSWKDIKEVRSKNDKYAKAESDICRFAVLVSLTSTRIIDQAWLRKLYNSISNQKQPVNFAYTEEKRQEQDEHSELTEKHKFRPAIDLAMPKDSSLEVSIEEVYSATNILNESHFIGEGTAEKVYRGVLPNNQEVAIKHIIHEECIETFLREVKSLTNVRHPNLVALLGYSKNAKEYFLIYEICPYGNLSQWLFANNRVLSWTQRLEIAIDSAKGLPTNTLLGQDFEAKLSDFGLSKVIDIDEILHDLRSKSLLRERRAVEFVDPKLYGDYSTDVFQLTLELAISCIAPKQQRPSIEKVVDKLEEALAITRTEKASTPHSTPVWSSTSFQEQYRTLVENVT >Solyc10g018190.2.1 pep chromosome:SL3.0:10:6904509:6907136:1 gene:Solyc10g018190.2 transcript:Solyc10g018190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHMISSKLNAVTPTYVLPMHERPLAPVPIDKEIPVIDLGEERAVAAQQLVKALEQYGFFQVINHGIPDDLMDEAMEVYEEFFNLPVKEKENYAEKEETLYTNNPKHHASKEHKYWKEVLEHNCNIDGQDKQTWPSNPPTFREVIGAYSSEVRKLSMIIFDLVSEGLGLEAGYFGKGHGQRMFVNHYPICPDPSSTLGTGGHCDPNLITICQQQVYGLQILKNDEWIGVEPLPHAFVVNFGFPITVMTNGKLKSVAHRVVTNKTQARTAIGTYFCPANVVEPAKSFVGPENPPLFKPFKWGTEFLPHYFKNRAVYHAALEAFKINA >Solyc12g035890.2.1 pep chromosome:SL3.0:12:44524360:44525860:1 gene:Solyc12g035890.2 transcript:Solyc12g035890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIRKSLVYNYNQIEVNEFLPSTTIMSFSLFLLYIVVTPLMLHFEKDFSCHSYLGMIWIPPLFSFPSAPFPKTQKEDGTLESYYLSAYCLTKILFLQLVGHWVIQISRVFPAILDSKRLYYGRFIPCPLLKSQADTICIAIGRSLLGEIEGTCITRVKSEKVPHEHFTITGNLYGTSEASICVKGPGYVTAQDIILPPHVEIIDKTQHIASLMEPIDFFIVLQMERYHGYLIKTPHNFQDGSYRIDPGNRNEKQEKLFIEIWTNGSLTLKETLHDQLTVHLSPFSFHDKLDKLVKKKKITLKLIFIDQSDLFYRIYNCLKMSNIYTLSDLLNNNQEYLMKIEHFCSEDIKQILGILEKYFVIDFEKNKS >Solyc03g113480.1.1.1 pep chromosome:SL3.0:3:65095468:65096043:-1 gene:Solyc03g113480.1 transcript:Solyc03g113480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEADESFKQAGVIPFRWEIRPGVPKLQHSQLPLIKHDDPHVAAENNRSRPIPETPRRLKPPPAADFNFQQPIEEPRTRSFRSAPRARSDRHVLNLLTRPAMGVASDWCFPSPLLKRTTEKNTKKKKSHQIGPRPEPDYLSDLETVSRWSVSSRKSISPFHDSLSSSFSSRESSPRRPVSDADWVGFALF >Solyc04g054910.3.1 pep chromosome:SL3.0:4:53346864:53348369:1 gene:Solyc04g054910.3 transcript:Solyc04g054910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEPFMKGSCFDNMGVAETGSIGLNHLTPSQILQIQAQIQFQNQQQQLQLLHQQQQIPMKQTGATSSQKATKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLRHQLNNEFSDFKPLHSSVDAKLQAICQSLANPKSDDSCSKSNSKPRKSKTAAVSVDSNSAQESSSKSEITTDDSLKEEFSYPENGTIKIEASSSSSPPTPSEESSSSSESDITFLDFAEPSFDESENFFLPKYPSVEIDWAAL >Solyc09g010913.1.1 pep chromosome:SL3.0:9:4251006:4255277:1 gene:Solyc09g010913.1 transcript:Solyc09g010913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSSIRPLTEEAGNSQKQSAESGFRSTWPVSWRGNDPDACDFVACNLEIFAAIMVELQYILAWHLFGGLARYWSYLGFYFLQRLLKIAAMVGFSLCLRKGESEPPESLFITITVELLKSTAPSANAKSQK >Solyc10g037965.1.1 pep chromosome:SL3.0:10:18196237:18201928:-1 gene:Solyc10g037965.1 transcript:Solyc10g037965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLFTDVYQDLGYVSGCPSQLFRTIFKGNRSSKHFDVENAIKKLEVLQDIGYVAGLLSQLVRPIFKVKEASKGAYPYFDEFSYRAPKNAYLKFQRFSCAITHHFFGDLDSDVKMEKILRTSVKNLSMQPVIPHGQSNQFLNPNEPRSTHTPFSMIFVCYSTLFTGSSDFHVREKPKYFVDVCQDIGYAVLWLTRQPNPFLRSNEPRAFRRHKCQKVLWSFVKTLAMQSVGQLEKYDLFVRMNRPRTAQTSNLIIFVCYGTPFFVIWILTSKLPKVFVDIHQDLGYASSWPSRPIQPIIKSQSNPFSWSKFLKCQTPHDIKNAKFFCKRPSSYPSRPVRPIFKMPTKNAKIFCGCSSRPCVCRSLALTAIPTHFKDLGCATGWTSQPVPPIHKVKHPWNCTYLPFRRFSCAIVDHLFGQTSPEEQIPPISMIFVKTLAMHPAIRHDQSDHFLKFNRATKHSNVKMPKNFVDVRQDLVYVARWPLVTIKSSEMLKNFVDVRQDLGCVTAEHIFGDMDFDVKKWKQFMCTFFKIFLIHPHISFLVNKIPTSKMPKILVDVNQDLGYAACCPSRAVRTIFKDCDVKNANILWTSIKTLDMHPVDSDVKKPKCFVDVRQHLGYVTVCPSRLMSLTASTTHFKGQSSPEALIPPYFNNICVPVGLHNHSDPFSSSTRFRGRTSPKMRIPPISTNFVCYRSPFFGDLDSDVKNSKKICGRPHTNFLVIRILTSKIPKNFVNDLQELGYVASCLSHLVPPIFKILTSKIPNFFVKVDLHLVYEAVVPHVQSGQFSRSKMPRIFAEVLHDLRYASSWLSRPVRHIFKFKRYLNRPYSPFGQFLCTIAHYFFGYPYYDANNAENFCKRPSRP >Solyc11g062300.2.1 pep chromosome:SL3.0:11:49520380:49541780:-1 gene:Solyc11g062300.2 transcript:Solyc11g062300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPFLRASPLSQLHAANVGIQTEKFENLRISIAKGSPMWETLDRCIDVVDSQSVELLVPRVAQLVRAGVGLNTRVGVANFISLLAQKVGVNIKPFTTMLLRLLFQAVKEERSATSKRAFANACATVLKYATPSQAQKLIEDTAALHLGERNEQIACAVLLKSYFSSAADVLGGYNDVIVPVIFISRFEDEKSVSNLYEEMWEENMSSERVTLQLYLGEIVELISGGIMSSSWSRKQKAAQAVSKLCDILGEVVSSQHHVLLSSLLKEIPGRIWEGKDAVLSALSALCMSCHKSISAADPDIPDAILSLILSACSKKTKKYREAAFSCLEQVLKAFNNPDFFNKAFPQLFDMCSLQINKSGQNNLSSDLRGEGDEKEDFSSAHDKIVNCVTACIHIALAPDIIKQQKNLTDFFLFSLSPNFSWPVKVSVFSSIKELCSKLHTETAGSQDSSQYHNIVSFAHELFCKTSVKVLEIVQIVKIAQVHIAASECLVEMVNLLKATRQLPGGEVTFSREFVQVYEVEKNEHAKSLLKRCIDILENLEKEHKVSS >Solyc01g044300.2.1.1 pep chromosome:SL3.0:1:43068368:43068729:1 gene:Solyc01g044300.2 transcript:Solyc01g044300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFLKIHPFYYHSVHHHHWVNSP >Solyc10g006310.3.1 pep chromosome:SL3.0:10:949141:953139:1 gene:Solyc10g006310.3 transcript:Solyc10g006310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLILVCGGIIGYFKKGSIASLGGGLGTGFLLIFAGYLSLQAFHKRKNSYFGLILETVCAAVLTWVMGQRYMQTSKIMPAGVVAGISVVMTGFYLYKIARGGNHIPSKAE >Solyc01g104540.3.1 pep chromosome:SL3.0:1:92893585:92899879:1 gene:Solyc01g104540.3 transcript:Solyc01g104540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEPTLAPQWLRSGKHVSSFGAASSRLHPDDAAPSKHAHKRSLSVNTDNKEWRRPASSDRAISSRTRWSSNSSNSPNFQSYNNFRNHHRDMDKDINKYRESSTLRNHRSRDFSDTSKKHHLEIFEEGLQSPSMTSGRISEKWPRNLSNAGKIKLTDNNGVLADKAIECGIRPLVTEKRQTSPGLGSVGSPGLGTRTQGIPTSPSGSTVNKLASALAVTTAVAGNDNSGLSSMKRAASSGPSSPIFSKSSGRCLNMAETVAKGLPCAQTISQVSQANHRLEELAMKQSRQLIPLVAKASVPNHSDKSRTKVELRQQTVSSSHPVSHNLSSVCISSRIHVYKPTSDRNGVSPVVNSSLSQNIHSRGPNALLAVPVSASTHSPGNIAAPSTFEPKPVGTMVQKKLSSQAQSRNDFFDRMRKKSNAHGIPQDQDASLSDETPRVEQSTEILGENTCNSDSFDGKNTDKSFSTCDAMLCSDEEEAALLRSMGWEENADEGGLTEEEISAFYKDVAKCINSKLALKIMHGIK >Solyc12g062457.1.1 pep chromosome:SL3.0:12:33061284:33061615:-1 gene:Solyc12g062457.1 transcript:Solyc12g062457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGEIIGESGASNSNATYQIQTVESKVKKGRKKRSCVWDHFTYKTVLDGNEKVVCNYCKNEYFADTKEHGTTSMLTHITKCTKMPYNINIKQSRLAFQPMI >Solyc04g079500.2.1.1 pep chromosome:SL3.0:4:64039197:64040405:1 gene:Solyc04g079500.2 transcript:Solyc04g079500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTSEDSNVLLLQASHEGCLNRPSIDEMEFMVFRFRSIELLIPYSFKIECIDQHKPCHIVYCSMR >Solyc10g084040.2.1 pep chromosome:SL3.0:10:63836844:63839878:-1 gene:Solyc10g084040.2 transcript:Solyc10g084040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFHLPSSLTFSKICHSAIRASHSHSRVSVKNENPLPKLSKFSSKTLNFLLSGSLALALSLTGVGIAEGLVGVNKPELLPKEFTSVIDVAGFLSDGQEKRLAQEITDIEKDTGFKLRVLAQNYPDTPGLAIKDFWQVDDRTIVFVADPTFGNILNFNVGATVDLDIPRSFWSRLAGTYGNMFYWKEKGEDASIEAAVMAISSCLREPVGPNNCSEVK >Solyc10g055100.1.1 pep chromosome:SL3.0:10:56309108:56310468:-1 gene:Solyc10g055100.1 transcript:Solyc10g055100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 20 [Source:UniProtKB/TrEMBL;Acc:K4D1A3] MDNMSIKWVLCWQPNAGTTINSQILIEVSNCVESINGVKEGGWKNTFCFYKPMLKEQENESQFPQQFLGASLQEQPDKFYMALSRKRLIAEEESSIQTIMENLQSYRIKFALNCEGFLYRLGDFRVRVGKVVPINSENLRGIVMEIEYLRISSWKTSHLIMSFEILKETLGKKTLPCHFVHTETYFSVFGLSDQCTSRHTVVQYASILAQMSTRTQ >Solyc06g007780.3.1 pep chromosome:SL3.0:6:1721174:1732620:1 gene:Solyc06g007780.3 transcript:Solyc06g007780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKRVQRPLQKLFSWVRKQSNKVKTFLGVFTVLTLLVTLKLLIHQHNHFFVLAEFAHFVGILALIYKLTTHKTCSGLSLKTQQLTATFLFARLFCSLQIEGDIHTILDLVTLVATFWLIFMMKYKLKSSYMEDLDNMKKYTMIVPSLVIAFFIHPRTNNLILSILWAFAVYIEGVSVLPQLHLMQNVKIIEPFTAHYVFALGVSRFFSCAHWIIKVYDTSGSYLSLSGGGFFWIPMIILAEIVQTFVLADFCYYYVKSSPLRALQNPTNSALSTSPLQRYRETLCVREASKPENFTGEQFIYPVCAFEFDQQMEAAVAAVVQHPVPAQVVGNAFVQQYYHILHHSPGLVFRFYQDISKLGRPEDDGSMSITTTMEAINHKILSLNYGDFRAEIKSVDSQESFNGGVHVFVTGSLTGNDTLIRNFSQTFFLAPQDRGYFVLNDMFRYVESVDQHDPAEVPETDVVAPVTPELASPPVQQNHISELSTLSVEEANEGEIYNPPENADVPVEEEVPVAEVVDEMQDDSQVEVESNIKSEDTPKKSYASIVMHLKGSAASFSSPPAPASRKPMARNVEQVNQPPVTATVRPASSSNSVDNVNNQDGEVTDGYSIYVKGLPPTATVDLLADEFKKFGPIKNGGIQVKNNRVLLQRFSYGFVEFEEASALQKAIEASPILIGGRQAYVEEKKSTNYRGNSIIENGLCSGHFRGRFQSGGGSGYRNYGVRGRGNYGGGRGYARDDFGERTEFNNRGGQRGWSSNRGGDGYQSYQRTDNTGGYVVRTNRGGGMLNGTAKHMTPQGIAAPVM >Solyc02g083790.3.1 pep chromosome:SL3.0:2:47616786:47618411:-1 gene:Solyc02g083790.3 transcript:Solyc02g083790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAQVFLLPLLALCFVFLISGKTLVLFLEKKNKTGVSSATFTLVNQCSYTVWPGILSGAGTSPVSPTGFQLNPGQAIPVSVPAGWSGRLWGRSFCSQDSATGKFTCVTGDCGSGTLECTGGAAPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLITPQGGTGSGNCSATGCVVDLNGPCPSELKLVSTGSGGGADECVACKSACEAFGDPRYCCSGAYATPDTCKPTDYSEFFKNSCPRAYSYAYDDGTSTFTCASADYVITFCPAPSTSQKSSGGQYTGGGSNVSLISSSGVLAYGPLPSLMISILAVIFLIYKN >Solyc11g010845.1.1 pep chromosome:SL3.0:11:3866421:3867617:-1 gene:Solyc11g010845.1 transcript:Solyc11g010845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAQTNSLKPKTLVVSRHPTPVSSVIASEPKTYKQAASSPEWLCAMEAEYQALRRNCTWTLVPCPPTANVVGCKWVYRIKRRADGSIERYKARLVAKGFHQEEGVDFHDTFSPVVKPSTIRLVLYYAVTKGWALKQLDDNNAFLNGDLTEVVYMSQPPGFIDKSHPHFVCRLSKALYGLKQAPRTWFLKLKTFLLSHADTTFQLSKHGDTFDDPSLFRSIVGSLQYATITRPEISFSVSRVCQYMQNPTLDHWKAVKRILRYLKGSLTHGISITPSTSSSIHVYCDAGWAADPDDRRSHHGFAVYYGPNLISWSSRKQKVVARSSTEAEYRAIAFATSE >Solyc03g034375.1.1.1 pep chromosome:SL3.0:3:6228759:6229037:-1 gene:Solyc03g034375.1 transcript:Solyc03g034375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSSFIAILLVLFLSELLVTEAVTCSVTELVPCAAAILSSQPPSKECCNKLKEQKPCLCGYLKNPSLKQYVNSPNAKKVAKTCGVSTPSC >Solyc11g067350.2.1.1 pep chromosome:SL3.0:11:53368437:53369141:1 gene:Solyc11g067350.2 transcript:Solyc11g067350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLSSSSLSKLKDRIVSTNPQIQNPSRIEVATALIHKCGVDVSMAKSGAFRPTVLFHVMNLRPPIPFNTIGNATCYFSTRAMSIDKTTLPNYVGELQKAKQQIRYELKDMNTKELALHAIEKIKEIVNIANEDVFDIYFCTSLCTFGSHKIDFGWGSPLRVTQVKHPTKNKFMFLDDPSGEGINVLITLTEADMLLFQNNKELLEFASPVVESSLLGSTLSLNVELSDVKSKL >Solyc06g008390.3.1 pep chromosome:SL3.0:6:2319891:2320686:-1 gene:Solyc06g008390.3 transcript:Solyc06g008390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNLQMSFSALRTDVANVLERLKNEQDQKDVDVDLIEKLKLELAFICTYVQLSYSDLDQFEDIMTRG >Solyc08g076430.3.1 pep chromosome:SL3.0:8:60560993:60566943:1 gene:Solyc08g076430.3 transcript:Solyc08g076430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDLWDDSALVNAFNDAVSKYKIMHSLEAKVSSKEENVTAPDDGSNELKSKGEGGDNSKLAPDTTTEMGDASSLPPVKENSSFEAVPPQNHTGQLNEQNTQYKAIEKCSSQSLEDYNQLLYKYYELEDQRQKILQQLNQFGIWGDQNSGSASQEHQAYASHNLNPTESSFYCPYGCQSWVSPCTASPCCLGVNEDDKPCDASIRCVQEKKSSPQNPSLVDTAKEAAEKALSSLKQASNTASLNSFAKEGKQIEMMNPVAAEKTGGLETDLSEVLNAWYSAGLYTGKYLSEQSKKRDG >Solyc09g059620.3.1 pep chromosome:SL3.0:9:55157477:55158828:-1 gene:Solyc09g059620.3 transcript:Solyc09g059620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVQAESVDNFLQSLGLEKYAITFQAEEVDMAALVHMTDEDLKAIGIPMGPRKKIILALETRI >Solyc09g098420.2.1 pep chromosome:SL3.0:9:72732387:72739659:1 gene:Solyc09g098420.2 transcript:Solyc09g098420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKNVFSSSFFMNFSQSNLHIFFFLADCCVCLCVDFCSSWYDAYLISLYSFVFHLLHGIPRRLLYETTVNQHNDKESFKMGGLLVTTGYLVNDMELLKALRNSLVLKRDVIPRWFDTKTPPCNWTGIKCEGERVIQIDFPCTGSPLNVPFPGNIGKFRSLKHLNLSHCALTGTIPTDVWSLENMETLDLTDNRLTGELPPTISNLRNLRYLVLDDNGFSGSLPLAICELKELRELSVHANFFTGNLPDEIGNMEKLQSLDFSSNFFSGNLPSSLGNLMELLFVDASQNNLTGLIFPEIGKLGMLKILSLSSNMLSGPIPATIGHLKQLEILDLQNCKFTGSIPDEISELSNLNYLNVAQNEFDGELPSSIGKLENLLYLIASNAGLSGTIPSELGNCKRLKSINLSFNSFSGALPDELSGLDSLKSLVLDSNGLSGPLPMWISNWTQVESIMVSKNFLSGPLPPLYLPLLSVLDVSANSLSGELSSEICGAKSLSVLVLSDNNFTGDIQSTFANCSSLTDLVLSGNNLSGKLPSYLGELQLITLELSKNQFSGMVPDQLWESKTLMGISLGNNMLEGPIPATIAKLSTLQRLQLDNNLFEGSIPRSIGNLKNLTNLSLHGNKLTGGIPLELFGCTKLVSLDLGANSLSGEIPRSIAKLKLLDNLVLSNNQFSGPIPEEICSGFQNMPLPDSEFTQHYGMLDLSNNELDGSIPLSIKDCIVVTELLLQGNKLTGSIPPEISQLGNLTMLDLSFNSLTGPVFHQLFPMSSLQGLILAHNQISGSIPDNLDTMMPSLVKLDLSNNWLTGSFPPSAFRLKSLTYLDISMNSFSGPLSFNVGTSSSLLVLNASNNQLSGALDDSLSNLTSLSKLDLHNNSITDNLPPSLSALASLIYLDLSSNRFQKSFPCSICGIEGLVFSNFSGNKFIDLPPDVCTKARKCIPSEPVLPPRENYPSAPVLSHASVLGIALGASIFSLVVLIVVLRWRMLRQEAVLVDRGKGKHGKKTDPTSTDELLIKKPKEHLSINIATFEQSLLRINPTAILSATENFSKSYIIGDGGFGTVYKAKLPEGQTIAVKRLNGGHMHGDREFFAEMETIGKVTHENLVPLLGYCVFADERFLIYEYMENGSLDFWLRNQADAVEALDWPTRFKICLGSAVGLSFLHHGFVPHIIHRDIKSSNILLDKNFEPRVSDFGLARIISACESHVSTILAGTFGYIPPEYGQTMTATTKGDIYSFGVVMLELVTGRAPTGQADVEGGNLVGWVRWMVSNGEEIETLDPFISGSGLLKDQMLRVLSIARLCTSDEPWKRPSMLEVVKLLKEAKNNGACGVIVLLCYAAICIMSLYRSDRCKLCWKQTMLKESIVLISSYRGQRRKNLLPCFP >Solyc08g006890.3.1 pep chromosome:SL3.0:8:1457094:1460299:1 gene:Solyc08g006890.3 transcript:Solyc08g006890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:K4CIH7] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSILLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMFRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGDDEADGEDDEEY >Solyc11g063590.1.1.1 pep chromosome:SL3.0:11:49932777:49933409:1 gene:Solyc11g063590.1 transcript:Solyc11g063590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWGFPLFCLLPSVILGIILRLENKIKKRLEILLFHIGVEILLFHIGKVIVGLVLATVTLHFGYLFADDLTRAVNQFYSPPSGEGWFSQAQTPTPPSENSGLELIAGARIEGDGPAPGSEGEKLKKLHAVVEGHLRRYCASEDRLKRFPCLKDKNKEDFQYFAQHFSITELNIDTKSEAEIASLSAYLGPFRKIETLFHMYFYKYFESE >Solyc10g006540.3.1 pep chromosome:SL3.0:10:1095309:1102401:1 gene:Solyc10g006540.3 transcript:Solyc10g006540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVRGVAQLSVFIIILFTIVAADPASNGLEDHLLANQISSVGINQELAEELWLNCRLELVHSNEAVEDLEFSHPGEEANGIFTNRRSLTKNKEKNANLLTKEALMGCLVKKNLLFLISGEEKQSPTWYTRCMDFLFSWYGEPRRRELVQVGDAPAPAPAPVTSSSETPNSPPPARPPTLPFFPRDYNDSSKTSGPSDQSSTSQNSTSDGQSNKKKSNTKTVLVAVLVTAAVTFIVVALFFICYCKVCGVGYRKGKNDERPLLSLSISDYSVASRHGNAGSHSVSDDSHNKMGKTFYMESNTLNGSKSEIPLGTVTGIAVAAAGVSQQIPPERMGMQGQPPLKPPPGRVNPFEVPTSPAPPPLPPAKITNLAAPSPPPPPPPPKPSAGSPRPPAPGPPPPPPIPVRAKAGPRPPPPPGPGATPPRPPPTGLKPPRPSPLGSNASSSASVEGSESDPSKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFDEDMIESLFGYAHADKDKNGPKKDSMSQDASKQYVQIIDQKKAQNLAILLKALNVTTEEVCDALKQGDLLFPSIYQDKETLKLIVLARVEVDLNSGNELPSELVQTLLKMAPTADEELKLRLYNGDLSRLGPAERFLKVLVDIPFAFKRLESLLFMCSLEEEASMAKESFATLEAACTELRKSRLFHKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGIRAARARDRGSVSSIKSDDLPEDQSQDSEEYYRSTGLQVVSGLSSELENVKKAAILDADSLTGTVSKLGRALKESRDFLNSEMKNVDDENRFHQTLKSFVQNAELDITWLFEEEKRIMALVKSTGDYFHGNAGKNEGLRLFVIVRDFLIILDKVCIEVKNAQRKLNGTPKKENVASKTSESSNPPSLDLRQKLFPAITDRRIDDSSSDDDAS >Solyc06g060490.3.1 pep chromosome:SL3.0:6:38629573:38635419:-1 gene:Solyc06g060490.3 transcript:Solyc06g060490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKFAGKPIQAQFYSGQTNLEQMQDTPTRGARHRRAQSETFFRFPSFDDDMLLDDVVSDFSLDVQAPTLMQPANSPDSSSTGPALSAGPSDYPKPLAHYRSLSVDADFFDGLDFGGVPTEKKLMGSEPRHRHSNSMDGSFDTSSFESESISVKKAMAPDRLAELSLIDPKRAKRILANRQSAARSKERKTRYTSELERKVQTLQTEATTLSAQITVLQRDTSGLNAENKELKFRLQALEQQAHLRDALNDTLREELQRLKIEAGQLPSANGNRGMH >Solyc03g046300.2.1 pep chromosome:SL3.0:3:12244200:12245235:-1 gene:Solyc03g046300.2 transcript:Solyc03g046300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSLLEIKISLLQVEHQMDISPTLHFNFKKWNTTYNFSLATYWTQFFVKMEETNSDGPKHNEVNNFNYEENQPVGCSHCGLRNISQLPNYYGYQRVFWTALKSIKSLENFKGTTIVRTFALHILKVENGIEVGILQFYNSQVKEFSEAKKQGNKRLRLMDKTHDMLFRPDGHPSRYEHCPNENVVLYNDCVHWCLPGPSDSWNDFLLHMLKMEQDIF >Solyc07g043240.3.1 pep chromosome:SL3.0:7:57006534:57009529:-1 gene:Solyc07g043240.3 transcript:Solyc07g043240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4CEI4] MNPKAIYFPILIAIFAVLASTQPPRTYFNCFLDRCSTALSLFTVNRHHHHHHDHHRHDTDDDKTRQSPCDQFAPNFPTIDPSNTSIICVDHNGCCNFTTVQAAVDSVGNFSAKRSLIWISNGIYFEKVIIPKTKPNITFQGQGYTTTAIVWNDTANSANGTFYSGSVQVFSTNFIAKNISFMNVAPMPVPGAVGAQAVAIRIGGDEAAFWGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNGKSIYENCQLISIASPVAPGVKSINGAVTAHGRATKDENSGFVFVNCTLGGTGRIWLGRAWRSYSTVVFANTFMTDIVAPEGWNDLNDPTRDQTIFYGEYNCSGAGSNMALRAPYVQRLNDTQALPFLNSSFIDADLWLQPFSS >Solyc05g025820.3.1 pep chromosome:SL3.0:5:36961096:36963070:-1 gene:Solyc05g025820.3 transcript:Solyc05g025820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITWESLVPRCCISEKPKTSKLVSKQSTFHRISASDFSNSTISEDLSISLAGSNLHVFSVQELKVITQNFSSSNFLGEGGFGPVHKGFIDDKLRPGLKPQPVAVKFLDLDGTQGHREWLTEVIFLGQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRSILYHFHGQRG >Solyc08g060880.1.1 pep chromosome:SL3.0:8:45775191:45775721:1 gene:Solyc08g060880.1 transcript:Solyc08g060880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGLTTNNMPQGTWKRGESHQKKFCPSDEIPNLYRRLGKRKSTPTVLPGEGGFYRSRSKRNFDHRRSTFDKSQQAIVLQKPALTPDPFLIEANCFIHVVEQMGINEDMNVGLAIKDDISIPSSGRSSSTATKDLMSEKDIEDQEVE >Solyc12g041870.2.1 pep chromosome:SL3.0:12:56414495:56416205:-1 gene:Solyc12g041870.2 transcript:Solyc12g041870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVSEKESLSYASALPCNSLTKAYPFTEYTLLQKNLNWPLGWPIGGYRGPQGPYFCGVGAEKAFGRDIVNSHYKACLYAGVNIGGINAEVMAGQWEFQVGPTVGISPCDDLWVARYILVRIAEAAGVIVSFDPKPVEGDCNGTGAHTNYSTKSMRADGGLEVINKAIEKLGKRHKEHIVVYGVGNERRLTGEHETADINTFNFGIADRGASIRIGREMEKAGKGYLEDRRPFSNMDPYMKQPSCGNHDTSMIAFLHMNEN >Solyc01g109680.3.1 pep chromosome:SL3.0:1:96488177:96490167:-1 gene:Solyc01g109680.3 transcript:Solyc01g109680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENVQNEKTSSAVTPNPAMTSCRKKKSEQATFLEDIKDHMDEFIHASMDEHKTCFKKTIQKMFGMSKIVAERNAEAKEVESSLPLQTTLAK >Solyc11g030830.1.1 pep chromosome:SL3.0:11:23552439:23552977:-1 gene:Solyc11g030830.1 transcript:Solyc11g030830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGIWSKSTLKYFTSVPVLKHVTAGVDFGRKDFVYFLQIAYVRTSRKKGDEHNILMYPFYILGLAVVKGGSQFSFMHASLVTSSFIRENT >Solyc07g037930.1.1.1 pep chromosome:SL3.0:7:44342259:44342432:1 gene:Solyc07g037930.1 transcript:Solyc07g037930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLHSFILTKVFITPEFEASLITHMIFSSLFSNPVANSLNIWYSMSILKTQSIKEL >Solyc08g066170.3.1 pep chromosome:SL3.0:8:54638635:54639711:1 gene:Solyc08g066170.3 transcript:Solyc08g066170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPVTEEQKNQDVQDIIQDGFKGAVTTCALTSIVVFASTRYCPWAKANINYAGKTFIISALTAASFAFSAEQSIVKKHRGKERWE >Solyc01g068500.3.1 pep chromosome:SL3.0:1:77551895:77562710:1 gene:Solyc01g068500.3 transcript:Solyc01g068500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYDTHAIHIALLCKNEKRKIRTKMKLESVVVSAAINFGLAIFILSLFALLKKQPFYASIYYARRLYLGHRNLPDSEHSVFTFRRLLPEIDWIFRAVRVTEEEILQNCGLDVLVFVRLFKFGIRFFLVCSIVGLLVLLPLNYTGSTGPNTSSHSMDAFTISNISRGSDRLWVHFSFLCFVSCYGMYLLYKEYNDIFIKRIQQICNRRCEPEQFTILVREIPFCNEHKIRGCNVDHFFSKHHPYSYQSFEILYDGKHLDKLLAKSLTKKIEDLRHLSSLKKHSRYDAKIEQLEDMLQTLGREIRRVQCRITIEQKELPVAFVTFSSRWGAVLAAQSQQHTNPLLWITEMAPEPRDVIWQNLAIQYRHLPLYRIVILVAASLLTIFFVLPVTAVQGIAKYERLKKWFPPAMAVDLIPGLRSIVTGYLPSAILNGFIYIVPFAMIGLARLAGYISRSKKDLNACNMVFYFLVGNVFFLSLLSGSLLDQIGESFSHPKDIPNRLASAVSAQADFFVTYILTNGLSGFSLEILQPGLLLWDTLKSYTWDRGKKKCPYVYSLPYYRIVPFVALCMLIGIVYAVVSPLILPFLVGYFLLGYAVFINQIEDVYITTYETCGLYWPYIHHYIIVAIILMQVTMIGLFGLKAKPSASFSVIPLMVITILFNEYCKIRFLPTFNQVSVQDAKNNDDLDKKDRLEEENVRKALDAYSPPCLRPLDLGMEGTSSTKPFLSPT >Solyc01g006490.3.1 pep chromosome:SL3.0:1:1081162:1086682:-1 gene:Solyc01g006490.3 transcript:Solyc01g006490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVTVESVADKLKKQSLGNDGSNSKRMLKLEELNWDHSFVRELPADPRTDTIPREVLHACYTKMLPSVEVENPQLVVWSDSVAELLELDPKEFERPDFPLLFSGASPLVGAVPYAQNYGGHQFGMWAGQLGDGRAITLGEVLNSKSERWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHSLGIPTTRALCLVMTGKDVSRDMFYDGNPKDEPGAIVCRVAESFLRFGSYQLHASRGKEDLEIVRTLADYTIRHHFPHLENMCKSESISFSIGEEDDTVVDLTSNKYAAWAVEVAEQTASTIARWQGVGFTHGVMNTDNMSVLGLTIDYGPFGFLDAFDPSFTPNTTDLPGRRYCFANQPDIGLWNVAQFATSLSTAQLLNDKEANYAIERYGIKFMDDYQAIMTKKLGLVKYNKQMIGELLKNMAVDKVDYTNFFRLLSNIKADPTIPDNQLLIPLKAALLDIGQERKEAWTSWVKSYIQELSTIGVSDDERKASMNSVNPKYILRNYLCQSAIDAAEQDDFGEVRRLLKVMQRPFDEQPGMEKYARLPPAWAYRPGVGMLSCSS >Solyc02g030410.2.1 pep chromosome:SL3.0:2:26225870:26226295:1 gene:Solyc02g030410.2 transcript:Solyc02g030410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLKYTKKLLTLFIWHYPIVATCLRSMQEKEIFTFGVLILEILSSDRNRGFFHPDHYHNLLGHEHFLSIIYVKYISSSKKVIDAQLRQSCKLSEVQISPQVGILCVQKCPQDRLSMASVLLMLG >Solyc04g047820.1.1 pep chromosome:SL3.0:4:37752895:37754538:1 gene:Solyc04g047820.1 transcript:Solyc04g047820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTTYQALILCPLPLNILIMKSVSNQHSTMEMEKPSWRNLTKEMESDAITRENIRKFSSFVNPKEINDSTLDAIDWHEVHDATMDQVSKAINQRGMDKKLNFIKCLLLEQENVDLIWLRELEPEKAKEFLLSIHGLGVKSVECIRFLTLQHQAFPVDTNVGRVLVRLGWIPIQPLPRGQEMHLLNMYPDVKDVHKYLWPRLCTLDYLILYEFHHQMITFGKAECRHFGSSLQGLILLHYLDYINHILYLPIVDVSKYILPEKLMDSSSFSLKSLQ >Solyc06g048865.1.1 pep chromosome:SL3.0:6:31922480:31924502:1 gene:Solyc06g048865.1 transcript:Solyc06g048865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSRCATALWSRFRLQIVLSRRHGSRGGRGFLSVVLRLRHVASQGHPNSSQPSAIPSISAREPKISGKGRRIEKLLVEVLCFLPITVAGTEEILRVGKLVRSSLRSERVGKVLCPSRRLPPAHIVPVAAFEQVLLPIPSMKSSPR >Solyc11g030950.2.1.1 pep chromosome:SL3.0:11:23945803:23946559:1 gene:Solyc11g030950.2 transcript:Solyc11g030950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LREVRENMWWSKNLDLHAAGSQGSDFNAHTINNTRELG >Solyc11g012190.2.1 pep chromosome:SL3.0:11:5108602:5112576:1 gene:Solyc11g012190.2 transcript:Solyc11g012190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGVNETRFFIKNENPKTQSLSFKDLYINGWNSYWLMEESVWVSSRFRVSKMLKRGAEMGLSVCRTWAFSDGGGPNDLQLLPGVFNERVFKGLDFVIVEARKHNIRLILSLVNNLKAYGGTAQYMRWAREAGTNVSSSRDAFFTNPTVKAYYKSFVKAIVTRKNSLSGVKYSEEPAIFAWELINEPRCESSSSAAALQVWIAEMAGFVKSLDQKHLVTIGLEGFYGAEKTENVGLNPGKWAESLGVDFIENSAIENIDFTSVHAYPHSWIKGGINSDARLDFLSHWVDSHISEAEKILKKPVLFTEVGFPSSIQKNGLYDRNIFLKIVYEKIYESAKKKKAGAGALVWQLLVEGMERYGDQFSFIAWKHPSTYKLMVEQSCRLLNISSQGLTDKKLNQKNHCSANAS >Solyc02g088513.1.1 pep chromosome:SL3.0:2:51224417:51226516:1 gene:Solyc02g088513.1 transcript:Solyc02g088513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSSSKRTSAKNKHASISKDPKTPKKRSGKEAHDYSINTANDATVVRRTQSCLAEDSRGLPGVSESTERIERREAFWSTIKPARFGMNITSNSLLGVVRFITFGKFIALFGKTRIGRWLLLNFPSVFNLGFFRKKGPTEDEVASATFKKWFVGQGFSDGSLVSQGNRKLDMEIITRVIGPEIAYLTTPIILVQSALIFAQGTRQSSKRRCFPSWDCVWPDRPPRQASRECNIF >Solyc12g020110.2.1 pep chromosome:SL3.0:12:14053664:14059567:1 gene:Solyc12g020110.2 transcript:Solyc12g020110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEERDPVDVFMSLDRWPLSPLEDEEETDTVAAREMYMVGFVIVNVVGLQYYTGSISGREIVGLQREPLNQYDSNAIKVLNTRSVQVGHIERSAAMVLAPLLDANVITIDGIVPKVARQGNRYKLPCQVHIFARFEAFEIVKSAITNGGLYLIGENNPSFTLSEAQVVKEKRSTLEGRDVDEIFKLLDDKVSKKEELKPLEPPKNIIKSKLLLHQNEALWWLVQREISEELPPFWEEKEGNYVNVLTNYSTDKKPEPIRGGIFADDMGLGKTLTLLSLIALDKCGDVISSIKSGHLSSQRDDGLDEEEDTWAASFSKRNRRGTDSRNTDISRKKQKTEQIHTLHVKGKTVFSPDRRSANSNSGPTLVVCPPAVFSTWTSQIEEHTKPGSLKSYIYYGERTGDASELENYDIVLTTYSILASEDTWIDSPIKKIEWWRVILDEAHVIKNANAQQSRAVNNLKANRRWAVTGTPIQNNSFDLYSLMAFLRFEPLSIKSYWNSLIQRPLAQGDEKGVSRLQVLMSTMSLRRTKEKALTGLPSKSIETFVVELSGDEREIYDQMESEAKKIVNQYISSDSSMKNYWTVLSVIVRLRQICVDSALCPADLRSLLPSNKIGDVQSNPQLLEKMLSALQDDEGIDCPICIFPPTNGVITCCGHIFCKSCILKTIKRAKACCPLCRHPLTESDLFICPPEASNAANSGSSSTASSKVIALLKLLVASRDESPGRKSIVFSQFRKLLLLLEEPLKAAGFKILRLDGSMNAKKRCQVIKEFEIPAPEGPTILLASLKASGAGINLTAASRVYLMEPWWNPAVEEQAMDRVHRIGQKEDVKIVRMIARSTIEERILELQEKKKLLARKAFMKKSSQDQREISVNDLRTLMHL >Solyc09g098140.3.1 pep chromosome:SL3.0:9:72533636:72537784:1 gene:Solyc09g098140.3 transcript:Solyc09g098140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKITKIFLFFHQIYKYKITFEDYFECSSNIRDHFAMFYSRKYSRRKVLRESSKKPMSILWEKSGTWRWLVRRTKESKPFFIGFATVCGVIPGIIGFCVMSATNTRSPELEAQLRKNARPESLMMGKVNKERLAEYLGELQRKENTNDRYVAALKGETLTRKPYQRIQPVPNPSDIEAKKEQK >Solyc04g018055.1.1 pep chromosome:SL3.0:4:9316608:9325106:-1 gene:Solyc04g018055.1 transcript:Solyc04g018055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENPKIRPTKNHCSQAHCRLEFATPEKKLSKAQVHMKKVSDAKCMEVIEVIFSAGDWVYVKLKSYRQTYLRELPSHKLDKHFFRPFQILK >Solyc03g063720.3.1 pep chromosome:SL3.0:3:37876244:37883408:1 gene:Solyc03g063720.3 transcript:Solyc03g063720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEHGTSNLPWTWVIETLATSKEIDTSLLIDLVKQIPEISDEMGRNARELVSLRVLETLSVQEISHANNDASVPGDKIELNQSVHCEDVLHHLLFEVSSDQNMDGPELSKLDVQSYITKKRSCLPKCALQQIKDTVDATNPLATSLETNNGSEVGSNSRDGDCLNAVDSNGINQRCEVGGDAEHVLSSGNATTCLEANTNGVQENQSRTLVPYKRTIDAFTAHEGEHSETEPLSENSSGSCIRSPRRFKQEVISPRCDAVHDFESIQRDGVSTELSAQIPHAIVQKGNLENGALVGGLDGSCEGAASKMVRQNIDASNNDDLLPEIMISEEKIQNVVSSSKACDELRTSSGSSPQVIHQDSCICGAKDHRKCIQGNLFKGVSGGAKKNIVGSDEPEFSSDSDGYQNEMTGLSAMRNDFLSSQCAQGQDPLTTENGRVLNLCVKCNEAGQLLICSSNTCPLVVHQSCLGSVPSYDNEGNFYCPFCAYSRAISECLEFKNMSLLARKDLASFVGRRSKKSSRNSCRTKNLSREDEELCHDKNSKDVLNDVIEARSALVCTNSLNGKITEMPSPQPEASVTHEPVAAGPRSKISPTRLHKSKQKLSREEEKLCHNEYSKKKSLNQVQEPGNAPVSISSPNAEFTQIGSPQPDASAPPELVNGQSGFEEQCSEDEDTIASRYCVRFRNSDKN >Solyc01g010760.3.1 pep chromosome:SL3.0:1:5764955:5769427:1 gene:Solyc01g010760.3 transcript:Solyc01g010760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGPGLYSDIGKKARDLLYRDYVSDHKFTITTFSTTGVAITSSGLKKGELFLADVSTQLKNKNITTDVKVDTNSNLYTTITVDEPAPGLKTIFSFVVPDQKSGKVELQYLHEYAGINTSIGLTASPLVNFSGVAGNNIVALGTDVSFDTATGNFTKCNAGLSFSSSDLIASLALNDKGDTVSASYYHTVKPVTNTAVGAELTHSFSSNENTLTIGTQHLLDPLTTVKARVNSYGKASALIQHEWRPKSLFTISGEVDTRAIEKSAKIGLAVALKP >Solyc12g094510.2.1 pep chromosome:SL3.0:12:65712292:65716096:1 gene:Solyc12g094510.2 transcript:Solyc12g094510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSKLAEQLREYQIRSKHDWASVSFFSSTSNLTSSRVDVVIFVIWELVILAFLVFSAVSLYFRHLKLAFILVCVTLLLLLCMKIAKQVRSARKKKRRMLLPLSM >Solyc06g074350.3.1 pep chromosome:SL3.0:6:46113204:46115474:1 gene:Solyc06g074350.3 transcript:Solyc06g074350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKMCEPLVIGRVIGEVVDYFCPSVKMSVVYNNNKHVYNGHEFFPSSVTSKPRVEVHGGDLRSFFTLIMIDPDVLGPSDPYLREHLHWIVTDIPGTTDCSFGREVVGYEMPRPNIGIHRFVFLLFKQKKRQTISSAPVSRDQFSSRKFSEENELGSPVAAVFFNCQRETAARRR >Solyc06g050915.1.1 pep chromosome:SL3.0:6:33872833:33874127:1 gene:Solyc06g050915.1 transcript:Solyc06g050915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDLGGPDIVLHDSAPTELPVPVELQLPVPTELPPIPLLDPVYSIISSQPQLRKSTTGSKPPIWLQHFIHPVKGNRHSNQVIILVYVDDLLITGDDKAFIQEAKYLLHATFKINDLGPLKYFLGMEICRHQKGILLCQWKYALGLIDEVRLGGCKPEITPLEQNIKLTSLGYDQQCGLKEDPRLQDVRGYQKLIGRMHYLTLTRPDIAYSLKTLRQFMKAPKKSHLEAAYTM >Solyc06g060350.3.1 pep chromosome:SL3.0:6:38442896:38458725:1 gene:Solyc06g060350.3 transcript:Solyc06g060350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLSNEDPFQVLQSHHYYIEKRIILYYFKDTHGSVRASLLTYCPFHWVALNSRETSSKEMPDGDSSVVVKNDFFLKLSGRKNQKRQQNEQLYTESPEKIQTSCSPPEFATNKRTPRSKKKLARSTPEKRQLDVKERKNMLISGSTEACLVPRNLMEDESMLHSIPDLRMEAKKTAEEDSRRYAGKQIHPFFQSLKMGKKSQEVVDVESNWYSSEGERKSLTFSPIHVFEIVKEDETAFDWGHWIFSEACFLDAAVMLECGSSLLSEGSSTSLQFDNFSCISYPKRTLSQLNKMALNQHAIPQDEVVSDHSSRETKLYHSALSVVAEEQVSHSEQLKNVGVANLVDSLQNNLSSSDTKKQGQFLQGRIVFDYQNCPSQPKSCLWTNKYQPERAFQVCGNSKPVKLLSDWLHLWHEKASRTSKSSIQSDSDTLQDFSDSLYESEADSSNEERLKNVLLVSGPVGSGKSAAIYACAKEEGFQVIEVNASDWRNGALVKQRFGEAVESHWLQRMQKDPVYSEDKLVSGGGVIEAIPLSDEENAPNATGLQRKQVFREEITANYQGETNTLILFEDVDTALCEDRGFVSTIQQLAETAKRPMILTSNSDNPVLPNNLDRLHVCFMRPSLEELLGLVHMVCAGEQVKIHPMLVERFVDHCHGDIRKTIMYLQFWCQGQTLEKGDDLKLRYCPLQFDLDAGHLLLPKIIPCDDFSTPLSELVDEEITKSMRVEEDSYVINEIAEEDELYNITGKHNSRNHDMGANNVNGKKDAMLSLLYSFQDHNECTMFGTNSEFSDASESPIAFTRRNTLRKLDRVMSSDSEEECSRVPLSLDQPDTINEEIETVCSSPSHFSATEISCSLLTENRHFKAKRLKRNYLETTDYSTVNVVSKSVNISCVPESSFIPETLLTTSSELISNTESYNDMDVKVEADYCSNLSLTSMYPLEVEKLDETVLLSSKYQELQNCSSDRITKSIPGEVGSSDRITKTIPGEVGSSDRITKSIPREVMEHFNGKCMEDVPSGYRVLDECSHMDFTKNSTSCKTSVQLNLNTSVQETWRRLREGCLDLKQYITPEQKESSQILNVAHEMSDLISVADLLLTDCKHLLPDSLEASMIPAEESHSYNWHDDQLKMFSIFAQHGVCCYAKEITSLRPSTSSVHEVDLTWEMLTSTNSTMALGKMVGQSRGEHEGLHLKLPRICHSFRSKADPNAYNLLQSLVPLRSHIAMKGDSLHEYLSSLSQISRFGTTRLSESIDRRRQRRARAGEHYLSSGRLGLSQDDISLLGQYNCYQKDLPGSET >Solyc10g006510.3.1 pep chromosome:SL3.0:10:1080112:1083998:-1 gene:Solyc10g006510.3 transcript:Solyc10g006510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPENTNWLYDYHYEDIVTPDVNFSVSGYSWSMQGFNGSTNASVDIDGSLGESDCVKESGCKKRTRAESCTSSSSKACREKLRREKLNEKFMELAVLLEPSRPPKTDKSAILVDAVRVVTQLRGEAQKLKDSNLDLQEKIKELKVEKNELRDEKQRLKSEKEKLEQQLKTMSAQPSFLPPAMPGAFASPVQAAGTKLVPIISYPGVAMWQFMPPAAIDTSQDHVLRPPVA >Solyc05g053540.3.1 pep chromosome:SL3.0:5:64466995:64474048:-1 gene:Solyc05g053540.3 transcript:Solyc05g053540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASLLSPPLHPTTQKIPSFNIQCRPYHSRLPPTLTIRAAGAPPETTNSTTPSQKLNKFSSRITEPKSQGGSQAILYGVGLSDDDMKKPQIGISSVWYEGNTCNMHLLKLAEAVKEGVQEADMVGFRFNTIGVSDAISMGTRGMCFSLQSRDLIADSIETVMSAQWYDGNIAIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGHTFDIVSAFQVYGEYVSGGVSDEQRMNVVRNSCPGAGACGGMYTANTMASAIETLGMSLPYSSSTPAEDPLKLDECRLAGKYLLELLKMDLKPRDIITKSSLRNAMVMVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVIRHLLELGYLDGDCMTVTGKTLAENAKLFPSLAEGQQIIRPLSNPIKETGHIQILYGNLAPEGSVAKITGKEGMYFKGPALVFEGEEAMIAAISEDPLSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGYVVGHICPEAQEGGPIGLVQNGDIIAIDIQKKKMDVQLSDEELELRRKNWTPPAYKADRGVLHKYIKNVQSASKGCVTDE >Solyc07g006540.3.1 pep chromosome:SL3.0:7:1345001:1349434:-1 gene:Solyc07g006540.3 transcript:Solyc07g006540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGLSTIQQTLTPEAATVLNHSIAEASRRNHGQTTPLHVAATLLSSPSGYLRQACIRSHPNSSHPLQCRALELCFSVALERLPTAQNMLQGTEPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKNTIEQSLTQTSSSSQHHQTNINLSPFTAMGGGSRIIGANPVTPVQVTRNMYLNPKLQGGGGGGGVGVGGQLGSLQRGEEVKKVLEILLRSKKKNPVLVGEGEPESVVKELFNKIEKGELSEGHLKNLQIVQMDKEFSFSCDKIQMLNKIKELEGVIESKMSNGSGGVILDLGDLKWLVEQQQQPMISEIGKAAVAEMGKLLARFREDNSNSSNNNNRLWLIGTATCETYLRCQVYHSTMENDWDLQAVPIASRSPHPGIFPRLGNERVLGSSLDHLNPLKSFAGPMPSLPRRVPENLNPRLRTSCCPQCKEKFEHELAKLASEFENSSSEAKSESPPRPQLPQWLQSAKLKNDSKATALSQIKDQGLLLQKTQELQKKWNDTCLQLHPNFQHSVGLHRTVPPVLSMPGLYNPNLLLRQPLQPKLVPSRSLGVSLQLNTTQTASRSPEKVATPPGSPVRTDLVLGPKPSGTGPEKTLEDQAKDFLSCISSVPQNKLLDKFASALDADTFKRLLKGLMEKAWWQQDAASSVASAVSRCRLGNGKQRGGAPKGDIWLLFTGPDRYAKRKMASVLAEQMCGNSPIMISLGSQRDDEESDVGFRGKTAVDRIAEAVRRHPLSVIMLEDIDEANVLVRGSIKRAMDRGRLTDSHGREISLGNVIFILTGNWSTMSPESYRNEYLMEEKKLVSLASSDWQLRLTVGEKSAKRRASWLHDQDRPRKELNLGLSFDLNEAAEFEDYRTDGSHNSSDLTVEREEDPHLENRRFSVTSVPHELVSSADDTIPFKPIEFLFARREIQKTISKKFSMVIVDDKVSIEVEDEIVDRILGGLWRGRTSLEQWVEKVLGPSFDQIQPRLPSSDENTIVRLQLELLHTDSNSHNNGECLPSKVTILEDGQ >Solyc01g099925.1.1 pep chromosome:SL3.0:1:89922627:89924818:1 gene:Solyc01g099925.1 transcript:Solyc01g099925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYELPSLPLPCSQICPLGDSAELGACAVALYSSVSAAGDSGGDAAGDCDGDPAGDPEGDFSGDSDSGASAGASVGDFAGADAGAAAPPTVALMFTVLQDNSRWLTEICPALCCHNAVLFFHRLSYRESAVLEHSSSIPKNKIDGASDRTIPIKLSIGVGTESVLVTVHMAIVED >Solyc02g014405.1.1 pep chromosome:SL3.0:2:16727897:16732615:1 gene:Solyc02g014405.1 transcript:Solyc02g014405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNSLNPGEITFATTAASKMYVYLSMENVTSLIQKFATKTVQIQTIESANGRNIPIDEAMFKNRMTVSELLDSD >Solyc07g043170.3.1.1 pep chromosome:SL3.0:7:56917638:56919098:-1 gene:Solyc07g043170.3 transcript:Solyc07g043170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLNKKTELVFIPVPGMGHLVPTLEMAKVLIARDEHLVITVLVIKLPCDNKLSSYIESVSTNPNYNSQMKFIELPQDESILQSITNTTFITFLSSHKPQVRNSVIEILNSGSNRLAALVIDMMCTAMIDVANEFGLPTYVFYTSGAAMLGLQLHLQSLRDDFNEDVTDYEDDPEAELSVTTYGNPFPAKCLPSIAFDKDGGSTMYLDLSKRLREAKAILVNTFSEFESHAVKSLSLDEKIPLVYPVGPLLNLDNDQVNNQDSSQHQTIINWLDDQPDSSVVYLCFGSLGSFNEEQIKEIAYALEKSGCRFLWSLKKPLAKDTFFPAAYDNPEDVLPEGFLQRTEAIGKVIGWAPQVAILSHDAVGGFVSHCGWNSTLESMWFGVPLATWPIYSEQQANAFQLVKDLEIAVEIKMDYRKDLRGTESNVIVKAEEIEKAIKQLMEPENEIRLKVKGMKEKSRLVLKEGGSSYNAVGHFIEQVMDITN >Solyc01g094530.3.1 pep chromosome:SL3.0:1:85837298:85847022:-1 gene:Solyc01g094530.3 transcript:Solyc01g094530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQECRVPVKVNCVISSPACLSGGVAKNHWAYGYFSYVDRWSVIEFLGEVPLLQRLPSSSLIKIAQLVKVKRYDRGDYVIREGEAGDGIYFIWDGEAEVCGFSQADDENRLEFQLKKFDYFGHGESYVLGAYKVQYVMAGLTTSTQPAEVIALSKLTCLVLPHEHNNLLQPKSIWNADKERDTCALVEHILHLEPREVNIFQGITLPDAPVNNNVFGGQFLGQALAAASKTVDFLKIVHSLHAYFLLIGDSDIPIIYQVYRVRDGKSFATRRVDAIQKGNIVFTLVASFQKDEDGFDHQEAKMPNVPDPETLLSLEDLREMRKTDPRLPRTYRNKVATANFVPWPIEIRFCEPNNATSHTKSPPSLRYWFRAKGKLSDDQALHRCVAAYASDLIFNTVSLNPHRRKGFKIAKVSLDHSIWFHRSLRADDWILFVMHSPTAYNARGFVTGQMFNRKGELVVSLTQEALLRPARKQPVFQPKL >Solyc12g098240.1.1.1 pep chromosome:SL3.0:12:66821961:66822209:-1 gene:Solyc12g098240.1 transcript:Solyc12g098240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTRVIGIILLIVLFSGIMVSADVLGRRMLGAGGVGGGGGPGLGGFGFGSGVSVGEANFGTGFGGGVLGGQGLGAGGGD >Solyc04g009760.2.1.1 pep chromosome:SL3.0:4:3073421:3076398:-1 gene:Solyc04g009760.2 transcript:Solyc04g009760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTPFVISFSLLLSFPLLFLFAPQIFPPKHVEISIPDELDDLALFRRATLASIDMNGGAISRLGTTNPRMKIAFLFLTNTNLHFSPLWERFFANHDDLFNIYIHADPSSKITPPTGRVFKGRFIASKRTQRASPTLISAARRLMATALLDDPLNYYFALVSQHCIPLHSFNFIYNSLFQSQFPEYRSFIQILSNESYMWDRYVARGETAMLPEVPFDRFRFGSQFFVLTRRHALVVIRDRRLWRKFRMTCLNEDSCYPEEHYFPTLLSMEDPQGCTQYTLTRVNWTESVDGHPRTYFPREISPDLIYKLRESNSTYSHMFARKFSPDCLKPLMDIADKVIFRN >Solyc01g010210.1.1.1 pep chromosome:SL3.0:1:4928331:4928888:1 gene:Solyc01g010210.1 transcript:Solyc01g010210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPGRVLGPTAGSITSHLLAFFPCFVALIHSEIPCPKVKGRREGKRRKVACPKGKKEGLFFAGLFIERCGRCCLYAFLPILLFLKGQMSTMLSLTSDLFYLLERSPRIPARNLTPAFVKKSILNFISCLSSSLSYLLSCSLLKPGGECLLSASILYSYPSLSFIENLPLPRYPPSNKLILMKMK >Solyc03g044470.3.1 pep chromosome:SL3.0:3:9353777:9357403:1 gene:Solyc03g044470.3 transcript:Solyc03g044470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLSSAKFHTSILTQSLPSSSSRSFSVGKRFCCSSSSTSMEHHESKFKEFPYASVPHRELMVELVSTVENRLGESLLPCTLPSHVQYFENESATAHASLYVRSGNSSSQVDFILGSWVHCNLPTGGALNITSLSVYLRPSTDAPNFLIEVIQSSPTTLILILDLPPRKDLVQHPDYLKTFYEETQLDKQRQLLEKLPEVKPYFSSSLYIRALVSPSAILVSIETDPSQAIRIDEIIQDHISPVAKVMLDTWLNLCACTERRLTDDESKDLAKRDQIIKNKTIEIDLESSFPRLFGQQVANQVLGVLREIYNS >Solyc03g063420.2.1 pep chromosome:SL3.0:3:36767871:36777655:-1 gene:Solyc03g063420.2 transcript:Solyc03g063420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKINFNGLEQTAPLRRESSELFNGISNSPSFHLPNTANFDGFQKEAIQMVKPAKGTTTLAFIFKGGVMVVVDSRASMPFAHYCLYVKQCEEVLELLINFFYYDHPLVNGSCDLVAYPVTLSNDLIRDMEDLIIFMIEFFLVQVNLYLTGLSIKCLLVLKISTFYKISSVAYSTSQSVKKIIEINPYMLGTRLVGLLAANSGIETWESSHRFLFVIQCRLHELENERRISVTGASKMLANILYSYRGMGLSVGTMIADWDVKGPGLYYVDSDGGRLKGNRFSAGSCSPYAFGVLDNGCQTTELLRPLCNSNFPPYVVSLYRYDLSMEEVAELARRAIYHATFRDGASGGVASVYHVGPNEWKKLLGDDVGELHYHYYPVEVAAVEQEMAEVPLA >Solyc02g083410.3.1 pep chromosome:SL3.0:2:47382573:47387213:1 gene:Solyc02g083410.3 transcript:Solyc02g083410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFFQSQQHIWGGVSAGILIILFGSIFFCFKRKLFACFRLPKNQKGTLKAEQLMLKLFQLEQLQKATNNFSQECLVGSGAFGNVYRGTFDGEGTLAIKKAHTELYTTTEEFENEIRLVSKVKHKNLVSLVGFCQGAGPKGAKILVYEYVPNGSLLDYIMGRGGRSLTWRQRVRIAIGAAKGIAHLHEGIRPSIIHRDIKPSNILVGENFEVKVSDFGLVKSGPVGDQSHVSSQIKGTPGYLDPAYCTSLQLTPFTDVYSYGVILLQLVAARPVVEKTRGHPNYHIIDWARPSLERGSIDEIIDANLLMEECNMEMMLKMGQLGLKCVVKVPKQRPTMTQVLQELESAFFSAENIMHKQPSIGSFKLASLSSQSLVRGSHRMKQLDYSQSSVSMDGIRLQKFYMDIDGLSFGSPKLRCLDTYSIGFEDDSFELTGTSQETSTSGNEELRLNI >Solyc02g078140.3.1 pep chromosome:SL3.0:2:43482195:43488082:-1 gene:Solyc02g078140.3 transcript:Solyc02g078140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEANSWIRRTKFSHTVCHRLDSARLTSIPISTIQSGRIAGAKTRPGSGSFNSIPEPTVAPLQRNPTTNKHRTVSPHPETSVPDTFKEARSSQKRFSTPRPQRKEHEKKIVSKSFYKDSRESKSPTLRSPGSRSPSNTSPLRHFTSFKFHDKTKSRKDSAWSRYFDHGVGRVSSVETADEYTIDLSKLFLGLRFAHGAHSQLYHGKYKDEAVAVKIIRVPDDDEIGALGIRLENQFIREVTLLSRLHHPNIIKFVGACKQPPVFCVVTEYISEGSLRAHLHKLEHKSLSLDKLLALAMDIALGMEYIHSQGIIHRDLKPENILIDEDFHLKIADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYGFGLILWEMVSGTIPYEDMTPVQAAFAVVNKNMRPPIHGDCPTAMRALIELCWALQPDKRPEFWQIVKVLEQFESSVAYDGTLNLVQKTMCLDHKKGLHHWIQKLGHVHQNASLHQNVLSMPMPKPKFA >Solyc02g069960.3.1 pep chromosome:SL3.0:2:40305536:40308744:-1 gene:Solyc02g069960.3 transcript:Solyc02g069960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKISFRFHPTDEELVGFYLRRKIEKKIIGLEIIKQIDIYKYDPWDLPKGSNNNGEKEWYFFCKRGRKYKNSLRPNRVTGGSGFWKATGIDRPIYSSGKCIGLKKSLVYYRGSAGKGTKTEWQMHEFRLPVENDKSTKHLHANTIPQQDETWTLCRILKRNVSYKKPIPDWKEVAKKQRNNSVMNMDVLSSKICSNSSIESSPNSSQIFISFSTTSVVKQNTYTNTITENKHQFVSQQSSGTSSQSTTVGAASTPLDFNEWLEHGNWDELESIFELSFDPLF >Solyc07g053480.3.1 pep chromosome:SL3.0:7:62054552:62057418:1 gene:Solyc07g053480.3 transcript:Solyc07g053480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFSRNEFSLESKSTIGVEFATRSIHVEDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVSTEDAKAFAEKESTFFMETSALESMNVENAFTEVLTQIYRVVSKKALEAGDDPAALPKGQTINVGSKDDVSAVKKVGCCSS >Solyc07g020720.1.1.1 pep chromosome:SL3.0:7:13315949:13316266:-1 gene:Solyc07g020720.1 transcript:Solyc07g020720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTVLNVANSRIYGTIPYSLCSSTSLSSPYVCNNNLSGQLPVSLKKCQGLKVLDLGRNRLSAKIPEWIGTKLAGSVILCWRNIRNSTIIIIIIIIIIIINIRK >Solyc05g056230.3.1 pep chromosome:SL3.0:5:66434591:66440774:-1 gene:Solyc05g056230.3 transcript:Solyc05g056230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKNEKRKSLLLVSSLESFLLVLLLFSIITSSLSEIFFEEQFDDDWQSRWVKSDWKRSEGKAGSFKHTAGKWAGDPDDKGIQTSTDAKHFAISAKIPEFSNKNRTLVVQYSIKLEQDIECGGGYIKLLSGYVNQKKFGGDTPYSMMFGPDICGTQTKKLHVILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSIWIDGRERDSGSMYTDWDIFPPRKIKDVNAKKPADWDDREYIEDPNEVKPEGYESIPKEIPNPKAKKPDHWDDEEDGIWRPPKIPNPAYKGPWKRKKVKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSLFDNILICDDPDYAKKVIEEVFANRETEKEAFEEAEKVRKAKEEERYRRDYDDDYHDEL >Solyc02g080330.3.1 pep chromosome:SL3.0:2:45133349:45135375:1 gene:Solyc02g080330.3 transcript:Solyc02g080330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLLGLAILFLFIWWKYWSITGGGKKNLPPGPPGWPLVGNLFQVILQRRPFIYVVRDLRKKYGPIFTMQMGQRTLVIITSSELIHEALVQNGPLFASRPPDSPIRLIFSVGKCAINSAEYGPLWRALRRNFVTELINPTRIKQCSWIRKWAMEYHMKRLEYEVSENGFVEVMANCRLTICSILICLCFGAKISEERIKKIESILKDVMLITAPQLPDFLPVLTPLFRSQVKQAKKLRQTQLEYLTPLVRDRKAFVDSNGDPNSSESEMVSPIGAAYVDSLFSLEPPGRKLGEAEIITLVSETIGAGTDTSATALEWALLHLVMNQEIQEKLYEEIVDCVGKNGSISESDVEKLPYLGAIVKETFRRHPPSHFVLSHSVTNDTQLGGYNIPSDAYVEFYTAWLTEDPSLWKDPTEFRPERFLTGDGVDVDLTGMRGVKMLPFGAGRRICPAWSLGTLHINLMLAKMVHKFKWIPIPGNPPDPTETFAFTVVMKNPLKAIILPRI >Solyc01g005710.2.1.1 pep chromosome:SL3.0:1:486502:487105:1 gene:Solyc01g005710.2 transcript:Solyc01g005710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVKLVFFMLYTFLCQLAFSSSSPHLCPIDQALSLLQFKNMFNINPNASDYCYDISTGVEIQSYPRTLFWNKSTDCCSWDGVHCDKMIGLVIELDLRCSQLQGKFHSNGSLFQLFNLKRLDLSFNNFTGSLISPKFGEFSSLMHLDLLDSGFTGIIPSEICHLSKLRSAYLD >Solyc09g066050.2.1 pep chromosome:SL3.0:9:64599411:64609472:1 gene:Solyc09g066050.2 transcript:Solyc09g066050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAMKKTMCPKCDGPPIKKGKDLYIENRKSKCERVSKHISSVPERPSVTVSNLAPSKSNLVYPPSFGQEYNTNNNVQAHSVNTNNISIMSPSRQEHYELHDRQKTKMFEIVVASMNEMVELWKINDPIWVDSSSEGRCSIHRESYERIFPNPNRPYYQSSANRIESSKDCEVVSMTASELIHNFLDPVKWMELFPTIVTKARTVEVLDSGTWGGSIQLMYEKLHILSPLVEARDFFFIRSCRQFDPRTWIMMDVSYDPFNEIQSGVHSYSWKFPSGCAIQDIGNGQSKVTWVEHVQVYEKKQVHRIFRDLLCDRQTYGAKRWIVTLQRMSERYNFAMGAICPTRHDFNVAREGMKNTIQISQRMVKNFFEILSMTDNLDFPTSSRLNRGDRISIRINEEIIQPKGFIATAATSLWLPLSFQDVFNFFKDDKTRSQWDILTGGNNVIELARVLTGTLPENSITIIQPCMQKEMLVIQETSIDSMGAFLVYAPIDLQAITSIVNGGDVKRVPILPSGITISPDGRLSSDRDSTANAENGSILTVTFQILIRRIK >Solyc01g110480.3.1 pep chromosome:SL3.0:1:97093044:97095670:1 gene:Solyc01g110480.3 transcript:Solyc01g110480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDNDEGHSDMVQRLQSSFGTSSSSLPKQLQPISMNQLDIPQLTTSQFRGQMRQFSPNFGVENSKRVGIPPSHPQMPPISPYSQIPVTRPGNQQMGMQNFTSAGPSHSRSLSQPAFFSLDSLPPLSPSPYRESPSTSMSDPISADVSMGDQDGNSHSLLPPTPFSRCNSSRAGESLPPRKAHRRSNSDIPFGFSGIMQSSPPLVPLRSPGALERSVPSRDNLGGKPVQLVKRESMWERGNDNNNVEGMGERKSEGEVVDDLFSAYMNLDNIDAFNSSGTDEKLGIENREDLDSRASGTKTNGGDSSDNEATSSVNDSSSGSMQKREGVKRSAVGDIAPTTRHYRSVSMDSFMGKLNFIDDSPKLPPSPGPRPGQLSPTNSLDGNSNSFSLEFGNGEFSGAELKKIMANEKLAEIALADPKRAKRILANRQSAARSKERKMRYIAELEHKVQTLQTEATTLSAQLTLLQRDATGLTSQNSELKFRLQAMEQQAQLRDALNEALTAEVQRLKIATAELSADASKFQQLSLNPQMFQSQQQQS >Solyc12g008610.2.1.1 pep chromosome:SL3.0:12:2001422:2001875:-1 gene:Solyc12g008610.2 transcript:Solyc12g008610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTQAKYISLLPNSIRKTSDFSSRNLALNHSNSFSRNGVLPNLHLRLQKPIVSAWKKKKPSGSVRSTKIMLESAYFIASKLKLLPEPLDSIIREFGGGNGGGGGFRFGLGGFDGWGRRKVEKR >Solyc03g123910.3.1 pep chromosome:SL3.0:3:72111741:72116095:-1 gene:Solyc03g123910.3 transcript:Solyc03g123910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIGKATSSSTTKLVFLCVALLGTFLLVDLLWASSSSFNWPLPPSSNLLFFPNNTLPISNKKGGDARILSATFADLPAPLLNWEKMAPSPVPRLDGAALQINDLLFVFAGYGTIDHVHSHVDIYNFTANSWGGTFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTKTKQWQDLPPLPLPRYAPATQLWRGRLHVMGGSKENRHTPGLEHWSIAVKDGKALETEWRAEIPIPRGGPHRACVVFDDRLYVFGGQEGDFMAKPGSPIFKCSRRNEVVYDDVYMLDDDMTWKVLTPMPKPDSHIEFAWAIVNRSLVIVGGTTEKHPNTKKMTLVGEILQFQFDTQTWKVLGKLPYRVKTTLVGFWNGWLYFTSGQRDKGPDDPSPRKVIGEMWRTKLKLL >Solyc10g049300.2.1 pep chromosome:SL3.0:10:44852957:44854882:1 gene:Solyc10g049300.2 transcript:Solyc10g049300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNMQQAAVMNNNIQQPPVSFPPPQGYHPQAYPNGMYPPPQVYSPQAQGHGYPQGNYPPQGVGYYPQPQGYPPPMGMGYPPQGYPPQYGAPPQGQGYPPQKQKQNQAATGVMGGCDLQQKVVIPSYRVESKDHRRISLYFS >Solyc02g078210.3.1 pep chromosome:SL3.0:2:43533904:43543218:1 gene:Solyc02g078210.3 transcript:Solyc02g078210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC24 [Source:UniProtKB/TrEMBL;Acc:K4B946] MDTSLSDFDSFSESSSYDDQDYVEYLYGGHACSILSSLEESIGKIDDFLSFERVFMYGDIVCSEKEPSGQMGKVVNVEMTVDLECIYGSKIQDVNSKDLVKIRPISVGDYVVMGPWLGKVEKIVDKIKVLFDDGAKSEFSAEASEILTPISPDLVEDPQFPFYPGQRVQVQSVSASGSTSWLCGVRSGKREQGTIYAVEAGVVHVDWIGCGSLGCEKMPSPPTLQDSEKLTLLSCYSHAKWQLGDCCVLPVADSKNIVRKSIQSSPPCGPMEQDRQLNKASQKTNRSSTFLQVAVISKTRTKVDILWQDGSVTTGLDSDSVFPVNIVDAHEFWPEHFVLEKGMGDDSSVPSPKRWGVVRCVDAKERTVKVKWTTYSLHEPNNFRVEQSEEIVSAYELMDHPDYSYCLGDAVCKFCEDQVFSLEGKSLSTHMFSETGMDSNTDLKNVDTGKDNLDFPKYDHLSCIGIIVGFKDGDIEIKWATGFTSMVAPFEIYRIDKCEAAVSISASNAENAEPSNVEMSSNESQLSKHEEKGLLKFGSNSESCNESLWDSGSCLISRTAVGFFSSITSTLFGSLSISLFGTYQTISEEGQKSRIVDEEEVIELSHLNAGIPTFENLKASPEMELEQVQETTEGQKDDALPSSSNLPEHFKQFDVVTDFSDHHFADGAGKAQLSQVRRGWLKKVQQEWSILERDLPETIYVRIYEERTDLIRAAIIGAPATPYHDGIFFFDIYLPPDYPHEPPMVYYHSGGLRVNPNLYESGKVCLSLLNTWTGSGNEVWNPKSSTILQVLLSLQALVLNEKPYFNEAGYDAQIGKADGEKNSVSYNENAFLVTWKSMLYLLHKPPKHFDALVQEHFGNRWKNILLACKAYMDGAPVGSAFQPKNQDKEPIKGSSTGFKIMLGKLYPKLVEAFSNKGIDCSQLSD >Solyc09g072790.3.1 pep chromosome:SL3.0:9:65914513:65917925:-1 gene:Solyc09g072790.3 transcript:Solyc09g072790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEGKLFVGGLGWDIKEDKLRDYFTHYGQVTHAIIMRDRVTGLSRGFAFVVFSDPSVIDAILQEKHTIDGRPVEAKRALPRAQQQSLRSRLPHASEDTRLVMRNLNNRTRKIFVGGLPSSLTEEEFCQYFQDYGNVTDKVIMFDPNTGRPRGFGFITFDSEDAVDRVLHKTFHELKNRIVEVKRALPKEANPAGNNYGGGYPGYGSSDPIRFPQPAFCGYTPYNSYGALNYGYGYGYDPYTFYGGAARVYMNPSLASIVYGNSLPGATRNQWSSQNLGHGDFYNLNASYGASSSLGASSTRGVILSSTSTSQGHASQNNNQGNGCSMYTENEGPITDSDGNETGDRHTGSAPPNSSSGEATTHANGCSTTTTVFDSSTGSPGFPDAVLVSDK >Solyc03g095240.3.1 pep chromosome:SL3.0:3:57637010:57657651:1 gene:Solyc03g095240.3 transcript:Solyc03g095240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKSAVPDALKQEISNSTPSQLPSTCSSLLHFFHKLPQFHQMIKDLTDPSVALCCKDRNAALENKLKGNECFSNGEYSNALLFYSKALRFAPVDMGDMEINLVALLYVNRASTLQKMGLLLECLRDCSRALRVSPRYAKVNAAGWFRRGKANISLGKFEDAIRDLNISLMLEISSSGKRQIEAELKIALDKFKRIGIPGKKTNQNQSEVPDEPDQVKLQCLLTTTKGRGMFCVDDVSEASLVHKEDPYAAVILKKCRETHCHFCFNELPADAISCVSCSIPLYCSDWCQKQAGAPKFDRSSNSFSDLEGLADDLKNYMSDVVLAGASTLDTGHIAEHRHECQGFHWPLILPSEVVLAGRILVKVIEQNRHASADSNLVGFLDLSHNYVKLPPESKLEMHIYSIILLHCLQHVYRTELPISGIMLVILLSQIQVNSMAVVRMQAPKVKGPIYEPGNALTSNLEQVKVGQAVYVAGSFFNHSCQPNIHTYFLSRTLHIQATEYVLAGSELELSYGPQVGQWDCKDRQRLLEDRYSFTCQCTGCSELNVSDLVINAYRCTKLNCLGVILDRTVTTCEKQKLKLLIDAPTVYSKQVEKLKDDNIAEVACHVFESDYKLEPQHCLVCDSYRDLESSCAAISQTENCCKRLQDAIASNEVPTNILRDAVKYTDLLRTIFHPYNKIIAEVEDNLAQAFCLVGELQAAIDHCKASIQILEKLYGANHIAIGNELIKLVSLQILVGDTAASGSISRITAILSRYYGSHADEIYPYLRHLKGRQDAD >Solyc01g102705.1.1 pep chromosome:SL3.0:1:91318651:91318958:1 gene:Solyc01g102705.1 transcript:Solyc01g102705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVTMVTFLSYILATVPRNSIALCAVMVIDPAEFTQRFKPDHTKWATQIVLDRNIRNQSKKSSIDETEDHTFSEEIS >Solyc01g091130.3.1 pep chromosome:SL3.0:1:84710295:84714218:-1 gene:Solyc01g091130.3 transcript:Solyc01g091130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGLGWDVKVLDGLGYEELEKLTGVENFPKFKIPSRPVKGSMPEIEFEHPDCVLLVFPSGLSEFKVAYKELSCAISDFSGLDWKGKPNVLSKEHICWDIIYRTAEAAKKPLTMSNLSVVDPFQSSGTFSESSYKDLSLRELVRKRRSAVDMDGSTVMSKETFYQILLHCVPSGSHGGKKHVRQLTLPFRSLAWDSEVHAALFVHRVVGLPKGLYFLVRNENHLDDLKKDTRAEFKWVKPDGCPDDLPLYELASGDCRELSKRLSCHQDIASDGCFSLGMIAHFEPTLRNKGSWMYPRLFWETGVLGQVLYLESHAVGISATGIGCFFDDPVHEVLGLKGSKFQSLYHFTVGGPVVDKRIMSLPAYPGTSDDA >Solyc06g009220.3.1 pep chromosome:SL3.0:6:3154243:3179713:1 gene:Solyc06g009220.3 transcript:Solyc06g009220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGPPQIVQKCTTGIVTVNRTNIVQRTHRHDLRQLSRRDSLRLFSSDHRILKFCTSEEALLPRLVAAAKLQEEAPQMLDTLPSFEVSPGLTHPLGVSEIESGINFAIFSQHASAVTLCIILPKSVHDGMIELALDPQKNRTGDIWHICIKELPRGGVLYGYRIDGPRNWHEGHRFDDSIILIDPYAKLIEGRRAFGDESNKMCRFFGTYDFNSLPFDWGENYKLPNVPEKDLVIYEMNVRAFTADETSSLDQDQRGSYLGLIEKIPHLLELGVNTVELLPVFEFDELELQRRPNPRDHMINTWGYSTINFFAPMSRYASCGGGPVRASWELKEMVKALHGAGIEVILDVVYNHTNEADDENPYTTSFRGIDNKVYYMVDLNNNAQLLNFSGCGNTFNCNHPTVMELILESLRHWVTEYHVDGFRFDLASVLCRGTDGTPINAPPLVKAISRDSVLSRCKIIAEPWDCGGLYLVGKFPNWDRWAEWNGKYRDDIRRFIKGDAGMKGNFATRIAGSADLYRVNKRKPYHSVNFVIAHDGFTLYDLVSYNNKHNDANGEGGNDGCNDNFSWNCGIEGETSDANVNELRSRQMKNFHLALMVSQGTPMMLMGDEYGHTRYGNNNSYGHDTAINNFQWGQLEARRNDHFRFFSKMIKFRLSHNVLRKENFIEKNDITWLEDNWYNEESRFLAFMLHDGNGGDIYLAFNAHHFSIKTAIPSPPRNRSWYRVEDKVLYYILMLTPY >Solyc06g069820.3.1 pep chromosome:SL3.0:6:43590836:43593213:1 gene:Solyc06g069820.3 transcript:Solyc06g069820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTCDTKLSMKLLIDTKAGKVLFAEADKDCVDFLFHILSLPAGTVISLLKEKGMSGSLPNLYESVENLKDTYIQSNQCKDILLKPKSSVGISPVPFLLLDGHVTTREKTFYGCSYSSSHLTVSDDPTALCTICNHTMSDKLVYAAPRVAGGAVEAKGKGGFVKDVVTYMVADDLVVKPMSTISCIALLNKFNVRDVGVLEEEVVSFGVEEALELLKASLESKTVLTSVFMSRREKAEK >Solyc01g098580.3.1 pep chromosome:SL3.0:1:88941778:88944975:1 gene:Solyc01g098580.3 transcript:Solyc01g098580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHFQKTFKFKTHNFFYKTIKGRRTMINSTTESTDVPTQKYHLPKRIILVRHGESQGNKDDAAYGVTPDYKIPLTAQGIEQAKQAGSRILDVVSGHGSSDNWKVYFYVSPYVRTRSTLREIGRAFPKNRMLGVREECRVREQDFGNFQVAERMKVIKETREKFGRFFYRFPEGESAADVYDRVSHFLETLWRDVDMNRHHHNPNEELNLVIVSHGLASRVFLMKWFKWTVEQFEYLNNLGNCEFRVMELGAGGEYSLAVHHTDEEMLEWGMSPEMIADQKWRANANRGTLNDHCPWYLDAFFDHLVDSNDKDDEDVKSNNSYLPLA >Solyc04g017880.1.1 pep chromosome:SL3.0:4:8644697:8645760:1 gene:Solyc04g017880.1 transcript:Solyc04g017880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPWGEATVSLEDIMVFGGFSTLGDCVMSPPFNSLELVEIEEYLENARGELIRSKTNNQSRWLNYFMNSGKDYEHEDFLSLCLSRFVFPCIVGSPIFSIAVNMAGGMRLELSPGVLASVYRDLGSLRKMESYVRCLRVSELVGLDFQEPYQPNRVAMQFGYDQDFPKWIPRSPSSSKLVWYNYNRPIDSDLRLYYPSRLFEPYVTTRYLKWWRNEIDRQMENYLEVPPGFPPNYCWKND >Solyc05g052610.3.1 pep chromosome:SL3.0:5:63668849:63671476:1 gene:Solyc05g052610.3 transcript:Solyc05g052610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLYPTCFVPNSNWIMQQSKSNTEWTKEENKRFESALAIYDETTPNRWFKVAEIIPGKSVLDVIMQYKELVADVSNIEAGLLPNTRRGYTSSFALEFVDHRNIQTFNKRGKSYDHERKKGVPWTEEEHRRFLMGLEKYGKGDWRNISRNFVISKTPTQVASHAQKYYLRQLSREKERKRPSIHDITTVHLTNDEFLNNNNNDDKKSMCEERLYMSSTSTTDLLIGCWNNSIDEDLMAFGQFV >Solyc10g080150.2.1 pep chromosome:SL3.0:10:61654535:61666399:-1 gene:Solyc10g080150.2 transcript:Solyc10g080150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRFISKSSHLRLLTLISPKTHFINPKPISPKPYLFPHFFSTRNNNNNNNSNGRNSDPDFWKLSSETEQSVFNEDSESVEGIGKDDVSWGNVEEESGDVFKEAGEVKDGVGGGEEIWATAEGYKPWSFDAEGEGERVFDIGEDVKVESELMGSEEEAKIQSAEEKKLLELEEQVLTGVLKGPNRAFGDLIAASGITDEMMDSLLALKDLDGIKGLPPLSEIEDMRYEKNTRKSSRADIERQKQEEVAKARVKQVDEKGRAYGTGRRKCSIARVWVEPGGGKFMVNDKEFDVYFPMLDHRAVLLRPFTETKTLGMWNVRCTVKGGGVSAVKLPYDGESAINQQLGSHFSEPVNLRKVKDGQVGAIQLGISRALQNWDPELRPPLREGGYLTRDSRVVERKKPGKAKARKSYQWVTVLYMSKSGTLDLASGVGGKIEKKEVLSAVEKYEKYHACDGEAEEERKANYIDMVNKYYDLATSFYEYGWGESFHFAPRWKGESLRESIKRHEHFLALQLGVKHGQKILDVGCGIGGPLREIARFSDAYVTGINNNEYQVTRGKELNRIGGVDNTCNYVKADFMSMPFADDSFDGIFAIEATCHAPNVREVELGNGLPDIRSMGECIEALKHAGFEIIWDKDIAIDSPLPWYLPLDKSHFSLSNVRVTAFGRFFTRNMVKILERVGLAPEGSQKVQAMLEQAADALVEGGKEGIFTPMYFFLARKPAAIDPLT >Solyc12g006940.2.1 pep chromosome:SL3.0:12:1367624:1375079:1 gene:Solyc12g006940.2 transcript:Solyc12g006940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTSEELNQENPTDRFPLLMEQAESRERNEHVIDVEQGSSPSSSGSSDNDSPHELEIPNHENRPSNRHSVSSSSNESDSHSPSSARRADGSDRRWSPFNTLLWLSIELIFTLGQIGAAVVVLSLSKEENPETPLFAWIVGYATGCAATLPLLYWRYLLRYQTISQRSAQLRQDSPQVNSTAEPNSYITISLTRSSDEEDGRNTPTDIWTRQSNARLGSLVDHFKMALDCFFAVWFVVGNVWIFGGHSSSSEAPNLYRLCIAFLTISCIGYAMPFILCAMICCCLPCIISILGVRENMHGVRGATEESINALPTLKYRVKTDGTGSNESKNLEEEEGGCVAAGTEKERAISGEDAVCCICLAKYEDNDELRELPCSHFFHTQCVDKWLKINATCPLCKSEIDAKNRDLPSVEEEPLQQS >Solyc09g014833.1.1 pep chromosome:SL3.0:9:7031671:7032018:-1 gene:Solyc09g014833.1 transcript:Solyc09g014833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSYASMDNYVIESEYCTSNQVDVVISRKMNGNFMFKVKGNSFGWHDKRLILDATDNPWITLKQKVHSRRLI >Solyc11g073270.1.1.1 pep chromosome:SL3.0:11:56573159:56575834:-1 gene:Solyc11g073270.1 transcript:Solyc11g073270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLRRSWHIRHRRTISTTRKFNNQGNDKNFIATLNEIVRSKRSWNIALNSTISTRLKSHHVEQILLQTLDDSRLALRFFNFLGLHKNFYHSTMSFCILIHSLVQSNLYWPATSLLQTLLQRKVNPSFVFDNLLDVYKRFNFGHTLGFDLLIQNYVQDRRVMDSVLIVRLMMEHSLVPELKTLSSVLNGLIRIRRFDLVLQLFDNALTSGVKPDEYIYTAVLKSLCELKDFEKAKEVMNWVERSGIKVSVILYNILIHGLCKGGRVWEAVGIKSLLLTKGLNADTVTYCSLILGLCKVNEFQLARRLVDEMLGLLLVPREAVVSSVVDGLRREGDCVAAYRLVDMTGKVGVVPNLFVYNALLNSLCKGRGKLDEAESLFNSMEDKGLCPNSVTYSIMIDSFCKQGRLDAAVLLYNRMLDNEVELTIYPYNSLINGYCKAGKCSVAESIFNEMIDKGLTPTVVTYTSLIDGYCKEREVQKAFRLYHEMTGKGISPNTFTFTALISGFCRAHMMVEASKIFDEMVKMNVTPTEVTYNVLIEGHCKDGNTIKAFELLDEMLKKGLIPDTYTYRSLITGLCTKGQVSEAKEFVDDLQNQRHYLNEMCFSALLHGYCKEGRLKDALTTTDEMIEKGINMDLVCYGVLINGTLKHHDWKYLLNIMKEMHDRGMKPDEVIYTSMLDAYGKVGDLKKAFKCWDIMVSEGCFPNVVTYTVMINNLCKAGLVDKAEVFYKEMLAKGLTPNQFTYSCFLDYLTSEGYMVEAKQLHDAMLKGYLANTVTYNIIIRGLCRLDQIQEAMDILLEMEDNGIFPDCVSYSTIIYEFCRRGDLLGARGLWESMLTNGLKPDAVAYNLFIYGCCIAGEMSKAFELRDEMIASGLKVTRATYASLIHGT >Solyc01g094625.1.1 pep chromosome:SL3.0:1:85924208:85927052:-1 gene:Solyc01g094625.1 transcript:Solyc01g094625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVGLLITVVPEVATADKTNTALQRQFFEDQINCIEGLGSGSFKFLQFDLNSFYCCPMLEAACR >Solyc01g095390.3.1 pep chromosome:SL3.0:1:86536968:86541235:1 gene:Solyc01g095390.3 transcript:Solyc01g095390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREEKKKPQQEEELLKQQEGVLVGKRGGTCTTPSLTWKIGLAQSDGSLLQDLPFSSNSASLSVRKLGANLWEFQPQVKKVVNMSKNIGPLPQNHKDKSKLHRQTAAPPDSPPQQPTSTSSLGRDIAASLRQHHRHLIAKNGGAQSLESPASYCSSMEMAPFKPVETPTSSKDLKARSGKSSYSLKTSTELLKILNKIWRLEEQQASNMSLVKALRKEVDHSQRRVKELQEEKKRDKEEINDLVMLIDNYRIGRKNNKHNRAEEAVKTLMDQLRDERKLRKHSENLHPKLARDLAEVKSSFATALKELEREREARGMLEELCDEFAYGIKEYEEEVRFLKSKVRKDQILTEEKDGLVIHISEAWLDERMQMKQSQRRHDPAEKKTIVDKLRSEIQTFLKARQSSDYKNNVLNLKGAKESSLCRHSLGSFHLNNPASAPRVEKEDDDSFDNVKCASESNRGLSGKHDGINQHEEFTPNIHVEKMKESNPPQTEIGTQLSKDPDVTSSRIQPEEKIFEAMVIKETTVEDNDSCVLKKRVTKQRKFQKKTNSLMRSGSSLLNNLLKDHSLPYEAKTLSNDDKHMEHSFDPTTFTGPASPVQKWTSKVTAPDREVIEPSSKLPLGVKENTLKAKLLEARLGNQQLQPRAIKGLSEVS >Solyc03g117960.3.1 pep chromosome:SL3.0:3:68417831:68427768:-1 gene:Solyc03g117960.3 transcript:Solyc03g117960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYSDGSYPTNSKRHRLDFSLLPCKKPKENVLNSTCLHIKTLGIPLIQKTTGSSSDTIRLQPYKPYSIGRNYNRCDFIFKDHRVSNIHCQILFDPLNKKLYLCDGLFFGSTKFRTSLNGVFINGVRVANDKVVEICVGDQVSLGCGSQGICCMGLQIGFCLQKVVFIQEVGDRNIVRKNDVLTSDCVPVGCGSYALAFKANVLLNMCREILSSNHPLSRIHKCVVLDYERGVRCHGKIGANEDFNFPGASVHGVHSGQKACRKEVFLVEGEPVQDPESDFLKDVALAIEVESCHLDEKGAKQVNNDGASHENGVNAIGIEENLSQRFISKEVFGLLGETMKAEDRTRAVPSPGKRFVLNRLASVGPPNFPEDPNSVSLPEILYPIENLEQLFIATFTADIPWFLSYCEIPADLPVTIACHNAERCWSSSPDKRSSKPYPDFPNLVVVYPPFPEVIAFGQDLRKSGIGCHHPKLLVLQRRDCLRVVVTSANLVAGQWCRVTNTIWWQDFPRLDIPDYLSLFTPISAVRNNGHLVSDFAAQLAGFMASLVADVPSQAHWILELTNYDFKGSVGYLVASVPGVHTSRIPCISKPKYFLGGDCLPELCQSVGSVEASVAGLSHLFRTSADLNGARLKKLATYLRKCGEDVYGMSEVILKRDPNIPADANAVSIHVPNPEDLSLGECVQLGFLPKNYAKWVAPLSDSGIFVFSAYIFPSEVLRAALEGSASKVQLILHVSQGPSLSVIAEVIRAENVSAICSLIASLQRCWGIWRLQEVLGQFKWPEHLETDFVFGASSIGAINAKFLAAFSTAAGKRSSRFTESEESDPDWGCWSVSQELRNPSIRIIFPTIERVKNASSGILASRRILCFSQKTWHRLKTKGLLHDAVPYPGDRIGHPMHVKYSLRSPNNKKRDKQVARRRFQSRKDAPSFGWVYSGSHNFSEAAWGRQVSGLVGKKINANRSYSSLSSRLHISNYELGILFITPPPDAQGKINQRTNLDDIVLPFVVPAPKYRPVDKPATPQEMREALIEQTKRRRDVFEAAKEADEWMQEEIPEEEEVIEATEFVVEEKEDEKAYAEKLWSQVDS >Solyc03g096350.3.1 pep chromosome:SL3.0:3:59827849:59829253:-1 gene:Solyc03g096350.3 transcript:Solyc03g096350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFSTSSLSNSSWMNKNKREWTKEENKQFESAIAIYDEKTPDRWFKVAALIPGKSVIDVINQYKELVSDVYDIEAGLVPNPGYFASSITLELVDHCGLQTFRKRGSKSSDQERKKGVPWTEEEHRRFLMGLEKYGKGDWRNISKKMVISRTPTQVASHAQKYYQRQISGGKDKRRPSIHDITTVHITADSASPNNLLYNINSFSKEKKLYATHNSSFQKTTTPDIVNCWNANLSNDHEDVMDFGSSFVAYPYEIASQSWDEHGIDDITMNADSQLQFQSTRYQIWG >Solyc10g054737.1.1 pep chromosome:SL3.0:10:55846289:55846532:1 gene:Solyc10g054737.1 transcript:Solyc10g054737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLPMMISNAKHIYKLQSALTEKKRFVVPITREEDEFWFHHSMGGLTIPCNVDAFVDVTSQLNTL >Solyc05g017785.1.1 pep chromosome:SL3.0:5:18361266:18364780:-1 gene:Solyc05g017785.1 transcript:Solyc05g017785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFARAVPSSLHCKSILLQTVGWIIMLMSATVPVHVVVVLSYKFAFAFAVGKVESDKKERIPNNDNNPHFHESNFY >Solyc05g055245.1.1 pep chromosome:SL3.0:5:65821046:65821998:1 gene:Solyc05g055245.1 transcript:Solyc05g055245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCQQNQTGSNKMMFDSRTKCVLQAQKFKDEEQQHKVIIHLFVKGNGRRMWTMVVSLIEKGNILFTSCWKKV >Solyc12g027700.1.1 pep chromosome:SL3.0:12:25827400:25828778:1 gene:Solyc12g027700.1 transcript:Solyc12g027700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEQLVKVYSLMNVPIVTGLEGPGLTVAQKIWYCVATVSGQYLWARL >Solyc01g005830.3.1 pep chromosome:SL3.0:1:564882:571160:1 gene:Solyc01g005830.3 transcript:Solyc01g005830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLMTLKPWLAISILFSFFISNLIGAFVGVNIGTDVTNLPPASDVVALLKARQITHVRLFNADAHMLNALANTSIEVIIGVTNEEVLGIGESPSTAAAWVNRNVAAYMPGTNITYIFSTNGSDVYSLGLGSSDSISNNSSSAFCVAKPGADENKLQDGINWACGQGRANCSAIQSGQPCYFPDTIQNHASYAYNDYYQRMHSLGGTCDFDGTATMTTQDPSSRTCKFTGSSNSGGVFPPAAFGPIVAPTSQSSTIRSPVIAYTIVVFLALLILDVNAHFVF >Solyc03g121240.1.1.1 pep chromosome:SL3.0:3:70889723:70890865:-1 gene:Solyc03g121240.1 transcript:Solyc03g121240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDWNETPFNFGSSFDPIHVISNWNMPQRQEAANRLAADSMAAKAGAADLTRDCTDIAFSSSPILNMPNNYITDNNPLGLMSDFGVEIAKPISNTVSLESIDCLLSATTTNNTDTSIEDDGMSVIFADSNSLWNSGESAGINPQLLNCLPTDHKILEEEQNQRKRKSYELDEAVSQSSLGNSSNEFNLFQSNSLGDCGNFQLISEKQSKSKKMRLIESSNKRPSSSNINFQQASSSVSSIDQEPDPEAIAQMKEMIYRAAAFRPVDFGAEVLEKPKRKNVKISTDPQTVAARQRRERISERIRVLQRLVPGGSKMDTASMLDEAANYLKFLRTQVKALEAIGQKQDPFTSITQFNYPIPMQLPHFPLQNPNQIHRPKS >Solyc01g067145.1.1 pep chromosome:SL3.0:1:75362838:75364121:1 gene:Solyc01g067145.1 transcript:Solyc01g067145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEFQGRFEAMANQTNDISEGLMVRPAFANRIPPLLPNPSTKTFHDPSSKSIVPSSLSTPTQSRPPLRHLTHAEIQSRRERGLCCYCEEKYTVVHKCKTPPHLLLLTDGTDMDPLLPDPFVTDDILAEDLQCIELQEHSAISYNALAGGSSPSTLRFTRKMNGTLIQILVHGGSTHNFIQERVARFLQLSIETISSFPVVVRSGQRLRCDGVARGLMLLIQGTTLTEDLYILSLHGADLVLGVSWLAKLGPVVTNYATRTLEFNLGGNQLVWQGVSPTDVKPVQLHSLRRMAATEAIASSFCLEIVTGYNSVTEQPTVELETLLELYADVFQKPSEWPPSRIQDHAIHLNSGAQPVNVKPYSIIISKNRSWSKWFQKCLKKG >Solyc04g074380.3.1 pep chromosome:SL3.0:4:60432271:60447689:-1 gene:Solyc04g074380.3 transcript:Solyc04g074380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNHNKPHAVCIPYPAQGHINPMLKLAKILNYKGFHITFVNNEYNHRRLLKSRGPDSLKGLPSFQFETIPDGLPPCDADSTQDIPALCESTTKTCLGPFKELLAKLNDTCSSNVPPVSCIISDGCMSFTLAAAQELGIPEVFFWTPSACGFLGYMHYHELAKKGYFPLKDASDLTNGYLETALDWIPGMKDIRLRDLPSFLRSTNPDDFLFNYLIQETNRSKSVSAIVVNTFDPLEKEVLESLQTLLPPVYAIGPLHFLVKHIEDKNLERLGSNLWKEDPKSVEWLDSKKPNSVVYVNFGSITVMTANQLIEFAWGLANSEMEFLWIIRPDIVSGEEAILPPEFVEETKERGMLTSWCPQEQVLSHPAIGGFLTHSGWNSTLESIGNGVPMICWPFFAEQQTNCWFKCTQWGIGMEIDNNVKRDEVESLVRELMVGEKGKDMKKKAMEWKKLAEEAAAKPAGSSYININGSIRSTHELDKPHAVCIPYPAQGYINPMLKLAKILNYKGFHITFVNTEYNQRRLLKSRGPDSLKGLPSTIEWLDSKKPNSVDYVNFGSITVMTANQLIERAWGLQETKERGMLTICLPYPAQGHISPMLKLAKILNRKGFHITFVNTEHNHKRLLKSRGPDSLNGLPSFRFEAIPDGLPPCDPDATQDISSLCKSTTTTCLGPFKELLAKLKNTNVPRVSCIVSDGSMSFTLSAAQDLGIPQVLFWTPSACGLLCYMYYRDLVEKGYTPLKDESYLTNGYLETSLDWIPGMKGIRLRDLPSFLRTTNPEEYMIEFIIQETERSKNATAIIINTFETLEREVVESLQKLLPPIYAVGPLNLLMEHFVVDKNLEGLGSNLWKEETKCLDWLDSKKANSVVYVNFGSITVMTANQLTEFAWGLVNSQMEFLWIIRPDIVSGEEAILPPEFVEETKERGMLTSWCPQEQILSHPAIGGFLTHSGWNSTLESIGNGVPMICWPFFAEQQTNCWFKCTKWGIGMEIDNNVKRAEVESLVRELMVGEKGKDMKKKAMEWKKSAEEAAAKTTGSSYPHAVCVPYPAQGHINPMLKLAKILNHKGFHITFVNTEYNHRRLLKSRGPHGFKNLPSFRFEAIPDGLPPCDADATQDIIALCKSTDTTCLGPFRELLAKLNNTCSSKVPPVSCIVSDGSNSYTLTAAQELGIPQVHFWTFAACGTLSYMHYCNLVDKGYIPLKDESYLTNGYLEKTTLDWIPGMKDVRLRDLPSFLKTANPDDFMLNFIICKTERSKKYASAIVVNSFEALEKEVLESLQTLVPPVYVIGPLNLLVKHVDDKDLADLGSNLWKEEPKCLEWLDSKKPNSVVYVNFGSITVMTMNHLIEFAWGLANSQLDFLWIIRPDVVLGEEAILPPEFLEETKERGMLASWCQQEKVLNHPAIGGFLTHSGWNSTLESISNGVPMICWPFFAEQPTNCWFCCTKLGIGMEINNNVKRDEVEALVRELMTGEKGKEMKNKATEWKKLAEEAAAKPAGSSYVNIDKLINEILLAPKHSLLLLYNRAIPETGLMFVPL >Solyc02g082340.3.1 pep chromosome:SL3.0:2:46588023:46595643:-1 gene:Solyc02g082340.3 transcript:Solyc02g082340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMELHAESAGCAEMEIAEITDSDLFKLVRHALKSVIQGDPDQYEQLLRVMHPDEGVLPDVALLVTCLKALSGSVSCLDIVHHKSLIASILQMSMWNYGTDVMDALMEFNISLATSSGQYVDLCLEMLVSNFMPPDSFISLLNQPRGILRKGQVIDRVHSTLTYIAKLVPLSPLRLERMITERMPHIFTKEPLILIYVENMLKLESGAIGDLVGRTMLVAIMDKLIDLDVDIAWDDILQEDFTKGIFDMELGDLEWPMDDGQQNGDELQISWMERYFSGNLNAQKLDSLIVLTFEHLNICKESGRLSQVFHTLLQSFQQTVLMAYKSKFAQFVLFYACSLDPENCGRRFADTLFHKFKSSKYPEWRMSAVAYLASYLARAKFLPISFVAEYVESLLEWCSSYCFNQGGSINPKAHKEFYAGCQALMYVLCFRMRSMLAIPRIRSRISKHIEDILRHPLSPLMVCLPSIVEEFLRLAKVTHLDVPDNVVSSNLLESELSMAFGGRERLDTFFPFDPCLLMKSDRFIRPNFVYWSMVRNSYDNDDDDEGTSDEDDIEICTAGNGINIPNDGAARSYDQDLDEFGNKMSKMSITPKVAQWGELQSGRQMPSSLLPCPDSL >Solyc10g084050.2.1 pep chromosome:SL3.0:10:63848680:63853748:1 gene:Solyc10g084050.2 transcript:Solyc10g084050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKAESSDSKGTKRDFSTAILERKKSPNRLVVDEATNDDNSVVAIHPDTMEKLQLFRGDTILIKGKKRKDTICIALADDTCDVPKIRMNKVVRNNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVIREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERICKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWEDIGGLGNVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLSKDIDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEREKRRSENPEAMEEDVEDEVPEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFSETSTAGTTGTAADPFATSAGGADEDDLYS >Solyc01g005490.3.1 pep chromosome:SL3.0:1:337908:340634:-1 gene:Solyc01g005490.3 transcript:Solyc01g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSTNLFFFLCIFLLVLTSFPYNSWSVEETLLRSETELLAHELFESAKQPEFFNWLKRVRRRIHEYPELAFEEYKTSQLIRDELDLMGIEYVSPVAKTGLVGIVGSGHQPSFGLRADMDALPMQELVDSEYKSKINGKMHACGHDAHVTMLLGAARLLQNRRDKLKGTVKLVFQPAEEGYAGASYMIEEGALDGVKAIFGMHVWPFTPTGIIGSRPGPIMAGAGRFTAIIQGKGGHAATPHRTKDPILAVSMTVLALQQLVSRETDPLESRVVSVAFVDGGEAGNVIPEGVKFGGTFRFLTFEGHSYLKQRIKEIIETQASVHQCSATVDFAEDLMRPYPPTVNDPTMYKHAKEVGEVMLGEENVQYGAITMGAEDFSFYSQKIPAAFVIIGAQNKTAIATGVKGLHSPYFTLDEDVLPIGAALHAAVAISYLDTHV >Solyc04g077510.3.1 pep chromosome:SL3.0:4:62555770:62559059:1 gene:Solyc04g077510.3 transcript:Solyc04g077510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGLDSGGGGVICSDNTTMFVASAETKQKWYGSGGFNKHERSSVTEDEWNKESKLAKTSDDFSSTKATMMFHQLPISHDNQQMLSFSAPNSQNHVTMPYYNQHPSTAFARNTSGYGSGALNAGNMHGLIAGIKGPFTPSQWMELEHQALIYKYITANIPIPPYLLNPIRKALESAGFSTFAGLRPNALGWGAFHLGFSNSNDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQTGNSASGNNTGVTTTSAKLTNMPSAASAALASGGGAAASNSLNLSHHNQLSNLQPAGTNLSTTSPYLERSYTAKENFGERYSDTKGSSVVSASVKEPQYSIQKAQNLYGLTSRAEFGLVCADSLINPLNKNSSLVNCRGYVNSDVSDQESKSQHHPLRQFMDEWPKNQSDQSNVSWPDIDLQSDPTQLSISIPLVTSDFMSSTSSPANDKLTASPRRSREHEATQMGLGIGTIISEHNQRQGSWIPISWESSLGGPLGEVLHSTNSSSGDCKNKSALNLLTEGWDRSPRMGSSPTGVLQKSSFGSLSNSSAGSSPRAEHSKTTNEGSSLCNGLLGTTLMNPTLPAM >Solyc05g024100.1.1.1 pep chromosome:SL3.0:5:30379954:30380280:-1 gene:Solyc05g024100.1 transcript:Solyc05g024100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKWLKVGIREKKIISDEIICINKESNFEALVALTAGFLYDSFKDEEIEGGIVSVVGCIEVYNHIFIRHHIIKKWHETVAIWLTNDMFVDLIPERYSGLLAYNYFL >Solyc04g056740.1.1.1 pep chromosome:SL3.0:4:54750013:54750555:-1 gene:Solyc04g056740.1 transcript:Solyc04g056740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKTKGRQKIPIKKIENEDALLTTFSKRREGLYKKASELVRECDVDIGIMMISPAGKPHSFFHPTLDAIVTRFQNPDMQLSQGILLDTITARNKVNELKNRLEELDVVEDATIAQTTFYDQMAEIRQKGWWESIEQLNADEVTIFNAWLSDTCSKMCHRLKQLENGASSSLGRGSFGV >Solyc10g083690.3.1 pep chromosome:SL3.0:10:63589342:63590944:1 gene:Solyc10g083690.3 transcript:Solyc10g083690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWELSYLFFSSIILLSIFILFFSKKNSTYNLPPGPPGLPVFGNMFELGTESYKKMAALKQKYGPVLGLKVGTSTNIMVVQTAQAAAELFKNHDTSFADRPQVDVNSAHNYYQGSPALARYGPFWRFQRRLCTVEMFVHKKISETVRVRRKCVDDMLKWIEKAGCSAEKGSGIEVTRFVFLASFNMLGNLILSKDLADPESEEASEFFHAMKGIMEWSGVANVSDVFPFLRKFDLQSLRKKMTRDMGKAMEIMSMLLKERKKGMEKMKDFLDVLLEFEGTGKDEPAKLSEHEIKVFILELFLAGTETTSSSVEWALTELLRHPQAMAEVKTEISKAVGSNNKFEESDIENLPYMQAVIKESLRLHPPLPFLIPRETIQDTKFMGYDVLKGTRVLVNAWAIGRDPECWDDPMSFKPERFLGSKLDVKGQHYELIPFGAGRRMCVGLPLGHRMMHFALGSLLHEFDWELPDGVSPKSINMDESMGVTARKRDSLKVIPKKV >Solyc10g045380.2.1 pep chromosome:SL3.0:10:33137871:33143792:-1 gene:Solyc10g045380.2 transcript:Solyc10g045380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTLLVLCGRSPVAMAYLFFFKDMVMSCTILVLALALRISCSNSTMGVDNLNATFLVDNASTQNIIDNAFRFPSPLPTWPSGGCFASGFIDLGGLQVSQISSLTKVWSTHEGGPDNLGATFFEPSNIPNGFFMFGSYTQPNNIPLFGWTLAGRDTSQGTLKMPTDYTLVWSSHNLNIKQDGVGYIWLPIPPQGYKAISHVVTASPQKPSLDKVRCVRDDLTDACEIHDWIWGTNGFNVYSLRPRDRGVRALGVPTGAFMVQNSGAAESLACLKNVKSDISAMPNLNQVKTLVQAYSPVIYFHPDEEYYPSSVTWFFQNGALLYTKGQESSPVGIHPTGSNLPQDGSNDGAYWLDLPTDDAAKTNVKKGDLQGATAYLHVKPMFGATHTDIAVWLFYPFNGPAKAKLEFMTISLGKIGEHVGDWEHVTLRISNFNGELQSIYFSQHSGGIWVPASQLEFQNGNKPVVYSSLHGHAAYPGPGKNLQGRGDVGIRNDTGKGKLMDIGTNFLVAAAEYLGSTIVEPVWLNYGREWGPKISYDISKELRKVERFMIGKLKKAIEKIVRDLPNEVLGEEGPIGPKFKDMWSGDERG >Solyc05g051994.1.1 pep chromosome:SL3.0:5:63193104:63196114:1 gene:Solyc05g051994.1 transcript:Solyc05g051994.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIKDRLSGLSKGYGFVKYADVQQANSATVGMNGHCLDGRTIAVRVAGKPPQPAVPPSPPAPAMPPYPVPNQASGVYPSQQYATGGPIGPPRGYAGTPVPWGPPVPPPYASYPPPGSTMYPPPPGQFVPPYGAQCRV >Solyc04g079410.3.1 pep chromosome:SL3.0:4:64005153:64008773:1 gene:Solyc04g079410.3 transcript:Solyc04g079410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAACSSAVFKRAASTAAQILRHQHFSSAAAAAEIHRPGDFYCDDSEGEESAVYQHTLKFQRPSTIKQLQLLHNSVSLIGKIDYPFKRVNTKNGSFGVHTLLSVSGSSQSRPSFKVMLKMWDEMAEVSMEHLKSNDLVYVWGHLGSYIKTDENGKHKMRYQVDVKEINFVTPDVQALATPEFQKKESRGEDELENYRNRIQLWQIFFASPFEWMDFRKSKVNPKYPDFKNRDTGEVLWLRTDDPPWIKRQLDILDSRFSYESF >Solyc09g007595.1.1.1 pep chromosome:SL3.0:9:1185009:1185260:1 gene:Solyc09g007595.1 transcript:Solyc09g007595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDRTWNSSFGSQEEDKIFENFLAIHFNDNNLLTKMEEALPGKTVDEIKDHYNILLEDIDAIDFGGAPLPNYAEIQSNDNQI >Solyc04g081510.3.1 pep chromosome:SL3.0:4:65548412:65555244:1 gene:Solyc04g081510.3 transcript:Solyc04g081510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCTGGTARNRVEIHHESPPGSSKKGNSVESIGKQKKAESFSYPDVGAFRGTPNLYDSGELYMSISRELKPSTPARTGVNKAPSSFLGKASIVGLEKAVEVLDTLGSSMTNLNSGGFMSGTTSRGNKISILAYEVANTITKGANLLQSLSKENVEYLKKEILPSKGVQQLVSTNMKELLTIAAADKREEFDIFSREVIRFGDMCKDPQWHNLNRYFSRLDSDTLTHKQLRSEAELMMQELSTLAQHTSELYHEMHALDRFEQDYRRKLEELDSLNLPRKGEGLMMLQSELKHQRKIARSLKKKSLWAKGLEEVVEKLVDIVTYIHQAIVEAFGDNGLTSAVKEPVKKQERLGVAGLALHYANLVTQIDNIASRPTALPPNMRDGLYNGLPPSIKTALRSRLQAVDAKEELTIPQIKAEMEKTLHWLVPVAADTTKAHQGFGWVGEWANTGSEFGKKNPPQVSLIRLQTLYHADKQKMDYHVLELVTWLHRLISLVRYNGPKAFPGRSPTRKGLNLQTELMMNTNSKTPKIQISLEDRTLLEKVMKQKCLVPGRSKSQEFLLPKNRQKVWALSRSMGNSPRTDFQHPKATVLDILDGLH >Solyc06g050570.2.1 pep chromosome:SL3.0:6:33352045:33361729:-1 gene:Solyc06g050570.2 transcript:Solyc06g050570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVTLPISSPQSAATAGSQSQAPISTPAFRSFISRLSSSIRQGFAQRRPWLELLDRTSFARPDSVAEAASRIRKNFSYFRVNYVTLLAGVLALSLLSHPFSLLVLLALLGGWFFLYLFRPSDQPVVIFGRTFSDRETLGILVVFTIVVVFLTSVGSLLISALLVGLAIVSAHGAFRVPEDLFLDDQEPANAGFLSSYFYRHI >Solyc11g005140.1.1.1 pep chromosome:SL3.0:11:128952:130721:1 gene:Solyc11g005140.1 transcript:Solyc11g005140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSAVLSSVVAKKSFCGLQNRAFTAFLHQVSRDQTLAPVSTFESSFRYYSHCVSSKSYLCETTRFFSSNQSEQSESLGSHPFENDKDSVFGVVVEGSSVLNDDTLAVENGSDLGNTEVVVEDEDLDLEKLETLLSLLQSSGIIDGSIESSLEEIELSLNEELVVRVLETPYVPGENLISFFKWVLKKPEFVVTSKAVELLVTAICIQGRNIYALWDLVKEIGEKNKGILSDEILNELIALLSRLGKGKAAFEIFNKFGDLGCAPNADTYYFTIEALSRRSIYDWASTVCEKMLNADKLPGAEKVGKIVSFLCKGNKCRDAHLVYLSAKEKNINLPVSSIKLLISSLCRKDEGVKLAMEVLDEFPKEERKHAIKSFSHVINGLCRANDVTGEKQLRSYCSEDIDEAKNLLLKMIDAGPPPGNAVFNTVINALSKKGEMGEARKLLKVMEGRGLKPDVYTYSVIMSGYTKGGEMEEACKILNEAKKKHSCLSPVTFHTIIRGYFKLEQYDKALELLGDMKEYGVQPNADEYNKFIQSLCLKALDWTTAEKLLEEMKENGVHLNAITKGLIRAVKELEQEEVGTNEITATA >Solyc03g034250.3.1 pep chromosome:SL3.0:3:5969173:5976870:-1 gene:Solyc03g034250.3 transcript:Solyc03g034250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNWELQNCCNRDQKLFLVTIGVFTVAILVLWRTFLLTPFKLITVFLHEASHAIACKLTCGQVEGMKVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMVLILASTNLLTAKIAAGCFIAALLIVLFIAKNWTLRGLCIGFIIFIAAIWVLQELTTVRILRYVILFIGVMNSLFSVYDIYDDLISRRVNSSDAEKFAELCPCPCNGVGWGIIWGMISFIFLCGAMYLGLVILS >Solyc05g015470.1.1.1 pep chromosome:SL3.0:5:10598879:10599172:-1 gene:Solyc05g015470.1 transcript:Solyc05g015470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIVLTQLGLSVLAGAALVKSVMDQKTMMGPSQFPRCPSCNGTGRVSCMCSRWSDGDVGCRTCAGSGRMGCSSCGGTGTGRPIPVQISVRPPNRSP >Solyc02g089970.3.1 pep chromosome:SL3.0:2:52281494:52293158:-1 gene:Solyc02g089970.3 transcript:Solyc02g089970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLPCSNLHYVPESDCPQQGSGTTLMYGGKPNHLEHAEQVQSGDVKVDDVLLNTKECQEEEADGRQFSVEGLPTADVIPTKEAYYDFGGDCQILSSDFHDSVDDNVVEHDHVTKSDLVRECLRPVVDTNEIGLPYSNQVVGSSSCESKWLDEDGPLAVWVKWRGLWQAGIRCARADWPLSTLKAKPTHERKKYLVIFFPRTRNYSWADVLLVRPISDFPHPIAYKTHKVGVKTVKDLTLGHRFIMQRLAISILNIIDQLHAEALEETARSVMVWKEFAMEVSRCKGYPDLGRMLLKFNDMILPLYKKSFSMESWIQHCQNADSAESIEMLKEELADSVRWDELNSLPNEGLHLDLNSQWKNCKSEVMKWFSVSHPVSDSGDVEQPNNDSPLKMELQQSRKRPKLEVRRAEAHALPVEFQVSHQAVPVGFDAGGLGGHDISKNVLLESEPTKDDISLGEAPRNGSPGSVADRWGEIIVQADNSDVIQMKDVELTPINGVSSNSFDHGSKNRQCMAFIESKGRQCVRWANDGDVYCCVHLASRFASTSIKVDASPHVDTPMCGGTTVLGTKCKHRALCGSPFCKKHRPRDENGLGSILPESKHKRKHEDNVLGLDTSNCKDIVLAGAFDAPLQVDPISVLRGESCYRNNLLEVPQYLQNRPSGSEMHCIGLWPHGSELCIESPKRHSLYCEKHLPSWLKRARNGKSRIISKEVFIELLKDCQSRDQRLYLHQACELFYRLLKSLLSLRNPVPKEVQFQWVISEASKDPMVGEFLMKLVCTEKQRLKSVWGFSASENAQASSYVKEPIPLLRITDNDQDHCDVIKCKICSETFPDEQVLGTHWMDSHKKEAQWLFRGYACAICLDSFTNKKVLETHVQERHHSQFVENCMLFQCIPCTSNFGNSEELWSHVLTAHPSSFRWSHTAQENHFPASEVASEKPDIGNSLSTQNFNSENQSGFRKFICRFCGLKFDLLPDLGRHHQAAHMGPNPVGSHISKKGIRLYAHKLKSGRLSRPKFKKGLGSVAYRIRNRNAQNMKRRILSSNSIISGKPSIQPSATEAAGLGRLGDPHCLDIAKILFAEIKRTKPRPSNSDILSIARITCCKVSLQASLEATYGILPERMYLKAAKLCSEHNILVSWHQDGFICPKGCRPVHDPFIVSSLLPLPGQVNRTGSIPPNSAISEWTMDECHYVIDSQQFKHEPSDKTILLCDDISFGQESVPITCVVEENLFASLHILADGSNGQITTSSLPWESFTYATKPLIDQSLDLAIGSSQLGCACPNSACSSQTCDHIYLFDNDYDDAKDIYGKPMRGRFPYDERGRIMLEEGYLIYECNQWCSCSKSCQNRVLQSGVRVKLEIYKTETRGWAVRAREAILRGTFVCEYVGEVLDEQEANKRRNRLSATEGCGYFLEIDAHINDMSRLIEGQSPYVIDATNYGNISRYINHSCSPNLVNYQVLVESMDHQLAHVGFYARRDILAGEELTYNYRYKLLPGEGSPCLCGSSNCRGRLY >Solyc09g074750.3.1 pep chromosome:SL3.0:9:66977941:66987480:1 gene:Solyc09g074750.3 transcript:Solyc09g074750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHQHVHEQHHHGIDMDHPILALSVTILSIGVKEGLYWITKQEGEKVGSGLMKANAWHHRADAVSSFVALIGVGGSILGVRILDPLAGLAVAGMIMKAGLETGYQSVLELVDAAIPSHILEPFKRTILQVDGVEGCSHLRGRRAGSYLYLDVIVEVDPFSSVSAAHEIGENVSREIQRLHPEIAEVFIHIEPSTIHIPPTVVSQQRSANTMDADIENIVYNILSTHFSQKMIVERVTPHLLQGQVLLQVEVSMPPDLLIRDAIKVAEEAEKLIIEAAPNIVHVCIQLRLGRSMPESYHKLVKSTSQGLVYT >Solyc05g014065.1.1 pep chromosome:SL3.0:5:7709353:7716681:-1 gene:Solyc05g014065.1 transcript:Solyc05g014065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVWPLRIFMVVRDFISILDQGIPFMIFDRKSRNLIMDKSWMCYTERSSGVYLKEVESFLQFAFKQSEDGIPCPCKKCTNVLHKSRDGVKEHLINFGIVQGYTQSTSTIFELLDDPAQQLYPGCETFSKLSLIVGLFQIKCLYGLSGKVGYNIQKLFKRAVSLDETLPDSFYGPKKVIPKFVRTLKCYVRNRNQPEGSIVEGEYEQSNKSMNIDDWFFHRIVQMAKKNELATCELYSFARGPLDVWSIVLNGHSTYFTGSAIDEDTSQQDDCNELLHICEDDEDIMN >Solyc03g062710.3.1 pep chromosome:SL3.0:3:34188392:34192799:-1 gene:Solyc03g062710.3 transcript:Solyc03g062710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINTSLLSPNIILGSLISRSPSKSISAFPTPPLCSPLHYSCLTRNTQLPGVASISYPPVDMEYLESEFSGHGVTFTEVNESCVVRMALENGSIANLMLPSGLITSYKAQMWHGGTMELLHTTVSEGQNGSPVIEGGVSLACNCENDQGFSWSPSSWALHQVKGDPQGSIQVELICTSSDGKIEAKYMVTLQEDLLTSEIKIFNLGMASFRMTGSVLSHLTVSTPEASYAVGLQGSDFFSNPPFLANFSIVPPAFGKTKNQPSKKSFGEIFSSWGTKNQNPEKIAEKELEGEETDNYKHLTDEMSKIYRSAPRNFTLIDRGRRNSVVVGRDGFKEVYLLSPGSRHESYGRYSYICVGQAALLQPIIIESQSEWRGKQSLHNPNM >Solyc04g051720.2.1 pep chromosome:SL3.0:4:50970517:50972326:-1 gene:Solyc04g051720.2 transcript:Solyc04g051720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFRKLSILVIFCLITISCEIIHTNGNQQNVEEDKKEQSPTQILEEAYSMLLTSTLRSLDVAKSYINQLQLKYFPPNVDFRSNDDVSANKGAGGRIMEATQKSFEKSKETVEGSAKSAAAAVEQKVHDTADKVKYTISAGRKTDEKTEDTVPSGHDEL >Solyc11g007030.2.1 pep chromosome:SL3.0:11:1515925:1521376:-1 gene:Solyc11g007030.2 transcript:Solyc11g007030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELADQLGLGPKFYVMGFSMGGHSVWGCLKYIPNRLAGAALVAPVVNYWWPSFPANLSTEEYNLQLTQDQWALRVAHYAPWLVYWWNTQKWFPYNSVLSGKLKMSPPDLEVVSRSDKHANETQKLKEYAVKQGVFESLHRDMMVGFGKWDFDPMDLKNLFPNGSVHLWHGDEDWVVPVILQRYVIEKLPWINYHEIPNVGHLVMHDPAMKEAIWKTFLTVEKEQIVYS >Solyc03g082590.3.1 pep chromosome:SL3.0:3:53929801:53951996:1 gene:Solyc03g082590.3 transcript:Solyc03g082590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLASSSPILSSISPTRFSPIRRSILLTSFSVAGKPLFCSHPHRTSSNSWRSQCRTKASMAESVTGKSKAEVKLYDSEEELATALAKYTADLSEKFCKERDAFTVVVSGGSLIKSLRKLVEPPYINSIDWSKWHIFWVDERVVPKDHPDSNYLLAYNGFLSKIPIPAGHVYAINDALSAEAAADDYETCLRHLVKSKIVDISKESGFPKFDVMLLGMGPDGHIASLFPGHPLVHEKEKWVTFIKDSPKPPPNRITFTFPVINSSANIALAIVGAGKADVVQKSLGDDKSSDLLPVQMVSPEGELVWYLDMDAASKLISKLGGVFVGVNGGNGGAFWMDRQPSNAGIAFAVTALAGLALAAAVFYTTRGHLKSPWSRRKRKRALAPQQWRSFFTPEGKLRDGGIKFLKKVRSGGVDPSIRAEVWPFLLGVREYESYRRECRRLLKRNGTSKMRETGGMGSDGEGNITEGMDSPDYDDVVTARESLSSEDRSTYVEDSDNPGGTMSDEFSSSKRVTEPNDVSDSESSDSDSSADPDISQTVPSAESMDENTAEVNSKEDSSPSKAEVQSRPCNAEDFATWQRIIRLDAVRANAEWIAYSPSQAVVSESRAHRFAEAVGLKDYEHLEPPRILHAARLVSILEAYALYDPEIGYCQGMSDLLSPIISVMTEDHEAFWCFVGFMTKARHNFRLDEVGIRRQLNIISKIIKQKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELSFEQTLCLWEVMWADQAAIRAGIGKSAWSRIRLRAPPTDDLLLYAIAASVLQRRKQIIEKYSSMDEILRECQSMAGQLDVWKLLDDAHDLVVTLHDKM >Solyc02g092500.1.1.1 pep chromosome:SL3.0:2:54207391:54207684:1 gene:Solyc02g092500.1 transcript:Solyc02g092500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPIYYTSPAIVPDKRLRSQSVMELGVRRRTTRARSVFLLLRMRKQCAGLPGEKKIQLRKREVERRRKDEFRAKLSGNGNDGVRTSLGQKLSWEYF >Solyc11g007250.2.1 pep chromosome:SL3.0:11:1647905:1649860:-1 gene:Solyc11g007250.2 transcript:Solyc11g007250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSPVHDDTTISSASAPVSSTTLEIGEGEVRVSMDAEVETNMYNVHASFSSSTKPHATCSDPCWNVMQSEVSSLTLDDFCFIRKLGGGDIGSVYLVELKGNNKGCLFAAKVMDKEELVSRSKEIRARTEMEILEMLDHPFLPTLYTTFNTDKWSILLTEFCPGGDLHVLRQHLPEKRFDEAAVRFYTSEVVVALEYLHMMGIIYRDLKPENVLVKLDGHIMLTDFDLSLKCDDLVMPQLVHDEGTSPNYIHCNNLNDHNSPPYNSSSSCILPNCIMPQYVSCWYSKHMRRRRRRRGGGSSVARPLRLIAEPIETRSMSFVGTHEYLAPEIVSGEGHGNAVDWWTLGIFMYELLYGVTPFRGLDNEFTLSNIVARAFEFPKEPLVPNMAKDLINQLLVKDPTMRMGSMMGATTIKQHPFFDGVNWALLRCTTPPHIPSNFNSKRDFVSS >Solyc01g091720.3.1 pep chromosome:SL3.0:1:85190353:85198002:1 gene:Solyc01g091720.3 transcript:Solyc01g091720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQVDFVQWLETDMSLNILMRLDDPADVVRAASVSCLWRQFVAINGISKQLCLRKFPQLSSITCITEPDLRVAERKDESSNSSWEALKRDHIVYASLLQDIETSNLCPSDCMGHAVGASSTDRYPFESIVNTLAPSNRYGISPSYWSSIGHSDPNAPETLIYKLKADLCCITEINIQPFEVYFHRGRPICSAKSVRFRLGHLKSSREKSYLLDFPKQQPANDKFIWTYTSKEFQMRQENRLQQFKLPEPVLCIGGYLQIELLGRAQRCDIDNLFYICIDYVKVRGRPLSPAFGVQNIELSGDFVLEYKREFL >Solyc04g050305.1.1 pep chromosome:SL3.0:4:46489665:46493885:-1 gene:Solyc04g050305.1 transcript:Solyc04g050305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEVLQFLTPPILFNPLSSPLRYRFPLNSTTSNSTRCVPTSSGRWEGAGGRWHSNRNRPKDYQGFSWDDEIRANDKGEEFGFGGSTKQRIWWSCDPSTWGDEYDIEDEEAGIDGFMSMEGSIGFSWVMKVYAMTAWENKVQEAEL >Solyc10g019010.1.1.1 pep chromosome:SL3.0:10:11172687:11172908:1 gene:Solyc10g019010.1 transcript:Solyc10g019010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKHFELLINLQRWLRTNSSLSNGSFHFNTLSESYLYLSNLFLSKGTLLDQMPKTWLRKKWLFPDEMIFGFM >Solyc08g060813.1.1 pep chromosome:SL3.0:8:45649429:45656500:-1 gene:Solyc08g060813.1 transcript:Solyc08g060813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTIELSKDQNTPNQEQIHKFTDIHPRNPMYLYPYDTPSSILVSQQLIGIKNYTGWSNSMKVALLGKTKIGFIDGKCHKGDYKGDLEHERERCNAFVLSLNGYPQNHKFQRNKGDDDRGYRGHNDRCRILGEHVVTWQVYIKTFPEHSVHNSCFPTSDDATPWILDIGVTHHMVSSLDTLLYPTLVPSTSNQVHLPIGQTTLVTHTESASLFANTEVKNVLYLPHFTYNDLCNGKVRGIGKEAKGIYILQFSKKPSSVTIQTWNIDESNEMCIESVSEYGLAGGKGTTTPLEQNQKLTNMTRPNISFFVKHLSHFMHAPEKSHYDAALHVESEAISCCINQSSSSVARRNLRLRSDNIKDSLHHHLTTLFVVPLSGTTLIWKGSDGPLSKELREEIGKWRQ >Solyc01g056590.2.1 pep chromosome:SL3.0:1:54889806:54891273:-1 gene:Solyc01g056590.2 transcript:Solyc01g056590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMFYFGLFPWRSWLGDSLYNSFIHLLSSPSTWDSFMRTDDAKTRVQIHLQLRIRALLFDKASISLFLRSDKPPSLIHTASVIPKFNPNYLQLHSDDVYFTLDNCSQDGAQQREGVSGTSTVLSKVQSKSSFGVGSR >Solyc03g095383.1.1 pep chromosome:SL3.0:3:57920531:57922596:1 gene:Solyc03g095383.1 transcript:Solyc03g095383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITYTTFSPRVNASVNESRGNDAHVMGSNQNEESQVLKATIRFVDVENFERVSSKIVQEAADVNTVGAKPDDATEDCQKPLHTFDDFILLDKDLSQINRTEESYLKKRAQVFETKHPFLYATGGDYESNLIDSFTKWLYTGTKKRGKKLYTDVLNVINSAFELGICTHIDVIFYYLRKKGKYETNSNVRFTTTDCVFKTKITNSFFKLCDAHEDKKNFKVLDSDDITRYISGRRLLASTSWDKVDFVLIPLNIKENCHWIFVVFDIGQRSLEAYDSFPARVG >Solyc10g086700.2.1 pep chromosome:SL3.0:10:65585358:65589336:-1 gene:Solyc10g086700.2 transcript:Solyc10g086700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEVPQVELDCSGNSLRSSVSVSFSDADKGSCYSQFCSTADGDDYSCPRGSESADSIGIEIGNDESKGYLGETERDCRICHLGLVSCGNEYGVAIELGCSCKDDLAAAHKHCAETWFKIKGNKNCEICNSIARNVVGPTDIESAQQTTEINDLATYVVPSQVSSSSERRTCLNGHRFLNFLLACMVFAFVISWLFHFNIPS >Solyc07g009100.3.1 pep chromosome:SL3.0:7:4044488:4045195:-1 gene:Solyc07g009100.3 transcript:Solyc07g009100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTRNQQKIRAMAKYTAFLALLLCLFLVAATEIQMAEGKYCWKKSDKWNGPCQYSYKCSYHCKHYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc08g076080.3.1 pep chromosome:SL3.0:8:60248425:60253835:-1 gene:Solyc08g076080.3 transcript:Solyc08g076080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CMX5] MDHRVLSFVSKSITPFSLLLLLYIFPAAETAANMTYKAFNLPTITFKEGYSPLFSDFNIERSPDDRSFRLLLNKFSGSGVISTEYYNYGFFSASIKLPAIYTAGIVVAFYTSNADTFEKNHDELDIEFLGNVNGQPWRFQTNMYGNGSVSRGREERYRMWFDPSKDFHQYSILWTPKNIIFYIDETPLREINRHPAMGGDFPAKPMALYATIWDASSWATNGGKAKVDYKYEPFATELKDLVLEGCIVDPSEQIPSTNCTDRNAKLLAQDYSNITPERRNNMKFFRERYMYYSYCYDNLRYPVPPPECVIVQSERDLFRDSGRLRQKMKFGGSHSHTQSHRKHRPGRSSRRRNKVAGGASKSGRRGSAAAAM >Solyc10g081890.2.1 pep chromosome:SL3.0:10:62952542:62955988:1 gene:Solyc10g081890.2 transcript:Solyc10g081890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPKKMKEKIVEIVKKTMKIGKDDPRKIWHAFKVGLALTLISLFYYYRPLYDGFGQSAIWAVLTVVVVFEFTAGATLCKCLNRGFATLTAGALGVGAKYFADLFGKEGEPIVLGILVFTLGALGTFTRFFPHMKRRYDYGILIFVLTFSMVTVSGYRVDKILELAHQRLSTILIGAATCMIVSLIVCPVWAGEDLHKLIYTHLEKLANFLEGFGNEYFINSENDEIVKPSNEGFLGAYKTVLNSKATEEALANFAWWEPGHGSFRLRHPWKQYLKIGVLARECACHLQALSGYFNSKPQVPSEFQKEIEEACTKMCIESSKVLKELAFSIKTMTQPSSSAAEIHLCHSKTAVDDFKSILTTTETLLISNKLDLLEIFPAITVASVLIDVINCVEKMSESVEDLSVQAHFKKAKNEEFSSSSPEKPPPQQHQLLHRGIVKPVVDVDDAGGSDFVAIEICGGGEAAAGKAEVNPVVVKS >Solyc08g067860.2.1 pep chromosome:SL3.0:8:56945816:56989845:-1 gene:Solyc08g067860.2 transcript:Solyc08g067860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMPAKLYSPFLGLPLQCNLNGRRRGNYISGVRSLRRNVCQCKYSKKGDWITQGVKFTHFCGRNVELLWKSFALRSGTLICSVREPLARSKGLVKSLVPVWEEGLFFFRCSVFCAVISGVCLLLWYGQLKAKSYIEAKLLPSVCALLSDYVQRELDFGRVRGISPLSITLESCSIGPHSEEFSCGELPTVKLRILPFSSLSRGKIVIDAVLSNPSILVAQKQNYTWLGLPFSEENPPSRLSAEEGIDLRTKIRRIAREDAATHWARERDAAAREAAERGYVLPEGNSFLLDDDFSKNAASSLARIVTSESFFCMDEKLHWRDQHHMDSGGEYALKHADLEKTFGAKVSSSGTKFWSKIIPGSLRQRFKNANDRDLSAAGIASRRRILDRSASAVCLYFKGNANLSVCCPTSEVYDIANPAIFPVESEVDTLPSVSSPTISEEVVNSVDNSEGNLFTSNAKSKVSDCGSSTEGISDPVERCQLDLMCKKMLGTYPLPVDKCDNVCIRSLNVLRDPFLFTLVRLRKALSLNEKLSSTNVLGVKTTDGPGVSSEENAADIMSTGSNSRDDSHRFEQQDQQSHWGISDIRQGHTSFGSGVTVLEPLPLHHPSKTLQSWSPKSALCSFVKNLGQLGADSIAKLKRLKLEMSPTVEDIVAELVDGDEGNHVSSIEKMVPVILDSVHFSGGSLMLLAYGDSEPREMENVTGHVKFQNHYGRVHVQLDGNCKMWRSDIRSDNGGWLSTDVYVDITEQKWHANLKIVNLFVPVSFLRGYWKFQSYGPKEELLARCCPNSACVHMCMEKGESFPNLHGQLDVTGLAFQIYDAPSGFWDMSASLCFRAQRIFLHNTSGWFGDVPLEASGDFGINPEEGEFHLMCQVPSVEVNALMKTFKMKPLLFPLAGSVTAVFNCQGPLDMPIFVGSALVSRKIANLANEFPKSAAYEAVINNKEAGAVAAIDRVPFSYISANFTFNTDNCVADLYGIRASLIDGGEIRGAGNAWICPEGEADDTAMDVNFSGNLSFDKIMDRYLPGLLQLMPLKLGHLNGDTKISGSLLKPRFDIKWTAPKAEGSLTDARGDIIISHDQITVNSSSVAFDLYSKVLTSYRDDYLLNLRDYHMNAPLPFTVEGVELDLRMRSFEFFSSVSSYALDSPRPVHLKATGKIKFQGKVVKASGITDQHFVDSEKTSEDAPVECNEPTNTLSGDVSISGLKLNQLMLAPQLAGALSITPEGLKLDAMGRPDESLNLEVRGPFHPLSEENMIGKMFSFSFQKGHLKANVCYQPLHSANLEVRHLPLDELELASLRGTIQRAEIQLNFQKRRGHGVLSVLRPKFSGLLGEALDVAARWSGDVITIEKSILEQSNSKYELQGEYVLPGTRDRMPSGQEGGSLFHRAMTGRLGSVISSMGRWRMRLEVPRAEIAEMLPLARLLSRSSDPVVLSRSKDLFMQSLQLIGLYTESLQKLLEEIRGHSTLSDEVILEEFNLPGLAELKGRWSGSLDASGGGNGDTMAEFDFHGEEWEWGTYKTQRVLAAGAYSNDDGLRLERIFIQKDNATIHADGTLVEAKPNLHFAVLNFPVSLVPTLVQVIESTATEAVHSLRQFMSPIRGILHMEGDLRGNLAKPECDVQVRLLDGAIGGIELGRAEIVASLTPTSRFLFNAKFEPIIRNGHVHIQGSVPLTFVQNNVLEEDNSERDKSESSWIRSWGTEKNKAPVDEASDKRSSRERSEEGWDTQLAENLKGLNWNLLDAGEVRIDADIKDAGMMLLTALSPYANWLQGNAEVVLQVRGTVEQPVLDGSASFHRATVSSPVFRKPLTNFGGSVLVNSNRLSISSLEGRVSRKGKLSVKGNLPLRTVEASDGDKIDLKCEVLEVRAKNIFSGQVDTQLQVSGSILQPNISGKMKLSHGEAYLPHDKGSGTAPFSREASDQSRLPAGGYNRIVASKYVSRFLSLKPAASDIQFNQSSGKDAEDIKESVQVESKPKLDVRLTDLKLVLGPELRIVYPLILNFAVSGELELNGVAHPKSIKPKGILMFENGDVNLVATQVRLKRDHLNIAKFEPDKWQDKLVVTSTRSVEQDVLSPTEAARVFESQLAESILEGDGQLAFKKLATATLETLMPRIEGKGEFGQARWRLVYAPQIPNLLSVDPSVDPLKSLASNISFGTEVEVQLGKRLQASVVRQMKDSEMAMQWTLIYQLTSRLRVLLQSTPSKRLLFEYSTTSQD >Solyc09g057903.1.1 pep chromosome:SL3.0:9:52329164:52333474:-1 gene:Solyc09g057903.1 transcript:Solyc09g057903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLCVVVVFPNLKEILIKLSKEFKKGCAFDGWSEGTLNFPLTYKEFAQTHENAQHLSDADWSRQLIEWFKDQVQDEITVD >Solyc03g058930.3.1 pep chromosome:SL3.0:3:29070407:29078311:-1 gene:Solyc03g058930.3 transcript:Solyc03g058930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLGCIQVDQSTVAVKEQFYKFDQVLQPGCHCLPWCFGYQVAGSLSLRVQQLDVRCETKTKRTQLMHFTSFQTPTDRSSPMFLMLDITSINLLLVIRARLPRMGLDAAFEQKNEIASAVEKELEKAMSAYGYEIVQTLIVDIEPDIHVKRAMNQINALQESVLLRMRRLKQRKYCRSRKLKEKLNPSTCQGLALLDSVKPLWMVFETVCLLSQKMYLEHQQEI >Solyc11g040030.2.1 pep chromosome:SL3.0:11:40278220:40278780:-1 gene:Solyc11g040030.2 transcript:Solyc11g040030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRTPMALLQRQPYVACWLLLKILALNLSIFLQGAVQMNSLI >Solyc05g018655.1.1 pep chromosome:SL3.0:5:22786734:22787966:1 gene:Solyc05g018655.1 transcript:Solyc05g018655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDLGPLDLSVLTGKLIHRSRDIWIGNDNMILNTRKCDGKSHRPVIDRSLITALVERWRSETHTFHFRTGESTITLQDVEMLYGLPVKGNAVVGYEPQRSVVNWQNICQRLLGFSPQPQDFKHSSLKVSALNAHLRLQPRLPDLATQDMVNEKNRCYMFWMIAGLLLADTSGDLLKLMYLPMLEDITTVGSYSWGSATLAYLYRFLCKAYQSSQNEIAGFLPLLQASDCSKKRYKEYFPVGLPRGPHAARWYAHFSWTDTTKHVLRVFRDALDSKTEDQFIWEPYSSDIIESLPEYCRVGRDIWRARVTIFCWDVVEVH >Solyc06g064730.1.1.1 pep chromosome:SL3.0:6:40458498:40461299:-1 gene:Solyc06g064730.1 transcript:Solyc06g064730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHYNFSSILLFAFIYFMHDDMITTITARRLLQTPSFSAPATPSFSTSAVPSFSTPTNPSFSMPANPSFSNSPGLSKPENPSFSKPEKPSFSKPKTLSFSNPETPSFSKPENPNFSKPGTPSFSKPETPSFSKPKISSITKPETPSFSTPTTLSFSNPPSLSKPEAPSLSKPEVPSLAKHDIPSFSKPETPSFSKPETPSFSKPETSTFSKSENPTFSKPETHSFSKPETPSFSTTETLSFSKPETPSFSKPETLSFSKPEASSFSKPETPSFSKPKTPVSKLETPSFSKPETPGFSKPKTPTFSKPETPSVSKPETPSFSKPETPSFSKLDTPSSLKPETSTFSKPETLIFSKPKTPSFSEPKIPGSPKSENPTFSKPENPSFSMTKTPTSPKPETPTFSKPKTPTFSKPEIPSFSKPETPSSTKPMIPSFSKPEKPNSLKPETSDSQKLETPSFSKPNAPSFSKPETPTFSKPETPIYPKLDTPSFSKLEIPSSPKPETPSFSKPETPSFPKLETPSFSKPETSSSPKYETPIFTKPETPSSPKSETPTFTKPETPSSPSPKTQNSPKLETPSFSKLKKPSFSKPETPSFSKTNTPSSSKPKAPSSPTPEMSSFSKPKAPNFSKSETPSSPSPSSSLKPKTPSFSKPEIPSSQKAETPSFSKIDTPSFSKPEIPSFSKPENPSSQNPKTPIFSKRETPSSTKADTPSSPKPETPSSPKPDTPSSPKSETASSPKPEKRNSAMPEMPSSQKPETLSSPKIEIPSSPKPETPNFLKPKTPSSSKPETPSSQKPDTSSFKTSSFPKPETPSYQNPETPSSPNSKTPSSPKHETPSSPNFKTSSFLEPDLPGFLKPKTSNSSKLDIPVAPTAAPKPETPTVSRPEKPSVSNRKLPSEIPTFTKPELPVILDPEIPSILKREIPKPSEHTLSTSP >Solyc07g055900.1.1.1 pep chromosome:SL3.0:7:63928510:63930006:-1 gene:Solyc07g055900.1 transcript:Solyc07g055900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent DNA helicase [Source:UniProtKB/TrEMBL;Acc:K4CGC3] MKVSLLYTAKTAYRLLSSKATWGCQVSAKSKVKSCRSELIGTKKTKVKLTEQQNQILEAISNGNSVFITGSAGTGKTYLLQHIITKLKKIHGKSRVFVTASTGVAACSLNGQTLHSFAGIGLGDASCVDLLSRVSLDKRAYRRWNKVRALVIDEISMVSGEVFDNLEFIARSIRSEELGCEEKIWGGIQLVVSGDFFQLPPVINKKGQQKEFAFEADCWNASFDEQIELKTIFRQSDAQLIKLLQGIRKGKYDSEDLKLLDQCCSKVEPDSSAVQLYPRIEDVSRVNAERLDCLDEVLFNYQALDSGKDPWKKQLKNGIAPELLKLCVGSRVLLTKNIDVVGGLVNGATGTILDFAAVQDTHKLYDHEISDICGNGNLLPVVRFDSGQELMIGVERWYVMEGDEAVAMRKQIPLILAWALSIHKCQGMTLNNLHTDLYRVFGFGMVYVALSRVKSLDGLNLVNFNPSKIKANPKVLQFYERLSAEKDEQKEDSVTDDI >Solyc07g054820.3.1 pep chromosome:SL3.0:7:63122128:63128438:1 gene:Solyc07g054820.3 transcript:Solyc07g054820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTSVSFSAITQSAERKSSVSSSRSIDTFRFRSNFSFDSVNVRSSNSTSRFVVHCTSTCYIELFPDLPTVADTKMKFLTAYKRPIPTVYNTVLQELIVQQHLTRYKKSYQYDPVFALGFVTVYDQLMEGYPSEEDPDAQKLEEWARTQNANTLVDFSSKDGEIENIFKDIAQRAGTKDGFCYSRLFAVGLFRLLELANLCAALNVNKKSVDRDLDVYRNLLSKLVQAKELLKEYVEREKKKRGERETQKANETVTKCLGDYQYAG >Solyc12g096230.2.1 pep chromosome:SL3.0:12:66227079:66232170:1 gene:Solyc12g096230.2 transcript:Solyc12g096230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPRFKRPVGTSEPSSNLYVANCGPAVGLTLDTIEAVFGAYGQVKGVHLADESGTRVIVSYHEEKSAESALTALNRRACPELGGRSLHIQYSVPSVCQVAVDDSIEVSMESSELDIPGLYLIHDFISVKEEEELLAAVDSRPWQRLAKRRVQHYGYEFHYNTRNVNTNQYLGELPSFLSPILDKMSMFQKLGYTETVVMDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRKYSTSVWPTDPNTLSDEEAQNSDKSSKFLRRAIYLPPRSILLLSREARYAWHHYIPHHKIDVVNDTKIRRASRRVSFTLRKVRKGPCECEFPEYCDSQKC >Solyc10g007905.1.1 pep chromosome:SL3.0:10:2086556:2086973:1 gene:Solyc10g007905.1 transcript:Solyc10g007905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGVNMILNEILKLYPSGYFINRVVTKDTKLGDLCLPSGMHFLLGTILLHNDIEIWEDDAMDFQS >Solyc03g096250.3.1 pep chromosome:SL3.0:3:59662319:59665587:1 gene:Solyc03g096250.3 transcript:Solyc03g096250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4BJ47] MGRLFVLTLEGNFYSCKHCGTHLALSENIVSKSFRCRHGKAYLFSKVVNVTSGEMEDRVMMTGVHTVADIFCVCCGSIVGWKYETAHEMSQKYKEGKSVLERFKITGPDGSHYSASHDTHVAGSDAYDV >Solyc06g053940.1.1 pep chromosome:SL3.0:6:36932031:36932418:-1 gene:Solyc06g053940.1 transcript:Solyc06g053940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKGNIMTFDITKEDNAAVTNTQQKQEVVVDTERNIIREAETKCYLEKTDDDPTVYSECDRGEFYDSVSPDINVQMNELMSVGNAF >Solyc02g086370.3.1 pep chromosome:SL3.0:2:49668520:49673273:1 gene:Solyc02g086370.3 transcript:Solyc02g086370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWWDLRSVFVFKNFRCFSQSQNGLSQRLETSAGFSQLFRPLIAHKKHQQGRMRDAQPGSHTVSVRSHGVTVASYTVRSHGITVARTHLNDWMILILLLGIVVLLNVIHPFYRFVGKDMMEDLKYPLKSNTVPFWAVPVYAVLLPMVVFLLIYFRRRDVYDLHHAILGLLFSVVVTAVITGAIKDAVGRPRPDFFWRCFPDGKEVYDKWGDVVCHGDKNVVREGHKSFPSGHTSLSFAGLGFLSLYLSGKIQVFDCRGHVAKLCLVLLPLLLASLVAISRVDDYWHHWQDVFAGGLIGLVVATLCYLQFFPPPYNDQGWATYAYFRMLEESQGAVETSNGENANANNTCNAEVQIGSQPNHRNNNTFTGISLSGDSGPTAEDLESGRR >Solyc11g042460.2.1 pep chromosome:SL3.0:11:36725789:36732655:-1 gene:Solyc11g042460.2 transcript:Solyc11g042460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:K4D818] MFENGVEEEDQPPCSESLLHKVYPGKPPLLTWQRKLNSSASTPTSFAPSIREILHMLPLGLRLLKHIHEEAAKGTPSIMDPYNKRLISCYHGVPLGGIGAGSIGRSLRGEFQRFQLFPRKCEDTPILANQFSIFIARPDREKFSTVLCSRGPKELKTDESWKWKLDGENCTYHALYPRAWTVYDGVPDPELSIVCRQLSPFIPHNYKDSSFPVTVFTFTLSNSGKTDADVTLLFTWANSVGGISEFSGGHVNKKILMEDSVHNILLPSQVCFVTSDGLPPVTFSIAAQETPDVHVSECPCFMISGESEIMTAKDMWREIEEHGSFDHLKDAKTLVTSVKGLSIGAALAASVKVPSGAVRTVTFSLAWDCPEIRFPRGKTYHRRYTKFYGVQGDGAASIAHDALLEHNIWEHEIDKWQKPILEDTSLPEWYRITLFNELYYLNAGGTIWTDGSLPIQNFKTIRDRAFSLDKTKSDSEETLMLDEKNEIYMGLLSGMKSTVNQLQTPLTSNCAFGTNLLQDGEENIGQFLYLEGIEYHMFNTYDVHFYASYALLMLFPKLELSIQRDCAMAVMMHDPSKMNIMSDGTWVSRKVLGAVPHDIGLNDPWYEVNAYNFFNTDRWKDLNSKFVLQVYRDFVATGDKCFGKSVWPSVYIAIAYMDQFDKDGDGMIENEGFPDQTYDAWTVSGVSTYSGGLWVAALQAASAMAREVGDAAAADYLWVKFQKAKSVYDKLWNGSYFNYDNSGRRSSSSIHADQLAGQWYARASGLSPIADEEKIRTALKKIYDFNVLKHKGGMCGAVNGILPSGKPDMSALQSREIWTGVTYSLAANMIQEGLVDIAFQTASGIHSTAWSDKGLGFGFQTPEGWNTYDHYRSLCYMRPLAIWAMQWALSKPKLHNKEMKQMSSSLSENSSYVKQDAGFQEVACLLKLPKQPSTSYIQSLHQFLCNKFSI >Solyc04g024530.3.1 pep chromosome:SL3.0:4:30109278:30124342:-1 gene:Solyc04g024530.3 transcript:Solyc04g024530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTSRPAVVIDNGTGYTKIGFAGNVEPCFILPTVVAANESFVNQPRALTKNSNWLAQHSAGVMADLDFFIGDEALTRSKSSSNYNLTYPIQHGQVDNWDSMERFWQQCIFNYLRCDPEDHYFLLTESPMTAPENREYTGEIMFETFNVPGLYIAVQPVLALAAGYTASKCEMTGVVVDIGDGATHVVPVAEGYVIGSSIKSIPVSGKDVTLFVQQLMKERGEHVPAEDSFEIARKVKEMHCYTCSDIVKEFNKHDKEPGKYIKHWRGTKPKTGAPYSCDVGYERFLGPEVFFSPEIYNSDFTSPLPEVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDLKKIVDDRILASDARLGGNVKAQPVEVNVVSNPIQRCAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >Solyc11g051160.2.1 pep chromosome:SL3.0:11:17780439:17783348:1 gene:Solyc11g051160.2 transcript:Solyc11g051160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKKPASDETTPPTTVADVPVPEKESTPQPEPVPVPETPEKSADPVTEETDKEKTPVTESASFKEESNKVDELPNPEQKALAELKELVQDALNKHEFTAPPVKEEEKKPEAEAVAIAVAEEEKKEESAAEEPTTDAAPATTEPVKEEPPVPAAVVEEKKETTPVVEEKKEVPPVVEEKKETPASADPSETVEKVTEKVTADEEDGSKAVEEIKETIVEVTATSTAPVEEDAAVPAEEVAATEEEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFAMLKSVVAWRKEFNIDELLEEDLSGLGLEKVVYNHGVDKEGHPVCYNAFGAFQDNELYQNTFADKEKMDKFLRWRIQFMEKSIRNLDFSPDGICTFVQVIDLKNSPGLYLYKKELRQATNRALQLLQDNYPEFVAKQVFINVPWWYPAYYRMINALFTTRTKSKFVFAGASRSAETLFKYIVPEQVPVQYGGLSREGEQEFTIADSATEDTVKPASKHTVEFPVTEKSNLVWEARVVGWDVCYGAEFVPSAEGGYTIIVEKSRKIAAANETVITNNYTAPEAGKVVLTFDNQTSKRKKLVYRSKTKSSD >Solyc04g007580.1.1.1 pep chromosome:SL3.0:4:1262147:1262641:1 gene:Solyc04g007580.1 transcript:Solyc04g007580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFTSCPILPSIKTSKASRVVLPGGEIRQFREPIKAAEIMMEYPSNFLVDSSSLNIGRRFSALSADEDLEFGSVYIMFPMKRVNSVVTATDMTVILLAANSAAKRISGGNGRISPATENNVAGDQDSGEVEVFPVEREMKYRLACRSRKPSLATIMEEPVSFR >Solyc01g020510.1.1.1 pep chromosome:SL3.0:1:31023393:31023653:-1 gene:Solyc01g020510.1 transcript:Solyc01g020510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIFKIITYLQNTILIHPISSDLGCDMVPKDEPNMDSSNKISFLNKNLFFDLFHLFSHFPIYIQAHQFQRNLNSKWVAGGTEKAG >Solyc11g013490.2.1 pep chromosome:SL3.0:11:6543127:6550309:1 gene:Solyc11g013490.2 transcript:Solyc11g013490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D6F3] MKNRHTVKVSVKWIPIFSIAFFFIGMLFSNRWWSPTESGSQLIAQHRRDQELQVVSEDCNSKKKKQGQDKDVMQEVYKTHEAIQSLDKSIATLQMELAATRSTQEMKVADQSSNSSHSQNGPPRKKVFVVIGINTAFSSRKRRDSVRETWMPQGDKLLRLEKEKGIVVRFMIGHSATSNSILDRAIDSEEAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDADFYVKVDDDVHVNLGMLAATLARHRSKPRIYIGCMKSGPVLAQKTVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISINQPILHKYANEDVSLGAWFIGLEVEHIDERTMCCGTPPDCDWKAEAGNVCVASFDWSCSGICKSVEKLKYVHEKCGEGEEALWNALF >Solyc07g008140.3.1 pep chromosome:SL3.0:7:2848115:2849703:-1 gene:Solyc07g008140.3 transcript:Solyc07g008140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKMNIALVLMTILAALPGNIVAVDHIVGDTMGWTIPSGGPITYANWTSGRTFRVGDTLVFNFASGAHDVAKVTKSAYDSCSSTNPISLITVGPANITLNSTGSEYFICTFGQHCNAGQKLAINVATSSTTSPTPAPSPATSPAPTPVPNPTRAPTPTPSLSPSDGPSGPSPSPSGGAGDSPVSAPPPGPVTPEPTTPPPASPGDGLVPPPAPSSASRSVFVHALIMFMPIAISIMC >Solyc01g087332.1.1 pep chromosome:SL3.0:1:82160858:82168963:-1 gene:Solyc01g087332.1 transcript:Solyc01g087332.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHKVVLGYTCCDACERLFVKGNYCPVCLKVCRDSESTPMVCCDICQR >Solyc01g107380.3.1 pep chromosome:SL3.0:1:94819907:94838385:-1 gene:Solyc01g107380.3 transcript:Solyc01g107380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSFSLSTSPQPIRHFKRLTISSLPSRSTKIRNKSLPLRTASISASSADDFSASNQNSRSKKSVLSNLIQEIEPLDVSLIQKDVPPTTIDAMKRTISGMLGLLPSDQFQVLIEALWEPISKLLISSMMTGYTLRNAEYRLCLEKNIEIYDGNIGRQKPEDSKVGDEKLLNENISSKKSEQKQPTSEGKSELSRDIPDFGKMTPEAQQYILDLQTRLASYKKELREVKRKSAALQMQQFVGEEKNELLDYLRSLLPEKVAELSEPTSLEVKETIRSVVHGLLATLSPRMHSKAPDLSENNSAGTVNVGAEDSIDLVENTSIHFQPQISLTRDYLARLLFWCMLLGHYLRGLEYRVELTELLSLPCGLKNEGLSN >Solyc01g080720.3.1 pep chromosome:SL3.0:1:79813195:79819226:1 gene:Solyc01g080720.3 transcript:Solyc01g080720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLINRGLRSQYDLKRTAVFANCKRFSVSNNGYSNFDSPVSSFIPERNPEFSSQLENVQNGVDNTHGWSEAFSNKLEGLRQTVDSVCKILQSDPWGPSIEIALSKCDENPSTEVVTGVLRRLEDVNTALNYFGWAEKTTLRAHCPEAYNSLLMVMARTRNFEYLEQILEEMSLAGFGPSNTVSIELVVSCVKKRKIKEAFDLIQTMRKFKIRPAFSAYTTVIGALSTVQEPDLMLTLFHQMQELGYEVNVHLFTTVIRAFAREGRVDAALSLLDEMKSNAFDADIVLYNVCIDCFGKAGKVDMAWKFFHELKAHGILPDDVTYTSMIGVLCKANRLNEAVDLFEQLEFNRTVPCAYAYNTMIMGYGSAGKFDEAYSLLERQRQKGSIPSVIAYNSLLTCLGKKQRVEEALRIFQDMRKDAAPNLSTYNILIDMLCRARKLDVALEIRDTMEAVGLFPNVLTVNIMIDRLCKAQQLDEACSIFEAMDHKICRPNEFTFCSLIDGLGRRGRVDDAYRLYEQMLDFDLTPTAIVYTSLIRNFFMCGRKEDGHKIYKEMVRQGASPDLTLLNTYMDCVFKAGETEKGRSLFEEIKTWGFTPDVRSYSILIHGLIKAGCARETYELFYAMKEQGYVLDTFAYNTVIDGFCKSGKVNKAYQLLEEMKVKGLEPTVVTYGSVIDGLAKIDRLDEAYMLFEEAKSKGVPLNVVIYSSLVDGFGKVGRIDEAYLIMEELMQKGLSPNVYTWNCLLDALVKAEEIDEALVCFKSMKELKCTPNTFTYSIIINGLCRVRKFNKASVFWQEMQKEGLTPNMITYTTMISGLAKAGNVSEADKIFQKFQAKGGKPDSACYNTMIEGLSIANRAMEAYELFEETRLRGCNIYTKTCVILLDALHKAECLEQAAIVGAILREIAKSQHASRFL >Solyc03g119700.3.1 pep chromosome:SL3.0:3:69730160:69734312:-1 gene:Solyc03g119700.3 transcript:Solyc03g119700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETAICGRVALSPHQIFQPKPGDKKPRQKQWTNRNALMAMSIAGAGKGGGLLEKPVIEKTTPGRESEFDLRKSRKMSPPYRVMLHNDNFNKREYVVQVLMKVIPGMTVDNAVNIMQEAHHNGLAVVIICAQADAEEHCTQLRGNGLLSSIEPASGGC >Solyc11g008490.2.1 pep chromosome:SL3.0:11:2667035:2672226:1 gene:Solyc11g008490.2 transcript:Solyc11g008490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYTFPFQEGLDQSALAPADLSHAESTEHTKTSHTGNAPPGFSGLEKIQNPNPHHPDSSASAINSMIRSGTPDPRSFTRSPSPKISIDITSPNGLNADVSDLTASLSRLQHDDNMQQTWLQNRLSNGYRQGVQHQLNEYYGAENLGTVSDYADHNSTFYLDGHTDYLSPEFVSQNSRVQQHINNNHVVDSTGYLPGGYKYNPKMVLNNNLGSHLNGVNGNGRHLRSDPKYATSHGNTELKVLGTMYADTASLPQQKQQYCPSLIRNSCNLTDRYHVIHALGSGQENKIANSLYVTVGSRSPMYQSDPLPQIPPALRNPTDESKSSWHSENVTDGNVSLSLLEDLKNNPRSLDLLDVLNHVVEFSKDQYGSRFIQKKLETATVEEKMKIFPEILPHAHSLMTDVFGNYVIQKFLEHGTESQRKELARQLLGHVLPLSLQMYGCRVIQKALEVFDVELQKQMATELDGYVMKCVRDQNGNHVIQKCIEYVPQNRIHFIVASFFGHVGSLSTHPYGCRVIQRVLEYCNNPETQKTIMDEIMQAAGTLVLDQYGNYVIQHVLQHGKPHERSEIIGKLTGQIVKMSQHKYASNVVEKCLIFATPEERQILVNEMLGSTQENEPLQVCYYSLIYTDFAPFCSALER >Solyc02g061610.1.1 pep chromosome:SL3.0:2:33647840:33654688:-1 gene:Solyc02g061610.1 transcript:Solyc02g061610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTTQTVKDDSGDIAVGGKTVTINIRCVNDSELSVQVSLDCTVGLFKFIISQPTDIPAEEQKVIYNGRILKDDQTLKSCGLEADQTVHLIRGSAVAASASATNVVNPNANQDAPRVDVPTTGGLFVRVGRGPLFSRLGKRGGSFGAGLPDFEQVQQHDSIMMREILNMPLVQDLVNDPGIICNFIVNSPQMREYVNLNPELPHIFNDPAIFLQIWEAARNELMHETIRTIQWSLSHTESSPEESNMLRHMYVDVQEPFLNATSMAGDTRNDSGINPFVALLGAQEQGRSRSTNPPATCSDTTANPPAPNSNPLSDPWASADFGGAQMNTSPRSNTSRNIWGPSPGGLDDIADLQRMLGGIPGASSENQLIGYPSISQIMQHINQIMELDPNSHNGDMMPNRELIHQLMSSERMQEYLVQQGLFPYLDHPQSNQEQDQGEADETEYQNGAVEVARNVVDSTLERASLHEFPGLNNLGPEELYVMLFLQVVRLHRIL >Solyc09g090860.3.1 pep chromosome:SL3.0:9:70726231:70731162:-1 gene:Solyc09g090860.3 transcript:Solyc09g090860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVVEEVENVKKELEETYKQTQEHIKSIHEYGKSGTTRLISEEKSSLPRLNGLAQDGMNLLQSLQFKLDLLAPQLPLDDQVEKTQSLAQSWKTQIQSLRLSLRNANLQAKANMRKAAQEERELLLGGGEESTVRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSASTLMTVDESTGVLTKAESEYKGHRSLLSRTRNLLSTMQRQDILDRVILVVGFIIFSLAVLYVVSKRMGLLKLQRKLIEAVKSGTAGQAEIIAEAGRQGANVAQVQMNPELNVPLEQAMHDEL >Solyc12g016220.2.1 pep chromosome:SL3.0:12:6406183:6416407:1 gene:Solyc12g016220.2 transcript:Solyc12g016220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFVQEGISMNLESVNNDDLKKAMFTSKVFFPSLEELYINGANSISALWSHELPTPYLGKLVYLGVMSCGTLRNLMSQSVARGLLNLRRLHIKHCYSMEEVITKGKSIKTLFPLLEELNLKGLPKLKNFFLTEHALQFPFLREVKISECYKMKTFVQQEISVSRESDDEVFCPNLEKLYISGANSINALFSYQLPTSYFCKLEILQVENCSKLRNLMSQSVASGLLNLLRLDIENCYSMEEVIREEEQLGEGMITFFPLLKELNLQTLPKLGHFFLTEHALKFPLLRDMKIFDCHEMKTFVQWGISESTSSLQNVNSDDEVKVDDLSNAMFNSKVSCPNLKRLCIDGANNMSALFSYKLPIPYLSKLEILKVHNCEKLRNLMSRSVASDHLNLRRLDIEYCHSMEEVIREDEQQGEGIMTLFPMLEVLKLHTLPKLGHFFLTEHPLKFPFLKDVTIYHCSEMKTFVKQGISVSLESDDEVKVMFNSKVFCPNLEKLYMSGANSINALFSYQLPASYFSKLEILQVHFCGKLRNLMSQSVARGLLNLRRLEIKDCYSMKEVIREEEQQGEGIMTLFPLLEKLKLQRLPKLEHFFLTEQALQIPLLMEVEIYDCNEMKTFVQQGISVSTASLQEVNYEYVQEVLDLNKSGTKS >Solyc05g050080.2.1.1 pep chromosome:SL3.0:5:60930220:60930885:1 gene:Solyc05g050080.2 transcript:Solyc05g050080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNSKHASIALSSLNLNILASLFTSSDPFPVPTLSSSIYSNTDNSIPSSSLPSSLSPLLSATSEPLEPPIPFASSSHVSVSPLFVPPSSTFPYLRQSSTSIHHMTTRA >Solyc11g068620.2.1 pep chromosome:SL3.0:11:53561258:53563807:-1 gene:Solyc11g068620.2 transcript:Solyc11g068620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIISPGFRFYPTEEELISFYLHKKLEGKKPELDRVIPVVTIYDFDPWHLPKFHGELCMGDREQWFFFVPRQEREARGGRPCRTTNCGYWKATGSPSYVYSSNNKVIGVKKSMVFYKGKAPTGKKTKWKMNEYRAIQEEVNSTLAIPKLRHEMSLCRIYVISGSFRAFDRRPIAPTITREPSKSIINQGGISMENVTKMEAISDENLFMEEQDYVNLNHNDQIPIINQEININSHNNKRVKSNLHDETLISGWEQFNWM >Solyc10g054960.1.1 pep chromosome:SL3.0:10:56112758:56113198:1 gene:Solyc10g054960.1 transcript:Solyc10g054960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCALEFPFLRDVNIDDCLVIKTVIQQGVSVSTPGLAWVNYDDRVEVDDLNEWIQQRFNSKEQNASEGTTESDESEANDGDKSEAADDSEG >Solyc02g087710.3.1 pep chromosome:SL3.0:2:50666860:50673482:-1 gene:Solyc02g087710.3 transcript:Solyc02g087710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:K4BBS7] MTDGHQFNNISLGGRGGTNTGQLKVQSGGILWKKQGGGKAVEVDKDDIVGLTWMKVPRSNQLGVRIKDGLYYKFTGFRDQDVASLTTYFQSSCGISPEEKQLSISGKNWGEVDLNANMLAFLVGNKQAFEISLADVSQTQLQGKNDVMLEFHVDDTTGANEKDSLMEISFHIPNSNTQFVGDENRPPAQVFRDKIMSMADVGAGGEEAVVTFDGIAILTPRGRYNVELHLSFLRLQGQANDFKIQYSSVVRIFLLPKHNQPHTLVVITLDPPIRKGQTLYPHIVLQFETDNVVDLSLALSEDLLNTKYKERLLMGYKGLIHDIFTQILRGLSGSKVTKPGKFRSSQDGYAVKSSLKAEDGLLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGTANMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISGKGLKIMNLNEARATEGVPVLPDDDDDAVDPHLERIKNEAGGDDSDEEDEDFVIDKDDGGSPTDDSGGDESDASGSGGEEEKPAKKKPKKEGTVSKPSTSRKKADDDGSKKKKQKKKKDPNAPKRAISAFMYFSQSERENVKKSNPGIAFTEVGRVLGERWNKLSAEEKEPFEAMAKADKKRYSEQISDYKNPQPTVVDSGNESGSN >Solyc09g014170.3.1 pep chromosome:SL3.0:9:5644027:5652048:-1 gene:Solyc09g014170.3 transcript:Solyc09g014170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALGGLKKEGGSVTEEQGKLVFGRYSDAEVLARLKRLALDPCDSVTHQKATPLWNQMLKVREAMTLSDSDISWRKRKLRQFVKDQLRSPGLPAEKSNQKRASKQSRRHISHASSISCLLDSGNSAKSSGQKVFLSSHSACSSLKVADNVQEQLSSGFSHHNDATDFVLKGFSSLIDSDESANGSDLLSRVNRTPSVASLENLDDAVHNSSLFSVEGSRSKPLQLPRQSPRLLNFIGDHLQRCVIPVGPRFQADVPEWTVPADKGNSDYEASNSDNSKWLGTRVWPTENEDLEVSSRVIGKGRPHTCSCRSPGTAVCVKRHISEERLRLQHDLGPAFFSWKFDEMGEQVSKAWSSKEEATFESLVKRKLQLNGKKFLKQALKLLPHKTSETIVSYYFNVFIPRQLSLQNRSSNKSVNISDDIADDINDMYMHKRSEGQKPEGGKSQYLRRLI >Solyc06g050445.1.1 pep chromosome:SL3.0:6:33172581:33179601:1 gene:Solyc06g050445.1 transcript:Solyc06g050445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDRPLRTNFKVLIHTLDTIRLNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLLHAHL >Solyc02g089010.2.1 pep chromosome:SL3.0:2:51548667:51552294:1 gene:Solyc02g089010.2 transcript:Solyc02g089010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIGKVLKDDKCLYLGIDDFRQDVGTTAQKVGLYYYNGTVFNLSSLRINLLISLNDSGQANFENSSEGGPLNNGASSEVPRFAVDKSVEFSYDELANAIDNFSTSYKIGRGGFASVYYGELRGEKDGIKKMDMQSTKEFLAELKVLAHVHHLNLVNSLIILVYYLFLLDYITSLAPAQFRLFIILQSF >Solyc01g058007.1.1 pep chromosome:SL3.0:1:64754820:64758699:-1 gene:Solyc01g058007.1 transcript:Solyc01g058007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLFDYLDDFVVVYLDDIVIYSRTLEEHVNHLSLVLSQLRKYTLYVKMEKCEFAQQEIKFLGHLVSKNQAPRHVKDLRSFLGLANYYRKFIAGYSKKAASLTDLLKKDAKWIWSEQCEEAFQNLKNVIASEPILKLPDFELPFEVHTDASDKAIGGVLVQEDVVSKYTKLKE >Solyc08g066365.1.1 pep chromosome:SL3.0:8:55026404:55027938:1 gene:Solyc08g066365.1 transcript:Solyc08g066365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNLYVHPGPVEHDALKIQVHHRSEGIWNGSIKEERCCLYTRRGDIEFWQHLKYHPLHSRILQYFENCRFKGILDVGCVPYDSGLISALIERWRPKTHTFHMRTGEATITLQDIEILFGMVVDGSPIILNGADSLGIIGRQEMIFQLTGWLPDTSCFSGVSTLSTYKLIEYIEAWGSAALSYLYNCLCRASMRKSNEVCGFLSLVQIWAWERIIPLQPLSKPLRTNQFEALTALARKWTRRRNHQNEARTVIGVIRDVLDNLTDEQPYSEDVINGLPEWCRSGQRVWMAQITSVGT >Solyc10g085600.2.1 pep chromosome:SL3.0:10:64838545:64852313:-1 gene:Solyc10g085600.2 transcript:Solyc10g085600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDVDTADGETVILNCIDSNESRLDGDREEIVISTAEVSRWDLTLLGHRSIIKIKANRQRLIRQSSYFHGLLSGSFSESCCDSISIHWDMESLLSMLQFIFGCSLDLTSENFLPLYEAALFFGVDKLLLVCRSWLDYVTSEVRIWPPELCLEDLVHIWDYGRENAIDFIPQLTGYLASNFIWMASCDSFHNVPFELLLTCVKQPCLTVDSEKHLCDAILLWLAANTNPSDRLSSTGDARLEILTEIRTSLLSLPFAAVKRRCPFFSKFAERSVVAICSLAASRSFILADILGGGDCNQLRIRLTEYTKILDLSGCPQINLPLLLLNMLPCSYYLDKLLMKKLNQLSLKLERHADISRISWETFPVLTFEAVQVVDVSNCPMLHLEAAIEFFSKSFPSLTTLKAAYILTFRTMKLYQLLQRCPLLSDIDLTVDSTPVIPAKVSVISSFPAVMLQISTSPNDETCPDVPVFHFSRQLSNITKLILEGRTDIYDSDLQNIAECCPSLCCINLNACTSITDSGISILVLKCVELHSIFACDTSFGHNCVLSLRRNISRLDAVAMKMADDTNSLAYKLQILHIGGCKGINENSLLELISQTQSIRSLCLRETQLVNNSLYKFPGSSLEMLDVSDTKVSCGAVGHVVRGNPLLKCLIARGCRHLLQEENYILGNSPVLYYELGKSCNLEEISLGWGFSFFSLEALRPAIKMLRTFIVGLGGSLGEDGLKLVPTFCPWLETLILYFQVVSDSVVRNILETLKNLQVLALCYCFGEISSLSFQSSAPRLRKLKLERVSTQMTNDDLLILSRNCMNLTELSLVGCKRLNSESQDTISNGWPGLISLHLEDCGEVTAQGVTSLMNCQALEDLLLRHNGLGIDRNFIIRAASRMPLLRKVAVDVCDAKDGDFDLPDFPDRNFLCILKIARCNLKRRSFGSTKSGTCTTPVHAETLILTWDSRKLSRTVVKERL >Solyc08g077100.3.1 pep chromosome:SL3.0:8:61146397:61148674:1 gene:Solyc08g077100.3 transcript:Solyc08g077100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGDHGSPNRFNLSPSKKTLLKRNIATFSAELRKYSEDCRNLSSEGISRSEGGVAKSDESDIMENQNVVSSSSSKFHHLNFNENSMQVHGEMDEMNSSLIHQTEELERQVKERRDWAHQKVMQAANKVSNDSIELRILRMNMEETRKLKNDKQLKEGSRLERLVYECEKMKTVVEKTSGLIDRGNLIKEKIEKENDELRAEIEAYKLRASEYEITQLEALKKEKKCLKKLKVWEKQKKKLQDSIAAEKQMIFDLKQQLVESEKTEKEAEAMWKQEQRAKAEALSLLKEETRLNEEVKATNKRMLMDMRLSSEIESQQYKDELERLHQELSRLKASNEAPERDIAKLLHEFDTLEVSSSKKDASNDRRCVICKKGEVSVVFLPCAHQIICANCNDNYGNNEQAKCPSCLVPIERRIRIFGAAS >Solyc12g049510.2.1 pep chromosome:SL3.0:12:62095003:62104623:-1 gene:Solyc12g049510.2 transcript:Solyc12g049510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMLLDYAVFQLSPKRSRCELFVSSDGNTEKLASGLLKPFVTHLKVAEEQVALAVQSIKLEVKRCKNSETWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAAGRIYSQGEGYQFSSTGSGGSGVTVAADATKKELLRAIDVRLTAVRQDLTTASSRAAAAGFNLDTVSELQMFADQFGAHRLNEACKKFISLSERRPDLINPWKGVPRDDQAVRCSYGSDMSIDEDPAISVHPSTLSHSTSRESYLKQQQHPHHLDQYMPSMGQQLTPLLQHSRESNIKSEEKSKEREVIAEKEKEEDTSSQQAESTELSRHKRRLSVQDRISLFENKQKEENSGSAGKLVVGKPVELQRLSSGVSVPPVTEKAVLRRWSGASDMSIDLTGDRDTESPQCTPSASVSQSKPNDQKTSGLTDTATFGRPNLGGVPSVVGSSKLNEQTDANLRVAYTNEKEEVAGAKQLFGSCRNIEVSSKSISNSTSGIFDSDGWKEQASGKARSIPLIRRDEEKSLKNQLEPGGQLFTSPGIKGDQIASTPNSNFKGFQGGDEFGESKGQMVHQAPGLKKHGAQQELEHAKAKIWNHEEPGSSDLSVSQRDKASQRTTEDSMQLDSSSRVEVTESFSAKGIENNSPYLQSRLPSPSETEEVEKVELAPSEKVEGASGSKGEDFRHQLVKLKKQGAAEQIRKAQDSRDESNSGTSKVMLSGKMFMEAQEGPKSFLTPPIGKVQRARQSKGNQELNDELKMKANELERFFADHKLRAPEDQSNSSRKSKASDMRGWQVATSSNQKPVVDNSLVQLSDSSMLREPATSSNDIERFAVTPPTKETFVDFLNRTSSELSFSDGSQGKFYERYMQKRDAKLRAEWNSKRVEKEAKLKALEDSLERSRAYMKTKFAGSTDKGSAVSGARRRAERLQSFNSRSILKSNQYLVFEQSDEEEGISEFPKQKKYGEDRSSDETFVGEDGSKNTQNKKQLPVKSFSSSTPRTSLVPVPRSGKKVSSSSSGRRRFPSDNPLAQSVPNFSDIRKENTKSSSAVGKITHSQSRNYTRDKSSREGVSLVKEDKSWRSQSLRQSSANVGEFREASLLNSDGVVAPLRFQMEQSLNDKFLKNSDSKTFLIKGKDPVFSTRAGLTKKGSSVISKVEDNDNEYNDMALEPKDTAHRLQDKEEEEFENMTAELRSYFDNGEPRLSHDSEKLVTSGSESGDFLRSFSQVDSALEAVLPSDFLSGGTVQDSVGESSRDI >Solyc07g007050.1.1.1 pep chromosome:SL3.0:7:1833055:1833414:1 gene:Solyc07g007050.1 transcript:Solyc07g007050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAGDGLFRGVFDGCISGHDMGIQQRPYHRNCSCKLHKSRGNCSHSSRCTNVSYPIRRSWSESCLSLAAGAYAGASGHSSTCSSPASGVGGSDLTGKKNLVRSTSDEYDDVVLFKV >Solyc09g059912.1.1 pep chromosome:SL3.0:9:56778738:56778973:-1 gene:Solyc09g059912.1 transcript:Solyc09g059912.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYFFDMVYVTHCLSLSMSTISLSLEATLSVSIRLSLPSLISNIHYFISVEVIRSCSGSILTQANYVNEI >Solyc02g094485.1.1 pep chromosome:SL3.0:2:55620977:55623710:-1 gene:Solyc02g094485.1 transcript:Solyc02g094485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASQSAELPSDEEDEQEEEDAEHENGTPDERHRLVGDDTLLKKVLEQEPEMLPCHASASPLSPQLSSFGTPRLGPSIKVWDPYNVLAPPPSIPHFHRTFSSDSVDDDRTLTDLYLISNGECHMNLRPDLIAGRSPEAALTPNGKRQARALAVFLKSQGIRFNSVYTSPLDRARATALSVCQEINFSEERIQSSDGLLEMSQGHWEGCHRSDIFTPETASLMEKFQPDFSAPSGESLRQVEFRMVQFLNGTVMTLPDKFRSDFSPPDQGFSNRGSHPLVNSVHDRDGPPSFSSSHWDLHHRNRQGLSRKKSGKSRLQIVTTTGDHEADDEMSPREPINPNSIRDLNVQNSSNVSQCIGIFTHSIPIKCLLTGLLGCSSMMSSKICIDDSSVTVLQHSWKMGWQIKRMNDTAHLRLL >Solyc10g047315.1.1 pep chromosome:SL3.0:10:40471517:40474653:1 gene:Solyc10g047315.1 transcript:Solyc10g047315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLPTLNKAYSLLIERERQCSMTQTSSSNSSELNGVFSTGLNSKPSTAKPRPHFSSSYDPNAICDYCNRTCHTKAICYQLHGYLPGYERRKRGSPNTYQGRGRSNNDRRTYHAAHTAISKSDQFNYSRVWIKGIKVMGIAEGSSSSTSTPRSSTSHPSANLAQDTYSSTDSSPLFLAPSTDSVIPTDLISQPSESTPSVPLIGRSQRSSKPPLWLQDYVASAQLTSTRPLYSIDQYIGNNFNLIQQTKRTLHDKFKMKDLGNLRYFLGIEFARSQEGIVMHQRKYTLEIISEAGLGAAKPTTTPLDPYVHLTTREYDELNGTG >Solyc10g018590.2.1 pep chromosome:SL3.0:10:8755996:8758713:1 gene:Solyc10g018590.2 transcript:Solyc10g018590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFFSLPNSFPSKPKSHKRPIHSSSLTSQSQKIHLLNLISDQERGLKTQSDPQKLSQIIQAIDDLGSIGRDTVTTGSSLSATWRLLWTTEKEQLFIIKNASFFGTKAGDVLQVIDVEKKTLNNVITFPPDGVFFVRSTIEVASSQRVNFRFTSAVLRGKNWEFPLPPFGQGWFETVYLDDDIRVVKDIRQDYLIVERAPYTWKE >Solyc03g096145.1.1.1 pep chromosome:SL3.0:3:59468275:59468904:1 gene:Solyc03g096145.1 transcript:Solyc03g096145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTFSHVQFFNLQHENHKCNLQLLLVLFLLEYLIVVKLFLALMGHKLFVACLDEQNDIMTVKLIHASGTER >Solyc01g094160.1.1.1 pep chromosome:SL3.0:1:85590370:85590783:-1 gene:Solyc01g094160.1 transcript:Solyc01g094160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSTLKKNNESAILNAIDVEGFINHTRGEHRKAKENIETIKTIMENLKKKTESLKKKIENTKIAHLEQEQTLEVEKAKMQKLEIQLEHAQATQREIEQLKKPASREIKSSTQPLPPLAYAEFFISGDRHLKKRTN >Solyc03g007490.3.1 pep chromosome:SL3.0:3:2068339:2073362:1 gene:Solyc03g007490.3 transcript:Solyc03g007490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTQTTTSQPNKANERAASSTASVQNSASSFKRLGRKSPFMRYGLPMISLTVLGSIGLSQLLQGSKDIAKVKDDQEWEIIETRKALSRYGPVNAYNPKKINLEEELKALQEKVDINDYEYKKIPKPKESE >Solyc04g015260.1.1.1 pep chromosome:SL3.0:4:5464924:5465502:1 gene:Solyc04g015260.1 transcript:Solyc04g015260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRKRGRETLNTIYKKKKHQVMMMRMMNEDDDFDQIRYKWDNAKDFMRTKEFHQDDASGTSIGPNAETGVFDFPWIKGNRDVNFKEEIEECLDSTFSTYNCYDDEIHIDATTITTTPSIFSDSTMFDQKKLLLGLDIDLDFNLNPDLIDQFFTDTAQSSCDNDHVDEIEDHQLKIEVNGDTKLVEPKDRS >Solyc03g116470.2.1 pep chromosome:SL3.0:3:67368140:67369256:-1 gene:Solyc03g116470.2 transcript:Solyc03g116470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKKWARDLYFVSSRLYFLLILFQLPLFRFPCRIGTCTTPIEVSLSLLYANGVVPGGMVKALIYPGAVAKAIYKNNAIPSYNKLLEAYKFTNMKESHVTHDLQNLEVIVGSYLSVAGALLGLMKSGRFSLIGILLITWGLDKQVLFRNSASVVIYPTMLIALLSAFFSIRADVTKMIRFSKQQASIRNEQKQKITRVRYAR >Solyc09g007910.3.1 pep chromosome:SL3.0:9:1429171:1438830:1 gene:Solyc09g007910.3 transcript:Solyc09g007910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVQNGHVNGEAMDLCKKSINVNDPLNWEMAAESLRGSHLDEVKKMVDEFRKPIVKLGGETLTVAQVASIANVDNKSNGVKVELSESARAGVKASSDWVMDSMGKGTDSYGVTTGFGATSHRRTKNGGALQKELIRFLNAGVFGNGTESSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEKLNAEEAFHVAGVTSGFFELQPKEGLALVNGTAVGSGMASMVLFESNILAVMSEVLSAIFAEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLKNAVKNTVSQVAKKTLAMGANGELHPARFCEKELLQVVEREYLFTYADDPCSSTYPLMQKLRQVLVDHAMKNGESEKNVNSSIFQKIVAFEDELKAVLPKEVESARAVVESGNPAIPNRITECRSYPLYRLVRQEVGTELLTGEKVRSPGEEIDKVFTAFCNGQIIDPLLECLKSWNAMDLCKKSINVNDPLNWEMAAESLRGSHLDEVKKMVDEFRKPIVKLGGETLTVAQVASIANVDNKSNGVKVELSESARAGVKASSDWVMDSMGKGTDSYGVTTGFGATSHRRTKNGGALQKELIRFLNAGVFGNGTESSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEKLNAEEAFRVAGVTSGFFELQPKEGLALVNGTAVGSGMASMVLFESNILAVMSEVLSAIFAEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGLKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLRSAVKNTVSQVAKRTLTMGANGELHPARFCEKELLRVVDREYVFAYADDPCSSTYPLMQKLRQVLVDHAMKNGESEKNVNSSIFQKIVAFEDELKAVLPKEVESARAVVESGNPAIPNRITECRSYPLYRLVRQELGSELLTGEKVRSPGEEIDKVFTAMCNGQIIDPLLECLKSWNGAPLPIC >Solyc03g025880.3.1 pep chromosome:SL3.0:3:3303021:3314981:-1 gene:Solyc03g025880.3 transcript:Solyc03g025880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTSVVNTYPLSSYTFGTKETKMEKDTSVADRLARMKVNYTKEGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLSSKLAANSPGIQPNWQVGECVAIWWRPNFETIMYPYCPPHITKPKECKKLFVVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMIHP >Solyc09g090470.3.1 pep chromosome:SL3.0:9:70456847:70463570:1 gene:Solyc09g090470.3 transcript:Solyc09g090470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSQYVDENGEDDGYTKDGTVNLKGNPILRSNTGGWKACSFIVVYEVFERMAYYGISSNLVIYLTEKLHQGTVKSSNNVTNWVGTIWMTPILGAYFADAYLGRYWTFLIACGIYLLGMSLLTLAVSINGLKPPHCSNPSSIDCKKASTLQLAVFFGALYTLAVGTGGTKPNISTIGADQFDEFHPREKSQKLSFFNWWMFSIFLGTLFANTVLVYIQDNVGWSLGYGLPTLGLAISIVIFLAGSSFYRHKKPRGSPFTRMAKVIIASLRKWKIKVPNDPKELYELDLGEYTKNGKVRIDSTPTLRFLNKACVKVDTTNPWMLCSVTQVEETKQMLRMIPILIATFIPSTMLAQINTLFVKQGTTLQRNIGSFSIPPASLSGFVTISMLVSVVLYDRFFMKIVKRLTKNPRGVNILQRMGIGMAFHIVIMLVASFVERYRLSVARDHGLVENGKQVPLTIMILLPQFILMGTADAFLEVAKIEFFYDQAPEGMKSLGTSYSMTSLGVGSFLSSFLLSTVSRITKKHGNHKGWILNNLNVSHLDYYYAFFAILNLLNFFFFLFVSKFYEYKAEVSDSLSVLRQELEIESRHRVTNSQEGSTNTQVNGST >Solyc03g095540.1.1.1 pep chromosome:SL3.0:3:58163006:58163257:-1 gene:Solyc03g095540.1 transcript:Solyc03g095540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIQLQTSAKMTCGIANLSPKLLHLLCLRSNAAKLKNFQNLSKKALVVKMLSLLHRHPHQPRMRRRNQISPSLLQRKPVRCR >Solyc05g050434.1.1 pep chromosome:SL3.0:5:61460778:61462625:-1 gene:Solyc05g050434.1 transcript:Solyc05g050434.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLILMGKSTQHEPWVGIGIISIPYALSQGGWLCLMLLLLVAIICCYTGILLQKCMSVSPSIKTYPDIGEFAFGNKGRILISIFLYLELYFVAIEFLILEVAIIILPTTWLKSLGLLAYVSIGGVLASIVLVFSIFWVGAIDGIGFEEKGVIWRWDGLISAISMYTFGYCGHAVFPTICNSMKDRSQFPKKDR >Solyc02g091720.2.1.1 pep chromosome:SL3.0:2:53600115:53607717:-1 gene:Solyc02g091720.2 transcript:Solyc02g091720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSTIKDAFDRVTKKQKVASSKSQEVIEQIGHEIEQALLRIQSANDTASLSEHKVILSELKAKLKEVAPLSQMEGTQKELNVALSKYPKLLEKSLNPDISKAYRNVGSDIHTVNQIIASHFYREGHFDLGDCFVNEARESEAAGQKTPFLQMYQILEAMRCRNLEPALSWATTNSEKLKLTGSDIEMKLHRQQFVEILQNRGRDGALNYARTFFPPFATKYLAEIQRLMACLLWAEKLESSPYSDLLSPLHWDKLAEELARQFCNLMGQSYESPLSVTIAAGVQGLPTLLKLMNVMTGKKQEWQSMKQLPVPVDLDREFQFHSIFVCPVSRDQASEENPPMLMSCGHVLCKQSITKLSKNNNTRPFKCPYCPTEVEVGQCRQLFF >Solyc01g096180.3.1 pep chromosome:SL3.0:1:87149397:87150412:-1 gene:Solyc01g096180.3 transcript:Solyc01g096180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFMQGLSLSLLSSGLHILAGFAFVYFEDERDAADAIRCLDNMPFGYDKRRLSVEWAKGDRVQPRDDSKVSANQRPTRSLFVINFDPIRTRVRDIERHFEPYGKILNVRIRRNFAFVQFENLEDASKALECTHMSEILDRVVSVEYALRDDGERGDRYDSPRRDYIRHGDSPYRRSPSPMYRRGRPSPDYGRPGIPAYDKYNGSSYDRYRSPEYGSYRR >Solyc06g008260.3.1 pep chromosome:SL3.0:6:2133393:2136782:1 gene:Solyc06g008260.3 transcript:Solyc06g008260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGEDYGRLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDVKIDIKRIPKKKTLIAAMEAADVKTKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRAGVVRQELAKLKKEVAA >Solyc07g043390.3.1 pep chromosome:SL3.0:7:57233928:57236485:1 gene:Solyc07g043390.3 transcript:Solyc07g043390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMELNKSTVPQPITTVYRLHMFIHSIIMLALIYYRVSNLFKFENILSLQALAWALITFGEFSFILKWFFGQGTRWRPVERDVFPENITCKDSDLPPIDVMVFTANPKKEPIVDVMNTVISAMALDYPTDKLAVYLADDGGCPLSLYAMEQACLFAKLWLPFCRNYGIKTRCPKAFFSPLGDDDRVLKNDDFAAEMKEIKLKYEEFQQKVEHAGESGKINGNVVPDRASLIKVINERENEKSVDDMTKMPLLVYVSRERRFNRLHHFKGGSANALLRVSGIMSNAPYVLVLDCDFFCHDPISARKAMCFHLDPKLSSDLAYVQFPQVFYNVSKSDIYDVKIRQAYKTIWHGMDGIQGPVLSGTGYFLKRKALYTSPGVKEAYLSSPEKHFGRSKRFLASLEEKNGYVKADKVISEDIIEEAKMLATCAYEDGTHWGQEANWLFIRLSFGEHFYWLSITLQRVDIYLFVSRQAIFLGLCPS >Solyc05g053210.3.1 pep chromosome:SL3.0:5:64194636:64199950:1 gene:Solyc05g053210.3 transcript:Solyc05g053210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQQEDEIRVGAGKKGMRLGKYEVGKTLGEGNFGKVKYARHVETGQSFAIKILEKSRILDLKSTDQIKREIGTLKLLKHPNVVRLYEVLASKSKIFMVLEYVNGGELFDRIVSKGKLSEAQGRKLFQQLVDGVSYCHDKGVFHRDLKLENVLIDLRGNIKITDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGAASDTWSCGVILYVILTGYLPFDDRNLAVLYQKILKGEVHIPKWLSAGAKNLIKRILDPNPHTRITMAQIKEDAWFKQDYTPVNTDDEDLESDDHVCTVHETDDHVCTVHELPLDAQRDPESPCLINAFELIGMSSCLDLSGFFEKEDVSERKIRFTSSLSPKQLLERIENMVTQMGFHVQKRHGRVSITVGASICICAVTQGKFNLKLSSVLCLCTLQLKVMQEQKGHKNPASLLVVAEVFEISPSLYVVELQKSSGDSTVYRQMCNRLSNDLGVHRNEELLPTVCCDS >Solyc01g056900.1.1.1 pep chromosome:SL3.0:1:57397446:57397649:1 gene:Solyc01g056900.1 transcript:Solyc01g056900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAANSTSMASTAIFNTHLSLCSTAKAASFCCSAQPYLPPRVTASSISTSFKFLDCSTKVYRISV >Solyc09g082400.2.1.1 pep chromosome:SL3.0:9:68580818:68584324:-1 gene:Solyc09g082400.2 transcript:Solyc09g082400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSFSVQRSNLLLSQIQKFIPKKWTSVRESNTRKNCGQTLLCSSHECISGPCKGSNESMVDCLLTTLKDFAGQGHICKAFRTFSLIRTHVSSQTPCDLVIQSLSSLLLCCTNSKSLSEGKQIHACIINLGIAHSWNLVPRIITFYTTSGLVDDAHVIAETSNILHPLPWNLLISSYVKKRQNEEAFSAYRQMVNRGIRPDDFTYPSVLKACGEQLNLAFGRDIHNSIDASLLEHNLFVQNALVSMYAKCGEVDVAHDIFERMPVKDAVSWNSMISGYASKGRWSKAFEIFDRMRATDAEFDIITWNTIAGGCLKTGNFVGALKLLSQMRTCGIQLETVATLIGLGACSHTGLLEIGKQIHGLVIRSHLDDFDNVRNALINMYARCKALKQAHLLFQFVDSKTVITWNTIISGFAHWDRSEETSFLFREMLLSGVEPNYITIAGILPLCARVANLQHGKEFHCYLTRREGFEEYLLLWNSLVDMYARSGKVLAARKLFNLMSKKDAVTYTSLIAGYGIQGEGREAIELFNEMIRLHIKPDHVTMVAALSACSHSGLVMQGQKLFEQMQSTYGINPHLQHFSCMVDLFGRAGLLKKAKEIIIKMPFEPTPEMWATLLGACRIHRNTEIGEWAAEKLLELRPDKPGYYVLIANMYADAGRWNKLAKVRTVMRDFGVRKSPGCAWVDTGSGFSPFLVSDTSSGQTNEIYCLLGGLNRLMKDTGHLTTEDSSSEEELCEGLLL >Solyc01g058675.1.1 pep chromosome:SL3.0:1:67537511:67538477:-1 gene:Solyc01g058675.1 transcript:Solyc01g058675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQFTKTATLVLAGSVCDTSKIHNCIPDEHYGQTLLAHVGLECEITWRTVTHTAWVILSSKEHHGKDDKLSNVTPMLI >Solyc08g060960.1.1 pep chromosome:SL3.0:8:46168945:46169719:-1 gene:Solyc08g060960.1 transcript:Solyc08g060960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSITLKDLHSFHSFDQELFIRLVLILHHAPDILVWVLSKGYPKVPLNFPIHVPGFPHQTFGIITVILRSLDYIIPNKNGPLENIWSLKPKSQVDDRTMFLTLSRGEHMTKKEVVELFNSKYIDFVEDVHMPPPTSSKLLLYAQMVVRDVSTID >Solyc03g071537.1.1 pep chromosome:SL3.0:3:20045014:20049026:-1 gene:Solyc03g071537.1 transcript:Solyc03g071537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPIIHEEINIIRTLPPAIPISLTVPSHSNSLESRIEWQLPTVSTSSGMIPISTQPPSLLNEATHNSHLLPVSHRPSHNYRPSNPTAIMQPAAAPLPSGVVTRSKINIFTPKKKFTFLSHHSRIPNNFKQVVKHIEWKNAIDLKYEAFMRNQTWELVPMDPIKNVVDCKWLFLIKEKADVYVDDILITGNYPNLLTYVINPLDDKFSLKNIGELNYFWGSKLNMLQMGLSYHNPNTYLRFSKIRIWPTIKELRHPFSQAHHPNKLTNYHNLCNPQVWRIEN >Solyc11g068880.2.1 pep chromosome:SL3.0:11:53750322:53751701:1 gene:Solyc11g068880.2 transcript:Solyc11g068880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGSSFVWFPCTKKYQCSKCPVSSQKNPTFIPRLSSSARVLGCLNPKCSWIHPKKQPESLCHACESRNRTNWYYYVELTEITVGDQIVKVPYRYLAPNSLGNGGTIVDSGTTFTFLNHDIFVSVMNAFVNQGIKQCHCQR >Solyc04g080920.2.1 pep chromosome:SL3.0:4:65059598:65060455:-1 gene:Solyc04g080920.2 transcript:Solyc04g080920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSAFGKYTPQWKWLTNELPKVNRSETPWLIVLMHCPMYNSYVHHYMEGETMRVIYEPWLVKYKVDVVFAGHVHAYERSERVSNVAYNIINRKCSPVKDESAPVYITIGNGGNQEGLATEMTQPQPRYSAYREASFGHGILDIKNRTHAYFVWHRNHDGFATEADSLWLLNRYWKLEQSSVAYFMK >Solyc01g080270.3.1 pep chromosome:SL3.0:1:79350878:79370851:-1 gene:Solyc01g080270.3 transcript:Solyc01g080270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVNFIIRPPRAEYDPKNDLLDEEFMLKGKWYQRKDLEVKNSRDDFLKCSHYMPLIRPEGKLLPCVIYCHGNSGCRADASEAAIILLPSNITVFTLDFSGSGLSGGEHVTLGWNEKDDLRAVVEYLRTDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMTELVDTYKIRLPKFTVKFAIQYMRRAVLKKAKFDIAELNTIKVAKSCFVPVLLGHGVDDDFIQPHHSDRVFDAYMGDKNIIKFEGDHNSPRPQFYFDSISIFFNNVLQPPMDEAGAAFFDMPQDYFGKGSWSTVHELDYMDDVHDVAAAPTSSTEDVIKQVRSKRPMSRIEVPSDISAQDNQTADQEEGTGTYSAPSSSKMISFELSNGDPFGPNVPASIDDDDYVEYPLDNMADFPSNVDEEERMLMKAVLESLKDLEVKPPPAEQASSDDVIELPQPLQGAREELSTAKQCTPSKEISASAIVFNEQNSDAKVQVPDTKKVSVTPANSTSSAKEVECNGTSHREKSVSNQSSSSVDTVDGTKATVTVVKNPTSNVMDGLWRRWDLNFFKNK >Solyc11g072370.1.1.1 pep chromosome:SL3.0:11:55854271:55854582:1 gene:Solyc11g072370.1 transcript:Solyc11g072370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIPYQLKVYENSLHFGIYSFPPVINSNLFQNQHFPPLSLSELTFQNCHKLQYLLVKGMRTSISSLSIYDCPSLKPLLELDKGEYWPKIAHISTINIDGEYQ >Solyc03g119270.2.1 pep chromosome:SL3.0:3:69391136:69404310:-1 gene:Solyc03g119270.2 transcript:Solyc03g119270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAILNSSLFLLHHHSLFSTAVLSFRRHHRHLNVSLFSAPRTTLRAYSTSSSSFSIESSTNQNVRTGRSGSATSPPVEQDTVQKIDVNPPKGTRDFPPEDMRLRNWLFHHFREVSQQFGFEEVDFPVLESEALYIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSVSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPDVTGEAELISSMITFFKRIGLTASDVGFKVLQEVLRCYSVPENMFGRVCIIIDKIGKIPMDDIRKDLLSADMSEVAIEDLLQVLSLKSLAKLEEKLGASGEALSDLKQLFSLAEKYGYSEWLEFDASIVRGLAYYTGIVFEGFDREGKLRAICGGGRYDRLLSTFGGDDLPACGFGFGDAVIVELLKERGLLPELNLQIENIVCSLDQELQGAASAVATILRGKGQSVDLVLENKPLKWVFKRAARINARRLILVGKAEWQKGMVNVKTLSTAAKSNKIDVMAGPSLLDSLFQRSLEDLIKGLRLFVGDESSFISKAVDEIRREIKSTDQQTKATALQKFTYLHSIHGVDMSWAAFHAIELSSSQSFNFKRIAYLAASLSFDPSTTDVILLLTHQLPPEFYKILADSRNNWLLIKVLKIFVKLAPLEPRLGKKLVEPICDHLKKTGAKSLAFECVRTIVSSFSEYDSAVRLAVEKIKEFLNEDDPNLKYLGLQALTIVAPKHLWAVLENKDFVIKSLSDADANIKLEALQLVLSMVSEDNVVDICKVLINYALKSDPEFCNEILGCILLTCSRNVYEIIVDFDWYVSLLGEMSRIPHCQKGEEIENQLVDIGMRVKDARPELVRVGRDLLIDPALLGNPFVHRILSAAAWVSGEYVRFSKNPSEIVEALLQPRTSLLPSSIKAVYIQSAFKVLTFYLYYSISTKGVISSASQGVADLMHGRVLENSQFVRTGPVADSDTDDGGLNPRMLHQSVRDASVESFEDMSTAHEWLSSTLPKAEPITEESILNILDLVEITLGPLAGSHEVEILERSRNVLGLVDLIREELPGYLVKREEDDDKGQKKTHEMIKLIAEAFSEELGPVSASSQERVPMPEGIVLNQSLDDLDAICGDLGLHIPTSFSLGKSISSEKDDVTMSDRQSKEEYESTESTSLLAEHRKRHGLYYLQSQKKEMAYDDYPPANDLKTGENADDEADDLIKLTEQSLFSKKKANQAKPRPVVVKLDDGDGPFIPAKKVESKDDLISGAVRDVLLGDEATTSSSRAKKSDKSSSKRRQKDKLDVDKSSGPIEDSKMMENSELENVNLRRSKRHSRGKEKKHRSTAKDRNEHEEGDKQKVSHHHGKHKSRQRADGALTLAAQSPVIPDFLL >Solyc03g044350.2.1 pep chromosome:SL3.0:3:8876327:8880813:1 gene:Solyc03g044350.2 transcript:Solyc03g044350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASVVDQDEEGLPSPPLDDLAFFSAQTTSIELSQFFDGMDDDGSEQNLCPYSFNIPSLFCSIFGFPSNKDPRNSGGFNVNRGNGRKPSLGNQNIPLQKKQSADCFNASPKENKGEQQKKQEAVIKKQTIVAKLTKPSGGESGPGRPTKPALEQKLKVNEMNFQQKSHKGTIQKRPVASQQNKLRHSDEDVVPVKLEATKRKLQERYQEAEKAKRQRTIQVMELHDIPKKGPNQGCLEEEGKKCDNTKFYEILGVSKNASEDEIKKAYRKASMKNHTDKGGDPEKFKELAQAYQVLSDS >Solyc06g054625.1.1 pep chromosome:SL3.0:6:37451998:37454989:-1 gene:Solyc06g054625.1 transcript:Solyc06g054625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKKIFVILPKAKFIWGKMEMFRRDQSTDDVPVTEQASSNEETGLEEPMRQLSVGTEAGSYPERPNEPDCIYYLRNGICGYGSRCRFNHPRDRSLAVGERRATGGEYPERAGQYVCQYYMRTGMCRFGASCKYHHPRQGEESPSLVIHNIFGYPLRPVCLLFMFIMFLSPCIAISIRLCF >Solyc09g062990.1.1.1 pep chromosome:SL3.0:9:61149349:61149783:-1 gene:Solyc09g062990.1 transcript:Solyc09g062990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLLKTLLNSFFDFAASYDQARSTLHDIDVESARKQLFVAAGERLTNSMFGDQDKVEEASSLRQLFGDMKKEIRALCKRAQDLQVLLIDADEKVQEDKLATSFATQEFDACFDADFKSDLDQKKENLEAIRQELINYKLCLD >Solyc04g050950.3.1 pep chromosome:SL3.0:4:49001452:49002871:-1 gene:Solyc04g050950.3 transcript:Solyc04g050950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLIASMEVRCGGHLIHDMFHTNIHHIRNISPKLINHCEIHEGEIGKIGTVLSWKYIDDGKEKFLKEEIKHIDPQKKSITWEVIEGDVLELYNFFIITTSSEHQWTTVTFEYEKKTENIPEPLSFLDYFVNVMKDMEGHLLSK >Solyc09g014890.3.1 pep chromosome:SL3.0:9:7125144:7153605:1 gene:Solyc09g014890.3 transcript:Solyc09g014890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQKRDRNNLIALTGAAALVAIAVNFAISAINNKHRKKKDVPGSKVRVDLSASEIMKLAESIIKKSKQVHDAVASIPLDKVGYVNVILPLAELEAQQFPLIQSCVFPKWLSASEDVRKASAEAERKIDAHITMCRKREDVYRVVKALTVTGDGWTTDAKSFTRFLVREFERNGVNLTLSKKEEWQRLTANIDELSMQYIRNLDDDCSFLLFTDMELEGLPQEFLKSLERSEDGKRKIIMRSHQISPVLELCKVGSTRRAVAISYGHRCEANVTILEQLIQLRHKLARLLGFANYADYATDDRMAKSSSKVFEFLENLSASLNDLAYRELSMLKALKKKEEGESPFGMEDLSYYVKRIKDQQFHINFGVIRQYFPINLVLSGIFKICQDLFGLGFKEVEGAAVWHPDVQLFSVSDLSSKELVGYFYLDLYSRVGKYAHTCVIALQNGLLSNGSRQIPVALLVSQFEKEVDGHPGLLQFSEVVNLFHEFGHVVHHICNCASFSKLSGLRLDPDFVEIPALLMENWCYENLSLRLISGFHQDITKPIKDDLCKSLKRWRCSFSALKLKQEIFYCLFDQIIHSTENVDINGLFKHLYPKVMVGLPLLRGINPASCFPRTAIGYEATCYSHIWSEVFAADIFASKFHDDIFNLQTGLQFRNTVLAPGRGKDPLEMLSDFLGREPSMQAFLDKRADTY >Solyc01g005140.3.1 pep chromosome:SL3.0:1:127074:134213:-1 gene:Solyc01g005140.3 transcript:Solyc01g005140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIVTHQYYRPASRITIFAYIFGFIAAILMLVWLLHYRGGVDLDSYDPNKIFNVMRAEKKVQKIAHFLLHLCAIILGIVGLHAAFKYHDRSGLRNLYSFHSWIGIGTFSLYILQWVIGLCMYMLPYTRRETRAVNLPLHICGGRAIFYMIIVTALTGLMQKSTFMQLPLFSGEAILINFLAIFILLFGVTIDISVSLRLWLLHFREGLAFTSSNKAKIFNIHPMLMVIGYVLMAGEALLSGIIGVIAVFKFHNESGIPHMYTFHSWIGLSTIILFGLQWIMSFLTFLYPGARSSTRSRVAPWHALIGITIFFMAIVATETGLVQKFIFLGLTRNQEGLIVNFIGLLILLFGISVGVSVLV >Solyc10g078285.1.1 pep chromosome:SL3.0:10:60249747:60255670:-1 gene:Solyc10g078285.1 transcript:Solyc10g078285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQPPPVDENLPGEFDGLAVPPLDHTFFVQHITEADQGIGDDFPMEFRSVDFSIDDVDFDVSFDDFFPNPMDGDAFQKPNCLADCIGSEPGQMDFGQHGSQLIGSFDDASGIFKSTSGDSLEEAPGSNSVQMDPNCFGQSGSQLIGNSVDSSTNVKLKLEEMSDISGNQAAGGVMVMDTSSPEIVQRSSSSRLSNEVSPSLPQISTDVSGYLNVPSPESNGSNHDVSRESSNDKKGLSDAKVLNCHSPESQGSGNCGGLNYLSDSNKSVHSSPNLGSNSVKGGTVEHKFKLEGVSANISNCSSSLLKRKKGGEDLNNASKHQKSSMFSLSDNVNNDEDEKKMARLIRNRESAHLSRQRKKHYVEELEDKVRIMHSTIQDLNAKISYVMAENVTLKTQLGGTGVPPQVQPPPGMYPHPSMVYPWMSYPPPYMMKPQGSQVPLVPIPKLKPQAAAPAPKSTKKGEKKKSEVKTKKVASISLLGVLFFMLLFGGLVPLLNVRYGGTREPFLGGFSVGSGFYEKHHGRVLVVDGPVNGTGYSGKYSEKDYSSHCGRGDHSESNQQNTYKAADEFVHMGNGSNPLAASLYVPRNDKLVKIDGNLIIQSVLASEKAMASHGGSDKNNRETGLAVPGDLAPAIPGSHPRLYRSSAVGQRALGTVEKENVQSTMQQWYLEGVAGPLMSSGMCTEVFQFDVLSSAPGAIVRATNGRNISMEQRQNATRIHRNRRILNGTPVSLSRPSHNISEEQSGTAGKQENFTGNKSLSSMVVSVLVDPREAGDADGDGIMGPKSLSRIFVVVLIDSVKYVTYSCMLPFKAAAPLVTT >Solyc11g072580.2.1 pep chromosome:SL3.0:11:56042971:56045873:-1 gene:Solyc11g072580.2 transcript:Solyc11g072580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGESQILKSSAGHGKRGGWITFPFIIATTAGLNIAAAGWMNNLTVYLIEEFGMNSIDAAQVSNIMNGSVNFVPVVAAILADSFFGCFSVVWSSCLISLLGIALLALTSTIDTLRPQQLCTNGPGICMSPTKLQFAFLYGGIALASIGLGGMRTNIATIGANQFRDSKYQNMFFNWFFFTMYIGTVIGATVIVYIEDNVSWKFGYFVCVAVNFVGLVVFLCGSKFFHYAKLEVSPFTSLAHVIVATISKRKLDESNWYYGPHDNVDQTMSNAPSTSFGFLNRAALKCEGDVKQDDSIAKPWRLCTVSEVEDFKSLMRTLPLCSSSIFLSTPIAVLVSLSVLQALAMDRHLGPHFQIPAGSIPVIVLVTTSIFLTIFDRFLFPAWEKLIGQDVTPFKRIGVGHVLTFIGLGVAALVESKRLSQVRDRDGFRISVLWLVPQLVLIGIGEAFHFPGQVSLYYQEFPTSLKSVSTALTWLVIAISFYVSTALIDLVRRTTSWLPNDINQGRIDKFYWVFAMVGMVNFGYYLLCVWFYKRKVGIKVVDHGASNK >Solyc10g007780.2.1 pep chromosome:SL3.0:10:2003687:2005953:1 gene:Solyc10g007780.2 transcript:Solyc10g007780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENAANGDVFDDAVEIEGEEDSDAALKTAPLTHKIAALEQENNQLFRENQVIKEKMEKSKHSIEEIQNEKVELQKKAKKFESDNNALGPVAGRAAELEGEQSRLQHDLITSLNDLEESNSELSKLKLDLEGLKSSDNEKRVKLETVETERNLLLVKLNKLEVSEKDHRAEGEVKEKEIRGLKNQLEDLKATVKKNEVNASVLDFTSKKNGVRI >Solyc04g026235.1.1 pep chromosome:SL3.0:4:18190585:18191571:1 gene:Solyc04g026235.1 transcript:Solyc04g026235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWINELTNCIPWLRNRSLEKQRSFEYGIVVEYVPGGTLRSHLLKNHIKKLPLNSVIQIALDIARGLSYLHSKKIVHRDVKTSNLVMDKDGRVKIIDFGVSRIESSCPLDMTAQIGTIGYMAPEVLIGVPYDHKCDVYSFGICLWEIYCCSIPYNGKIPSSDTSPCKYKSLRPEIPNKCPSVVANIMKQCWHADPKERPEMKEVMLMLEAIDTSQVAQKGCFHFH >Solyc02g021380.1.1.1 pep chromosome:SL3.0:2:23065692:23065937:1 gene:Solyc02g021380.1 transcript:Solyc02g021380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4B4Y8] MCNVMPGNRSPTQESLKSLIYGSNIYLSPIHPSSKVAHKMFSSLRPYKLNFQEASRDRRLGTANEVDPAILKGHQKKCLEE >Solyc07g009415.1.1 pep chromosome:SL3.0:7:4481480:4482566:1 gene:Solyc07g009415.1 transcript:Solyc07g009415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEKLTNSYGWIVTLIGLYCVCNNANSSYNCLSGFKPRSYREWSLNDYMSGCVRNHKLKCSAINKDEDSFWMNSIMRLPASPDTNITISEASECRSTCFNDRSCTAYTYDVPVQFGEVIYSICNNSAKVKQEGVSLSNAASLKTALATFTIEDEWQEEQIEVRVLKGHINLSGTKLKEKRKY >Solyc06g076780.3.1 pep chromosome:SL3.0:6:47830785:47834979:-1 gene:Solyc06g076780.3 transcript:Solyc06g076780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIYKDPNAAIEERVKDLLSKMTVEEKIGQMTQIERAVANPSAIRDRCIGSVLSGGGSRPFENAESGDWANMIDGFQKGAVESRLGIPIFYGTDAIHGNNNVWGATIFPHNIGLGATRDADLVRRIGEVTALETRACGSQYAFAPCIAVAKDPRWGRFYESYSEDTEVVRKMTSLVSGLQGQPPEGHPYGYPYVSGRNSVMASAKHFVGDGATENGTNEGNTIASHDDMFNIHLAPYIDCIAQGVCTVMASYSSWNGDKMHSHRYLLTEVLKEKLGFKGLLITDWEALERLTDPHDADYRQSVKLTINAGIDMVMVPFRYELFLEQLLSLVESGEIPMTRIDDAVERILRVKFVAGLFEHPFTDRSLIDLVGCKAHRELAREAVRKSLVLLKNGKDPKKPFLPLDKTAKKILVAGTHADDLGYQCGGWTATWTGLSGRITVGTTIMDAIREMLGDKTEIVFEPNPTAETFAGEDFSFAIVAIGEGPYCETGGDDPELKIPFNGTEIATFVADRVPTVTILISGRPMVIEPPLLEKVDAFVAAWLPGTEGDGITDVLFGDYPFQGKLPVTWFKTVDQIPMHVHGNSNPLFPFGFGLTS >Solyc03g031460.1.1.1 pep chromosome:SL3.0:3:4028575:4029714:1 gene:Solyc03g031460.1 transcript:Solyc03g031460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSRVIRRTFSTAEASVSPIRVISDDLYKERNLKRLVEKFKQYSDVTRFRTKTGIYESTVHRLASAKRYKWIEEILEHQKQYRPDISKESFAARLVSLYGKSGLFENAQKLFDEMPERNCKQSVKSVNALLGACVNSQKYEKLEGLFEELLEKLKVKPDVVTYNIMIKGLCDKGELDKAAAFVNEIEKNGLKPDLVTFNTILGVFYSNGKFDDGEKMWKLMVSKNVAPDIRSYNAKLVGLMNENKVSEAAKLVGELGSFKLKPDVFTYGALIRGFCKMSNLEEAKKWYKELVKSGSVPNKVIFVSVISSACEKGDFDWALELCKEVFKRKCNVDAKLLQRVVDGLVKSSRIREAKEVVHLGKSNDYHLYKLNLPSVD >Solyc04g074840.3.1 pep chromosome:SL3.0:4:60835373:60839202:-1 gene:Solyc04g074840.3 transcript:Solyc04g074840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BU22] MGSVVIDENRQPLLLAEVISANWLLENKIKRSEDEDDEDDEDLKTRVWIETKKLWHIVGPAIFSRIGTYSMNIITQGFAGHLGEVELAAISISNTVIVGLSFGLILGMASALETLCGQAYGAKKHHMIGIYMQRSWIVITICCILLLPMYIFATPILKALGQPNDVAELSGVVALWFIPLQFSFAFQFTIQRFLQSQLKTAVIAWISLAVLAIHTAISWLFVYKLKLGIVGAAVALDISWWLLVVGLFIYAACGGCPETWNGFSIQAFSGLWEFFRLSASAGVMLCLENWYYRILILMAGYLQNATLTVDALSICMNINSWEMMIPLAFIAATGIRVANELGAGRGNAAKFAAVISVIYSTMIGLVFCILVMIFQQKFALFFSSNFDVLKFVNKISYLLALTILLNSIQPVLSGVAVGSGWQLKVAYINLGCYYIVGVPLGIVMGMVLHNGLEGLWAGMIFGGTALQTIILAFITYRSDWEGEARKARMHVEKWSEPPPVSQS >Solyc01g079840.3.1 pep chromosome:SL3.0:1:78822507:78834612:-1 gene:Solyc01g079840.3 transcript:Solyc01g079840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSIPQSRSRDLDKLLLRPGNLVGPTFEAGAQLRDDIKECVRVLVIGAGGLGCELLKDLAFSGFRNLEVIDMDRIEVTNLNRQFLFRLGDVGKPKAEVAAKRVMERVSGVDIKSHFCRIEDKPISFYNDFHIIVLGLDSIEARSYINSVACSFLEYDSDDNPQEESIKPMVDGGTEGFKGHARIIMPGVTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEAHSGKTFDPDDPEHMQWVYSEAVKRAELFGIPGVTYSLTQGVVKNIIPAIASTNAIISAACALETLKVVSGCSKTLTNYLTYNGVEGLHTKVTEFVRDKDCLVCGPGVLIELEASVTLKKFIDLLEDHPKLLLIRVSVTYRGKNLYMQAPPILEEMTRSNLDLPLFELMGKTPRDIVHVTGAAGKGDKKQSCSRKLRVVFKGMVGVTDMDMAGGA >Solyc01g110510.3.1 pep chromosome:SL3.0:1:97105835:97109251:1 gene:Solyc01g110510.3 transcript:Solyc01g110510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLHEFKRQAFFFLREKFNTARLALTDVTHTQLLAEEATTGDLIAPNMQTMRLISRAAFEVDDYWRIVDILHKRLSKFDRRNWRSSYKALMLLEYLLTHGPESIAEEFQSDEDVIRHMQSFQYVDEKGFNWGSSVRNMSERVIKLFEDRSFLKKERDRARKVTVGIEGFGSFCKRPVSREESMKQAIPERYLRSNSEFTDSQNKENQTVASDKGFSCKQKLGKSWNIESVIDDTISDAMEWNQHPFYDNHSQASLLSISGLSSKAVSPSLEKKKEG >Solyc10g085215.1.1 pep chromosome:SL3.0:10:64608912:64611678:1 gene:Solyc10g085215.1 transcript:Solyc10g085215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNFIHRVISYVANELIVNGLSNSPSFQRFAVRTSKRMEDLSKLAQQKRQEIADQVKDASKNFESFKDR >Solyc08g068410.2.1 pep chromosome:SL3.0:8:57601243:57603146:1 gene:Solyc08g068410.2 transcript:Solyc08g068410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKLISVNNMPMELNRGASPMDKGKRMAVSPSENGYQDKLRQTLRSFTNAQKHVNNDPTQRNNIPYWPTILKDNKSCEPLKVNGVGSSGSLLKEVISTGRQSNLVQLDQSRKNSFININSIIGLNYNSILQINQQPLKVSGKKASTYMSPPTNTRIFSNWHKVNYPQTQNGVFPWGNLGSTNLNTSYASDGLLRSNTSTIQVKDQTTQLSNSVQVSSPVTPRWGSHNVEISSPMKFPIAQDTTFQPYHLAENHKFGKVVISPVQKGKTISDLKSTNSMRDKDKSIKGLRSSNIFSNEGMLATQKRPFTPCNIHPPFLYDGSFLPHHRLKESSGFHTSNVPEIQSSRQHVSCSKDQSITLGPQQKKRKAHALEKLDIKELQQSTSSSGILHTTRSSGSKINMMQNDNISKTLDMRSSYTPATILPVIRISKENRPCLFNQSPGDIADADDERYFRTTEDQTIRNKSALREN >Solyc04g012060.3.1 pep chromosome:SL3.0:4:4383623:4391104:-1 gene:Solyc04g012060.3 transcript:Solyc04g012060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:K4BPQ8] MYVVKRDGRQEAVHFDKITARLKKLSYGLSPDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAALTANHPDYACLAARIAVSNLHKNTKKIFSETVKDMYNHVSERSGLKAPLISDEVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKIDGKVVERPQHMLMRVSVGIHKNDIESAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRGTGSYIRGTNGTSNGIIPMLRVFNDTARYVDQGGGKRKGAFAIYLEPWHSDIFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPSEAPGLADCWGDDFEKLYSKYEREGKAKKVVQAQNLWFEILKSQIETGTPYMLYKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKEVPSESQPSKLVGSRGAKNRYFDFDKLAEVTALVTTNLNKIIDVNYYPVETAKRSNLRHRPIGLGVQGLADTFILLGMAFDSPEAQQLNKDIFETIYYHALKASSELAAKEGPYETYAGSPVSKGIVQPDMWGVTPSDRWDWGILREMIAKDGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWNPTLKNRIIYDDGSVQKIPEVPNDLKLIYKTVWEIKQRNLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHTWSRGLKTGMYYLRSRAAADAIKFTVDTSILKEKPVTPVDEETKMAQMVCSLSNRDECMACGS >Solyc02g061630.1.1 pep chromosome:SL3.0:2:33675488:33677739:-1 gene:Solyc02g061630.1 transcript:Solyc02g061630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEIVVFKKKKNCVLFIFNKKTRDFIHMFLDIEHDVCIILRVCSSIIPFYFFTIVLCPFRNIWYNKGYFFTLISRNQTKNKIRTKLTKQAQRNYMLCCFSRSLDYIGFFDSEEALIAVEELEEDSGE >Solyc03g007060.3.1 pep chromosome:SL3.0:3:1619726:1626915:-1 gene:Solyc03g007060.3 transcript:Solyc03g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEMEDFIVESSDVLSEIDSEYEFDASRFYDFCRPESTYEAEEAERWFQTASTYPPSPLIIKLNLGKEITSGNSNGCSRLQEGKTAKSKGNNSYNPVGSEVSPSKSKTKGTISKGQTTREICKTKPEPRSSLSRSSTLMKPTASHLAKQKSQNASNKTDVTSSTNSFASEIIATKRQKLEIGYLLKIAHLKHQRLLSHKISKKDTSSISNSANPKSKVTVPREPELETLQRAQRRSFRCNKDTNTSEITKAKTQMLKSQSLNRNILNTPTLPPLRKTRAPLPEFHLSNSLNADSAAQNALVDFKRPNTQNAEKPGKSVTSLKSRSHKCNKIFPSREDNGIGEDNGQENKYSMESKSILDEKLSVHPPVELFNKLSLRSEKETREVSKPKKNPSAKELKENTPNCLHIKFRKCVGKTNQCAVERGNGRFGLYSSMNRSLGIR >Solyc08g005490.3.1 pep chromosome:SL3.0:8:361470:366889:-1 gene:Solyc08g005490.3 transcript:Solyc08g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKTHQSDKSSWKVTVPLTVVVLCGLFFYLGGIFCSERERYVAEPVNKAVDTPTGTATVPVQIKAVSFPECSAEYQDYTPCTDPKRWHKYGRHRLAFLERHCPPSFERQECLVPPPDGYKSPIRWPKSKNECWYRNVPYDWINKQKSNQHWLKKEGEKFLFPGGGTMFPNGVGAYVDLMQDLIPQMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHQAQVQFALERGIPAILGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEVHRILRPGGFWVLSGPPVNYQRRWRGWNTTIEEQKSDYDKLQELLTSMCFKLFNKKDDIAVWRKLSDNSCYKKLDNPDNYPSKCDDGTEPDSAWYTPLRPCVVVPNPAAKKVKLDALPKWPERLHTAPERVSDVRGGSAGSFKHDDGKWKARAKHYKKLLPALGTDKIRNVMDMNTFYGGFAAAVIDDPLWVMNVVSSYAPNTLSVVYDRGLIGTIHDWCEAFSTYPRTYDLLHLDGLFSAESHRCEMKYVLLEMDRILRPNGYAIIRESSYFVDTIATMAKGMRWSCRKEETEYGVEKEKILICQKKLWYSKQNS >Solyc02g092860.3.1 pep chromosome:SL3.0:2:54463312:54472983:1 gene:Solyc02g092860.3 transcript:Solyc02g092860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLLYSTSLSILFVLLLVKLVSSKRRKLNLPPSPLLKLPILGHLYLLKPPLYRTLANLSTKYGPVFSLQLGTRLVVAISSPSAAEECFTKNDIVFANRPRTMTAKFIGYNSTTVVGSPYGDHWRYLRRLYALEIFSTNRLNNFQSTRQDEIRLLVQRVFHKSGDNFVTPVELKSKLFQMSYNIIMRMVAGKRYYGEEIDNEEANHFRVLVEEVISFGGVSNAADFMPAIFLLFFRSTEKKIAKLGNKMDKLLQGLVDEHRRDKSRNTMIDHLLSLQESEPEYYTDQIIKGIVLVMLNAGTETSSVTIEWAMSLLLNHPEVLEKARNEIDNHVGKDRLMDEADLPKLKYLQSIISETLRLFPAAPLLVPHESSEDCKVAGFHIPRGTMLLVNAWSIHRDPLLWEDPESFKPERFYGVEVESWKLLPFGMGRRACPGSGLAQRVVGLALGTLVQCFEWKRVSDEKVDLTEGKGLTMPKAEPLMARCKAREIVHKVLSETS >Solyc08g076335.1.1 pep chromosome:SL3.0:8:60428238:60429119:-1 gene:Solyc08g076335.1 transcript:Solyc08g076335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAESKIVAIKHYSGYWGLFDLVI >Solyc01g067190.1.1 pep chromosome:SL3.0:1:75427763:75428421:-1 gene:Solyc01g067190.1 transcript:Solyc01g067190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASPSPQPMVLGEKNANPNTGRTYASSISENQLYSENLSNQPEVPDSRKILPPQLGFKGECNIGLLESRHVLLRFTLKEDYVAIFSKTSRSIKFVGTVILFRIGYDYLPYYCKRCKHKGHREVDCRTLTDGQVDAAVSENHIEGEKFQGDFRVHLNAKKSDSNRLEVCEKDDEGTLKDLR >Solyc05g010130.1.1.1 pep chromosome:SL3.0:5:4309307:4309762:-1 gene:Solyc05g010130.1 transcript:Solyc05g010130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKNIFAADCIVICCCCQCLILQIIILFLLNLPYKLLKKTKECIKKLRYRRRNRKTFREIEINRHEDEILMDHGGCLRVELESLCCMEEVEKVLEEFSQKGEFAFGSFWGGDEEDVLKTDRITTCIHKQTVDYDVFHTHLIQVFGSFNLQ >Solyc06g075090.3.1 pep chromosome:SL3.0:6:46732537:46737928:1 gene:Solyc06g075090.3 transcript:Solyc06g075090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEIKESKFKRICVFCGSSAGKKSIYKEAAIELGREIVSRKIDLVYGGGSIGLMGLVSQEVHNGGRHVLGVIPKTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVIAWAYLGIHDKPVGLLNVDGYYNSLLTFIDKAVEEGFICPNAPQIFVSAPNAKELLNKLEVNDIRVRDIFLSDETVASKNWENVESDYSSKMVLHASS >Solyc06g065620.2.1 pep chromosome:SL3.0:6:41103171:41119133:1 gene:Solyc06g065620.2 transcript:Solyc06g065620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKLMFDNCSRGNESSSNSKPPNPLAGDRRLVASATKKALVRHPSLLLLIKIATKVRKVIVRTKLSDVPLEPRTATGDHAAEYLPILRSGAWADIGSRSSMEDVYVCADNLMSHHRTAGSNEGTHAFYGVFDGHGGKHAADFACNHLPRFIAEDEDFPRQIDRAISSAFLQTDTAFAEACNLDADLASGTTALAALVIGSSLVVANAGDCRAVLCRRGKAIEMSRDHKPGCFGEKERIEASGGYVYDGYLNGQLNVARALGDWHLEGLKSIDGGPLSGEPEVMSTRLTEEDEFLIIGCDGIWDVFMSQNAVDFARRKLQEHNNPVMCCKDLVDEALKRKSGDNLSVVVVCFQKQRPPDLVVPRGRALSGNINTPEAPKVPDDCNWRLIFCAVQRCRMSDELCRLSVKLTSFSRFALPLVRISGNISLYKISDTGVGSKPEDYQYLKYGDDPTLANQWDGMISLATTSTSDSEIHNLNVNLKDSNSAGRLTKLPSTAKNGAKFSGTEVSISISKSLDDLLAEITCFLQKFFIAIYADDPSEDSDFTFLKYWLQIVRLSEDGKKKLGIHWTRENLKVGTGVANSSDSSQGNSQVVEAVVIINEMSELVHSSSISEQDMKTEILYFKDFVPCSIAPSSLDAFTSINWKSYGLALRSVADHDDSVKQWVAESSSPDRNLARKAIKCALDDLKENNQGVLLSTRALKTCDYAPDLAKTLAHLIFSSNDLNFQEECCSLLGVQSQEVNINNIGNCIREKIISVIGLNDRNSHKHKEAPTFLFDDDCFQKEDFMDEEYQEGLESYNSLDL >Solyc04g081880.3.1 pep chromosome:SL3.0:4:65827035:65832329:-1 gene:Solyc04g081880.3 transcript:Solyc04g081880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRVETPIDANEIRITSQGRMRSYITYAMTLLQEKESEEIVFKAMGRAINKTVTIVELIKVKSGCFSYIVSVVSTYLIADGILHIDMGLVQRRIVGLHQVTSITSTDITDTWEPLEEGLLPLETTRHVSMITITLAKKELDKNAVGYQPPLPADQVKVSTDFDYDGEGSPSGGRRGRGGRGRGRSRGFPGNGFMPAEYDDGGYDRNRSYGRGRGRGRGRSSRGRGRGGYNGPQDVQQDGGFYNQEAPMQGRGRGRGRGTRGRGRGFRSNGPIQGGGA >Solyc03g083510.3.1 pep chromosome:SL3.0:3:54855198:54859845:-1 gene:Solyc03g083510.3 transcript:Solyc03g083510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPPLFLCFLFILFSLSVSGNAELQALMDLKASLDPANALLTSWTVSGNPCDGSFEGIACNEKGQVANISLQGKGLKGKLSPAIAGLTHLTGLYLHYNSLYGEIPREISNLVELSDLYLNVNDLSGEIPQELGNMSNLQVLQLCYNHFTGSIPTQLGTLTKLNVLALQSNQLTGAIPASLGDLGVLMRVDLSYNSLFGSIPSKLADAPLLEVLDIRNNKISGNVPLALKRLVGGFQYENNPGLCGVGFPSLRVCTSFDRSNPNRPEPYGVGSSGLSTRDIPETANLNLNCSGNACTTSSKTSQASVVVGVIVVTIIASAIGILSFTHYRRRKQKLGGGLEMCDSRLSIDQAKEVNRKNGSPLVSLEYSIGWDPLAEGRRYGGVSQEILQRYRFNLEEVESATQYFADKNLLAKSNFSTTYRGTLRDGSLVAVTRIAKISCKSEEAEFLRGLNVLTSLRHDNLVRLRGFCCSKSRGECFLVYDFVPRGNLLHYLDLKEDEARALEWSTRVSIISGTAKGVEYLHGCKVNKPALVHQNICAENVLLDQRFKPLLSNSGLHKLLTNDTVFSALKASAAMGYLAPEYSTTGRFTERSDIYAFGVLIFQILSGKRKFTSSMRSAAESSKFHDLMDVNLHGRFSESEATKLAKIALLCTHECPEERPTMETIVREIGNLASPS >Solyc07g041627.1.1 pep chromosome:SL3.0:7:53718866:53719505:1 gene:Solyc07g041627.1 transcript:Solyc07g041627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNSKNKITEKTKTVILNREENPRIILSNFNDLEFDALRNFKNMSFVEIGKLIDLIIDGLVTCIAFGSYSKISRQLIEKIDQGLLKCGRLIWISRVEVLKHPSVSYLITHYKWNLLPVP >Solyc01g107910.3.1 pep chromosome:SL3.0:1:95190796:95192129:-1 gene:Solyc01g107910.3 transcript:Solyc01g107910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKNIIKENNMAENVASQENQVSKHQEVGHKSLLQSDALYQYILETSVYPREPEPMKELRELTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALALPRDGKILAMDINKENYEEIGLPIIQKAGVAHKIDFREGPALPVLDLLVEDKNNHGTYDFIFVDADKDNYINYHKRIIELVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIT >Solyc12g042300.2.1 pep chromosome:SL3.0:12:58367722:58377834:1 gene:Solyc12g042300.2 transcript:Solyc12g042300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQTDEISLLRDGGIHQNEDSGMYTRDGSVDINGNPVLKSETGNWRACPFILGNECCERLAFYGISVNLVTYLTKKLHEGNVSAARNVTTWQGTCYLMPLIGAVLADAYWGRYRTIATFSIIYFVGMCTLTLSASILAFKPPECVGSVCPSATPAQYAIFFSGLYLIAVGTGGIKPCVSAFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSTLIVWIQENDGWGLGFGIPALFMSIAIASFFLGTPLYRFQKPGGSPLTRMYQVLVAAFHKRNLSVPDDSTLLYETPDKSSAIEGSRKLLHTDELRCLDKAAVVSDTECIAGDYSNAWRLCTVTQVEELKILIRMFPIWATGIVFSSVYAQMATLFVEQGTVMDTAIGSFRIPPASLSLFDAVSVIIWVPVYDRILVPIARSFTGNERGFSKLQRIGIGLFLSVLCMSAAAIVEFKRLQLARDLHLVDEAVAVPLSIFWQIPQYFILGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTAMGNYLSSFILTVVTSITTQGGKPGWIPNNLNSGHLDYFFWLLAALSFCNFLVYFFCAKMYKSEKSS >Solyc04g080810.3.1 pep chromosome:SL3.0:4:64971555:64983290:-1 gene:Solyc04g080810.3 transcript:Solyc04g080810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLLLTLAICRLIVTVGFTFNPNDLLRLGLDGKLSAAPADLQSASVDFGGVYRAEPMAVLHPATSEDVARLVKAAYDSARGFTVSARGHGHSINGQAMTRNGVVVQMSGGLKNKMLTVSEKFMYADVWGGELWIDVLTSTLEYGLAPKSWTDYLYLTVGGTLSNAGISGQAFNHGPQISNVHELDVVTGFVIVDEGLINNWRSSIFSPSNPVKVSSLKAEGGVLYCLEITKNYHLTNADTIDQTSSFFLLFLFCKNSHTIDTMTSASASSRKVLSKIACNRLQKELMEWQVNPPAGFKHKVTDNLQRWIIEVNGAPGTLYANEMYQLQVDFPEHYPMEAPQVVFIHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPADNDRYVKNCKNGRSPKETRWWFHDDKV >Solyc12g056080.2.1 pep chromosome:SL3.0:12:63000317:63009975:1 gene:Solyc12g056080.2 transcript:Solyc12g056080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRDADPSLGYLTRKETEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDPTELADYRLRKRKEFEALISRVRWNKSVWVKYAKWEESQKDFKRARSIWERALEVDYRDHTMWLKYADVEMKNKFVNHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNVAGARQIFERWMGWMPDQQGWLSYIKFELRYNEIERARAIFERFVQCHPKVSAWIRFAKFEMKNGEIGRARNCYERAVDKLADDEEAEQLFVAFAEFEEKCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDREGIEDAIVGKRRFQYEDEVRKNPRNYDTWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAEDMERTRDVYRECLKLIPHQKFSFAKIWLLAAQFEIRQLRLKEARLLLGEAIGRAPKDKIFKKYIEIELHFGNIDRCRKLYEKYLEWSPENCYAWSKFAELERSLYETDRARAIFELAIDQPALDMPELLWKAYIDFEISEGEFERTRALYERLLNRTKHLKVWISYAKFEASAMDPEAEEDIELKKNCLQRARDVFERAVSYFRNSAPELKEERAMLLEEWLNMESGFAELGDVSLVRAKLPKKLKKRRQIDMEDGPAAYEEYIDYLFPEETQTTNLKILEAAYKWKKQRVASEED >Solyc09g074800.2.1 pep chromosome:SL3.0:9:67042442:67047605:-1 gene:Solyc09g074800.2 transcript:Solyc09g074800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4CV57] MSSNVRNQEVPRYQLNGKELSGNSLKRYDSLDLESSKVPGTKKAVEWTVILKLAFQSIGVVYGDIGTSPLYVFASIFPNGVTYHEDILGALSLIFYTITLIPLIKYVFIVLRANDNGDGGTFALYSLICRYSKVGLIPSQQPEDKDVSNFKIDLPDRRTRRASKLKSNLESSNFAKFVLLIATMLGTSMVIGDGILTPCISVLSAVGGLKAAAPSQLTEGRLVWIAVAILLLLFMFQRFGTEKVGNTFAPILCLWFIFIAGIGLYNFVKYDPTVIRALNPKYIIDYFKRNRKNAWISLGGVVMCITGGEALFADVGHFSVRSVQISMCCVTYPALILAYLGQAAFLRKNIDDVADTFYKSIPNGLYWPVFAVAVLAAIIASQALISGTFAIIQQSLALGCFPHVKIVHTSTKYHGQIYIPEVNNLLMISCVVVTLAFRTTEKLSNAYGIAVVFVMTLTSGFLVLVMIMIWKTHILFVIMYVLIIGSIELIYLSSVLYKFTQGGYLPLAFAMFLMFIMYVWNYVYRKKYHFELEHKISPLKVKETVDETNSHRLPGLAIFYSELVHGIPPIFKHYVENVPALQSVLVFVSVKSLPISKVPIEERFLFRRVKPSDVYVFRCAVRYGYNDVRNEEEPFERLLVERLKEFIRDESILSLNATKSNKVSTEQSVNVELESDCEIQEVEMSSMERDIQVVERAYSVGVVHLVGEQDVIASKGSNIVKRVVIDYAFNFLKRNLRQSSKVFDIPHKRMLKVGMIYEI >Solyc09g082390.2.1 pep chromosome:SL3.0:9:68574588:68580669:-1 gene:Solyc09g082390.2 transcript:Solyc09g082390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALNALFYAYQQFLLTMSEKALQVLESKETLLESIPVFKLQCPGFFPCSICFISQDKSLGADSFFSKYYDRSFYTDAVFRLVEMISSLKRLPHFWCLGAQRRLYVWRSSVHYVSDDLLCSRFCSMTETIDINQQRKVTESPELPDWVRIVKQEEAAVKLEDDDFLLPSFSEWVKNEKLRAREVDVRSLASDLTENDVDKISKVLRFNFKSPDAVVDALNKSSFVVSECLVEQILKRFSFEWIPSYGFFKWAKMQKGVTLSSDLYNLMVDNLGKSKKFGLMMELFDEMSHLQGYVSLNTMSKIMRRFAKSGKYEDAIGAFERMEEFGLQKDTSAMNLLIDALVKGGSVEHAHKVYLDLKNHIVPSVQTYNILVHGWCRGARKIDKANETVKDMEEHGLSPDVCTYTCFIEAYCREKNFPKVDAIFKEMQMKGHSPSVVTYTITMKALGQAKELNKALDVYEKMKQNGCVPDNSFYSSLIHIIGTSGRLKDCYDIFEDMPNRGVSPDTFTYNTMITIAAHNSKEEDALKFLQKMEESRCKPDISTYMPLFKMCCRMRRLKVLSFLLNHMFKNDVSMDLGTYALLVRGLCRNRNPERACAVFELAVLRGFLPTDTMYDNLVKELEKRGLKEEKKYVEELMSQAKQQASDISSERPINIEE >Solyc06g009760.1.1.1 pep chromosome:SL3.0:6:3711044:3711535:-1 gene:Solyc06g009760.1 transcript:Solyc06g009760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSPPHSQFIFHIFTLFFLISLNHYDSQAMSTITAMAKDQLSCTMCSSCDNTCQPISPPPPPPQLYPPSSSGYICPPPPPVYVSPPNNSGGNNGGEGDANGSGNYPPPTNNYPTPPPPNPIVPYFPFYFHSPPPPTSKSIHLERHPLVTCLIFGVAIFFLL >Solyc02g021480.3.1 pep chromosome:SL3.0:2:23442876:23461450:-1 gene:Solyc02g021480.3 transcript:Solyc02g021480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNKGSMSEGHSSQGNRARKTESHRVHFHKDVMPGSELWTDGLICAFEFVREDKKFGPKSSTKSLLDNEIVKRPARAYKLAEAPVQRTNGNSLVDSTSLVGSRKQEISPADGKMDNQSFPGQKLYNLEKIGGSHWIPIGWARISDLIQTVQADSVWSTQQFDLIDDEDDLTVADLAAPYWERPAGPIWWCHVTAGHPSVDAWLSNAPWLHPAISVALRDEGRLISEKMKHLLYEVPVRVAEGLLFELLGQSAGDPNIDEDDIPVVIRSWQAQNFLITVLHVKGSAENLNVLGITEVQEQLLAGGYNAPRTVHEVIAHLASRLARWDDRLFRKLIFGAADEVELKFVNRRIKEDLSLLVIILNQEIRRLSRQVIRVKWSLHAREEIIFELQQHLRGNTTRILLEGIRKSTREMIEEQEAVRGRLFTIQDVMQSNLRAWLQDKSLRVSHNLAVFGGCGLVLTIITGLFGINVDGIPGAQNTPYAFGLFSVLLVVLGVVLIAVGLLYLGLKKPISEEQVEIRKLELQELVNMFQHEAETHAQVHKHISRHNLPPTAGDKFIQNANYVLM >Solyc01g009380.3.1 pep chromosome:SL3.0:1:3506028:3525554:1 gene:Solyc01g009380.3 transcript:Solyc01g009380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIIHQLNFADSNPTFIFLQNPINTISSSMANSLRRKSAVLDSMYYPIIGLLIVLIACVEFSDAVTAVDVYRLVQYDIAGVPFGSRLATFNHHAGSSFFGSGSSSDLSRTVLILPVRELNLTLITEYIEQKKLLGGLLLLLPPKFRPENTGSTFGADEDIDSLRNKLVELEWLLTHSNIPYPVYFAFEDDNINAVLAEVKRNDASGQPATATTGGYKLVVAASDPKRIAPPNIANIQGWLPGLKVDGDSDQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSALYSNPKTRGRYNLLFGLTSGGPYNYNGTQKWLRSFDQRLRESIDYAICLNSVGSLGNQSHLHVSKPPENAYIQQIFQGFSTVAEELGLQVRLKHKKINISNPRVAWEHEQFSRLRVTAATLSELSTAPELLESTGGLTDNRHFTCEASIIQRIKLVAESLARHIYSQEKKSISIFADDSSLAVNPSYIRSWLDLLSTTPRVAPFLSKNDPLIKALEKELADHTAEVNVQHESLDGTFTFYDSTSGKLHIYQVASVTFDLLLLLVLGSYLITLFSFLFITTRGLDDLISLFRRPSSRKVKTT >Solyc11g005390.2.1 pep chromosome:SL3.0:11:308129:309148:1 gene:Solyc11g005390.2 transcript:Solyc11g005390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYDAAPTHHHPNNLIQKETALQAINTIIQLHFEKTLEKKRAIDLQKKELWKMFQHFFIFLSLIFLGQALSPKLQCRHCWIPIGLLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATERLRQLKMRINNGGVEEIGDEFEIHYQEPPESYFG >Solyc05g041627.1.1 pep chromosome:SL3.0:5:53428568:53429794:1 gene:Solyc05g041627.1 transcript:Solyc05g041627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMKERMQKMEKQMEEQKKIVRQEVIVQLKHAGLIDPNILAALSTPSPRESTSVQGAKQGDEIEERDESSSEDLT >Solyc12g007230.2.1 pep chromosome:SL3.0:12:1648701:1652601:1 gene:Solyc12g007230.2 transcript:Solyc12g007230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPV7] MSISIEGNSDKSCVSSMDSKDLNFKATELRLGLPGSESPPDKNDYPLGVLKIFPSGAKRGFSDTINGDSGRWGFGSEVDFVKNSSFIVSPKGVKVGNKILGSVCNESSSVKEGTPKSPRPVEEKKALICSTNSHGVAPAAKAQVVGWPPIRSFRKNMVSNPPKTEEDANGKLVAGCHYVKVSMDGAPYLRKVDLTMYNSYKDLSSALEKMFRCFKAGQCETQGISLSDGLKESKLADLLHGSEYALTYEDKDGDWMLVGDVPWEMFTESCKKLRIMKSSDANGLAPRAAEKCKDR >Solyc12g015935.1.1 pep chromosome:SL3.0:12:5996718:5999194:1 gene:Solyc12g015935.1 transcript:Solyc12g015935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMRILKKGKRISRSTTGKKRGRGHYERASTSKTGTRRGAGSGYRKRPKVVGQGVFVADTGYTCINQGLSSRRRIHTCVASSAHVTGDIGFKPTKGLK >Solyc02g014020.2.1 pep chromosome:SL3.0:2:15239532:15242811:-1 gene:Solyc02g014020.2 transcript:Solyc02g014020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQNCVLKIGFAYNKIVMDHTQMRIKLQWNFNRILLNLARIDRNIRIDRTLKLNFGSCYLPQPDKEDAGADDAHFICCDELAIGVDFVCWWLGLSGY >Solyc10g085990.2.1 pep chromosome:SL3.0:10:65102197:65106750:-1 gene:Solyc10g085990.2 transcript:Solyc10g085990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFPCSGPSKQTSKKKNSVDSGRHSTDKLKSKNSLRSKEVDKDAGSNQIAAKTFIFRDLAAATKNFRGDYLLGEGGFGRVYKGVIESNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHVGLNLELKYCSADPTPGKERLDWNTRMKIAAGAAKGLEYLHSASPPVIYRDLKCSNILLGEGYHAKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIESSKTGGDFNLARPLFKDRRKFSQMADPMLQGHYPVRGMYQALAVAAMCVQEQPNMRPVIADVVTALTYLASQKFDHETRGGIQTSRPGPATSPRMKRW >Solyc11g069160.2.1 pep chromosome:SL3.0:11:54053697:54064383:1 gene:Solyc11g069160.2 transcript:Solyc11g069160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVASCKDKLAYFRIKELKDVLNQLGLSKQGKKQDLVDRILATLSDERASGLFPKRNSVGKEDVAKLVDDIYSATTLWYLLTSYLKQLLPMHIDLSTSARKMQVSGATGATGATDLASKSQVVSDTSNVKLKEEIEDTYHMKIRCVCTSSLQTETMIQCEDRRCHTWQHIRCVVIPEKPMEGGDPPIPPTTFYCEVCRLVRADPFWVTMGHPLYPAKLAITSVPADGTNPVQSIEKTFQITRADRDLLAKQEYDLQAWCMLLNDKVQFRMQWPQYADLQVNVESQPTGVPVRAINRPGSQLLGANGRDDGPIITPCTRDGINKVTLTGCDARVFCLGVRLVKRRTVQQVLSMIPKVSDGEKFEDALARVRRCVGGGTATENADSDSDLEVVADCIPVNLRCPMSGSRMKIAGRFKPCIHMGCFDLDVFVEMNQRSRKASNYNPYLN >Solyc12g057150.1.1.1 pep chromosome:SL3.0:12:64172095:64172442:-1 gene:Solyc12g057150.1 transcript:Solyc12g057150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNEAELGDSVGEPPGAAAIDGGEAAPEGDDAGVSAVGGAGGDANGESDGGDAVVGGFAGATIGDGVATGGDATGAGEIFGEAAGDVPGACAKADPAINANINAIITFEYAILK >Solyc09g055355.1.1 pep chromosome:SL3.0:9:40540482:40543166:-1 gene:Solyc09g055355.1 transcript:Solyc09g055355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKLSLFEFLIYTETRKEITSKFKCRGFQILYDLRGNVEGGTCRHRSDPYINNLIINLYQFLQQIPFLLQCDAVVTEFVPLDGVEEGKGNFLGNTCS >Solyc01g091360.3.1 pep chromosome:SL3.0:1:84874869:84878357:1 gene:Solyc01g091360.3 transcript:Solyc01g091360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSHHFYPNKFISRVYTSKSSFSHFIVSCKEQQQDESNKQVGRREIILRSSEIALIGAIFNFSGKKPNYLGVQKNPLGLALCPATNNCVSTSENISDATHYAPPWNYNPEGKRGKVSREKAMEELLQVLKSTKPDKSSPRIMEKKDDYVRVEYESPILGLVDDVEFWFPPGKTSIVQYRAASRMGNYDFDANRKRIKALRLQLENKGWASEDTV >Solyc08g079620.3.1 pep chromosome:SL3.0:8:63224078:63229000:1 gene:Solyc08g079620.3 transcript:Solyc08g079620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4CNW2] MAVALPTSSSSYLHSKTKIPKSSLSCSSKVYVGLRIQSPGSYGVATSNSNVDFFNRVHKSIKSGTKDGKATRAQVTMMPIGTPKVPYRNPVDASWQWVDIWNALYRERVIFIGEEITEEFSNQILATMLYLDSIDNSKKLYMFINGPGGDLTPTLAIYDTMQSLKSAVGTHCVGFAYNLAAFLLAAGEKGNRCAMPLARIALESPAGAARGQADDIRNEAEELLRIRNYLFKELSEKTGQPIEKVHKDLSRVKRFTAKEALEYGLIDRIVRPNRLDGDAPPRDITAGLG >Solyc10g086390.2.1 pep chromosome:SL3.0:10:65347438:65349759:-1 gene:Solyc10g086390.2 transcript:Solyc10g086390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLITGIRGATGFGSASTAEEVTDGVDATALTVIITGGASGIGLETARVLALRKAHVIIAARNMEAANEAKQQILNENASARIDILKLDLSSLKSVKAFADNFLALNLPLNILINNAGIMFCPFQLSEDGIEMQFATNHLGHFYLTSLLLDKMKETAKSTGIEGRIVNLSSVAHLVCPREGIRFQNINDKNSYQDKVAYGQSKLANLLHANELSRRLQEEGANITVNSVHPGLIMTNLMRHSAFLMRILRVFTCLLWKNIPQGAATTCYVALHPSLKGVTGKYFSDCNEYKPSKLARDEVLGRSLWDFSNNLINASQKIIDK >Solyc01g065700.3.1 pep chromosome:SL3.0:1:72100698:72104700:-1 gene:Solyc01g065700.3 transcript:Solyc01g065700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSSIFTGITKSIAIRNGKKSKHDDGRETADALAKEAKKNEMMLTSSGSVAGASHNLAVVFSKGGKKGINQDRFVVWEDFGCQDDMIFCGVFDGHGPWGHLVAKRVRKLMPTALLRNWQKRVAHTVDGTNGISIDKSCFQFDIWKQSYFETCSIIDQELEQYADSFYSGTTALTLVRQGGLLVVANVGDSRAVLATTDDDGRLVSVQLTVDLKPNLPRESERIMQSRGRVLSCEDEPGVYRVWMPTVEGPGLAISRAFGDYYIKDFGLISEPELTSRKITHRDQFAILATDGVWDVMSNDEAVEIVSSTGEREDAAKRLVESAICAWKHKRRGAPMDDISAICLFFHNIPLSKQQAKSV >Solyc10g054730.1.1 pep chromosome:SL3.0:10:55760660:55762096:-1 gene:Solyc10g054730.1 transcript:Solyc10g054730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQSQFETGPNSSSITNIFHHCCNSKNLRAIKVFQAHLIRTGLIFISTNFQFKLATSLPNNNKNNHVQNFTNFFKFLKPTNPLLLNSILSHFSQNGFHSLALRTFSFMHFGGIGIDSYTLCSSITASSAVKDVKFGRMIHTLVRKSGWFSSVYVGSALVDLYAKSLCIKNAAKLFDEIPLKNTVCVNVILSGYAEAKMWMEGMALVRKMPCLNLCLDDFTFSAALRACVGLSAFELGKQIHGCVIRKVHDMESNEFLQSLLIDMYGKCGSVEKARHVFDMVGFRYGERKRDVVLWTSMLSVCGRNGKFEEAINLFDDMVMEGIKPDGVALLTVLSACSHTGELDRAWSLINDLSYKENDNFTVTMWGALLSACHNFDNVELGKLAAQRALELDPQNDGVYVLLSNMYARNGMWNEIEMLRERIKEKGLKKDIGHSLVDITR >Solyc05g025687.1.1 pep chromosome:SL3.0:5:35778623:35781321:-1 gene:Solyc05g025687.1 transcript:Solyc05g025687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMSLFRSSPRSCSNYSRRFDLPEAETSEHVGGAMLPIFFQNNLQNTNNYERDLVEVTLQLDKNSFVLCSVDHKIQIEEENSPPYWLRSPPEKEMIWKAVRNKSSAERALGGLRFISKTSGECDSNNNDIWGKVESRFNALAKNGLLRREDFGECIGTVVSTDGVGMGDSKEFAVGVFDALVRRRRQKMARINKSEFHEFWLQISDQSFHARLEIFFDMYVSLSLLTFSYHRADSNGDGKITKEEVQEVRLSLVQLSQSKQIR >Solyc12g009550.1.1 pep chromosome:SL3.0:12:2792629:2793345:-1 gene:Solyc12g009550.1 transcript:Solyc12g009550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSLVLVNISSPIPVNLSTSLRYVDLSATYMRGVLPESLFLVPNSLENLRLSDNHLLKGVLPKIHASNTALLELDISNTGISGCQLSGSIPDSIGNLTQITELDLSYNHFTDYIPSTISKFKHLTRLDLSSNSFSGEIPDVFSNLPQLRNLYLNDNSFIGSFPSSVLNLTQLDTLDLSSNSLSGPLPGNTSMLPKLTELVLSYNSLNGTVRPAIYLI >Solyc10g018160.2.1 pep chromosome:SL3.0:10:6791113:6800798:1 gene:Solyc10g018160.2 transcript:Solyc10g018160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CYU0] MYRSGAVMAWNVFRFCTALRGLGSIMILLVLGVVGVTYYAVVLTNYGPSLASSVGFLDVLIALSVLVLFHCLLVMLLWCYFSVVFTDPGSVPPNWRPELDEERGETDPLTTSEFGASPADSGNPRIRFCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTVVTLSLLPQFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLIMHISLVSGNTTTIEAYEKKTSPKWRYDLGRKRNFEQVFGLDKRYWFIPAYSEEDLRRIPALHGLEYPSKPDLDAQE >Solyc09g097800.2.1.1 pep chromosome:SL3.0:9:72304057:72305292:-1 gene:Solyc09g097800.2 transcript:Solyc09g097800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIQYIFSNIIASSKVERALLIILHNCHYKSFNIIYYVLHT >Solyc03g118900.2.1 pep chromosome:SL3.0:3:69164042:69166078:1 gene:Solyc03g118900.2 transcript:Solyc03g118900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKSGISGKVKLLIALLVLQLCFAGFHIVSRLALNIGVSQIVYPVYRNTIALVLLGPCAYFLEKKERPPLTFSLLVQFFFLALIGITANQGFYILGLYYASPTFASAMQNSVPAITFIMASILRLEKVHFMRRDGMAKVLGTIASVGGATIITLYKGPPLLKGSNISDEEMAASQKDMLNWTWGCVYLFAQCLSWADLVNYAMQAPMLKKYPAKLSLTSFTCFFGLIQFLAIAAFTERDPTHWKIQSGEEIYLILYAGIISSGIVISLQTWCIQKGGPVYVASFQPVQTVLVAVMAFLILGDQLYSGGILGGLLIMFGLYLVLWGKTEEKRIANTEETTLTKHLLPPTNKEEASTGADNC >Solyc08g067050.3.1 pep chromosome:SL3.0:8:56025746:56032555:-1 gene:Solyc08g067050.3 transcript:Solyc08g067050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNAAAPINNVDKGVDYANYFCTYAFLYHQKEMLSDRVRMDAYYNAIFQNKHHFAGKAVLDVGTGSGILALWSAQAGARKVYAVEATKMAEHARELVKTNGFEHVVEVIEGSMEDITLPEKVDVIISEWMGYFLLRESMFDSVICARDRWLNPDGVMYPSHARMWVAPIRSGLVDQKKIDYDRAMDDWSHFVNETKTFYGVDMGSLTKPFTDEQRKYYLQTSLWNNLHPNQVIGKPAVIKEIDCLTSSVNDLLSLQANISSIITAENTRFCGFGGWFDVHFRGRKENPAKNEIELTTAPSEDLGTHWGQQVFLFYPSTRVSQGDNMTMNFSMNRSKENHRLLEVEFDCELRQSSGKSLPSFSKKFYIE >Solyc03g005380.3.1 pep chromosome:SL3.0:3:216716:235126:1 gene:Solyc03g005380.3 transcript:Solyc03g005380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVELEAIEGLRWSWNSWPVSKSEASGLIIPLSIMCTPLMHFNELPVLPYDPLICTQCGAVLNPYARVDYQSRIWVCPFCYRKNPFPKSYLGINENNIPAELFPTYSTVEYHLGKKGLAQNPNSSSNFGNMSLSSKMPSFSSTSSSLDWAGYGIGPAFVFVVDACTSDEELGVVKNELLHVIAQLPETALVGLVVFDSMVRVYDLGFGECCRVVMFHGERELSSEQLNSGNVFAQRRGQWKQFLMRVEAVEGVEEIKRKQKRVKKRSMTKQLLGIHRMKYQAGMVHMAPKQGFLIPLSEGEFSITSAIEDIHSSPQVMPGHRPLRATGVAVSVAVGLLEGCLVSAGSRIMVFTSGPATIGPGMIVNSDFGNTIRNQRDIGNGYAPYYKKSSDFYKHIARRLSDSSIALDLFACSLDQVGAAELRAPVESSGGFMMLTESFDSDQFRKCLRHIFSHDEVGNLKMCLDATIEIVTTKDVKICGALGSCVSLQKKNGSVSDKEIGEGATYMWKLGTLTDKMCIAFFFEVGDEQKAQPSSAFFIQFITNYRYGSMGIRKRVITAARRWVGNHSPEIAAGFDQEAAASVMARLAIDRAESNFSQDVVRWLDKRLIRFASKFGDYIPEDPSSFRLATNLSLFPQFIYYLRRSQFIDVFNCTPDETAFFRLLLNREGVVRSLIMVQPTLFQYSFDGPPVPVLLDICSVSPDVILLFDSYFYVVIHYGSKIAQWRKLGYDKDPSHDSFRKLLEAPEIDAEQLVSERIPVPKLVKCDQHSSQARFLLARLNPSVTHNSTYTQGSDIIFTEELSLQVFIEHLQALAVQEGGGHVVPVDPGTVVGGLKKKGAGARSWLLMDASGLETVLDVDKYEIMHRVQIHARDLRIIDPLLSYPSAILGRERAIVLNLEVLLRDPSDDNVTPVVEELKRRLKPMNANREDQREEKDSNVQHDIEATDEDESPFEFRALEVALEAICSYLAARTLELETAVYPALDMLTSKISSRNLDRVRKLKSQMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASPVSGSGAASWFLASPTIGSKISRASRASIATVRGDENDVEELEMLLEAYFMQIDGTFNKLTTLREYIDNTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLIAGIFGMNIPYSWNEEHGYMFKWVVAVSGIICAVIFLLIISYARYKGLVGS >Solyc11g022423.1.1 pep chromosome:SL3.0:11:13884898:13885649:1 gene:Solyc11g022423.1 transcript:Solyc11g022423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLDILYLNEDLSTTNHLPSQDLTTLCRLFHDPEGLKNTIQLSQRMVKSFFEILSMTDNHGDFLISSQLNRGDRISIRNNEEIIQPKGFISIATTSLLLPLSFQDVFNFFKDDKTRNQGMVVIKRNDCIYL >Solyc05g051700.3.1 pep chromosome:SL3.0:5:62956101:62960394:-1 gene:Solyc05g051700.3 transcript:Solyc05g051700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSATLRCSSSLHRPSKNSTISSVLPQSSSSISFRSSPSFFNRKKSLSIRSATLEAAPASGGVAPAISLTDNALMHLNKMRSDRNEDLCLRIGVKQGGCSGMSYTMEFEKRENARPDDSIIEYNGFVIVCDPKSLLFIFGMQLDYSDALIGGGFSFKNPNATQTCGCGKSFTAEM >Solyc02g069990.1.1.1 pep chromosome:SL3.0:2:40362115:40362264:1 gene:Solyc02g069990.1 transcript:Solyc02g069990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIMFSIPTYFEGCFVPKFQFHQPQPPIDLGIQTINAFLVTVLVPDQR >Solyc03g006700.3.1 pep chromosome:SL3.0:3:1259897:1262519:-1 gene:Solyc03g006700.3 transcript:Solyc03g006700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BE93] MAFGATHLSLAFCLMALIVLVKADFYGNKEPINNILEKNSEMLTQDFCIFAAVGSVVTEAIARERRMGASLIRLFFHDCFVDGCDAGILLDDIPGRFQGEKTSPPNNNSVRGYQVIDQAKQRIKTMCPGAAVSCADILALAARDSVAMLGGIPYPVSLGRRDARTANFTGALTQLPAPFDDLNVQLKKFSNKGMSPREMVALVGSHTVGFARCVTLCDDRNINPAMKSTLKCGCPVSNNNTNLVPLDLMTPDFFDKFYYEDLIRNQGLLFSDQVLMGSTATSDVVRTYNSNPTLFLREFNDAMIKMGNLPPSRGVQLEIRDVCSKVNSNSIADM >Solyc05g046145.1.1 pep chromosome:SL3.0:5:59197864:59198666:-1 gene:Solyc05g046145.1 transcript:Solyc05g046145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGKLVSEINIKCDGDVFHETIMYKPHYMCNICPDKIQNVDIHKGDLGTIGSVRFWKFTHDGKEMVAKEVIEKIDEEKKLVKKKMIEGDMLEYYESFYLTIHVETKDENNLVTWILEYEKKNVHVPDPHTFMELCINITKDIESYHIK >Solyc02g079850.3.1 pep chromosome:SL3.0:2:44809949:44815962:-1 gene:Solyc02g079850.3 transcript:Solyc02g079850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRGQGDSPVRICEPCKRLEEAARFELRYGQKSRASKGSSRFASKSEDEVLNHLLGKERTSDVLSHDQQSASTASGSNVLDFSGKDEAGDGSSNQTEQQAEMGSTTPEELRQQAMEEKQNHRTLKAAGKPEEALRAFKRGKELERQAAALEISLRKNRKRALSSSNVTEIQQDNDAGKASGRKNKLSPQITKEKDDLASELRDLGWSDMDLRTADKRPATMSLEGELSALLGEVSGKTNPEKKIHGMDKSLVIAHKKKALQLKREGKLAEAKEELKKAKILEKQIEEQELLGDDEDSDDELSSLIRGLDSDKFDDLSTRYKPDSSYDFDNLLGTADDIGTDGNFEVTDDDMYDPEIAAALESMGWTEDAAESEVSEKQFKPVDREVLLSEIQSLKREAVSQKRAGKTKEAMELLKRAKTLESELEEQLSNGEEDVRKFVERKDKEHKVAPKSKSVIQRELLGIKKKALALRREGRLDEAEEELERGKILEKQLEDIDNPPKFAQPIAGNKRNESITDIDAGDEDAEVTDQDMHDPTYLSLLNNLGWQDDEKANIPSVSFQGKNNFSNLSESLTKEATNNIQARASKKSKGEIQRELLGLKRKALTLRRQGETEEAEELMNAAKMLEEQLAEIEESMSNPTKSNEQKARIAIDSPLENPQFPASDLWKSSIEDMENKVTRTPEKPEEVSQSDEKPCISESKTAEEVNSQLDQNSLRQDILARKRKAVALKREGKVAEAKEELRQAKLLEKHLEEEKTLGSSSSTVSVGPNTSHVGQNEASPNKVPDISQVGQKEVSPSSGPKPLSGRDRFKLQQQSLSHKRQALKLRREGRTEEADAEFELAKAIESQLEEASSQGAMQSSDLTAESAEGVSVEDFLDPQLFSALKAIGIADTSVVPRVPERQETRKPTSGDTDKTGTIASQILERSEPKLPEARVSDESSNERKQLEERVKAEKLKALNLKRSGKQAEALDALRRAKMFEKKLNALAS >Solyc11g018833.1.1 pep chromosome:SL3.0:11:9677315:9677831:1 gene:Solyc11g018833.1 transcript:Solyc11g018833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVLQIWIYECMGKRQTNFARKINDRIPRILNWKTVGAKPRFKTLMKDTFNDDNRENGVPSLMEITVLQLPPEGVEKSTEGVQTEPHHDIDEQVLAGHNSDDDFVNPPPPSMKVTCKRKKGQSVSPAKRIRKKE >Solyc02g014770.3.1 pep chromosome:SL3.0:2:18839398:18857930:1 gene:Solyc02g014770.3 transcript:Solyc02g014770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYYEISDDEWPEDNSFNPSRVLKHKPSAPPPPIESFIYSNTTNQNTSKSSNFIQVLDSSSEEMGLGNASENLEDDDVEIDSTINQTNSRARRRFVVDDEDEGFNSNEEEELFELSDNQESDEEVEDVVGKALQKCGKISMELKRELFGTAAAKCDSFVEVEEASSLRIVTQDDIDMACGEEDSDFKPILKPYQLVGVNFLLLLYRKKIGGAILADEMGLGKTIQAITYLTLLKHLEDDPGPHLIVCPASVLENWERELKKWCPAFTVIQYHGSARSSYSKDLSSLSRTGQPPPFNVILVCYSLFERHSAQQKDDRKILKRWCWSCVLMDEAHALKDKGSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSILEFMMPDLFETGDVDLKKLLNAEDKELIARIKSILGPFILRRLKSDVMKQLVPKIQTVRYVGMEKQQEDAYKEAIESYRAASLARVSKQPVSLTNAAGVFSRRQISNYFLEFRKIANHPLLVRRIYTDDDVVRIARVVHPKGVFGFECTVDRVIEELKSYNDFSIHKLLLYYGDNNKGVLSDERVMISAKCQELAKLLPSLNLSGHRVLIFSQWTSMLDILEWTLDVIGVTYRRLDGSTQVTERQTIVDTFNKDTSIFACLLSTRAGGQGLNLTGADTVIIHDMDFNPQIDRQAEDRCHRIGQNKPVTVYRLVTRNTVDENVYEIAKRKLTLDAAILESGAQIENEGDAKTMGEILSSLLLG >Solyc07g018240.1.1.1 pep chromosome:SL3.0:7:9822969:9823790:-1 gene:Solyc07g018240.1 transcript:Solyc07g018240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALYSNIHYYLVEHPTISQFEWKQGHTFGSSISFLTISVSVYLCLTLLSIRFSAFIPTLTTTTIRNITAIHSLILCLLSLLMVIAGILSVLHQMPPHDWKWIVCFPGANHTLPRGPVFFWIYICYLSKILEFIDTLLIVLSSSRSRRLSFLHVYHHTLVTVLGYIGLQYAQSMLGLALIVNASIHVIMYAYYFLSAIGKRPWWKKVVTNCQIYQFMLGFIISALMLYYHFTTEFGCTGVGAWCFGIVFNASLLVLFLDFHSKNYNNNVKKEL >Solyc05g005400.2.1 pep chromosome:SL3.0:5:314188:317488:-1 gene:Solyc05g005400.2 transcript:Solyc05g005400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRTRSKSARDLTKSIISELPIGALHRILELMPIKYAARSSILSKHWRQLWSTQPNLVFDPMFFQHVSNTEDSAASIIHKILMKHTGDILGFHLISDADTLTQSDVDKFIIFASNHGIQKLTLEMANDEKYVLPDSIFTCATLTHLKLSMCTFNLPDGTRFPNLISLQLEHSKIAGHRGFENKLNLPILETLELRFCVDVHSVSLVCPKLENLSIISSYTITFRCFFLNPIFSIIKHLCLNGTSLEKLRSAYVEDKLRQPLKLQSLKICNFKISVESIACAVCLLRSSPNLYKIEIDKVAKVDETLNQKRELLSYLSMKKKCVDEALRLIQTLRLRKFKGSRIEMCLIRVIFSHSPNLERMIIEQCGELGNTTNYKEKLREQLRSITRASPKAIVVFALS >Solyc09g082240.3.1 pep chromosome:SL3.0:9:68475126:68476081:1 gene:Solyc09g082240.3 transcript:Solyc09g082240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDSSSIDITHVNEELPMKFEETLKLSNKEDDIISLRLLDLTDVDDFMEWFTDENVNKFCSCDTSFISKEDAMQYIADVVIPHPWYRAICLNDKPIGSISVSSFHGSDICRGEIGYELSSKYWGKGIATMAVKMVASTIFVDWPHLARLEGVVAVDNIGSQRVLEKAGFIKEDGLNSLLFETKARREEREEKNERKGVQHFGAKDL >Solyc03g114880.2.1 pep chromosome:SL3.0:3:66244326:66245380:1 gene:Solyc03g114880.2 transcript:Solyc03g114880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVQATDQGDCSKVRGIIPHSCEKKPSIVLICCLIPLKTNKLLTAARAVLWHLWERTLLLLTLHFRYDLLGIQTNTTVKLPKNFTLVAPGGGYTCGPAKIIRPTQFVTNDGRRVTQAMSKVLFSTQVWNYMESDSQFLAAPKPTCCVSPLVLCVLVAAATVATVSVKIGPSYNRAMHKMCPINVHWHVKSNYNKIDWSVKMTITNFNYTQWTLVVQHPNLNNMTQPCFMGESHIIHILMQAGPKGNRHSDLTLEKDGKTIALKNPQRAYFNGNLCVMPSPESYPFLPNSAGTI >Solyc10g006480.2.1.1 pep chromosome:SL3.0:10:1064559:1067555:-1 gene:Solyc10g006480.2 transcript:Solyc10g006480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Solyc09g031705.1.1 pep chromosome:SL3.0:9:28334086:28334767:1 gene:Solyc09g031705.1 transcript:Solyc09g031705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRVLFESISNTAGTLAAIIGTVGAGFFVELVGSFKGFLVLTSVLYFSAAVFWNVFSTGERVKFDDSTLD >Solyc01g079200.3.1 pep chromosome:SL3.0:1:78143255:78146506:-1 gene:Solyc01g079200.3 transcript:Solyc01g079200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATPSPLIRRGNKKKTAAFGVPTIDLSDDKSIASEMIVKACEDYGFFKVINHGVSKKVIARMEREAADFFSRPVSEKGRAGPAAPFGYGSKNIGFNGDKGDLEYILLEANTLSLSQRAKNFSNDPSTFSSVTNDYVSAVRKLSCDILELVAEGLWIEDNSIFSKLISDSHNDSCFRVNHYPPFITPNNDDNQDELNNNHWGLSPNPSKSRVGFGEHTDPQILTILRSNDVSGLQIYTHDGFWIPVPPDPNEFFVFVGDSFQALTNGRFTSVRHRVVSINSWKSRMSMMYFAAPALGAWISAPPQINNITNIYKPFKWGDFKQIVYSLRLADSRLDYFKNHTQ >Solyc11g018620.2.1 pep chromosome:SL3.0:11:8777177:8781757:-1 gene:Solyc11g018620.2 transcript:Solyc11g018620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPRNIVVDTSRLVTRDEEEKKSMDMLCADELDSPTAKRFKEGRFPLSSWEFAAAFGVILVFSTGLFCLYLSMPAAEYGKLKLPRTISDLRILKDNLGMYAKVYPTKFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGIFRGLLLVVFNATAGASSCYFLSKLIGRPIVNWMWPEKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHIFFLATVIGLIPAAYITVKAGLTLGELKSVKDLYDFKTLSVLFLIGALIILPTVLKRKRIYE >Solyc07g065050.3.1 pep chromosome:SL3.0:7:67098448:67110652:-1 gene:Solyc07g065050.3 transcript:Solyc07g065050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGLSNPAELASSPSSSLAFSHRLHSSFIPKQCFFTGVRRKSFCRPQRFSISSSFTPMDSAKIKVVGVGGGGNNAVNRMIGSGLQGVDFYAINTDAQALVQSAAENPLQIGELLTRGLGTGGNPLLGEQAAEESKEAIANSLKGSDMVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSALEAIEKLQRNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKDSGTAMLGVGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDERYNGEIHVTIIATGFTQSFQKTLLSDPRGAKLIEKGAGIKENMASPVTLRSSNTPSTTSRTPTRRLFF >Solyc11g044530.2.1 pep chromosome:SL3.0:11:32756734:32765479:-1 gene:Solyc11g044530.2 transcript:Solyc11g044530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSLSPPLTHISSTKLHLSFPCIVNPHPHSHNYTNLSPLNKKWQTNVSFFPSFFNKKTKDPTPIKQELLQAIEPLDRGADATPQDQQIIDQIACRLEACNPTKEPLNSTLLNGKWELIYTTSKSILQIQRPKILRSRLNYQAINVDTLRAQNMEGWPFFNQVTADLTPLNAQKVAVKFDYFKIAGLIPIKAPGRAKGELEITYLDEELRVSRGDLGNLFILKMVDPSYKVPT >Solyc04g025170.3.1 pep chromosome:SL3.0:4:25284294:25292249:1 gene:Solyc04g025170.3 transcript:Solyc04g025170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKQVQPSNNSIPGSFNPDLNYTLFNMFDDLILLAKGGLVVYHGPVKKVENYFAGHGIEVPERVNPPDYFIDILEGLVKPSTSSNVNYKELPVLWILHNGYSVPPEMQQSAAALASSPVELNIDTQAIFDHVTEENSFAGEMWLDMKTNVERQRDIILHNFMRTKDLSNRRTPNVLLQYKYFIGRLGKQRLREAKMQAIDYLILLVAGACLGSLTKVRDESFGAPGYTHTIIAVSLLCKIAALRTFALDKLQYWRESASGISSIAHFVAKDTIDQFNTVIKPAVYLSMFYFFCNPRSSFADNYVVLLCLVYCVTGMGYTFAIFLAPGPSQLCSVLVPVVLTLIASRTDGGKFLKILVDLCYPKWALEAFVIANAERYYGVWLITRCGALMNWGYSLHDWSLCLCILLLIGLGSRIIAFVGMLSFQRK >Solyc01g009303.1.1 pep chromosome:SL3.0:1:3347872:3349097:-1 gene:Solyc01g009303.1 transcript:Solyc01g009303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLFVDVRQDLVNASENHFLGDPDSDVKNHKYFCGRPSRPCLCIWLALTARPIHFEGQTSPERAHPSFRRFSCAIANYFLGEPDSDLALTALPTHFEGDPDSDVKNANFFCGRPSRPCQCIWFALTALPTHCEGQKSPKASITLISTIFVCYNKPFFGSNEPRSGYTPHFDDFRLLLKNHFLGDPDSDVKNANFFCGRPSRPCLCILLALTALPTNFEGQTSPEASIPLISMIFVFHRKTIFWVIQIPMSKIPNFFVDVRDLFYASSWPSRTFRSILKVKRAPKRASHHFDDFCVL >Solyc12g077660.2.1 pep chromosome:SL3.0:12:17835757:17851764:-1 gene:Solyc12g077660.2 transcript:Solyc12g077660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADKGKKQKVDEENNIDGDLVFSIEKLQEIQDELEKINEEASDEVLEVEQKYNEVRKPFLNHPVLGELLTEEDQKEPDEETFDGDQYVLKEQEALEAIEEVMNEPKDGKESEGSEDDEKDEDGDEE >Solyc08g078870.2.1 pep chromosome:SL3.0:8:62683148:62683588:1 gene:Solyc08g078870.2 transcript:Solyc08g078870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGATSIALVLTLNILFFTMGKCPKDTLKLNACANLLNDLVHLVIGSSPAKTKCCSLIHGLADLDAAVCLCTALKANLLGINLNVPLSLSLLLNNCGKYVPKDFQC >Solyc06g043120.2.1 pep chromosome:SL3.0:6:29816675:29828745:1 gene:Solyc06g043120.2 transcript:Solyc06g043120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIDEIRRAKRAMGPATVLAIGTANPSNCYDQKVPIPIIFFVSLIMNIKLNLDQKSKRDFYLTEEILKRNPNICEYNAPSLNIRQEIMTVEVPKLGKEAAEKAINEWGQSKSKITHLVFCTTSGVDIPRADYQLTKLLGLESSVKRFMMYQQGCFGGGTALQLDKDIAENNKSARVLVVCSELANLVCFRSPYGTELDVLLVFATQTLLLNSKNTITGELSEAGLIVHIHKDNSLLISKNIEKILVEAFQTLNISDWNSIFWVSHPGGPAILDQIELKLGLKLEKLKASRNLLSDYGNMASACVFFVLDEMRKTSIREGLGTTGEGLEWGVLFGFGSALTIEAIVLRS >Solyc02g078380.3.1 pep chromosome:SL3.0:2:43652165:43653626:-1 gene:Solyc02g078380.3 transcript:Solyc02g078380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFEQSIGKPPSELSVAQTDRQKKEAKTKEEIEESFKSWKPDSTFYHLSNGNFMAFSHGNENPFHPRSIVVMDDIFCIFSGGLDNTFDLRKYYGLSRQATEAMIMVEAYKVLRDRAPYPPDQVIKELEGKFAFILFDYKASTLFLARDRDGSVPLHWGTATDGSLVCSDDSEFIQASCGKLYTPFPPGCIFISDTGLISFDHPMHKVKGIAREDDEGNVNAVIFQVDLYTKLHSIPRRGSAANWAGATTVE >Solyc04g079300.3.1 pep chromosome:SL3.0:4:63887980:63897115:-1 gene:Solyc04g079300.3 transcript:Solyc04g079300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDKQSSGLLDTLKMETVRTILTHSYPYPHEHSRHLVIAVFVGCLFFISSDNMHTLIQKLDSNIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYISWILLAALYHLPSFLSMGVDLRMNLSLFLTLFVSSILFLLVFHVIFLGLWYLGLVARVAGKRPEILTIVQNCAVLSIACCVFYSHCGNLAVVREKTFGRRNSGWFSLWNKEEGNTWLTKLVGMTKLKDQVCKSWFAPVGSASDYPFLSKWVIYGELTCNGSCAQSSNEISPLYSLWATFIALYIANYVVERSSGWAVSRPLSLKEFEKLKKKQTKPEFLDMVPWYSGTSADLFKTMFDLLVSVTLFVGRFDMRMMQAAMSRVEDGAKQEDLLYDQFSGKDGLWFDFMADTGDGGNSSYTVARLLAQPSLRLQVNGSMRTLQRGDMLLIGGDLAYPNPSSFTYEKRFFRPFEYALQPPMWYKEEHIAVSKPELPPEVDELRQYDGPQCFVIPGNHDWFDGLQTYMRYICHKSWLGGWFMPQKKSYFALQLPNRWWVFGLDLALHCDIDVYQFKFFSELVRDKVGKNDSVIIMTHEPNWLLDWYFNHVTGKNVTYLIRDHLKERCRLRIAGDVHHYMRHSYVPSNKPVYVQHLLVNGCGGAFLHPTHVFKNFKEIYGTLYETKAAYPTFEDSSRIALGNILKFRKKNWQFDVIGGMIYFILVFSMFPQCQLDHILKDDTFSGRLGTFFGTVWDLFMYMLGCSYVSAAGAILLLTIAIVFVPSMVSWKKRLLIGILHVSAHLAAALILMLLMELGVEICIRHKLLATSGYHTLYQWYQSVESEHFPDPTGLRERIEQWTFGLYPACIKYLMSGFDVPEVMAVTRSNICKNGIDSLSRGGAVIYYASVFLYFWVLSTPVVSLILGSYLYISINWLHIHFDEAFSSLRIANYKSFTRFHINTKGDLEVFTLAVDKVPKEWKLDPKWDGESKQPQEPSYLQKFPSKWRAKASQQDPVNTVRIIDHFVIEHREKN >Solyc03g046203.1.1 pep chromosome:SL3.0:3:11942522:11947061:-1 gene:Solyc03g046203.1 transcript:Solyc03g046203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDSSLYGLNNNFLGWATSLRLLNDSYCAVKINSLMMRSLPPISRAYSLLQQDESQKKTNSAFPSFSNVSASFFAPSSSSSVSNSGRSYNQRANSDPKRKVNSLLCRYFKKPGHIIEKYHKLYGFPPDFKFTKNKRSASCVQLAQISSGSPSDASPPDNTTYADFADKSTCDPIIPPISHSIVASNVMNKMDLFWHQWLGHIPFHKMKSISFLSDKDFTPVLTPLDPSIKITLDMNAPVTDPNEDCWQAQFFTTHSSRHCLFNSTPKSISSQKKTQVPHMMATLHVLRYLLNDPAQGILLTDTPDMSLVAFSDSDWASCAITRKSVMGFFITLGGSPISWKSKK >Solyc01g098940.3.1 pep chromosome:SL3.0:1:89178784:89185943:-1 gene:Solyc01g098940.3 transcript:Solyc01g098940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHFLTISLILFSLALPIFSELNQSACKSSLYPKLCRSLLSAFQHSPSNPNDDYSKFSVKQCQKKANKLSSLIAHFLSTQKQRSLFVNPKEISALTDCQQLTQLTVDYLNSISAELKKADVSTTSEDLVGKIHTLLSAVVTNQQTCYEGLKEAGSSMVDELLAPLSNAGEIYSVSLGLVTHALERVRKSRKSGRLLAEQGGVMEEEWARRSRFMASKVKQALERSHQRDGRILDELVENGVHIKETVTVSPYGGCNFTSIGEAIAFAPNNSMIDDGYFLIYAKEGYYEEYVVVAKNKKNIMLIGEGVDRTVIAGNRSVIDGWTTYNSATFAVSGERFVAVNITFKNVAGPWKHQAVALRNNADLSTFYRCCFEGYQDTLYVHSLRQFYRDCDIYGTVDFIFGNAAAIFQNCNLFARKPMPDQKNIFTAQGRSDPNQNTGISIQNCTIQAAPDLAQDPNLTLSFLGRPWHNYSRTVIMQSYLGDVITPVGWLEWNGTYGLDTLYYGEFENYGPGANTTMRVQWPGYSLMNASQAMNFTVYNFTMGDTWLPYTNVPFSQGLYTDHFYSMISENYQETMQKVETYQLDGNQESLKGNKFLKIMGAEGFGTKSGISKTYTNMGLNEYDRGDKGNPKILWIVASVIERSVQKNEKGLKGSNKRGVVTVFHGTRAPVLTVQQYIERIFKYSNCSPSCFVVAYIYLERFLNLTDCLLTSLNVHRLLITSIMLAVKFVDDDCYNNAYYAKVGGITTRELNKLEVKFLAALDFRLHVSVESFDKYCLQLEKDSNEKLQIDRPIRIFAWGKGLVNKNISNGSPTVGGYSCRAF >Solyc01g080410.3.1 pep chromosome:SL3.0:1:79508888:79510024:1 gene:Solyc01g080410.3 transcript:Solyc01g080410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-methionine (R)-S-oxide reductase [Source:UniProtKB/TrEMBL;Acc:K4AXT5] MAVEKSEEEWRAILSPEQFRILRQKGTELKGSGEYDKFFDEGIYNCAGCGTPLYKSSTKFDSGCGWPAFFEGLPGTINRSPDPDGRRTEITCAACGGHLGHVFKGEGHNTPTDERHCVNSVSVKFIPANTSSVLL >Solyc12g062580.1.1 pep chromosome:SL3.0:12:33938118:33938897:1 gene:Solyc12g062580.1 transcript:Solyc12g062580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREKLVQQVVDTLHDNGIQGQPMRDGYNKVHKSFSYVIEGKKKNFVRLYLVNESIIEDILSLSWVLHFHYIYVDCIVKLGAICVHPLVYKGFNADFGGDQIHIYLSLEAQVEAHLLIMFSHINSMSLMSGNHRGICVNGYNPCNRRNYQNQNKSENSYYKYAKKPFL >Solyc06g050700.3.1 pep chromosome:SL3.0:6:33555928:33557607:1 gene:Solyc06g050700.3 transcript:Solyc06g050700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTLNPNAPMFVPFEYRQVEDFSDHWWDLVHSSPWFRHYWLRECFSDPDADNDFSHTYGCSLLPDTDFIKKEENKKELITLGLLEWNKSRVTAEIPKYGKKVPKIVNVKVSPRHIQQPR >Solyc06g054550.3.1 pep chromosome:SL3.0:6:37409646:37417531:-1 gene:Solyc06g054550.3 transcript:Solyc06g054550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRILLKGVIFMCLIACSTAREFKVKDQGAIYNHTLATILVEYAASVYVSDLTELFTWTCPKCNDLTKGFQILELIVDVKRCLQAFVGVAPNLNAIVIAFRGTQGTSIQNWIADLYWKQLDIEYPGMEDAMVHHGFYSAYHNTTLRPGVLSAVESAKEQYGDIPIMVTGHSMGGAMAAFCGLDLTVNYGSRNVSVMTFGQPRIGNAAFASYYSKWVPNTIRVTHEHDIVPHLPPYYYYFPQKTYHHFPREVWLHNLGFGLLSYTVEKVCDDSGEDPSCSRSVTGRSIKDHLTYYGVRLGGEESGFCKIVMDDRLAAYSKVDIDGNVHLSRDFSASVLRMNVESNEEGWSI >Solyc10g037990.1.1.1 pep chromosome:SL3.0:10:18470176:18470343:1 gene:Solyc10g037990.1 transcript:Solyc10g037990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVTEQVYSVFLFLISFQAYEGDCVFDFIPRDQTKHTLVFSGEHIKLEDNISI >Solyc01g008040.1.1 pep chromosome:SL3.0:1:2132010:2133305:-1 gene:Solyc01g008040.1 transcript:Solyc01g008040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSNHLRLFQIVYQLYPNEGLRSWCYAGGPCDELIHPGFPVTYTKQCTFELLGSCNGLICYITKFLHGKEEINLWNPSMRKNRVLPHSKFSPERQRHAPNYGFGFDGRGDHRMIKITYIDDRLKNEKKQWSEVELYSLNHDSWKKIGDCFPSQYYYVRGDTVFFNGCIHWLTQREYGKIRPRRLPIVSFDVANEVFQEYWLPNPTEREANCISLLNGSLSVCAKSTSVVDNSVLNIEVWLMKEYGVEESWTKLYVTRMDEELSGPIIPLRVLDHDGEAIVYINKNVFLYDHENEEFEDFGIWANGIGVPYVTNYMESLVWVEPIIVESTTPIGQNVATIENVEIESNTKLVNSTSKKDTKQDVEKVISIKIYLR >Solyc02g063325.1.1.1 pep chromosome:SL3.0:2:35928967:35929326:1 gene:Solyc02g063325.1 transcript:Solyc02g063325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCDKTENPTLCSRNCGSCANSRNHDAYEKIYLFHKMFSLTIRTGKDDPMIKTKPQRCMICRKKVGLIGFNCKCDEVFCEIHRYPEEHACTYNFKSKGRAILAKENPPCKTDKLKNRI >Solyc10g052770.1.1 pep chromosome:SL3.0:10:53363517:53366184:1 gene:Solyc10g052770.1 transcript:Solyc10g052770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIQQTSCSIGYEGSGSSLAIGAVEPNKRGSRKRAPNVTSSRHATSSTWRRYKAHRTGRKEGCNATTGNRNITTSTSREVTIEMRTSPPPTSHENNTGVLASMQKVIESLVNRMDRYERDGHRNIASTQTHALLQIPARTYTIGNKERQKYATKFERLVQTPDMDVATYNAKFCNLDRYAHFLVPIEAYRVQRFIHGLVSCLLNVLAPNMSTMTYCEAID >Solyc12g044420.1.1.1 pep chromosome:SL3.0:12:60312361:60313008:-1 gene:Solyc12g044420.1 transcript:Solyc12g044420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQNLPVIAKKFWNIVRVAFFMLRKGISKRKLMLDLNLIMKRGKIASKAAIQNLMFHHMTHQFSFAATTHRGGRDKNLPFSPQHNEYEFSCSNTPNFQLPSFNFNKKNKNKYFFSKSQSQMYDTSGMATHEDDVIVVNAAVMKALEMIHESETASPGANYLPGFGRTPMVRQLRVTDSPFPLNAEGDSHVDEAAEAFISKFYRNLRWQASPCAK >Solyc12g017790.1.1.1 pep chromosome:SL3.0:12:7382472:7382795:1 gene:Solyc12g017790.1 transcript:Solyc12g017790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSWKRGTTLREGGFGANSLASTLNALFCGVTLPSLMALKSCNFNASQSLKEEAEILLMFKHSPYIVQCFGANVSFDDNVILYNLLLEYVPEQALLLVFRTSINC >Solyc04g026380.3.1 pep chromosome:SL3.0:4:16410296:16428766:-1 gene:Solyc04g026380.3 transcript:Solyc04g026380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDFRGDVSSVQAERFFTKLLEKEGDPQDPVAYDNGVTYMFIQHNNVYLMTASRQNCNAASILLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGIQYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRNAKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGAFEVMTYRLSTQVKPLVWVEAQVERHSKSRVEILVKARSQFKERSTATNVQIELPVPTDATNPDVRTSMGSSTYAPEKDALIWKIKSFPGGKEYMLRAEFSLPSISAEEAVPERKSPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLA >Solyc01g022740.3.1 pep chromosome:SL3.0:1:32747281:32752774:-1 gene:Solyc01g022740.3 transcript:Solyc01g022740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTIERSSNAHRGFRVQPPLVDSVSCYCNVDSGLKTVAGARKFVPGSKLCIQSDISSHAHKSKNSRRERSRVQPPLLPSLPDDLAIACLVRVPRVELSKLRLVCKRWYRLLAGNFFYSQRKSLGMAEEWVYVVKRDRDGRITWHAFDPTYQLWQPLPPVPGDYGEALGFGCAVLSGCHLYLFGGKDPIKGSMRRVIFYNARTNRWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRKRWSFIADMSTAMVPFIGVVYDGKWFIKGLGSHREVLSESYSPDTNAWSPVNNRMVAGWRNPSISMNGRLYALDCRDGCKLRVYDESTQSWIRFIDSKLHLGSSRALEAAALVPLNGKLCIIRNNMSISIIDVSSPDKRVETNPRLWENIAGKGHFRTMFTNLWSSISGRAGLKSHIVHCQVLQV >Solyc03g111780.1.1.1 pep chromosome:SL3.0:3:63897850:63899055:1 gene:Solyc03g111780.1 transcript:Solyc03g111780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMSTWFCNNSHYYEDSNSEKQSTTSTTYEENTSSNSSIDDTFVNISPNSCNCIIATFKTLTPQISNLAIHNNILYAASLNKIVAIDLKTCDFIDTFTSSSFGLVKSIAFAKSKIVTAHQDCKIRIWQFKPSSKNQHHHLFSTLPTLKDRILRGILPKNYVQIRRHKQKLWIQHADTVSGLAVNDGLMYSVSWDKTLKIWKMSDFSCLESVLGHVDAINAVVVSQNGIVYTASADGEIKVWRREKNKHILVTTLRKHKSSVNAMSLNNDGTILFSGGCDEKIVVWEKKEHSVDYMLAKWLLKGHNGAILCLIYLNDVLISGSSDRSVRIWEKNSINNNECGYFCSIVLEGHCKPVKSITAAWDEDENGDNNNNNGIMSVFSGSLDGEIRVWKVNIVSTSR >Solyc10g084660.2.1 pep chromosome:SL3.0:10:64226912:64230055:1 gene:Solyc10g084660.2 transcript:Solyc10g084660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLTFLELKTKRTHRFIVFKIEEKQKQVVVEKVGEPTQSYEDFAESLPADECRYAVYDFDFVTEENCQKSRIFFIAWSPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEMELDVIKSRAN >Solyc02g088987.1.1 pep chromosome:SL3.0:2:51544413:51546719:1 gene:Solyc02g088987.1 transcript:Solyc02g088987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFSLLEKALWSKGKWMNSWKKRELFSNLLRHFIAYSLQIFLFLKDICLSKCGKQSMTNTSSLASYSILRWFRVFWELVQLMWTCKNFFDIYYRFRVTHIAKIFKTKVLLILINISNDNINNNKGVNQILIITIQDNLEKKEKVNLSEPPAAAIAIFLAIFPCKVSLFAAFHPAIPPPPPWLHSLAKEGGGCYKCGEEGHFGRDCRQRDGYGRGGGGSGD >Solyc11g018740.2.1 pep chromosome:SL3.0:11:9322219:9326897:1 gene:Solyc11g018740.2 transcript:Solyc11g018740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNHHPIMSVQRFFHHRRYSRNSPGIYFLHNFTSSDSHNKPSNSSDHYRHHNYGHSRYVHFLNSFLPSKPQFVIPHSVSFCRSFSTRDSEIGDFSDSAVTQYENMELLISKNITESVEESILPVRALISLLDGYHDLTGFPWWIIIASSTVALRLTLFPLVILQIHKLTRIAELLPKLPLPLPPPMSERSVRDQLKIFFKEKQAAGCPSFFWYFASLTVQLRALLFSLVYGYS >Solyc05g012770.3.1 pep chromosome:SL3.0:5:5928927:5934767:1 gene:Solyc05g012770.3 transcript:Solyc05g012770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEGSSSSSTSRGQLLRPTITLPPRSSMESLFSGGSSGISPGPMTLVSSFFSDNDPDSECRSFSQLLAGAMTPPAGFTGVRPGFPPLPPPSTAAITQSPTAFTVPPGLSPTSLFDGFFSPGQGPFGMSHQQVLAQLTSQASQAQSQMHIQPNYPSSATAAALSMSQFQSLPSNAAANRQIPPTLDPNIVKESSDVSLSDQRSEPASFAVDKPADDGYNWRKYGQKQVKGSEYPRSYYKCTNPNCPVKKKVERSLDGQVTEIIYKGQHNHQPPQASKRSKESGNPNGNYNLQGPYELSSEGLTGNYNKPKEGEPSYSLRMKDQESSQANDQTSGSSDSEEVGNAETRVDGRDIDERESKRRAVEVHSTEAACSHRTVAEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTSQGCNVRKHVERAASDPKAVITTYEGKHNHDVPAARNSSHNTANNSMSQLRPHNPVVDRPAAMRRADFQSNEQQPIALLRFKEEQSI >Solyc06g031675.1.1 pep chromosome:SL3.0:6:20701423:20707551:1 gene:Solyc06g031675.1 transcript:Solyc06g031675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIAASILSGDGTPTLTAPLPSRVRNFILARSNGGQLNLPMSKFISSIKQRGLVLIMKPPLSKVNSTQANFFMNSPLVGLESLTRPLFKKMLPGLPSRSHIHGRNQILRTMHLNIKLNGINQVGSTQTNLLPAPQLFKFALIAHGVWFLYQVVMATHYRFCYRAIHWEALQISRLQMKKVIQSIIRKERIAMDAGTYLCLQLCCGRTLCAEHSANQMLTVSAYTQNLFRPCHNLVFTQKLESSILPYLLKNVGSWKQSEVLVIPSVKHLDSGHNRNGGPVGDGVMASASEVNALKDSFSALDVGVVADIKTEDTVKQTPAVGITDCKCGMPLCICQVSATPTTSIASQERTYLLAFKCFLSK >Solyc07g041740.1.1.1 pep chromosome:SL3.0:7:54200965:54201258:-1 gene:Solyc07g041740.1 transcript:Solyc07g041740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEIGKIQANTDGSFIYENSRAGVGVIFKDATGNTIMAFSVPAQCKTNNQAEVMTTLYVTRWCKQAGYNKYDLELDSMVVANMIITKDTKTLKLEE >Solyc12g043070.2.1 pep chromosome:SL3.0:12:59674373:59676347:1 gene:Solyc12g043070.2 transcript:Solyc12g043070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSKPNHSVGILEGEVPKGKLNGETDAGTAEKDESFVVDVPFFVEIDRSNWLLDKHMDISEIVLSNLNVSDEFGTYVLDEDFFRDSRYLLRFRVSNVNEHLTRIKLGHWPVLSATSVCLEIVAKQEKEGLEETVVLIEGSFDGPDEGISGLVHLASLKFFTLRTVIVPSYLASIRMKVEILKSAFDGCESLLDTSRQLWKKSMMNVMAWLRPEVVTAEARYGYQVEAHADIGLASGLDESSSSARKLSRFDVASFYEAIKPSKEEPMLDDDLPGLLPKLRPYQRRAAYWMVQREKRNSDGSFLSKINHFISPLCMPLSLIDTPITIYYNPFWYVSLSMIYRKCYHWIHCTSTIR >Solyc04g079760.3.1 pep chromosome:SL3.0:4:64191831:64194198:1 gene:Solyc04g079760.3 transcript:Solyc04g079760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGRLLRTSKQMGLGNNIGGQNQYYSLTNNYSLRFKCLSKGRLIGGAEKKVVVVATKAVGTAVVSEPITTQNWKISDGILDCRFFSLLAVAGTLIGSLLCFIEGCYLIIESYIHYFIAISHKSDLGHVVQLLIEAIDMFLLGTAMLTFGMGLYVMFVGSRNINVKGDDDDQLSGKKLYYFQTLPSLMGMKSVMQAKARIGHALIMLLQVGVLEKFKNIPVVNGLDLACFAAAVFVSSICVFILSRLAATNTKAGD >Solyc03g118420.3.1 pep chromosome:SL3.0:3:68782434:68783411:1 gene:Solyc03g118420.3 transcript:Solyc03g118420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVQFLLVHQGEFLALFIPFITPLFFILLRHLLHRKFSSRLRRIKTLFCFWILSSAGCLIFVSSNQKSVVG >Solyc09g011340.3.1 pep chromosome:SL3.0:9:4675066:4681791:1 gene:Solyc09g011340.3 transcript:Solyc09g011340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCSSGIRSSQFTSLKNEIFIMGSIKDSNFTFVKRQDFVGMRKIGYLVCQENYKSRFLVKSSLNPEGKSISGVSVETVLKENDTYSVGKDVSILDADNGGDGEGDLAVGNGGNGKYPGGGGGGGGGGGGDNGEGDEEEDEFGPLLKFEDVMREAEARGATLPADMIEAAKSVGIRKLLLLRYLDLQGSALLGTAMRSCAMLRNRMLADPSFLFKVGTEIVIDTTCATVAEVQKRGKDFWAEFELYAADMLVGVAVNVALVGLLAPYARIGQPSVSQGFVGRFQRAYGALPSSVFEAERPGCRFSLNQRIAAYFYKGILYGFVGFGCGIIGQGIANMIMTAKRSVKKSEHDVPVPPLVKSALLWGVFLGVSSNTRLQIVTGLERLVESSPMGKQFPPVAMAFTVGVRFANNIYAGMQFVDWARWSGVQ >Solyc09g082160.3.1 pep chromosome:SL3.0:9:68402332:68409826:-1 gene:Solyc09g082160.3 transcript:Solyc09g082160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLMELNELLISRKVNLTTEESKLLNSWKQSAVRDFGIGAIGASLATWLVTRRLHNLFRINLAVGAGWFYGKWRFAKSLDSGVELILSQHGTRLQKELGEIMLKKYQHNPPVLQFVSKYFYSENVYDDDSTDNPKPRWRFRSTYGEIFSSHEVEGDDSSSKKSHSEKTDLRKTNLERKQINEFYKNTKSSVYKSMNGVGAAVALESTEDPFDCILGHQVNTEEIHHPHASSSLPRRRSHSTRRSHSRRRMHRHENMEC >Solyc07g040900.2.1 pep chromosome:SL3.0:7:51117553:51118233:-1 gene:Solyc07g040900.2 transcript:Solyc07g040900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQNHDTMPIDPHVDPYGYLGMVLVVSVEYCQAPKHRLLAAYDDCMEALHWIKTKPDELLSNHAVPEATWCNVGLRAAESWDNLKPLEIKGLILNQPFFGGNKRTQSEVRLVNDDMLPPIM >Solyc07g006045.1.1 pep chromosome:SL3.0:7:895849:899676:1 gene:Solyc07g006045.1 transcript:Solyc07g006045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFGILLDPPLPSAPEESVSSSLALISCPNLEVPCESDTQMQELVSDRNSLLGFPILMKEDELKPEINGCVELVQKEDELKQLTPKSSDSDIQMQEIVSDRNSQLGFPSLLKEDELKLLTPKSSESDTQMQELVSDRNSQLGFPILLKEDDLKLENNECVELEQKEDELKLLTPKSSESDTQMQEMVSDRESQLALPILLKEDELKPENNGCVELEQKDELKLENNGCGELGIRILIPKSSQSNTQTEELVSDPNSQRGFPILPKEDELKLENNGYGELGIRDLISKSSQSDTQMQELVSDQNSQLGFSFLQKEELKPDNNGCVELEQKDDKLKLENNGCGVLGISVLITKSSESDTQMQELVSDRNPQLGFPNLQIEDELKPDNSGCIELEQKEDQLKLENNGCGDLGIRGLRSSGGGSVAKKSLDEFVKDWVERKVNAGVDKRNCVLPFLIHAPKSVECSVCQGLIFPGDEVECSVRDCMGVFHLECAKERLGLSSPKMFKCPQHVCYVCNKKIHLWRCIRCPLASHDKCAAFPEHVVHLNDQPGRVICWKHPSDWRLEKVNLFICSSTQFYFY >Solyc11g017190.2.1 pep chromosome:SL3.0:11:7955250:8016958:1 gene:Solyc11g017190.2 transcript:Solyc11g017190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFVYIDDDDLSNNIYCDNRISNRKYTVWNFLPKNLWEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKEVWVVRKGIRKHIQAQDVCVGNIVWLRENDEVPCDLVLIGTSDPQGLCYVETAALDGETDLKTRVVASACMGIDSELLHKIKGVIECPVPDKDIRRFDANMRLFPPFLDNDICPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTAMDAMIDKLTGAIFVFQIVVVIVLGIAGNVWKDTEARKLWYVQYPNEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDNEMVDPETGTPSHATNTAISEDLGQVEYILTDKTGTLTENKMIFKRCCISGTLYGNENGDCLKDPELLQVVASGSPDAIRFLIVMAICNTVVPVQSKAGGVSYKAQSQDEEALVRAAARLNMVFLEKKGNILDINFNASLVQYEVLDTLEFTSERKRMSVVVKDCQNGNIILLSKGADEAILPHSHAGQQTRIFAEAVEQYAQLGLRTLCLAWRDLEEEEYHEWSLLFKEANSSLVDREWRVAEVCQRIEQGFEIIGVAAIEDRLQDAVPETIETLRKAGINFWMLTGDKQNTAIQIARSCNFVSPEPKGQLLLINGRTEDEVGQSLERVLLTMRITNAEPKDVAFVVDGWALEIVLKHYRKAFTELAILSRTAICCRVTPSQKAQLVELLKSCEYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGKFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSVPVLVSVLDKDLSERTVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVITIHAYAFEKSEMEEASMVALSGCIWLQAFVVALETNSFTILQHIAIWGNLVAFYVINWIVSAFPSSGLYTIMFRLCRQPSYWITIFIIVAAGMGPVLALKYFRYTYRSSKINILQQAERMGGPILSLGNIEPQLRSLDKDVAPLSISQPKNRTSVYEPLLSDSPSATRRSFGPGAPFDFFQPQARLSSNYTRNCKDN >Solyc11g007870.1.1.1 pep chromosome:SL3.0:11:2096229:2096528:1 gene:Solyc11g007870.1 transcript:Solyc11g007870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLQIPKDFQENQSPNINPPLPNGCKTPKSLSSRIPKAVNCPGAPKKPKRANRSFYKRRLRFEIVVVVPREETDSFFRNAENANNGCNKIMKRRRTM >Solyc04g056350.1.1.1 pep chromosome:SL3.0:4:54053599:54053916:1 gene:Solyc04g056350.1 transcript:Solyc04g056350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKAKPKKHTAKELAAKIDAATTNRGGGKAGLADRSGIEKGGHAKLECPLCKVTAPDIKSMKIHHDAKHPKVAFDETKLNNLHATVVAESSNKPKPGIRGSLKK >Solyc06g073380.3.1 pep chromosome:SL3.0:6:45352468:45363513:-1 gene:Solyc06g073380.3 transcript:Solyc06g073380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSLLAHCLPGLISQDRGCHMSVVSDKDVHLPSPAVEIVPSKATHTYKYAGETVDLDVFKGSVSVADIIGFTGSETISSKSDGHLKSWDISIDLVNVLKHEIRDGQLSLRGKRVLELGCSYGLPGIFACLKGASTVHFQDFSAETIRCTTIPNVLANIEQARDRQSRQPESPLTPSRHILAPVVHFYAGEWEELPTVLSVVRNDISEVPTGKSLSLSEEDFMDGCSSQDGSILGLESSLRRSRKLSGSRAWERANDADNGEGGYDVILLTELPYSVPSLKKLYGLIKKCLRPPYGVIYLAAKRNYVSFNSATRHLRSVVDEEGVFGAHLVKELADREIWKFFFK >Solyc11g005590.1.1 pep chromosome:SL3.0:11:446531:449373:1 gene:Solyc11g005590.1 transcript:Solyc11g005590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SKIP5-like protein [Source:UniProtKB/TrEMBL;Acc:Q940D2] MEVQKRKWRRISKISTDISLINSLDDGCLMHIFSFLSPIPDRYNTALVCHRWRFLACHPRLWLRVDRAVKDLSQPGVYPNIEMAVSAARPGDTILIAAGGSHHVSNIQIEKPLCLIGAGESPDDTTLICSRGSDSALEFMSTCKLANLTVRTELGCCLLHRSGKLTVDGCILQCESNPLDHLSYAIISTAGAAEVIPSALKTCSDAVSVLKTQIAGGAKAVLTSGTLSLQRVRVIYTRTSLFFWFEVEGSDKNTVQSAPVELPIS >Solyc10g006910.2.1 pep chromosome:SL3.0:10:1337798:1338442:1 gene:Solyc10g006910.2 transcript:Solyc10g006910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEDNFIRNRISFGKYALQVLEPTHRGGKIWVRIFPDKPVTLRPPETRMGSGKGSPEYWIKI >Solyc06g016790.2.1 pep chromosome:SL3.0:6:13634147:13636276:-1 gene:Solyc06g016790.2 transcript:Solyc06g016790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFFLYPTQLSNSDPKEESTLFLSPSRYQQIKHFPLNSSLLPHSSPFSLSKLHLGKKGRCDFLPMATVQMFSFTILFAVLLVQQCICTDPPASSPSPAPESGADVASPPMSLAPSPSPSLSSPPAPPLSDLSRNSSPAPSPGDSTSKNSLSPAPNSKAASDISDESVDSSKESSGGGMTSGKKAGIAVGVIAAVCFVGIGALVYKKRQQNIQRSQFGYDARREIL >Solyc09g090640.3.1 pep chromosome:SL3.0:9:70564717:70568606:-1 gene:Solyc09g090640.3 transcript:Solyc09g090640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNMDKDEPNKEGLLQLVNKEEQAEENSCLSTRLIEELEPQHLRRLENPHFTGNCAQKLLFHNSLGLQNKLPKHIISFDERYFLRCLELVHIHSTCNFSSKMQIISKSSGSREVRNENLHTNGNLATECPQTVGIESSYVPDSSAEWILGAITGSKSMLNILKSPLLNQFGSVNCTVDSGKENINDSEEGSFSDFMSSPGGFSVSSAQKLQKEMTDHGSEHVHRRVLSVSSTASTCSDQSCLSAAAPISQGMFQRRWKNGLPHYVFSIDGKKEVYVADLLEIDSPDDKFLDYVYTFHSRQESKKECAFSARESQLVGKMTVSTSVTLSPTKLEIMETRFVVFGSMDQYMDEIQTSHILRKNKKLAKKVTDVFRTSQSYKQRSLSKFGGTSAILEDASWTPSIDMYDDYYSCGNALLDQQIPPNFELAAVVTRDPLNDSSKEAEKGGWGMKFLKKSPTGSKNAPPEISVECRSRGTSDCSTSTDVIIPSGFHGGPRSRHAGPSSLLERWSSGGHCDCGGWDLGCPLTVLKTGNEASSQTTSGDCQTFDLYIQGLKQSAPVMKMSNIHDGLYYIHFHSTLSALQSFAIAAAFIHRHSPFLRPKLYRK >Solyc08g015860.3.1 pep chromosome:SL3.0:8:6162919:6169432:1 gene:Solyc08g015860.3 transcript:Solyc08g015860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:K4CJB4] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKRFKGSDKPPAELGSSRDYNVDMIPKFIMANGALVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYKESDPKTHEGMDLTKVTTRELIAKYGLDDNTVDFIGHALALHRDDRYLDEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDAEGKVCGVTSEGETAKCKKVVCDPSYLPSKVRKVSKVARAIAIMSHPIPNTSESHSVQIILPQKQLGRKSDMYLFCCSYTHNVAPKGKFIAFVSTEAETDNPENELKPGVSLLGPVDEIVYETYDRSEPVNECTLDNCFVSTSYDATTHFESTVDDVLNLYTKITGKVLDLNVDLSAASAAEE >Solyc12g035980.1.1.1 pep chromosome:SL3.0:12:44567052:44567267:-1 gene:Solyc12g035980.1 transcript:Solyc12g035980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRINLILEGSEKKWVRISCGNDLEDPKEKVVVFACNKIMEAVTQYILIQNLIQIQYSTYMYIRNVFNRFF >Solyc03g058215.1.1 pep chromosome:SL3.0:3:25656012:25662244:1 gene:Solyc03g058215.1 transcript:Solyc03g058215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDSSEIQCRSNFLFNGVSGAVRGRLGGRGTTTTPLYLRNHTSLISSLRFGLNWKINRAPNNASSKTKNYNITTSIQGHWIRAFLEGPPQLHSSLESIQPLLVYGHLSLSTCLGSASMGK >Solyc08g016730.1.1.1 pep chromosome:SL3.0:8:9090445:9090720:1 gene:Solyc08g016730.1 transcript:Solyc08g016730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQHRSYSQKVDVYKFGIVLWEIILGLLPYPSMSVVQAAFDVVNKGARPIIPNGFFPILSDIMTCCWDSNLNKWPTISQVVKILETAENKL >Solyc10g052880.1.1.1 pep chromosome:SL3.0:10:54038626:54040062:1 gene:Solyc10g052880.1 transcript:Solyc10g052880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFSQFPIPLFFFLSILLILQHHCLANCHVDDETGLLGFKSGIKSDPSGLLSSWKSGTDCCKWSGISCGENNRVTSLSIDGQPGKNQVLSGTISPSLSKLKMLTSISLTNLKNLAGTPNFLLSFPNIQIVYLENNNLSGQISPKIGNLTQLFALSFLGNKLTGPIPSSIGQLTQLSQLKLGDNLLTGTIPNTFDKLKGLTYLSLEKNQLSGSIPNFFNSLSNLRILTLSHNKFTGNFPSSITTLAPILRFLEVGHNYLSGKIPDFLGKFRSLDTLDLSYNKFSGTVPKTFANLTKIFNLNLSHNLLVDPFPALFVKGIESLDLSYNNFHLNTIPSWVTSSPIIYSLKLAKCGIKIKLDDWNPKTTYFYDYIDLSDNDITGSPIGLLNKTDFLIGFYAAGNKLKFDLEKMKIVKTLKELDLSRNMVYGEVPKEISGLNKLNLSNNHLSGQLPPTKFKANSFAGNKGLCGSPLPPCRKF >Solyc06g060140.3.1 pep chromosome:SL3.0:6:38192918:38220955:-1 gene:Solyc06g060140.3 transcript:Solyc06g060140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSLRSSISPFRSRKPSSSSSSSSKRPTTPSSTIPSKVPTPLAKSSLSPSTPSSGYPPSGNTKENVTVTVRFRPLNAREIGKGDELAWYADGDSTVRNENNSKIAYCFDRVFGPATTTRHVYDVAAQHVVGGAMEGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSSRGGNQGEEVALSQLHLIDLAGSESSKTETTGLRRREGSFINKSLLTLGTVISKLTDEKSTHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNTEETHNTLKFAYRSKHVEIKTSQNKIIDEKSLIKKYQREISCLKEELDLLKRGIMENQKVGPSQDDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKSTMPPNIHEKLGHRRRHSFGEDELAYLPDRKREYMIDEDAGSIDSEISADGREGVINLDELVKDFRRNRKRGMLGWFKLKKPENVIISSSNADTESSASGSPASSLKSLQSRVTFSDVKEGRRRSVSKRGDDAPAVDFVPDRTQAGDLFSAATGGRLPPTGTTITDQMDLLHEQVKMLAGEVALCVSSLKRVSEQTVKSPGDLQLQEQMRNLKDEIREKKLQIRILEQRMVGSVERMPQGSINIEISQALSKLATQLNEKTFELEIKSADNRVLQEQLKLKMMENSEMQETILLLRQQLVSEKSFKCQQQDADHDAATLAAYSEGSIEAKFERETGAHSYEERLTNENIQTSNMRLNKKFVHEVSNDSSVDALLNSQLLSMTAEIESLKQEKEQIIEEKEALEIHDQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYANTKLAAEKDAPCKNSCCQRSVSFDMKQNVDGGGWPDAHGRKSEDCLSVDELEQELNARHQREASLVAALYERDKIESELRKQLDETKKREEDMENELANMWVLVAKMRKSGPVSQTVSFEGSDVSNILEAKSRNDISLSKDKKVSETFENIPAVDTSEELKVRYHKERKRCKELDDLVSRLKGDDLGGLDINALEELQSLHVEAITKICRAKCLVDVL >Solyc02g093250.3.1 pep chromosome:SL3.0:2:54810025:54811933:-1 gene:Solyc02g093250.3 transcript:Solyc02g093250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGENGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATAMALPDDGKILAMDINRDNYEIGLPVIEKAGLAHKIEFREGPALPVLDQMIEDGQYHGSYDFIFVDADKDNYLNYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICQLPVGDGITLCRRIS >Solyc01g007800.3.1.1 pep chromosome:SL3.0:1:1890877:1891425:1 gene:Solyc01g007800.3 transcript:Solyc01g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHRRRIILSNVTVKLGCSSSCIRPKLSSIFHPKPRKSPKSQTQNKNYSNYSSCSSWDTTTTTFSPNSDSTTNESSDFKTSKAVQGFGRIGGESVAVEKDSDDPYLDFRQSMLQMILEKEIYSKDDLKELLNCFLQLNSPYYHGIIVRAFTEIWNGVFSLRPGVAGASSPFLHGGSHVTYR >Solyc01g098527.1.1 pep chromosome:SL3.0:1:88899637:88902919:-1 gene:Solyc01g098527.1 transcript:Solyc01g098527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGCKLPGVFGARCVEGKILSAKYARENMIPYLGICLGMQIAVIKYDRSILGLQDGNSTEFDPKPTVLGVQKRIGDIPRVLDQEERIFQVKDSKSAKLMRGTVINYVPLMPETRPAIKFLGRQITSSRGVHKYTQWESKEVTNEDDLLCRRASPSPCHCCPVRLGFI >Solyc05g012150.3.1 pep chromosome:SL3.0:5:5353525:5356622:1 gene:Solyc05g012150.3 transcript:Solyc05g012150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGSGFRLKGWQQAAVAVGSAVGALLDPRRADLIAALGETTGKPAFDRVLERMKRSPEGREILLERPRVISTNVGHAWDLPDNTFGAAYARFMGSRNFSPDDRPPVRFMETEELAYVAMRAREVHDFWHTLFDLPTNLIGETALKVIEFEQMLLPMCLMSVLGGTARFSDKQRSLFYQHYFPWALKAGARSTDLMCVYYEKHFHEDLEDVRRKWGIIPAPPPPRPNATIVVPL >Solyc12g013710.2.1 pep chromosome:SL3.0:12:4543869:4547614:-1 gene:Solyc12g013710.2 transcript:Solyc12g013710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-protochlorophyllide oxidoreductase [Source:UniProtKB/TrEMBL;Acc:K4DCQ6] MALQAAALLPSTFSIPKEGKTSASLKDSSLFGISLSDHVKSDFGSSFKIKSGRKSSLGAIRAETMVASPGVTSTPVTGKKTLRKGCVVITGASSGLGLATAKALAETGKWHVIMACRDFLKAERAAKSAGMPKENYTIMHLDLASLDSVRQFVDNFRRSGNPLDVLVCNAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLIDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLARGLDGLNSSAMIDGGDFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKFITKGFVSEAESGKRLAQVVSDPSLTKSGVYWSWNKNSSSFENQLSEEASDVEKARKVWEVSEKLVGLA >Solyc10g049800.2.1 pep chromosome:SL3.0:10:46744569:46749209:-1 gene:Solyc10g049800.2 transcript:Solyc10g049800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMATLKSGVLVKFLEDMKIGGNASELEENGKTILLQIRSIVPVLEEGDLWPNRGFYLKVSDISHAMYVSLPEEQNEMILANQLKLGQFIYVQKLEDAHPFPLIRGVTPLPGRRPCEGVPQDIDSIANVMNILQASNSDCIVEKTVISENRIIEIPSNAGKLSRGLSDPDGLKNKNDHLERKSKGKFRSLSASKVRSGERTVGLDCTAKRSDSEKRNSHLFRELQKRKKRSFDIDSDTESILSLLSFSSHNSKRRSWNESEILEVKEIFDSSVVKHDKRPPRSRSPTVSSVRSVRYDSSDDNSSSIARRREVGSAKKMKSSTKDKTSFSKINCEQASHPIDRLANDRQGAETGISWDSLPSSLVKLGKEVVKQRDTALIAATDALQEACAAERLLNSLSKFSEFHLAEQDDLQPHVDKFFDLQDDMAQTRLILQSLTNISPLRTSGEADHSTYNTSSVKEALTIAVQRKKNSSMWIKSAVALDLSPCSTTLNPIHNTMAVTNTPKKSSISNRSTKPKGSYIVKSHKNTDEIPFLSADKDEQPEWTRGSTMPTAIALASSLQNECRKLFLGHVEKYLDEVEIKASSMAVDSQIAGMMYKVKRVNDWLDVIINKEANARKDGSLDDSEIEVCQRVRNKIYGILLKHVERTAMSFGSSS >Solyc05g050430.1.1 pep chromosome:SL3.0:5:61455806:61460226:1 gene:Solyc05g050430.1 transcript:Solyc05g050430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEKLASNSLVSSALLHKDIVDLKDFVERLKNEQDQVEELKFLLACLQLCYYISDTEMSCISYEIHVLVQSLFHQSSGDDMMVKLTDHVVPRLLEDITSSKISDHHHSAAMNEDQLVELLDVLLVNLHNLPKVRVELISPSMTQYELLQNLFGNLRGFHALKVNGCVEYETIEYVLPQFQLMAEKVGHFCFVLLSSQLDKTDEEDDNEHVVSQVNSMLVDLLLKIIPVSLDVMHLCCTNFKGSKSEEVGFFIKQLLEASPDILRESLIHLQEHMVITVVTPSASTYNIHVMIEFLLIILTDRLKAVIHHDKLFVLLARVIQLTKEVFVFLRNLEENMNEACGSNLNLLENIELLKVDLKNDFLKARADSSQVPFPMSDGPLFMTLLLTNLKDLAYSNAYSVSLIKEEIKQVKQDLEIIRSLFDYAEQELHKDLWTRVLDLAHEMQHAINSILARDHGLLQLIFILPDTIEKIKLVKKQVQEKISKRSGIIVANAPNKPVERHSSSIVGKIIVGFEEETEWIIRKLTSGPDEIDVISIVGMPGLGKTTLCTVDQERNEKKLLQKIFNQVIGLKERFNEDHDIDDDVADKLRRKLFGKRYLIVLDDMWDTDILDDLMRPFPKFHEGSRVILTSRKKEIALHGKCHSDPLYLRLLRSEESWELFNKRVFGEEGCPDELKDVGEKIALKCGGLPLVVDLIGGVISKKEKKEALWAEVLNDLSSSIFKDEEEVVKVIQLSYEHLSHDVKPCLLCLATYPKDEDISMSELKDLWIFQGLEMKSAEEVVDELISSSLVIPFDDSIFKIHDLVHDFCYIKSRKEKLLHFIGGSKAPSSSDLMPRGIIVHSYKYVFSLDEYFAVFDPQQKIPYVKHLLSLKDFDVTSICLSYRSHLKHLRLLKSLDLRGITLPDSLLNEIGMLVHLKYLKIRMKAKALPPSFSNLCNLETLIVDNWVGSIILLSPCFWSLAKLRVVQMTWGAVFDPVITVLDEDLRLENLTTLHNLFLLGSEDTEDIFKRFPNLKNLQVCIREQIPKKICFPRLDVLNLYVFPLNSFPEYTHGFPLSLKKLMLKELTLTSDTLSSIARLPNLEELTLKNAIIEEGKEWNMEDHVAFQNLKSLTLSRLIFSEWNMEDHVIFQDLKYLELEGLNFSEWKVDAEKSFPMLEKLFIHGCYKLMEIPDSFGDIASLKFIGVQFSPQLKESLFKIKEYVEEINRDDKLDVEFYGWGS >Solyc09g007860.3.1 pep chromosome:SL3.0:9:1381555:1387619:-1 gene:Solyc09g007860.3 transcript:Solyc09g007860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNLFESELNFSNAKLQDYPESTAFDEIEPNTLVDLLPELPLWVMNPDYERVNWFNDFIANMWPYLDKAICGIIKSTSEPIFAEYIGKYQIKSIEFEKLTLGTIPPRLHGMKVYDSNEKELVFEFAVRWAGNPNIVVALKLLSLNISAQLIDFQVASTARATLKPFVPTFPCFSNIVVSLTKKPEVDFGLKVIGGDLMAIPGLHHFVQETISKQVARLYLWPQTLDIPILDSSIGAVKKPVGILHVKVLRAQKLLNMDFLSKSDPYVKLSLGGDMLPAKKTTVKMNSLDPVWDEDFKLTVKDPEAQVLQLHLYDWEKIGAHDKLGMQVVPLKLLKPYEKKELTLDLVNSLNPNDPQNKKPRGQLMLEMSFIPFQEDSVKFSGPLSFHERKESISSLSDDISLRGAGLLLVTVIAAEDVEGKNHTNPYAVVVLRGEKKKTKARNKTWNPKWDEEFQFMLEEAPLKDLIHIEVKSKKRRFGFRSKEVLGYVDIQLKDVIYNGRINEKYHLINSKDGILHVDIRWKVI >Solyc01g091705.1.1 pep chromosome:SL3.0:1:85176987:85178485:1 gene:Solyc01g091705.1 transcript:Solyc01g091705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYATETVNPKAYPLADSQLTTTLMDLVQQAANYKQNKKDANEATKTLNRGVSEFVVMTADTEPLEILLHILPLNGSNRGNEC >Solyc08g029345.1.1 pep chromosome:SL3.0:8:37088998:37090529:1 gene:Solyc08g029345.1 transcript:Solyc08g029345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLIQERVFVNPMHVSDMLSDDLQYTIHVVSRCSPTNVSHESPTVTSFNEVDHSESPIVDNEVSQEPATLTNVRWSVRQKLKPTWMKDFVSFTVNKDVISAAGILMHQRKYALELIAEVGLTAAKPAGTPIYINVKLTSKLYDEHVNKEQEESNDPLVDQTTYQKIIGKLLYLNMTRLDISFSTQTLSQFLQQPKRSHLDAALRVIRYLKKQHGQGLLLASDSDGQVTAFCDADWASCEVCDRVYD >Solyc01g107940.3.1 pep chromosome:SL3.0:1:95212601:95220527:1 gene:Solyc01g107940.3 transcript:Solyc01g107940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNRSILDSIPETTDLNQGSVSSNASGDPTALWNNFLNPVEDRLSNSMLSPARENRRRANGISYTTQNCSARDRGESSSSANFHEIGHSSHLKIGHDWPSSSSNHVLTNPKSEERRFGPSTVLYPESSTSGYAGSHLIGSHPVLPNLALAQSPANGNLSGIYNNGDTRLVMRPSVSSTVYTSSSRWEAERPVSGVSYNAGTSSGSSSYWTRSPDISGSSMGTRGISCKRKVLEGSSGQSCGRSSSSNTQPVNIIAHNFPSHYDASSNLNISPASASVQNTYHLENLYPRNMVGTRGGASGVAETSARNSGSGRNLGTDLGHSSVGSTLVMPRPISESNYLGPRQPISQPMNAGNSGSHSGIIHISGVPSGLHPVPWNISSNSRGGSSSSSNVVSADRYAALQDDANIGSSLRNNGEPLPFVPVPGTGNIVQNTTNWSLATSNGSYSRNTPSSSALSSGPSMQTFPTAWTPYQNLASSSTRSSSEISPWTLLPTVESESGSQTGHFPLLSSAASPVEAAEISLQSSSRRNHRNHLISSLMADFPSNDVDGWHGFVSDIEGRHRMVQENRQVLHAMQRGENRRSEDYMMLDPYVNGVAELHDRHRGMRLDVDNMSYEELLALEERIGNVNTGLSEETILGRMKERKHEPVYGGSSSNTEPCCICREEYTSGDYMGILDCGHEFHSSCIKQWLRLKNVCPICKNTALKK >Solyc03g078770.3.1 pep chromosome:SL3.0:3:52878343:52880540:1 gene:Solyc03g078770.3 transcript:Solyc03g078770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BHV3] MDPSPHVIIFPFPAQGHVNSMLKLAQLLSLSNFQVTFLVTVDTHDRLLNHTDVLSRFGSEFHLQPLPHGISMDVMNTRDGLSILYDSLNKIAKPFLREFIAYSPVTTCLIADGILSMAGDVAEEINLPIIYFRTASASSFWSYFCMPDLIQVGELPLKENAKDLTLTKVKGMEDFLRGRDLPSFCQASDVTSPDFRIVMTETRQTPRARGLILNTFEDLEGPILSQIRTVCPNVYTIGPVHAHLKAKLVTKSTSSNSLWQEDESCMSWLDTQPPKSVIYVSFGSVAGLTKEELLEFWYGIVNSEQKFLWVMRPDLIIGQERKDEIPVELEQGTKARGYMADWVPQEKVLAHRAIGGFLTHSGWNSTLESIVEGVPMICWPRFADQQVNSRFVGEVWKMGLDIKDTCDRDIIAKSITDLMEKRNGEFSQRTENMASLAKKAVNEGGSSYINLDRLMQDIRSMIPPHKQT >Solyc12g096510.2.1.1 pep chromosome:SL3.0:12:66380418:66382196:1 gene:Solyc12g096510.2 transcript:Solyc12g096510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKQFHAQIVKHGLSHDNDAMGRVIKFCAISELGDLSYALQVFDKLPEPDPFIYNTVIRGLLKSQMLRDSLLFYLCMLESSVRPNSFTFPPLIRVCCIDNAVEEGKQIHGHVVKFGFGFDRFSQNNLIHMYVSFRCLEEAKRVFDNMCERDDVSLTTLISGYAQLGYVDEAFQVFDSIREKSCVIWNAMISAYVQSNRFHEAFALFERMGCEGVVVDKFLAASMLSACTRLGALKQGEWIVEHVKKSGIELDSKLSATIVDMYCKCGCLDKAVEFFQGLSRKGISSWNCMIGGLAMHGEGEAAIEVLKEMESERVAPDYITFVNLLSACAHSGLVEEGKHYFRYMKETYGIEPGMEHYGCLVDLLGRAGLLVEARRVVNDMPMSPDVGVLGAFVGACRIHKNVELGEKIGKQVIELEPQNSGRYVLLANLYANAGRWEDVASIRKLMNDRGVKKVPGFSIVELEGVVNEFIAGGRTHPQAEEIYVKANEMLECIKSAGYSPDSDGMQYDIDEEETENPLNYHSEKLAIAFGLLKSKPGDILRITKNLRVCKDCHQASKFISKVYNLEIIVRDRNRFHHFKGGECSCKDYW >Solyc01g095770.3.1 pep chromosome:SL3.0:1:86832608:86838900:-1 gene:Solyc01g095770.3 transcript:Solyc01g095770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNEEKYVRFEHCKSEENGMFSVRKSSISSCISTIRRGTARGSNRICGLLRKSLCTPFLSDSSGEVSGSSRNKILDPQEPFLQFWNKVFIFACIISLAIDPLFFYIPVIDNKRKCLDLDRTLKIPISILRSVSDLIYIYHIILQFRTGFITPSSRVFGRGELIQDSSLIAKRYLFPYFIIDVLAVLPLPQMVLFIIAPNTNRPISLVTRKQLVIVILAQYIPRSLRIYPLYKEVTRTTGFLTETAWAGAAFNLFLFMIASNVVGAFWYLITIERQDDCWRKVCRGIKKCVLDSICCGHLGKANTQFLNSSCPLLKPEDVQEHDFDFGIFLDALHSRVAEKRNFWSKLSYCFWWGLRNLSSLGQDLKTSNSVWEILFAVFICIVGLILFSLLIGNMQEYLQSITVRVEGMRLRRRDAEQWMSHRMLPENLRERIRRYEQYKWQETRGVDEDYLISNLPKDLKRDVKRHLCWSLLKRVPMFEKMDEQLLDALCDRLKPALFTENSFIIREGDPVSEMLFLMRGTLLTMTTNGGRTGFFNSASLKAGDFCGEELLTWALDPHSSTTLPSSTRTVKAVIDVEAFALTADDLKFVAAQFRRLHSKQIRHTFRFYSQHWRTWAACFIQAAWRRHCRNKLEKSLKEEEDRLQAALANETANLPSLGATIYASRFAANALRALRRNHPRGSKSFTRLSPLLLQKPAEPDFSS >Solyc10g044783.1.1 pep chromosome:SL3.0:10:27819975:27822165:1 gene:Solyc10g044783.1 transcript:Solyc10g044783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMELFPSSHAFPFLPRAEELTISFIRAEDRTEDRAKDRTAKLIELTELSLLRAEDFDKNSVTYLHRVCITDL >Solyc01g103180.3.1 pep chromosome:SL3.0:1:91724192:91729928:1 gene:Solyc01g103180.3 transcript:Solyc01g103180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLVHFSDSVCIEQYLDKFIMSEEAGHMMGLYGDPSDQRSLSLDDTSSTEESPDQTRLSLETTNDAVPYIGQRFPNHDAAYEFYAEFAKGCGFSIRRHRTEGKAGVGKGLTRRYFVCHRAGNTPVKAANDSKPQRNRKSSRCGCQAYMRISKTTDLGVPEWRVTGFMNHHNHELLEPNQVRFLPAYRSISDADRNRILMFAKTGISVQQMMRLMELEKGVEPGYLPFTEKDVRNLLQSFRKVDPEDESIDLLRMCRNIKEKDPNFKYDFSLDSNNRLENIAWSYASSIQSYDIFGDAVVFDTTHRLTAFDMPLGIWVGVNNYGMPCFFGCVLLREESLRSYSWALKVFLAFMNGKAPQTVLTDQNMCLKEAINLEMPTTKHALCIWLVVAKYPSWFNAVLGERYNEWKAEFNRLYNLESVEDFELGWRDMVNSYGLHTNRHIASLFALRSLWALPYLRSHFFAGMTTTGHSKSINAFIQRFLSAQSRLAHFVEQVAVTVDFKDQTGEQQTMQQNLQNICLKTGAPMEAHAATVLTPFAFTKLQEQLVLAAHYASFQMEDGFLVRHHTKLEGGRKVYWVPREGIVSCSCHQFEFSGILCRHALRVLSTGNCFQIPDRYLPHRWRRISTPATKALQNTQSDHTERVQLLQSMVSTLVTESAKSRERLDIATENVSILLSRIREQPISSLGIRESSSNQRNL >Solyc08g048300.2.1 pep chromosome:SL3.0:8:13888904:13889602:-1 gene:Solyc08g048300.2 transcript:Solyc08g048300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTVFSTSISKREEAVNRLGADKFVISSHEQQMMEPRDIPFDTYLSLLRTAGVLVLVGLPSEVKFIPGDLILGMKNIVGIVTGGTKQTQEMLDFCASHKIYQQIEIYVNEALERLIKKDIKYRFVIDVANSLK >Solyc09g010170.3.1 pep chromosome:SL3.0:9:3548785:3552104:-1 gene:Solyc09g010170.3 transcript:Solyc09g010170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSILLQILACALYSNWWPMLSALMYVVVPMPCLFFGGGSTQFLISRDGGGWMDAAKFLTGASAVGSIAIPIILRHADLIQTGAMFIEFVSFFIFVCTVMCYHRASLDDEW >Solyc07g053495.1.1 pep chromosome:SL3.0:7:62065458:62066717:-1 gene:Solyc07g053495.1 transcript:Solyc07g053495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGFAPYDELLEGKEKILKKCDHLVQFVYDEEAHEKRRFDELLAEAEAFFIPNSLSNISDPNKPKSMEGDIIDLLLQFKKEKSTPINIKALDMNVIGSGTSASTIVWAMTSLMKNPKAMKKVQSEIRKLVGKKKKGL >Solyc06g072050.3.1 pep chromosome:SL3.0:6:44544451:44551102:-1 gene:Solyc06g072050.3 transcript:Solyc06g072050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSQGGGSSSRRSISLTNSSSLAKKKAAAENEGVQPDSAHRKSISVSRSMGLTGERTVKRLRLSKALTIPDTTSIYEACRKMAARRVDALLLTDSNALLCGILTDKDIATRVIAPEVNIQETPVSKIMTKNPVFVLSDTLAVEALQKMVLGKFRHLPVVDNGEVVALLDIAKCLYDAIARLERAAEKGKAIAAAVEGVEKHWGATGSSSNTFIEALRERMFRPSLSTIISENSKIVTVEPNETVLATAKKMLECRISSAIITVDNKPRGILTSKDLLMRVIAQDLPPESTLVERVMTPNPECASIDMPIVDALHTMHDGKFLHLPVVDKEGTVVSVLDVLHITHAAVATVGNAAGVNNEAANSMMQRFWDSAMALTPDDDDETRSENSLKLASEGTETGRSIPYPSSSQPTSFSFKIKDKKGRMHRFNCDIQNMTDLIAAIIQRVGDDIDRTNLPQILYEDEDHDKVVLASDGDLTAAIDHARSSGWKGLKLHLDYSGTTGGSLDYAHPESAWASAYSTVAAGAALVAGLGVLAFLRRSGN >Solyc02g092100.1.1.1 pep chromosome:SL3.0:2:53945364:53945735:-1 gene:Solyc02g092100.1 transcript:Solyc02g092100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTPRCGVDFLWCQKSSIVTPREEPEKSITNYGTVVSKRVDGVATRLISGVASAFFVSLERCSCVYIDTKHDSDHDVGESCTLMIPEVNVGQLNNIANPLFAHEEKHKHDQRNVSGIYNIHG >Solyc01g007910.3.1 pep chromosome:SL3.0:1:2040541:2048274:1 gene:Solyc01g007910.3 transcript:Solyc01g007910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4ASY9] MARQATKLIANLSKKLSSSNPTLAAQNRLFGSAPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVEEAKAETKANASVIYVPPPFAAAAIMEGLEAELDLIVCITEGIPQHDMVRVKAALKKQSRTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLEKFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALKEAGVTVCESPAKIGVSMLEVFKQRGLV >Solyc02g077190.2.1 pep chromosome:SL3.0:2:42750301:42771321:1 gene:Solyc02g077190.2 transcript:Solyc02g077190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQKRASSTVKAKENNSLLDLDIGNDFLKSFKSVSMGEDDAMDFDFGPISKNKKKTFNFDKEDMDFTLDTDFGKISSFNVDMSEFDIYPPSKKDQKSKETSKEESTVAVNKKKGDGFNFSFDFELDNFRFGSSQESNGKAKNNQEKDCFNFGSSQEREKAKNNQEKDCFSFGSSQESREKAKNNKEKDYFSFGSSQESREKAKNNKEKEFSSSKRSGNEGSGSHLNKDIGLLEEGTVQKHTASEARVTSIVDSHIDIDKSHGTTKHSLSSNNTMSNNEILKLQSAGYEVSLEKEKSLLQEKISTSTRETVCQVEEGSSLISQSESRRNNSSSLQEHVNSVAADVSLLGVGTDSNKGVLLDSDTNYDKSVLENFSLEDVATSMKDASDRRNFDSDKHVLMTNKDRTETHSNSMSNATEEKMRDMKVCNNIQSPTSEPSASSLSKIVAEKLTVEKRRETGVIRSKFFMPSIKPAAQMQTTTSLTETNVSAFSNKRIGPIPQSRPAEIISQDNKDDDTGSKAGFASDSRSLPKINPLQTGSQEGCQVLGTSIKGSQADAAKNIKTSTAKSAPQKSKASSKVLTFSSGVNLPSKTQQIAASVPCSIAIPRNIAPIPTAKSTLNQGDKTLPIKAARNLLETSRLKISAKLGTNPDTPQTVLQKNLKSSKTVDQHGGFKAILQAKDNFKETLKQTPVYLSMKRKVSETNSDFMILLPSKRLAQSPNGSRGSSEGTESIFNKQVNDHDHSVNGNKTIEHEDCQISLRDVPTRMNTKELGSPSVIEDEDNIKKAQTLSKDLEDICNMLRKKQEEAKELLVRAVVNNNKLLLLNHPIYREKIHRVQKFAAELMTRNHQIESQ >Solyc01g057135.1.1 pep chromosome:SL3.0:1:59141041:59145512:1 gene:Solyc01g057135.1 transcript:Solyc01g057135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLDTDCSNHFSGEKYAFPELDETFHPAVKFGDDSPFLSKGKGRIRDSNLGLIAEAKMTAYKFFPLDINKIGSTIFVMGRKSNNQQLLLDLDDGDKEQEQPVVDEDDLIYTQSDPVFLENFKVSIMTEFDMYDLGLMHYILGIDVKQSSSEIFISQKKYAQETLQMYMEAPKDIHLLSTKRFLAICKVSLTMKEGMSELIVFTDSDFAGDKEDRESTLCYCSKKQPIVTLSTTEAEFVAATVCANQAIWLKNILVKLHVRQQEPFTAMMSQQLSFQRI >Solyc07g062907.1.1 pep chromosome:SL3.0:7:65668961:65673854:1 gene:Solyc07g062907.1 transcript:Solyc07g062907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVHPYGKTYKCKFCDAKLGHVGSLVSKDLEGKTFNCKFCKTKLARADQLITKEISWERFFPNWIVHDAKDSSFLRVLKAKLHLGSGGLGMQLFFEDRHLAI >Solyc06g019200.3.1 pep chromosome:SL3.0:6:18182481:18186872:-1 gene:Solyc06g019200.3 transcript:Solyc06g019200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPAVLPCSFNRLQCSTPPAHLLRLVIRKKGRLVCRLSHDNNKEDDSVQDNNNNSHQDNDQPLENTSLENNDGPQLNGLTGFEPWLKQDDTDDQLASESPLPGVKVVDYLLDTLFIMLIFFLLLILFHKQPQQLDESIRIPKETVEILRNQVFGFDTFFVTSQEPYEGGVLFKGNLRGQAAKTYEKVSKRMQEKLGDDYKFFLLINPEDDKPVAVVVPRMTLQPETTAVPEWFAAGAFGLVTVFTLFLRNVPALQSNFLSVFDNLDLLKDGLPGALMTAFLLGVHEVSHRLVATEVGIKLSIPYFVPSWQIGCFGAITRILNVVPNREDLLKVAAAGPLAGFCVGFILLLSGFMLPPSDGIGIIVDPSVFHESFLAGGIAKLYLGDVLQEGSPISVHPLVIWAWAGLVINAINSIPAGELDGGRIAFAMWGRKASARLSALSIGLLGICSLFNDVAFYWVVLIFFLQRGPIAPLSEEVTHPQNKYMALGVVVLFLGLLVCLPYPFPFSNQPAL >Solyc01g066850.3.1 pep chromosome:SL3.0:1:74874429:74878064:1 gene:Solyc01g066850.3 transcript:Solyc01g066850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFKCLISSSCLKAWDDNSIKRQIRSVDRLGNTQMKSYVVYYPIFIMLAIDFAFAISNILLKKIIMDGMNHLVFITYRQAISTIFLAPVAFFLEKNTRPKLTPQIFCNLFLSAIVGASVTQYLFLLGMEYTSATFSCAFLNMVPVVTFLMALPFRLETINIKHGSGIAKALGTLVCVGGAFLLTFYKGMPLVHFSDQQSVSSSLKGDISSSKLKERWILGSLALFGGTLLWSSWFLLQTFIGKKYPCKYSSTVIMTFFSAMQSAVLTFSMDRRLSIWVPKEKIDMLSILYAFNKYIYKDMMQFITGLIGSGMCYVGMSWCVKKRGPVFTAAFSPLVQVMAAIFDVPILHEQLHLGSVIGSAIVIAGLYFLLWGKNREMQKVVHETEEKKEKELPFKDLETNSEPRIP >Solyc11g011502.1.1 pep chromosome:SL3.0:11:4560871:4562894:1 gene:Solyc11g011502.1 transcript:Solyc11g011502.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKGRRRCRSLPPDILSNLPDNIIDVILICLPCKEAVRTNILSKKWRYHWRRLTELTIDQSHWSTEEDLLYPTSKFLKIVYQLLTLHEGPITKFTLNITKLRSCPEIDFFIYLLSMNGIQHLVLHLPWNEDEGEAYKLSSLFTCLQLRHLALENCFIHPPSNFQGFDRLITLELCDVTISSELLGSLISHCSLLEKLVLVISQVPVSNMIEINAPKLKSFDFSGCISYISLTNVPLLTKVSLDLCEGSSLEAHNFHFVKFFKSCFALEHLDFQFCFEEIDIAKPDEAPTRLPFDHNRVKRLCLPGIVLEHTYETLCCFCLLRSFPYLEYLEIEVSNDGDGCGDVAHKVLILRKYVDTPSVLIVEV >Solyc09g072630.3.1 pep chromosome:SL3.0:9:65681895:65683872:1 gene:Solyc09g072630.3 transcript:Solyc09g072630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSKLSVLVLYLCFYANLIIFAVSQPSALNIVEQEAVYNVLESINSDVSWRSLFPDDLCSSSPHGVICDYFVSTTNSFTPHVTEISLGYVSDYAPNPPCTPNSTLNASLFAPFSYLRKIFVYKCFTENEVSLIDFGSLPSSLEEVVFLENPGLVGSVSDKVGSLMSLRRLVLSGSGVCGEIPYGVGGLVNLEQLTLSRNKISGEIPVGLMTLKKLKILDLSQNELESSVLEPIGNLTQLLKLDLSYNKLTGKIPQSLKGLKSLEFLDLSYNNFSMPGVPLFLAEMSSLKEVYLSGNFLGGEIPEIWENLEGIVGLGLSKTGLIGNIPVSMGVYLKNICYLGLDNNKLEGALPEEFGALDYVNELHLENNNLSGRLPFSPKFVSKVGEKLKLGGNPQLCVDQGLRIPNCINDKKSYYPWKNLLRSKCDMDTR >Solyc11g010610.2.1 pep chromosome:SL3.0:11:3669465:3677460:-1 gene:Solyc11g010610.2 transcript:Solyc11g010610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGSLQLSHYWGTCKNHERLKKYSPIQNTLGRNRWRSCCTNLSSFSSRQDSWSIHHSRGLQVKKHVLPYRSNLLKCNSFLKPDQAFDISVKNAAIILKRSYNSLQGSPHLLKLLPGIGILTFAVWGLAPFLRRSRNILLHKSDNSWGKSGTYHVMTFYLQPLLLWTGAMLVCRALDPMVLPTEASQIVKQRLLNFVKSLSTVLASAYCLSSVIQQAQKFFMETSDANDTRNMGFQFAGRAIYTAVWVAAASLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGEDREAIHIPNHKFTVNVVRNLTQKTHWRIKTHLAISHLDVSKINNIVADMRKVLAKNPQVEQQRLHRRVFLENVNPENQALLILISCFVKTSHFEEYLCVKEAILLDLLRVIRHHRARLATPIRTVQKIYSDADLDNMTYDSAFSRGAASTRPLLLIEPSYKVNGEDRTKGRPIRVNGEEDTKEKATMKPAPDSKVETKSRPASDPKIKETLPANSNGKDVPLSDLKLDPKVDKMAHAESKDDIKASSDPKSSKATVKNTSQPVPKAELKSAEVGTSDSKDPPENISSNKQVEKVSQGIGRTTNVVDNSATSPSDVREKTGNVPSTSLPKREDEKQQVSQPSVPSKPALEENIVLGVALEGSKRTLPIEEELSPPPNPAESKEMATSRSSSNASTIAEKDKQDGQRSNRPNSTAPDQSSKDN >Solyc08g065193.1.1 pep chromosome:SL3.0:8:53040163:53042673:-1 gene:Solyc08g065193.1 transcript:Solyc08g065193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPDHDSQDVNNQDANDNNVRGSSIGSFPILFMCLILKNSLDYDNLSHSYQYCIANISADTDPCSYNQIVFRIILVTGSNLQLINDTIQVLQNNFKIKDLGSVRYLLGIDFARNSDQRKYALEIILDLGLASSKHVATLVEMNVKLTDALLPDIDDDLRVQEFFGLPNHMLYWGSCLDTRRSITGYVIKFGESLISCKSKKQPTISRSSTEAQYRIMAEVTGLIGLFKELSLPLALPLILLLKKSAAIRSTKAMYTRIPADMELRIPSTTKALGLCGS >Solyc09g065680.1.1 pep chromosome:SL3.0:9:64147129:64147421:-1 gene:Solyc09g065680.1 transcript:Solyc09g065680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPADSRAAKDQVGISHVSIKKHLHCMGIRSSIEKKLKGMVIIGFVRRKGIKGKCLKMGWDRIEAFVIWL >Solyc03g071840.1.1 pep chromosome:SL3.0:3:21036270:21037013:-1 gene:Solyc03g071840.1 transcript:Solyc03g071840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLPKWFLLITYIFTFVQVSAVSLTYLQPTNIVLEKRFSDTKKDEFSIRNVVRRLISRSLSVIIATTLPAMLPFFGDIMALFGAFGCIPLDFIFPMVFYNVTFKPSRKSIIFWVNTIIAFVSTVLSLAGAVASVRQIVLDANTYSLFVNM >Solyc11g045680.2.1 pep chromosome:SL3.0:11:28270764:28271405:1 gene:Solyc11g045680.2 transcript:Solyc11g045680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKSSTSSSTSKSTKPRKNNGTSNQSVKQKTEEQQSNFRYLGVRRRPWGRYAAEIRDPNTKERHWLGTFDTAEEAALAYDRAARSMRVNNKSNKPTRTNFVYSDMPHGYSVTCIVSPDDQYQHHHHHQQQQLLIFGQTENNPAPNVDYGAHFSHFSLSNMNNIGGDSYDDGEFALQHYCNPNYDVENIL >Solyc01g079390.3.1 pep chromosome:SL3.0:1:78333325:78341795:1 gene:Solyc01g079390.3 transcript:Solyc01g079390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDKSLTAALDSFDNLFCRRCLVFDCRLHGCSQILIDAIEKQPYSSDSEDDRKPCGDRCYLKVKGVANQTKYSNVDPVEGLEKHTSEAGGSTMDIKRTRDPDEHIDSKMKHGVSDSINTTLEKSNLVLDDQQDSSGKRRKLSLPTAVSVAAEDGSESNGMSISTNDYVSHSQAPDQSGYNHGTSLHETGDNVSNEGEDTIKETVKHASYSKNLPEWKPLEKELYLKGIEIFGRNSCLIARNLLPGLKTCMEVSSYMDNRAAAQRGGSSSLFSEDNGKADMDYMELDIPTKSRFLRRRGRTRKLKYSSKSSGHPSIWRRMADGKNQSCIQYNPCGCQPMCGKHCPCLQNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPRQGEGQCGNMRLLLRQQQRILLSKSEVAGWGAFLKNPVYKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQYVLDAYRKGDKLKFANHSSNPNCFAKVMLVAGDHRVGIFAKERIEASEELFYDYRYGPDQAPIWARKPEGTKRDDSPAPLGRPKKHQ >Solyc08g078300.3.1 pep chromosome:SL3.0:8:62222506:62225399:-1 gene:Solyc08g078300.3 transcript:Solyc08g078300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKEDLGLSLSLSFPDNNNNNKKKNTQLNLSPFNLIQKTSWTDSLFPSSDRNIETCRVETRTFLKGIDVNRLPATGDADEEAGVSSPNSTISSVSGNKRSEREANNCDQEEHEMERGGSDEEDGETSRKKLRLSKDQSAILEESFKEHNTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAGPPPSSSGPTSTPMGQAQPRPMPFNLWANA >Solyc08g078760.1.1.1 pep chromosome:SL3.0:8:62613735:62615945:1 gene:Solyc08g078760.1 transcript:Solyc08g078760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNMNEASCDNPYCHMITKACNKRCYNESFSSPMPMIGIYVAAASLLCILAMAADAFQAFRVKRLWFPCKFFALNAASLTVLAVAMKLPVEISEPMPGHTDQLSKLASTVFMCVVIGNFMPSIGAMSNKEILSNVVALDILVITIIVNLFIEMHTGLIVNLKPEFIATIFLMFLLILVLSFSSLTLATTKKILELNYKEAYQITLRNDALAFGRLSIDRLRDTVEKCWMMVETSNPQFVMARSVTFSTSGTICVLASLILMEALIRIYNSPPYFPNSSTYEWTTSVILIVQSIGVVVGTVAPALRWFICISFKRSNKTGNANANFFKVEDYWIRKLVEWKEKPLSLNIRGTKFRKYLQGTRNLILAFSIRSQILVVSMSKFVRFVSVVSFNLMFLCQLQGPNYHQSEPEHMRETELHHYVLLLEGEEELPERIFKNISGNASRLVDTGRKRQPTQLVNLLRKSSSFSGVADIYCHQVPVLHSVEPSNCWTISLVTLTSITVALPKVRDQMDYQLIRSVHEGLTYASFIEETMYPEGEFTNIRNAAHIVWEELEIHQKWLDEDLQKPTLEGKTPRETLEMLTGIASKKVMDFKQTTDGRILDNPLNWPVRIIAANSMYKIGKAILLLLHSEGHNGTVHEKLFERLSITIADILSACFTNLPRAITMACNSGAIEDREKNVHRAALLVGKTEEILKIIQYHELPNINADQSAYIDQSNLTLQPTNLVPVIPFNSEIQI >Solyc01g104250.3.1 pep chromosome:SL3.0:1:92584795:92590484:-1 gene:Solyc01g104250.3 transcript:Solyc01g104250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAGWASLPSNPKFHQTVSTWGPTTTYIITNAAALRLGDALHRRLQSSIKHIFCHVHGPILKDACHKWTLHVTGFNILQWSQDVFSAEDMSLLFFSADESRRKFRKIQNSYSKSSRSFFSSERKWTNIFLALNILIYIAQVATEGKLLFWGAKINSLIDKGQLWRLITSSFLHTNIVHLMVNCYSLNSVGPGVEKVSGPKRYVALYLTSAIASSAMSYWLSKAPAVGASGAIFGLVGSFAVFVLRHRGMVKGTEGDLRYIANVIVLNLAIGLMTKGIDNWGHLGGLMGGAATSWLLGPAWEIQSISSEGRRVFADTAPIFSLIPTKRDKS >Solyc05g009790.2.1 pep chromosome:SL3.0:5:3973487:3988403:-1 gene:Solyc05g009790.2 transcript:Solyc05g009790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSISSIDQESTTSDSLSIAPAASSSTMIKSSTTIKLPPESGLCRMGSGTSVIIDAENGVEAESRKLPSSRYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEENEAARAYDIAAQRFRGRDAVTNFKPLLENQESDDMEIAFLNSHSKAEIVDMLRKHTYIDELEQSKRLFGFTKDGMIKRKDGLVISSFFGSTNDKVNCKAREQLFEKVVTPSDVGKLNRLVIPKQHAEKHFPLQNGNNSKGVLLNFEDLNGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVSFQRSTSGDKQLYIDFKAKNVGNTSMVVTNQVQAQVQVPLVQMVRLFGVNICKVPANVSNVIILGGFCFEVFTEFGSFVGNNANFSKKLVIYAKRLSGLEEALRIRRERELRGSTTATRRPPLRRGRVSQRLPVPDDIPKPPYVGSRELPEISSEHQIHDAEGIARMRAACELAARVVDYAGTLVRDPKCTLDVSTQKFICYHLITVAHSASLIPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFFCGDVSESIKRLVKVTEECLHYGIAVCRDGALYRKIGKRISEHAEKFGYGVVDRFVGHGIGTVFHSEPLIFHHQPILTLGSTECVTWEDNWTTLTADGSPAAQFEHTILITKTGAEILTTY >Solyc06g082810.3.1 pep chromosome:SL3.0:6:48529208:48532806:1 gene:Solyc06g082810.3 transcript:Solyc06g082810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGFHKMNQLSNGFSCLNLDVADSQDDTKTLGETETVNGRGKAKEKDDNSGEYELPLVWIDLEMTGLDIEVERILEIACIITDGNLTKSIEGPDLVIHQTKECLDNMGEWCQTHHAASGLTQKVLESTITEEEAEKQVVEFVKRNIRTYTPLLAGNSIYTDLLFLKKFMPNLASLFSHVLVDVSSVKALCFRWYPRDNRKAPKKQNKHRAMDDIKESIAELKYFKEHIFKVSKSKK >Solyc09g014973.1.1 pep chromosome:SL3.0:9:7338369:7343276:-1 gene:Solyc09g014973.1 transcript:Solyc09g014973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTCSSRCRLKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAWKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTTSAANIHLTAMFAPQSEEEKEYIGTSDIGLIYGGDTQCLVTGYSDSDYDGDVDTRRSTGYVFTLGGSVVSWKTTLQPTVTLSTTEAEYMALTEAAKEGIWLKGLDEANQY >Solyc03g044150.3.1 pep chromosome:SL3.0:3:8239944:8242972:-1 gene:Solyc03g044150.3 transcript:Solyc03g044150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNTAKPRKMLRFVVLSLLFLLGLCHFSAGMNMMKSNFIVHMAKSQMPESFEDHTHWYDSSLRSVSGSAEMLYVYNNAVHGFAARLTAEEAESLQNQPGILSVLPEMKYELHTTRTPSFLGLDVSADYFPESNAMGDVIVGVLDTGVWPESKSFDDTGFGPIPASWKGECESGTNFTSKNCNRKLIGARYFAKGYESTLGPIDVSKESKSPRDDDGHGTHTSTTATGSVVQGASLLGYASGNARGMATHARVAVYKVCWVGGCFSSDILAALDKAIDDNVNVLSLSLGGGNSDYYRDSVAIGAFAAMEKGILVSCSAGNAGPSPYSLSNVAPWITTVGAGTLDRDFPAYVSLGNGKNFSGVSLYKGASSLSKMLPFVYAGNASNMTNGNLCMSGTLIPEEVKGKIVLCDRGINPRVQKGSVVKAAGGAGMVLANTAANGDELIADAHLIPATSVGQTTGEAIKNYLTSNPNPTATILFEGTKVGIKPSPVVAAFSSRGPNSITQEILKPDIIAPGVNILAGWTGAAGPTGLAEDDRRVEFNIISGTSMSCPHVSGLAALLKGAHPDWSPAAIRSALMTTAYTVYKKGGALQDVVTGKPSTPFDHGAGHVDPVAALNPGLVYDLKADDYLNFLCVP >Solyc03g006270.3.1 pep chromosome:SL3.0:3:888650:891077:1 gene:Solyc03g006270.3 transcript:Solyc03g006270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRADEDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSQESKSTIGVEFATRTIHVDDKIIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITRHVTFENVARWLKELRDHTDQNIVVMLVGNKADLRHLRAVPTGESSGFAERENTFFMETSALEALNVENAFTEVLTQIYRVVSRKALDIGDDPTSVPRGQTINIGKDDVSAVKNGGCCSG >Solyc01g104417.1.1 pep chromosome:SL3.0:1:92691935:92693403:1 gene:Solyc01g104417.1 transcript:Solyc01g104417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAKRLTILHGSLTQQANPFQTFHHQARSTMLYETPLWRPGPTEKPVLCNACGLRWRTKETLDDYVPKHGSTDLPSEMKPHLLSRDDQKLEVGEEVSEQDGSSACFEEEMIKILSLGSAGSSPDKSMQMEETNGVQYSSLQPERSLEALKGPPKVYLGVHIWEQQGFVR >Solyc02g094445.1.1 pep chromosome:SL3.0:2:55605031:55610229:-1 gene:Solyc02g094445.1 transcript:Solyc02g094445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDIFPLKRKPQAEEAIAIANAHEDDVNKKQKLSITDSSPHAQENKVDGKGKLIEDSDDDDSSDFESESDVDTDTDLSDDLLAEVDLGNIIPSRTRRRTHQSGLKISDDPVKGVLNSTETYKKEGIHDQVVTAWFAPNGSNLNLGMISSVGSSTHHIIDWTWPSLSSIIRPAKYFAPLNNEMAQSKHWTLKGSQSGRQIEPQLRSQKSRNHISPSISVNKLQLLVFERLPSQTSSPGAYQLEIFLIKHKGLKQQTRRVSKSLYLAIPYPPLTNQREKVPFSLRSHSAISSICI >Solyc06g065470.3.1 pep chromosome:SL3.0:6:40970260:40980529:-1 gene:Solyc06g065470.3 transcript:Solyc06g065470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRRATSENTTGRSIPHVNGHFNYGAGTVYQSHRLPPQANNDSMQSPLGESTENQPSEPVFSFPELNAASHGVEMDAINDGIPRLSRALSNKTRPTRSKQVAMAKVSEVSSLLGRAGTAGLGKAVDVLDTLGSSMTNLNLSGGFASSMATKGNKISILSFEVANTIVKGANLMYSLSNENIKHLKEVVLPSEGVQLLISKDMDELFRIAAADKRDELKIFSCEVVRFGNSCKDPQWHNLDRYFEKLESELTPHKQLKEEAETVMLHLMTLVQYTAELYHELHALDRIEQDCRRKAQEEDTSNATQKGDSLAILRAELKSQKKHVKSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHAAFGNSAHDADSFLPIRKDGDRPIKNNHQRLGSAGLALHYANIITQIDTLVTRSGSVPPNTRDALYQGLPPSIKSALRFKLQSFQLKEELTVQQIKAEMEKTLQWLVPMATNTTKGRSGQLYYISGYSKAFGLLKGVALDFSSLNFCPFCVKRAHHGFGWVGEWANTGKPAGQTDLLRIETLYHADKEKTEAYILELVVWLHYLVTQSRNSTNGGIRSPVKSPSCYPNQKMNQLTHKPSSPSSTLTVEDQEMLRDVSKRKLTPGISKSQEFATARTRLSKFHRLSKSSNHSPIRETRKDPFPVRRLSSVPVIDFNMDRLKALDVIDRVDTIRST >Solyc06g069800.2.1 pep chromosome:SL3.0:6:43578559:43580052:-1 gene:Solyc06g069800.2 transcript:Solyc06g069800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQLSMKLLIDTKAKKILFAEAEKSCVDFLFLILSLPVATVIKLLKKKGMNHGCLPKLYDSVENLSDTYIHSKDSLLKPKSSVIGIASIPFLSIDDVPTTHKTFYGCSNYCHNTFSDCPSAKCTVCNCLMSRSLTYVAPPIASGAVASTSGFVKDVVTYMVMDDLVIKPMSTISSITLLNKFNVKDVGVLQEEVVHFGMEEALKLLKASFESKTVLTSVFMSAIKLSK >Solyc01g088620.3.1 pep chromosome:SL3.0:1:83247500:83250841:1 gene:Solyc01g088620.3 transcript:Solyc01g088620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVKKLCCFQYFALSSLLLAVLVSSKHHGNSANDLVDIINKNRTGQKLPQLSNSRGLGCIALQYAKECMGNCSSSKSVNCHPSEDDFTEIFAPNCGVELPTFGTISGFILGCQPKYLEPLEAFSNVLVHDKRTLSLLKNKTHTEVGVGIIKAHKHKGPYLWCILFSSSQRNTTFVLDNLGEGIKQKKGCYSGTSFPCSRAHRDEGLFSNKIGIMVLLCVFIFFQESLLKLL >Solyc06g009640.2.1.1 pep chromosome:SL3.0:6:3583765:3586420:-1 gene:Solyc06g009640.2 transcript:Solyc06g009640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPHLVSSGSDADQRYAKFDERKRKRMESNRESAKRSRMKKQQRLEELSSETTQLQNQNSICRDKIASVEMNYRSIDAENNVLRAQLAELTERLNSLNSLTQFWADTTGFPVDIPEIPDTLLEPWQLPCPIQPITASDMFQF >Solyc02g065540.2.1 pep chromosome:SL3.0:2:37263772:37266409:-1 gene:Solyc02g065540.2 transcript:Solyc02g065540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSTSTTFENSSSSGNGSNDAGDFECNICFELAQDPIVTLCGHLYCWPCLYRWLRLHSQSHECPVCKALIQEQKLVPLYGRGRTSTDPRSKPVPGVEIPRRPAGQRPETAPPPESNTFPNPGFGLMGGLFPGATASFGNFTMSAGFGGLFPSLLSFQFNGFQGPAAFGAAPNYAFGYPPAYHGVNVHNAAAHPSQGQADNNLKFMFFKVGVSIPSLKKDKK >Solyc10g018192.1.1 pep chromosome:SL3.0:10:6970250:6970787:1 gene:Solyc10g018192.1 transcript:Solyc10g018192.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSLNYLTITRLDISFAFQQCILGTFTRGLFFRSCSPVRLNIFSDSDCARFPDTRYSVSGWLMFLGKSLISRKSKKKDHGFRGLLNEIGFPRSNSCSVHHDNAIVVQIAMNIAPYERTEHINVDCFYKQETVDKGVIILP >Solyc04g080970.3.1 pep chromosome:SL3.0:4:65113432:65114982:-1 gene:Solyc04g080970.3 transcript:Solyc04g080970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTIMSGFFTLGGGGGGGGNKSQPQQEQDQLATNSLLLFKDDEIYNKGFELWQQYYQLHQQRAHPPHHQAQDVDFSVGVGPSCNRRIISTGSSSSSGGNNNIVGGGTDDHHHHQNITNHYTNSSSYRSSSGFRVMRPSSSGGAGGGINCQDCGNQAKKDCSHLRCRTCCKSRGFQCNTHVKSTWVPAAKRRERLQQLTAIQQNQQQQTQLLSLRADHHSNIPKRPRENPSSSSLACTRIPNILSGLELGGHFPSEVSSQAVFRCVKVSAIDDADDQYAYHTAVNIGGHLFKGILYDQGVEGRYSGGVGGGGESSSGSGAAQQALNFITGATTSTTTAVATTSHQHQPAVTMYDPSVYPTPITAFLAGTQFFPPPRP >Solyc01g068180.3.1 pep chromosome:SL3.0:1:77094412:77098676:1 gene:Solyc01g068180.3 transcript:Solyc01g068180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSAQSKPYFFYGHRKPTQHRPTVQGGLFSNRQTINPNLTTKNSPSPVTQGDFQLQKWDPDEVSGQKSRDPSQEFFSLAQRLSPIARYIVDSFRKHGKWGAPLLADLNTLRRVTPKLVTEVLKHPNLDPKISSKFFYWAGKQKGYRHDFSCYNAFAYGLNRANQFRTADQVPELMHMQGKPPSEKQFEILIRMHGDANRGLRVYYVYEKMKKFGVKPRVFLYNRIMDALVKTNHLDLAMSVYDDFKKDGLVEESITFMILIKGLCKFGRMDEVFELLGRMRENRCKPDVFAYTAMVKILVAERNLDGCSKVWKEMQQDAVEPDVIAYSTFIAGLCKNNQVDKGYELFKEMKQKKILIDRGIYGSLIESFVASGKVGLACDLLKDLIDSGYRADLAIYNSIIEGLCNAKRTDRAYKLFQITVQEDLCPDFSTVKPILVSYAESKKMDEICKLLEELQRLSHCISDDLSKFFTYMVEKDDRIMIALEVFEYLKVKDYCSVPIYNILMEALYQNGEVNKALTLFSELRSSDCKPDSSTYSNAVQCFVEVGDVQEASICYNRIKEMSLIPSVAAYRSLVIGLCKIGQIDPAMLLILDCLRNVASGPMEFKYILTIIHVCKMNDAEKVMKVLDELLEEGYSPDNAVYCAVIYGMCKHGTIEEAQKVFASMRKRKHLTEADLIVYDEMLIDHMKKKTADLLLSGLKFFGLESKLKAKGCTLLAGSLVNSLSVVVGGDQSIALEEFKCIFSLRVERLLRCFLKLVQLTSTSHQGNLDRQDWSEWSNTMCYGYDSNLGAAGIGEIQ >Solyc09g057710.3.1 pep chromosome:SL3.0:9:50457739:50462018:-1 gene:Solyc09g057710.3 transcript:Solyc09g057710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDYFINGGIPNPALQFEPTMSFPSWNPLHSGQSLFNPNWDHSTPQFDSTLNSIVSSSPAELIGKLGTVCSSPQPILHNNSYSRPMMGKDNIPNLGSSLPPPLPADPGFAQRAAKFSCFGSRSFNGRTSPLGLNYTELSHRSAQTLGNGKLPRVSSSPSLKQGGSPLQLKNSGQTRMEMMSNNSNESVSEPSGETASKLPTELNSSSRKRKTVSRGKTKEDSPTEGVNGNRGSEADDNARAKRCKQVESNGIENGRVHMEESKDDETQKQVMEYQKPTEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLATVNPNLDFPLSKDICQPNGSVAHPVFPIDKTSSSYQQGRSDIPNGALSQCSVDTSDNSLCRSLGMQLPPLDGFAEYLNQFPEDDLQSFVQMGFTQNPNKDMTLQSQGPHQTSHMKIEM >Solyc08g076590.3.1 pep chromosome:SL3.0:8:60663206:60668676:-1 gene:Solyc08g076590.3 transcript:Solyc08g076590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGSPVPEITPAPMPSSNAVPPFLMKTYDMVDDPSTDKIVSWSPTDSSFVIWDPPEFAKQLLPKYFKHSNFSSFVRQLNTYGFRKVGSDLWKFSNDGFIRGQKHLLKNISRRKPAHGQQQQQQLHSQSASVGACVEVGNFGLEKEVEWLKRDKNVLMQELVKLRQHQQTTDNQMQNMVQNLQIMEQRQQQMMSFLAKAVNSPGFFAQFVQQQNDNNKRKMEGKKKRRIRQDFPSDDHSVRPADGQLVKYHPIMNETAKGMLTQITKLDSSPRLENFSNSPESPPIGDAFDGRSNSRISGVTLQEVSPAFSQPFASTTSAIAGQSSLSANIQFSESSSPIGAQNLPPIVPFSSDMIMPMPSQLQEIVPENNMDINGTERGNDSFMDPTLWGNGELPLENDIFPPDLQIKWESALVDDIGEPPTVTDPSWEKFLQSLYPPTETEEMGSVETENDKTTETELLGNGWYNVQHMEHLTEQMGLLTSNTKKV >Solyc04g076523.1.1 pep chromosome:SL3.0:4:61496946:61499981:1 gene:Solyc04g076523.1 transcript:Solyc04g076523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYPPTRSSNRPQANMVTLSTNSMMSPSAIIDNSWFADSGATNHVMSDLSQLYIHTDYNGEDQLAVGNGQKLSINHIGSSKLSCATRPLHLNKILHVPSITKSLLSVSQFTKDNNVFMEFHPSCCFVKDPQGKILLRGSIDDGLYRFDGGGLPVISSSTPRAFVISRASLQAWHERLGHPHEQLLHRLVSSFNLPVTSNKMPAVCGCCQLGKSHRLLTCPPTVASSASSTYQNAPTYFRPATSSNHHMTTRAQTNSLKPKTLIVSRHPTPVSSIIASEPKTYKQAASSPEWLCAMEAEYQALRRNCTWTLVPCPPTANVVGCKWVYRIKRRADGSIERYKARLVAKGFHKEEGVDFHDTFSPVVKLSTIRLVLSYAVTKGWALKQLDVNNAFLNGDLTEVVYMSQPPGFIDKSHPHFVCRLSKALYGLKQAPRAWFLKLKTFLLSHGYTCCYSDSSLFVRHTPSSTTYLLVYVDDIIITGSDPSYISSFTQSLDLEFSLKDLGNLSFFLGIEVSRVGSGMHLSQTSYIRDLLTRTKMTDCKPSPSPADTTFQLSKHGETFDNPSLFRSIVGALQYATITRPEISFSVSRVCQYMQNPTLDHWKAVKRILRYLKGSLTHGISITPSTSSSIHVYCDAGWAADPDDRRSHHGFAVYYGPNLISWSSRKQKVVARSSTEAEYRAIAFAASEVSWIASLIKELRLP >Solyc02g082500.1.1.1 pep chromosome:SL3.0:2:46749421:46749888:1 gene:Solyc02g082500.1 transcript:Solyc02g082500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKEILTRRPISATIRLTVDAGAAKPGPPVGPALGQYKLNSMAFCKDFNARTQKFKAGTPMAVTITAFKDGTFDFIVKSPSVTWYLKQAAGIDLGSGRPGHVTASTLTLKHVYEIAKIKQSDPFCQYMPLESICKSIIGTANSMGIKVQKELD >Solyc10g039280.2.1 pep chromosome:SL3.0:10:21001317:21007765:1 gene:Solyc10g039280.2 transcript:Solyc10g039280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEELKEEREVVNREEKFCDDTCEGPLAVSDTKRALVGAGARALFYPTLLYNVVRNKIQTEFRWWDRVDEFILLGAVPFPTDVPRLKALGVAGVVTLNESYETLVSTSLYLDHDINHLVIPTRDYFFAPSDGDIDRAIEFIHSNASCGKTTYVHCKAGRGRSTTIVLCYLVKHKDMTPEAAYDYVRSIRPRVLLAPSQWQAVQEYYTRVKNTDNDVCRDDNSSKMLDVPAQKEVAVFDDGSVVLVSECDLDGYDESVESDLTRNEVLVDLNLACRGVQVARQAAISRLSYLWLRYHSTKKLGSIGVDIHVY >Solyc10g061980.1.1 pep chromosome:SL3.0:10:23906758:23909841:-1 gene:Solyc10g061980.1 transcript:Solyc10g061980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDICMWMKACYILTFIGERYGVWVGRYDERESKNVASLKSLMEEEFDDVQGLFLIVSTTYSTYSERLTPTPIVEALIASARSIYPIGIGARVEWRKEFTPAQATQVMDLIEGRWEELIGEMTLKITYPALEGHKWKITTGLDPKKY >Solyc02g062010.1.1.1 pep chromosome:SL3.0:2:34132384:34132554:1 gene:Solyc02g062010.1 transcript:Solyc02g062010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRNRLSKREIKPRKDAIFDPNRPALFVFPDIAGFGSNPLRSSFVLGVFRIQKGS >Solyc04g015740.3.1 pep chromosome:SL3.0:4:6028854:6033756:1 gene:Solyc04g015740.3 transcript:Solyc04g015740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQDREDGISKSPKGPKPIRERSSIPLSRTPNRLNGAKNLDFSTWVSENLYKILTILLLISTIAIFFYLRSAAGDTTTLLCLQSTQTHSIRPEFPKINWNNIPAILDKSTPYANFRSEKWVVVSVSDYPSDSLRKLGRIKGWQVLAVGNSKTPKDWNLKGTIFLSLEMQYSHDNPNRTVVNPYIHFGQRSVWPRGLPLENVGEIGHEEFYTEIFGGKQLIQQGISNGLPDVDSVFYFTRKAGFEAFDIRFDEHAPKVALPQGMMVPVNSFNTLFHSSAFWGLMLPVSVSTMASDVLRGYWTQRMLWEIGGYVVVYPPTIHRYDRIEGYPFSEEKDLHVNVGRLTKFLVAWRSSKHRLFEKILELSYAMAEEGFWTVQDVKFTAAWLQDLLAVGYMQPRLMALELDRPRASIGHGDRKEFVPQKLPSVHLGVEEIGTVNYEIANLIKWRKNFGNVVLIIFCSGPVERTALEWRLLYGRIFKTVIILSDQKNVDLAVEKGNLDYMYRYAPKILDRYTSAEGFLFLQDDTILNYWNLLQADKSKLWIGNKVSKSWHAVPVANKSDWFVKQADVVKKVVATMPVHLQVNYKETMRSDETLTICSSEIFYIPRRFVSDFVDLINLVGNLDVHHKVAMPMFFTAMDSPQNFDSVLNSMIYKKKSPGNLTTFYSAEAPAIHPWKVSSEQEFIKLIRVMAAGDPLLMELV >Solyc05g055080.1.1.1 pep chromosome:SL3.0:5:65718418:65718717:-1 gene:Solyc05g055080.1 transcript:Solyc05g055080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKQYNYCKICKIVFVISTIILFNLHNNVSGMRILKQDQQFLILQSLPRGSSPSKGANPCTNIPGGKKKGRCMLAQNIRTLNSEKKKNNTQKQELNHS >Solyc03g031535.1.1 pep chromosome:SL3.0:3:4066707:4067104:-1 gene:Solyc03g031535.1 transcript:Solyc03g031535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKISDFGMARIFGIDQSEEVTSRIVGTYFFLYEYAFFLANHRWLHVTGVCNAEGQYYVKSDVFSFGVLLLEITSAKKNSAFYQSDGGEDLLSYVSLPFTFLSVRAQHVSNLTLILSM >Solyc03g093260.3.1 pep chromosome:SL3.0:3:55863654:55879429:1 gene:Solyc03g093260.3 transcript:Solyc03g093260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVKEICLEGFKSYATRTVVSGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSVVFDNSDRSRSPLGYEDCAEITVTRQIVVGGRNKYLINGHLAQPNRVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKEAALKTLEKKQSKVDDIDKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCIAYEYVQAEKVMADAIQGLEGMKSKITEIDSNVGKMQEEIQEMEKRASELQAEKDANMGGEMKLLTEKVDALSCDVVKETSFLKNQEDILKTEKKNCVKVRTSYFSALPVYNFPSSLSEISLYFSVTSLFLSSIVIMSLGFNNDTLTLQIKKNLEELKQSAEEKVAAVSKAEEGASDLKKRAEELSISLEAHEKEYQGVLAGKSSGNEEKCLEEQLADAKVEVGNAETELKQLQTKVNHCEKELKEKKTQLLSKREEAAAVENELNNGKKQVEKLQKALESLSFKEEQMDLLQSDRGIEVEAIQKLKDEIRVLSSRLSNIDFTYSDPVKNFNRSKVKGVVAKLIKVKNSSAMTALEVAAGGKLFNIVVDTEDTGKQLLQKGGLRKRVTIIPLNKIQTYPVPPRHQNAAARLVGKGNAEVAISLVGYDEELKSAMEYVFGSTFVCKTVDAAREVAFSREVGITSVTLEGDIFQPSGLLTGGSRRGGGDLLRQLHSLAEAQSKLSIHQNRLSEIDAKINQLIPLQRKFKDLKAQLELASYDLSLSQSRAEQNEHHKLGELVKKIEQELGEAKSGVEEKKLVYESCLAKVSCLEKSIHDHAGNRESRLKDLENKVKTIKRQMQSSLKDLKGHDNEKERLIMEMEAVKQEHASLESQLVSLNKQIDDLASEVDSQKAKLVSLKHDAGLAQSELNTARLKIKECDSQISSILKEQQQLQNKISETNLEKKKMENEVKRMEMEQKDCSLKVEKLIEKHSWIASEKQLFGRSGTDYDFGSRDPRDARENFEKLQADQSGLEKRVNKKVMSMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLKVTWEKVNRDFGSIFSTLLPGTMAKLDPPEGGSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKSHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKNK >Solyc07g052490.3.1 pep chromosome:SL3.0:7:61129422:61132330:-1 gene:Solyc07g052490.3 transcript:Solyc07g052490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWNRSSTSDNASVVSPEDEEMLIAKMFSLVRERWSLIAGRIPGRNADEIEKYWKSKYSKSQEEIQAQSQDEAHGIRLIEKTGPSTGHPQQCVFPEKKPISVCQPSDIGPQLLGPTTNGPQQLLGPKTTGPNNITVDQKLVNPAQDQINQ >Solyc02g072040.3.1 pep chromosome:SL3.0:2:41902504:41904563:1 gene:Solyc02g072040.3 transcript:Solyc02g072040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRISFSWFNLLSLLLINVYVLGVFSLSLDGNDQYISAVGDSGMRRDGLRVAIEAWNQCNEVGEETPKMGSPRAADCFDVQNKGSAQQQSPNMLLHKVTEEDNKLGIGKSFPGLTKRALNNVDLYAAEKEVYLGSKCQVDDKPNPWQFWMIMLKSGNMDTHAGKCPKNGHKAGPFDPPSEFPCFGKGCMNQPLIYHNYTTLQGTTLKGSFYGTWDLKAGSSANMNTSFYSVTWQKELGKGSWIFHHVIRTSTKYPWLMLYLRSDATSGFSGGYHYQTRGMSKIIPESPNFKVRFTLNVIQGGGPHSQFYLMDMGSCWKNNGKPCDGNVTSDVTRYSEMILNPDTPSWCNPDDPKLCPPYHTFPNGTKVHRTDKSRYPYEAYHLHCTPGNGEHAEQPSGPCDPYSNPQPQEILQILPHPVWGEYGYPTEKGQGWIGDPTTWELDVGRLSQSLYFYQDPGTAPAKRKWSSIDLGTEIFRDANQVAEWTVSDFDILVPNN >Solyc11g005370.2.1 pep chromosome:SL3.0:11:296151:300045:-1 gene:Solyc11g005370.2 transcript:Solyc11g005370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA-associated Sm-like protein LSm3 [Source:UniProtKB/TrEMBL;Acc:K4D4F0] MGSEEESTVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRATRRTIPFLFVRGDGVILVSPPLRTT >Solyc01g011370.1.1 pep chromosome:SL3.0:1:8691409:8692617:1 gene:Solyc01g011370.1 transcript:Solyc01g011370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRSMKKNDPMAVQQVIKNAKARGIKIVQGTRKRKHVNIESEDNDFEVVGSDEIHNHEVVIYICKNREKRTIHMQCYTQINTLNELQNKLPPNQYNRICASSCFA >Solyc03g005080.3.1 pep chromosome:SL3.0:3:54076:63985:-1 gene:Solyc03g005080.3 transcript:Solyc03g005080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNASSSSSVDPNSGFSSKTKTFHSIRPPLLLPPMSSPVSAASYALSFQHNTEATAFIDSSTGRRIYFSDFRQRVVSLASSIQNTLRLSKNDVAFVLSPNSTHIPILYFSLLSLGVVISPANPLSTESELLRQIKLTKPVIAFATSRNFQKLPKLKHPAVLIDTPEFELMMMNTGLKLKTVEVNQSDLAAIMYSSGTTGEVKGVKLTHRNFIASIANYHAQRPERDSPAVMLYTVPLFHVFGFHYMLKSVAITDTVVVMERFDLKKMLKTVVDFRVTQLVVAPPVVVAMAKGSVTHGYELSSLEAVGSGGASLGKDVMQAFAHKFPNIILFQGRTTSYVTDPLCKPVSGSAEELFFSNLELNLSLEFEGYGLTETTGAAFRSATTEEMLHQGSVGRLMANSEAKIVDPDTGIALCPGEQGELWIKGPIIMQGYIGDPKNTSETLMPCGWLRTGDLCYIDHHGYLFVVDRLKELIKYKGYQVAPAELEQLLQSHPEIVDAAVIPYPDEEAGQLPMAFVVRRPQSTLDKEQVIDFISKQVAPYKKIRRVAFVSSIPKSPSGKILRRELKRIHLPGSKFGLAAAYKLKVHGLNVTVFEAEGRAGGKLRSLSQDGLIWDEGANTMTESEGDVTFLLDSLGLREKQQFPLSQNKRYIARNGTPTLIPSNPFDLFKSNFLSTGSKVFGDNIGNCSFGVKERQNLDQKPKQFYVYHDIRLELQMLFEPLLWKNKKLTKVSDKHESVSGFFQRHFGKEVVDYLIDPFVAGTCGGDPDSLSMHLSFPDLWNLEKRFGSVIVGAIQSKLSPIKEKKQGPPRTSINKKRQRGSFSFLGGMQTLTDAICKNLKEDELRLNSRVLELSCSCSGDSAIDSWSIFSASPHKRQAEEESFDAVIMTAPLCDVKSMKIAKRGNPFLLNFIPEVDYVPLSVVITTFKKESVKHPLEGFGVLVPSQEQKHGLKTLGTLFSSMMFPDRAPNNVYLYTTFVGGSRNRELAKASSQIKDVKFFIHRTELKEIVTSDLKQLLGAEGEPTYVNHLCWSKAFPLYGHNYDSVLDAIDKMEKSLPGLFYAGNHKGGLSVGKALSSGCNAADLVISYLEAVSADTKNHS >Solyc03g117450.2.1 pep chromosome:SL3.0:3:68095857:68099040:1 gene:Solyc03g117450.2 transcript:Solyc03g117450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTFTEENTSSLPPKRIFKASIVDSHNLIPKLMPQAIKSIEVQGDGGAGSIKTINFPDGGNFKCIKYRVDELNEEAYTYKYTLIEGDGLVDNLEKITYDVKFEQSADGGSISKVTSSYYTVGDFKLKEEEIKAGKEKVLAILNYLDAIMNASGVKDSVYCGGLGHCIRDIPKLQHKKRVQIAISRRDYLGSGAIKSIEVQGDGGAGSIKTINFPDGGNFKSIKYRVDELNEETYTYKYTMIEGDGLVDNLEKITYDVKFEQSADGGSISKVTSSYYTVGDFKLKEEEIKAGKEKVLAMFKAVEAYLLQNPEAYA >Solyc11g050826.1.1 pep chromosome:SL3.0:11:16705355:16709917:1 gene:Solyc11g050826.1 transcript:Solyc11g050826.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKLENYQNAVKDVKSKVKYDGSHPELAARLEKEILDTNPGVKWDDVAGLSDAKRILQETVVLPLFMPEYFQRIRRPWRGVLMFGPPGTGKTLLAKAVATECGMTFMNISCSSLFGNWYGESERLTRCLFELARAHAPTMNFIDDIDSLCKHETSRRLKSELLVQIDGLNNSNSTSGKMVTLLAATNFPGNLDEALRRRLEKRIYIPLPYFETRKELIQINLKSIELAPEVDIEQVAQKTEGYSGDDLTNICRDASLNGMRQKIAGKTIHEIKNILKSEMLKIPVTMEDFLEAVDKIKPTVSSGDIQRHEKWYSEFGSS >Solyc05g015020.1.1.1 pep chromosome:SL3.0:5:9587998:9588315:-1 gene:Solyc05g015020.1 transcript:Solyc05g015020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFLVYAPIDLRAVTSIVNGGDATKVSILPSGIIISPDGRLSSNRDNTANAQNGSILTVTFQIMICGNNNPTSRQQKMEVVGSIHGVLSATILRIKQALGCSDL >Solyc10g037980.2.1 pep chromosome:SL3.0:10:18442403:18447975:1 gene:Solyc10g037980.2 transcript:Solyc10g037980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKVLDATITTFDGVFEKFKAESPKNKANFILFLADIDPSTNLSWCPDCVRAEPVIYKKLEASYDDIALLRAYVGDRPTWRTPQHPWRVDGRFNLKGVPTLIRWENDAIKGRLEDHEAHLDHKIGSLLNEA >Solyc11g028160.1.1.1 pep chromosome:SL3.0:11:20554853:20555443:1 gene:Solyc11g028160.1 transcript:Solyc11g028160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIKVLLTQLSFWILPVLNSIFLIWVCGFYNILEIVYYYFIIINHYTPSASFPRNEKEDGILELYYLSAYFLPKILFLQLVGHQVIQISRVFRGFFMLQLLYQFSRSRMDLLNIPLGSLVLAILCGIHSHSALGITSSSGWNSSQNPTPSPTSLPLTVSRTSIEIEWFHVLSSIGYSSPFISLFPISVSINSQY >Solyc07g045230.3.1 pep chromosome:SL3.0:7:58454574:58472806:-1 gene:Solyc07g045230.3 transcript:Solyc07g045230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGIVGGLARVSIPSDTFHEVKSKRDRRKEVLESVWNGRVIYSLKHKFNSISIDLSLSHSCPAFANAIWCSTAVIVVATYLAVLLNSIKESAEPKWKPGMQGRGNKGIRGNLTSRHASHDVGGGKNGQNNIANQILDKSVNLSTVVDVEAKNISSSSSAAVNGPSDLASGSNSIIQNAHASPKREVKQFEANTGMQTTSADSTKNRSATGNKDVHGQRMPNTGNSSRMLSSPSPTGAYLSASDPVLLPSQNSRPAGVVGTVRREVGPQYSGVEHVSPKSNGSKKTTAYIFLSPHILVAVSTVGSSNIQVKTPSKFQGPGKNQLPEYSQTASSTHSGSSSSRPSSNYNNRSHTVGPLKGPFKEWKPKPVNSNLAQGSTLAAAASSSGVSTVSVEVNTLSQAPASVPETKEVTEDLQKKLEKSHISDVENVIIPNHLHVPESEKLGFCFGSFDSGFSLGTSTNIAPEHDGSPPLSESSESIEEAAIDDSDTLSAPFVPLLLSQFSYSTLGCASNQNASAAAEETDYPDQPPSSHGQESLPAKGDGNISSSAPECSEPKQESLPAGQQYSVVHTSPNYNFGFAPPMLTNQLPPFENSESQPRDVSRLPNFLVQHPIDPSYYPHFYRSSADSDGRISPFHSAGVSTQYNVAVGPPHTSQSPQEGGNSPALSAAAPTPLVTQAAGLMQSSIAVPQQPIPVFRQATGMHLPHYPPNFIPYGHYFSPFYVPPAAIHQFLSNGAFSQQPQAGGIYPPPQSAVPRYSLSQYRPGANVGNPAHMGVPGTYAPYGSSPVNYNPSSSTTTGNPASNEDLFASQFQESNVYVSGQQSESSGVWINAHNRDLSSLQASSFYNLPQGQVALTPTQPGHGTFAGVYHPAQPVTASTVHPLLQQSQTIAGPVDMVGPTGNVYQRPQHAQMNWPTAREIRKLVRKSSFSGKTRSRFAAAGVIPPLVDMLQPCSYSLLAREAALLALLNLASRNESKSSSFQLRMVSAYLPTTALVRNTIKNKIRIVASGAIPPLVELLKFQNGNLKELAAAAILTLSAAAANKPTIAASGVGPLLVQILSSGTVQGRVDSVTALHNLSTSKEDPKLVLDARAVYPLMNLLKDCKKYSKFAEKTTALLEILSNSEEGRDAITNADGGILTLVETVEDGSLISTEHTVGALLSLCQSSRDKYRELILKEGAIPGLLRLTAEGTPLAQERARTLLDLLRDSPPENRFSSSTLKRIVYDFAAQVDGTDKAADTAKRLLQDMVHRSMELSMSRLQLRASSCTPSKVQST >Solyc10g047370.2.1 pep chromosome:SL3.0:10:40573928:40580850:-1 gene:Solyc10g047370.2 transcript:Solyc10g047370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILCTRVKILRSFGPQIKQDFGGVSRVSLPNSSLVSMAARSIFLKLTRNARGREKSLFRSFTTTEVVYEDSPNSSEEERGEIPDDLKSRIFSLRLPKRSATNVLQRWVGEGRVISISDLRHISKELRKSRRFKHALEISEWLVYHNQDEALDSDYAIRIDLMTKIFGIDSAERYFEGLPTTVKTTETCTALLHSYASLRLTEKAEDLYERMKEANLSLNTVTYNEMMTLYMSVGQLEKVPLIVEEMKLQKVAPDLFTYNLWVSSCAAALNIDEVRRILDEISRGSDSGEQWIRYMNLVNIYITSGNLVNSGLNSVVESEKGITQREWISYDFLIILYGALGNNEKLDQIWKSLRMTNQKMTSRNYVCILSSYLMLGHMKEAGEIIDQWKLSAATAFDRSSCNRLLKAYKEVGLEESAVAFRLLLIQKGCDEIEES >Solyc01g017720.2.1 pep chromosome:SL3.0:1:24829943:24831146:1 gene:Solyc01g017720.2 transcript:Solyc01g017720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACGRKKKHDKYIFILIGDIPFIVMNVMKKLEVFLSLCQRPFFFRESNYCNISAAPLRCAAVS >Solyc04g009860.3.1 pep chromosome:SL3.0:4:3170749:3175356:-1 gene:Solyc04g009860.3 transcript:Solyc04g009860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNNRAKELKAFDDTKAGVKGLVDSGIVHIPEIFITPSLTEKEFSVENLDSGSDIVSVQIPVIDLKDMNGDDFQRRRVVEEVGEACKSWGFFQVVNHGVPQHVMDEMIGGIRCFHEQPNDIKEEFYSMDPMKKVMFNSNFDLYQAKVANWRDTLACLMAPNPPTNDELPQVCREELLQYSQHVRKLGLTIFELLAEELGLRPNHLLDMDCAKGQFIISHYYPPCPEPNKTLGITKHTDPDFFTILLQDQIGGLQINHQNQWIDIHPVNGALLLSNDKFKSAEHRVLAQHIGPRISIACFFTTQLLPFDTLYGPIKELLSDENHALYKETTVKDYVLYYNSKGLDLQNNQKLLTYFITLFLPKIK >Solyc05g023940.1.1.1 pep chromosome:SL3.0:5:30141187:30141450:-1 gene:Solyc05g023940.1 transcript:Solyc05g023940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLFSRLKEEVSESIGLLCGMAALAKKEAAHSALPRKGAVGKGLLSYGNETSKLRCRNPCFRLVFTPPPILSSLVRTTLIPESPFV >Solyc01g006740.3.1 pep chromosome:SL3.0:1:1336189:1340485:-1 gene:Solyc01g006740.3 transcript:Solyc01g006740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLTSAARLMIVSDLDYTMIDHHDPENLSLLRFNALWEANYRENSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGNSMLPDDGWEAFLNDKWDRKIVTEETKKFTELTLQSETEQRPHKVSFYVPKDKAQDIMKTLSKRLEERGLDVKLIYSGGMALDILPQGAGKGQALVYLLKKLKSEGKLPNNTLVCGDSGNDAELFSIPDVYGVMVSNAQEELLQWHAANAKDNPKVIHATERCAAGIIQAIGRFNLGPSTSPRDVTDMSDSKMENFVPAYEFVKFYLFFEKWRRGEIENSDLYLSNLKAVCRPSGTFVHPSGVEKSLEECVNTLKKCHGDKKGKQYRIWVDQVLPTRVGSDSWLVSFKKWELCGEERQCCITTVLLSSKNVTVADGLNWTHVHQTWLQGVESASDSTTWFF >Solyc08g074870.2.1 pep chromosome:SL3.0:8:59136574:59141747:-1 gene:Solyc08g074870.2 transcript:Solyc08g074870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLPNCSLIFQKHLTFMPIKINKFMMHQKFLLILYFLLSYDGVQGERILSKSKDAELEKQLKLLNKLAIKIVQTKYGDIYDCVDFYKQHAFDHPLLKDHNFHPKMKPALSSIKKNASDSTSSWSLTIWSKDGGCPFGTVPVKRITKDDILRQRHLPPPPEDVTFDAQFDVSNNNSGPKERYTSGYKVAIARTPYDANNKFKGAGMVTNFYNPEVNGRQHSACRLKIQQGSEILQVGWRVDPTLYGDTKTRLYIHTQVDKTNYFNTFCSGFVQVNTGIPLDMSLMDSVAHRGGTTFEGRMYIEQDLDSGNWWLLLSDNYEQVGFWPQQIFTGLASFATNVEWGGVVYSPPGVPKPPMGSSYFPIGDNLYDAFCRSIIVLNETGMVNQVDKVTIHIDNPNMYQAIFERLESDKVSYLFLLYGGPGEKSQI >Solyc12g077655.1.1 pep chromosome:SL3.0:12:17926029:17926778:-1 gene:Solyc12g077655.1 transcript:Solyc12g077655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRVDRRWMYMRLVPSRTIVTIEFIEGVHRFIEFAFMQPDYFSNGSITCPHLKCKNNSGFLEPYDIRSHLYNHGFMPNYYQWESHGESFVPISWPQPRTNIGDEIGSDRTPINPYRIMNIFTKTIKDKVGETSTLWNEEGLEVHDGDMERNTATNGEDEGEKLVKMMLTYLKQYHRSNYLNLG >Solyc02g032000.1.1.1 pep chromosome:SL3.0:2:27752555:27752848:1 gene:Solyc02g032000.1 transcript:Solyc02g032000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENETKKGKKKIEMKLIESERARAVSFSKRKKTLFEDAKKFATQTGADVAVMLFSPGGKPYSCGSTSVEDIIENFLKMKVADPRRHYAEGESKVLRN >Solyc07g026640.2.1 pep chromosome:SL3.0:7:30031608:30033534:1 gene:Solyc07g026640.2 transcript:Solyc07g026640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECWVPLFQIFLNSPCPETEASMWLQQSFNQHEATAISTTSFLSLLIRPTQITIIGSPSPSHTKRVVWIQTLPNAVQARILSFLLYDCRRFCETDLCQLAETMLKEGIELDFWVKRAAHQLLDVVSRSTHESLSCLNLDSEEEQMEDEFKSLPDCLKDNVNETDLMLPWLPISQKQFNMARQYNSCGKNDHHHMTEFEEDKREIVDEVIEEVDEEMIKEVDEYLNPQVEEMAERLKESLLSLQSTAKAVELAGEIRQLCKGGQSLAILGIIEPWRADDETAAVLVSNLLDGNEDELGWPSHVLCSVILPKLLVLKEPSSRVLLTASVEYSKVHQRAAEYALLLPLILRMDGINSAICDVITRIVRESLHPGHVSALCQKLLSDEDDLKKFVCLPYHQCLIGNKLVWTESLFNLMQNILNHDIHLTQDSVNKLIRHAWESAHRFSKSLKFGNFLLCFITKCSPMLKYQKFLLIEATQHTNTLVTKSVLSKLSSL >Solyc02g070540.3.1 pep chromosome:SL3.0:2:40854922:40857657:1 gene:Solyc02g070540.3 transcript:Solyc02g070540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIASSSTKFKWVTAFLLLLACSAAGQVQDGPLVNGNFETPPSGGFSSGDGFSDGPSEIPSWKSNGTVELVESGQKQGGMILIVPQGRHAVRLGNDAEISQELKVEKGSIYSITFSAARTCAQLESLNVSVPPASQTIDLQTLYSVQGWDSYAWAFQAEEDDVRVVFTNPGMEDDPTCGPIIDDIAIKKLFVPDKSKGNAVVNGDFEEGPWMFRNASLGVLLPTNLDEETSSLPGWIVESNRAVRYIDTYHFTVPEGKRAIELLSGKEGIISQMVETKPNKPYRLTFLFGHAGDSCKEPLAIMAFAGDQAQNIHYTPNFNSSFQNANLNFTAKADRTRIAFYSIYYNTRSDDMSSLCGPVVDDVRVELSGSSRVKVFGFGFMFWLLVFVFC >Solyc07g041840.2.1.1 pep chromosome:SL3.0:7:54299660:54299746:-1 gene:Solyc07g041840.2 transcript:Solyc07g041840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTCLPKRYLQLTHHLFVLSTFSLHRSLL >Solyc12g098860.2.1 pep chromosome:SL3.0:12:67215175:67228080:-1 gene:Solyc12g098860.2 transcript:Solyc12g098860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDDWELSVEELDKLERDALRQIAERNASSSSATTSSCLQSSRLQGDVNGSGVSYKREDVLSAASIIRPSSGYDKGSGKSSVGNSGPHNDNHLKQLTEKRYAKFFLHASGNIAAKFSYDQILVEACRKIPKASWSAKERLWMFPLSSLSEAEKVFHEIAGSNLELENLDPLVQRAIAAASVMPDLRDHYEFIPNSIETKLLPFQREGVKFALQHGGRILLADEMGLGKTLQAIAVVSCVRESWPVLVLAPSALRLHWASMIQQWMNIPSSEILVVLSKSSGSNKGGFKIVPPNTKKSIHLDGVFNIVSYDTVPKLQDLLMASTFKVVIADESHYLKNAQAKRTSASLPLLQKAQYVILLSGTPALSRPIELFKQLEALHPTVYKNVHEYGNRYCKGGIFGVYQGASNHEELHSLIKATLMIRRLKKDVLSELPQKRRQQVFLNLGEKEMRQVNALFRELEVIKAKGKSAQSEEEANSLKFAEKSLISKIYTASAEAKIPAVLDYLGTMVEANCKFLIFAHHQSMIDSIHEYLLKNKVGCIRIDGSTPSALRQDLVTDFQKKETIKAAVLSIRAAGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNVCYLLANDTVDDIIWGVVQSKLDNLGQMLDGQEKSLDVSTNQSHSSSSKQNTLDSFIKRCNNSPPQDPSKKHCLQ >Solyc01g091110.2.1.1 pep chromosome:SL3.0:1:84697808:84698557:-1 gene:Solyc01g091110.2 transcript:Solyc01g091110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTTNLTRLSIILFSQKKLNYIYTYRFCFLLFPKNIMEKITLSLFLFLFNIFIFTFVATVEGASAQPRANKFVELQCRRTRYPKLCAESLSNYITTASEPQKLAHAALKVSLLKAIYTRTYVKNVCKQLEETKAKDYQVVKDCLDQISNGVNLLFNSVEELQHLNLDKESEFVWHRSNVQTWLSTVLTDAFTCMDGMSSYKFGGYKLKATIKAKVLNVAQVTSNALALFNGYAVRHKASHHSNSGKINKH >Solyc04g076670.3.1 pep chromosome:SL3.0:4:61643835:61649378:-1 gene:Solyc04g076670.3 transcript:Solyc04g076670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASDQATSICSHCDRAIPSTNLDLHFAHCSRNLEKCKICEDMVPRKFAEEHFLSTHAPVACSLCSETMEREILAVHRGENCPKRIVTCEYCEFPLPAIDLFEHQEVCGNRTELCHLCSRYIRLRERDVHESRCNGMISNVAESSRNTHTAERDRGPPRRQPQEFSRKRLLFTIAITGIAVLLGSLLFQRKV >Solyc10g012245.1.1 pep chromosome:SL3.0:10:4762455:4762767:-1 gene:Solyc10g012245.1 transcript:Solyc10g012245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPLHFFLGFKVNYLLGGIHLNQSKYVAELLAKTEMTLSKDVATPLVRKHGLLKLWEVFRESSNLTLTRPDITHAKNLASHFMKIPNIEHL >Solyc07g056040.3.1 pep chromosome:SL3.0:7:64068901:64070753:-1 gene:Solyc07g056040.3 transcript:Solyc07g056040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSSTNMAGSSPLQKRELEDDNESSSSMRTAETMLRLLPMGLCVVALVIMLKNQQTNDYGSITYSDLGTFRYLVHANGICAGYSLLSAIVAVVPRPITMSRAWTFFLLDQLLTYAILAAGAVSTEVMYLAYKGDPEVSWSESCGSFRGFCHKATASVSITFIVSLCYAGLSLLSSYRLFSKYDAPVGSYNNKGGIEIANY >Solyc03g042522.1.1 pep chromosome:SL3.0:3:6856217:6867164:1 gene:Solyc03g042522.1 transcript:Solyc03g042522.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLPSLNKEYSMLIEIESQRKITQSVSSEQVESHAMFTARNQSVPKHKTPNLHGYPPGLERRKKGPSYGRGRNHNDKRQLHTAHNTISNDQEEFNSNREESLSNNSYSQNYNGRVNHNNYNRGLSVIQDQYSQILQMLGHTNAKGGTEGSGSQSKSAANANIVQDYASSIGNDIALIVGDKQQGWIIDSGATNHITSLPTVLEYPQQVLSDKPRRVYLPNGDNVKYTLVEIISEVGLGAAKPVSTPLDPYVRLTTKEYDDMNGKGEEDKLLEDAIIYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHFNAALRIVRYVKSQAGQGVLLSSKSSKQLKVYCDEDWGACLHTRRSVSSFMVKMGESVISWKSKKQATVSRSSAEAEYRSMASSIAEITWIVKLLKELGAKIQTPAGDDKRRLKSNFPDLGRPENRRYTFCIHWMNPAHEPLKTRMVTVPDPILKVWWRFMNNNDKMIVQKHIGYLPSLPEMNTWPDLI >Solyc10g080600.2.1 pep chromosome:SL3.0:10:61944673:61945469:-1 gene:Solyc10g080600.2 transcript:Solyc10g080600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKDKETQDFMNVESFSQLPFMRPTKEKAAIRLFGKELLGTTIRHEDQSIEIHDSVGDTTEINNNNRKFECQYCCRNFPTSQALGGHQNAHKRERQHAKRAQYAKYQYNAYFNSALPIVYNNVNGDLKKINTKSSSISLTRFGYELKEGVHQDHVSLDLHL >Solyc05g041680.1.1.1 pep chromosome:SL3.0:5:54001287:54001724:-1 gene:Solyc05g041680.1 transcript:Solyc05g041680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNLMRYDVLIVESSFHDVRVECNVTLNLEYPTFSRNSQGSTSNRYFTIKGVILFVVAVLIYRIKNQNMFNIYKIGLLPIPMNFIGPKNKKLEESFGSSNINRLIVTLLYFPRAKKIFGSCLLNPKECTWVLPIPKKCSIREFN >Solyc02g030190.1.1.1 pep chromosome:SL3.0:2:25775757:25775930:-1 gene:Solyc02g030190.1 transcript:Solyc02g030190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTRSLVLNFCDKSKSSLGFTHQDDEEEKEIDELCDSSLTSSCSSLTISSPIMSGT >Solyc08g082600.1.1 pep chromosome:SL3.0:8:65443198:65444515:1 gene:Solyc08g082600.1 transcript:Solyc08g082600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGKQWPDIEFGSILQIFSETILALKLEIDNVTKDIEIRYIVEGSSCPLKIKNDMGVKLCFEVKKNATGIGMYPLCIDTTDKIVGDIRNFDCSSGEVICVEGTERDTEALALVESRICDFAV >Solyc01g008005.1.1 pep chromosome:SL3.0:1:2114698:2115300:-1 gene:Solyc01g008005.1 transcript:Solyc01g008005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSSAIIPTLQNLDLKAIALKARNAEYNPRRLPAEIMRIREPKTTALIFATGKINIVASCDVRFPIRLEGLAMAHSSFSSYEPEIFPGLVYRMKKPKIVLLVFASGKIVITGAKVRDDLYAAFDNIYPVLTQFTKKSLAMS >Solyc09g014415.1.1.1 pep chromosome:SL3.0:9:6037862:6039280:-1 gene:Solyc09g014415.1 transcript:Solyc09g014415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDGGRRTILGQGGDRVKLNVGGKLFETTVSTLRSGGPDSLLSVLTNRNSDEPVFIDRDPEIFSALLALLRSNRLPSTAKRFSNQELIDEAVYYGIESQLRSALAPSRLSGIDASLFSTIRPTSDGVVTDFNAVDSDGSVWVAHGGQVSVYDWSLTHTETIRTHLDYISSVRKVGPDLAGVASEFESGLHFYNLANGRRVGSVEWTDPSDPRIYKARVHAVVDSPDSVFAAFECQHRENCVLSIDKSTMKAVSELGRQSGNSAKSTVVGKLTFLPEINALVGVSVTAGAFGYAGYVRLWDSRSGDVVWETNEPGSGRSSRFGDSFADVDFDREDLTMFKICSKSGDLAVADLRKLSEDPWVYLEEKNPCMRNSGGGTSSLIHCYRQQVFVGREGGLEVWSRMVENRSDVERERVLHEGLYRRNYMDKVEDAGRGIIKKIEGAGDRLFVSREDAEGIEVWQSSQFSGAVLSL >Solyc02g084280.3.1 pep chromosome:SL3.0:2:47986489:47991852:1 gene:Solyc02g084280.3 transcript:Solyc02g084280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRNSSLIIQHSSLSQYMLPPKYEPTSDIPKFPFFMDEENASGVLRTEVIIISRKIQGTGFVVLPLKNFHWGLIHLWNRPDAFNAGMYANLVKQTYLWAHPLNKH >Solyc10g080750.2.1 pep chromosome:SL3.0:10:62081441:62085116:-1 gene:Solyc10g080750.2 transcript:Solyc10g080750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALEATVRKTQLVARKRAHTIFGTYGPTTQIDEGEFNNNNMNKEKEIVEEEDVQQQENVDGEDYYHPMNGETYQLEKFLSNGDYYTGYWVDNLPNGQGKYWWTDGCMYVGDWSKGKMKGQGVFSWPSGAMYEGNFKNGYMDGEGTYTAPNGDTFRGCWLMDLKHGNGVNEYANGDCYDGEWCRGLQETNGKYTWKNGNYYVGQWANGTICGDGKLYWKNGNLFEGNWEDGLPKGKGTFHWADGSYYIGNWSRDPDELNGTFYPSESLLQRGNFEWDPQQVFNVDLMGCTISPNDILSVLPSQKKLAVRMSSSKPVDNSRTTRRMSIDGSTDTEFSRIQLSDGVGTTLVATTSNSCSDIDAMVALLESDGYKSGSPIKIPKVVKRQGITISKGHKNYELMLNLQLGIRHTVQKLGPPPTLDLDASAFDPKEKYWTRFPPEGSKSTPRHQSCEFRWKDYCPKVFRALRTLFKVDATEYMLSICGPLRELSSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLNAYYDHFRAFENTLVTRYYGLHCLKLNGPAQKKVRFVIIGNLFCTNYTIHKRFDLKGSTFGRITDKPESEIDTTTTLKDLDLNFIFKLQKSWFEEFRRQVDRDCELLEQERVMDYSLLVGVNFREGNASTGYQTPSGCRTPIENGTTDAEPIHRFTKSDVDLLLLNPAGLTNISLGINMPARVEKTFRKNDLDFQLVGEPTGELYDVTLFFGIIDILQDYDITKKLEHAYKSIQCDPNSISAVDPMAYSRRFRDYIFKVFVEDN >Solyc03g093270.3.1 pep chromosome:SL3.0:3:55881491:55897569:1 gene:Solyc03g093270.3 transcript:Solyc03g093270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYQAMLNNGETLPIIGMGTYSGENDRETTEKAIRAAIKMGYRHFDTAKIYGSELALGNALRRAIHDGLIEREDIHVTSKLWSSDHHDPVDAIQQTLQRLGMEYIDMYLVHWPVALKAWVDYPVPAEEDFEELDMENTWSGMERCLEMGLCKSIGVSNFSSRKIEELINFACVTPVVNQVEMHPMWRQSKLRSICGDYGIHVSAYSPLGGPGNAWGTTAVVDHPIIQSIAHKHNATPAQIALRWGLYQGSSVIVKSFNPRRMKENIGALDLTLDDWDLFEIEKMEERKIMRAEYLINDTTNIHITSKLWSSDHHDPVDALQQTLRRLGMEYIDMYLVHWPVALKAWVDYPIPAEEDFEELDMENTWSGMERCLEMGLCRSIGVSNFSSTKIQHLLDFACVTPVVNQVEMHPMWRQRKLRSICREYGIHVSAYSPLGGPGNAWGTTNVVDHPIIKSIAQKHNATPAQMGYRHFDTAKIYGSEVAVGNALRRAIHDGLVEREDIHITSKLWSSDHHDPVNALQQTLRRLGMEYIDMYLVHWPVALKASVDYPIPAEEDFEELDMENTWSDMERCLEMGLCRSIGVSNFSSTKIQHLLDFACVTPVVNQVEMHPMWRQRKLRSICREYGIHVSAYSPLGGPGNAWGTTNVVDHPIIKSIAHKHNATPAQVALRWGLCQGSSVIAKSFNMGRMKENMGALNLKLDEWDLIDINKMEERKIMRGEWLSNHTTSPYRNIQELWDDEI >Solyc01g087120.3.1 pep chromosome:SL3.0:1:81915104:81920630:1 gene:Solyc01g087120.3 transcript:Solyc01g087120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAGRIRSTLPHLRKALSSDSRSALYPAIISNPELSRNYATAPAAKEKKVKVPVTMYGVSGNYASALYISAVKANTLEKVESELLDLIAASKKSPKFSQFMKDLSVPTETRVKAMTDISSEAKFGDLTKNFLVVLAENGRLKHIDAIAKRFAELTMAHKGELKAIVTTVIPIPPEEEKELKETLQEVMGKGKKVNIEQKIDPSILGGLVIEFGQKVFDMSIRTRARQMERLLREPINF >Solyc09g092290.1.1.1 pep chromosome:SL3.0:9:71899645:71902191:-1 gene:Solyc09g092290.1 transcript:Solyc09g092290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVLSAVISKATEAAGNLLIEKWSNLNSLEESMNWLKTEMLLIQSYIIDARTKLTQGHSTVKNLIKYIEQLADDANDILDEFLPKIERKGTIGCRVSNVSSANKFVAEIEKIKRRVAEIDRLRTTYGITDTSNNNNQHDYIPLDQRGLFLHADETEVVGLDDDFNKLQAKLIDHHLPYGVVSIVGMPGLGKTTLAKKLYRHVRDQFECSGLVYVSQQPRAGEILLKIAKQVGLTEEERKENLEDNLRSLLKRKRYVILLDDIWKVQIWDDLKLVLPECDSKIGSRIIITSRNNDVGRYIGGDSSLHELQPLDSEKSFELFTKKIFIFDNNHSVDLVDIGKTIVRRCGGIPLAIVVTAGVLRARERTEHAWNRVLESMGRRVEDACAKVLALSYNDLPIALRPCFLYFGLFPEDHEIRAFDLINMWIAEKLIVVNSGNTREAESKAEDFLNDLVSRNLIQAAKRRYDGRISTCRIHDLLHSLCVELGKESNFFHTEHNAFGDPGNVSRVRRITFYSDINAMNEFFRSNPNPKKLRALFCFVHNRCLFFELARHDFKLLQVLVVVIPYDHLFRPMVIPNTFGKKSCLRYLQLEGHMYGKLANSMVKHMQTLNIENSFTELPTGVWESKQLRHLRYNGTFQASNCCFSISRKIYSLPPNNIQSLMYVDDNFVELRLFHRFINLRKLGIWSVSDSTVQILSTLPKELEALKLIFYYQPSEQINLSSYPYIVKLHLNGSVRLKSESFPPNLVKLTLRNIEVEGHLVALLKKLPKLRILKMIYCQHKEEKMDLSGDNDSFLQLEVLHIQEPSGLSEVECTDDVSMPKLKKLLLIETTNSNLRLSKRLAKLRV >Solyc09g009380.3.1 pep chromosome:SL3.0:9:2812814:2819400:-1 gene:Solyc09g009380.3 transcript:Solyc09g009380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYSGSCEIIEAKDELVMFQRSERSGQVRESGRKQKPPLVRKGPNKFLENDINHLFASIDLRSSKSLDLSDHVKRDASKRPMRGGGSHSPGIGFSEPVSLKQALRGLCISQAAEMAAMKRLSKQPGSPSITEAGRITSSYRFADPSESGFIHTERVVQDESTSGTLDKVPHYRQELYVKSANHSPHSSPRLVIKPSISMRQNNRIVPAESTSNSSQRPPQYIGDPKLQSPGQSTLCSPKCVGKQTTKNAEYVAKQNERVFSEEYVPNYGKKVSQQLTQAKVRLPNQNTSRSPRLVDKPVIVSAESTVRRKERIMPAVSTSSTSQKMPRYSEEPKMKLPDQSVLSSPRSVEAPISQSVNSPHLKKENASVSNLHEIKSLQTVSTEEEVETSVASSSSFTSGNDVLGSGPINLQHNSNRSVVASFRIANKKAPKLRRKTILQNVSSSTTKRSNKESKSNKSTTHTANPAVKHKTLVKKKAKPEATAASGTFNFTYEVNSPMESPKQLICQQCQCALKNVGNESGKGPTIQGSGCTAAEASETSNNCHATKPGFAKNGHNDRVTPVLKFNKASKSREPGDFSQSSKSSIGDYSCSTTISDESNLSGSSTGNRPHMSKDGKWEAINQVRKHHGFLGLSHFNLLKKLGCGDIGTVYLAELLETDCFFAIKVMDNEFLARRKKLPRAQTEREILRMLDHPFLPTLYAQFTSHNLSCLVMEFCPGGDLHVLRQKQPCRNFPEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVNPTLLKSSSLGVEAPRISGPCAGSNCIDPFCSGPSCQVSCFSPRILPATARARKLKAEAAAFHRSLPQLVVEPTEARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLYELLYGKTPFKGAGNEETLANVVMQNLRFPDSPLVSLQARDLIRGLLVKEPENRLGTETGAAEIKRHPFFDGMNWALIRCAIPPEIPDLCDIGFTKLAYQEKNKMFLEYSSKENLEFELF >Solyc07g065570.2.1 pep chromosome:SL3.0:7:67412139:67415810:1 gene:Solyc07g065570.2 transcript:Solyc07g065570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAPTSGVNNMTNVNTGVDQLAQRLLEVQLPMASVTRIMRGILPTNAKINDESKESMQKLVSYYINRITKKAMERMERRKTVTTEDILWAMINMGLTIHAGLLAQYLSRYREYNPVSYYNVRKPNCELNVNPLAASHPNEPVPGYPYPYFPPNMLFYDPVTTTLVTSRDFEMATGNDGSPSEASTSTVMPAYPFGQLG >Solyc03g082610.1.1.1 pep chromosome:SL3.0:3:53960808:53961431:1 gene:Solyc03g082610.1 transcript:Solyc03g082610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPITNTSSFSSSIRFMGLLKQPDSNPNNNILDEFDESDVFWSESPDSAVVNSFSPPTPTRHLPQRPPTLYKPSNSGLSAALTDDHHPLVRRKSTLNPSVSATSAAKMIPPVFRSENSNPNSHPKFHQSAPVNVPVWQKKDGPVGGLDQFDEVEDEVEGEEMVPPHVMVAQSHVTFSVFEGVGRTLKGRDLRRVRNAVFQRTGFID >Solyc02g032910.2.1 pep chromosome:SL3.0:2:29940984:29941513:1 gene:Solyc02g032910.2 transcript:Solyc02g032910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNMILLIVASTLVLSTNAIRSLDSMKSQSDEHMKFVRHPILPPFLGGHGLGRPAFGVGPVIGFGPFGGIVGGVGPNNGGGLGGGFGSGNVGGGFGFNGDSNGGDGSFASGQAKASLGNQKP >Solyc08g067160.3.1 pep chromosome:SL3.0:8:56179799:56184554:1 gene:Solyc08g067160.3 transcript:Solyc08g067160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSGSTAGSGSGTTRTSLEFGRTHVVRPKGKHEATIVWLHGLGDKGSSWSQLLESFPLPNVKWICPTAPTRPVAAFGGFPCTAWFDVGDISEDAPDDLEGLDFSAAHVANLLSTEPADVKLCVGGFSMGAATALYSATCHAFSQYGNGSPYRVNLCAVVGLSGWLPCSRTLRRRMQGVNDAVRRAASLPILLCHGTGDDVVAYQHGEKSARILSSSGFQNLTFRTYEGLGHYTIPEETDEICRWLSANLCLGGT >Solyc09g064410.1.1.1 pep chromosome:SL3.0:9:61797613:61797813:1 gene:Solyc09g064410.1 transcript:Solyc09g064410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl33 description:50S ribosomal protein L33, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q2MI79] MAKGKDVRVTVILECTSCVRNSVDKVSRGISRYITQKNRHNTPNRFELKKFCPYCYKHTIHGEIKK >Solyc01g111800.3.1 pep chromosome:SL3.0:1:97887059:97888858:-1 gene:Solyc01g111800.3 transcript:Solyc01g111800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVDFALLSSQEQRGDQMEAEKYSSLSRGANFTKLSFVPLTFLIFYGVSGGPFGVEDTVRAAGPFLALVGYLIFPIVWSIPESLITAELSTMFPENGGYVVWVSKSFGPYWGFQLGWVKWMSGVVDNALYPVLFLDYIKSSVPALANGLPRTIVIVALVIALTYLNYRGLTIVAWVATVLAIFTLLPFLIMGVIALPKLEPSRWFVMDLENVQWGLYLNTLFWNLNYWDSVSTMAGEVEDPGKTIPKALFYALPLVVSVYFFPLLFGTGAVPLHRDLWSDGYFSDIAKIIGGVWLRWWVQGASAVSNMGMFLAEMSGDSYQLLGMAERGMLPEFFAKRSHYGTPFISILFSASGVVLLSCLSFQEIVAAENFMNCFGMILEFLCFVKLRIKYPAASRPYRIPLGTIGSILICLPPTLFLLVVIALCSFKVMIVSFLAILVGLIMQPCLIYCDKKKWLSFSVSSDLVELQTNYHQVVEA >Solyc01g068540.3.1 pep chromosome:SL3.0:1:77570766:77583199:1 gene:Solyc01g068540.3 transcript:Solyc01g068540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRSSKDQEMPTPTLRRSPRLNAAAAAAEVIKKQIEVSKSPTKSTTRLRRSSRLSIGTPQNNGEVKNSERRVSRDSAWVCVAIDDSVEERNGVVTRSGKARVSRCVLGGIIESPVPLSEVAGCGEDDGNGGLGKKEAGLKRKRTQGMEENNVVVNGWTNEQELALQSAYFAAKATPNFWKKVAKMVPGKSAKDCFDKIHSDFVTPPQPQPRSRVKKMNTLSLSPCATKLLQSTEKNTNKRRHSKPKNHLSRKAVRQLLEKQSDADRDKRGDFFNALESSTNPTDGAFCQDAIFVTPERNKESLLRKCLERSSSTQKKHRSRLSDSSGATLTSPPVLKPIKNKALHDKYVDQLHCREAKRKAATSKTAKGNQNKNENVIKPDVIKAAKNALISEARDAINQFQNLQTRAMNSFNDDDDDDYYDENHNSDNDEDAR >Solyc09g074370.3.1 pep chromosome:SL3.0:9:66591599:66595131:-1 gene:Solyc09g074370.3 transcript:Solyc09g074370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESTPATGKTLPSGSMEVRERRKWGVSASEAVSHFGTSGMSVAAATAITHPLDVLKVRLQMQLVGQRGPLVGIGRLSLQVVESEGLKSLYLGLAPSLMRSVLYGGLRLGLYEPSKYLCELAFESTNILVKIASGAFSGAVATALTNPVEVLKVRMQMNTRSSLGPIHEMRRIASEEGVRALWKGVGPALARAGTLTASQLATYDESKQALIKYTPLEEGFHLHLISSTIAGSVSTVMTAPMDMVKTRLMLQRESNCAGLYRNGLHCAYQVLRTEGPSGLYKGGFAIFARLAPQTMITFVLCEKLRGLAGLKAI >Solyc07g055690.2.1 pep chromosome:SL3.0:7:63754159:63764581:-1 gene:Solyc07g055690.2 transcript:Solyc07g055690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCNIFPFAFIILSCSCYLISGQRFDYPTANLSTTWINSVSAPHSVDFTDGSRVRAILLRGTFGPKYACGFYCNGKCDTYLFAIFIVQTNSASQITSPSIGFPQVVWSANRNNPVKINSTLQFTAQGDLVLRNADGSLAWSTNTAGKSVAGLSLTDEGNLVLFDSKNATVWQSFDHPTDALVPGQKLVSGMKLTASVSTTNWTKGGLFSLSAMDNGLVAFIESNPTQTYFDATIGGLNPSGGSNYVKYLNGSLTLFTNSSSSPEMVLVSITPASSAQYMKLESNGHLKVYEWRSRWREVDDLLTGFRGECNYPTVCGRYGICTMGQCSCPISSNSTTYFRPINVRLPNLGCSEAKKLSCNNLKKHRLLEVEDVDYFAFTADISNTDVSTCKRACLDKCSCKAAFFRSGLNSSRAIGECYLPTEIFSLMNNEKDKTRYDSVAFIKVQEDMDRNLADYERNLEKFHAIHELYEEYLNQSLENLEIKDGNEDLLGWWSRRSDAFPTLSKMVRDILAIQASSVASEAAFSAASISADHSVDFSDGSTVRAILLKGTFGPKYACGFYCNGNCDTYLFAIFIVQTNSVSRITSPSIGFPQVVWSANRNKTVRINSTLELTAQGDLVLRDADGTLAWSTNTTGKSIAGLNLTDEGNLVLFDSKNATVWQSFDHPTDALVPGQRLVSGMKLTASVSTTNWTERGLFSLSAIDNGLVAFIESNPPQTYFDATIVGLNASRGSNYVVYLNGSLALLSNSSNSSDSEKLVSFSVATSAQYMRLESDGHLKVYEWQNGWTEVDDLLTGFYGECYYPMACGRYGICSGGQCSCPKSSSNSTTYFRQIDDRQGNLGCSEVTRLTCNALSNHRFLDLQDVDYFTFTTDIRNTSMNTCKDACLRNCSCKAALFRSGLNSSTGDCYLPSQIFSLANNEKDKTRYDSHAFIKVQVEPEVAAAEEKKRVNGAILGSVIGVSILGIIIGVAVFIFWKKRKANEDEEDYLDHVPGMPTRFSYDDLKAATENFTKKLGCLADGTKIAVKCLDGIGQVKTSFLAEVETIGSIHHVNLVQLIGFCAEKSHRLLVYECMSNGSLEKWIYHGKQEQTLDWNCRRKIIQDIAKGLAYLHEECRQKILHLDIKPPNILLDEKYNAKLADFGLSKLIDRNQSQVMTQMRGTPGYLAPEWLSGVITEKVDVYSFGIVILEILSGRRHFEALESEDEQVMVNLFRRKAEEGQLVDLIDKHSEDMQFYKEEVVKAMQIAAWCLQSDYTKRPSMSMVVKAMEGVIDVDKDLDYSFRPQTVSAIPNICFADSAPLLPSVLSGPR >Solyc04g071760.3.1 pep chromosome:SL3.0:4:58801805:58805194:1 gene:Solyc04g071760.3 transcript:Solyc04g071760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSDKDRDLERLMPVGTLAVDVTKINGSKSSDQSPSPSSHHSDKEAFGKHIHSWLSKKFVSGCVILFPIAITFYLTWKLINFVDGFFSPIYNHLGIDVFGLGFVTSLIFIFLVGVSMSSWLGASLLSLGEWIIKKMPLMSYIYTASKQISSAISPDEDSHAFKEVAIIRHPRLGEYAFGFITSSIVLRKNTGAEELCCVYVPTNHLYLGDVFLINSKDIMRPNLSVREGIEIVISGGMSVPQLLTIVDKQSTLSPRIGKFAVPQV >Solyc07g006070.1.1.1 pep chromosome:SL3.0:7:911755:912696:1 gene:Solyc07g006070.1 transcript:Solyc07g006070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDTSAKIFVAGHRGLVGSAVVRKLYQLGCTNLILRTHSDLDLTNQSAVESFFADEKPQYVILAAAKVGGIHANNTYPADFITINLQIQTNVIVSSFNHKVQKLLFLGSSCIYPKFAPQPIPENALLTAPLEPTNEWYAIAKIAGIKMCQAYRLQHNFDAISAMPTNLYGTNDNFHPENSHVLPALLRRFHEAKVNNLDKVVVWGTGSPLREFLHVDDLADAVVFLLENYSDLEHVNVGSGKEVSIKELAELVKEVVGFKGELVWDSTKPDGTPRKLMDASKLVGLGWTPKISLRDGLVDTYKWYLENYGKQ >Solyc12g042750.2.1 pep chromosome:SL3.0:12:59118561:59123359:-1 gene:Solyc12g042750.2 transcript:Solyc12g042750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIIYRKRSLFEDLQSPPPISASSPVSNKLRSSCFSTYSILFDQLRALFPEIHTQLLEKALGESGNDLDAAIRSLHELRIGFSDGKLDTEEIENGMKPTTEPAAQSEDPSAENNFPANGVEWVDCFVREMMTATSIDDARDRATRLLESLEKCISSRAGAEAAQNFHKRKDDAILKRAVVIQHERQKEYDERSQEVQQLKQLIAQGKEQLRTLEMNNYALKTQLRQAQQSNSLLGFKSDVF >Solyc03g116960.3.1 pep chromosome:SL3.0:3:67717997:67721117:-1 gene:Solyc03g116960.3 transcript:Solyc03g116960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNKVIKSTMFSYELWDKIVPWLHYCFISSYKEKEEGTVIRNAVTILSSYKIKSTSA >Solyc07g066030.3.1 pep chromosome:SL3.0:7:67695546:67717253:-1 gene:Solyc07g066030.3 transcript:Solyc07g066030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLYNAWLPPPVAEETKKEKQSFAKVLKSVKESYKSDDPDSVYATLKWVSVIDLFIKAKSELALEDVTEVVEVGLELFRISENKLFAQVRWGNILVKLLNKYRKKLSLEVQWRPLYDTLIHTHFTRNTGPEGWRIRQRHFETVTSLVRSCRRFFPSGSAFEIWSEFRSLLENPWHNSSFEGAGFVRLFLPTNRDNQDFFSHTWIVTCLVHWDSVPNSQFWNSQWASVTARVLKNYSFIDWEHFLPDIFNKYLNMFEVPVANGSGSNPFSVDVPRNTRFLFSNRTITPSKAIAKSIVYLLKPGGSAQEHLEKLVNLLEQYYHPSNGGRWTYSLERFLFHLVNIFQKRLQNEQQRKDDGEQSEIFLGQSERVSFVHSILKLIDRGQYSKNEHLSETVAAATSILSYVEPSLVLPFLSSRFRMALETMTATHQLKSAVTSVAYAGRSLLLTTLSASSMVVDVVDPSDSLVDLMMISLSNALLGMDANDPPKTLATMQLIGSLFSNMAILEETMDQSSIMPGFHFSEWLDEFLFRLFSLLQNLEANSVVNEGLHSQATSGTFLVEDGPFYFCMLEILLGRLSEALFKKALKKISKFVTTNILPGAIAEVGLLCCACVHSNPDEAISHLIKPLLESALSSLKGTPVTGFGGRGAFKISEASKAKPMVSPALETAIEYHLKVLSIAISYGGPSLLHYKDEFKEAIFYAFDSPSWKVNGAGDHLLRSLLGNLVLYYPINQYKCVLHHAAAPALEEWISTKDFTEDKPWLAPKWHVPCSEEIHFANELLKLHFDSPLDDLLKICKSKIHSDPGIEKEHLKVTLLRIDSSLQGVLTCLPDFRPSYRNGMAEEQPDIPFVIAGASGSCVGTMELRAKAADIIHATCQYLLEEKSDDSILLLLLIRIIDSLGNYGSSEYDEWSNHRQSWKLESSAIIEPPVNFIVSSHSKGKKRPSWALIDKACMHSTWRASQSSYHIFRLSANVSPSDHIIHLTDDLLNLSLHSYETVRGLAGKSLLKMMKRWPSTISKCVLSLSQNLKNSSSPECAVLGSCAVLATQTVLKCLTTDLKALSSFLLGILSSSHHETLKAQKAINELFIKYNIHFSGVSRNMFKASGNSEGTDFGVLVSEIGSLSFESSNLHWRYNLMANRVLLLLAMASRNDPNSSSKILSETAGHFLQSLKSQLPQTRILAISALNTLLKESPYKLSEDRPICSTNRQDKFKSSLEEALSNIFQEEGFFNETLNSLSHVHIIDTDGASSKGNHGTSSFQSVADKSITRFYFEFSSSWPRTPNWISLFGNDTFYSSFARIFKRLVQECGAPVILALKDALADYINAKERTKQCVAAEAVAGVLHSDVSGVSESSTNS >Solyc08g005780.3.1 pep chromosome:SL3.0:8:599498:613763:-1 gene:Solyc08g005780.3 transcript:Solyc08g005780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPHHHISNTQDPDPQFPNPNDDPNPTHHLQPQSNPQPRRPRGFAATSAAAAATGTINKSRKEREKEKERTKLRERHRRAITSRMLAGLRQYGNFPLPVRADMNDVLAALARQAGWTVEPDGTTFRQTPAPVNNASNMGTYQVMSVESPVSGSSFRNCSTRASVDCQPSVTRINESLSPASFDSIVVTESDTKVDKFTSTSPMNSAGCLEAGQLMQELHCGEHGGSFSETQYVPVFVMLSSGVINNFCQLMDPDGVKQELQQLKSLKIDGVVVNCWWGIVESWVPQKYEWSGYRELFKIIRDFNMKLQVVMAFHENGGSDTGGMFISLPQWVLEIGKDNQDIFFTDHQGRRNTECLSWGIDKERVLRGRTAIEVYFDLMRSFRTEFDDLFTDGLISAVEIGLGASGELKYPSFSERMGWRYPGIGEFQCYDKYSLQNLRKAATSRGHSFWAKGPDNAGFYNAKPHETGFFCERGDYDSYYGRFFLHWYRQVLIDHANNVLTLASLAFEGIQIVVKIPSIYWWYRTSSHAAELTAGYYNPTNQDGYSPVFEVLKKHSVTIKFVSSGLQVPETDDALADPEGLSWQSAWDKKITVAGQNAFPCYDREGFMRLVETAKPRNDPDRHRFSFFAFQQPSPLVQSAICFSELDYFIKCMHGEINNVES >Solyc04g014360.3.1 pep chromosome:SL3.0:4:4614361:4617217:-1 gene:Solyc04g014360.3 transcript:Solyc04g014360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFPSFYELGNTCSDSYNNFLHEIITSSSSEMFNNINNLESSSVSPRSMAEAKAIAANKSHSEAERRRRKRINGHLATLRNLLPNTIKTDKASLLAEAVRCVRELKQTTSELGATTTTTTMSENDDDDDHTTLMTKIMFPSESDELNLSYCNESNNNNTDNNNDDRNLIIKASMCCEDRPEIMMELRRALSTVEGKIVRAEMSTVGGRIKCILWLEMLENGCKEGLFVQLRRALKVVMDKANFGPQNMGQDLLGNNKRPRLLGGPINYAT >Solyc12g005440.1.1.1 pep chromosome:SL3.0:12:262399:263718:-1 gene:Solyc12g005440.1 transcript:Solyc12g005440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKILCTKLIKPFLPTPPHLQHYKLSFFDQISEKEHVSMVFFFHNYNNIDMDERLEQSLSKILTHVYPAGGRYNEKDKYCSILCVDQGVFYTKAKTNGTLDNFLNKARNDLGHAALFSPHVNKNIDETNFMVSPIVTIQVTEFECGGVAISISTSHPAMDGFSDFQFISEWAKVCRIGTPIDKINILSFNMGDIFPTRDITGIFKSTPTPIIQQDIVVKRIVIHEDVMSRLRKKCTFSTFQPSRVEIITAILWRAFIRATAIINGYLRPSLLDFPMNMRSKITFLPQVKNSYGNFMIGVPVKFIPGENKMELHDFIMLIRNAVNKIVASCKKANSPDEIVATLVDSYNVSFRSPEWGGNDEVDKVMCTSICKFPVHDSDFGLGKPNLIFFGMKDTQMFWLYDIGPEIVVQVDLKERCMQLFDREDDIKDLIFIRDAKL >Solyc03g113620.3.1 pep chromosome:SL3.0:3:65173964:65176086:-1 gene:Solyc03g113620.3 transcript:Solyc03g113620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSFCSQSANDGHNSFTCAGESSPAAVSVGGGVGGGGEIMLFGVRVKVDPMRKSVSLNNLSQYEQPNSNDNNNNNSNSGNNNDASKVAADEGYASADDAVPHHSGSGRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRTNLNRRRRRSSLFDITTDSVSVLATEETKNLQRHSIPSLPCIETSKMNAFQVTSVPVNFGPENPIESPILRQGNQSYYNGSSSIFLRPAPVVVSLPNSSKTADFNLNQSSAMETSSLSLTLSLSPSSSSTRHSGFQVMSNFKNGESIISVA >Solyc04g014290.1.1.1 pep chromosome:SL3.0:4:4590214:4590561:-1 gene:Solyc04g014290.1 transcript:Solyc04g014290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASINLSIVFVIVAVLSLSSISTSHAARSLLQLPNLPTIPSLPQPTLPKIPNFPTTLPPLPSVASLPTANSPLPSLPSVVPKMTLPPMPANPLPNMPSLPKIPTIPTLTPPPSN >Solyc07g007750.3.1 pep chromosome:SL3.0:7:2390133:2391490:-1 gene:Solyc07g007750.3 transcript:Solyc07g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin protein [Source:UniProtKB/TrEMBL;Acc:B1N678] MANSMRLFATMLLLAMLVMATGPMRIVEARTCESQSHRFKGPCVSEKNCASVCETEGFSGGDCRGFRRRCFCTRPC >Solyc10g009503.1.1 pep chromosome:SL3.0:10:3643689:3645494:1 gene:Solyc10g009503.1 transcript:Solyc10g009503.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLKSRTVKRLIYTASIVAASPLKEDGITYKQLMDETCWTPLNFSNPYSQQC >Solyc04g074130.3.1 pep chromosome:SL3.0:4:60218404:60226020:1 gene:Solyc04g074130.3 transcript:Solyc04g074130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVHTIWFKHLESLTLVENPDTILFSGGLFIEPLIPSSITSSFLKISSIDDSSELHSPISRRSGPIFRREKKSVRAGIGGNFLCLSLSLKKNTDVVGNSSECLLSNGDESPKVEESSVGDGVVKKRNIGLRGRGAMNTSKHLWAGAVAAMVSRTVVAPLERLKLEYIVRGEQKHLLELIKTIAVTQGLRGFWKGNLVNILRTAPFKAVNFCAFDTYRKQLLRLSGNEETTNIERFVAGAAAGVTATVMCLPLDTIRTKLVARGGEALGGVVGAFQHVIRTEGFFSLYKGLVPSILSMAPAAAVFYGVYDILKSAYLHSPEGRKRIEYMKNQGAELNAFDQLELGPMRTLLHGAIAGACAEAATYPFEVIRRHLQLQGRASKLSALATCAKIVEQGGVPALYAGLIPSLLQVLPSASISYFVYEFMKIVLKAE >Solyc12g005830.2.1 pep chromosome:SL3.0:12:469192:474152:1 gene:Solyc12g005830.2 transcript:Solyc12g005830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQPNSMENRKRYHRHTQHQIQELEAYFKDCPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKNQLERHENTHLRIEIERMKSEMLRLKEALGNLSCPTCGGHRINIGDLSFEERQLRSENARLKEEIERISSMAGRVVGKSVFPNNNNGYISAPPVDFGVLPAYSMPQRVDGNGGFEDVPIMSPMIIPPISEIEKPFIIELVMSAMDEFVQMAYIQEPLWSPSIENAAFMLNEDEYFRVFPRGIGPRPIGFVTEATRDSSIVIMNKLDLVQILMNVNHWASIFSGIVSRASCVDVISTGGAGNPNGVIQVILAETQVASPQVPTREFYFARYCKLRVDGTWAIVDVSLDQLRAGPALRSRKRPSGCLIEDAPNGCSKVTWVEHVEVDASTVHTIYKPLVSSGLAFGAKRWLAALDRQCDRIASVLVTPTLNNDDMLLTTEESRKNVLKLAERMINCFSSGVSATVGNQWTTVSAGNGTDENVRIMTRKTVGDPSRPPGIVLSAATSFWLPIAPKTVFDYLRNENTRIEWDILSNGSPLQEIVQIANGTQTGNCVSLLRMIGENDNQSNIVILQENSTDPTGSYVIYAPVEICTMNTILNGGDPEHVSLLPSGFAILPDGPPGVRGTYNHGSGGSLLTVAFQILVEFVPVANISLGSVATVNNLIACTIERIKAALLPQPT >Solyc02g067920.3.1.1 pep chromosome:SL3.0:2:38568449:38569806:1 gene:Solyc02g067920.3 transcript:Solyc02g067920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILRRSLQNKFLSAARITTILSSKPIPTSPLFNHPSSSGLGQIPLFLQGGTSDSEPNVGFYPSFSFGHFLNPISPNGLISSVEDSAVDDDSRKIWADSVKKKRKKKMNKHKLKKLRKRLRRKT >Solyc11g032040.2.1 pep chromosome:SL3.0:11:24495957:24498152:-1 gene:Solyc11g032040.2 transcript:Solyc11g032040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFDVKGGKDGNPPEVATIFFETRKKDNKLVEPEAIEKNMCAQLEEMVQADPSLPIIEIVEKCCGPQTLSHVFGIGGGLKAKDLKGGTSSKAELLSALRSIREDIKFLNEENKILNEENKSLNDRLFMDVSENHMKKSKLLSFCPTFAHLL >Solyc09g083300.2.1 pep chromosome:SL3.0:9:69400780:69405191:-1 gene:Solyc09g083300.2 transcript:Solyc09g083300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLSKRQARMKNPSIFNDVVDLNKGNTHPGSLTQHNQQIKGDQPRSSSVHLLSVKATQLNQEVESSVYVDDSSKSDSISNKDTSIEPFNRKNDLSKARAVNEDHHLWFTLIACDKQDVNKPSSYIKKYLAQKLSLQSEDEVELRMLGMLIRPELPLKHLEKLWLRVAPHSAKNSITVEASAQEFVMVLKYSRSHPKLN >Solyc11g027983.1.1 pep chromosome:SL3.0:11:19949178:19952134:1 gene:Solyc11g027983.1 transcript:Solyc11g027983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDQMVKAGLVDEVRQIFITDADYTKGIRRSVVFPEIDRYLREETNIDTDDEAEQMIIQASISSIKRNTRMLICNQLDKIQRLISKKMWSVHHIIATDVFKEDFDEAWTNTVLQKCLVILKRFLKSDFKAEIFCLQVVVYIQKVLKSQRVPIIIGGSNSYIEKHLKDPMFMFIYKYDSFFILIDVEQSVLNNRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSFVVPETDRYFREETNVDGDDESKQMIIQASIQINTRILICNQLDRIQRLISEKIWLAHHIITIDVFKEDRDEDLNEAWTNSVLQPCLDIVKRFLKNYHHNFIIECT >Solyc01g098670.2.1 pep chromosome:SL3.0:1:88976008:88977763:-1 gene:Solyc01g098670.2 transcript:Solyc01g098670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDDVLLLEKLFVLEGIFFDPNSIDEIDAAYEDVTSRTISSLHKAVEELSTGVEKVRDFDFTAFLSFVFAGIPTKDFSRKIMYSFEFQHFLGEDSSSRTEWYGENGERRS >Solyc03g083835.1.1 pep chromosome:SL3.0:3:55183304:55185306:1 gene:Solyc03g083835.1 transcript:Solyc03g083835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSQNDENLDEVVVSRKRKRYSKGQCKYCNSRYKNDEGHYCDQDVSRKQLSHAIILHNYPLFIVDHVEFRNFVTSLQPMFKMLKSKTAKLLDKVTSRVAIITDMWTSNGNKKGFMAISEHFIDDSCSIQSHIFMFVYFPAPHDKDALCSALVNCLFDWNLERNINYHMDDRVFHIRCDAHILNLIVQEDWIGSSGRIERFEENACLLRCSCKKNLEYDCPTRSHAEDVCGKLKLFYHITKQFSRTQYPTSSQYFTKVCEIKMELEDRDRYTTIFDPRCKMKFVEYFLPQIYGKDLFHDYNSRLSSPRGSFEVSMNFDRFVALSQATTEMKSELNLYLEESLLPRTPSFDNLSWWKTNGLKYPTLQKMARDLFRRYLTSTIDKVSCPTLIDEEKELEFSFIG >Solyc03g078675.1.1 pep chromosome:SL3.0:3:52757353:52758534:1 gene:Solyc03g078675.1 transcript:Solyc03g078675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKKINTERSIALHPLLAVDEHTPLAIPRERRPGPFNTSPYVMTFSSEFGSSSRFHYMFELKHQFVAMSDVELTTLYMISKEEQYKKNKSRLQMWFHFGIHIDVILYYIRKRAKYSDSDNNEFNFITVNYNFNKLIANVWDAYHNLDSTVNKESTEESISEYINGYRIHVVAPWHTVDNILILVSIQQIFHWVLIVVYFNEKCIHVYDSLRGGSLHNSSVSNEIKKYAQLIPMYLSLVFTGRKALTYRHIRNTSSILKLTLLKLYMSMI >Solyc08g005760.2.1 pep chromosome:SL3.0:8:598439:605198:1 gene:Solyc08g005760.2 transcript:Solyc08g005760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMPISITYEETKLVVPTITTPHETKYLSEIDDQGSTRFHSHLLIFYKYNSLMEGKDPAKIIKDGLSKTLVFYYPLAGRLIEGPNKKLMVNCNGEGVLFIEGNANVELEKLGESIKPPCPYLDLLLHNVPGSDGIIGSPLLLVQVTRFSCGGFAIGFRVNHTMMDAYGFKMFLHALSELIQGASTPSILPIWQRHLLSVTSLSPNITCTHNEFDEETPSKIAWESIEDELIQQSFFFGNKEIEAIKNQLSPYCGSTKFELLVAFLWKCRTIALDLHPEEIVRLTFFVSIRGKLQKYKLPSGYYGNAFISPATISKAGLLCSNSLTYAVELVKKLKYDMNEEYIKSLTNLMVIKGRPKLSKSWNFIVSDNRFVGFDEIDFGWGKPIFGGVSEKISLISIGIPINNEKGEKGILVAISLPPLAMEKFQKIVYNMNFKNPKLVVPSSVTSHETKRLSEIDDQGFIRLQIPILMFYKYNSSMKGKDLAKIIKDGLSKTLVFYYPLAGRLIEGPNKKLMVNCNGEGVLFIEGDANIELEKLGESIKPPCPYLDLLLHNVHGSDGIIGSPLLLIQVTRFTCGGFAVGFRFNHTMMDAYGFKMFLNALSELIQGASTPSILPVWERHLLSARSSPSITCIHHEFDEEIESKIAWESMEDKLIQQSFFFGNEEMEVIKNQVPPNYECTKFELLMAFLWKCRTIALNLHSDEIVRLTYVINIRGKKSLNIELPIGYYGNAFITPVVVSKAGLLCSNPVTYAVELIKKVKDHINEEYIKSLIDLMVTKGRPELTKSWNFLVSDNRYIGFDEFDFGWGNPIFGGILKAISFTSFGVSVKNDKGEKGVLIAISLPPLAMKKLQDIYNMTFRVIISNI >Solyc02g022900.3.1 pep chromosome:SL3.0:2:24869961:24870637:1 gene:Solyc02g022900.3 transcript:Solyc02g022900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVFEKYHLNHHLHIQTKGFGITPLSGTSCLERYHNLNQPRTNNSFQTGE >Solyc02g081960.3.1 pep chromosome:SL3.0:2:46269306:46273576:1 gene:Solyc02g081960.3 transcript:Solyc02g081960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGITPILPLPAPPLDGNLGPTPLAQVVEEPNDVKMEENEEENNKDKVPASVATHTRTIGIIYPPPDIRSIVDKTSQFVAKNGPEFEKRIVLNNAGNAKFNFLNASDPYHAYYQHRLAEARAQNQGSGEQPTQPEDKEATPAPTADDAEATAKPDPSAQFRPVRKVLEPPEAEQYTVRLPEGITGEEMDIIKLTSQFVARNGKSFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTNKLQKSAADMTTVLERCLHRLEWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDQDLPPPMTLEEVIRRSKMPTLEEEEYVEPGKEVEMEMDEEEVQLVEEGMRAATLEENGGVKSAETMAISGENDPPMRIVKNWKRPEERILAERDPTKYVVSPITGELIPISEMSEHMRISLIDPKYKEQKDRMFAKIKETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKIEEPKQVIWDGHTGSIGRTASQAMSQNSGEDQNDAANDVRNLPGPQVPPPPRPGLPSVRPLPPPPGLALNIPRPPNTFQYSTPTIAGAAPPPPQPPMVNMIPQVRPPPPPMLQLQGQQNLMVNRPPMPPSMAMSSHTLTIPPPPGSQFTPMGAPRPFVPHPMSQPGMSMVPPPPMPQGMPPPPPPEEAPPLPEEPEPKRQKLDESVLIPEDQFLAQHSGPARINVSVPNTDEGNLKGQVLEITVQSLTETIASLKEKISGEIQLPANKQKLSGKAGFLKDNLSLAYYNVASGETLGLSLRERGGRKR >Solyc03g095820.3.1 pep chromosome:SL3.0:3:58875851:58893918:-1 gene:Solyc03g095820.3 transcript:Solyc03g095820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDFAQVAGVNALGVINLIIAASKNATTHKRNCEQLAEHVRMIGNLLEKLKSTDLMKLSATAEPLEGLDAALGNALELVNSCREKSYFYMLAMGWSVVYQFQRVQTEIDRYLNLVPLISIVHDFRMQDVHESLEAVEGDYHCEYTLDKEDIEAHRAVLKPDRSKKDANVLEKSLSRRYPDLRFHEALEEEKEKLHLELHLSQANNDPKQCRIIEHLIDVTQNVVNVPDEKFLALSAQPYIRTGLVSAAKVGQVQMVNVSQAEHQEKSKWQTDLFDCCSEPCLSREHALNDLLTYSLFCGCCCYTCCVRRSLRQLFNIEAFYVYRSYLGFICHNKMVSAPEQHVHFWGMRLSREEDDSTSIPVYEALNSSTDVEIFLLRKKEKLAFAIGEREEGCDVFSGSWVWDNKSRPLYTENCPYIQPQLTCQQHGRPDNDYLYWTWQPHSCSIPSFNATLMLESLRGKRMLFVGDSLNRGQFVSMVCLLQQHIPDNAKSMETFGSLTVFTAKDYNARIEYYWAPFLLESNADDAVIHRITDRVVRKGSINKHGKKWRGADIIVFNTYLWWMTGMPFKILQKGSFKDEVKDIVEVSTEDAYRMTMKSMVRWLKKNMDSNKSRVFFTSMSPSHYKSMDWGGEAEKNCYNETKMIEDASYWGSDCSKNVMQVIREVFSKSEVPITFLNITQLSSYRKDAHTSIYKKQWSPLTPEQLANPVSYADCTHWCLPGLQDTWNELLFTKLFYP >Solyc10g079690.2.1 pep chromosome:SL3.0:10:61314285:61315843:1 gene:Solyc10g079690.2 transcript:Solyc10g079690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMCQIDPFDDKSISTNMMMLKNLSSSRVIFVMVILTITLLVLPLILPPLPPPPLMLLKKQMENFSYLRIFMVH >Solyc11g065425.1.1 pep chromosome:SL3.0:11:51159704:51165164:-1 gene:Solyc11g065425.1 transcript:Solyc11g065425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIQYLYLSPIKKEATYIISNFAARITVSSVVDAIIPRRSDRIANLSEINLPNFSVYPVNVQLIIISAKNPYFVGDVVSTVLKTKKSFVLVDSTLVHLRFLDEKIGGMILIFKEIEPFNSSLRAYTLNIPRECINHGYHWGRVRITSKGMVILEENLLSFSLILLTSSTFILTRGLSFTSITGVNICSLYLTTFVCPFFIYNIWVPSVPLSDSLVVASFLGMLELSSTSSKVDLYFNFSSSRPSLGASGFIHDKRRTNRDFGLWERGKRIFAMRDRGLHTIVGGTVVP >Solyc10g018340.1.1.1 pep chromosome:SL3.0:10:7429968:7430384:-1 gene:Solyc10g018340.1 transcript:Solyc10g018340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLHGFVLKDHVTSLISAKPLSRFLKWTQHLKTICSKALGSGSGRGYVQIGHVEKASVVPKGHMAIYVGQRDGDCKRVLVPVIYFNHPLFSELLREVEDEYGFNYSGGITIPCRISEFERIQTRIKQGRVVRKVSTL >Solyc04g047810.1.1 pep chromosome:SL3.0:4:37750306:37752110:1 gene:Solyc04g047810.1 transcript:Solyc04g047810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGSEAASHFQHNYSYWLRPRKGKVYNRRFKKNQMHNNCKTVLPDFQELQNMTRKTSKVSTTEGLKDSPGKAASLCVQKKPRLQASSSKNGLIVKHKKNSQINHKKKDAQVSNDKTPSDRQNDLENKSKP >Solyc02g062960.3.1 pep chromosome:SL3.0:2:35472018:35481648:-1 gene:Solyc02g062960.3 transcript:Solyc02g062960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLVTCKLGRGPSFEHEHKLESERKDRLASELGLDPRQVAVWFQNRRARWKSKKLEEEYSKLKNEHETNIVDKCRLENEVLKLKEQMCEAEKEIQRLLTERSDGVSINSPTTSSLSMEAAMDPPFLGEFGFDNSFYGPGHDGMEWVNNLYNMPYHM >Solyc04g076040.3.1 pep chromosome:SL3.0:4:61089611:61092819:-1 gene:Solyc04g076040.3 transcript:Solyc04g076040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMDCAVSSLLCAEDNSSIFCNEDDDVGFGFVEEVVGEDIWYPRIHRNGQENRKLFNGDEFYTGVPLQSDECLVLMIEKECEHMPAVDYLERLRNGDLDIGARDEILDWIAKVHSQFNFGPMCAYLAVNYLDRFLSAYDLPKEKAWMMQLLGVACLSIAAKMEETDVPLSLDLQGGDAKFVFEAKTIQRMELLVLTTLKWRMQAITPFSYIDYFIKKINNSDQISSINKSVELILSTLKGINFLEFKPSVIAAAVAISFAVKTETLDSEKALSALVQHVQKDKVMKCVELIQALSLASDFVKVPIASSIPSVPQSPIGVLDAACLSYTSDGSGVESRSNSSHNSPVKRRKLNT >Solyc01g014170.1.1.1 pep chromosome:SL3.0:1:11681555:11681725:1 gene:Solyc01g014170.1 transcript:Solyc01g014170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWQAVLMGYGCGLVIGLSIIYIMLSTHYLAWLSRMDVKLKHEILMRMKRNKKRH >Solyc05g043234.1.1 pep chromosome:SL3.0:5:56615045:56616222:-1 gene:Solyc05g043234.1 transcript:Solyc05g043234.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDRNSLTTNQMDKLLLKLKEYTYSLYFSYYSSSSPINKQFLGQKANSENFCECIRQDLYKPNNFRMYIYKVQKCSELYSHNWTCFSSRGKIASCMKGDHCELCHRVFEYSLHPTKYRTILCQAGMSCNRPICFFAHTLKELRPETKYNWYYVYQYLLYIQSFPGIMIENGSNCNWMIILCNL >Solyc01g014065.1.1 pep chromosome:SL3.0:1:11083709:11085844:1 gene:Solyc01g014065.1 transcript:Solyc01g014065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTKITIIFFSFITIMVVSTKAVVYEVGDSQGWIVGNIDYSQWASTKKFHVNDILVFNYNNKYHNVMQVSKQQYVSCTITNPIATFNTGKDSITLQAYGDYYYVCGIPGHCQIGQKFHINIVGTSSQASASFVASPLSFMAMKSYYHPKIQKKIQVVKRSKYL >Solyc03g110940.3.1 pep chromosome:SL3.0:3:63146117:63154988:1 gene:Solyc03g110940.3 transcript:Solyc03g110940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHFDLKEFQEKVSTQFRPWQRSFQFWVRAADIYTGYKVFQVRASLEKDVKKQEMMWEKQHEVAADKIYSMCSELGGFFLKVAQIVGKPDLAPAPWVKRLVTLCDQAPATPYNVIRVVLEKEFGQSIDELFEYFDKDPLGSASIAQVHRARLKGDKNDVVVKVQHPGVQELMMTDIRNLQAFALYIQKTDVKFDLFSVTKEMEKQISYEFDFVREAEAMARIRHFLCQNNKRSPVRVPCVIRDMVSRRVLVMEYIDGIPILKLGDEMEKRGIHPDGKLAAAAKQNILKNLSLAYGQMILKSGFFHADPHPGNILICKGSEVALLDYGQVKDLPDELRLGYARLVLAIADNDPLKASESYRDLGIETLSKCEDEQNELLRLAQTMFDTKLPPGVTMLQPFAEESSIKKIAVKAFPEELFSILRTVHILRGLSVGLGINFSCAEQWRPIAEEVLYVAGRLTAKDLKQIHRRGTSRRRFWRQVFGVGK >Solyc01g079380.1.1.1 pep chromosome:SL3.0:1:78322558:78324045:1 gene:Solyc01g079380.1 transcript:Solyc01g079380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQQEELNEHAAHSAGQLSRGKTKLNNLETGSNVEEVPFLSLAPFELLSNNPSRCRRRDKVRDVNGGANMHQKLSATQIMRLAGERFIQFSSNKFININNLLHPYGSAFSDLSPEDNQNVELAQILLAVAEKVSDQHYDRARKFLSQCQLLASNTGNPVQRAVFYFAQALGERIDRETGKLMPKICTETDELNSICVASRFHASFVALHQEVPFTQIVHFTGIQAILESVAMKPKVHLIDFLIRTGVQWASLIQAAAERKDNPIEHMKITVIESVNKERVIETGKSLESFANSLNFPFSFKIIFLPDRNQLTEDHFDIKPDEAVVIHAAFFLRAMISIPNCLETAMRVVRRLQPSLMVVSEVEANLNSPLFVNRFIDTLFYYSALFDCLEDVMKRDDQHRMTLEVSYGAGIRNVVGNEGEERVIRSVNLDVWRSFLKRFGMEEVKLSDTCLYQANLIIQQFACKNSCSVDVNRGSFMISWKGTPIYSTSAWKFH >Solyc09g091900.3.1 pep chromosome:SL3.0:9:71586953:71592235:-1 gene:Solyc09g091900.3 transcript:Solyc09g091900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGVSLKTQELYALVFVTRYLDIFTDFISLYNTTMKLVFLGSSLSIVWYMRHHKIVRRSYDKDQDTFRHVLLVVPCLVLALVIHEKFTFKEVMWTFSIFLEAVAILPQLVLLQRTRNIDNLTGQYILLLGYQIDRFASFESQPRTPFLLRHHSTATTFGYNKHIACQPTGYVTILRAITNQWRYGIINHSLISLFAFFIGDHGSDDKFNLTWFIDSCLAAHIAWIAGLIQTLLYADFFYYYFQSWKNNTKLELPA >Solyc06g017910.1.1.1 pep chromosome:SL3.0:6:15459513:15459776:-1 gene:Solyc06g017910.1 transcript:Solyc06g017910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4C4B8] MARIYMAFLHFLGQETDAQNNTYILEVGGNRRKRIREGTPSSIRYNHRKFWDRHDCLVIQRNMDLFFFKGDIQVPTLKWEALIWILR >Solyc03g118260.2.1 pep chromosome:SL3.0:3:68654875:68670755:1 gene:Solyc03g118260.2 transcript:Solyc03g118260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGKTLFARIDDSPMFRQQESGLSGINPETTSIGIVYQSVFMNNQAETSYALAEVFFLERIQSLEESSETLRDKCLKYYKGCRKYTEGLGEAYDKDIAFASSLETFGGGRNDPISVAYGGPDMAKFATALREIGTYKEVLRSQVEHVLNDRLLHLATIDLPDVKEARKRFDKANVAYDQVREKFLSLRKSTRMDIAAAIEEELYNARLSFEQARFNLVGALSAVEAKKKYEFLEAVGSMMDSHLRFFKQGYEVLHQMEPYISQVLAYSQKARESSMYEQAALNERMQEYKKKIDQERRCSFNGSTNGDVTQPFSRSSHKLIEAVMQSASEGKVQTIKQGYLSKRSSNIRGDWKRRFFVLDSRGMLYYYRKQLSRPSGSASPLLSNRCSSPEPGSGLLSRWLSSHYHGGVHEEKSVARHTVNLLTSTIKADADQSDLRFCFRIISPTKNYTLQAESAAEQMDWIEKITGVITSLLTSQTPERHFSVSPISESSSIGSPIDHDQRAMEEYTSGRDLTGRSFMRPSKSSVHILSTKRERPVEALKRIPGNDKCADCGAPGPEWASLNLGLLICIECSGVHRNFGVRSLKLDVKVWGPSIITLFEALGNVFVNSVWEELLHARKTFQADEIPMRFFESDKHKEFFGKPSYADHISVKEKFIHAKYAEKRFIHKVKDTTHLLSVAEQLWEGVRANDKKAVYRLIVVYQADVNAVHGEEASPGSDSSSSFNPQSESEEHCIDEFLDGCSLLHLASQTADIGMVELLLQYGANINACDSRGQTPLHHSVMRGRTATSKLLLARGANPHIADLEGKTPCHLVSELALNDVEILALLKVANR >Solyc06g008520.3.1 pep chromosome:SL3.0:6:2404888:2408646:1 gene:Solyc06g008520.3 transcript:Solyc06g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRERGNRAALFDGIEEGGIRASSSYSSHEIDEQENERAIDGLQDRVSFLKKGNDMDSSRGVLSGTMDKFRMVFETKSSRRMFTLVASFVVLFLVVYYLTR >Solyc12g027765.1.1 pep chromosome:SL3.0:12:26502266:26511826:1 gene:Solyc12g027765.1 transcript:Solyc12g027765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELENKYERMDELKDKYEQLARVLLGQPSTPASSDDIVIYSRTLEEHGNHLSLVLSQLRKYTLYVKMEKCEFAQQEIKFLGHLVSKNQVRMDPKKVQALVDWQAPRHKAASLTDLLKKDAKWVWSKQCEEAFQNLKNAIALEPILKLPDFELPFEVHTDASDKAIGGVLVQEGHPIAFESRKLNDAEQRYSTHEKEMVAVVHCLQIWRVYLLGTRFVVRTDNVANTFFKTQKKLSPKQARWQEFLAEYDFMWEHKPGKHNQ >Solyc01g103540.3.1 pep chromosome:SL3.0:1:92005610:92012259:1 gene:Solyc01g103540.3 transcript:Solyc01g103540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKIIEKPEAVAPGLKSDPSNKLIEKDLVSKKDGKAADSVASLGAVTSIKCENDQPPAAEQGYNGTYNQLDEQAYFNAGVQSDNGSLLYYMPGYNPYSAGFVGGDGKQPYPSSGYLQQPVSYGSDSMPCYTWGSPYCADITNSAAPKSGNVKSTFGRNGSVKSNGFNSTKTNSSFSSKNSTVLFNPKSRPATAMSNPPKSFHQAQPFNPVNKFQSDVQSGGLMKGFHLVGDYPSYTSQNQGFFMPYDPINCQTNSRMWNGNYRAKPRGNFTRNGVFEATNELPRGPRANGRSVPSKPSAEEDQLVPAVQREKYNKEDFKTQYDNAKFYIIKSYSEDDIHKCVKYDVWSSTPNGNKKLDTAFVESEAKASGTGSSCPVFLFFSVNGSGQFLGVAEMVGQVDFNRNMDFWQLDKWSGFFPLKWHIVKDVPNTQFRHIILENNDNRPVTYSRDTQEIGLKEGLEMLNILKNYSEKTSILDDFNFYEKREKVLKAKRSSKPVIQADAYEKADSLKQFKGGDKVLEEELKTNSTDPTAPLVSLTKNLSINSRPFKSSV >Solyc12g036280.1.1 pep chromosome:SL3.0:12:46092737:46093079:1 gene:Solyc12g036280.1 transcript:Solyc12g036280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSTPHMVEVSNEEGNDGHQATSPIQMEFVNNYQNIGIVDFDDDNQTEDTLKNHQVMTNISELQSPNANSHHTDETCEHSKIILAE >Solyc06g060340.3.1 pep chromosome:SL3.0:6:38438850:38440845:1 gene:Solyc06g060340.3 transcript:Solyc06g060340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSBS description:Photosystem II 22 kDa protein, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P54773] MAQTMLLTANAKVDLRSKESLVERLKPKPLSSLFLPSLPLRFSSSSTNASSSKFTSTTVALFKSKAKAPPKKVAPPKEKQKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILAQLNLETGIPIYEAEPLLLFFILFNLLGAIGALGDRGKFVDDPTPPTGLEKAVIPPGKSFKSALGLSEGGPLFGFTKANELFVGRLAQLGIAFSIIGEIITGKGALAQLNFETGVPINEIEPLLLFNIAFFFFAAINPGTGKFITDEEED >Solyc07g064660.1.1.1 pep chromosome:SL3.0:7:66841412:66842287:-1 gene:Solyc07g064660.1 transcript:Solyc07g064660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNQSYWASIESDIEAHLKKAISIRAPESVFEPMHYLTFTTPKSTAPALCVAACELVGGDRDRAMAAASAIHLMHVATYTHQHMTMARTEPGPVIEHKFGPDGILVESQAKGLGPARNIRDIEPEHKFGPNIELLTGDGIMPFAIELIVKAMSPARKNSDKIVSVIIEVTRAFGSQGQLEGQYRELEWAQSGNEDEETFEYVCKKKEGEIHACGATCGAILGDGNDEEIERLRNYGLYVGIIQGIINGRNYNKKMEKRVNELRILAMKELNTSFKGKKLMKQIASLVEES >Solyc01g008340.3.1 pep chromosome:SL3.0:1:2397669:2405412:1 gene:Solyc01g008340.3 transcript:Solyc01g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative L19 ribosomal protein [Source:UniProtKB/TrEMBL;Acc:A1X876] MWRRFSSQLRALTPSQSPPKCNLFVTGSVSSSSLTSEIFRPSVALYNRHFSSEFGNASKEDEVEHGNLFKTRLNNIHASLTSPYKGYTSCLSQYNAAVPSFPRRFIATGATSVNMVSEDASALATPRIKFKRLDKTAKHIMQILDKEAVEEVRAQNEIPDIKPGYIIQLKLEVPENKRRVSTIKGIVIARRNAGLNTTFRLRRLVAGVGVENLFHLYSPNLKEIKVLDKKRVRRAKLYYLRDKMNALKKH >Solyc05g015861.1.1 pep chromosome:SL3.0:5:12533728:12535679:1 gene:Solyc05g015861.1 transcript:Solyc05g015861.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTEWTGGLYVSPTIAGSRAGGLIAGAWAAMISLGSEGYLENTKEIMEASKRIQKGIQDIPELYVIGRPDMSIIAFGSDVVDIFEVNDVLSSKGWHLNALQRPNSVHICVTLQHVPVVQIFLKDLRDSVQTVKENPGPVNGGFAPIYGAAGKMPDRVMVQDLLVEFMDSSC >Solyc04g040165.1.1 pep chromosome:SL3.0:4:12016778:12017649:-1 gene:Solyc04g040165.1 transcript:Solyc04g040165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSHQKSADEKQKQLKVSNLLNCKSNEQTISKKGDPFSQRRKIKVGLFKTDGAFWVGI >Solyc05g053710.3.1 pep chromosome:SL3.0:5:64596258:64603180:1 gene:Solyc05g053710.3 transcript:Solyc05g053710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNHVPDWLNNSLWSSRSPSPQQSLTPAETSIDSEDMTRSKNSAVKSSVSAESPVNLPVPMPPPAVIRAETRPVPPPRPKAEIVGPLNGNNSSNCCSDGENGSSASCTTTSGISSTEDISRQAQLSQELSRKIINLGEVRRLASQGIPDGPGLRAIVWKLLLGYLPTDKALWPTELAKKRPQYKQFKEELLMNPSEIARRLEKSASLENDGTETDIEGKGLLSRSKVTQEEHPLSLGKSSIWNQFFQDTEIIEQIDRDVKRTHPDLHFFSGDTPSAKSNQDALRIILIIFAKLNPGIRYVQGMNEILAPLFYVFRNDPNEEDPAMAEADTFFCFVELLSGFRDNFCQQLDNSVVGIRSTITRLSQLLKEHDEELWRHLEVTTNVNPQFYAFRWITLLLTQEFNFPDCLLVWDTLLSDPEGPQETLLRICCAMLIIVRRRLLAGDFTSNLKLLQNYPSPNISHLLYVANKLRVKSPGLNF >Solyc02g065000.1.1.1 pep chromosome:SL3.0:2:36696651:36697172:1 gene:Solyc02g065000.1 transcript:Solyc02g065000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSRPSLHLSKVKQTPSTTSLVHFSPKLEEMKRVFDKFDTNKDGKISKEEYKSAMKMMSSGGGNTKSYEVDDAFQAADTNGDGFINFDEFMRVQSLEGGVNSTDIKSAFKAFDLDGDGKISAEELLQVQRMLGEKCSLESCKKMVRGVDANGDGLIDMDEFVTMMTRTMKLV >Solyc08g062190.3.1 pep chromosome:SL3.0:8:50729575:50743695:-1 gene:Solyc08g062190.3 transcript:Solyc08g062190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFKPEQARVPPALQLPSPPITKFKIGLCQLSVTADKERNIAHARAAIEEAAEKGAKLVVLPEIWNSPYSNDSFPIYAEDIDAGPDASPSTAMLSEAARLLKITIVGGSIPEHSGDKLYNTCCVFDADGKLKAKHRKIHLFDIDIPGKITFKESQTLTAGETPTVVDTEVGRIGIGICYDIRFQELAMLYAARGAHLICYPGAFNMTTGPLHWELLQRARAVDNQLYVATCAPARDVDGGYVAWGHSTLIGPFGEVLATTEHDEAIIISEIDYSQIELRRTNLPLEKQRRGDLYQLVDVQRSSSQ >Solyc02g063075.1.1 pep chromosome:SL3.0:2:35671818:35681417:1 gene:Solyc02g063075.1 transcript:Solyc02g063075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHRGGDFYGAASHRSRDGLSTRAVGGSDEIQLRIDPMHGDLDDEITGLRKQVKQLRNVAQEIESEAKYQNDFINQLQMTLIKAQAGVKNNMRRLNRSIIREGSNHVMHVVLFALFCFFVIYWLAKFSRR >Solyc03g005070.1.1.1 pep chromosome:SL3.0:3:53629:53784:-1 gene:Solyc03g005070.1 transcript:Solyc03g005070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSNFNVQPVTQLKHETLFQRGAAFLENEVFKCLIYTPVMVAVSVTNRCW >Solyc07g005510.3.1 pep chromosome:SL3.0:7:400603:406327:1 gene:Solyc07g005510.3 transcript:Solyc07g005510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSVADSGFLVLASKKGPVHSTRIGQIASSGACCLKWESLPQISSKQKGCLIYSRKKNVIQAVAVPIAPAPLDSAEERNRLSEKYGFRQIGEPLPDNVTMRDITESLPKKVFEIDDGKALKSVLISVTSYTLGLFMIAKAPWYLLPLAWAWTGTAVTGFFVIGHDCAHKSFSKNKLLEDIVGTLAFLPLIYPYEPWRFKHDRHHAKTNMLHEDTAWQPVWKEEFDSVPALRKAIILGYGPIRPWMSIAHWWLCHFNLSKFRPNEIDRVKISLGCVFAFMAIGWPLIIWKTGIIGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKTSDEWNAAQAQLNGTVHCDYPSWIEVLCHDINVHIPHHISPRIPSYNLRAAHQSLQENWGKYLNEASWNWRLMKTILTVCHVYDKERNYVPFDEIVPEEAKPITFLKKVMPDYA >Solyc02g070550.3.1 pep chromosome:SL3.0:2:40860209:40865541:1 gene:Solyc02g070550.3 transcript:Solyc02g070550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADGGGVLPIPSQTASFPPHDDDDHPDFSPVGSPESHFINDHSEPSDQLHAQVTATVLTDDLRSKIVKQVEYYFSDENLPTDKFLLKYVTRDKEGFVPVKVIASFRKVKKLTKETSIIAAALRESSLLVVSRDGRKVKRLHPLPLSEIKDPKVCTVLVENLPEDHSVNNLRSIFGQAGNVKHITIRDPHTERDPRKCTTAEKLLSGKLHALVEYNTVEAAEKAVTILNDEQDWRFGLRVKLLKKINKPGQSKKGWRDPDSDRNNNIQASDPAVNEEHNSSEHRVDSQDEEEGDHLSKETIGEHAQKEKNGPRVPTRNRGRGRRNKRGTNGHGHGTTSSTHLVEPSKPPPGPRMPDGTRGFAMGRGRPLSSSPS >Solyc02g069935.1.1 pep chromosome:SL3.0:2:40281445:40281942:-1 gene:Solyc02g069935.1 transcript:Solyc02g069935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKQDKNWKHHKKQKYKITGAVACNHAYCKTSEHISDKESNSRYILSAFLYIENQNVQPTLG >Solyc12g042020.2.1 pep chromosome:SL3.0:12:57442845:57445682:1 gene:Solyc12g042020.2 transcript:Solyc12g042020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIPFLVSDNPSSIGTRPRILGHDMTTYYGVFVDNMLTHLNVNWTNVSHSSRNVSDQVKTRKKLNRFIGQVISLYGLDKTTEVYNEDFLIIMVISRIENFEATIDQTSSYQKMSKLNALDNTQHDLEELVDAGITKIAQIVILSPINKTDSLDSLDTCGFFVHARNFYLYIPSIHATNWRDYIFFKMCLKVLVMIVFISMIWIAKGLGALALYNPAYPHPKLTIGTNKHCDYEFLTLPLQDHTIGLQVLHQNQWVDVPPMRGALGVKIGYLLRVNISMYIRVEHTVMVNKVGTTVLDLCSFMLLEGNSKKYHGTSALSHYKI >Solyc03g083060.3.1 pep chromosome:SL3.0:3:54308480:54312831:-1 gene:Solyc03g083060.3 transcript:Solyc03g083060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKGVLSSCLITSEGRRESLCPIFFGVSCAFVALGLLPEPEKCDESLLEVRNRMLQGSAHLLGLLVWRVQRYEARNEKSELLLKLANAEKKIEELKGLRREDAKANEKVVCIYAAQEQCWFNERKKLRQQIGAFMNELRVVEKHKDTLVAELDCKLEESKVVVQSKDKIIEDEGKARHDLEEKLKKAEAVAEELRNTAKFDAQRHCNEISKHKTAFIELVSNQRQLEAEMGRALRQAEAAKQEVTSVLEQKEQAILMTQKLSMELVKMRKDLEQKEQILSAMLRKSKLDTTEKQMLLKEIKLSKAKRQQAELETERWKAASESWYERHSLRNMLYKRMSPKLEVVPSGKGMLSSATMLPTGKSRSHKVDYLLDEQPEGTKEPELFPHVPDKFLTEDAEEEIITDDVEHLENWVRSEAEKYSVAVEHRHHRELDAFAEQLRLKDERLEAFRWRLLSMELESKRLQSHIEVLDHDLAQLRQDNMKLDALLLNREVEVQSLKQQLTEYFHLPDSQKSNANACPKEQDKANHTVWSKVTLIKTKLGEKEQETKNHPEETSQKVKNGRKVETRTNNPQKDIILTLQYPTKEIGEAKDGVSHMNASKTEHFSTEDARNAETSTSECDGEIKKNKSLWKMDLHALGVSYKIKRLSQQFVMLERLTSKQEPAGNSENNDNGRSGMRGFRALMSLLNKQVARYESLQGKIDDLCKRMHENDLNVNCEGSVIRKTKEETKLLEHFLEETFQLQRYIVATGQKLMEVQTKIASGFVVAAEELDTPASFDVKRFADGIRTLFREVQRGLEVRVSRIIGDLEGTLACDGITYFKR >Solyc02g071260.3.1 pep chromosome:SL3.0:2:41313227:41318886:1 gene:Solyc02g071260.3 transcript:Solyc02g071260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:K4B8A2] MESQSSENRRGGGGRTSLNQNKQNNNKDSGLNTSSAASNMKNNASKAALAQYNADAKLMAEFEQSSVSGKSFDYSKSVLFPPHEANEEEITSYLSRIQRGGLVQPFGCMVAIEEPTFKIIGYSENCYDMLGFKPTKMKLGLIGVDARNLFTPSSGDSLAKVMASREISLLNPIWVHSRTTHKPFYAILHRIDVGIVIDLEPANSSDPALLLAGAVQSQKLAVRSISRLQSLPGGDIGVLCDTAVEDVQKLTGYDRVMVYKFHDDNHGEIVSEIRRSDLEPYLGLHYPATDIPQAARFLFKQNRVRMICDCNAQPVKVVQSEELKQPICLVNSTLRSPHECHSKYMANMGSISSLVMAVLINSGDSMKLWGLIVCHHTSPRYVPFPLRYACEFFTQAFGLQLNMELQLASQLAEKKTLQMQTLLCDMLLRDVPFGVVTQSPSIMDLVKCDGAALYCGGKCWLLGVTPTEAQVKDIAQWLLVAHKDSTGLSTDCLADAGYPGAALLGDAVCGMATARITSKDFLFWFRSHTAKEVKWGGAKHHPDDKDDGGKMHPRSSFNAFLEVVKSRSLPWEIPEINAIHSLQIIMRESIQENENSSLKTLTTSQQNDADGPSMDELSSVAMEMVRLIETATAPIFGVDPSGLINGWNEKIADLTGLHASEAVGMSLINDITHEDSRGTVEKVLHRALLGEEEKNVEIKLRRFGKDPPGSVIYLVINACTSRDHKNGVVGVSFVAQDVTPEKFIMDKFIQLRGDYEAIVQSLSPLIPPIFASDENACCSEWNAAMERLTGWTKYEVMGRTLPGEVFGGLCRLTGQDALTKFMILFYQAISGHDTKKLPFGFFNRRGEFLEVFLTANKRTDEHGNVCGCFCFLQPMTIDPEASDERQDSKDSLWKYKEYAYVLQQMKNPLNGIQFTHKLLEATGVSDNQKQLLETSEACEKQILSVIDNMDFGGIEDGKVQLNMEEFVLGNVVDAIVSQVMIFLKEKNLQLLHDIPDQIKTLPLYGDQIKLQRVLSDFLLSVVHHAPSPDGWVEIKVLPGLKLIQDGNELIHLQLRMTHPGQGLPAALIDDMSGERNRWTTQEGIALNVAQKLLNVMNGHVRYVRGEDKCYFLIDVELQTSKPTQHGPKLEVTQEIEI >Solyc09g072810.3.1 pep chromosome:SL3.0:9:65935375:65939138:1 gene:Solyc09g072810.3 transcript:Solyc09g072810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFHTSLVYILYVLLLFSLPLSITSSARTEAESLLKWKSNLPTTSFLDSWSISNLENLCNWTSIVCNVGGTISVINLSDAALSGSLDHLDFTSFPSLVNFNLNQNNFSGSIPSSIGNASLLTFLDLSNNILSGIIPEEIGKLNQLEYLSFYNNNITGVIPYQISNLQKLMHLDVGSNYLETPDWLKMRSMPMLKYLSFGYNELRLEFPEFILRCHNLTYLDLSINHFNGSIPETVFTNLINLETLNLSSNSFQGSLSPNFNNLSKLKELQLGGNMFSGLIPDEIGLITSLEVVVLNSNSFEGMIPSSIGRLINLQRLDLRTNSLNSTIPSELGFCTKLNYLALAENDLQGSLPLSFSSLTKLSELGLSDNSLSGEISSNLITNWTELTSLQLQNNSFTGKIPPETTQLTNLEYLYLYHNKFTGSIPYLIGNLQNLLELDLSDNQLSGIIPPTIGNLTNLKTLHLFRNNLSGTIPPEIGKLIFLESIDINTNRLSGELPDSISDLSALTIISVYTNDFSGSVPKDFGKNSPPLSSVSFANNSFTGELPAGLCSPNLKELTINGNKFSGKLPDCLKNCTLLTRVRLEGNNLSGNLADAFGVHPNLVFLSLSDNQLSGELSPNWGKCDSLTNLRMDGNKFSGVIPAELGNLRALRMLALEGNELTGEIPSELGRLDLLFNLSLSKNNLTGGIPQSIGNLTNLQYLDLSTNELSGNIPVDLGKCDRLLSLNLGNNSLSGGIPSDLGNLMQLSILLDLSNNSLTGTIPQNLAKLTSLMHLNLSHNNLSGRIPPALSQMISLQEMDFSYNEFSGPIPTDGVFQRAPARSFLGNSGLCGNIEGLSSCNLDTPNDKSRNNNQKILIAVLVPVVSLILLAILFVACLVSRRKAKQYDEEIKASQVHENTESLIWEREGKFTFGDIVKATEDFSEKNCIGRGGFGTVYKAVLPSGQIVAVKRLHMSDSSDIPLTNRRSFENEIRTLTEVRHRNIIKLFGYCSKNGCMYLVYEYIERGSLGKVLYDNDMGMELGWGTRVKIVQGIAHALAYLHHDCSPPIVHRDVSLNNILLESEFGPRLSDFGTAKLLASDSSNWTTVAGSYGYMAPELALTMRVTEKCDVYSFGVVAMETMMGRHPGELLTSLSASTTLSPEILLKDVLDQRLPPPTGHLAEAVVFVITIALACTRTTPESRPTMRSVAQELSVQTLPYLPQPLGTIEMSKLTSFQK >Solyc02g079870.3.1 pep chromosome:SL3.0:2:44830130:44831945:1 gene:Solyc02g079870.3 transcript:Solyc02g079870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLADFLSCYSGCVSDTNNNSPKIESCSLVQVTYLEENNACRAQKLTRRNSSSKGLWQPSLYTISENDTIKAQEGIKASTKKTKSYRPPKTNSQACYYNDLRVQAQFQTSIMALSAVTFVF >Solyc08g065947.1.1 pep chromosome:SL3.0:8:54415161:54415598:-1 gene:Solyc08g065947.1 transcript:Solyc08g065947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRYPIWQHFEKVFENVYKLPTIAPGIQKLLNIQNNNLETWKFEQEVCRRALVEMIILDELPFSFVEKEGFKKFMSKVQPLFLIPSRRTITKDCYEVYGELRINLKQL >Solyc09g056370.1.1 pep chromosome:SL3.0:9:49120410:49120859:-1 gene:Solyc09g056370.1 transcript:Solyc09g056370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFNSPKHFIDYYALPRLWVPRYPPLLHPVHIWAMTVLSSLKTNGTFNQQCPLKYLRLGSSFYFYISFFLTFHPFFCFLGFFQFLSKNG >Solyc10g085335.1.1 pep chromosome:SL3.0:10:64674817:64678865:1 gene:Solyc10g085335.1 transcript:Solyc10g085335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLPGNPTPLRAGNLLNALLSPILIFTFGFGVSGAAIAGVISEYLTASILLWKLNGKVLLIAPDVNVGRFPQYLKSGALLIGRTLALLITTTLSTALAAREGPVPMAGHQICVEVWLAVSLLTDALALAGQALLAGGVSQGNYSQAREVVYKVLQIGALTGVALGFSLFVGFGALSALFSTDSEVLEIAKSGTLFVAGSQPVNAIAFVLDGLYYGVSDFEFAAYSMLRVFDKQFVIGTISSIFLLVAAPLFGLPGVWAGLFLFVALRVVAGLWRLQTRDGPWKFLRTDMEQDSV >Solyc11g050960.1.1 pep chromosome:SL3.0:11:17092043:17092369:1 gene:Solyc11g050960.1 transcript:Solyc11g050960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4D8Q8] MLFLMYEEIKKKPKIQLKRLAEFLEPISIEEENFGVVDEILKMCNAYNLFFHQGEIGDWKNYFTTQMSDKLNHTIEENFQGSQLKFSYD >Solyc03g119650.3.1 pep chromosome:SL3.0:3:69689468:69709036:1 gene:Solyc03g119650.3 transcript:Solyc03g119650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFEYFVVCGIGTEIRTLDGNRGYHGAGVMYMPALLDQYPPSNHTLYPPPPPQLPTCVLPAGVEFYGSGFDSNDPSTLPRSYPIVLTEGDGSKIYVSCIAFRDPVCEDIAEAYCIPVNSYADKCICLVSRSPSFQILRDALEEIFVLCFSSSGSSKPLWDVIAYSVSNVPLPTPGKDRVLFAIENSLLSVEVPPKEGLPHADISFQPLLQCLDVDNVIQLFTAVLLERRILLRSNMYSLLTLVSEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSGVDTYGLTMDGVVIVDLEHNRITTTEDIPPIPEPEYSTLRGDIMKLLYPNVVGIDQMKSTRANVSDQFQRGGNRPWGEEHDIQIRFTFLKFFASILGGYRNFIENTATQVFNSQAFLKKRSRSTNQPPDSMISQFLESQGFLDYLERGLGSEENNNNLLDKLQDAIGRGQNPLSVLPSLMAEPEIITISDPGVGISGSGAKYCYDRFPANVRTEEQEEKRKQILAAASGALEYSGRHTTSSLSVLAGNDSKAESLSPRERAAERERMVLDIKVKLQGLWVRLVNLGQTDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGLNCQLSEEQFIAVKELLKTTINLAMSRNDMATVRDALEVSAEMYKKDINNVSDYVQRHLRSLSIWEELRFWEGYFDCLLDRYSSKSTNYATLVTTQLIVLATHMAGLGLHDTDAWYMIETIAGKNNIGYKHIIKLRGYLSHVRHMFVGYWGIHSVKLQSASTLGLLSPRAQDASDDAQQPAEASGIGRSWVQSMFSRDTSIRAKSFGRVGKWSSDSGTLASSENGTPRKQDLSAAGQKKMQTSIRTLRGHSGAVTAIHCVTKREVWDLVGDREDAGFFISGSTDCTVKIWDPSLRGAELRATLNGHTRTVRAISSDRGKVVSGSDDHSILVWDKQTTQLLEELKGHNAQVSYVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSGAVLCMEYDDSTGVLAAAGRDAVANIWDVRAGRQMHKLMGHTKWIRSIRMVGDTVITGSDDWTARIWSVSRGQCDAVLACHAGPILCVEYSSADKGIITGSSDGLLRFWENDDGGIRCIKNVTIHTASILSIDAGEHWLGIGAADNSMSLFHRPQERLGGFSSAGSKMAGWQLYRTPQKTAAMVRCVASDLERKRICSGGRNGLLRLWDATINI >Solyc07g041940.3.1 pep chromosome:SL3.0:7:54794075:54796163:1 gene:Solyc07g041940.3 transcript:Solyc07g041940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGWESLVPSCIKSHENSKKNPKMVKVSVTKQISFHGIPVSDLSSSTISSDLSISLAGSNIHAFTQQELRVITQNFSTSNFIGEGGFGPVHKGFIDDKLRPNAIKAQPVAVKNLDLDGSQGHREWLTEVIFLGQLRHPHLVKLIGYCCEEDNRLLVYEYMPRGSLENQLFRRYSVSLPWSTRMKIAIGAAKGLAFLHEAKKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGDDTHVSTRVMGTHGYAAPEYIMTGHLTAASDVYSFGVVLLELLTGRRSVDKGRPHREQNLVDWARPQLKDPRKLRRIMDPRLEGMYSEEGVQKAALVAYQCLSHRPKARPDMSNVVTTLEPLKDYEDNSMVTFVYTAPTDDQQVKQITSASPHHHHQKQQHHNHKRRSTPSSPTIHSETTIHKRLTPNSPLQNGFKRS >Solyc01g112130.3.1 pep chromosome:SL3.0:1:98136982:98138013:-1 gene:Solyc01g112130.3 transcript:Solyc01g112130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFNDRKKEVIAIIGAGISGLLACKYCISKGFDPIVFESESGIGGVWRKTIESTKLQTPKSFYQFSDFPWPDSVTEMLPHQKTVLEYIESYATHFDLVRHIKFNSKVLSLSYEDDSSSGEWNLCKGKWNVTVYQVDFVVVCLGRFSQLPNMPEFRPNNGPEAFEGQVIHSMDHSKMDTKTATNFVKGKQVAVVGFQRSGMDIAMECSTVNGVERPCTVLIRTPHWNLPDFSPWGLNLGYLYLSRFSELMVHKPGEGLLLSLLATTLSPLVTN >Solyc06g071330.3.1 pep chromosome:SL3.0:6:44031949:44039505:-1 gene:Solyc06g071330.3 transcript:Solyc06g071330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQNVHPIFLVLFAEAILLGFQHYLVMLGTIVIIPTALVPQMGGGNEEKAQVIQTSLFVAGLNTLLQSIFGTRLPAVIGGSYTFVAPTISIILSGQWNDEDPVSKFKKIMRATQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVSLVGFGLYEFGFPGVAKCVEIGLPELVLLVIFSQYLAHLIRPGKNIFDRFAVLFTVIIVWIYAHLLTVGGAYNGKPPKTQASCRTDRAGLISGAQWISIPYPFQWGPPSFNAGEAFAMMMASFVALVESTGAFIAVARYASATPLPPSILSRGVGWQGVGILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAAFMIFFSILGKFGAVFASIPAPIVGALYCLFFAYVGAGGLGFLQFCNLNSFRTKFILGFSVFLGLSIPQYFNEYTAVAGYGPVHTHARWFNDMANVPFQSKAFVAGIVAFFLDNTMHKKDGQTRKDRGKHWWDKFKSFKTDTRSEEFYSLPFNLNKYFPSV >Solyc09g009920.1.1.1 pep chromosome:SL3.0:9:3397518:3398957:-1 gene:Solyc09g009920.1 transcript:Solyc09g009920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLMQKSLKTPDFLAVFTNSTSEGIDRISYLPDDILHNILSYLYIFDVVQLSILSKRWNYIWRTMPYLNFDINSFGCERIDRLWDMGMAEKFKDFINWVLINRCGDSLVCFKLCCGDIFGKRAIFRWVRVVTARNVQELVLDFCPAEPFELPYCVVTCESLRVLKLRLDGSVLKLSNHFGFHQLKFLHLEKVELSNEHLTSCLFSRLEKLVLDGCTFGTMTMLDIVSTSLVYVSLLNYVNNVEAYNNCIVKISCPNLKVLKYGAPLAKDMIIENLLSIEVVHILFFYSDDVIKEIGMRLHKVFKNVASTSALKLCKGSVVGLYSVFHGVRNFPVTFYKLKSLKLAVAIDESCMEIMMLLLKHSPNLEVLNLFSDENYGWDENWKFHDPSESVLCLESHLKLIQLAGFKNEDKEIELLRFFLKNAQVLEELIIVWDSCSGISEEAIEEVSNFPRASSHVVVSFLNFKPKSRSRYRDNSV >Solyc09g011850.3.1 pep chromosome:SL3.0:9:5125615:5128336:1 gene:Solyc09g011850.3 transcript:Solyc09g011850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDDYDDGVDFPYPNPPLVCCFGAAQKEFVPTVRVSPEQMDKDKYSEWKMLQWNPPEFVRAPGGPPSNVAISHVRLGGRAAFMGKVGSDELGQEMVLLMNKEKVQTRAVKFSDSMRTGCSYMEIKFKDGKMRVEKVQDSAEDSLLSSELNLDVLKEARMFHFNSEVLTSSSMRSTLFKAITLSKKFGGLVFFDLNLPLPLWRSRDETRDLIKEAWQQANIIEVSRQELEFLLDEEHYERKRNYRPQYFAENYEQTKQRRNYYHYTPEEIAPLWHDGLKLLFVTDGTLRIHYYSPSFDGVVVGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTQPEMYHDQDVLERQLRFAIAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Solyc10g079050.2.1 pep chromosome:SL3.0:10:60809343:60812704:-1 gene:Solyc10g079050.2 transcript:Solyc10g079050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEFEKNQNNSGLLRFRSAPSSFLENFIDGVGNNGNMGSENKGLSSKFNLDGLNNQLVSQNSLDSKVFANLSSNSQLPPQYPRQNSTVHMVGSMEGGGGYRVMGSVLGNSNNHHQGQNKLASNLMRQNSSPAGLFSQLNSLNGYATLKGGAGGYRMATGANGDPNPSSSRLKDHFGFSSVTPSSLGMLSRISEVDDESSIATDLDDDEKRGNGNSEPQLYNMGFPFNSWSDHSQFQQTLTGHKRELDSEGNKLFANAQIEELGNRPPILSHHLSLPKTPADIAAMEKLLHFQDTIPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVEYIKGLQKQYKVLTDCRANCKCSAMQKPD >Solyc01g011200.3.1 pep chromosome:SL3.0:1:8079489:8080720:-1 gene:Solyc01g011200.3 transcript:Solyc01g011200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLMDYFRHNFSNSRRSNKVDFNYSSKYVLNSYLSKCTKKITVVVSPSAKPITSAMYPCYLISSSFAENITEVFPTISLNFAGDASMNLTPTDYLDDMGFVDGAAKWCIRFIKSGLSLTILGDVALKDRIIVYDLARQRIGWANYNCSLPVNVSITSGTYDVTQASTIYHMLEIILFFLYLFWTND >Solyc06g060510.2.1.1 pep chromosome:SL3.0:6:38657990:38658982:-1 gene:Solyc06g060510.2 transcript:Solyc06g060510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSSYFYIIIWFLIPFLFGSESSSLDSSAQSSLDSLLRQYAFRELTGRRIRYGVPYDAYVPSSLTGVKVSAMILKTRSLKRKVCGYYKNFFIPSGIIEEPYVKKLVLVYQNLANWSSFYYPLPGYTYLAPVFGILAYDAHNLYAKFLRDLDIQALEDPISIKFPYVQLAPEGSFPKCVYFYSNNFVQFGHVKDGNICETRMQGHFSIVAEVKVAPSPPPTANDTAPSPAPSAYDTAPSPAPSACDTAPSPAPSANDTAPSPAPSAYDTAPIPAPSAYDTAPNPSPSANDTGPSPAPNAYDTAPSPAPSTNDTAPSPAPSAYDTAPSPSPS >Solyc07g055110.3.1 pep chromosome:SL3.0:7:63367443:63370188:-1 gene:Solyc07g055110.3 transcript:Solyc07g055110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLIQRPLIFQDENSDIYFKKGWISGKSKSGKPEALKKGITGLKSRNALNDITNKSTALPEEAAKKKSSQKEVKVPSELNIAEETFQHDHKKCVEAQRSGITRKHFMELVLPGCDSASHIDIPKTEMGMNDKGIDNSHCYPVPEELSMSEFSWLKSSWDPSSPKKRDSPPFSPSELAFEPVEFTLNEEKDC >Solyc03g111190.2.1 pep chromosome:SL3.0:3:63319560:63321300:-1 gene:Solyc03g111190.2 transcript:Solyc03g111190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPAHGVVGNFSEEEEEFWRQPDNQGYMPCLDFSLKYRKASVKISKEKRKFLIVVASGGLNQQRNQIVDAVVIARILEATLVVPVLQVNHIWGDDSEFSEIFDVEHFKKTLIADVRIVASLPSTHFVSKQTINRDLPFHVSPLWLRARFLKQLNKEGLLILKGLDSKLSKNLSPDLQKLKCKVAFHALRFATPIRELGYQIARRMWIEGPYVAIHLRLEKDVWIRTGCLTGLGRQYDSIISRERDFHPEYLTGKLNMTHAQRRLAGMCPLNASEMARFLKGLGAPISARIYVAGGEPFGGTQAMQPLKDEFPNLLTKHTLARNGELTPYLEKSSTLAAIDYIVSLSSDVFINSHGGNMGRALEGHRAYVGHRKYIKPNKRMMLPFFEDSSVSEQEFKRIMKKLHRKSQGQPEPRAKKIDRDVIAYPVPECMCKQ >Solyc05g009730.1.1.1 pep chromosome:SL3.0:5:3944214:3944453:-1 gene:Solyc05g009730.1 transcript:Solyc05g009730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSFTPPPPFSRKSDQNLNTPRFTGKDRTMGTNLQGYHLFWPDLPIFSQLQFTAPAPLNLKGGGFQAYLIIRERFGTK >Solyc05g026377.1.1 pep chromosome:SL3.0:5:41068638:41072725:-1 gene:Solyc05g026377.1 transcript:Solyc05g026377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEGPIQTVEYFSTRKFYAHGRFMSLISKGADRAVVILPEAFSACWKDIALKIGNFINYSPPRKSKAPHRMVDTNNSYAKVVENRNFGKILTLRCLPSPRLGNGDHQLWKKVFGVNIYEMIENTFLIEFPNRNMAEQILHGGWTWKKSRVEPIRNFFAALFLSSIGMLIHVHFLWNHIDILLAAVILVVIIKTIVVAAVVKGFGYTNKAALLEFGLTRVIKEIKWRIPQWPLGRRVGMSLAQIGEFAFVLLSRASNVHLIEGKVYMLLLGTTALSLILTKSVLVVGIYVKWVLGIASPNILHFLSV >Solyc09g014990.3.1 pep chromosome:SL3.0:9:7395827:7398576:1 gene:Solyc09g014990.3 transcript:Solyc09g014990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSSTSPASPSSYLAFPHSLSPSMLLDSPVLFNNSNTLSSPTTGSFGNLNSKEGNSEFSFQSRPATSSSIFQSSAPRNSLEDLMTRQQQTTEFSTAKTGVKSEVAPIQSFSQENMSNNPAPVHYCQPSQYVREQKAEDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERNLDGHITEIPNAFLENGQRDSFAVTDNSSASFGDDDVDQGSPISKSGENDENEPEAKRWKGDNENEVISSASRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFTGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSYAMNKPPSGSNNNNSMPVVPRPTVLANHSNQGMNFNDTFFNTTQIQPPITLQMLQSSGTSSYSGFGNSSGSYMNQMQHTNNSKPISKEEPKDDLFFSSFLN >Solyc06g076930.2.1 pep chromosome:SL3.0:6:47946235:47960147:1 gene:Solyc06g076930.2 transcript:Solyc06g076930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNGSEFFDLDVEAQNESFGRRSNAESVEEDENELMWAALEKLPTRKRTNFALVKRNETDSSVGKTHDTVDVRNLDRNTRQLVVNRAMATTAQDNYKLLAGVKERLDSVGLEVPKVEVRYENITLTANVNVGSRALPTLVNSVRDVIESILTGLRIFRPKRSSLTILNDVSGVVKPGRMTLLLGPPGSGKTSLLLALSGKLDNSLKKKGIITYNGHKLDEFCVQRTSAYISQTDNHIAELTVRETVDFAARCQGASQGFGEYMKDLDRLEKEKNIRPNSEIDAYMKASSVGGKKHSVSTDYVLKVLGLDVCSDTIVGNDMIRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCLRNFVHLMDATLMIALLQPAPETFELFDDLVLLSEGYVVYHGPQADVIEFFESLGFRLPPRKGVADFLQEVTSRKDQAQYWADNSRPYEFIPVHAIAEAFRNSRYGQDIKSFLSTPYDRSKGHPSALSTTKYAIPRWDLFKACFEREWLLMTRHSFLYIFRTFQVAFVGFVTCTMFLKTRIHPTDLMNGNLYLSCLFFGLIHMMFNGFSELPLLIFRLPVFYKQRDNMFYPAWSWSLCSWILRLPYSVIEAVVWSFVVYWSVGFSPGAGRFFRYMFTLFVVHQMGMGLFRSIASIARVLVVSNTFASAALLITFLSGGFIVPKDMIKPWWEWAFWISPLTYGQRAISVNEFTSTRWTQKITIGNVTLGNAVLRSHSLPTANSWYWLGVGVLLIYILFFNAILTLALAILNPIRKSGAVVSPEAVGADGGSKTSESNGDPEPAMTKKKGMILPFQPLAMTFHNVKYFVDMPKEMSSEGISEKKLQLLSSVSGVFSPGVLTALVGSSGAGKTTLMDCLAGRKTSGYIEGDIKISGYLKQQQTFARVSGYVEQNDIHSPQVTVYESLCFSSYLRLPKEVNKEQREEFVKEVMDLVELDSLKNALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIEIFEAFDELLLMKRGGQVIYGGKLGEKSQTMVDYFQSIPGIPPIPSGYNPATWMLEISTPAAEERMGEDFAVIYRNSEQFRGVEALIKQLSVPPENSEPLKFTSIYSQGAFSQFRICLWKQNLVYWRSPTYNAVRLFFTTLSALILGSIFWDVGSKRDSTQNLFVVMGALYSSCLFLGVNNASSVQPIVAIERTVFYREKAAGMYSPLPYAAAQGLVEVPYIFMQTLLFGIISYLMINFERTAEKFILYLVFMFLTFSYFTFYGMMAVGLTPTPHLAAVISSAFYSLWNLMSGFLVPKPSIPGWWIWFYYISPVAWTLRGIISSQLGDVEEIITGTGFQGTVKEYLEVSLGFGPGWIGWSALILIGFCLLFFTVFALSVKVLNFQKR >Solyc05g056170.3.1 pep chromosome:SL3.0:5:66406945:66411998:1 gene:Solyc05g056170.3 transcript:Solyc05g056170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:K4C2U1] MEYVNGNSNGDQVFCVKAQQQQDPLNWNVSADALKGSHLDAVKKMVEEYRKPVVRLGGETLTVAQVAAIAAQSGKDVTVQLSEAARAGVKASSDWVMEGMRNGTDSYGVTTGFGATSHRRTKQGAALQKELIRFLNAGVFGKGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPNGELLDAINAFQQAGIESGFFELQPKEGLALVNGTAVGSGLASMVLFEANILAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKEAKKIHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLLFAQFSELVNDYYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTEEAVDILKLMSSTYLVALCQAIDLRHLEENLKATVKSAVSLAAKKVLITGQNGELHQSRFCEKDLLTMVDREYVFAYADDPCSANYPLMQKLRQVLVDHALKNSNTEIFQKISAFEEELKLVLPKEIESVRCDLENGKAPIGNRIQECRSYPLYKFVREELGAKYLTGEKALSPGEVFDKVFTAMNEGKLIDPLLNCLKEWNGAPLPLC >Solyc04g081440.3.1 pep chromosome:SL3.0:4:65500850:65505934:-1 gene:Solyc04g081440.3 transcript:Solyc04g081440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPVDVSQNGNARHAEAAPSLFEIEEDLARLLERPRQVNIERKRSFDERSFSEMSMTHSPPRQVYKNSENSSRVFDNMVGVYSPGRWSGIHTPRSTFGYEPHPIIGEAWEALRRSIVNFRDQPVGTIAAIDNSAEELNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLRLQSREKKIDQFKLGDGVMPASFKVSHDPVRNYETITADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDTSLAEMPECQRGIRLILELCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALFLLKHDEENQECCDAIIKRLHALSFHMRSYYWLDIKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPEWVFDFMPTRGGYFIGNVSPAHMDFRWFCLGNCISILSSLATPEQASAIMDLVESRWQELVGEMPLKICYPAMEGHEWRIVTGCDPKNTSWSYHNGGTWPVLLWLLTAAAIKTGRPQIARRAIELAESRLLKDSWPEYYDGKLGRFIGKQARKFQTWSIAGYLVARMMLEDPSHLGMISLEEDKQMKPTMKRSASWTC >Solyc01g017440.1.1 pep chromosome:SL3.0:1:23869374:23870256:-1 gene:Solyc01g017440.1 transcript:Solyc01g017440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQNVTISTQTLQWKCVESRTDSKQIVMISNLYGTSEASMCVKGPGYVTAQGTILPSYVEIVDNTQHTSSSTEPIDFCIGLQIDRNHGYLRKIILSIGNGNEKQEILFIEIWTNGYLTPKEALHDASRNLIDLFFPFLHIEEDNLYLQDNQHTVPLSPFTFHEKLAKKIVLKLISIDQSELSSRIYNCLKMYNIYIHYWKF >Solyc04g040175.1.1.1 pep chromosome:SL3.0:4:11968938:11969549:1 gene:Solyc04g040175.1 transcript:Solyc04g040175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTWESKRRNLMAYGLCYRCHEKFAPGHNGKLVKLSLMELTCGDQLEDIGLVNATTACDSQETDNTEISFHAILGQSIGSTMKPQGEINRRKVLILVDSGSTHNFVVESIVEKYKLSLDICQTFGVQLGNGDIIRCNKVCQNLQIQLSGFTITQDYYSFALGGADLVFGINWLVSLNTTQPNWKICYKLQGMRSASSMGRPSP >Solyc01g079450.3.1 pep chromosome:SL3.0:1:78363128:78373913:-1 gene:Solyc01g079450.3 transcript:Solyc01g079450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEAVAMSLPKVYHRFSLLSLHSTTSPILPKTLFFSSYSLRLAVKRLHSPPLLRPQSRRFVAGKRFQAKSTMASSRFHHLVPVNALTAEDGGNGTGSNGAADATASVAYDDDVESASATGYRLPPFEIRDIVDAPPLPALSFSPLRDKILFLKRRSLPPLSDLARPEEKLAGIRIDGKCNSRSRMSFYTGIAIHQLMEDGSLGPEKEIQGLPKGAKINFVMWSNNGQHLAFSVRLDEDDGSSSKLRVWVANVDTGKARPLFESPDVYVNAVFDNFVWVNDSTLLVCTIPLSRGDPPRKPLVPSGPKIQSNEQKNVIQARTYQDLLKDEYDEDLFEYYATTQLVLASLDGEMKPFGPPAIYTSMDPSPDQTYILISSTHKPFSFVVPCGRFPKKVELWKANGEFVRELCDLPLAEDIPIAFNSVRKGMRSINWRADKPSTLYWVETQDGGDAKVDVSPRDIVYTQSPAPHDNEQPKILHKLDLRYGGISWCDDTLALVYESWYKTRKVRTWVISPGSEDVNPRILFDRSSEDVYSDPGSPMSRRTPAGTYVIAKVKKEDDGDTYILLNGSGATPEGNIPFLDLFDINTGSKERIWQSDKEKYFETVVALMSDQKEGELSINELKILTSKESKTENTQYYLLSWPEKRACQITNFPHPYPQLESLQKEMIRYQRKDGVQLTATLYLPPGYDPSRDGPLPCLVWSYPGEFKSKEAASQVRGSPNEFAGIGPTSPLLWLARRFAVLSGPTIPIIGEGDEEANDRYIEQLVASAEAAVEEVVRRGVADPKKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEERTLWEATSTYVEMSPFMSANKIKKPILLIHGEEDNNPGTLTMQSDRFFNALKGHGALCRLVILPYESHGYGARESIMHTLWETDRWLQKHCVYSSNVKADGSVCKDNAEGTVDSQSKAVGAAGGVQELANLDDDQFHSIRRSLLF >Solyc09g065670.3.1 pep chromosome:SL3.0:9:64132669:64137899:1 gene:Solyc09g065670.3 transcript:Solyc09g065670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEEDIRNKQIYHQNTGCFIFSEESTSVFSSMAQNMVSNHNHHEQPPKIKKKRNLPGNPDPDAEVVALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKENKKKAYVCPEPTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKIYAVQSDWKAHSKTCGTKEYRCDCGTLFARKDSFVTHRAFCDALAEESARLSANSGLMHRAAATSTIANSAAIADEPNFHLDQSSVFPFPANQRHFANPPPPQLPISAAATGVTTHISLNPWEPQIPLNPNHTHEENHHQITHPVPIKTESIHFPISSSLPFYHDQHYPVFHRGSFSIPSPQLAATALLQRATTMGTNSTVNHVNSTMAHLNRGTLTHVTPNGFLSFGSENIPGNWQKRDNLTRDFLGLTGDHHHHHDHDDSSSSAGGVGGGGGGGQVLPTNGNVGNGLLLSYGGNRMQDFQQTLYERDNSVLKHHPLGFGFAGAGAGAGAAADSTTGTWGDC >Solyc01g010350.3.1 pep chromosome:SL3.0:1:5093626:5097729:-1 gene:Solyc01g010350.3 transcript:Solyc01g010350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVTYCLISWTVGLPKRAPINKELLALLTPVAFCHALGHVMSNVSFATVAVSFTHTIKALEPFFSASASQFVLGHQIPVSLWLSLAPVVIGVSMASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLFCLPPAIFIEGPQLLQYGFRDAIAKVGLYKFLSDLLWIGMFYHLYNQIATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNKISTQTGIGTAIAIAGVAIYSLIKARMEEQKRKASALAHEQ >Solyc08g069220.2.1.1 pep chromosome:SL3.0:8:58336980:58337432:-1 gene:Solyc08g069220.2 transcript:Solyc08g069220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNGEAIAECLSELQSESHENQQKALLTSVSITKVSPQNQNLLMQTNGVVSTFLSLSMSPSSTIIQLLCSLAILCLLARFEEGLTALKEMDKIVALLIEILKGKCMLSKEGAADILLCLFDGSEGCIRDALRLPEFSSVLADHSVRGSVRA >Solyc07g039317.1.1 pep chromosome:SL3.0:7:47262509:47262739:-1 gene:Solyc07g039317.1 transcript:Solyc07g039317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDACGFECVVTYIGNDDSAMWLPATYDEFEPIRRLCRTILVVYEDDLHNPKFPHEGGYRLNPN >Solyc10g011660.3.1 pep chromosome:SL3.0:10:3888659:3892770:-1 gene:Solyc10g011660.3 transcript:Solyc10g011660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMVENIEKKFDAEEVIEDFEVLTKDAGRIQEETLEKILKENGGTEYLKQWGLNGRTDVETFKACVPIVGHNDLEPYIQRIADGDLSPILTGKPIETISLSSGTTQGKPKFVPFNDELMDSTMQIFKTSFAFRNREFPIGNGKALQFIYSSKQFKTKGGLAAGTATTNVYRNAQFKKTMNAMSTPVCSPDEVIFGPDFQQSLYCHLLSGLIFRDEVQVVSSTFAHSIVHAFRTFEQVWEELVVDIREGVLSSRVTVPSIRLAMSKLLKPDPELAETIYSKCSSLSNWYGLIPELFPNTKYIYGIMTGSMEPYLKKLRHYAGELPLLSADYGSSEGWVGVNVNPKFPPEMVTYAVLPNIGYFEFLPLEENLVGVEQANSPVGLTEVKLGEEYEIVFTNFAGLYRYRLGDVVKIKGFHNGTPELQFVCRRNLLLSINIDKNTEKDLQLAVEAAGKHLVDEKLEVMDFTSHVNVSADPGHYVIFWELSGEATDEILQECCNCLDKSFLDAGYVSSRKVNAIGALELRIVKRGTFHKILDHFVGLGGAVSQFKTPRCVGPKNSSLIQILSSNVVKSYSSTAFC >Solyc08g006450.1.1 pep chromosome:SL3.0:8:1052196:1053187:-1 gene:Solyc08g006450.1 transcript:Solyc08g006450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSPSKLNGNFLKKVFVGCGSFLQWIHGRFVHGNARYIILIGKLQKLKYSPCPPPRPPPPPPSPKKEEPPPPPHGEHVAQEYQHDCCEFRGHLLPFLQSAPPSAPPSLCPCDLSPQPQPYVRNYWNDDSERFSRMRRVESLEMGYRMNFCDPLNDLHENNHPNLRCLGGHHQCHGPPPMLMGHHRYQPPPSLGYHRGQPPLLVGLYGGPPASYFPQAGDYYGYDNPNGCIII >Solyc02g084730.3.1 pep chromosome:SL3.0:2:48476717:48478296:1 gene:Solyc02g084730.3 transcript:Solyc02g084730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BAY8] MLDRIKILSSKLIISQHFFRRSYRSQSTRRFNNVKDEEYNKVRRKTENEEAQIYLLKSRGQHLLMNPRVLNSIVQKSNILPTDTVLEIGPGTGNLTLKLLEVAQKVIAIEIDKRMVEILHKRVSELGLQDRLTVICQDALKTEFPRFDLMVANIPYGISSPLVAKLVYGKNSYRSGTLLLQKEFARRLLANPGDSEFNRLAVNVKLVADVEFVMNVSKKDFLPCPKVDSSVVKIYPKTEIPEVDYEEWCAFTRTCFTKKNKTLGAIFKQKRMLMELMKLQETKGDEENNALYSDYHVNDTEDEDGLSNEDNVNFSPDIGKDLNLFRDIINDILRTSGFEDKRPSKLSHKELLDLLSLFNRARIHFHGQVNPKDECDAELASAFGPL >Solyc11g066390.2.1 pep chromosome:SL3.0:11:52456888:52464078:1 gene:Solyc11g066390.2 transcript:Solyc11g066390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:Q7XAV2] MAAHSIFTTTSTTNSFLYPISSSSSSPNINSSFHGVSLNVKSKFGQSLTLYAVTTPKPLTVFAATKKAVAVLKGNSNVEGVVTLSQDDDGPTTVNVRITGLAPGLHGFHLHEYGDTTNGCMSTGAHFNPNKLTHGAPGDEIRHAGDLGNIVANADGVAEVTLVDNQIPLTGPNSVVGRALVVHELEDDLGKGGHELSLTTGNAGGRLACGVVGLTPI >Solyc11g010630.3.1 pep chromosome:SL3.0:11:3700122:3706066:1 gene:Solyc11g010630.3 transcript:Solyc11g010630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAATNAYSWWAASHIRTKQSKWLEQSLQDMEEKVEDVIKLIEEDGDSFAKRAEMYYKKRPELINFVEESYRAYRALAERYDKLSRELQSANNTIATLFPEQIQLAMDEEDDYGTPRMPKNFPQVPTTGANIPNVPPKAPVKDMKGLLKTGTLQFLGKKTANKARDANKETPKSGLTKDEALEEIDKLQRDILSLQTVKEFVKSSYQSGIAKTMEIEHQIVEKHQKICSLEDEFGEARVIEDDEARTLMAEAALKSCQETLAQLQEKQEQSTNEAKEEFKKIEEARKKLKSFRQKYLGDPADETEPDEKDDESAGVTDVSSQCTSLEEIGEKMESLHGKMNEQFDASSMSSLTVTQLAEKIDELVNKVVSLEAAVSTQTVLIERLRTEACGLHTHIRTLEDDKAATLTGDTHNLNVRVTMLEEKLKGIQDLNKDVETQNSSLKTHFAEAHNSLGQLSFKLTSVKPDEEVDETDSSQDEEEDLADIRLQNEPEKKENHVSASEAKKEQDPVSASEIKKEQDPVSVVSDKEVQEDTKSLKKHVEPLEPTVAEKGEERVSSKSESSVHHEQKPLEDEDKDDDLTWQQMLLTGLEDKEKILLTEYTTILRNYKELKRKLIEMEKKERDTEFEVTLQIRELKSTISKKDEEIESLRLKLSLVQGNASESSESKEEKHQDLNPSDDRSLKPEDTPKNEEKDEQDAKIILIDQCSSLSPVEEKLRMGIDALLDENLNFWLRFSSAFHQIQKFKTTAQDLQGEITTLKEKETKEGSSKTDMKSEIRPIYKHLREIQNELTVWLEQSLSLKDELKRRFSSLCSIQEEITKALKDGVEEDEIRFSSHQAAKFQGEVLNMKQENNKVREELEAGVEHVTTLQVDVEKTLRKLDHQFDVGGNQPQLTNSASRSRIPLRAFIFGTKVKKSKRSFFHHNRKYQVLKGGVPL >Solyc09g008360.2.1 pep chromosome:SL3.0:9:1823542:1828783:-1 gene:Solyc09g008360.2 transcript:Solyc09g008360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPKKLEEGFSNQKNNISEENIDTNRIDNNQDLVSHENDQKILSQPLQKKEEEEPIIKKGKRVATLDAFRGLTIVLMILVDDAGGAYACIDHSPWNGCTLADFVMPFFLFIVGVAIALALKRVPKVSAAIKKVTLRTLKLLFWGIILQGGYSHAPYDLAYGVDMKVIRWCGILQRIALVYLIVALIEILTTKLRPTTLTPGHFSIFTAYKWQWLGGFVAFVVYTTTIYGLYVPDWNFLVHDGDTSQRYTVKCGMRGHLGPACNAVGYVDRQVWGINHLYNQPVWARSKVCTLSYPETGPFRDDAPSWCRAPFEPEGLLSSISAIMSGTIGIHYGHVLIHFKGHGERLKQWISMGLGLLITAFILHFSDAIPLNKQLYSFSYVCFTAGNAGIVFSGLYILIDVLAMRIPFLWLEWIGMNAMLIFVMGAQGIFAGFINGWYFKNEDNNLVNWIQHHVFFDVWKSQRLGTLLYVIFAEITFWAVLAGILHRLGIYWKL >Solyc06g007880.1.1.1 pep chromosome:SL3.0:6:1777759:1778319:-1 gene:Solyc06g007880.1 transcript:Solyc06g007880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSISTTPVPASDIPINQGNNRSINRRLSTRFPSSKDATYPEDIVNEFGSTNRSLNRNASRAQRGSPSTKYDPSMSMRRSGTDGECEDEESEEEEEEPVRMSLMALLAESEGSSYMMGEDEDEDEDEDDGGGDHLNNCCVCQVRHKGAAFVPCGHSFCRLCSRELWVEGANCPLCNNFILEILDIF >Solyc05g014167.1.1 pep chromosome:SL3.0:5:7910796:7923386:-1 gene:Solyc05g014167.1 transcript:Solyc05g014167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLYLTDAAKVWYQSMVLSGGIPNWIEFKEDLISRFGEIVVSDVVEEFNKLQQIGTVEEFLGRFEDLKAQMLIRNPALNEAHFLSSFVGALKEEIKLEVKMFKPRTLKEAAEKARMKEMAIEAVRRRNRVANRVLPAAVQGVGKTSTAMVNRNGPYRLTPEIYEFRKSNHLCFLCGEKYGPGHTCKVRQLNYLTGFVEGDKEGDQVSELDENEDITIEGVVEQEVQQAVCLNTLTGHNMGENTILVGGTVKKRHLAILIDSGSTHSFIDKHTLAASGYKPQPCSPRVLWKMQERIFMDDLLIISLGGCALVLGNDWMKKHNPTKFDHERKCVTIGRKANKLVLPGIAGEGSLNMLSTGSMRKMLKKGQAIVAHLFMMNMIKNSEDEVIEEGLQEVLDKYGDIFAEPKSLPPKRLFDHAIPLKPGAVPISLKPYRPLTNLLKKQAFKWNSEAENAFENLKKAMTTTPMLTLRDYTQEFVVETDASHGGIGAVLMQQGKPIAFFSKVLAPKH >Solyc03g083825.1.1 pep chromosome:SL3.0:3:55153018:55153337:1 gene:Solyc03g083825.1 transcript:Solyc03g083825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIPSQICSYVQCDLNDENQVKVMVERSKNRVSLTSCSVTHKLLAVQVLNLDLSKINRVMKVNARGHVCVKHAAQQAMVEKRVRESIICKASIATSRGGPW >Solyc08g067710.1.1.1 pep chromosome:SL3.0:8:56760669:56761340:1 gene:Solyc08g067710.1 transcript:Solyc08g067710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVELVGPAVQTPLPPPDPPNIVQFSDLNHNKDPIDTTDTHTKKTHSSDIKNKTQQQNNLSTPTPPIQPSEQRIPLKNNYQFPKVSSNFDKAKRIDKEISTNNANPVETNNPTHSHATPNYSKSHPSSHNNNFNHNPSTSNTNSKPYNASLSTISKPSYASTISENLKTNLQGKNQLILLMVHIWESRRCISRLKTISLILRKTVALPLLGNSQKGNQTWMN >Solyc09g015920.1.1.1 pep chromosome:SL3.0:9:11317584:11317799:-1 gene:Solyc09g015920.1 transcript:Solyc09g015920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKPSSRIACRATASPLSNVVSYASHLTPTSPSPFARTTASLLVLAGMDGRHVKQKRRPFDPVRRSPLTF >Solyc02g070873.1.1 pep chromosome:SL3.0:2:41039028:41039670:1 gene:Solyc02g070873.1 transcript:Solyc02g070873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIASDFSDPLLVNLHLNPKEAISPIKMDDRIRRKSTTVTQTSTRRRTRPPLFNCAISD >Solyc09g061330.2.1 pep chromosome:SL3.0:9:58952205:58955296:-1 gene:Solyc09g061330.2 transcript:Solyc09g061330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFSSQEKKAFKRIHSKSKETTDVVRQRETLLNQQQQQRPHSLSRPKPQQDHRSHSQPLSVILYGYRLVFAFLIHKSSAENTRKVPAETPHQKSSNKGKGQNIAAQTFTFRELATATKNFRSECLIGEGGFGRVYKGHLNKTGQTVAVKQLDRNGLQGNREFLVEVLMLSLLHHSNLVNLIGYCADGDQRLLVYEYMSLGSLEDHLLDLERDKLPLDWFTRMKIALHAAKGLEYLHHRANPPVIYRDLKSSNILLDKEHNAKLSDFGLAKVGPTGENTHVSSRVMGTYGYCAPEYQQTGKLTIKSDVYSFGVVLLELITGRRAVDITRFGHQQNLVTWAEPIFKDTKRHIELADPLLGANFPRKSFSQAVAIASMCLQDDPVVRPLISDVVTALSTLSTPETELVSPFASPTRAPSPMHMSTEENIISTRECQDEVAEAIEWGSNSRKQNARSLASCGSSV >Solyc01g087620.3.1.1 pep chromosome:SL3.0:1:82453761:82464034:1 gene:Solyc01g087620.3 transcript:Solyc01g087620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVVLNDRLGKKVKVKCNEDDTIGDLKKLVAAQTGTRADKIRIQKWYNVYKDHITLKDYEIHDGMGLELYYN >Solyc10g008450.1.1.1 pep chromosome:SL3.0:10:2564105:2565154:1 gene:Solyc10g008450.1 transcript:Solyc10g008450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLLKFRCVSKSWFFLLSSPLFSKTHVDFCLKNPNLTGYRLAVVASVYGSGRKCNFYNLGFENSCLSLARNSCPVKSLAISARILGSCNGLICLTSDSFTLMLLNPCTGKVNVFPDSMLRSNGGGGGGVGCFIRYGFGYDASFEDYKVVKIFSFPHIEGRFENMVSVYSLKAKSWKMIEGFNSSSLNGKVGVFVNGALHWEACHSHCSGLFWEIVILDLAVERYGKIALPSYEDGGVYWTLSVSRGYLVGCCNYEKNKADVWVMKEYGVEESWTKLVTILLPVHRRAYILPLFVAENCDEFLLQLGEELALYNSRDGSYKRLDGYSSGDYFRQAQATTYFESLASPHM >Solyc01g087003.1.1 pep chromosome:SL3.0:1:81805429:81805774:-1 gene:Solyc01g087003.1 transcript:Solyc01g087003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGLCQEGLLDEAKDLMRKMEQNGFLKRNKLHEEMVDKGFSPNAHTFQLLINVPSAECSLLDMIQRLRPNDCK >Solyc10g054725.1.1 pep chromosome:SL3.0:10:55758854:55760081:-1 gene:Solyc10g054725.1 transcript:Solyc10g054725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGWDMTLKCLGLMSNVRRVLLAVKHDGREIGGIIGDKRRNSGNPMNQNEMGPNSRSID >Solyc08g065145.1.1 pep chromosome:SL3.0:8:52901581:52911970:1 gene:Solyc08g065145.1 transcript:Solyc08g065145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLEPTVKLKANEGALLKDPTFYRKLVGKLNFLTNTRLDITFSVQHLSQFLQSPREPHLKAAYHVPRYIMNDPCLEIFLSNSIDCTITAYCDSDWAACPDSRRSVSGYIVLMGDSPICWKSKKQATISLSSAEAEYRAIRKVVGELVWLERQVSIFATIKNKSLRMMVVCKKLFDETLLYSVPLIAKFEIRGLFLITEFELCGVPFIP >Solyc11g063490.1.1.1 pep chromosome:SL3.0:11:49912637:49912813:-1 gene:Solyc11g063490.1 transcript:Solyc11g063490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDTEENNSRALATPSTPFRCVSEDVSHHSLNLKSFVGSSRRLAFRSWSFIDSRRF >Solyc06g034110.3.1 pep chromosome:SL3.0:6:23780327:23785869:1 gene:Solyc06g034110.3 transcript:Solyc06g034110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFVFLALLTVAIGTENLNSHVFPRPLIIEYPEKQLRDELKCTTWRFVVETNNLSPWKTIPEECADYVKEYMVGPGYEMEIDRVSDEAGEYAKSVDLGDDGRDVWIFDVDETLLSNLPYYSDHRYGLEVFDDVEFDKWVEKGTAPALGSSLKLYQEVLKLGFKVFLLTGRSERHRSVTVENLMNAGFHDWHKLILRGSDDHGKTATTYKSERRNEMVEEGFRIVGNSGDQWSDLLGSSMTYRSFKLPNPMYYIL >Solyc02g062550.3.1 pep chromosome:SL3.0:2:34820696:34822701:-1 gene:Solyc02g062550.3 transcript:Solyc02g062550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAESKMALAKTVLSTVGSVAATTMVVRTVANELLPQELYDYLFFGLKNLFSRFSNQLTMVIDEFDGLVNNEIYEAAEMYLGNKLSTNTRRVKISKPEKEKRFSITLEHDEEVTDVYSGQKFQWVWLSKKVESRDFYNPRSMNSTLRSEVRSFELTFHKKNKELVLNSYLPYIIGEAKLRELENRAIKIHTVDYQSIYNLHDIWEAINLDHPATFETLAMDSEVKDKIIKDLDRFVKRKDYYRKVGKAWKRGYLMYGPPGTGKSSLVAAMANYLNFDIYDLELTEVMRNSDLRRLLVATANKSILVVEDIDCTIDLQTNLANRTNDSPPDGSNQQETKVTLSGLLNFIDGLWSSCGDERIIIFTTNHIEKLDPALLRPGRMDMHIHLSYCTPSGFRLLAANYLETREHKLFKQIEELVETAMVTPAQVAEQLLKEDEVDVSLDGLISFLHVKIKEKEEAKSKKVEATELDTNEKKISDEKGIEENLEEKKITNEK >Solyc10g081920.2.1 pep chromosome:SL3.0:10:62983311:62991077:-1 gene:Solyc10g081920.2 transcript:Solyc10g081920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSCSLLIHFDKGTPALANEIKEALEGSDVTAKVDAMKKAVMLLLNGETLPQLFITIIRYVLPSEDHTIQKLLLLYLEIIEKTDSKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNEVDIIEPLIPSIMSNLEHRHPYVRRNAILAVMAVYKLPQGEQLLADAPEKIENILTTEQDPSAKRNAFLMLFQCAQERAINYLLTHVDRVSDWGDLLQMVVLDLVRKVCRTNKGEKGKYIKIIISLLNAPSAAVVYECAGTLVSLSSAPTAIRAAANTYCQLLQSQSDNNVKLIVLDRLNELKSSHREIMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLTLKKEVMKTQSGELEKNGEYRQMLIQAIHSCAVKFPEVASTVVHLLMDFLGDNNVASAIDVVVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIIGEYCISLSEVESGIATIKQCLGDLPFYSASEEGEANDSSKKSQQVNSTTVSSRRPAVLADGTYATQSAASETAFSPPTVVQGSLTAGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKVEVNKTTTNALLIIVSMIQLGQSSALPHPIDNDSYDRMVLCVRLLCNTGNEVRKIWLNSCHESFVKMLSDKQMRETEEIKAKAQISHSQPDDLIDFYHLKSRRGMSQLELEDAVQDDLKRATGEFVKDETDANKLNRVLQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTLAPESSKQIKANIKVSSTETGVIFGNIVYETSNVFDRMVVVLNDIHIDIMDYISPAVCSDAAFRTMWAEFEWENKVAVNTVIQDEKDFLDHIIKSTNMKCLTALSALEGECGFLAANLYAKSVFGEDALVNVSIEKQADSKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Solyc04g009460.1.1 pep chromosome:SL3.0:4:2864752:2865038:1 gene:Solyc04g009460.1 transcript:Solyc04g009460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEATSYKTTSGPEESDEEGTFEVHDRDVPKEDVVEEVVVTEKQNYVIRHNENELMRFINVSSY >Solyc12g038690.1.1.1 pep chromosome:SL3.0:12:51658518:51658712:-1 gene:Solyc12g038690.1 transcript:Solyc12g038690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGMRRPMHSILSLLSILQDENTSSNQKIIIDTKVRTSTILFDLIDEAIDIPNKDEGRFPDSQ >Solyc10g055340.1.1 pep chromosome:SL3.0:10:56531826:56532958:-1 gene:Solyc10g055340.1 transcript:Solyc10g055340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGYSLQPFTEDEWRLNQLERINTLQKVMNRRIESVVSKYRNEFFHWDINNEILHYEKKLGPKANLRMFKKVQREDPQVTLFLNEFNIIENCDRNINVAMYIEKFKQLKKGAIKVKNKLQILREAFSHPSVNRIMLWSALGKGGCYRMCLTDYKFNNLPTGDLIDQLLLREWKTGTQRGKTNEFGYYNFRGFLREYELRTKISHKNIKVAKISHYFSK >Solyc07g015930.2.1 pep chromosome:SL3.0:7:5779570:5780384:1 gene:Solyc07g015930.2 transcript:Solyc07g015930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDQDAHLKKKVADEMEFVVQCSKCFKWRYIPTEERYEKIREHLLECPFYCEDAREWRPSISCDDIPDITQKEKKLWAFDKPSIPQTPSGWKRIVKIRTRGTIFSPTTKGLRSIPEVERYFIIPRYLKQHSEYASQGVKLEKFSFKTPRSLQQEYSKKRSPTPPTPSDDINGANAGMSMCMCM >Solyc07g020962.1.1 pep chromosome:SL3.0:7:15120704:15123863:-1 gene:Solyc07g020962.1 transcript:Solyc07g020962.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDRGSYLQVLKNLHYGLISGMKFSTKICIGRNSIVIARIQNLKNGQVTFSKRLIGLFKKASELCTLCGAYVDVVLFSSSNKVYSCGHPSAELIVDKSLGENLQPGFDSPIPTVLAHQNVNVDEINKKTKHVGEFTRITEKTWKCSPRIKERTSI >Solyc04g072707.1.1 pep chromosome:SL3.0:4:59783515:59784220:1 gene:Solyc04g072707.1 transcript:Solyc04g072707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPDPGKMTSMEELEACEKQLETLLVSVTKRKMNLSSKVKASEEESNEADLLSYDDAFNQPQIFDYGTTSERNNVFSSTMVPPDIKGSKSNMNVEKEQVINDNNILSWPYM >Solyc08g079950.1.1.1 pep chromosome:SL3.0:8:63469062:63469553:1 gene:Solyc08g079950.1 transcript:Solyc08g079950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAIEDGVDILSVSLGSLFNAFYRKSIVIGAFSAVKKGIFISCSGGNSGPYSFSMSNEAPWILTVGASTIDRKIKATVMLDNNQEFEGESALQPNDFPPTLLPLAYPGSNASDSDAKYCTPASLNNTIVMEKIVLCESGKISQADKGEAVKAAGGAAMIFMN >Solyc02g091890.2.1 pep chromosome:SL3.0:2:53752074:53759247:-1 gene:Solyc02g091890.2 transcript:Solyc02g091890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYSPRRNQQRNKGFKVKHALQICVLVGVCIWLLYQVQHSRSKKASIEQNTRASEKVQTDNDVIRFGRKDLLPQKKEVDIDDEKLKEVTEEDELKRFDDDTKVEEHDHDLEKSAEDSEHKGASVDEDTGTEKIEEKEVSGENAEAESKENTEDGKGENEDGKGESTEEATDSNTDDSETNETREEDSEKDQVKQSDVEGEETKDQGLEKEVKDVKENNDSESEEKAQSEESGNEETSINRSEEVVENVSDKKSVELEESNEGKVKENEESKEEKNEGDSREIDEASKEKEENQGKTEESGSSEDKVHDEVDTTNEASTVESGKEESSVVKETSDSENMTGTEGDGNVALNNEEESKKGEDELQDTKNKLDSDAGENKMLEQNSSADTNTVGEVTNESNTEDSSNAAAGNNEAASQQTETDNSASEATQNEINSNDNSNQAGTNEANEHQQENENSASHTIQNENNSSDNSDHATGTNKANEQQQGNENNSSNDSNHAAETHDVNKQQQEQESSVSDTKQNEKNSGEDSNLAEGTNEANKQQKEQDSSSSDTKQNEKSSGEDSNLTEGTNEANKQQQENESSVAHTTQNENNSGEDSNLAQGTNDANKQQQENDSSASHTTQNENSIKEAVSVGDGTQNDPNEQQSEKNDSNSSGNEESVTSSKGSVTVDQNGNSVTAGATEKSTGGGNDQNEVNQKADTNSDTGSGNKINPSNDNDETDPKLTDSSTSNSKPDDSQQKQVESTDSAIPREETEARTDLGTLPQTGSEGNSHEEEVK >Solyc09g066000.1.1.1 pep chromosome:SL3.0:9:64498559:64499089:-1 gene:Solyc09g066000.1 transcript:Solyc09g066000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:K4CUM6] MRPSYDFSFSRARSGMLTVYARRRPWRELLTHPSSYTLPISVSDFASRVKRNLSYFRVNYAMIVLVVLFLSILWHPISMIVFLIVSVGWYFLYFHRDEPLMVFNRTIDDRIVLVVLGVVTVVSLVLTHVWLNVLVSIVVGIVLIFLHATFRITENLFLEEEDAADGGLHSFVGTYV >Solyc12g006430.2.1 pep chromosome:SL3.0:12:911344:914306:-1 gene:Solyc12g006430.2 transcript:Solyc12g006430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLASLLVNHGINPIVIIPNFIKIDSKDVNISIMSISDGLDQDGLTTSSGRDFFAIEKSMENYMPCQLENIIRDQQEDGIVCLIVDLLASWAIEIANKCGVHVAGFWPAMLATYKLINSIPEMLHDGIISETGSPLHNDPICNLFGQPTIRPQDLPWLIGNQSARISRFKFWTRTMERTKTLKWLLINTFQEECHNNKDQNTTNFSTQILPIGPLNTHVTIKSSSFWEEDLSCMNWLDKQVVHSVLYISFGSWVSPIGEAKVNSLALALEATKRPFIWVLGPLWRQGLQKGYLERISKQGKIVSWAPQMDVLQHEGVGCYLTHCGWNSTMEAIQSKKRLMCYPIAGDQFVNCFYIVKKWRIGVRIDGFGVKDLEEGLRKVMEDDGMSERIDRLNEMTMGKVASCKAMDNLTTFLSSVRM >Solyc12g044690.2.1 pep chromosome:SL3.0:12:60846038:60846927:-1 gene:Solyc12g044690.2 transcript:Solyc12g044690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELTDGYIIKYHAKGLESDPIEIDFTPPFRRIDMVEELEKIANLNILKDLSSDDTNKYLIDACAKFEIRCALSLTTTRLLNKQWYHLLDNIQLIAASLRSRLVQHKM >Solyc01g067920.1.1 pep chromosome:SL3.0:1:76741181:76743177:1 gene:Solyc01g067920.1 transcript:Solyc01g067920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYFQKRQSDSPEFFYAIHIDVEGHLANYFWVDARSRIAYNNFGDVILFYPKYLTNKYKMSFVPFIGVNNHHQSILFGCALLWDETEETFQWLLHTWQEVMFGIFPRTIITYQDAAITNVVAKVLPNSVHHFCMWHIEKKIPEYLSHVFHEFDDSKSEFSKCLHCTATLEKFETAWIDIIKIYNLEEHNWLHRIYANRKKWIPAYVEKDYKTNHSKTILKTLYPMEDEAAKIYTRKVFQKFQEELIQSQKFISKKIEVEDGIHIYKVHLFQRQTPAYIVRLNLELKNATCSCHKFEFMEILCRHVLMIFIKKHITHFHHVIYWIDGQVHILMSKRNSVAVKHSLMQILQWNSQHIRNLFKGKVAVLIHIPPHLWWICFTESVCILNLFVAYMHF >Solyc02g079660.2.1 pep chromosome:SL3.0:2:44714116:44715104:-1 gene:Solyc02g079660.2 transcript:Solyc02g079660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYEYMNNLDESRKLLLDCRRRYEIIIGIARGILYLHQDSRLRIIHRDLKASNILLDEDMNPKISDFGTARIFSGNQNEAKTLRLVGTYALSLCNGYMSPEYARAGLFSVKSDVFSFGVILLEVISGKRNMVSYNSDSPPNLIRRNQTYLLSELLLQAWELWNDGKAFDLVDPSIVDSCPSEEALRCILVGLLCLQLNAADRPTMPTILSMLSIEATVPYPKQLFITPNSDLITTEIASSSINEVTITAPYVC >Solyc09g063120.1.1.1 pep chromosome:SL3.0:9:61415761:61416171:1 gene:Solyc09g063120.1 transcript:Solyc09g063120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESTNRAPKFKITSPRTKESYLFVPIMAKSPKIRIDRSRGWLGFNLPVLVLDVASIHTSQGRETLQESYKSRKGKDTGESDAACYSPCSSIALTLVVHALGTNLDRGKEIGEGMLYTRWISHHRFRRGKRNRGRV >Solyc10g005360.3.1 pep chromosome:SL3.0:10:259520:263091:-1 gene:Solyc10g005360.3 transcript:Solyc10g005360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPDPPFVETYKNLIDTNIVDDEHIDLFMVEECELPLINLHQLNYGNKFEREECKKKIAKASREWGFFQVVNHGVSPNILGQMRTEQVKLFKKTFNEKINIDKSLNFSEGSYRWGTPTATCLRQISWSEAFHVPLSDVSKGFSSLSFTMEEFATTLSELAQELARLLAEELGDYYNYKLDYFKETCLSSTCYLRMNRYPACPISPQLFGLMPHTDSDFLTILHQDEIGGLQLFSDGKWISVKPNPEALIINIGDLFQAWSNGVYRSVEHRVVTNKFKERFSTAFFLCPSYDTEILSCVEPCLYKKFTFREFRQQVQEDVNSFGYKIGLPRFLLSTN >Solyc11g030800.1.1.1 pep chromosome:SL3.0:11:23542838:23543014:-1 gene:Solyc11g030800.1 transcript:Solyc11g030800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGASYLKGTSFFDRRGMIVRPSPYIQVAERPFPRSALPIGIATFGLCLPFLIKNYG >Solyc08g063045.1.1 pep chromosome:SL3.0:8:52643918:52644928:1 gene:Solyc08g063045.1 transcript:Solyc08g063045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEQQQQNNDLSSQLFIQNPNGNSSNMFGAPPPHMSATALLQKAAQIGVTSSSHTANNMSATTFFSPTTSTTTGIAMSGSGSGAGVARQYHPFENNNNNNNTNTDFVTGNTSFPDFGASVTPGFMEQVHDMQNMMATTAPSLPCTSFDEGFGRGAHLMRQEPRRSPDGSQKDLSGGK >Solyc05g012210.3.1 pep chromosome:SL3.0:5:5491072:5497313:1 gene:Solyc05g012210.3 transcript:Solyc05g012210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLNMHVHVRIGKFFNFGLVFLIVYLVGLWFAMGDNTDEKRKSRIEMENLSMETNRFSRDLLQRFMGGGSSSGSSSGKSRVEVVVAGGKEVKEEEDEGEVELNLGLSLGGRFGVDKSSSSNKLIRSSSVASCLPIVRDDDALAPPPVSYPTLVRTSSLPVETEEEWRKRKELQTLRRMEAKRRRSEKQRNLRGDKEGGGGTTVVVGGGGGGGSMEDEKKEIEMNLRGRLDKEQYLMSAKRFGLSVSPTLAAVARQGSLTGDGIDLTVGKGKGSYSGGKMQGHGKLGSQGSVESQGGGSSSSMSELESKLPQGSGELSPASIHSLQGGGSQDMGSSGSKMRETGSRMSAGDMDSPSKKLEAAKSRAKEKETGTNTLENMPCVFTKGDGPNGKRVDGILYRYSKGEEVRIMCVCHGSFHSPAEFVKHAGGTDVAHPLKHIVINPNASPLL >Solyc05g018561.1.1 pep chromosome:SL3.0:5:22252673:22254100:1 gene:Solyc05g018561.1 transcript:Solyc05g018561.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEAIASYFCLEIVTGYNSITEQPTVELETLLELYADVFQKPSGFPPSRIQDHAIHLNFGAQPVNIKPYRYPYFQKQLIEQMVSEMLKEGVIWSSTSPFSSPVLLVRKKDGYHQIRVTPDNVSKTAFRTRDSHCEFLVMPFGLTNAPLTFQARMHDIFRPHLRRFILLTRQHQLEAKRIIDYLGHIISAQGLAVNPDKIEVIQQWHPPKTVKEVRKFLGLAGYYRCFIHHFAAIAGPLYNLLRRDSYQWTEAEQQAFDTLKAKLVENDASGKGIGAILSQKGHPIAYFSQQLSVRKQKASTYHREMFAITQAVSKWRQYLLGQKFTIITDQQSLRILTNQTIQTSEQQKWLSKLVGFDFHIVYRPGKQNVAADAIWIN >Solyc04g051025.1.1 pep chromosome:SL3.0:4:49262637:49265370:-1 gene:Solyc04g051025.1 transcript:Solyc04g051025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNSCKPTVSAVNMDGQQLVGFSWAANEYWIAYKDSTNIEFHIEIKAQKPALAPRSFFGVEDFVDDDNSRPYTYQKG >Solyc04g008800.3.1 pep chromosome:SL3.0:4:2427125:2430857:1 gene:Solyc04g008800.3 transcript:Solyc04g008800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSFWSFYTTSFCSFHCFFPSLLHIKYNFLRFYSNAVIEVTDMGFGALRSIIRPVSRALLSTRTVSAFAPISSPANTVCPAPELRHLFNTFRPRLPWIPPSSAFHSLTDTRFPKRRHSDKPKRKRASLRPPGPYAWVKYTPGEPISPNNPNEGSVKRRNEKKRIGQRKAFILAEKKKRKAQMQEANRKKMIKRVERKMAAVARDRAWTERLAELKKLEEEKKAATA >Solyc06g066270.2.1 pep chromosome:SL3.0:6:41692775:41701032:-1 gene:Solyc06g066270.2 transcript:Solyc06g066270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVILLFSISLIFFIILNITKSTTKLPPGPTGFPIIGSLLQLGSKPNQSLAELAKIHGPLMTLKLGSITTVIASSPETAKEILQKHDKTFSGRTIPDAVSSQPNREATLAWVPPDNIWRNKRRICNTQMFTNQKLDSLQELRHQKVERLVNHIRSRCESGSAVDIGRVVFATTLNLISNTIFSIDTVDPEFKTAHEFKELVGTIMKDAGVPNLSDFFPVLKWFDLQGVRRRIRPAYLRLHEIFEENIEKRVKGMTMKRDGDFLDVLLDHCKDENDRKTIKPIILDLFIAGSDTSAITIEWAMAELLRKPEELNKVGQEIMEQIGLERAVKESDMDKLPYLQAVVKETMRLHPATPLLLPHKAQHDVQVLGFTVPKDSQVLVNAWAIGRDPKSWERPLEFLPGRFMESSVDYKGRDFEFIPFGAGRRICPGMPLAIRMVNLILASIIQPFNWKLPDGMTPEKLDMEEQFGLPPGPTGFPIIGSLLQLGSRPNQSLAKLANIHGPLMTLKLGSITTVIASSPETAKEILQKHDKIFSARTVPNAVASQPNWEATLAWVPPDNMWRNKRRICNKQMFTNQKLDSLQELRRQKAEQLVSHIRSQCESGSAVDIGRVAFATTLNLISNTIFSIDMVDTEFKTAHEFKELVWTIMEESGVPNTSDYFPLLKWLDLQGIRRRIRPAYLRLHEIFEENIEKRLDDRATGQTMKKDGDFLDVLLDQCEVENSGFDRKTIKPLILDLFIAGSDTSASTTEWAMAELLRNPEELNKVRQEIIQQIGIERAVKESDMDKLPYLQAVVKETMRLHPAVPLLLPHKAQHDIQVLGFNVPKDGQVFVNVWSMGRDPKYWEKPLEFMPERFMESSVDYKGRDFEFIPFGAGRRICPGMPLAIRMVNLMLASIIQPFNWKLPKGMTTENLDMEEQFGVTLRKAIPLVAVPNFENKEMEFSILVFCISIFLITWHFIKLLTLNFTKSTNKLPPGPTGFPIIGSHLQLGSKPNQSLAELTKIHGPLMTLKLGSITTVIASSAETAKEILQKHDKTLSARTAPDAVTSQPNPEATLAWVESDNMWRNKRRILNTQMFTNQKLDSLQPLRHQKGEQLVCHIRKLCENDSAVDIGRVAFATMLNLVSRSIDLVDPESDMAQEFKDLVWTINEYAGKPNLSDYFPVLKFARGEASYKASVHQIFDQLIEKRVEDRASSPKMKVAGDFLDVLLDQCQDKESGFDRETIKPIIVDLFVAGSDTSAITTEWAMAELLRKPEELNKEQKGLLLPHKAQHDVQVLGFTVPKDSQVLVNVWTIGRDPKYWEQPLEFLPERFMESSVDYKERDFEYIPFGAGRRICPGMPLAIRMVNLMLASIIQPFYWKLPDGMTPEKLDMEEQFGVTLKKAIPLVAIPCMKENMVRF >Solyc12g089000.2.1 pep chromosome:SL3.0:12:65151756:65156340:-1 gene:Solyc12g089000.2 transcript:Solyc12g089000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4DGX1] MEDYSYGSSDDDEWDNQQCNNDDEDDDDGEFLDGFTEIEQERNGISGKGPSCKVIRKETLLAAQKEDLQRVMDLLSLKEHHARSLLIHYRWEVDKVFAVLVERGTEMLYAEAGVTLEGKEEHTSTLSTSEVTCQICFEDAPVEKTTVMDCNHIFCNECWTTHFIVKINEGQSKQVTCMAQKCNVICDEGKIRDLVTARDPALAEKFDRFLLESYIDDNKRVKWCPSVPHCGNAIRIEDDDYCCEVECACGVQFCFNCLSEAHSPCSCLMWKLWMKKCKNDGKTVTWISENAKHCPNCHNAVEKNGGCNLVRCRCGQPFCWLCGGATGLDHTWSSIRGHTCGIYKEGEEDKSTTVRNDLLRYTHYYERYMVHRDSLEAEANMKQKLKFEVLKLEARELLSKDFSWVENGCNRLLELRRILSCSYPVSFYVFDQTLFKDEMTQKDREIKKNLFENLQQQLEINVERLSMFLEEPFAKYPEDKLLETRTKIITLSVLTDNLCKELYDCIDNDLLLPLQQANHTIAHYRSEGVAKASELPN >Solyc12g096820.1.1 pep chromosome:SL3.0:12:66566632:66568464:1 gene:Solyc12g096820.1 transcript:Solyc12g096820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DHF5] MPSPLYLSSQVRVVQKMEEKSNKSHILVLPIPVQGHINPMVQFSKRLASKGVNKVTVVTIYSISKNMPKESGLINIESIPHDDKSPPQNIDPMLEWFHLLVSKNLRKIVEKLSDSVYPVKVLVFDSVATWAIDLAHKLGLKGAAFFTQPCCLSAIYYHMDPETTKVSFDGSDVSLPSLPLLTKEDLPSIIYDTDLYPTLRGLVFSQNINFKKADWLFFNTFDALEKEIVEWIRPRYPIKTVGPTIPSMYLDKRLMEDKEYGLSLFKPNSEICMKWLDSKEIDSVVYVSFGSLASLDEQQMEELAFGLILTKCYFLWVVRATEENKLPEEFMSKLFEKGLMVNWCPQLDVLAHRSVGCFFTHSGWNSTLEALSLGVPMVTMPQWSDQPTNAKFISDVWQTGVRVKVGENGVVNRDEIASSIREVMEEEKGTMLKENAMKWKNLAKEAVDEGGSSDKNIEEFLSNL >Solyc07g042910.3.1 pep chromosome:SL3.0:7:56555264:56593835:-1 gene:Solyc07g042910.3 transcript:Solyc07g042910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPHLARSSSLLFLFILLLSHSSLGSTGGNRKTGKSSVFSLFNLKDRSKFWSESVIHGGDFDDLEASKPEKLSVLNYTQAGNIANYLKLLEVDSMYLPVPVNFIFIGFEGKGNQEFNLQPLELERWFSKIDHILEHTRIPQVGEVLTPFYKTSIDREQRHHLPLISHINYNFSVHAIQMGEKVTSIFERAIDIFGRKDDMSDNRDDGTVLWQVDVDMMDVLYTSLVEYLQLEDAYNIFILNPKRNGKRVKYGYRQGLSESEINFLRENKEVQSKILHSGRASESILALEKMTRPLYAKHPMAKFSWTVTEDTDTAEWYTRCVDVLNNVEKVSQGKDMAEVVQNKVMQFLNGRNGELKLRFERELKAGKFSGFHAECLTDTWIGNHRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVEKTIGAVAEISEDEAENLLQEAIQEKFAVFGDVQKDHQAIDILLAEIDIYELFAFNHCKGRKVKLALCEELDERMQDLKNELQSFEGEGSDESHRTKAVDALKRMENWNLFSESYEDYKNYTVARDTFLAHLGATLWGSMRHIISPSLADGAFHYYEKISFQLFFITQEKFRNIKQLPVDLKTIMNGLSSLVLSSQEVMFSPHMLPLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTVRSYLDSSILQHQLQRLNDHGSLKGSHAHSRSTLEVPIFWFIHSDPLLVDKHYQAKALSDMVIVVQSEEPSWESHLQCNGRSLLWDLRKPVKAALAAVSEHLAGMLPLHLVYSQAHETAIEDWIWSVGCNPLSITSQGWHISKFHSDTVARSYVLTALEESVQLVNSAIHRLVMERTSEQTFKLFKTHERELVNKYNYVVSLWRRISTVSGELRYLDALRLLYTLEDASKGFVNYVDTTLASLHPVHCTRRREVKVEFDMTTIPAFLVVFFVLWFVLKPRRAKPKIN >Solyc07g009290.3.1 pep chromosome:SL3.0:7:4351201:4352098:1 gene:Solyc07g009290.3 transcript:Solyc07g009290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSTIFLALLLCFLLISSNDMQGVEGKTCRWRSKEYRTRFCLLSDTCFIKCKQEYPKAIKGQCIRKSTFSRYCYCWRKC >Solyc06g072990.1.1.1 pep chromosome:SL3.0:6:45127964:45128308:1 gene:Solyc06g072990.1 transcript:Solyc06g072990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIATTLSFCAQSKPAGGEISGVPESEMLRKRNEELEDELKKSVEREEKMKEELEKTRRRVKVAEEAEERLCFQLGELEAEAVDEARAYRARVVNLMEQLSAVQKLLLSASK >Solyc01g088800.3.1 pep chromosome:SL3.0:1:83396737:83414483:1 gene:Solyc01g088800.3 transcript:Solyc01g088800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQLEQSSSNVLLLEMVLLEKLVFSSPTLATLSPLANVNVDGKIVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISRPSFENISKKGEELKKQIGAVAYVECSAKTQQGMEKYELEEALKRASMAEKTVIITVINKAYVELYNGEYPSMFDLFLEGFWEGESTRVLLEHLLVVAVDQTAYERCKFRRLHCYRLVTGDGDFAGEKIYMSEEFIKMMWRRTRFLMEVLKLGYNFIFTDTDIMWLRNPFLLLNKTKNLDLQMSTDRFNGDSFSYSNSINTGFYYVRSNRKTITLFEIWYAMRRNSKGMKEQDVLAKMLREGVNKELHLRMKFLDTLRFGGFCSNNKDVTLVMTVHANCCRTIEAKVADLKNVLVDWKRFKEGHVAAESKPVADRKAEPEKPVKSVENRVVAEKKDDVKESVDEYEKGERLDLEDNDPEFEPEEYGGVDYDERGIEHEDVQEEDYEIEDDPQEGDDGEEEGGDMVEEHEEIEGEEENERASGEEHEHAEMVDAAEDAEHHEVAKERLKRKEFEIFIGGLDKDAVEDDLRKIFSQVGEVTEVRLLMNPLTKKNKGFAFLRFATVEQAKRACIELKNPVVNGKKCGVSPSQDSDTLFLGNICKSWTKEALKEKLKHYGIDNVEDLTLVEDTNNEGMNRGFAFLEFPSRSEAMDAFKRLQKRDIVFGVDRPAKVSFADSFIDPGDEIMAQVKTIFIDGLSASWDEDRVRDLLKQYGKIEKIELARNMPSAKRKDFGFVSFDTHDAAVICVKSINNEELGEGDKKVRLFCLESYLNMSFLCVRAYSCIFQVKVRARLSRPLQRGRGRYGARDLRPRRGMMRGPPAPWGRPVSRRLPVRGSRVGSRVPPLGDRSFKRPVTMRDRRPVMAPRGRPMSPLSSRSYDRRPPVPSYSKSNLKREYARHEEIPPPRSRSTVDYPSRFPSDRRVSYRDDYSSRSSAYSDFPRGTARTTTRRTYVDDDYEQRYERPPPAYREGRGREYDSTSGSKRSYSAMDDVPPRYADAGPRPSRARLDYELGDASGAQYGDYSDSRLGRSNAGYSGSRSSLSGQDSHGMYSSRQGGYGGGSYDGSGVSGMYSSGYGGDYMSRSNDVAGSSYSSAYSSRSMGGSGYMGSGDSGSYY >Solyc01g090130.2.1 pep chromosome:SL3.0:1:83641384:83642192:-1 gene:Solyc01g090130.2 transcript:Solyc01g090130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGEQLIPISSNKEGKGLLASWNAATSKPDIVVALDGSGNYKTINDAVAALSSMTRPERTVVYVKSGTYRENVEIGKGLNNLMFVGDGIDKTIVTGSKNVPDGATTLNSATFGKSYLN >Solyc07g041110.1.1.1 pep chromosome:SL3.0:7:51961096:51961248:-1 gene:Solyc07g041110.1 transcript:Solyc07g041110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRAVDLSSPHDLNSNDKQELGNVSASNTTFGYIYVCVRARLSISSLKLL >Solyc05g045720.1.1 pep chromosome:SL3.0:5:58409283:58412302:-1 gene:Solyc05g045720.1 transcript:Solyc05g045720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIFQAAPQNMKLLFEEDEPTARSYLLDKEEYLTFEVRMFIKYFHRYTLEGIIVHIFGLVFNSIDDSPAVRLSTLVDQLDRAVRSQALMMYERDKSIKGEFSLDGIIPIQLNMPMVCPPKDWHVAKPAGDIETLKCLEDLIERASTARDLYQYTSKIVTPAAESTKVSPYQLPITQDACDSAYQIISYFMLDFKLSKYKNIFPTKVHNSEHLRINDIYDFFLSELIQYLKAVVSASGAPIYYSTLTTVQDYMKFEVVNIWLYDRQSKKRRQVKLHIPTPERDQRKTTSAIFANFIHQKDAIIVFNMIKNMKKRGIPIYTVHNNFITTMDFSHAMGESYIEILLNSPHPMEYINSFLAINLFDEAHPWRYAYPKNSEYGKFTIHYSMETTSCIITYALGQAITFRVGGVNQSVRDIVDEYVREKADAYGDVVLTDIFIRIYYDQNLSTVEMNISNNQIARLIAESLVSDEVYDLIAVRKIENRKPKYLKHITRLKLIERKEDPSLL >Solyc01g100580.3.1 pep chromosome:SL3.0:1:90443495:90446574:1 gene:Solyc01g100580.3 transcript:Solyc01g100580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRAICLKLLRSTTYRRCFSTETAAVETISTPAISQRKRISDGRRKLSDLINRADSESNIKDALNKLDNEGESIQKIDIVGCINNLRRTDRFDLALQLSEWMESSKIMISNGDRAIRIHLLANTKGTDSAEMYFDSLQESEKTSKTYGALLSSYCRQKMFDKVSKLFEKMKELNFTSTLNYNNVISLYLRSGQPEKVSALVQEMEQRNIAVDIFTYNQLMNSYALVKDIVSVEAVLEKMEKHQVKFDWLTYGNLAGIYINNGYRDKANDILQKMEKMKDMHDREAFHTLITLYQKTSNPSGVNRSWELLKSVFPTPSKFSYLIVLLALSKLGDSEALEKRFRELESHCKFFDIRVSNVMMESYLDRNMIEEANLLYENVLKQGVEPNLRTYDAFTNFYIKNSQIDSALKYLEMGASKANPKKKVWFPKDESIKMFLAYFEDSNDPVRAEKFCEIMKKINRLDSSVYDSLLSTNSAASEVEL >Solyc07g042637.1.1 pep chromosome:SL3.0:7:56292977:56293741:-1 gene:Solyc07g042637.1 transcript:Solyc07g042637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCKASSTPVDTNLKLGTTTSKSFENPSLYRSLASEHQYLMFTRPDITNVVQQVCLFMHDLRNEHFALHPTRYAYFSLHMFPSSNSTLISYTDVDWGGCSDTRCTTLDYCVFLGDNLIFWSSKHQATLSRFSATLVYCDNEKVARGQVRVLHFPLRYQIADIFTKGLPLVLFENFRDSLSIRQPPSLTEGVCLNI >Solyc06g049080.3.1 pep chromosome:SL3.0:6:32446059:32449512:1 gene:Solyc06g049080.3 transcript:Solyc06g049080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:K4C5F4] MALRTLVSRRTLAAGLGFRQQLRGVQTFSLPDLPYDYGALEPAISGDIMQLHHQKHHQTYITNYNKALEQLHDAISKGDAPAVAKLHSALKFNGGGHINHSIFWNNLAPVSEGGGEPPKGSLGWAIDTNFGSLEALVKKMNAEGAALQGSGWVWLGVDKELKRLVVETTANQDPLVSKGANLVPLLGIDVWEHAYYLQYKNVRPDYLKNIWKVINWKYANDVYENECP >Solyc05g050200.3.1 pep chromosome:SL3.0:5:61099309:61100814:1 gene:Solyc05g050200.3 transcript:Solyc05g050200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAN >Solyc04g077590.3.1 pep chromosome:SL3.0:4:62628541:62632831:-1 gene:Solyc04g077590.3 transcript:Solyc04g077590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDVLKKSLNFAQKKRKWIILLGLVGLSSYGAYRVYHMPSVVQRRKRVMKLLGAFVSMAEMVSDSSEAVSVVSKDLKEFLQSDSDELPRSLRQLSKIARSEEFTNSVVKVSQALTVGILRGRGGESKGEIEEVGSSSIVDKVMDRMMSTVGTGFVSVVVGSFARNLVLGFNLNSGSDEGLNANYQSEVSLMKSNSSEVPRWVDVVCADRCKLMIADCIQTFVSTAVAVYLDKTMHINVYDDLFSGLTNPKNEANVKDFLVSVCNGAVETLVRTSHQVMTASGSDSDLCLNSACSIVDQSEYLTQASEKALEQVPPRKIKDTNQPIDLQSNGWLTSVSSTLAVPSNRRFVLDVTGRVTFETVRSIVEFFTWKLSENLKRSVNVVHEEVVERGLDVITHINAKSYTTHCLQGDKI >Solyc05g044570.2.1 pep chromosome:SL3.0:5:57896629:57900122:-1 gene:Solyc05g044570.2 transcript:Solyc05g044570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFNHKILKTIILRRTKKGRAVDLGLPLKIVTLLKDCFDVKEEDYYRSLWDESRAQFYTYIQDGMLMNNYVNIFNLLTRMRQVNSCTHVFCKSCLINFTAIVGQLSCPSCFESITVDFTANDQKTKASIKGFRTSSILNIICVDNFSDKHKNRSFGKQLLFNI >Solyc06g054600.2.1 pep chromosome:SL3.0:6:37442064:37443179:1 gene:Solyc06g054600.2 transcript:Solyc06g054600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPGYDLQYYMRTGMCKFGASCKYHHPRQGEESPSLNEKECAHYMKTGHCKFGITCKFNHPQPAGVQVPALAAGPFPLPAAVPPPATYPELQPLPPVDSAEQYGMVTGFYLSSAIPNYSICSWSRSSAMPNFSLCSWSRSSLWSYTNICFSTCLRKPICISYCFSWCFKQHPGWTCISGKTWAA >Solyc07g041000.3.1 pep chromosome:SL3.0:7:51610249:51613473:-1 gene:Solyc07g041000.3 transcript:Solyc07g041000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNLDVMDIYPLNHYYFGSKESLPLKEEETLDHRIQRFKFNYNTHGIRTCVQAVLLYAYVALQVDLFKHPHLLLLQVRHSLYKLPGGRLRPGESEIECLRRKLSSKLSMSEEYDRWEVGECLGMWWRPDFETLIYLVLPPNIKRPKECTKLFLVRLPESCKFIVPKNLKLIAVPLCQVHENFKTYGPIIAGVPQLLSKFSYNTPDTD >Solyc09g055965.1.1 pep chromosome:SL3.0:9:46769067:46772043:1 gene:Solyc09g055965.1 transcript:Solyc09g055965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDADWGGDISHRVSTSDYILFVCCNQIIWFSKKQNIVSRSSTESEYKAVANALFETLFCPHEAVGDVVLAFKKSFSGPWHCWSKVQELVRDKWFNDFETLESGIFTQEQKSYSFSGEDKALVRRNFNRVGNERLSDNLGKQKRTFARTKQIPNLISQVHLEGLMRYWDS >Solyc06g009650.3.1 pep chromosome:SL3.0:6:3586922:3601278:1 gene:Solyc06g009650.3 transcript:Solyc06g009650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDDEVPIDDKAKRMRDLLSSFYSPDPNSTSVPPNTSSRFATLDTINTTAFDADQYMNLLVQKSNLEGMLQRHVEMAAEIKNLDTDLQMLVYENYNKFVSATDTIKRMKNNIVGMETSMEQLLEKIMSVQSKSDGVNTFLFEKREHIEKLHRTRNLLRKIQFIYDLPARLAKCIKSEAYADAVKYYTGAMPIFKAYGDSSFQDCKRASEEAIAVITTHLQGKVFSDSESIQARAEAVMLLKQLNFPVDNLKVQLFEKLEQFLVDLHLESKELPPASVDQGNLPESATSAAHEASIREFSEAVRAYRVIFHDSEQQLSRLAQNIPKMHFESTQQHIKKQLASSDLVAMLRIIWTDVLLMDGVLPEAGLRDITMEAAHVAVKQYVASRFSHLLLDISGAVVKVGNQMEGIEEKNSLQAILEASKKAVVQGSMDVLQDFRQLLDENLELLSKLRDLVIDWVQEGFQDFFRKLNDHFFLLSGKKNPAGQDLSFHEGIQRDKILPGLVLVLVQLSVFVEQNAIPRITEEIASSFSGGGSRGYENGPAFVPAEICRTFRAAGEKFLQHYINMRTQKISFVLNKRFTTPNWVKHKEPREVHMFVDLLLQELDSIIKEVKNMLPEGIQRKHRRSDSSGSTISSRSNPLRDDRMVRSNTQQARSQLLESHLAKLFKQKMEIFTKVEHTQDSVITTIVKLCLKSLQEFVRLQTFNRSGFQQIQLDIHFLKTTLKDTADDEAAVDFLLDEVIVAAAERCLDPIPLEPSILDRLTQAKLAKAREQSPTS >Solyc03g065350.2.1 pep chromosome:SL3.0:3:43671797:43673243:-1 gene:Solyc03g065350.2 transcript:Solyc03g065350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVQKKINDNKERLEKKKRKMVKICCIGAGYAGGPTMDLIALKCPSIKVVVVDISVPRITAWNSDHLHIYEQGLWYIVRNFFVSVNTPTKSRGLGAGKVVDLTYWESASSMIVDVSKSDKIVVKRSTIPIKTAEAIEGILTHNSNNRINFQILSIPEFLAERTAIEELFKQALKDVYAQWIPQDYIITTNLWSAELSKLTANAFLSQRISFVNAMSALYEASGANASEVSYVVGKDSRIHIPSFLMLLVYICECNGLLEVVEYWKLHGVASMLNTISGKKVAILGFAFKKDISDIRETPAIDVSRDYWETSQRDLATSKFYWDHLLHLHPMSPTGVKQVSYVWDAYSATKDAHVVCILIEWDEFMRIQTHD >Solyc06g071680.3.1 pep chromosome:SL3.0:6:44286886:44291574:-1 gene:Solyc06g071680.3 transcript:Solyc06g071680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:K4C8P0] MDSSTVDGGASLPSTGTDARKRRVSYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVNYYLHRRMEISRPFPAGEDDIRRFHSPDYVDFLATVSPETLHDHTHSRHLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRQDADITINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHKRVLYIDIDIHHGDGVEEAFFTTDRVMTVSFHKFGDFFPGTGHIKDIGANQGKYYALNVPLHDGMDDDSFGRLFRPTIQKVMEVYQPEAVVLQCGADSLAGDRLGCFNLSVKGHAACLRYLRSFNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPENKLPYNEYYEYFGPDYTLHVEPIPMENLNSPRDLEKMRNILLEQISQLPHAPSVPFQTTPSTTEVPEEKEENMDRRPKPRIWNGDGYESDADEDEKPRQRSSDSNLTPVESSDMRDVDDQANADDMVDDHP >Solyc07g006420.1.1.1 pep chromosome:SL3.0:7:1238055:1238966:1 gene:Solyc07g006420.1 transcript:Solyc07g006420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNHIFGFLSILKESLQLIPKNGKLFALTCFLNILFSSTLLSILNFELKFLFHDIILKASILSTSIDDTFKVMKIFADIKEDFRIILIIYVAILISLSLISFLYTIATIILSYNINISLKQLVLKISKAFKYAFITRLYTNMLGIGYFFIVLFLLSPIFVSSSNIFLISIRIFVGIISFLFFLYLSIVWILALVISVIEEDCYGIKAIAKGGRLIKGNRLNGFMLNISFSIISSSLFLCFMKINKPNKGVINQIIMSIFLVIISSLFNLLLVVAYTVLYSHCKKNHGEEEVELDGSFEYSKV >Solyc01g096870.3.1 pep chromosome:SL3.0:1:87741382:87747406:1 gene:Solyc01g096870.3 transcript:Solyc01g096870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRLPALTSIFTSSSSVRSSPAILPLKHRLRLPLRRPFSAQSTTEKVVAPELGDGKGKVVDKEKDRVITPRSQDFNAWYLDVIANAELADYGPVRGTMVIRPYGYAIWEAIQEYMNVKFKETGHSNMYFPQFIPYSFIEKEASHVEGFSPELALVTVGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMINQWANVTRWEMRTKPFIRTLEFLWQEGHTAHATAEEAEEEAMQMIDVYTKFAYEQAAIPVIAGRKSRVETFAGASTTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFTDENGQRQHVWQTSWAISTRFVGGIIMTHGDDAGLLLPPRLAPVQVIIVPIWKKDNEKAGVLTASSSVKESLQAAGIKVKIDDSDQRTPGWKFNYYEMKGVPLRIEIGPRDVSSGTLVISRRDVPGKQGKVFGISMEPSALVAYVKDKLNEVQSSLLERATTFRDSNIMDVSSYDELKDAIAQGKWARGPWSAGDEEESKVKEETGATIRCFPFEQPEGPKKCLMTGNSADEVAIFAKSY >Solyc08g078420.1.1.1 pep chromosome:SL3.0:8:62351169:62351612:1 gene:Solyc08g078420.1 transcript:Solyc08g078420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKHPIYHGVRKRSWGKWVSEIREPRKKSRIWLGTFSTAEMAARAHDVAAIAIKGHLALLNFPELAHQFPIPSSKCAKDIQSAAAKAAALNISPRNFMEKQSTDELMEMPCSSEETESAESSLTSNSEDPFLDLPDNSYGSYSKI >Solyc08g036630.1.1 pep chromosome:SL3.0:8:10651818:10652146:-1 gene:Solyc08g036630.1 transcript:Solyc08g036630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNVDGSSDVDGVNFCCLLLQNSQMIFIWSCPTLKSSQKAALCIYSRM >Solyc12g044980.1.1.1 pep chromosome:SL3.0:12:61292918:61293085:-1 gene:Solyc12g044980.1 transcript:Solyc12g044980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESPISHTAVNLFSGLVHTARHTMGAGHTRSRYLNRKERNVEAKASDWSEVVTR >Solyc10g079490.2.1 pep chromosome:SL3.0:10:61155556:61160637:-1 gene:Solyc10g079490.2 transcript:Solyc10g079490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKFIMFSFILTSLFLILIYIPTQFSHSPITIFKPKRSFIISNTTTNPYPVKFAYLISASKGDVAKLKRLLFSLYHPGNFYLIHLDLDAPENEHQEISRFVSENSVFGEINNVWVVGKPNLVTYRGPTMLATTLHAMAMLLKIAKWDWFINLSASDYPLVTQDDLIHAFSDLPRDLNFVQHTSHMGWKLNKRGKPVIIDPGLHSLNKSEIWWVIKQRSLPTAFKLYTGSAWTILSRSFAEYCIIGWENLPRTLLLYYTNFVSSPEGYFQTVICNSEEYRNTTVNHDLHYITWDIPPKQHPRSLGPKDYRRMVLSNRPFARKFKNNNLVLNKIDRDLLRRRNGQYFSAGGWCSNDDDRGCLNLQVEKYGVLKPGMGARRLRTLLKKLVSAPHFAKRQCR >Solyc02g064930.1.1.1 pep chromosome:SL3.0:2:36596291:36597247:1 gene:Solyc02g064930.1 transcript:Solyc02g064930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKQAKFTWNRGKILGKGSYGLVSMASTATRSRKIPSLIAVKSSLLNRSLSLRNEREFLRMFEDCPQIIQCFGFNITFEDDLYLYNLLLEFASVGSLADRINKLGLPEFQVQKHTKNILLGLSLIHKKGVIHCDIKPENILLTGDADDEVAKIADFGLSITLEQNSKENVGQRGTKRYMAPEALLKEEYCPGFDIWSLGCTVYEMITGEPLWESSILMLNRIKYEEPIIQNPKLSTEAKDFLNNCLARNPSARWSADMLLNHSFLKSADDVQPPDTKKRQCGGMSLLRKKRTKTAFTTKPHVPNLVIQVDSDTNDGN >Solyc03g007510.3.1 pep chromosome:SL3.0:3:2083976:2086930:1 gene:Solyc03g007510.3 transcript:Solyc03g007510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VREKDVCWEYAEKLEGNKVRCKFCLRILNGGISRLKHHLSRLPSKGVNPCTKVRDDVTDRVRDIIGSKEPPSTKKHKLIETKALANISPEKPLLSVEPITPIARIFPPIGQAISSSGNNQENAERSIALFFFENKIDFGVARSSSYHQMIEAVGKCGSGFIGPSPETLKATWLERIKSEVSLQSKDVEKEWAMTGCTLIAETWTDNKMKALINFLVSSPSRTFFYKSVDASSYFKNLKCLSELFDSIIQDFGPENVVQVIVDNTLHCILQAQSLSKFIYNNSPLLDLMKKFTGGQEIIKTGITKSVSNFLSLQCLLKHRSRLKVIFNSPELAANSAYTNKSQSVNCITILDDNDFWRTAEECVAVSEPFLKVMREVSGGKPAVGTIYELLTRKVQDIFRYSG >Solyc05g005850.3.1 pep chromosome:SL3.0:5:662004:665119:1 gene:Solyc05g005850.3 transcript:Solyc05g005850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4BWB2] MEMSGVVPFIAMIVQQLAQVGLAVVAKGAMSTGMTTFTYTFYSSAFSTLLLIPISFFLHRSAIPPLWPTFLYGFFLLGILGFLMQVLGLLGLQYSSPLLSTAILQLCPGFTFILAVILRMETFEYKSLSTMAKTIGTLVAIIGAFVATLYKGPQVFGINPLNTILTTPSAWAIGGLLTMICSIIASLFIISQAFVLKKYPAELILMLFYSCCVTILCAVFSLIVERDLNSWSLSPHSRLMAVVYSGLFGNVFQVCIGSWCVRRKGPLFVVMFHPLGIVIAMAASIFMGEIIHVGSLVGSIIIVIGFYSVMWGQSKEWTKKEKNLRSNNNKIPFLQDKNDDDPEV >Solyc03g071507.1.1 pep chromosome:SL3.0:3:18840253:18908754:-1 gene:Solyc03g071507.1 transcript:Solyc03g071507.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHGMNHWIYYQDNERDGQFGRQLTLSLEEEPDDAKETNNHPFKSFGSTFQNWWKEYISSCKTINVQVYAKFLRDRFKYKLLIEKVRQPLIINV >Solyc05g026180.2.1 pep chromosome:SL3.0:5:40028957:40035467:1 gene:Solyc05g026180.2 transcript:Solyc05g026180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSSHQLGSISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWVGLPAGVKFDPTDQELIEHLEAKVVAKESKSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECQDLQGGETRWHKTGKTRPVMVNGKQRGCKKILVLYTNFGKNRKPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNWSNTTSSAAGDQANSDVNSRRDSGSGSCSSSKDINNSNNIPSSHRDELSAAVCAAMSSYSTMDIQQYKPEHFSFLPFRKTFDHDQAGIHVVGEGSMTREGGPTAAAPEERDMGEPHDAQHQHQHQHQHQHQHHLVANSAFHISRPTPPISAIITPPPLHNTSVILGEDAFHVPRSIILPNDNFQTIHDDKYDYVLIECIIFRQQQQHHKLGARSASELEQLIMGCTSTDIKGDKEYPIACMGFSSQKESSITNSQDADWLKYSHFWPDPDNPDHHG >Solyc03g115890.3.1 pep chromosome:SL3.0:3:66971756:66973347:1 gene:Solyc03g115890.3 transcript:Solyc03g115890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:Q9FVG9] MSILWFSIIGVFCIQFINCVHGNEQGWIEAHATFYGGGDASGTMGGACGYGNLYSEGYGTNTAALSTALFNNGLSCGSCFELKCVGDSKWCLPGSIVVTATNFCPPNFALPNNAGGWCNPPLHHFDLAQPVFQKMAQYRAGIVPVAYRRVPCQRKGGMRFTMNGHSYFNLVLVTNVGGSGDVNAVSIKGSRTGWIAMSRNWGQNWQSNALLDGQILSFKVTTGDGRTVFCNNAIPAGWSFGKTYTGAQFT >Solyc11g013520.2.1 pep chromosome:SL3.0:11:6584968:6595337:-1 gene:Solyc11g013520.2 transcript:Solyc11g013520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone acetyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D6F6] MGSIDTSTRAESGSDPIPTPNGTTNGLHAGEDSVQTPAVDSTGASAENESLRKRRGSGVLPLEVGTRVMCRWRDGKYHPVKVIERRKFPCGGVNDYEYYVHYTEFNRRLDEWVKLEQLDLNSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCSKLFFCEFCLNFMKRKEQLQRHMRKCDLKHPPGDEIYRSGTLSMFEVDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGMLSYRGYWTRVLLDILKKHKGNISIKELSDMTAIKAEDILSTLQGLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQN >Solyc09g018730.3.1 pep chromosome:SL3.0:9:16846890:16853900:1 gene:Solyc09g018730.3 transcript:Solyc09g018730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWCTIESDPVTGKKGCRDSCVARRPVEELYSLDLDELNSLRPVFGLIFLFKWRPGEKDDRLVIKDPNPNLFFASQVINNACATQAILSILLNSPDVDIGPELSALKEFTKNFPPELKGLAINNSEAIRTAHNSFARPEPFVPEEQKAAGKDDDVYHFISYIPVDGVLYELDGLKEGPISLGPCPGGQGDIEWLRMVQPVIQERIERYSQSEIRFNLMAVVKNRKEVYTAELKELQKRRERILQQLAASQSERVVDSSQVESLNKSLAEVNSGIEAVSDKILREEEKFKKWKTENIRRKHNYIPFLFNFLKILAEKKQLRPLIEKAKQKTTNPR >Solyc12g099090.2.1 pep chromosome:SL3.0:12:67342164:67350105:1 gene:Solyc12g099090.2 transcript:Solyc12g099090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSSADQPRAESPLELIQGTEENLQITTPLLQNSQAMYNEGAPEFVVDQGLYYPPATNYGYFCTGLESPGDWDGHQGFFGLDGQDIQYMDAQTESFPYVYYTPNYGCAQSSYNPYNPYIPGAVVGADGPCAGPQHYYTIPPYENLGSSSYFPMAVPSTSSIPENAADPIMDSVISSTNRADDLRLKRNLSPTSPIFTPTSLGPASGYKSASNRGSESAKINAASGKQHVSHGFSSPSSQGTVAQAIGSIIHGKVLSNHGQLRAPLPPEIDLSNHRSGAHDQANTDKIRTKFLNGTIPSDGKVSPDMLMEQNRGPRIDKMKKQLLVKAYSTRAGNVDVQGNIVIHADEYNRGDFLMDFVNAKFFVIKSYSEDDVHKSIKYNVWSSTPNGNKKLNSAYEDAQRITPGNPRGCPIFLFFSVNASGQFCGVAEMTGPVDFYKDMDFWQQDKWSGSFPVKWHFIKDVPNPNFRHIILENNENKPVTNSRDTQEIRYKKGIEMLKVFKDYASRTSLLDDFMYYENRQKLLQEEKAKLLIRSYDNPFAVPALDPPRKLNSTPGLPSGGSEKISKYSAVPVLDLPLKLNSTPGLPSGESEKISKCSEQQQSGSCMAVPAELNSIVSKANKESADNGDKLVAEGGPLVNTALKIGSLTINPKPLKDKPLDVHSTTNTVASTQSVDVVTVGSMPVKVNGHAESSGFLTIGTIPLDPRAFQLDEASGSGKKVLK >Solyc09g092180.3.1 pep chromosome:SL3.0:9:71799339:71805981:1 gene:Solyc09g092180.3 transcript:Solyc09g092180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRSYNDADSLRREIQELRDIQRSVEEPEAFGLELKKSLEDCTLQFESKVEQLLCDASEVNFSSDQDLDEFWNYLKNELSTEEAKNAKIADEIEGLSREYVEGYSKLVNEVEGLSCLLELIESLGIEQGRALTNFPCSTPGEDKGNLSSAPVEHNFKIFELGNQLEKSKLNLESLEELESTFNRFEAIEKIEDAFSGLKIVQFEGNRIRLSLRTFIPNLENLLHNQTIGVAEPPEQNHELLIELVDGTMELKHVEIFPNDVSISEITDTAKSLRQVYFPVGVLENRSSLEWLVKRVQDRIILSTLRRFLVKSANSSRHSFDYVEREETIVAHMVGGIDAFVKLPQGWPLTCSGLTLMSLKSSSQYSQQISLTLLCKVAEAANSLDTNARQTISGFTDRVEEILMQQMTAVTTST >Solyc03g095970.3.1 pep chromosome:SL3.0:3:59117560:59118741:1 gene:Solyc03g095970.3 transcript:Solyc03g095970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLRLEGKVAIITGGASGIGEASARLFVQHGARVVVADIQDELGLQVVQSIGIHKATYRHCDVTDEKQVEDTVAYAVQKYATLDIMFSNVGTLNFCSVLDMDMTAFDETMTVNVRGSALAVKHAARVMVDKKIRGSIICNVSLEGILAGAASLAYIASKHAVVGIVKAAARELGPYGIRVNGVSPYGIATPLVCKAYGLDAAPLEAAINGNANLKGVTLSTMHVAQSALFLASDESAYTSGQNLAVDGGLSSILKLQ >Solyc10g009505.1.1 pep chromosome:SL3.0:10:3647551:3648824:1 gene:Solyc10g009505.1 transcript:Solyc10g009505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEKEKLKFEKEGLEVVTLCCGIVGGQTYLPFIPITCAIFLSLFTQEENLYNKFKFVEELIGKVPIVHIEDVCEAHMFFMNNVGSLNGRFLCASSFVSTAEIGNYYQLNYPEFKG >Solyc10g078460.2.1 pep chromosome:SL3.0:10:60410130:60416181:1 gene:Solyc10g078460.2 transcript:Solyc10g078460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4D288] MKAWEATIRITQAATRKRANTIFCTQGSSSPPTEEENEQQDDHIYANGEIFHAERFLPNGDYYSGYWLDNFPHGQGKYWWTDGCMYVGDWFRGKTMGKGMFSWPSGAMYEGNFKSGFMDGDGTYTGPNGDTYRGGWIMNLKHGQGVKEYVNGDCYDGEWCRGLQEGQGRYNWKNGNYYVGEWKNGTIFGKGKMYWTNGNVYEGNWEDGFPKGNGTFKWTDGSFYVGNWSKDPNEQNGTFYPSTSLLETGNLEWDPQQVFNVDLVECTICPPEKVPILPSQKKLALWRSSKAVDSNIKPRRMSLDGRIDAPPVDREFGRIRLSDVAGTSASYLDDSIVGLQDADGYLRGSPIRIPKVVKRQGQTISKGHKNYELMLNLQLGIRVSVGRPGPPPSLDLKPSAFDPREKYWTRFPTEGSKITPPHPSCEFRWKDYCPKVFRALRMLFKVDAADYMISICGNDALRELCSPGKSGSFFYLTNDDRYMIKTMKKAETKVLLRMLSAYFNHVRAFDNTLVTKYYGLHCVKLSGPAQKKVRFVIMGNLFCTNYSIHRRFDLKGSTFGRTTDKPESQIEATTTLKDLDLNFIFRLQKTWFQEFRRQVDRDCEFMEQEGVMDYSLLVGIHFREADSTEDQTSSGSGTPIDNGGSENETVARVSRADMDQLLLDKEGWASIKLGINMPARVERTERKATEAETQLVGDPTGELYDVILFFGVIDILQDYDITKKLEHAYKSMQCDPNSISAVDPKAYSRRFRDYIFKVFIEDN >Solyc03g019870.3.1 pep chromosome:SL3.0:3:62787878:62791447:1 gene:Solyc03g019870.3 transcript:Solyc03g019870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSLHSLIIPSVMEIYSEYCVLIIITLFSLIFIIKYYKKSKSQYGNTKKFPPGRRGWPIIGDSFNWYNAVASSHPPCFVEQQVQKYGKIFSCSLHGKWAVVSVDPVFNKFVMQNEGKLFQSSYPKSFRDLVGKNGIITAQGEQQRKLHSIASNMMRLDKLKFHFLNDIQRVIQETLNNFQHNEVILLQDVCRKLAINLMVNQLLGVSSESEVNEMAQLFSDFVDGCLSVPINMPGFAYHTAMKARENIIIKINKIIETHRKEASNGNGVIYRLLEEESLPDEAVADFIINLLFAGNETTAKTMAFAVYFLTQSPKAMKQLQDEQESFRNEKGSNFDNEEMLSWQDYKAMPFTQCVIDETLRLGGIAIWLMREATNDVVYGDYVIPKGCFVVPFLSAVHLNENIYDEPRIFNPWRWMNPENQEKRNWRSSPFFAPFGGGGRFCPGAELSRLQIALFIYYFVTNYRYVLHLIYMLFITSSLIIGGNK >Solyc01g095210.3.1 pep chromosome:SL3.0:1:86420814:86437516:-1 gene:Solyc01g095210.3 transcript:Solyc01g095210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSIENLKENLNRIALEIHDEDDEDEEELSIYNSDDRSDTNSSSNRRISRNFSRSKTPTYHSPIANGFDSANNPEIEKYKTEIKRLKESEAEIKALSVNYAALLKEKEDQVSRLNEENSSLKQSRQSSSSPSASRSTHKGSSDQSPNRQSKVLANRSFGSRTNNGFSPKQDGLSNGTTFGNEKELADLLEEKNKSLSAMQASHELQIKQLEMKLDKEHAELANMQIRLQEEQNLSSTCQHELNSLKADKDKMTAEMAKIRTELSHKVSELKQLQMELHERDNKESNEARDGLRRVIETLQKENSNLKNEKDKLEASLKANGVTSADRSNINSINEKVHPVEVFPEKEEMKRSLQNLENELKETRRGRDKAQKELKRLKQHLLEKEMEESEKMDEDSKIIEELRQNNEYQRAQILQLEKALKQAVAGQEDVKTLNYNELRKSKETIDELNKRLASCLNTMEAQNIEVLNLQTALGQYYAEIEAKERLGEELVMAKEELHKMSGLLKDAYNESETLKKEKEEVLVKLSDMERRLSEGKGRISKLEQDNEKLRRAVEQSMTRLNRMSLDSDNYVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGMAQQGSGKGVVRGVFGLPGRLVGGIMGGSSVPSTTASDQSFADLWVDFLLKENEREKSEAVEAGNGNTGDQIKGADATPAEHRSNNAGGSFVSPRPQYSPKHNLPPLAPNSRQVILPPEQSDTEFSTVPLTPLETNYDISRVPRY >Solyc05g013450.3.1 pep chromosome:SL3.0:5:6571871:6577959:1 gene:Solyc05g013450.3 transcript:Solyc05g013450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFYFILSMEDHNSKQNDPLLSQTEISTSDLNNTNNSLIRNSFGSSFVADVDDIPPIIGIQDFCREFSVESKKLWYLAGPAIFTSICQYSLGAVTQTFAGHLGTLQLAAVSVENSVIAGFSFGVMLGMGSALETLCGQAFGAGQIDMLGVYMQRSWVILNSTAVILMLLYIFAAQFLRLIGQTEDISREAGKMALYMIPQLFAYAMNFPIAKFLQSQSKMMVMAWIAAIALVFHTFFSWLLMLKLGWGLVGAAVVLNSSWWFIVVAQMLYIFSGTCGQAWSGFTWKAFLNLWGFVRLSLASAVMLCLETWYFMALVLFAGYLKNAEVAVDALSICMNILGWAVMAAIGCNAAISVRVSNELGAAHPRTAKFSVVVVVFSSFLIGLLLSVFLLVFRSQYPSLFAESESVKRLVYELTPLLAFCIVVNNIQPALSGVAIGAGWQALVAYVNIACYYLFGIPLGLILGYKFNMGVQGIWYGMVSGTVIQTFVLFWIVYKTNWNKEAYIAAARIKMWGGEPENEIEK >Solyc07g051990.2.1 pep chromosome:SL3.0:7:60678677:60679066:1 gene:Solyc07g051990.2 transcript:Solyc07g051990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTNCWSIHCHLSHTLYVPYKNPEEQMFLIGVILMVPCYAVESVSLFGKRISLFNFNGLNQCGSSI >Solyc02g091830.3.1 pep chromosome:SL3.0:2:53697689:53703253:1 gene:Solyc02g091830.3 transcript:Solyc02g091830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:K4BCY1] MKKDVVVLAAATTISTIVAAVLLVRQWKRRSEQRWRHAQRILRKFARECATPIPKLWQIADDLVAQMQSGLNSTQSTLQMLPSCLPSLPNGDEKGLFYGINLRGTNFIIVQARLGGRNAPMSRIGGRCEPISDLYRQEISIPPNIIEASSQELFDWITVELGKFISLHSEGLQGGEKNLGFTVSPTIAEVAASRETAITWKDSLLGDAAGNKLLNEINVAMEKHSVDKRVFSLVDDTIGVLAGGRYYSKESVAAVTLGMGTNAAYIESAQSVVKWPDQTPKPEEIAINTHWGNFRSSHLPITEFDTSLDAESSYPGSQIFEKLISGTYLGETVRRVLLKMAQESALFGDIVPPKLAIPYLLRSPDMAAMHQDTSEDYEIIDEKLGEIFEITNSTTMARELVAEICDVVAERGARLVGAGIVGIVKKLDRLSNRISIITVEGGVYEHYRVFRNYLHSSVWEMLGNEFSDNVIIEHSHGGSGASSIYIAASQP >Solyc01g093965.1.1 pep chromosome:SL3.0:1:85407505:85409525:1 gene:Solyc01g093965.1 transcript:Solyc01g093965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGEQGGESSRGYQRRLEGAVISPWRPQGMLDLGDGKGKKSKQGEFCSTQEIIERYKRHTKDRVQPENQAGPQYLQYMQHEAANLMKKIELLETAKRKFLGEGLQSCTLQEVQQIEKQLERSVGTIRARKLQVFKEQVERLKEKEKILAAENAELREKFGSLQQRETPNVEKEGEALCIDGSEKSDVETELFIGLPECRERRL >Solyc11g072450.2.1 pep chromosome:SL3.0:11:55916201:55921615:-1 gene:Solyc11g072450.2 transcript:Solyc11g072450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit d, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4DAV2] MSGTVKKIADVTFKAGRTIDWDGMAKLLVTDEARKEFSNLRRAFDDVNSQLQTKFSQEPEPINWEYYRKGIGSRLVDMYKEAYESIEIPKFEDTVTPQYKPKFDALLVELKEAEKQSLKESERLEKEVAEVQELKKKLSTMTAEEYFAKHPELKKKFDDEIRNDYWGY >Solyc11g007990.2.1 pep chromosome:SL3.0:11:2198344:2204347:1 gene:Solyc11g007990.2 transcript:Solyc11g007990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:Q5NE17] MAVAEFIPSSSSLTKKASFYSSQLSNVSTKISHQRRLSFKPLLRTHNHSQICCSVTSKEAQATTVVQTDDPKKKSECYGVFCLTYDLKAEEETSSWKKMITVSVSGAAGMIANHLLFKLASGEVFGPDQPIALRLLGSERSIQALEGVAMELEDSLYPLLREVNIGIDPYEVFQDAEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNVTIWGNHSTTQVPDFLNAKINGLPVKEVIKDTKWLEEEFTEKVQKRGGVLIQKWGRSSAASTAVSIVDAIRSLVTPTPEGDWFSTGVYTNGNPYGIAQDIVFSMPCRSKGDGDYELVKEVIFDDYLWNRIKKSEDELLAEKRCVAHLTGEGIAVCDLPGDTMLPGEM >Solyc05g010160.3.1 pep chromosome:SL3.0:5:4337095:4352347:1 gene:Solyc05g010160.3 transcript:Solyc05g010160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDQQWLINCLNATLDPNQQVRSFAETSLQQATLQPGFGSSLCRIAEKRELPLGLPAVILKQFIKKHWQEGEEGFEHPVVSSDEKVAIRGLLLPLLDDPHRKICTAIGMAVASIAHYDWPEDWPDLLPSLMKCITDQTNMNAVHGALRCFALVSADLDDNIVPKLVPVLFPCLHSIVSSPQIYEKSLRMKALSIVYACTSMLGAMSGVYKTETSAMMSPMIQSWINQFSSILEHPVPSEDPDDWSIRMEVIKCLNQFLQNFPSLMESQFGVFMGPLWQTFVSSLAVYTRSSIEGIEDPYDGRYDSDGAEQSLESFIIQLFEFLLTILGSPKFVKVVGNNVKELVYYTIAFMQTTEQQVNTWSVDANQYVADEDDNTYSCRASGALLLEEVISSCGTQGIHAIIDSAKTRFRESQQEKASGASGWWRMKEAALFALASVSEELLEAEAPEITKVGLGDTLEQILSEDMSTGVNEYPFLYARIFSSIAKFSSMVSEGLIEHFLYAAIKALGMDMPPPVKVGACRALSQLLPDTNKEILRPHFLDIFSSLTDLLKHASDETMHLVLETLQEAVKAGPDLVVSIEPVLSPIILNMWASNVADPFVSIDALEVLEAIKNAPGCIHPVVSRVLPYIGPILNNPQQQPEGLVAASLDLVTMLLKSAPTDIVKAVYEVSFDPVVRIVLKSDDHSEMQNATQCLAALISVGKEELLAWGGDTAFAMRSLLDVASRLLDPDLESSGALFVGSYILQLILHLPSQMAQHIRDLVAALLRRMQSCKLSGLRSSLLVIFARLVHMSAPHVEQFIEMLVSIPAEGHPNSFAYLMVEWTKLQGEIQGAYQIKVTTTALALLLSTKHAELGKLNVHGYLIQSSAGITTRSKAKTAPDQWMMMPLPAKILALLADALIEIQEQVLVGGDEDSDWEEVQEADVETDEALILSSSAIPRGRPSHDYLDAMAKAFDEDQDDGDDDDLLSGADPLNEINLVNYLVDFLKKFSHSEGAIFSHLLQNLTKSQHDAIQMVLKQ >Solyc04g049073.1.1 pep chromosome:SL3.0:4:39303547:39304013:-1 gene:Solyc04g049073.1 transcript:Solyc04g049073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNKKVTSVEFDANIPSTHPDEILKDPTGYQRLVGRLLYLTSTRLDISFVVQCLSQFMHSPKTSHMEAAIRLVRYLKSEPGLGILMLSKGGNELKVLCDADWMHALLVGDQLQGTWYNIEVHLYLGNPTNSSAEAEYRAMASTAAEIV >Solyc04g049540.3.1 pep chromosome:SL3.0:4:42423543:42425425:1 gene:Solyc04g049540.3 transcript:Solyc04g049540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCMEYGNPEALYRKGVVDFFRKERSAYAMKYLVKAAEAGHIGAEYVISLIQILMGEEELKSNGVVAIGKMKATKTKRRELAKFRKNLVDILTNIWILNPIVFFGRKNSNRCSLHHKRSYKNKWRQWPSFDNDEDDEDEELYCYACSCDEEIAHLVNILPIW >Solyc03g114690.3.1 pep chromosome:SL3.0:3:66072642:66078503:-1 gene:Solyc03g114690.3 transcript:Solyc03g114690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSIKLREAHKNSNGVGSFCSVLWDQQGEHIVTASSSDSSICIHDAILPANPPKVLRQHRDGVTALSLSPNYTCLASGSIDHSVKLYKFPAWEFDTNITRFTLPIRALAFNKSGTMLAAGGDDEGIKLINTIDGSIARVLKGHRGSITGIAFDPKSEYLASVDSIGTVMLWELQSGNTIHVLKGIAPVIGSDFSSTNIVSWSPDGELLAVPGLKNDVVMYDRDTAEKLFSLRGDHVQPICFLSWSPNGKYMATSGLDRQVLIWDVDKKQDIDRQKFDNRITCMAWKPTGNALAVIDIMGKYGVWESAVPSSMRSPTEDIPGLSSKSGHGLLFYDEEEENPSVSGSLSDLGEDSQDESEPFSRKRLHKHHSYTDDWEEDTNEELELLPKVEPRKKAPHGHRDGSDNKRNKLKDVPLSTGPKMQEAIQPGATPQLPGKRRFLCYSMLGSITTMEHEGYSHIEIDFHDTSSGPRVPAMTDYFGFTMASLNENGSVFANPCKGEKNMSTLMYRPFGTWANNSEWSMRFEEEEVRAVALGTGWVAAITSCNFLRIFTEGGLQRHILSLDGPVVTAAGFKDELAVVTHASPPLPSNEQILEFRVFNIRTGTQPRRGRLPLTPGSCLSWFGFSEEGYLSSFDSTGVLRVFNNQYGGSWLPLFSASKLKKSEENYWVVGLNTSKLFCVICKSPDSFPEATPKPILSLLDLSFPLASSDLGSEILENEFIMNNTHLHRIQSTIEEMEAAGEDTSLLDDEAFNTEAALDRCILRLIASCCNGDKLVRATELVKLLSLEKSVKGAIKLVTALKLPNLAERFNALLEERMNRETTVGKISASTELKGDNSFNAHAALTKSHVMVESSKRLESQDSPSLNSLAPCQTKKRPLEEPIKDVNVEAENAKARKLEMAREFKNAFDMKNAKKVRDEKDKVDEVNNARKEDEVKNANGVEDAQDQNEEAIKVTAQRPSNPFAKSSNNKPNTSLFDSLKKKVKADR >Solyc01g087330.3.1 pep chromosome:SL3.0:1:82160858:82162401:-1 gene:Solyc01g087330.3 transcript:Solyc01g087330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFLHRGTVIEIFKGSSVLSVALDDGKKKNLELGNQGICCVSEAKMLTATKIVYRSFPSYRFSFLLRGRTQFLSLLVAADDITVIIIEAQGPILCGKVVSHH >Solyc04g016540.2.1.1 pep chromosome:SL3.0:4:7365162:7369666:-1 gene:Solyc04g016540.2 transcript:Solyc04g016540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLCHQRLLSSCKDLTFLLQIHARIITSGFSFNISTTTHLINLYSSFEKCNFSRTLFDSTPNPPVILWNSMIRAYIRTNRHQEALKMYSLMLEEKGIHPDKYTFTFVLKACTLMSDFEKGIKIHEEIVNRSLENDVFIGTGIIDMYSKMGDLESARKVFDKMPDKDVVVWNAMLSGVAQSEEPVKAVDLFKKMQFICQINPSSVTLLNLLPAVCKLMDMRVCRCIHGYVYRRVFPVSVYNALIDTYSKCNYSNVARQVFNTLRGKDDVSWGTMMAGYAYNGNFYEVLELFDCMKRIGLKMSKVAAVSALLGAGEMSDLERGIKIHEWSIQEMIDSDVMIATSLMTMYAKCGVLDKARDLFWGIGERDLVAWSAAIAAFSQSGYPQEAISLFRDMQNEYSQPNNVTLVSVIPACAELREVRLGKSVHCHAIKASMDSDISMGTALVSMYAKCNLFTSALHIFNKMPLTEVVTWNALINGYAQIGDCYNALEMFCQLRLSGLYPDPGTMVGVLPACASLGDVRLGTCLHCQIIRYGFESDCHVKNALIDLYAKCGNLSLAEFMFNKTEFSKDEVSWNTMIAGYMHNGLAKEALSAFHSMKFESFQPNVVTLVSILPAVSHLTYLREGMTIHAYIIKSGFQAHKLVGNSLIDMYAKCGQLDLSERIFEEMKNIDSVSWNALLTAYSMHGEGDRALSVFSLMEERDIVVDSISFLSVLSACRHSGLVEEGRKIFHCMRDKYHIEPDVEHYACLVDMLGRAGLFNEIMDLLNTMPMEPDGGVWGALLDASRMHSNIEIAEVALKHLVKIERGNPAHYVVLSSLYSQSGRWNDAVHTRVKMNEIGLRKNPGCSWVEVK >Solyc08g006070.3.1 pep chromosome:SL3.0:8:824964:830221:1 gene:Solyc08g006070.3 transcript:Solyc08g006070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSQSVFNVFVYGSLLADDIVRALLKRVPPSNPAILHNYHRFSIKGRVYPAILPVENKRVNGKVLLDINVPELNILDAFEDVEYERTTVDVSLMDSSKTLQVETYVWVNKRDPDLHGEWDFEEWKVLHKGDFLTMTMEFSEEMELPESKTRVATYESFYAQEENKSKP >Solyc08g065745.1.1 pep chromosome:SL3.0:8:54103978:54110920:-1 gene:Solyc08g065745.1 transcript:Solyc08g065745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANSMSKDRVHKLGLVIEITLKDDSFIYLVLYVDDILIAVKKKYDIQKLKGLLSAEFEIKDLGDARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPVLSISISLPCSLHSQKKRRSICHEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIRLKGL >Solyc11g073050.2.1 pep chromosome:SL3.0:11:56459238:56463700:1 gene:Solyc11g073050.2 transcript:Solyc11g073050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTAKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDSKAEPSTLKINQPEAGAGGSQTSQKSACCGS >Solyc03g117700.2.1 pep chromosome:SL3.0:3:68246123:68251652:-1 gene:Solyc03g117700.2 transcript:Solyc03g117700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHEFSKLLVSQKFLNACKAMWMALKCESVWRPCLYMYISLALSLHIHEGMLYWYTNAKAKFKNNILTTEYKSISTFMQEIVGTISSVGAVGYLLGVLLYQNAFRNHPFCFLLYGASGLLNLILFGILDYVVAICDSAISHMIGRLRWMPLLVLSSKLCPSGIEGTFFALLTSIDHVGSLTASWAGGLLLHTLNVTTAQFDNLYIAIVIRSVLRVLPIGNLFLVPNSDPSASILPTDILKRVTITQKRTEMTRAFKNWRWLHFWLPPLSGLETGVADPNLFGTEGLPVLNRPEMHHSSQNRKLQQHQLPSEHRLRNVLLWWECSLLHTKRDQSKCQELNNLVLKGNLKIVSRTQP >Solyc06g076620.3.1 pep chromosome:SL3.0:6:47727254:47730751:-1 gene:Solyc06g076620.3 transcript:Solyc06g076620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANALVNRAATLNQATNFFRASFSFLRNLSTATPIKDPSIESSADGPKKPKRKKKKNLFEVAQFLPNWGLGYHMAKTHWANVSYEITKINLYKDGRHGKAWGLAYRDGLPIADAPKKISGVHKRCWKYIANLKKPEGSLDQVKEAEESTPNPDVQAA >Solyc01g057160.1.1.1 pep chromosome:SL3.0:1:59348769:59348969:1 gene:Solyc01g057160.1 transcript:Solyc01g057160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRSCRGTGLEQHRCSPALAEAEDAENLVAFRVFDAVRFLVNVEVVGPRMRSFKAFRGWLVTSP >Solyc04g072890.3.1 pep chromosome:SL3.0:4:59929766:59932272:1 gene:Solyc04g072890.3 transcript:Solyc04g072890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQNQELEYMVDEYYDTTDFDDSTFGGESPRSTDPDISADSEFEDDFEVRKSKLDTSAIEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYESLSRSREDLEKECKEVGKGHSFYDFQFNTRLVKSTIVHFQRYGVCILIEEFTFWNNNSPDPICLRDASYGLQAGEFASDVNLVLLFLDAANFFFFFCSEIQKILPNLTFASFF >Solyc05g041690.2.1 pep chromosome:SL3.0:5:54166981:54169554:-1 gene:Solyc05g041690.2 transcript:Solyc05g041690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNSISKGLLHIPELREMLEIVVYPREPELLNEIRLITQNHPRCLMETAPEAGQLIALLLKLTNAKKTIEIGVFTGYSLVLIALTVPDDGKTSASKFYTTKIGLICIRDGIANFKKANIKHKINFIQSSALSALDELFSENDNRGSFDFSFIDVDKVSYQKYHERMLELVNVGGIIVYDNTLLFGTSSMPLKCVKETMKTNRQHIIEFNKLLASDTHVQISQVPVGDGITICWRL >Solyc06g075570.2.1 pep chromosome:SL3.0:6:47090063:47094669:-1 gene:Solyc06g075570.2 transcript:Solyc06g075570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIDDGDLSRDNSVKWILENAEKDSTSDIHENRNGANGLQELANQKSFKKNFSISRRRNGVVPRMGRMESGATRGLKSLRFLDRSTTGKEGDAWRSVEKRFNQNAVNGRIFREKFGTCIGMGESKEFAGELFDTLARRRKINTENGITIDEVKGFWEDISTQSLDARLHIFFDMCDKNGDGKLSEEEVKEVLVMSASANKLSKFKQHAPTYAALIMEELDPDHLGYIEMWQLEALLRGMVGSEEGEKTLKRSQTLAKTMIPKEYRTPVSKFFYKTSEKIQENWKRIWVLTLWLCINMILFTWKFQQFKRKSAFQIMGYCVCIAKGAGETLKFNMALVLFPVCRRTLTKLRETFLGSIFPFDDNINFHKIIALGIAVATFIHALFHTSCNFVKLTTCPQSKFMTFLGSNFDYHQPSYLDLVASIPGVTGILMTLFMLFSFTLATHSFRRNVIKLPWPFHHLAGFNAFWYAHHLLVLVYILLVLHGYFIYLTKEWYKKTTWMYLAVPVLAYATERTLIVYEHSYNVNIIKAVTYTGNVLALYMSKPPGFKYKSGMYLFVKCPDISTFEWHPFSITSAPDDNYLSVHIRTLGDWTTELKTRFEKACEPDQVAQSRKGSLVRMETKAYSDVEQAQSEFPKIMIKGPYGAPAQNYKKYDILLLIGLGIGATPFISILKDLLNNESQSNGELSSNRRGPDRAYFYWVTREQGSFDWFKGVMDDIAEYDHNEMMEMHNYLTSVYEEGDARSALIAMVQSLQHAKNGVDVVSDSRIRTHFARPNWRKVFSRLAAAHPSSRIGVFYCGSPTLTKPLRRLCQEFSLNSSTRFNFHKENF >Solyc01g065645.1.1 pep chromosome:SL3.0:1:72039970:72046989:1 gene:Solyc01g065645.1 transcript:Solyc01g065645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTIRDIFTCFSPSMDFFSISSGHGLVKFFAWNSYTLHRANAEGDSLPLHKILYQADVKTEIKSNVMSYTCEKAELSSYQYIFYIIGHLWSPVFDFPANKISEIDQLVGNGKVGSPLYENVLYSFASAWHLHGLNFSIENKDKPCSQSIYYTSNADLWVW >Solyc03g044970.1.1.1 pep chromosome:SL3.0:3:11211870:11212115:-1 gene:Solyc03g044970.1 transcript:Solyc03g044970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSSFKKLSNFFLVQNKSNNFSDKTSHKVDSFACFLCLIEDVELIPSCLQLDLCLGQQIALYSTALEPSPLQSIGSISM >Solyc02g065715.1.1 pep chromosome:SL3.0:2:37428522:37429262:-1 gene:Solyc02g065715.1 transcript:Solyc02g065715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFKFLAMIIVLLMYFESVKSEIPLAPALYVFGDSIFDSGNNNVLPTLAKADFKPYGSNFDGGRATGRFTNGKTVADFIAEFLGLPFSPPYLSLRGSLKLTGLNYASGSCGILPYTGNFIGKCLHFSEQVDLFQRTVERELPRKFDDPEEFSSYLSRSIFVVSTGNNDYVNNYLQPNIYGTSKRYTPDSFAKLLIDTLSQQLQASLSHFYDLKIDIL >Solyc08g067320.2.1 pep chromosome:SL3.0:8:56451584:56452483:-1 gene:Solyc08g067320.2 transcript:Solyc08g067320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDIYRLESTNEKADIDIQGYGYWAFIYSSKSSSEITGNGRFTMRKTAAAKAKPVSSGSPWYGPDRVKYLGPFSGESPSYLTGDYGWDTAGLSADPETFAKNLRVDLITWGPCTKYLGHLGLMGAVEGHRIAGGPLGEVVDPLYPGGSFDPLGLAELKVEEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >Solyc02g014300.2.1 pep chromosome:SL3.0:2:16203282:16205793:1 gene:Solyc02g014300.2 transcript:Solyc02g014300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4B4M9] MASLNPWGKLDELDNERHMARLKTRKRIIIIAISSIVLVSIIVGAVVGSVSHNKNKSSQQNDDVSSMTSTISAVCNLTLYPDSCISSLSPFAAKGNALKPQDIYKMSVLVALNELSGASDNFLKSDAFKNINDPAAAKAIQSCHELLSLAFDHLNDTLSVAETSLLDAFDDLKTWLSSAGTYQQTCIDSFENVTSNSAIRKAAGQSLNNSTRYTSNSLALISSLENSITSLAAIGKRRRLMGCGDDDEYPSWLSSSNDRKLLQKSSEEKIKVNVVVAKDGSGKYKSIKAALKAAPEKSKKRFVIYVKKGVYKENVRVEKTKWNIMIIGDGKDATVVSGNRNFIDGTPTFQSATFAVFGKGFVARDIGFVNTAGAAKHQAVALMSTADESVFYRCKMDAFQDTLYAHSNRQFYKECDIYGTVDFIFGNSAVVLQNCNILPRKPMSGQQNTITAQGKVDPNQNTGISIQNCSVMPWGNLTGINTFLGRPWKNYSTTVFMESNLGGLIHPNGWMPWVGITAPSTIFYAEYKNIGQGANTKNRVDWQGLKLGLTSKIASKFTVKPFIQGNKWLPAAGVPFKAGL >Solyc07g062220.3.1 pep chromosome:SL3.0:7:65142966:65147806:-1 gene:Solyc07g062220.3 transcript:Solyc07g062220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSIGLRIGWTFFTLLICILISLLVLLLRHTTAAKPHKKNCNLFRGSWIEDETNNYPLYNSTHCPFIEHEFNCQRNGRLDKDYLKYRWKPHGCSLTRFDGGAFLKKFKGKSIMFVGDSLSRNQWLSLVCLLYTSQPKANYNTTRIGDVSIFTFLDFGVQVMLDRSVYLVDVVMEKKGRILKLDSIKGGKLWKGIDMLIFNTWHWWNRRGISQPWDYIKVRGKYYKDMDRMVAFEKALLTWAKWIDTNIVPSKQLVFFQGISPSHYNGTEWGQPGIKSCSGQMRPLNGSMYPAGLPPSLTVQKNVLRTIKKEVTLLDVTNLSLLRKDGHPSIYGMNGRKGMDCSHWCLAGVPDTWNEILYNLVM >Solyc01g010270.3.1 pep chromosome:SL3.0:1:5000344:5003409:-1 gene:Solyc01g010270.3 transcript:Solyc01g010270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGDGEAPTSTKTNPQAVQSEAQPINKEPVAAPSPPADATKQIPAGIQSIRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGSS >Solyc11g065520.1.1 pep chromosome:SL3.0:11:51235641:51237320:-1 gene:Solyc11g065520.1 transcript:Solyc11g065520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKQLNLTIGGGANEERFFLRRNQIIKLQIHQMFKHLPPNRQIIRLSPITQSSVPPRNDMNNYPHPPQSPLVFATQSIHPSHQPQSWVEMSLQGQAIIFSMDINGMETLVILQNQNFLAQLISEGMKVAMDFFVNQRWAANVLDPLAPAVIPAFIQTMQTLWNLPHHLSPYPNLSNLGQTPFFTPQELIHNNPLIFPWMPVQTPQPTFPIPVPGSQSTQIPLDPEEARAPPLGRISSFTEISSFKSAALMIFPKEEDKKYIHNCPLNLTKFSFDLRPAINSALAKYAVVLSPTLRIFPNHMSGNVDVPPFFCFPMTQPTSIIVWNTSGVNNDNFKRNFKELVRSHNPCMVALLETKLCNHHSMVSEYGFDGYWENPAHGSSGGIVLLWHSNIITVTRKRQTPHELHAMIQVIP >Solyc08g008280.3.1 pep chromosome:SL3.0:8:2699944:2702124:-1 gene:Solyc08g008280.3 transcript:Solyc08g008280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCASNWEYKTLINELTQGIEHTKQLREHFHSTASTFENQELLLQKILSSYEQSLLILNWGGSTVQSPPDLPPSTGSIEYSASVDGSPKSDDKKRSDQDHHALINISKKRKSQPTWTEQVKVSAESGFEGPTDDGYSWRKYGQKDILRAKYPRSYYRCTYRHMQNCWATKHVQRSDDDPTVFDITYRGSHNCHHATYSAPQPTSPEKQEFENQAVYPTGQQYSNQVLMNLRANLRVNTNDLDENDPAACHFSFVPTFSSGMTDDNRHFQISHVDDNLIGSGYSPSFVSPTTPESNYFSVSTSSQMNGYGMVHNLHHSESDLTDIFSANTSTTSSPIVGDFSLDHLELDPNFPFGNPKFFS >Solyc01g006460.2.1 pep chromosome:SL3.0:1:1063763:1067132:-1 gene:Solyc01g006460.2 transcript:Solyc01g006460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIESSHNEVKHPREQIADAETLFDLATTLVSSVRSHSTDGITLNMFISSLLGVFGTRSPKRLSRGENTLSWKKIERSVSPFFSNGIRGFHTMIGPMDREVKQRKYTRKPRSKLYLRAQPKELDVNAEEITDTDKNISTMFQILRKKKRVKLEKIVLNRTSFAQSIENLFALSFLVKDGRVVIDVDENGAHFLTPRNGPAANLVKSGEVKYSHFVFRLDFADWELMKKAVAEGEELMPNREIAASPVFAAAYKPDKDISTNPVYTEAYKLVPADGSRLRLRVTRVKTLSTNHGEVIREGSDVDNSLESGNGRRLKRKLL >Solyc02g087600.3.1 pep chromosome:SL3.0:2:50613575:50615747:1 gene:Solyc02g087600.3 transcript:Solyc02g087600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDRQLDNCAAVCFLAGDYADGHQQDRRKICSNCDRPVKVCLCNIIPSDPITTVTRIVILHHPHEQRHKLATVPVLSKCLDNCDVIVGRRLRYGDCDILDSLHDDALRNPNFTSRAIFLFPGADTSPSQEINHWKSSKNTVEMTNYVLIAFDGTWKHAREMMRASLSFLSKFAIQVHLDYDIGNDGGTIFNSDLILRKEPFSGCMSTMEAVAHCLRILEPNGISIESNLIEVLRSMVKFQASFLKPMKPRPKLIKGGREGKI >Solyc06g073410.3.1 pep chromosome:SL3.0:6:45381756:45387669:1 gene:Solyc06g073410.3 transcript:Solyc06g073410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAAGIGYALLALGPSLSLFIALISKKPFLILTLLSSTLVWLMSLIVMSALWRAFLPLKTTAWWPYAILILTSVGFQEGLRILFWKVYKKLEDLLDAFADRVSKPRLFMTDKMQIALAGGLGHGVAHAVFFCLGLLTPAFGPATYYVEKCSKIPFFLVSAIIALAFATIHTFSMVIAFSGYEEGNKVDQCFAPVVHLIAGMLTLTNLAFGGCMIGIPLLYCVAIVTLVHCGKMAWRRLIESRNREGNFSNSQ >Solyc01g109200.3.1 pep chromosome:SL3.0:1:96141217:96145857:-1 gene:Solyc01g109200.3 transcript:Solyc01g109200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLESRRPKYRTGRRGSSTASKQLPCQTWVVFNGNRFCASGPSAYQGRLGPAPSPSLNHPFHHSSTFQPATTSSTSTSASDLSTTPPPAKLPVDFSPPLIAMVVIIATAFVIITYSRLLSRHLLRLHGRYRLWRRRRRRYVPSSSAGDIESPPYPFDPTGAFHVLSPYGLDDSVIKTIPLSVYTRKSGVHDCAVCLLEFEENDYMRTLPVCSHAFHVDCIDIWLKSHANCPLCRAGIFRPESPFTPLMAARIRPSFDDMMLESTILEPLDEIQPESDTTTVSEITQEPSPRRNIQSEERFNRRDIFLKRSYSFGFERNLGSERLMLEPATASPWRYRRAIGSGSFWSKRPSPFSSLTKPRVFSFRYYRGMKSPFFRRTRGGFFPLSESSARYSTGGGGSSSRRSKSFASPMFMRTSGVGGGGGVYSSSRLRSGDPEALLSPDRYHRR >Solyc03g005315.1.1 pep chromosome:SL3.0:3:181883:183822:1 gene:Solyc03g005315.1 transcript:Solyc03g005315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPVPEICRKAATILENLVLEPQNKPLLLSYENAFAEMLFGDPRYSDIFARILYELTSRSSNKVASACDELNIEAPEPPFLLWLLQIFLATNVMKKRSCSDIGRTNGPSVVMLVFSASPATAIRSFDKATPISFFSSSSWNTQP >Solyc04g005010.3.1 pep chromosome:SL3.0:4:2924:11189:-1 gene:Solyc04g005010.3 transcript:Solyc04g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILFNACSAATFTRTSRRAAKSSIGVFSALSWRTCVGFTATAKVDSDLFFKQICVRCYSSKKSRGESSSSQKSDLTPQMKEDRDGFFVVRKGNLVGVYKNLSDCQTQVGSSICDPPVSVYKGYAMPKDTEDYLLSCGLKNALYSIRAADLTEDLFGTLVPCPFQHMLVSQQPSSSKGGMPEHMTKKRSQDVMWSEYADVAVISNDDSLTKHVKLDDHKGVQAPLSGQSCTLEFDGASKGNPGLAGAGAILRADDGSFICRLREGLGVATNNAAEYRAIILGLNYALSKGFTSIRVQGDSKLVCMQIQGLWKVKNQNISSLYEQAKQLKDRFLSFRIIHVLRESNSDADAQANIAVELADGQIQEEIEK >Solyc02g014095.1.1 pep chromosome:SL3.0:2:15487726:15491869:-1 gene:Solyc02g014095.1 transcript:Solyc02g014095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLAYFVAYVYFHRCPQAFVPVLSKIVLLQNSEGYVKFSCISVVGWSYNFGKKRMLFIERMVTFGLFVEFGVVGVALSAVEVSKERKEESNPNFKKLTLQ >Solyc01g056883.1.1 pep chromosome:SL3.0:1:57379594:57384016:-1 gene:Solyc01g056883.1 transcript:Solyc01g056883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFLARQLGNKDGRLKLRETTITFIGISATESIHSVFPLVRPRKTRNPDVDFLSFLSFAQSESEKPQSSKSPSRMSKPRPRVPKMYLRMRFRQW >Solyc08g028750.1.1.1 pep chromosome:SL3.0:8:41165096:41165266:-1 gene:Solyc08g028750.1 transcript:Solyc08g028750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHKLILTLQYFVTSPQLKITYECFFGLQLLSHVLARSNPFSQIVHTRKLCYLCHR >Solyc06g073585.1.1 pep chromosome:SL3.0:6:45512551:45513244:1 gene:Solyc06g073585.1 transcript:Solyc06g073585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKSNEDVSNVDVISAKDLLSSGHTYLDVRTTEEYNRGHIDKAINIPYIVPKGGSSDC >Solyc03g121640.3.1 pep chromosome:SL3.0:3:71219828:71227577:1 gene:Solyc03g121640.3 transcript:Solyc03g121640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAAAAVASSIRFSTSRKLVSSRIISSRNYAAKDISFGSHARLAMLQGVNELAEAVKVTMGPKGRNVIIEKSPGNPKVTKDGVTVAKSINFKEKAKNVGADLVKQVANATNSVAGDGTTCATVLTQAIFTEGCKAVAAGVSVMDLRNGINMAIDAVVADLKSRAVMISTPEEITQVGTISANGEREIGEIIARAMEKVGKEGVITVADGNTLDNDLEVVEGMKLGRGYISPYFVTDEKTQKCELENPLILIHDKKISDLNSLVRILELALKRRSPLLIVAEDVESDALAMLILNKHRAGIKVCAIKAPGFGDNRRANLEDLAVLTGGEVISEERGLDLSKVQFDMLGTAKKVTVSLDDTLVLHGGGDKKLIEERCEQLRIAKEKSSAMFDKEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNATRAAVEEGIVPGGGVALLYATKCLKGLQTANDGQKRGVEIIENALKAPTFTIASNAGADGALVVGKLLEQDDLNLGYDAAKGTYLHMVKAGIIDPVKVVRTALMDAASVSLLLTTAEAAIVDRQGEENPLANRMPNMGGMY >Solyc06g071350.3.1 pep chromosome:SL3.0:6:44053205:44060383:1 gene:Solyc06g071350.3 transcript:Solyc06g071350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRIQREQGQVCKKGSGSGGQHMPATTTRRTVDVGGSSIVNTVTADSFCKDGRKIRVGDCALFKPPHDSPPFIGIIRRLRLGKDNNLQLGLNWLYRPAELKLSKGILLDTTPNEIFYSFHRDETPAASLLHPCKVAFLPKGAELPTGISSFVCRRVYDISNKCLRWLTDRDYNNEQQKEVDQLLYKTQVEMHATVQPGGRSPKPMNGSISSSQLKTGSDNIQSSVASFPSQVKGKKRERGEQGSESIKRERSVKSDDSESVLKSEISKITEEGGLVDCEGAAKLVQLMQPDRVDRKMDLTSRSMLASVVAATDKFDCLARFVQLKGLPVLDGWLQDVHRGRIVEVSNSKDGDISIEEFLLVLLRALDRLPVNLQALQMCNIGKSVNHLRQHKNMEIQRKARSLVDTWKKRVEAEMNMIDSKSGSNQAVTWPSKARLPEASHSGEKNAGGSTDATRSSVTQFSASKTTSIKPTPVETNMKSACSSPGPIKQASPPSSGKVGQPRISAFGSSDVPLAREDKSSSSSQSHNHSQSLSGKEDARSSTAVSMSSIKISSGGSRHRKSINGGPGPSVSAGQKEGSTNRSSSLHRNPTTEKSLQSALSGEKTVDVPAVEGSCHKLIVKIPNKGRSPARSVSGGSCEDPSIMSSRASSPVLSEKNDQLDRNSKEKKDAYRSDVTINVNTESWQSNVLKDVLTGSDEGDGSPVAVLEEERRKTAGEGRKSAEVAKPGSSSSGTELKSGKLHEASFSSMNALIESCAKYSEANASMSLSDAVGMNLLASVATEEMSKSGRVSPFVSPQGDSPSGGETCTGDELKPKTSPVDSSSGNHSGRNDGDANGDKEKQFVVANTSWSEGKVHANRSAMTDFNRERRPSSSPSEETTTGECFNSSCTDSQMAGNLKSGVNEKLVEMAKSAAAPCNVFEKASDGEQSRQFHEEKVISTKTLDNVLDGESGGHGSSIGEDKVTNGLVSIEGLKRPVGISAFKYEGDDKNDVSRVLGVASTEVKPPSVVVKSEATERGDKEELQQTGSSRDTIAGKGGHSDEMDANSVLKSEQPNSDKKTVDTSVIEDKAASECNLAIRNLTKDEPKAEEMTKHDSGSGLLTKKETPGFSNAEVENLESRESKYSGVEADRPKECVSIKGENSSSSAAAAPDSASKMKFDLNEGFISDEGKYGESINSTGPGCLSNVQIMSPSTFAVSSVSSSLPASITVAAAAKGPFVPPEDLLRVKGEFGWKGSAATSAFRPAEPRKPPDMHSNSMTISVTEASSSKHGRPPLDIDLNVADERVLEDINSQDCALAIGSAVDHITNLVSSKNKCSGPLRSFGGLDLDLNRVDEPNDVGQCSLSSSHRLEGAVFPARASSSSILPTAEVRRDFDLNNGPGVDDSCAEQPLFHQSHQGNMRSQLNASSLRMNNPEMGNLSSWFAPGNSYSTMTIPSMLPDRGEQPPFPIIPPGAPRMLGPSAAGSPYTPDVFRGSVLSSSPAMPFPAAPFQYPVFPFGTTFPLPSGTYAVGSTSYIDSSSGGRLFTPPINSQLLGAVAPQYPRPYMVSLPDANSNGATDHNRKRSRQGLDLNAGPGAVDLEGKEESVSLVTRQLDEHGRMYPVAGGLLKRKEPEGGWDIVKGWTMVGSSQVSASSMLLWLCDVTNDVFSPQPLFLSMYERKEDFNGFVSTFVNRIVWVETDESSLCKYFNCWKA >Solyc03g053025.1.1 pep chromosome:SL3.0:3:23771074:23773062:1 gene:Solyc03g053025.1 transcript:Solyc03g053025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLENPFHDHESIQAIFSFKRFKSSRSNGLHPSFYKKHLEIVGIFVLETCHSIFKNVIIPLNFNTTYLCLIQKIPNANHLNNFRPIVMKPVLKVLIAPCQSSFLKSQYLKNSKRKGGSFVLKIDLEKAFDKLNGLLSMKPLGSRTYFFRPSRGIRHGYPMSPYIFILCMEMLSVHINHQVNLGVWEPIKISPKPPFLSHLFYAYDLTLMPRAHLKSIDTISKSKIIFSNTCSPSFISLATSTLGIQQSFHFGKYLGFNILFRKTNFLNPIGRVVLIQATLNTISNYKYSNFQKSDFPSWFLNSNGVSSSALNHTFDNHLLSWDLFHPFTGIKLNVDGSFNHIQQFNGLGGVFCDNQGNWVAGFYNKVKVSTSLI >Solyc05g043217.1.1 pep chromosome:SL3.0:5:56417749:56422668:-1 gene:Solyc05g043217.1 transcript:Solyc05g043217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSLSSSSAFFCVSYDFQQPTTFKSSFFLQVSQSQAAFVLASRSNVRPLFIPCRLLLTEDVQSDVYG >Solyc01g087430.3.1 pep chromosome:SL3.0:1:82267969:82279647:-1 gene:Solyc01g087430.3 transcript:Solyc01g087430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPQILTSQRPFPFLSLSKGLFPNLNSSQYTKIFISRMAFHTACPITCRKICFCPHGFSKGKNEFFADVTKLEEFLKDPWGLKAKQPATIQVKVPKLNVAPPQPAPVGDGGGGSGGDGEEAAAIASAQSKRVALQKKAAAASMVAEDFARRFESGDVEGSMKDVGGEEQGLSNSKVMCRLCFSGENEGGERARKMMSCKSCGKKYHRNCLKAWGQHRDLFHWSSWTCPSCRLCEGCRRTGDPNKFMFCKRCDAAYHCYCMQPPHKNVSSGPYLCPKHTKCHSCSSNVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCQCDGISDEKYLQFQVDGNLPYACPTCRGNSYQVRNLEDAVQELWRRRDVADKDLIASLRAGAGLPVEDEIFSISPFSDDEDSIPVVKNEHSRSLKFSLKGLVDKSPKKSKEYGKKSSYKKYGKKKGLTGPNEGHPDVPSGGYSAGDVKNEELQAYGELDSFSSPVGSLTEGICSINQAGVIKHKFIDEVTGNTGKRTVQMKGSKPQHLDEDDIGIQTSMPKTSKGPKLVIHLGSRNKNIAGSPKSDASSCQKEQDLTTSNGSEDLVQLRENENSERNDTAAKLGGGKGHKVDHMDQIKGQNHRGKESNLIKIKKVSSEGTNFPAKVGGNFADGSGPHPPLKTFGIIGKRSNDGSVITRAGAEVPATRDNKLASVKHAEAGPASCDDLNDEKISTPSVSNSTRKDPKPLLKLKFKNPYHDNQNAWASPGEEDKSMVKGQRSKRKRAPAFGEKASTRADDNSSQRYEDNTMDEFLDANWILQKLGKDAKGKRVEVHHSSDNAWHRGTVVEVFEGSSVVSVALDDGKKKNLELGKQGIRFVSQKQKR >Solyc06g065970.1.1.1 pep chromosome:SL3.0:6:41470022:41470402:1 gene:Solyc06g065970.1 transcript:Solyc06g065970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSTIFIFSLLILATFTSGCGPCEPKPHPTPKAPPVNPYCPRDTLKLGVCADLLGLVNVAIGSQVTTPCCSLLEGLADLEVAACLCTAIKANVLGIVKLDIPVALSALVSACAKKVPTGFKCG >Solyc02g036393.1.1 pep chromosome:SL3.0:2:31190591:31201738:1 gene:Solyc02g036393.1 transcript:Solyc02g036393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVILVYVDDMLVIGSNLGLIEHTKAILHKAFKIKDLGDLKFFLGMEFSRSAKGILMNQMKYALEIISDLGLGNAKPAWTPLEANVRLTIQELDHLTGEVDDELFEDKEQYQRLIGKMLYLTMTRPNIAYSVQTFSQFLLQPKRSHWEAAVRVMKYIKREPGLGVILSSKRSNKLNVYCDADWAACPNTRRSVSGFLVKHGETLLSWKSKKQNVVSRSSAEAEYRSMANEVSELVWIDALLKELGSEVEQPAIQISEWGSNSRIAKNETSIIIGKAKEGPQLGYLRWSLPILNGFHLVSVHPCLTMTDCVSEELDSRLRKATLLPLHTQVIVAQNLKHFAQVWLSLGNQLNLMINFPSGWQSLGKFFRHQVPIFTKDLINAMRQWNCLRKLLMPPTRATRKVGSPLLKPLTICIADKCIPFCGTRIRGTTHEPPVSLICSWL >Solyc10g017950.2.1.1 pep chromosome:SL3.0:10:6154367:6154552:1 gene:Solyc10g017950.2 transcript:Solyc10g017950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLALQLVVFALIATSLILMISVPVVFASPDGRSSNKNVVFSGTSLWIGLVFLVGILNSLIS >Solyc02g084570.3.1 pep chromosome:SL3.0:2:48284598:48288482:-1 gene:Solyc02g084570.3 transcript:Solyc02g084570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMVLNNINSILEALQANPILIFFFIIPLFFLYLFSMSRRKRYPPGPLGWPLIGNMMIMDQLTHRGLAKLAQKYGGVFHLKMGYVHKIVISGPEEARQVLQVQDNIYSNRPKTVAISYLTYDRADMAFADYGPFWRQMRKLCVMKLFSRKRAESWDSVRDEVDSMVKIVTTNTGTSINLGELVFCLTRNIIYRAAFGTSSDEGQDDFIKILQEFSKLFGAFNMADFIPWLGWIGKQGLNVRLAKARASLDGFIDTIIDDHIERKKAIHVINDDGYRESDMVDELLAFYSEETKVNESEDLQNAIRLTRDNIKAIIMDVMFGGTETVASAIEWAMAELMKSPEDLKKVQQELANVVGLNRKVDESDFENLTYLKCCLKETLRLHPPIPLLLHETAEESTVSGYFIPANSHVIINSFAIGRDKNSWEDPDSFKPSRFLKEGVADFKGGNFEFLPFGSGRRSCPGMQLGLYALEMAVAHLLHCFTWELPDGMKPSELKMDDIFGLTAPLANRLVAVPTPRLLCKLY >Solyc04g074100.3.1 pep chromosome:SL3.0:4:60200644:60202415:1 gene:Solyc04g074100.3 transcript:Solyc04g074100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENKFWKIIRKKFEIKNKMLLYKPKKNQTGKGNRILISITVLGSAGPIRFVVNEEELVADVIDTAMKAYAREGRLPVLGSDFSNFVLYCPSAGTEALGPWETIGSLGVRNFMLFKKSSQGEKTADGGKQSPIRKGAGSWKTWFNKSISSKIASH >Solyc10g054930.2.1 pep chromosome:SL3.0:10:56071810:56077412:1 gene:Solyc10g054930.2 transcript:Solyc10g054930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4D186] MAISDNTRGLILAISSSLFIGTSFILKKKGLKRAAAAGTRAGVGGYAYLLEPLWWTGMISMIVGEVANFVAYIYAPAVLVTPLGVLSIIISAILAHFLLKERLQRLGVLGCISCIVGSVVIVIHAPQENMPASLEEIWILATQPAFLAYVAATLSMVLALILHFEPRYGQTNILVYLGICSLMGSFTIVSIKAIGIAIKLTLEGISQVAYPPTWFFLSVAVICVITQLNYLNKALDTFNTAIVSPIYYVMFTTMTIIASAIMFKDWAGQSVSDIVSAICGFITVLSGTVMLHVTREQEPVPLPGTVTWYDGDQIKAIEDGHYIMLHDSEYLDSYSVSTKN >Solyc10g079570.2.1 pep chromosome:SL3.0:10:61211617:61213172:1 gene:Solyc10g079570.2 transcript:Solyc10g079570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLVSLISKKIIKPSILTPPTKKSHKLSFVDQVMHMSIPMAFFYPKIGNYEPTHVPQILENSLSKLLSFYYPYAGRLNKDDANYVDCNDMGVELSHVHVHCPMSHILRQPYTNSDHVVFPVEQPYAHMNEGNLATTQVGDGCTTSNFFNDWGILTRDINATLSPHFVGESIYPQSTDSSAVTTFKSKESTYLGKKFVFPVEKLNALKAKIALARGVNDSGSFKPSSLFQVANMRHRLNPPLSYDTCGNILSGYFVETNNEKDVNCSKMVGEMKKGKLNLHPKESALVKSIKKGKTPFYSNDEVDNYFCSSLIEFPLYKVDFGWGRPIRVGMGAGPFDKFFILLDNQSGDGVEVIVMLDEQNMAIFERDLELLEFASPITNL >Solyc10g086500.1.1.1 pep chromosome:SL3.0:10:65427777:65428550:1 gene:Solyc10g086500.1 transcript:Solyc10g086500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DET2 description:Steroid 5-alpha-reductase DET2 [Source:UniProtKB/Swiss-Prot;Acc:Q5K2N1] MFSSDENLFNFIVFFILVMAFPTFILCQFFTSPYGKHYTSADSGTTISPPIAWAFMESPTLWLTIIVFRLGKNYTNPLAFLLISPYLFHYTNRTIIYPLRLRSRNTKNNFPLNIAVTAFIFNLLNAYIQSRWVSHYANYQEDDWFWVRFGIGLVIFGSGMLLNIWADGVLLGLKSQGGGYKIPRGGLFDYVSSPNYLGEIMEWLGWALMTWSWAGLAFFVYTCANLVPRAVSNHKWYLQKFGEDYPKNRKAVFPFLY >Solyc04g057950.2.1 pep chromosome:SL3.0:4:55003211:55007383:1 gene:Solyc04g057950.2 transcript:Solyc04g057950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKNLSRRSSDSFILSKKRKIENETLEDCISELPDGFLIQMLSLLPTKDAVASSLLSKRWRNLWTPIHSFYFSNANYIDVRKFKSFVDNALIHSTSTEIKKFVLDLRTTLWQPKLWISDSKISQWIDFAVGKEVQDVAIYAQPYHSHFSYELPQSMYTCSSLITLTLTNWVFHKRLNIAWNSLKSLTLHLTSLDDDDVVKLLSSCPALEAMELSSCDKFRSLKITSNLKRLTLSNHLLPDVQGNEVLEIDAPHLKHLDISGDLGELKCRLVNVSSLVIASLTFRDMCITVGKRESEIDEDECPSYHEATRNLVLDYLEKLSNVTELIIGSWFAEVVFMLRLESVILPKLRCKCLTLKLGVSKSNMYGIASLLQNLPRLESLNIHIKSEIYNDSSCEHVQSYLDKVNDINFWRWIQNPLFPNLKNVKIVGCVGECIRMWSRMGFCKLFKFLKFLLKNAKALQKLVIVAERRTCIFCSESCVSRHLLKLAEKMLSTPRSSGNLVISYQEIA >Solyc06g009450.1.1 pep chromosome:SL3.0:6:3384041:3385721:1 gene:Solyc06g009450.1 transcript:Solyc06g009450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYIIVESDFYNNVFRHFDVLHNVKKDMLPRETIASIVHTVKNWLGVTIVSLHLKIYFLIFLLYIKEGYSILYSTHKFSCSFYSR >Solyc07g062160.3.1 pep chromosome:SL3.0:7:65092137:65103790:1 gene:Solyc07g062160.3 transcript:Solyc07g062160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRRLLLLLKPFDMLPSRHFDESSHFRNSKGWPALWRSVFKGGTTMVEGCRVRLLLLQLSQVIKYLDSRSLVHMEAINFCQNILRKKHVDWEAVYRFNLCRPIRDVDLVVTIGGDGTLLQASHFMDDSIPVLGVNSDPTQAEEVEDCNKEFDATRSTGYLCAATVKNFEQIIDDILENHARPSEVSRMSITHNSKQLPTYALNDVLICHPSPATVSRFSFSKKKEGQSSSSMVHCRSSGLRVSTAAGSTAAMLSSGGFAMPILSRDLQYIVREPISPGAYNSAMHGTVKPEELMEIAWYCNEGLIYIDGSHIIHSVQHGDIIELSCKAPKLKIFLPSHLISDDGCGLGFCSLDLQLQKHYYDEMWITFQSSSNHAKEIFCFFIRRSAEEVMKIQCNVCEVAEANVLCCADEAALCWSCDEKVHAANKLASKHQRVPLSGSSSSMPMCDICQETVGYFFCLEDRALLCRKCDIAIHTANPHVAAHQRFLLTGVKVGLEPVDPGGISSSGTSQSIQKVSEPESAPLSKRNASVSLDAQFNKVLPTQVSGIEDFAPTKSPFAGGSAAGSMPQWQFDEFIGLSDFNQNYGYMDDGSSKADNGKLGGESDSSSILRVEDEELDGDECLGQVPDTSWAVPQVPSPPTASGLYWPKTYQNPFDSAVFVPDISYSPSSSLQQQPPSGTRLKRRRQC >Solyc01g101250.3.1 pep chromosome:SL3.0:1:90936443:90944170:-1 gene:Solyc01g101250.3 transcript:Solyc01g101250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRWFNSMPDMRMRDRRQQEMEEADEASVLEDLAEDFRLPINHRPTENVDLENVEQASLDTQLTSSNIGFRLLQKMGWKGKGLGKNEQGITEPIKSGMRDPKLGIGKQEEDDFFTAEENIQRRKLDIELEETEELAKKREVLAEREHKIETDVKEIRKTFFCELCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGSSRDDRHKREQQRQEREMAKFAQMAANKKQQEQQTPEEAGNIPAPSMVRTATALADQDQRKTLKFGFSAKGGSSKVTTLVNKSAKKPKVTVASVFSNESDEE >Solyc05g018436.1.1 pep chromosome:SL3.0:5:21471452:21472849:1 gene:Solyc05g018436.1 transcript:Solyc05g018436.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNGRSEEDNIMIRFMSNKQMKFVILKSLMMITYGICLKNHATNFGEYSIDGYREFTKKGDDGIKE >Solyc04g050624.1.1 pep chromosome:SL3.0:4:47734378:47743961:1 gene:Solyc04g050624.1 transcript:Solyc04g050624.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGPLHFFLGIKVNYFEGGIYINQSKYGAEMLAKTEMTLAKVVATPLARKQGCMTTQTLIGEVVEKLGDQLQTSKKQSTVARSSTEADCRALASTAAEMTLILYHLHDLGETIKNWNKSNGRDVKSSSVFQTV >Solyc11g044220.1.1.1 pep chromosome:SL3.0:11:33486356:33486583:-1 gene:Solyc11g044220.1 transcript:Solyc11g044220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVYVSHLVKLDCEFYRLSHLGWFPLLKSLRVTLSNKLNPKHFFFFFTSLIRRSFSTLNIVVVNLDFLHGYKLFA >Solyc10g009045.1.1 pep chromosome:SL3.0:10:3057460:3059636:-1 gene:Solyc10g009045.1 transcript:Solyc10g009045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQQWAPPCNNQCTHKYSTLMQIPFHPSYIDCETLLFRVHCIRPLSTVSGYSGRGKGFSLRYGKSVCQSNCSLLNLVMCVVVLIQKA >Solyc09g066100.3.1 pep chromosome:SL3.0:9:64712779:64714142:1 gene:Solyc09g066100.3 transcript:Solyc09g066100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H1 [Source:UniProtKB/Swiss-Prot;Acc:P37218] MATEEPVIVNEVVEEQAAPETVKDEANPPAKSGKAKKETKAKKPAAPRKRSATPTHPPYFEMIKDAIVTLKERTGSSQHAITKFIEEKQKSLPSNFKKLLLTQLKKFVASEKLVKVKNSYKLPSGSKPAAAAVPAKKKPAAAKSKPAAKPKAAVKPKAKPAAKAKPAAKAKPAAKAKPAAKAKPAAKAKPAAKAKPVAKAKPKAAAAAKPKAAVKPKAAPAKTKAAVKPNLKAKTTTAKVAKTATRTTPSRKAAPKATPAKKEPVKKAPAKNVKSPAKKATPKRGRK >Solyc09g098310.3.1 pep chromosome:SL3.0:9:72672301:72674470:1 gene:Solyc09g098310.3 transcript:Solyc09g098310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYSKDAYPPPGYPQQGYPPQGYPQQGYPPPQYAPQYGAPPPQQHQQQSSSTGLMQGCLAALCCCCLLDACF >Solyc07g006570.3.1 pep chromosome:SL3.0:7:1383245:1385020:1 gene:Solyc07g006570.3 transcript:Solyc07g006570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVNFFLLVILLLEITISVDSQNPSLLKFVLQWPPTFCIGLNSAAPPGRCKEPILQHNLTLHGVWPADQRGYSITCPVPPDPDWNKLFTTTLENQLMAFWPPLRENAQKRDLWKHEWRAHGACGGTTPQVYFNTAIKINNMLQKGNLFNYLKTSGIIACDSLSFARKDIVDAIQKVFVSTPLTVYLTCIPIDATNRTHIYLSEVTLCTNLGGTSFISCPSQANPTSCSSGARIMLPHPKPQ >Solyc11g017285.1.1 pep chromosome:SL3.0:11:8161152:8163352:-1 gene:Solyc11g017285.1 transcript:Solyc11g017285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKAPALLHLHCRAVTNMNFFLSSNLVCLRLHWLMMVFKRFELMDREYGSVVSIVDMSGRVRRVVEADVFPQQLDILNPEKLVASKLCEISEYAEEESRSPQVLEGECAEWVCKRRSKDLLRKELWHFPLYIKWRNCPDTRDVMHTLYTLFYLVQCAYDQDMAYISRLMKVTNTKICYFEKCNQGMEFLLFADL >Solyc06g008420.2.1 pep chromosome:SL3.0:6:2342014:2346480:1 gene:Solyc06g008420.2 transcript:Solyc06g008420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASFGHGEKVNLHLAREAGHSYCQIVRAANMRVREIEKALIRVGRNGLEDGHFPFYPGQRVKASFIVSFQEFQMVIWLMESK >Solyc10g039193.1.1 pep chromosome:SL3.0:10:20770763:20772560:1 gene:Solyc10g039193.1 transcript:Solyc10g039193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETEDDENIQTEESGVLPLFPKTGHPIGSENTRKGKIINIEQHELFEAHRYILFNTGNEQVEAFIKNKVPVDLYDLEEKNCPNIEETFWREPNEDICPSERVLDVDVRWSREDLPVDIIDAPSLAQHSQDEAMETSEEEEDDFDDTDWDWMEHMQMNSVTPQTNDQPEEQAEDVSSPNKRVDHTEQSNQPVGPTDDVVIELSSLLGTLARNATICLFDILDWRNMDTKKNLWDYTKYFTSIGYVNDFKLQEKYIIPEAAYNWTMVTIRDAWRRHRSDLKMK >Solyc09g005060.2.1 pep chromosome:SL3.0:9:38884:40108:-1 gene:Solyc09g005060.2 transcript:Solyc09g005060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPLEWYNLPQDTKSLSLLVQDIDAPIVPWVIWVVTNIPPSLKGLPEGFSGNGEEYGHVKEGINDEKVPGWRGPKLPNHGHRFEFKLFALDDELKLGNKVTKDKLLEAMEGHVLGEALMTAIF >Solyc09g010620.1.1.1 pep chromosome:SL3.0:9:3961113:3961604:1 gene:Solyc09g010620.1 transcript:Solyc09g010620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAGDDKQVTFWDLEQNKAIHSFHGHLHGVYCLAIHPTIYVFYTGSRDCVCRVWDIRTKTNIHVFSGHENTISSTMTLLSHPQVVVTSSHDSTMKLWDIRYGKTMETLTHHKKSIRVMARTKVQPGLSIDTDVAIYALTYDVTGTILITCEGDKTIKMWKQR >Solyc03g117610.1.1.1 pep chromosome:SL3.0:3:68205703:68206083:-1 gene:Solyc03g117610.1 transcript:Solyc03g117610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAFFPCLVILLCALAVTSRSSETSHTLKIRGVHVSKHLACALERNSKRVVADTSGDTNKFEDVISDVEKNQRGRGANGGGSIARQPRTLDKNSAVMLKQPSISLSTICVMSSLPLLLVLPFVIP >Solyc05g016300.1.1 pep chromosome:SL3.0:5:15480480:15480905:-1 gene:Solyc05g016300.1 transcript:Solyc05g016300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHCFLSGGNGRLVHAVAENVYYFFKNSAYHLLWRGQLFKGDMSCVDNSNCRGTLFLFYNYATVAGGPFLLVLVVGEAAQKL >Solyc08g080060.3.1 pep chromosome:SL3.0:8:63517656:63519058:1 gene:Solyc08g080060.3 transcript:Solyc08g080060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFHQAMAAASYSTPSISPTMFKAMPWKQAFATFYGDETASETMGGACGYGNLFNSGYGTATAALSTVLFGNGYSCGQCFQIMCVKSKFCYTGFTTITATNLCPPNWSQDSNHGGWCNPPRQHFDMSKPAFMKIAQWKAGIVPVSYRRVPCIKKGGIKFALQGNGYWLLVYVMNVAGGGDVASMLVKGSKTGWMSMSHNWGASYQAFATLSGQTLSFKLTSYTSHQTIIVNNVAPSNWRVGMTYQANVNFR >Solyc04g025990.3.1 pep chromosome:SL3.0:4:20015720:20022647:1 gene:Solyc04g025990.3 transcript:Solyc04g025990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4BR41] MEKEEIEEESSNRVGLLKRCTTGGSTGSTRWVDGSEVDSESSQSWSLFGDEEITKQGYGSVRRRLVKKPKRLDSFDVEAMEISVAHGNHHKKEASLLSTLALAFQTLGVVYGDMGTSPLYVFSGVFSKVPITSEVDVLGALSIVLYTIALIPLMKYVFIVLKANDSGEGGTFALYSLICRYANVNLLPNRQPADEHISSFKLKLPTPELQRALNIKEVLERKSSLKTLVLLLVLMGTSMIIGDGILTPAISVMSAVSGLQGRVPGFGTNALVFTSIIILGVLFSIQRYGSSKVGFTFAPALALWFFSLGAVGVYNLLKHDVTVLRALNPFYIYLFFKKNSINGWSALGGCVLCITGAEAMFADLGHFSVKSIQIAFTSVVFPCLFLAYFGQAAYLMKFPNSSERIFYDSVPDDLFWPVFGLATVAAIIASQAMISASFSCVKQAMALGCFPRLKIIHTSKRHMGQIYIPVINWFLMIMCMLVVAVFQSTTDISNAYGIAEVGVMMVSTTLVTVVMLLIWQTNLFLALLFPLIFGTIELIYMSAVLSKIFEGGWLPLVFASWFLCVMYIWNYGSVLKYQSEVKQKISMDFMHELGSSLGTVRVPGIGLLYNELVQGIPSIFAQFLLDLPAIHSVIVFVCIKYVPVPVVPQEERFLFRRVGPKDYHMFRCVARYGYKDVRKEDHHAFEQLLVDSLEKFLRKEALDVALEINLNQRDLDSISVRSRDESEIQDGGGDGDGIDELKIPLMRDQRLETSGASTSEASVTLPASVMPVDEDPSLEYELSALREATESGFTYLLGHGDVRAKKNSWFIKKLSINYFYAFMRKNCRGGAATMRVPHMNIIQVGMTYMV >Solyc02g091930.3.1 pep chromosome:SL3.0:2:53787553:53789054:-1 gene:Solyc02g091930.3 transcript:Solyc02g091930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDICNTGLVLGLGFSSTADHKSKKITTTPLVGKGPCVGFEEPSLTLSLISGDRTYEQQAMKISKDHQSADLYRQDSAASSYSNASVKRERDVGSEETTTEVERLSSRVISDEDDDGSNARKKLRLTKPQSALLEESFKIHSTLNPKQKQDLARELNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCETLTDENRRLHKELQELKALKIAQPLYMQLPAATLTMCPSCERIGGGVGETPSKNAFTIAQKPHLYSPFNNPSAAC >Solyc09g065595.1.1.1 pep chromosome:SL3.0:9:63991248:63992291:-1 gene:Solyc09g065595.1 transcript:Solyc09g065595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMRSKQYYATSSLVVGYALCSSLLAVINKFAITNFNYPGLLTALQYLTSTLGVWILGKFGFLHHDPFTFENAKKFLPAAFVFYLAIFTNTNLLRHANVDTFIVFRSCTPLLVAVADTAFRKQPCPSKLTFLALIVILGGAFGYVATDSGFSLTAYSWALAYLVTITTEMVYIKHMVTNLGLNTWGFVFYNNLLSLMMAPFFWIITGEYVDVFIAMGSNGKGNLFHPVALVAVSLSCVFGLLISFFGFAARKAISATAFTVTGVVNKFLTVAINVLIWDKHASPFGLGCLLMTIAGGVLYQQSVTGVTSAPPQRDSAVSKQDNGNDQDNYGDSDEEKGMSGKISGV >Solyc04g054270.3.1 pep chromosome:SL3.0:4:52201859:52206099:1 gene:Solyc04g054270.3 transcript:Solyc04g054270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQFSSSSSVQLPSSTTTTTPWLNLQKKRPLYSIVRCVSTRPGGRKSGYGSSSSEAQELVTLVMRNFSDKKPLVSTLDKYVKLVRTEHCFLLFEQLGKTDNWLQCLEVFRWMQKQRWYIADNGVYSKLISVMGKKGQIRMAMWLFSEMRNSGCRPDTSVYNAVISAHLHSRDKSKALTKAMGYFEKMKEMERCSPSIVTYNILLRAFAQAKNVEQVDALLKDLDESIVTPDIFTFNGLMDAYGKNGMINEMEHVLSRMKSNKLKPDIITFNILIDSYGKKQDFQKMEQVFKSLLQSKEKPTIPTFNSMITNYGKARLREKSELVLEKMIDLGYKPSYITYECLIVMYGHCDCVSKARELFDRVMESEKEKKASTLNSMLDAYCMNGLPMEAHLLFESIHSAKAFPIDSSTYKLLYKAYTKADMKELVQKLLTYMDEDGIIPNKKFFLDALGAFGSAPTNRRAVGDNKGLSKHKGHK >Solyc12g041980.2.1 pep chromosome:SL3.0:12:56788240:56804641:-1 gene:Solyc12g041980.2 transcript:Solyc12g041980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAALGCYMTSCRRSYHVPCAFEIQDCQWDMENFVMLCPVHKSVKFPSEKSKSIEHIRREMHPKASPLTTEQLTFWARSSDGPKEWVLCGSALSSEDKYMLVKFDDMCGATACKFWRPNVTHVVATTDVKGACTRTMKFLMAILSGKWIPTMDWVKACVAANGPVNEEHYEISLVNYGRSGGPKAGRLMASANGPKLFDGFEFYFIGDFMPDYKSDLLDLVEKAGGTVIQSEEQLVKQNHAAQGTQPSSLVVYNCDLSQGCFEEKIRILLQRLAEAEDLAEQIGSQTVQHTWILESIAACKLVPFC >Solyc12g010000.2.1 pep chromosome:SL3.0:12:3137774:3138802:1 gene:Solyc12g010000.2 transcript:Solyc12g010000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNYSFLAYFLIISFFTNCFSEAREFIVDGKENSWKIPSSPNEFNKWAEKTRFRIGDYIDSVLQVNEEDYNNCNKAQPIKSYEDGVTKILLDRSGPFFFISGANGHCEKGQKLDVIVLSPKHSSSIR >Solyc03g120730.3.1 pep chromosome:SL3.0:3:70504029:70509722:-1 gene:Solyc03g120730.3 transcript:Solyc03g120730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTGFKLEGLRKFTVAVSGLVFLALAIVVSGKQVPYETTLSNNGTTHSYESSYLFDLFNILWRKSEINYHHVWPEPEFGWRLIVGSVIGFFGAAFGSVGGVGGGGIFVPVLTLIIGFDPKTSTAISKCMITGAACATVYYCLKLRHPSLDLPIIDYDLALLFQPMLLLGISVGVVLNVLFAEWMVTILLIILFLAASTKAFFKGVETWRKETIIKKEAARCLTSNDAGVEEVAYKLIPGGPKNDSTFSNEVYKKEVSIFDNMYWKDTARLIAVWIIILFLHVSKNYAPTCSTAYWTLSLLQLPIAVGASAYEAVCLYKGSRMILSSGEALISWKVHQLILYCCCGILAGIVGGLLGLGGGFILGPLFLELGIPPQVSSATAAFVMIFSSSMSVIQYYLLGRFPVPYALYFIAVASIAALVGQHVVRRIISIVGRASVIIFILALTIFVSAISLGGFGIADTIKKIEEGQQMGFDNICAYNP >Solyc03g006420.3.1 pep chromosome:SL3.0:3:1013885:1022898:-1 gene:Solyc03g006420.3 transcript:Solyc03g006420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Origin recognition complex subunit 1 [Source:UniProtKB/TrEMBL;Acc:K4BE65] MDFGESTPKKKSKQSPNATPIKQQNPLPISSLSPSDPIFPKTPQTLNPTNCRRSVRLRATPRTPAPEPLSPPTSARRGKSLNFTTKNGTNSAKRKKSKVAALTPILTPGLTESKRKRKSVERKNVGVVKRSVSRSCKKRVYYKKVVFDGGEFGVGDDVYVKRREDAGSDNEDPEVEECRICYKPAGRVIMIECDECLGGFHLKCLKPPLKEVPEGDWICMYCEAKKLGKIMEMPAPPKGKKRVRTAKEKLLDSDLWAARIESIWKEVDGTYWFRAHWYIIPEETDAGRQPHNLRRELYRTNDFADVEMESVIRHCFVLYPKEFEKARNDGDDVFLCEYEYDIHWHSFKRISEIEDNAVDDDEAENDGDWNSCEDQDSDGEDDVEYEREKLSNLLTRPSAAHPLAANSRKGRFFGLQKIGVKKIPEHVRSHKLTELEKAKGTLLLATLPKSLPCRTKEMEEITTFVKGAICDDQCLGRCLYIHGVPGTGKTMSVLAVMRSLRCEVDAGSIKPYCFVEINGLKLASPENIYSVIYEALNGHKVGWKKALHSLNERFSNVAERSKEENRPCILLIDELDLLVTRNQAVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIILTRLNGIEAFEKPAIEFASRKVAAVSGDARRALEICRRAAELADYRVKKLLPIPDSAAPGKMLVRMADVEAAIQEMFQAPHIQVMRSSSKLSKIFLAAMVYEGHKTGMSETTFDQLATTVSCLCTSNGEKFPGWDMLLKVGCKLGECRIILCEPGVKHKLQKLQLNFPSDDVSFALKDSKELSWLARYL >Solyc09g014621.1.1 pep chromosome:SL3.0:9:6304886:6307902:1 gene:Solyc09g014621.1 transcript:Solyc09g014621.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSKSSFTNLSENFWSTVSFGDLSIVNVMGKEVVQYVDGIFVSQKKYVQEILDRLKMKNCNLVDTPVDFGSKLNKVGRGDMVDNTLYRQIVGSLMYLIATRPDIMYAISLKIKYMESLAEIHLLAVKSILRSLQGTKDFCLFYKKGIEAVSWSSRKQKIVTLLTTEAEFVAATAYACQAVWLRRILQEVQLKQERSTTIFCDNNLAIKQSKIHVLHGRSKHIDVKYYFLRDINNEGTIELQYCQSEDQLTNIITKPLRFLPFQKLRKLMGVNTMDEFKKVQLN >Solyc01g097990.3.1.1 pep chromosome:SL3.0:1:88512070:88512555:-1 gene:Solyc01g097990.3 transcript:Solyc01g097990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVEFWGQGITPKAVEMAIPLILEEFPEIVRLQALTDAKNKASHRVLEKAGFRKEGMFRKYFYFKGEIKDVVLYSFLSTDS >Solyc03g112540.3.1 pep chromosome:SL3.0:3:64415706:64420197:1 gene:Solyc03g112540.3 transcript:Solyc03g112540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLKYLAGIAGPSGYGSKTTAEQATKDFSNSSNSQLTAIITGATSGIGAETARVLAKRGVRIVLPARDLKKAEILKEFIQKETPMAQIILLEIDLSSFASIQRFSAEFLSLQLPLHILINNAGKFSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMVETAEGCGIEGRIVNVTSVVHNWVKRDQFRFSQLLNPKKYYNGTRAYAQSKLANILHAKELSRQLKARNANVTINAVHPGIVKTGIIRDHKGFITDSLYFMASKLLKSTSQGAASTCYVALSPQTEGMSGKYFADCNESHSSVLANDEIEAHKLWKGTRVLIHRRFLPHVNS >Solyc04g049800.3.1 pep chromosome:SL3.0:4:43504108:43506899:1 gene:Solyc04g049800.3 transcript:Solyc04g049800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNNDSVFFKDDSVTSNTDSNSSSFQPSLSTLNFSILKSDRVFEIEDESSTTGGGDMLTRQLFPVKGEIQGQSQCWLNLSVSESAGLEVPVYKPPVHKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNITDYNEDMKQMKNLSKEEFVQVLRRHSNGFSRGNSKYRGVTLHKCGRWEGQFIGKKAYDKCDGREAVTNFVASTYEREKKEDKRDGGSGENLDLNLWISLPLEGGLKGGEIGRNVHFNFGGRDMVIGKTFETQSSSIVPQGPATMSKLSIFTGMYPGFPPHSTEGAMMKAEAASSCPVLPNWGWKIHSQGVVTPVPVFSSSAASSGFSTATTLHTNSLLPPSNQLRLPTN >Solyc03g112075.1.1 pep chromosome:SL3.0:3:64087171:64091363:-1 gene:Solyc03g112075.1 transcript:Solyc03g112075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BK61] MAASPSSTMILSSKSSRASLSWKNQLASTSAFRVSAVTLPRRSPRQCPRFVVFSMDAKPTVLVAEKLGEAGLKLLKEFANVDCSYNLTPEELCTKISLCDALVVRSGTKVTREIFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALLTAMARNVAQADASVKAGKWLRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVQLVSFDEALASADFISLHMPLTPATKQILNDETFAKMKKGVRIVNVARGGVIDEEALVRALDAGIVAQAALDVFTVEPPPKDSKLIQHENVTATPHLGASTTEAQEGVAIEVAEAVVGALKGELSATAVNAPMVPAEVLLELKPFVVLAEKLGRLAVQLVAGGSGVKSVKVTYGSARAPDDLDTRLLRAMITKGMIEPISSVFINLVNADFTAKQRGLQISEERLILDGSPESPVEFIQVQIANVESKFASAISDLGEIRVEGRVKDGVPHLTKVGSFEVDVSLEGSIILCSQVDQPGMIGKVGSVLGEENVNVSFMSVGRVAPRKQAVMAIGVDEQPSKESLKRIGEIPAIQEFVYLGL >Solyc11g061750.2.1 pep chromosome:SL3.0:11:48672010:48678655:1 gene:Solyc11g061750.2 transcript:Solyc11g061750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNNWLSFPLSPTHSSLPPHLQNAQSHHFSLGLVNETIDNPFQNQEWNLMNTQGSNEVPKVADFLGMNKSENQSELIPYNDIQANDSDYLFQNNHLMPSMQNALAPPPTNNYDLQENACNIQSLTLSMGSGKGSTSETSASPSANAATASATAENSNNTSIVEAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKELEDMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFTTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKRDQEMMALNSSFQYGNSNPLQAYPLMQQHQPSFDNSQPLLTLQNHDISQYNIQDSSSQFHQSYLQTQLQLQNNSHQVSLYNNYLQNNQVFLHGLMNNNEGSSSGSYSTGGYFGNSPGLGGMSSNSTSGNNGGGGGAHEEVALVKVDYDNMPSYNGWSGESSVQGSNPGVFSMWNE >Solyc04g049970.3.1 pep chromosome:SL3.0:4:44901939:44903501:-1 gene:Solyc04g049970.3 transcript:Solyc04g049970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKGLRMVYRNLLKAVEKHIGKEEHKVHFTDFIREEFKKNRNLEYPKDSSFIQQRIKLAENYTYLLNSVHHHKDLLFSYNIAVDRSNEMTKVLGKSAASVGLRLPDVYQS >Solyc01g109250.2.1.1 pep chromosome:SL3.0:1:96163270:96164145:1 gene:Solyc01g109250.2 transcript:Solyc01g109250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding STQHTLFSPVFFFCKKNKKTVEMGICTSSPLMTKQNGSIMNLPVTKVAMVIQMNGKLQEFRQPITAGDILIQNPDFFLCSSEAMNVDSLVPQLAKDEILQLGQLYFLLPVSKLQTPLSLQDMCLLAVKASTALNDYCNLSNIVTRKFKKMHDCHIKNLNLRPQVYESPLPLQ >Solyc04g054890.3.1 pep chromosome:SL3.0:4:53299351:53304081:-1 gene:Solyc04g054890.3 transcript:Solyc04g054890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-coenzyme A oxidase [Source:UniProtKB/TrEMBL;Acc:K4BSN7] MELQKISSKGPQNSEETAELVNRRINLLTLHLNPVQELELLRCAGKMKAIKVSTEALSEYMRGKHRDIQEKVFAYFNSRPELQTPIEISKDEHRELCMKQLVGLVREGGIRPFRYVVDDPAKYFAIAEAVGSVDMSLGIKMGVQYSLWGGSVINLGTKKHRDKYFDGIDNVDYPGCFAMTELHHGSNVQGLQTVATFDPLTDEFIIDTPNDGAIKWWIGNAAVHGKFATVFARLMLPTHDTKGVTDMGVHAFIVPIRDMKTHKTMPGVEIHDCGHKVGLNGVDNGALRFRSVRIPRDNLLNRFGDVSRDGRYTSSLPTISKRFAATLGELVGGRVGLAYSSVGVLKIAVVIATRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFATLHLVEKYSEMKKSHDEELIGDVHALSAGLKAYITSYTAKSLSTCREACGGHGYAAVNRFGILRNDHDIFQTFEGDNTVLLQQVAGLLLKQYREKFRGGTLTVTWNYLRQSMNSYLAQPNPVTARWESEEHLRNPNFQLDAFRYRTSRLLQSVAIRLQKHSKTLGGFGAWNRCLNHLLTLAESHIESFILEKFIEAVKNCPDENSRAALKLVCDLYALDRIWNDIGTYRNVDYVAPNKAKAIHKLADYLCFQVKNIARELVDAFDLPDYVTRAPIGVQTPSEAYTQYTQNVGF >Solyc07g039345.1.1 pep chromosome:SL3.0:7:47409410:47411887:-1 gene:Solyc07g039345.1 transcript:Solyc07g039345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKLTRPKPFSSLAESPKQPAVPRLLLKSAKRRQQRGRMTRVSSKRKGPIDGVTWEVFTAPTTLHPYVPTSSSSIPLCSGHLVHSISFIQVFSESFFGCGSRYFSGSLSSLFFCPSEAPKGLKRSDIPAGI >Solyc04g056503.1.1 pep chromosome:SL3.0:4:54332941:54333297:1 gene:Solyc04g056503.1 transcript:Solyc04g056503.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLILKIREEYLDRIMLTFSVFTSPKVPDIVVEPYNATFAVHHQLVKNADECMLLDNEVLYDIFFRTLKFITPSSL >Solyc08g065380.3.1 pep chromosome:SL3.0:8:53366032:53370391:-1 gene:Solyc08g065380.3 transcript:Solyc08g065380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGITGHNSRTCKTHKDEKIIYDEDPKTIGMMKLFGVKLEVPCSWMKKSFSVDCLSNSSKTSSSMAASKFSYCDISNGYLSDVGRASSYKKKGVAWTEEEHRIFLVGLEKLGKGDWRGISSNFVTTRTPTQVASHAQKYFLRQNSLSKKKRRRSLFDKVGMDKSTMLLFDKMVIKTNISCDKSHTQPEDTNISMINFNTTSSSKDSKSSSQQFSNNFTFINSTTFTSHDISVQDSPLPDLELTLAVPTTKSTALLTGTTITVV >Solyc06g053450.3.1 pep chromosome:SL3.0:6:36344091:36346669:1 gene:Solyc06g053450.3 transcript:Solyc06g053450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGSWFYALKRVFTCNSKKKSAYGSGKKTAKEKKKGRRILRHGEFKSFLFREPSSIEKILGEVDDQNLLVRPPTSEQSGIPSAFPVAPTSPRINSPRDASPQSSYRATSPGVTSPRVASPRVVSPKAASPKVTSPKEASPKVTSPREAYPKATSASAPSLKALSPREASPKANSASAPSQKATAPKEASPRVSSPRSTYPEPSRNHNEISYANRPEATLTLHLSATKIQAAYRSYMVRYKITPSSMFC >Solyc05g052260.3.1 pep chromosome:SL3.0:5:63371125:63378666:1 gene:Solyc05g052260.3 transcript:Solyc05g052260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPPATYHKLCSTLLRYFPSFNTPQNFQNSHFQSSRNFYTLNPTTAIDTFIRPISVISISPRQLIAVVRGRRSSIAGVSTMASGGENPVTFQLTPSSLLKIQKGDITRWSVDGSSDAIVNPANERMLGGGGADGAIHRAAGPELRDACYKVREVQPGIRCPTGEARITPGFRLPASHVIHTVGPVYDANPNPKASLTNAYRNSLRVAKENNIQYIAFPAISCGVFGYPYDEAATVAISTVKEFGSDLKEVHFVLFSDEIYDAWVTATNEQLN >Solyc04g014680.3.1 pep chromosome:SL3.0:4:4937502:4943199:-1 gene:Solyc04g014680.3 transcript:Solyc04g014680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRTGSHQLSNGLIVSGRPEQLKERQPTMGSRAVPYTGGDIKKSGELGKMYGVGDYPGPPPILKPSSRGSSASQHNSGSVRSGPNSGPVGHKLTNSGSMPKKSSGSFSGQLMTPIQPTGLITSGPLSSNTGRRSGQLEPAASFKKVVYGSSVTSLNDEIKLGFKVSKVAMWVFLVVVLMGLVVGAFLMVAVKKAVILVAVAGILAPLVVILLWNFAYKERGLLGYLKRYPDAELRGAIDGQFVKVTGVVTCGSIPLETSFQRTARCVYASTELDEYKGWGGKSANPSHRCFSWGRRHSEKHVADFYISDFQSGLRAMVKAGYGAKVVPFVKPTTVIDVTKNNKELSPNFLHWLADRSLSSDDRIMRLKEGYIKEGSTVSVMGLVRRHENILMIVPPVDPISTGCQWTRCLLPTYIEGLILTCDDSQNADVIPV >Solyc02g011830.1.1.1 pep chromosome:SL3.0:2:14143684:14143887:-1 gene:Solyc02g011830.1 transcript:Solyc02g011830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVYIFYILSQTQIISFSKFRYVLQYNTSSYFLKTKIKDYFKTLGIFHLELKHKKLQSYRINQWKN >Solyc08g082000.3.1 pep chromosome:SL3.0:8:65035826:65041399:-1 gene:Solyc08g082000.3 transcript:Solyc08g082000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETKPVITSLTRSTWNSGKAYEFEPMLSSSISQASSIPELILYLKSAFRDKEFSLVEGILMDRDKQLREEKLNMENQLKDMQRENEELKNGKAEVEAKLKMYLGKFKELESRVSLQEVETAKTRTVDVSVIHKMAEDADVVEVNSKASGAAGDLQEKQLSPPRAPPVDSTGNGNGIGNGKSRDEGSGNRDFISTKMNMFNCPDVQTLHPMPSAGGSAQGNSNVSNIEKKRCRSEEADPLLINLVCENGAPTIDPSACEAEGVVKKNFNTAVGRAGSSIVVHISDSDDENAKACVSKSNDVGRNSTFPHQIKEQDVKETSVSKRKRSLCDSDNGGGSSFGKLKTRSIQELNRDGKLSFSHDNSHKPVFVRRCDDKDGGKSYSQLSSRRSDLYKLDGSGDDSSSDSENDPLSDKSMNMLIQKVTKRKIFSSEDDLRLSFEKDPELCMNAICALYRHQISPNISSKGLFATKSQGLSLSDKISIAALGEYLIDGDPENKLRKAVEEVRPKDHAECKRLATKYCDQLYQIYLNKEDRLFLPICKF >Solyc07g045350.3.1 pep chromosome:SL3.0:7:58575885:58583088:-1 gene:Solyc07g045350.3 transcript:Solyc07g045350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAADTIKPRDVCIVGVARTPMGGFLGSLSSLPATKLGSIAIEGALKKANVDPSLVEEVFFGNVLSANLGQAPARQAALGAGLPNTVVCTTVNKVCASGLKATMLAAQSIQLGINEVVVAGGMESMSNVPKYIAEARKGSRLGHDSLVDGMLKDGLTDVYKDCGMGVCAETCASNHKITREEQDDYAVQSFERGIAAQEAGAFAWEIVPVEVPGGRGKPSIIVDKDDGLGKFDGAKLRKLRPSFKDKDGTVTAGNASSISDGAAALVLVSGEKAIKLGLNVIGKISGYADAAQEPELFTTAPALAIPKAIKSASLEASQIDYYEINEAFAVVSLANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLRQKNGKYGAAGVCNGGGGASALVLELSEDWKLIENFVYQCDIACIEFRDALDRSFNWTTMVSVSDYL >Solyc02g083900.3.1 pep chromosome:SL3.0:2:47697377:47720903:-1 gene:Solyc02g083900.3 transcript:Solyc02g083900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIQDFEQHSRHLYEADLPIQTRLQMAMEVRDSLEITHTGEYLNFLKCYFRAFSGVLYHITKPQFSDNPEHKLRNIVVEILNRLPHSEVLRPFVQELLKVAMHVLTTDNEENGLICIRIIFDLLRNFRPSLENEVQPFLDFVCKIYQNFRATVSYFFESGAMAVPPPPMPTSSVSSLGEADVKPMEVSDQMSTSNGYFGAGQLNPSTRSFKIVTESPLVVMFLFQLYGRLVQTNIPHLLPLMVSAISVAGPEKVPPHLKTHFIELKGAQVKTVSFLTYLLKSFADYIKPHEESICKSIVNLLVTCSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACFETLRPLAYSLLAEIVHHVRGDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQQSMDEARILLGRILDAFVGKFNTFKRTIPQLLEEGEDVKGRSTLRSKLELPVQAVLNLQVPVEHSKEVNDCKHLIKTLVMGMKTIIWSITHAHLPRSQVSASTQGTPPQVLSSASTSSSVPQPFKGMREDEVWKASGVLKSGVHCLALFKEKEEEREMIHLFSQILAIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSSLLQAPKVFRPFADVLVNFLVSSKLDVLKHPDSPAAKLVLHLFRFLFGAVAKAPSDCERILQPHVHVIMETCMKNATEVEKPIGYLQLLRTMFRALAGGKFELLLRDLISMLQLCLSMLLALLEGPNGEDMRELLLELCLTLPARLSSLLPYLPRLMKPLVMCLKGSDDLVSLGLRTLEFWIDSLNPDFLEPSMANVMSEVILALWSHLRPAPYPWGGKSLQLLGKLGGRNRRFLKEPLALECKENPEHGLRVILTFEPSTPFLVPLDRCISLAVAAVMQRSAIVDSFYRKQALKFLRVCLSSQLNLPGSATDDGFTSRMLSTLLVSSVDPSWRRSETSDIKADLGVKTKTQLLAERSVFKILLMTIIAASAEPDLHDSKDDYVINVCRHFAIIFHIESSAAHGTLSAAPVGASVLSSSSIVSAKSRYSTSSNLKELDPLIFLDALVDVLADENRLHAKAALNALNVFAETLLFLARSKHSDVLMSRGGPATPMMVSSPSMSPVYSPPPSVRVPVFEQLLPRLLHCCFGCTWQSQMGGVIGLGALVGKVTVETLCAFQVRIVRGLVFVLKRLPVYATKEQEETSQVLTQVLRVVNNVDEANSEARRQSFQGVVEYFALELFNPNVSINVRRIVQSCLALLASRTGSEVSELLEPLYQPLLQPLVGRPLRSKTVEQQVGTVTALNFCLALRPPLLKLTQELISFLQEALQIAEADETVWVIKFMNPKVANSLNKLRTACIELLCTAMAWADFKTQNQSELRSKIISMFFKSLTSRTSEIVAVAKEGLRQVIQQQRMPKELLQSSLRPILVNLAHTKNLNMPLLQGLARLLELLANWFNVTLGGKLLEHLRKWLEPEKLAQCQKSWKAGEEPKIAAAIIELFHLLPSAAGKFLDDLVTLTIELESALPPGQFYSEINSPYRLPVTKFLNRYPTAAVDYFLARLCQPKYFRRFMYIIRSDAGQPLREELAKSPEKIIASAFPEFIAKSDASAGQESLSRPSTSTGDEGLGTPQVEASIPSASTNVAPQDAYFQGLSLVKTLVKLMPNWLQNNRCIFDTLVLMWKSPARISRLQNEQELNLVQVKESKWLVKCFLNYLRHDKTEINVLFDILSIFLFRTRIDFTFLKEFYIIEVAEGYPPNMKRTLLLHFLNLFQSRQLGHDHLVVVMQMLILPMLAHAFQNGQTWDVVDSAIIKTIVDKLLDPPEEVSADYDEPLRIELLQLATLLLKYLQTDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKMLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPYNTTAENRRLAIELAGLVVNWERQRQSEMKIVPANDGTGQNADGLSHASAGSVDPKHPDGSSFSEDPSKRVKVEPGLQSICVMSPGGASSIPNIETPGSGGQPDEEFKPNAAMEEMIINFLIRVWFFPEMVALVIEPKDKEASLMYKQALDLLSQALEVWPNANVKFNYLEKLLNNLPPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINHISQILEPCFKFKVLDAGKSMCCLLKMVYVAFPPEGSNTTQDVKMLYQKVEELIQKHLAAVATPQTSGEDNSGSMVSFVLYVIKTLAEVHKNFIEPVNLVRLLQRLARDMGSSIGSHVRQGQRSDPDSAVTSSRQGADVGVVIANLKSVLGLISERVMAIPDCKRPVTQILNSLLSEKGTDSSVLLSILDVIKGWIEEDMTKPGVSIASSTFLSPKDVVSFLQRLSQVDKQNFTPSAAEEWDKKYIELLYGLCADSNKYAHSLRHEVFQKVERQYLLGIRAKDPEMRMKFFTLYHESLGRMLFTRLQYIIQIQDWEALSDVFWLKQGLDLLLAILVEDKSITLAPNSAKVPPLVVAGTIGDSIGPQPMVLDVPEGSEEAPLTVDSFIAKHAQFLNEMSKLQVADLVIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEEQVALAKPMITLLSKDYHKKQAAHRPNVVQALLEGLQLSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFLNDTKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLCCASQLSQWDVLVDFGKMVENYEILLDSLWKQPDWAYLKDHVIPKAQVEDSPKLRIIQSYFSLHEKSTNGVAEAENTVGKGVDLALEQWWQLPEMSIHAKISLLQQFQQLVEVQESARIIVDIANGNKLSGNSAVGVHGGLYADLKDILETWRLRIPNEWDSSSVWYDLLQWRNEMYNAVIDAFKDFGSTNSQLHHLGYRDKAWNVNKLAHIARKQGLYEVCVSVLEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFSVKHKAEIFRLKGDFLLKLNDCEGANLAYSNAISLFKNLPKGWISWGNYCDMAYKETHEEIWLEYSVSCFLQGIKFGIPNSRGHLARVLYLLSFDTPNEPVGRSFDKYLEQIPNWVWLSWIPQLLLSLQRTEAPHCKLVLMKVATVFPQALYYWLRTYLLERRDVASKSEYGRMAMAQQRMQQNVSGANAAAPMGLADGNARMTGQSGGSSAGENHTPQGAQSGGGVGSQDGNSSQIQEPERPDGNMPSGNDQSLHQGSSGNDGGQAALRRNSALSLVASAASAFDAAKDIMEALRSKHSNLAGELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTAEVPQSLKKELSGVCRACFSADAVNKHVDFVREYKQDFERDLDPDSAATFPATLSELTERLKHWKNVLQSNVEDRFPAVLKLEDESRVLRDFHVVDVEIPGQYFTDHEVAPDHTVKLDRVAADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNRMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITFFKEQLNQAISGQISPDAVVDLRLQAYNEITKSFVTESIFSQYMYKTLVSGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNLQAFFSHFGVEGLVVSAMCAAAQAVVSPKQSQLLWYHLAMFFRDELLSWSWRRPLGMPLATVVGAGNLNPVDFKQKVTTNVENVIGRITGIAPQYISEEEENGMDPPQSVQRGVAELVEAALTPRNLCMMDPTWHPWF >Solyc06g071960.3.1 pep chromosome:SL3.0:6:44464403:44470342:-1 gene:Solyc06g071960.3 transcript:Solyc06g071960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLTIVGATPCVSSSLRRISSKTTCLASRLTPKSVKKHSLAALQPAFYLFSTNVPKRQIRARIFLPHLVASMEEVEQTYIMIKPDGVQRGLVGEIISRFERKGFKLTGLKLFQCPKELAEEHYKDLQSKPFFPKLIDYITSGPVVFCIFANLVNCIYFGKAWEGVGVVASARKLIGATNPLNAEPGTIRGDLAVQTGRNVVHGSDSPDNGKREIGLWFNEGELCAWAAALEPWLVE >Solyc03g051705.1.1.1 pep chromosome:SL3.0:3:22358688:22358893:-1 gene:Solyc03g051705.1 transcript:Solyc03g051705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKGTFFGNYTPCLDISSVVEKYMNKELELEKFITHALPIQLNRQGLLN >Solyc01g104055.1.1 pep chromosome:SL3.0:1:92430143:92431893:-1 gene:Solyc01g104055.1 transcript:Solyc01g104055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHEGHVTSAKCFLMIKGRMRVTDLMFLHAFIVGLLRFGLFNFFFLQDTMTIAGAKVSEDGVIGTFDNDEEALDAVENGVAVVDLSHYGRIRVSGEDRVQFLHNQSTANFEILHEGQGCDTVFVTPTARTIDIAHAWVMKTAITLVVSPVTRERITHMLEKYIFFADKVEIQDITEKTSLFLLVGPTSNKIMEALSLADIVGQPYGSHKHYNVIGFLPL >Solyc02g014640.1.1.1 pep chromosome:SL3.0:2:18052710:18052907:1 gene:Solyc02g014640.1 transcript:Solyc02g014640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASCLRISEPGWRSRCLGGIISVSILNLREPGQRAQGVKQKYPRSYVALKPLCLKLKEHGWHA >Solyc07g049795.1.1 pep chromosome:SL3.0:7:60355666:60357252:-1 gene:Solyc07g049795.1 transcript:Solyc07g049795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREETRACRALALFQFTKIPHYFYPFLKPCVDDKPLEYVRITSLSVLGASFLKGIFATEKYGLPTHQLLSSLKSVHLFKSKQ >Solyc01g049910.3.1 pep chromosome:SL3.0:1:47049207:47073063:-1 gene:Solyc01g049910.3 transcript:Solyc01g049910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTTASVGTTFWESCEGIQMEYIAVFLAVLFPGALLAFNHELLQALSKVSALQIYCAGIWHNAVLCAVCAWALFLQPFILYPFYIHDEGPMVLEVSPTSPLAGHLSPGDVIISLDDFRIDNAQEWSQIIDVLTEHSYQTFQNHSLLENSMKSSSGKGYCIPYSLVEEGKRVSLEGNRTCPDELSAFITIPCSDQAMVDDDNLEVNHQRDGGVFHCFYDKDVLKLEKCGDGWGRLHSNRSSCFCSKEGTCFLPLFSTGVAWVEITCSSPSPLQCLHLRRTRVIEDNISKENPYVKTFVFVGDAISIKHSVLLTSYQPRWSAKFGAHLAYVLERLLMFTFHVSMTLALLNSLPVYFLDGEAISEVVSHYFRILSPRRRRTILQYFLFGGTVASIIMFVRIFLVLL >Solyc11g062400.2.1 pep chromosome:SL3.0:11:49697260:49701017:1 gene:Solyc11g062400.2 transcript:Solyc11g062400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICFGKPVKLAHASSSLMSDSRTGPGSERKESSHSCRQTLQGSSGNALKSCKGDLSATCNLKSFTFNDLKNATRNFRADSLLGEGGFGYVFKGWLDENTLAPCKSGTGMVVAVKKLKSESCQGHREWLAEVNYLGQLHHENLVTLIGYCVESDNRLLVYEFMTKGSLENHLFRKGVQLMTWGKRMRIAVDVARGLSFLHSLDANVIYRDLKASNILLDSEFNAKLSDFGLARDGPDGDRTHVSTRVIGTRGYAAPEYIATGHLTPKNDVYSFGVVLLELLSGKRATGEENPGGAEETLVEWARPFLSDSRRVLRIMDTRLGGQYSKKGAQAAAALALRCLHVDPKLRPTMDEVLATLELLPTPKDNTKTSPPQANYNAPNDKICHPQKRYVTHSR >Solyc11g006320.2.1 pep chromosome:SL3.0:11:1032311:1053905:-1 gene:Solyc11g006320.2 transcript:Solyc11g006320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMTPPPVEQPEDEEMLVPNSDFPVEGPQPMEVATADTASTVEGPQVDDPPSGRFAWTIEKFSRLNVKKLYSEVFNVGGYKWRILIFPKGNNADQLSLYLDVADSPSLPYGWSRYAHFSLGVLNQAHTKFTVRKDTQHQFNARESDWGFTSFMPLSELYDPSRGFIMDDTVIVEADVSVRKVADYWSHDSKKETGCVGLKNQGATCYMNSLLQTLYHISYFRKAVYHMPTTENDNPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDSNDSFTQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYRSTRKESFYDLQLDVKGCRDIYASFDKYVEVERLEGDNKYHAEKYGLQDARKGVLFVDFPPVLQLQLKRFEYDFARDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDPKRALEEQYGGEEELPHTNPAFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRVRLKKEQDEKEQKRKEKAEAHLYTIIKVARDEDLGEQIGKEIYFDLLDHDKVRSFRIQKQMVFTQFKEEVAKVLGIPVQFQRYWLWAKRQNHTYRPNRPLTPQEEVQSVGQLREVSNKTSNAELKLYLEVEVGLDLQPCPPPEKTKEDILLFFKLYDPLKEEIRYVGRLFVKGSGKPLDILSKLNELAGFSPDEEIELFEEIKFEPNVMCEPIDCNLTFCSSQLEDGDIICFQKPVQSQTSEQCRFSDVPSFLEYVHNRQVVHFRSLEKPKEEDFSLELSKLNTYDEVVESLARHLRLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDRLTEMLVHYNQTSDILYYEVLDIPLPELQCLKTLKVAFYNAAKYEVTIHTIRLPKQSTVDDVLNHLKTKVELSRPDAELRLLEVFYHKIYKIFPPTERIENINDQYWTLRAEEIPEEEKNLGPLDRLIHVYHFTKDTTQNQAHVQNFGEPFFLVIHEGETLAEVKVRIQRKLQVPHEEFSKWAFAFVSMGRPDYLRDSDIVSIRFQRRDVYGAWEQYLGLEHADNGPKRSYASNQNRPTFDKPVRIYN >Solyc06g065480.3.1 pep chromosome:SL3.0:6:40987812:40996578:-1 gene:Solyc06g065480.3 transcript:Solyc06g065480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAGKLVSSSSSSPKPFDFSDDSVPKRVVLSSDQQRYCLEVLKVFKDKRFSAPEKIRQEFMTLQATRMRASEMKSRCSMALNSANISKNRYTDVLPFDNNRVVLDPPARGYINASFIKISEDVSQFIATQGPLQHTFEDFWEMIIQHRCPVIVMLTQLFDNYKIVKCGDYFQADGGPRRFGNICIVTKWIKTTQTSLILRCLEVNYIEKCGFFNLVLLVELPFGNLSTEPPLCVLHIQYPDWPDHGVPKDTLAVREILKQTFSVPPSLGPIVVHCSAGIGRTGTYCAIHNTIQRILGGDKSALDLVNTITIFRSQRIGMVQTMEQYLFCYDAVIDELEDLISDSQ >Solyc03g031900.2.1 pep chromosome:SL3.0:3:4390606:4401357:-1 gene:Solyc03g031900.2 transcript:Solyc03g031900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTKKKTEKTIPGLVDLVFSWSLKDVLNKDLYKDKVKEIPETFVSIDHYLKSYVTPLLEETHADLLSNVSAVSRAPALEVVDVKVSKDFNPPKGLYYNILLRRNQNREVGESNESKHESKYEPEVGDLIALTDVRPRRMEDLNRPKRSYLIAIVQGMNDDGYRIPILSSQLIPFKKPDRETGEQGDKLFVVYLSNLTTNIRIWNALHSDRENSNFNIIKTVMRSDDSDIGDQVDCSLCSVREAETNIAISSSRAIAQSFELDSAQQEAVVSCVATRECSHRNMVKLIWGPPGTGKTKTVASLLYVLLEMRCRTLTCAPTNVAVLGVTKKLMQNVQSCFQYDTYGLGDIVLFGNGERMKIDDHEDLFDVFLSNRVAALASCSSPISGWRIGIQSMTCLLENPEKEYRKYLEKLKDKDRDGDDNAEIDDEEEGKKGSVTSQESSSSNDQGLNKNKKSELWKKFVVETLKENKKKDKQKSRRDNNSKEGEKANKVKNNGEASNKEVIVLTLDEFVNKRFKCIQNQLTFCLTSLYTHLPTSIISLEVAKEMIRLLEMFQTLGELFATVEQSEGLKEILPGFATKNKTRRLNIRTTKTECLKVLKFLNESISLPDFIEDYQIRSFCLKGACLIFCTASSSIKLHTEGMTPLEMVVIDEAAQLKECESTIPLLLPGLRHAILIGDEKQLPAMVQSKICEKAEFGRSLFERLVTLGHKKLLLNVQYRMHPKISLLPNREFYQKKIMDGPNVKSAAYEKRFLTGDIFGSYSFINVSGGNEEHDDKHSSRNKTEAFVVAEIVANLHKEYISSKQKVRVGCISPYKAQVFAIQQILGNKYSTDVKSDFSVNVRSVDGFQGGEEDVIIISTVRCNGSGSVGFLSNLQRANVALTRARYCLWILGNGTTLVNSGSIWKNLVVDAKVRGCYFDVTEDKRLSQAILDATIELSQLETLLKTDSLIFQSAKWKVIFSEDFSKSIARIKDVEISKEVISLLVKLSSGWRKAEKKNMFSNKGGNSSGLLEEYFVKHLKLIWTVDIQQQNSTYLQVLKIWDILPGCHIPKFAKNLDTHFGQYTVDMMNRCRYKRVERNFVFPMSWVIEGNVVSTTTSAHSNRDDNLARHLAAMNLRDKPGSSRSSNKSKNKRGKLFRESKQNQLAEDFMENFFGAIHCLHHVNTATDLVKEIPETFVSIDQYLKSYITPLVEETHADLLSCISTVSLAPSVEVLDVVTSRKFEAPKHLYYEILIKRAKEGEKSKTEYKPENGDLIALSDVRPRRIDDLNRPERYFLIAIVQNMDDEDDEDDEDDGVWIPILSSNLIPFQRQDNEKGEQGDKLFVVYLSNLTTNIRIWNALHLDPDNANRKIIGTVLQSDVANGEVDCTDCSDRETKTDVSIIQSFGLDDAQREAILSCIATRECDHRNMVKLIWGPPGTGKTKTVASLLYVLFKMKCRTLTCAPTNIAVLGVAKRLMQHVQDGLEYDTYGLGDVVLFGNGERMKIGDHEDLFDVFLEYRVDVLASCLSSKDGWKSSVQSMICLLEDPKEHYRKYLEKDENKEHDTSDDEEEVEGNITNEQSSLSNKDGKINAHGLVDKHTKNRLWSKFVLEPLKKNKKKASKDKKSSQRRNNSRAEGDSSNKEANALTFEKFVIKESKWFINHLLFCLPSLYTHVPTSDMPLETANVMFRLLKNLQTLRTLFATTETFERYKEVLLGIDTTNKARRFANLYESKTECLEMLKFLNEHLSLPTFSKKFKPPLQSFCLKGACLIFCTASSSSKLLNMQGMSPLEMVVIDEAAQLKESESTIPLQLPGLRHAILIGDEKQLPAMVQSKICQKAEFGRSLFERLVILGHKKQLLNVQYRMHPKISLFPNNEFYHKKIMDGPNVKGEKYEKRFLTGDIFGSYSFINVSNGNEEQDERYSTRNKPEAFVVAEIVFNLHKEFISSKQKVHVGCISPYKAQVFAIQQILGKKYSTDVKSDFSVNVRSVDGFQGGEEDVIIISTVRWNGNGSVGFLSNLQRANVALTRARYCLWILGNGTTLVNSGSIWKNLVIDAKTRGCYFDVTDDKRLNQGTLNATNESLNDMRPNQNIKWKVIFSENFTKSIARIKDAEISKEVNTLVEKLSSGWRNSEKNNRFNNKRGNSSVLLEVYNVKHLKLIWTIDIVKDNSRYLQVLKIWDILPGYYIPKLAKDLDIHFGQYTVDMMNRCKYKRVERNIAFPMTWLIDGSVISTRSSANRDRNDNLLRQLEAMSLRDEPGSSRQ >Solyc02g065770.3.1 pep chromosome:SL3.0:2:37479924:37483994:-1 gene:Solyc02g065770.3 transcript:Solyc02g065770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFSNSFHLFNSGFLPDAFDALDPNGNITIKWDVISWTPDGYVAVVTMFNFQQYRHIQAPGWTLGWTWAKKEVIWGMMGAQTTEQGDCSKFKGNIPHCCKRDPTVVDLLPGTPYNQQIANCCKGGVINSWGQDPETAVSSFQVSVGAAGTTNKTVRVPKNFTLKAPGPGYTCGPAKVVKPTKFSSGDGRRITQAMMTWNVTCTYSQFLAQKTPTCCVSLSSFYNDTIVPCPTCTCGCQKNGTQHGNCVDQDEPHLASVVSGLGKNNYAPLVQCTSHMCPIRIHWHVKLNYKEYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQLFSFHYKSLTPYGAINDTAMLWGMKFYNDLLMQAGPLGNVQSELLFRKDMSTFTFEKGWAFPHRVYFNGDNCVMPQPDSYPYMPNAGTVWKVSSLKLVVTLILSMAFFFATV >Solyc05g014895.1.1 pep chromosome:SL3.0:5:9107557:9108425:-1 gene:Solyc05g014895.1 transcript:Solyc05g014895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTVKGKTLCSNSKRRSRSSKAGLKFPVARISRFLKVGKYVKLVGVGAPIFLVVVIEYLAVEVLELTGIAARNDKKTLYQFLRDVTIPNGGVIKKIHKILLPNTKRNTSKVVVATV >Solyc01g090560.3.1.1 pep chromosome:SL3.0:1:84103764:84104588:1 gene:Solyc01g090560.3 transcript:Solyc01g090560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHNEFSISSSTNSTTSSFTSCCSNNNCLCSTSTTTCSTSSTSSNNCLHESIAKTSSNDHYKCKSKKSAKKNQDGNEEEDNTKIRRKKQCVVDEKHPTYRGVRKRNWGKWVSEIRQPRKKSRIWLGTYPTAEMAARAHDVAALAIKGDSAYLNFPHLADQLPRPASASPKDIQAAAAKAAAASIFLEENSVESSQLTGLHSSHSSTNLASDNVQELLNSPSMDHDDPFFDLPDLIIDRLDQNDRYQYPVSTWQLAGADSGMFRLDEPFLWECY >Solyc11g005640.2.1 pep chromosome:SL3.0:11:483017:487292:-1 gene:Solyc11g005640.2 transcript:Solyc11g005640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYVKTLTGKTITLEVESSDTINNVKAKVQDKGGYSSEPVEIDVCWRMTTSKQRFKTRRSVSPPPPSSQKHLIFAGKQLEDGRNLVDYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVKSSDTTDCESKDTGQGKDSTRSTEAYLCWYRKTEECLTV >Solyc02g092517.1.1 pep chromosome:SL3.0:2:54225590:54226904:1 gene:Solyc02g092517.1 transcript:Solyc02g092517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRADGYSHKLIDAEIRGCNYVWSCIITFIMFSHVEELKETSLCSQNCISGNICVGTVFDVCNCTQHYCATSLDGCLANCIVDVNWKSKNSAHLVYLQKMISWTTNSGLQLDPVINQTACQVQDGKSLYLRIDDCCQNICTTGDDSLFVMSFTTSSLKEANALQRVLHSLKESLLRIALVYPSVSFKSVDIESEDDLLCTRASPSLSPLPL >Solyc08g081420.3.1 pep chromosome:SL3.0:8:64610808:64614583:-1 gene:Solyc08g081420.3 transcript:Solyc08g081420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKVTQKEKDSEKIIWDQMRSVSGSQISISGSHNRAFLKFMVWLFLFASTTYMVYTLKLVSYKSCNNNDVFSHNSLFIHSSEINSSKSIRPVIVKEETEEKTGLEHIVFGIAASAKLWDKRKDYIKLWWKPEEEMRGIVWLDKPVKTSKGDGESLPELRISGDTSRFAYKNRQGHRSAIRISRIVSETLRLGMENVRWFVMGDDDTVFVTDNLVRILNKYDHNQYYYIGSSSESHLQNIYFSYNMAYGGGGFAISYPLAKALEKMQDKCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLASHPIAPLVTLHHLDVVEPIFPNVTRVQALRRLTLPMKLDSAGLMQQSICYDKANSWTISVSWGFAVQIFRGVLSPREMEMPSRTFLNWYKRADYTAYAFNTRPVARNPCQKPFVFYLSSAKMDPSSNQTVSQYSRHRVPHPACKWKTTDPADVDRVQVYKKPDPHLWDRSPRRNCCRVLSSKGKNMVVDVGVCKEGELSEV >Solyc04g025284.1.1 pep chromosome:SL3.0:4:24428640:24429128:1 gene:Solyc04g025284.1 transcript:Solyc04g025284.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHEVSMDNCKGVSTLMTSTIVFDPSTDDHLVDGSLYRETDCRLLVYYDSDWPGDPHNHTWTTIYVIYLDSSPISWSSNKQRSVSCSSTKAEYRAVPATVSEIN >Solyc05g009160.3.1 pep chromosome:SL3.0:5:3288312:3295739:1 gene:Solyc05g009160.3 transcript:Solyc05g009160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSKLEGPSAPVVRRDPYEVLAVTRDSSDQEIKTAYRKLALKYHPDKNANNPEASEHFKEVAYSYSILSDPEKRRQYDMAGFEALEAEGMDMEIDLSNLGTVNTMFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPIGTSVSGKVEKQNAHFFGVTISDEQAEAGIVVRATSAAQSKFKLLYFEHDVSGGYGLALQEDSEKAGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKRLEGLQPCEVSQLNAGTHIFAVYGDNFFKPASYTIEALCAKTYEDTTHNLQDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVEELLKQRDTIHSSFTVTRTVATLSGSGSGHFSNGSSSKPSGDDSKPDSPGDDSSSDSKDKYAKRKWFNLNLKGSEKK >Solyc03g019810.3.1 pep chromosome:SL3.0:3:62817280:62825554:-1 gene:Solyc03g019810.3 transcript:Solyc03g019810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCLITASSIFYLQPGRSRYLQKCMRIQNCGRYLMKHVDITRQKIIPSARVDTVGLEVFVVSDLHTEYEENMAWLKGLPKKGKKKEVLLVAGDVAETYEKFVLTMSLLKASFEHVFFVPGNHDLWLRREKENYIDSLQKLDKMLDACHRLGVETNPSVIDGLAIIPLFSWYHESFDREKDIPGIHIPSLELACKDFRACKWPDGLTNGDNSLATHFDAMNDKNQDTVQEMLASCNQIISFSHFIPRVELCPEKRMLFYPNLPKMIGSDFLEARIRYIHGDRGSTNACHIFGHTHFCWDILLDGIRYVQAPLAYPRERKRRMNGGEDWLPFCIYSNGELTENMSPCYWSDYYASNPRTPDVTELAPWVARFYRKL >Solyc12g042840.2.1 pep chromosome:SL3.0:12:59202523:59204319:1 gene:Solyc12g042840.2 transcript:Solyc12g042840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGNIGNPLGLTSLNHISRVCTSLEESIDFYKNILGFVPVRRPTSFNFNGAWLFGHGIGIHLLQLEDSEKLPKKTEINPKDNHMSFQCESIDGVEKKLIEMGIEYIKQLVEEGGIHVDQLFFHDPDGFMVEICNCDKLPIIPLVGDMVRSCSTHNLHTITSQMEYVPLNYIP >Solyc02g079200.1.1.1 pep chromosome:SL3.0:2:44371212:44372942:1 gene:Solyc02g079200.1 transcript:Solyc02g079200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIMSTLPENAENPVGSSAGKSRIRRVNEFISSLISLSYSIKVFPVKWQSIRSKLEELLSSLSAIENCDSTENYPPLCSSLQAITATLKNCHELSRHCVEFSYSGKLLMQSDLDIVSTKLDNHIKSISEIYSLGLLTQSSAIIVPKPNLGASRDDIKFYIRDLLSRVKIGSSEMKKQGLIALNEIIQEDDRYVKVAIEIDNLVSVLVSFLDLQEDNLQEEAAKALSVIAGFQSFRSCLISAGIISPLIRVLECGIGLSKEFATRCLQKLTENSDNAWSISAHGGVTVLLKICIEGDSLVSSVCGVLKNLVGVEEIKRFMIEEGAVPVFIKLARCKDEVTQISSIDFLQTMASGDESTRQMIMKEGGIRALSRVLDPKSSSSSKAREMSLRGIVNLCFTSVNSVNNLLNYGFMDHILYFLRHGDGSLQELALKAAFWLCGTSDEAKKLMGDAGFMPELVKFLDSKSYEVREMAAETLSSMVIVPRNQKRFGQNDQNVGLLLQMLDPEEANFGNKKLLLSILMSLTSCNSARKKIANSGYLINIEKLAEAEVSDAKKIVRKLSSNRFRSILSGIWHS >Solyc01g100080.3.1 pep chromosome:SL3.0:1:90044187:90048479:1 gene:Solyc01g100080.3 transcript:Solyc01g100080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMAAKLVKFLRCSPTARPVMGVQGTSRLCYSTGTYHSEDDEPASNNEAVEIDDSDFLPEKPNLQLQGVDPRKGWNFRGVHKAIICGKVGQSPVQKILRNGRSVTIFTVGTGGMFDQRIPQDKDLPKPGQWHRIAVHNEMLGQYSVQQLTKNSSVYIEGDIETRVYNDSLSGEIKSIPEICVRRDGRIRLIKGGASISSISIDELREGLL >Solyc12g015890.1.1 pep chromosome:SL3.0:12:5881522:5881940:1 gene:Solyc12g015890.1 transcript:Solyc12g015890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKLAKYESIVDAAVILHHGPIAVNDINVKHDHVFPPDQAKLLGNALSAKSEIESFVKIFPGVKHGWAVR >Solyc02g076890.1.1.1 pep chromosome:SL3.0:2:42573802:42574212:1 gene:Solyc02g076890.1 transcript:Solyc02g076890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAIVKKGHEEGFKMMISLLEEFELPLGIFPVVDVIEVGFVKNTGYMWIKQAKKLVHKFKMLPAPASYDTEISGYIEKKRIKKLKGMKAKGPMMSPSINEMIVDDPPTGNIQLKCLAGISITILLEHFAAGQ >Solyc05g051370.3.1 pep chromosome:SL3.0:5:62480817:62489912:-1 gene:Solyc05g051370.3 transcript:Solyc05g051370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLGDDGRGYELALKLESHGVWRSWLGDSLYNSFIHLLSSPSTWDSFMRTDDAKTRVQIHLQLRVRALLFDKASVSLFLRSDKPPSSIHTASVIPKFNPNYLQLHGDDVYFTLDNCSQDGAQQREGVSGTSTVLSKVQSKSSFGVGSRYSESEVDTMSQRLKLDDLPETWYNQFFEKYKASKSYRLQFGDSETEKRTPEQMSFYRKVVENHKRRRVAFKVDQNIGFGMLDDGSNLQNSSSDNDNPFFPETMSAMNCVPDSAVLRTSQLKENQKVEFNGVLDTLPQIMTKSPIMIERLGIRPEYLSMDQGSNQNLGKNGAERSKKCLGEMQALKLSQKVMARLLGNVGFEGSSEVPLDVLTKFMSCHIRKLGSTLKLLSDSYRKQCTAMELLKMFLHTDGHSNLAMLSALVKDNTRTVVQQTQQQVHGFQQQLQPQHAAAIRQSQQILRMHPQMQQLINSQNLTPQQQQQLISSQNLTPQQQQQLISSQNLTPQQQQMINSHSLTPQQQQQLINSQSLTPQQQQQQLINSQNLTPQQQQQLINSQNLTQQQQQLINSQNLTPQQQQHLERLRRRQQLTPRPGMSMNMNIDKDRPLVEVKLEHPTDFPMDNNAFNAMTARQPQMQQFRQQQIAAMSSPYAQNTNQFRPMSSLQIPQVQSPNMGMARAPPVKVEGFQELMGGDATMKHDSEENKLMSPQK >Solyc10g005550.2.1 pep chromosome:SL3.0:10:451409:452043:-1 gene:Solyc10g005550.2 transcript:Solyc10g005550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGRTACCDKSKVKKGPWTPSEDLKLISFIQKHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFTPQEEDTIINLHRAFGNRWSKIASHLPGRTDNEIKNVWNTHLKKRLVVMKKEECKSSSSSTST >Solyc01g020403.1.1 pep chromosome:SL3.0:1:30324463:30324791:1 gene:Solyc01g020403.1 transcript:Solyc01g020403.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPFWKKHSEEGVTEDQLKNFDQDFLKMSNLELLGVFLAARYLDDKQLKEEIREVNGMVNDYTPKEEDETRREYVWDF >Solyc06g024380.1.1 pep chromosome:SL3.0:6:11249034:11249552:1 gene:Solyc06g024380.1 transcript:Solyc06g024380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSRNPRNGGECGGDESERQRAESQWIVAARPLCHLQYPVAHLSHLQWILPAARLKLYFKAVTATLLSRRLSQRHVPLGAIGPYCGSARGRQAHASLLAQILT >Solyc09g015380.1.1.1 pep chromosome:SL3.0:9:8710966:8711571:-1 gene:Solyc09g015380.1 transcript:Solyc09g015380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSSLLLQRITTTTTSTSSKQRTPIPCTTQVPDSITSHHTHSVSPNQCCSAVIQRISAPISTVWSVLRRFDNPQAYKHFVKSCHVIGGDGKVGTVREVRVISGLPAANSMERLEILDEERHVISFSVVGGDHRLVNYRSVTTLHSDQSSGTTIVVESYVVDIPHGNTTEETCVFVDTIVKCNLQSLAQIVENSNTTNLK >Solyc04g017757.1.1 pep chromosome:SL3.0:4:8059494:8059934:-1 gene:Solyc04g017757.1 transcript:Solyc04g017757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYLDLESDETNNDFDLYFNRAQEKIRREEGELQPRILNWWKNRENQFPTLSRIVRDTWFQTSPNELLTERAKQGDFSGNSGSVKSATGKKIR >Solyc06g083800.3.1 pep chromosome:SL3.0:6:49159435:49161412:-1 gene:Solyc06g083800.3 transcript:Solyc06g083800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVKGLLKGLRYISQIFDEEKEKDIQIGFPTDVKHVAHIGWDGPSTDNNPSWMKDFNGPGQFQSAPLVPPAADNPEIKWVSEDSNRRSRNADSSAAGDQPEQTKSTRRHSSSKENGGTDSPKKSRSSRRHHRKDSADGSKHGRIPLDSAAGSESPARDLPDIPKKSRRKKSKEADPSGTIPGSRSSKSKGTSSSTAAAPPDNDHLTLTDDLYVG >Solyc02g094140.3.1 pep chromosome:SL3.0:2:55405417:55412454:1 gene:Solyc02g094140.3 transcript:Solyc02g094140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISSTRPLVFYKISGRGLIRFKLKFRTLVIANLTRWAGALLELSQFQSVSESKKMISDAISKLEEALEVNPQKHDAIWCLGNAYTSHGFLNPDEDEAKIFFDKAAQCFQQAVDADPENELYQKSFEVSSKTSELHAQIHKQGPLQQAMGPGPSTTTSSTKGAKKKSSDLKYDVFGWVILAVGLVAWIGFAKSNMPPPAHPLPR >Solyc01g060400.1.1 pep chromosome:SL3.0:1:70809472:70809838:-1 gene:Solyc01g060400.1 transcript:Solyc01g060400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQKMKETAEAYLGKSIKHAIITVLAYFNDAQRQATKNAGKIAGLDVVRIINEPTAAAIAYGLDKKGKEQNILSPDPTL >Solyc11g071910.2.1 pep chromosome:SL3.0:11:55523742:55533636:1 gene:Solyc11g071910.2 transcript:Solyc11g071910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEKSSLGRALVKHHNQMIQQSKDKGRVYRNHNKKVLESVTEVTDLEAVIEQTDEAHRLYSDLNPPVNLLVNLDSGSSSGDMRPEERLKQQKKEEALHASSLRVPRRPPWNTKMSVEELDINERQAFLAWRRNLARLEENEKLVLTPFEKNLDIWRQLWRVVERSDLLVMVVDARDPLFYRCPDLEAYALEVDQHKKTMLLVNKADLLPISIRKKWAEYFHQQGILFLFWSAKAASAALSASEVPMSSQEAGDADTKILGREELLARLQSAAEEIVLTKNRLASDADENLAEDVQSRSVMVGFVGYPNVGKSSTINALVGEKRAGVTSTPGKTKHFQTLVISPKLTLCDCPGLVFPSFTSSRYEMIACGVLPIDRITEHREAVQVVANRVPRKTIEDVYNISLPKPKPYEPQSRPPTAAELLRAYCASRGYVASSGLPDETRATRQMLKDYVDGKLPHFEMPPGESDDEASEEEDEIVTEDADNPIMSGSDEDDDDDEDEDEDEDDEDINAHLDHVLSDLSTFDMANGLASNQTARKKKPITAPHKQHKKTQRKKDRSWRVQNGEGDGMPVVRVFRKAANTGPVNIR >Solyc02g088830.3.1 pep chromosome:SL3.0:2:51427480:51428202:1 gene:Solyc02g088830.3 transcript:Solyc02g088830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLNSIVLSISSLLLQIIVSSMEGIAELPKSAINEETVASKAPMNELAEKIVVAEEPKTPPLNRPMIVLPNSPINTPDRLKVPKPFKYPERYTSPTDQMMSPVSKRLLIGRSRKSSTLLPPSKNRQGLQLQESL >Solyc06g050430.3.1 pep chromosome:SL3.0:6:33113119:33114538:-1 gene:Solyc06g050430.3 transcript:Solyc06g050430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERYEEIGKKIKREADDYSQMGRRYMLVPPGNTTLNTVTPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPESQRADTANSLVYEANVRLRDPVYGCMGAISSLQQQVQALQAELNVIRAEIIKYNTTTLIPSLLSSGAVSIATVLPPPPQPQPPVTILPSTISSTHDATMYTQPSTTTTEFSNISSENNISYFG >Solyc09g092070.3.1 pep chromosome:SL3.0:9:71699501:71707447:1 gene:Solyc09g092070.3 transcript:Solyc09g092070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKRVPDWLNSSLWSSPGPQPSVQSPSSSPTPLNEDDNRSTRSATKSSTVTVNAVKAGGSANDGELTVERPGSMTAAPAVVRAETRHGPPRAKAEIRDPLSSSSNYHSDDENGSSASSTMTSASAAAAAAISSAEDISRQAQLCQELSRKIINMGEVRRLASQGIPDGAGIRATVWKLLLGYLPTEKALWPTELVKKRSQYKHFKEELLVNPSEITRKLEKSVILDDEGTVIEDKGALPRSEIPQGEHPLSLGKNSIWNQFFQDTEIIEQIDRDVKRTHPDLHFFSGDTPFAKSNQDSLRNILIIFAKLNPGIRYVQGMNEVLAPLFYVFRNDPNEENAASAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITKLSQFLKEHDEELWRHLEMTTKVNPQFYAFRWITLLLTQEFNFPDSLLIWDTLLSDPEGPLETLLRVCCAMLIIVRRRLLAGDFTSNLKLLQNYPSTNISHLLYVANKLRVKSV >Solyc02g092070.3.1 pep chromosome:SL3.0:2:53914615:53921491:1 gene:Solyc02g092070.3 transcript:Solyc02g092070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGGLMTMETAQQSETSNGSGQLKQQRSDDNIWRPSKVPKPADDLSAYCKQQGPTSTLFMRSDSPNDGRGHSTMLSFSSNKSEEIPFLSTNNVSAQDSSTNLPFPLFDSGSYNTRAASAYASGGSNENTPGSFSRFRGPFTPSQWMELEHQALIYKHFVANIPVPHHLLIPIKKSVNPYAFSGLSAVPYASSNWGWGTFHLGFAGNTDPEPGRCRRTDGKKWRCSREAVPDQKYCERHINRGRHRSRKHVEVQNGHAVSGSTTSKVASVAPSSSATVISSSGTLLNSGGASNSLGTVQQQLNSLQPSAANPNTEQLVNRMLGHRGASVISPTICVKPKDSAISVQKQHNGVELSSLTDFGLVSSDSLLNPSQRNSFVNPKNPIAFLNFNDQERSEQHPVHHFIDGWAKEQSSRASTCWPDQLKDWTQLSMSIPMTASDFASSSSSPRQEKLTLSPLRVSCNVDPIQMGLGMTSSCGEPMQKTASWVPVCWEHSLGGPLGEVLNSTAGVLQKTTFVSLSNSSSGSSPRGDSKKVHDGIGMCDEVIGLTVASSVCIPSMEVSCLIKNKH >Solyc03g115330.2.1 pep chromosome:SL3.0:3:66563586:66566532:1 gene:Solyc03g115330.2 transcript:Solyc03g115330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLMKSWQRLCRLIMISRPFGKPLRDKAMAVAGRVAIMEEGTTLKASCPVCLQVLSTPLDSDLKMTLAVHMSLWHADDVQLHWELMQNKGRSIVHMPSFCFGAGMAAGIGALMVILAKSHAQAFGNKRPALATATSTSSSTDCLI >Solyc10g054530.2.1 pep chromosome:SL3.0:10:55516866:55517968:-1 gene:Solyc10g054530.2 transcript:Solyc10g054530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFIYWFYILLKICPSVGVGGHFSGGGIGTMMRKYDLAADNIIDANLVDANGTILNRKTMGEDVFWAIRGGGGASFGVISAWKVRLVRVPSLVTVFTIHKRLDQEGVELVHNWQYIANKLPEGLFIRVLIQQIDGIRSQGNVKLSEVLFNSLFFGLKFDLISLMNANFPELGLKMEDCTEMSWIKSVLYFTGYQKGEPLEVLLDRKAQYKSNFKVNSDFVVESMLESVFQGSQRVKWGSNKGSKQYLYGIQNLYKYMEPYVSNSPRAAYLNYRDLDLGINQQGNDSSYRQAIMTWGTKYFKSNFQRLAKAKHQIDPNNFFTNELSIPPLCC >Solyc04g025530.3.1 pep chromosome:SL3.0:4:22280472:22285089:1 gene:Solyc04g025530.3 transcript:Solyc04g025530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:K4BQZ8] MVLSKTSSESDVSVHSTFASRYVRTSLPRFEMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMSSINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLEEEEAAIGVGTVGSSEAIMLAGLAFKRNWQNKRKAEGKPYDKPNIVTGANVQVCWEKFANYFEVELKEVKLREGYYVMDPMKAVEMVDDNTICVAAILGSTLNGEFEDVKLLNDLLIQKNKQTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKQDLPEQLIFHINYLGADQLTFTLNFSKGSSQIIAQYYQLIRLGYEGYRNVMENCRENAIVLREGLEKTGRFNIVSKDEGVPLVAFSLKDNSLHNEFEVSETLRRFGWIVPAYTMPADAQHITVLRVVIREDFSRTLAERLVFDIVKVLHELDTLPARLSAKMEENLVIENNNGKKTEIEVQREVTDFWKKFVLARKAAVC >Solyc01g079370.3.1 pep chromosome:SL3.0:1:78315639:78319383:1 gene:Solyc01g079370.3 transcript:Solyc01g079370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFANLFREPFQFFTTILLSLLFPLSFLIISRLSVAHYLIDLVGYSKTEISNNCDLLIKFFLQVNPIFLHVLVSIISVAALSHTLTGGTSCFIKRTPEPVSRLRLYTAWFFVCILQICVGLGIEGSIAAGVDAYEIGYERGFLTRAIFFLGLNETMFFWSNIFVKPVVDDTVFGILQENRWIEKAALAMSFGGLWWWRLRDEVEFLVVVVEKKRDLLISIGLADFVGWWLYYLTVTIGMIKIVKTLVWLVYVMFGRRVVDFDNTDGDSRNNDEKDDQFHLKGYERSELVVNGIEEGCVDIDSLYSICGHNNEENTSDEQVRTNLLEDQQQSLSDWTQNHFLTNTVMPLQPVQIQSTIEAPTNDDFPPQVPAPANSSNEKPKPFSLASLELLSNYGRLSKKSSEENLCTNALSCEAHLENSHKLPMKEILRAAGERYIQYSTQRLDGFSMFIHPYGSALSGLCMEETRDVELVHLLLAAAEEVNNQQFHLASKLISRCMWVASDSGNPVQRLSYYFAKALEERIDRSTGRYTCTDEDHHLKYIKIMSLGTNSAFLTCHQLIPFSQVMQFAGVQTIVENVRSAKKIHLIDFNIRSGIQWIVLIQALAEKGDSPIELLKITAVGSIEKENFEATSNTLHSFTKSLGLPFSFDIVFVSDMKDFKKESVNIKTDETVAVYCNSILRTMLSKPDCLDNLMKVVRSIGPTIIVVGEVEANHNSPSFLNRFIETLFFYSAFFDCFEDCMDRCSPCRTTIEGVYFGEGIRNIVAAEAEDRFTRNVKLEVWRAFFARFGMVEEELSESAWYQAHLILKQFAQGSSCDLQKDGKGLIIGWKGTPIHSLSIWKFL >Solyc08g082730.3.1 pep chromosome:SL3.0:8:65545968:65550955:1 gene:Solyc08g082730.3 transcript:Solyc08g082730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILILKHSISWTKRERYKFAGDIEIQRLVPPAAASQHRNMAMQTGVATSKVLILVGAGLTGSVILKSGRLSDLISQLQEVINGVNAAETSPGKYDTALLAAQIRQLAQEIREMSISNPITIFNKDSSSSGSYGSYILPAAAVGAMGYCYMKWKGWSFSDVMYVTKHNMANAVASVSKQLDNVSDALASTRRHLTKRLENLDWKLDEQIEISNLITNDVRDVKANLNQIGFDINLIHEMVSGLEGKIELLESNQDVTNSGLWYLCQAAGGIKDVQNTKVIQGIEAKLIEHSKATPKESSVKGLEFIVESNESSVPPKSFTGTEGKDITDYFAKGPVASKMRIHRSYPVGLSLTRSVLGPGF >Solyc01g008870.2.1 pep chromosome:SL3.0:1:2820435:2828724:-1 gene:Solyc01g008870.2 transcript:Solyc01g008870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNSGSSRSVKREQFHSHKQSFHNSFRANDSFVPSNLKSFTFNELKNATRNFRADSLLGEGGFGYVFKGWIDETTFAPCKPGSGMVVAVKKLKPESFQGHREWLAEVNYLGLLHHENLVRLIGYCAEFDNRLLVYEVMSKGSLENHLFKKGVQTIPWATRMCIAVDVARGLSFLHGLEANVIYRDLKASNILLDSDFNAKLSDFGLARDGPSGDRTHVSTRIVGTTGYAAPEYLASGHLTPKNDVYSFGVVLLELLSGKRATSAENAGGADEKLVEWAKPFLCDSRRVLRIMDTRLGGQYSKKGAQTAASLVLRCLNVDPRLRPTMDEVLATLELVQAPKDSFTYDELKNATKNFQADSLLDEGRFGYVFKGWIDETTFAPCKPGSGMVVAVKKLKAGRFQGHREWLAEVNYLGLLYHENLVNLIGYCAELDNRLLVYEVMSKGSLENHLFKKGDKVIPWATRMCIAVEVARALSFLHGLDASVVYRDLKASNILLDSVSSPKLIRIRQDFSAKLSAFGLARDGPRGDRTRFPTRVCCTRGYAAPEYLASGNLTPQIDVYSFGVVLLELLSGRRATSAENAGGADEKLVEWAKPFLCDSRRVLRIMDIRLGGKYSKKGAQTAASLVLRCVDVDPRLRPTMDEVLATLELVKAPKVVHNRLNKADDKLVSREDKGARSISRGRNQDFH >Solyc09g018640.1.1 pep chromosome:SL3.0:9:16032314:16033259:1 gene:Solyc09g018640.1 transcript:Solyc09g018640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVTIVKKVLCFEHIQIQKRDSKSCKPMWY >Solyc12g006590.2.1 pep chromosome:SL3.0:12:1077907:1080887:1 gene:Solyc12g006590.2 transcript:Solyc12g006590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDGDWMCAACQHLNFKKRDACQRCSCPKYASPADVAMYGLNKTEVLAGDWYCSGMNCGSHNYASRTSCYRCGALKSDYYGIGVGMMAPTGYGYDSSALPGWKSGDWICSRLGCGMHNYASRAECYKCKTPRDFGEPSSF >Solyc01g106300.3.1 pep chromosome:SL3.0:1:94124882:94125603:-1 gene:Solyc01g106300.3 transcript:Solyc01g106300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVPKQTSYPYPAPGYYEGPPVMAPPIYTYTAAPPRRQSGSLEACLATLCCCCLLDSCCWDPFLCI >Solyc12g017510.2.1 pep chromosome:SL3.0:12:6776364:6804790:1 gene:Solyc12g017510.2 transcript:Solyc12g017510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAVINTFPSFHIPPSYITMKNYLLFYPVKTAFLTSNSRIKLSSKFHICCLDPSRSHNSIGTKTLVENEVEEEGEEEEEGCIASKNQVGEDPSDADWEAKFLGKMESSGDVLPEKKKKKVNSRLLKNTETMGWCMNARKVALKSIEARGLTPVINSMVGGNKKIKKKKKKKIKSKVDKKTLDEEIDGELEFDLDDEDFDLDVEVPLGNRNDLKMTVSMFADGMFEEQKAKNMETFVQRLSEFAGPSNRKKEISLNKAIVEALTAEEVLEVTSETVSAVVKGLTPSPLSPLNIATSLHRIAKNMEKVSMTRSRRLAFARQREMCMLVSIAMTALPECSGQGVSNIAWALSKIGGELLYLTEMDRVAEVASAMVEEFNSQNVANIAGAFASMQHSAPELFSGLARRASDIIHTFQPQEIAQVLWAFASLYEQPGPMLDALDNVFSDGNQFKCRLKDDKLLHSKERAPDGTADVDSGAINSPVLSFNRDQLGNISWSYAVLGQMNRVFFAKVWNALSYFEEQRISEQYREDIMFASQVHLVNQCLKLEYSHLDLSLKGELEEKISSAGRTKRFNQKVTSSFQKEIARLLVSTGLDWVREHAVDGYTLDAAVIDKRVALEIDGTTHFSRNTGSPLGHTMLKRRFITAAGWKLVSVPHQEWEELKGGFEQLEYLRGIIKDHFDEGSFNVEMEDGH >Solyc06g060300.1.1.1 pep chromosome:SL3.0:6:38399534:38399851:1 gene:Solyc06g060300.1 transcript:Solyc06g060300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFFVGGLEQQARQVLKSGAGRCIACGSRADLVDYEKVLKLFFVPVWRWPGKEPVMYCNDCKLFFPQSLTPPPPSSTADVLRCQFCRREVDADFRFCPFCGNAL >Solyc02g055443.1.1 pep chromosome:SL3.0:2:2753440:2754691:-1 gene:Solyc02g055443.1 transcript:Solyc02g055443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDVPSNAIQTLLHEFQFLFLEPTSLPPFRAHSHSIPLLPNSKPPNIEPYRYPYGQYIEIENQVAALLKYGFHRPRSSPYASLKKKSAVLEWPVPKNVKELRVFLGLTGYYRRFVKNYGIITCPLTELVKKNIFTWHATKEHVFKNLKKIFTLVPVPRLLDFTQQFTVHCDTSSDDVETILLQHYHQVAYLGKGFSFFSCIKSTYACELPALVLALQKWKNYLLGRRFAVTTDHCSLKYLLHKR >Solyc01g066390.3.1.1 pep chromosome:SL3.0:1:74057738:74059396:-1 gene:Solyc01g066390.3 transcript:Solyc01g066390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKVVIGGIASVLVVACVVAACVTLTKHDSDTSSNGVATSTKSVESMCQPTPYKQTCEKTLSAAKNVSDPKDYIKVAFEATVADIKNAIKNTEPVKKAASDPYTKDALLACEEVFDLAVEELRGSIARIENFDFSKMKDIVDDLKSWLSAVVAYEETCLDGFTKSEYSATRDEMMKLMSTTRELSSNALSMVNNFGEMITQNTGLTRKLLSNSDSFVEASNRKLLQISASKPNAVVSTSGGGQYKTIQEALQAVPRSSPTPFVILIKAGTYKEHIEIEKNMLNVVLIGEGPTKTIITGDQAVVPNRISTWHTATLGVCGDGFVMKDMGIENTAGPTKEQAVALRINADKAVLYNCNIDGYQDTLYAHSGRQFYRDCTITGTIDFLFGDASAVFQNCKLIVRKPGPNQACMITAQGRMRKDSLGGFVIQNCDIKADKALTSANPPVKVYLGRPWKEFSKTIIMQSNIDGFVDPSGWSPWNTTDFGIHTCFYAEYQNRGPGAALDKRVSTWRGYQKDISGDVINSFTAGKFINTQQPWLPMFDIPYEAGMMKV >Solyc01g017280.1.1 pep chromosome:SL3.0:1:23819801:23820304:-1 gene:Solyc01g017280.1 transcript:Solyc01g017280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKVSWSILDQIFLYEKYESMFEEGEGDGSLDSSFRGKRIIYDEEVEHQENDSGFLQSGTMQYKIRDRSQGFFQINQLIWDPVDPLFFLLKDQPPCSVFSLRELFADEEILKGLLTSQTDHLISLYKR >Solyc11g068540.2.1 pep chromosome:SL3.0:11:53524302:53531130:-1 gene:Solyc11g068540.2 transcript:Solyc11g068540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CPA description:N-carbamoylputrescine amidase [Source:UniProtKB/Swiss-Prot;Acc:Q9XGI9] MAEKNRLVTVAALQFACTDDVSTNVATAERLVRAAHQKGANIILIQELFEGYYFCQAQKEEFFHRAKPYPGHPTIVRMQNLAKELGVVIPVSFFEEANNAHYNSVAIIDADGTDLGLYRKSHIPDGPGYQEKYYFNPGDTGFKVFQTKYAKIGVAICWDQWFPEAARAMALQGAEVLFYPTAIGSEPQDDGLDSRDHWRRVMQGHAGANVVPLVASNRIGKEIIETEHGNSEITFYGYSFIAGPTGELVAAAGDKEEAVLVAQFDLDKIKSKRHGWGVYRDRRPDLYKVLLTLDGSNPVK >Solyc08g006240.2.1 pep chromosome:SL3.0:8:916359:925868:1 gene:Solyc08g006240.2 transcript:Solyc08g006240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHIKHAILKSMGKKWLVKVNGWRLEEGWEKFAEEHDLHLGDFLVFKHEGDMEFEVSIFDSSHCDREYTEYLLEGVDNAEETFKKVKFKEAATHNCRGQSHYQCIVRPNYISNGYLRLPKHFAIANGLTNKKCDLIVRDERQRSWNLRLVAYDSCVRVYGEWSNFCIVNNLKKGDYVTFEIVPYGEKICTIKHLRHKKSDVTTPMSQTLASTSADTNPHFISTIKPYAFTKALLVRYLPMDFVKSNGLMSRSEMILIDEKQRSWSVWLGQTGHQFGIKRGWTQFRNANGIQLGDTYKFELTNNGTIPIAHVSISFISLVHDYPKIPIGFLKYLKGHDQHEHAILRSAGKTWLVKVNGWRLEEGWKKFANENDLHLGDMLVFRHEGDMEFEVSIFDSSHCDREYAEYLQQEAGFNNVEETCKKFEFKGKSNLCIMSSGEALPYAEAATYNPCSRSHFECIVKPYCITHSYLRLPKHFAMENDIFNKKCDVIIRDERQRSWKLRLATHDCRVHLLGGWREFRVANDLNVGDYMMFEVIANGEKPIWKFRGDKPNANIVSTRKAFPKEEFATCSSFTQSHVECIVRPYCLKRNYYRLPRGFARANGLINTKCGLVIRDERQRSWNLRIDTYGYGVYIAKGWSKFRVENDLKEGDRMMFEVVSNGEKPIWKFYGKFSHLSNLFSVI >Solyc11g018743.1.1 pep chromosome:SL3.0:11:9331575:9339507:1 gene:Solyc11g018743.1 transcript:Solyc11g018743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIACKAFGVNPVVFELSTRINKVMDDLLIAQHAHKGRGGILWFQNLTEFPNGVLGPVFPLLIAGLHYINVQVCAKDNTKPQPDPPENTPPRSITRRLEVEIFERDLFTRRRVSAAPGSNDTQAVSSKIPAKSKFSSHYG >Solyc07g063400.3.1 pep chromosome:SL3.0:7:65999933:66004330:1 gene:Solyc07g063400.3 transcript:Solyc07g063400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQITQLESAAIFKKTNFPVTLKFDNVVYKIKAKTEGMFKSSSKSQEEKIILKGVTGIVFPGEMLAMLGPSGSGKTTLLTGLGGRLSGRLEGTITYNGMPFKNAMKRYTGFVTQDDVLYPHLTVTETLVYTALLRLPKTLSHMDKVAHAEAVISQLGLTKCKDSIIGGPLLRGISGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQKIVSTLWELVNGGRTIVMTIHQPSSRLFYMFHKVLLLSEGNPLYFGRGEDAMSYFSSIGFSPSVAMNPSDFLLDLANGVVDDPQEDQASIKQTLVTAFKTNLLDVVKEELQRYDDSEDHQKIQKGELDRWSNTWWNEAVVLFRRGMKERKHDAFSFLKVAQVLIVSILCAMLWWRSTDIQDQTGLMFFITSFWGFYPLFQAIFTFPPERMMLEKERASGTYRLSSYFMSRIVGDLPMELVLPTIFVIIAYWTTGLKQSPVNFFSTLFTVLYGVLVAQGLGLAIGAMIMDLKSATVLASVTMLSFTLVSGFFVHHVPSFISWLKYISLTQYTFKLLVGSQYEEGEMYHCGVVDATCYVHDFPAIKSVGLGGNAISVVALTIMLVGYRVLAYLVLTRIGVTKK >Solyc06g074820.3.1 pep chromosome:SL3.0:6:46499125:46501420:1 gene:Solyc06g074820.3 transcript:Solyc06g074820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to aquaporin [Source:UniProtKB/TrEMBL;Acc:Q53U42] MPINQITIGSHEELRHPGALKAALAEFISTLIFVFAGQGSGMAFNKLTDGVATPAGLISASIAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLFRGILYIIAQLLGSTAACALLEFATGGMSTGSFALSAGVSVWNAFVFEIVMTFGLVYTVYATAVDPKKGDLGVIAPIAIGFIVGANILAGGAFTGASMNPAVSFGPSLVSWTWTHQWVYWAGPLIGGGLAGFIYEFIFISHTHEQIPSGDF >Solyc11g012050.1.1 pep chromosome:SL3.0:11:4992669:4996700:1 gene:Solyc11g012050.1 transcript:Solyc11g012050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCFNPFRLRRSKSKTLELPSSSSRTQWSSCDMETMDKKRFDSLESWSMILESDNVESWEVCKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRAKLEQQFKSEVRLLSRLYHLNIVQFIAACKKPPVYCIIMEYMSQGTLRMYLNKKEPYSLSIETILRLALDISRGMAYLHSQGVIHRDLKSSNLLLNDEMRVKVADFGTSCLETQCPETKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAQLIKRCWAANPSKRLDFTDIVSALEKYDECIKEGLPLTLHSRLVSRNAILERLKGCVSLSSSVIIHV >Solyc04g005560.3.1 pep chromosome:SL3.0:4:369690:382083:1 gene:Solyc04g005560.3 transcript:Solyc04g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRIQSSIKAIEEEPEDCETTSSNKTAIACMVNSEVSAVLAVMRRNVRWGGRYVSGDDQLEHSLIQSLKTLRKQIFSWQHQWQTINPALYLQPFLDVIRSDETGAPITGVALSSVFKILTLDFLDHNTVNVENAMHSVVDAVTSCRFEVTDPASEEVVLMKILQVLLTCMRSKASVTLSNQHVCTIVNTCFRVVHQAGSKSELLQQTARHTMHELVRCIFSHLPEVDDMQQSIVRRGSSTKNKVLDVDNEYSFNKPENGTGTSEYDSQPLSGTFTSSASTGLLNSVIDEGMVMNDNGKDSVPNDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHIGMGPRSNTTAFDEDVPLFALCLINSVIELGGPAIHSHPRLLSLVQDELFQNLMIFGLSTSPVILSTVCSIVLNLYQHLRTELKLQLEAFLSCVVLRLAQSRYGASYQQQEVAMEALVDFCRQKSFMVEMYANLDCDITCTNVFEELANLLSKSAFPVNSPLSAMNILALDGLIAVIQGMAERISNGCRSQQNLINLEDYAPFWMVECESYSDPDHWVPFVRRRKHIKRRLMIGADHFNRDPKKGLEFLQGTYLLPEKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCIQVLHEFAGTFDFEDMNLDIALRLFLETFRLPGESQKIARVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNIQVKKKMTEEDFIRNNRNINGGNDLPREYLSELYHSICNNEIRTTPEQSAGFAEMNPSRWIDLMHKSKKTPPYIVCDSRAYLDHDMFATMSGPTIAAISVVFDHAEHEDVYKACIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSLVEEPVLAFGDDAKARMSTVTVFTIANEYGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEAPSDTGHGKSLPNSLSSAHLQSIGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCRIDSIFTESKFLLADSLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIRLLWQGVYEHIASIVHSTVMPCALIEKAIFGLLRICLRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVSRLVKANATHIRSQMGWRTITLLLSITARHPEASEAGFNALVFIMSDGAHLSPANYVLCIDAARNFAESRVGPADRPARAVDLMAGSVASLACWSKDTREALAETEAVKLSQDIGDMWLRLVQGLRKVSLDQREVRNHALLSLQMCLKGDHEIYLPNSVWLQFFDMVIFTMLDDLIELASQKDYRNMEETLVLALKLLAKVFHQLLHELSQLTTFCKLWLGVLNRMEKCMKVKVRGKKSEKLQELVLELLKNTLTVMKSKGVLVKRSALGGDSLWELTWLHVNNIVPSLQSEVFPDNDSENVQPDPVFSETNPNE >Solyc05g007960.3.1 pep chromosome:SL3.0:5:2377377:2388664:-1 gene:Solyc05g007960.3 transcript:Solyc05g007960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYNRLPSSGHSSPSTPSSPRSRSPRLRSSSNSGGGGGGGGGGGGGRVKPSARFTSGQQLPRTLANRISGAILSVLVRRQGIFLFAPLLYIAGILFYMGTVPFDVVPIIRHRPAPGSVYRSPQLYDKLRPEMDSDNSTADAISTIWKNSYRGGEWRPCINKSSGEIPESNGYLYIEANGGLNQQRTSICNAVAVAGFLNATLVIPHFHFHSIWRDPSKFGEIYDEDFFVKTLAYDVRVVNKIPDYIMQRFDYNMSNVYNFKIKAWSSISYYRDTVHPKLLEEKLIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILGLGETLVSRMKERSVNNSGKYISVHLRFEEDMVAFSCCIYDGGEEEKRDMDAARERGWKGKFTKPGRVIRPGAIRINGKCPLTPLEVGLMLRGMGFDKFTSIYLASGKIYDSERHMKPLLEMFPLLQTKEMLASPEELGPFQNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRYLYGGHSRTIRPDKRKLALLYDNPNIGWKSFKRQMHSIRAHSDSKGIEIKRPNDSIYTFPCPDCMCRSNRTEDSKSSSVT >Solyc09g072950.1.1.1 pep chromosome:SL3.0:9:66053147:66053629:-1 gene:Solyc09g072950.1 transcript:Solyc09g072950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSARADLINDVCSKTQKPATCLSALKGDSRSRGANLEGLATISIDISLKNMQSTHDLVDTLLKQATDPKLKTRYSSCLENYNDGIDDLRGLPGFLKSKDYAGLNIHASAAFDDSSTCDDNFSDPPAEPPQLKAASDKLQGLIDIILVISNLLKGNNTI >Solyc05g011970.3.1 pep chromosome:SL3.0:5:5179991:5182523:1 gene:Solyc05g011970.3 transcript:Solyc05g011970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKLILVFFFSTLCFYLLWTLLKFVYSVWWMPLQTQNKMNSQGIKGPSYSFPHGNTKDISLMRSQTMDKPMIDISHDIFSRIQPHVHTWTRMYGRNFLTWHGSKPYLFVTEPELIKEVLSNKEDIYPKMDMEGYAKKLLGEALITNEGEKWAKVRKLANHTFHAESLKRMVPEMSESVAEMLERWKEHEGKEFDVFKDFGLLTTEVISRTAFGSSYMEGKHIFEMVAKLTAITVKNLYTVKFPGISWLIRTDDEIEAEKLERGIKNSILELVSKREKGKDGMYEKFGNDYLGQLMKLLHESDTNKRITIDQMIDEVRTLYGAGHLTTTSLLGWSVFLLALHPEWQEKARKEVFLFCGLEKPTSDAIARLRTMNMILNECMRLYPPVITVTRKVEREVRLGSMTLPGNMTIFMPILALHHDPQIWGEDVHVFKPERFAEGVAKATNNKAAAFFPFGLGPRTCVGLNFTTNETKITLSMILQRYKFTLSPNYVHYPSDIFLLTPKDGVKVVLESI >Solyc04g076420.3.1 pep chromosome:SL3.0:4:61402144:61407360:1 gene:Solyc04g076420.3 transcript:Solyc04g076420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNESIRSMTSCYVFKSRLQEYAQKVGLPTPVYETIKEGPSHEPTFTSTVIVDNDRYDSLPGFYNRKAAEQSAAEVALMSLGNSGSVENLSQPVVDNAMEICFSITTLATFRGLYHETGLCKNLLQEYAQKMNFAIPQYECERYDSESKIISFTCTVDVGGMKYIGAAARTKKEAEIKAARTALLAVQSSGFAPNYSSYTVVPMKKVTDLAISNQESAAALKPKKHRFKKKQTRNFSDVSYHVRTKIFGDSEVQMVNQAKPEMHENAAVMTPGTGSGPAPFAGTMGDLVPSNNLSSDYGTSNIGINSQCGGGVTTEGNAVIGVDYGTSKIGINSQCGGGVTTEGNAVIGVEQVTLEVAPVAWNDNLHVQDLHLLHENAAVMTQGTGSGPAPFAGTMGDLVPSNNCGSDYGTSNLGINSQCGEGATTEGNAGIGVEQVTSEVAPVACNDNLHVL >Solyc10g084325.1.1.1 pep chromosome:SL3.0:10:64043743:64045929:1 gene:Solyc10g084325.1 transcript:Solyc10g084325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFATHQNWHSSIIDTIKIEAPTTQNDYHPLPKLLYSYDNVIHGFSAVLSKDELKSLEKSAGFLSAYKDRSVESHTTHTSEFLKLNPASGLWPASGFGQDVIIGVLDSGVWPESASFSDDGLPEIPKRWKGICKAGTDFDSSLCNRKIIGANYFNKGILADNPTVNISMNSARDTRGHGTHVASIAAGNFAKGASYFGYGTGTARGMAPRARIAVYKFSFEEGTFTSDLIAAMDQAVADGVDILTISYGWVRIPVYQDSIAIASFGAMMKGVLVSASAGNSGPEMGTINNGVPWIFTVASCSTDRSFYGTLTLGNGVNITGFSLFPVKTIIKNLPVVYNESISPCDSSDVLAQVPNAGRSIMICDSNAVEVEDQMAAISESKFGGAIYISDDPDVLSSNFFPNPGVVISTKEGKQVIDYATKNAEPKVSISFQGTRIGVKPAPVVSAFSSRGPSLSYLQVAKPDIMAPGELILSAWPSNNSDTVIGVNTFLGSDYRLVSGTSMAAPHIAGIAAMLKGAHPDWSPSAIRSAMMTTANHLDNTKNPIKTEDNNSDTTSLAMGAGLVDPNRAVDPGLIYDATPQDYVNLLCSMNFTSDQFKTIARSSAKHNCSNPSDDINYPSFIALFNPIGNYTWLEKKFRRTVTNVGASAAKYVAKVIAPKNSTISISPQTLVFEKKNQKQDYTLTIRYKGIADDQAQSGSITWVEENGHHTVRSPIVVAPALDAWT >Solyc07g064410.1.1.1 pep chromosome:SL3.0:7:66714768:66715766:-1 gene:Solyc07g064410.1 transcript:Solyc07g064410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILPQNHAIRSSQRALDKIGTIQKRSSTNRAKSTFRHVLLPSRVYCISSSTSSSSSNIATITTKPPPVSEPLTYDPLTPITKNKMSNTKSVLNDPSLKSTWAHRAWMASGCTTVLIPLARSAAGALDSHTWAGPLIASCIGYIVADLASGIYHWGIDNYGNAESPVFGAQIDAFQGHHKWPWTITRREFSNNLHSLARAVTFTVLPINIFCNDPTTLAFVGVCSGCIMFSQQFHSWAHGTKSKLPSIVLALQDAGILVSREQHADHHRVPYNNNYCIVSGIWNSILDDSKFFELMEMIFFFKFGVRPRSWSEPNSEWIEEAEIQSISASH >Solyc06g083670.3.1 pep chromosome:SL3.0:6:49057380:49063422:-1 gene:Solyc06g083670.3 transcript:Solyc06g083670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKFKSAVNHPPKTLNSSAGKITQHQRLNDEDRFDVIKDKKVASPDNEADPLPNAGRVTLSKKYRTARNPNSDTPKTSEYAFFKKLKKNIDHSKLDLLHRGSKLSKITQPSNCISDTQSTYNMLKCPNKDVKIPIQVEKEHQTNECRSFSPVDGVIGISKEAKLKCFSPPTAVTPVYPNLLLSPLAGPSHNSGSQYSGNGIFAAKRKKLCQRANKLFLDVQKLNSERFDLVSALLRRLFPGRKEDEDLWDSPAVGKGEIASTSSFANAKPDHAKTRPPSRHIEHVIAPEYRMSQSDCCPSNFFDRPKERVPPELHYEDADNSQISNNKITISLWDHSDSLPSLSFDHHGLADFLPYRLHSAGIPVSGGAQDLFLDWDFNEEKNDPVLAITTIKGNELCSPIATSWHVDYQQSTEKKLDALALCSSSLFTNSGYSDVLPYSCSTSFHKKFFPTDICSKDFGMILEHEEYAVARMDQFDLPLLCSSEEQDLLEDLNPENPFESSSAIIPYLSHHEKNHCDSDGLMPMALDTFGWKILSTASSPLQKGLSTYNAIRLPHIEDSIGLTHEEIINSLYGSNPREIAPQSVEHGLNSDIWFSCNSEVFSDKAGGRSLLLDNSSWITSVEEISPDHSGEWTWS >Solyc05g014400.3.1 pep chromosome:SL3.0:5:8246601:8250276:-1 gene:Solyc05g014400.3 transcript:Solyc05g014400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPEVIEVLGGMVEELEEARKRLVNEINKPPRGKRCLIQVLNSLEWQRLMCYARNNLITFGYLVTSSMPNARTRSGVVPPLATRATAAAWPREGVTVPEHSDTSSRQNMHFQVHERGTSGSATTATEEIHPVTSGLPYSTSFTTPVYRREAQSNFPSTPAVSVPFNRNTGPTFSSDAASHAEDIHMADMTTEGIDVPIRREHNDPVLSSSSSMEVEELLSDIRSDPATPASSRSRGSIRVSSDSFHYEDVTPDTLSTAAIDVDEIDLVDELDHPYILSGAKENPFTYLASLSAKQAGMHGSASTVTGKIKCFLTGVKGFQYKQSSKYELRVYVDDGSLISEILIDHALVQEKIGFSPAEVNAALSSSDRKRVSDTKETLKCFQKFLINFERTPHESSKFIFVCWIKKYRATTSLQVKNMRPGIGLNGMTMHGTMLVHLNEESPIPVATEMNQGCSASDAWLLLKRLKPSTSPRQHHLHHSETINLSP >Solyc06g050780.1.1.1 pep chromosome:SL3.0:6:33649745:33650209:1 gene:Solyc06g050780.1 transcript:Solyc06g050780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGWLALFVTGRCWLELLLLVDLTVGAVLVGGYWLLLAGSRGCWPGAGGCCPELFVDSFGGLWWVFWVRNNWVVEERREEEGGEEGLTEKRKGKGKGDRLGGEEGGETGRGKGRRWRLKVERGEERMSERRLGERGRGRVFSLFFLKIVFPSF >Solyc01g104439.1.1 pep chromosome:SL3.0:1:92778233:92779942:-1 gene:Solyc01g104439.1 transcript:Solyc01g104439.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRKTNKLLGAFIESEALSLAIGGRDVEHSVVVGDTSGIAPRPISLGGIVPLMHHLIPQALQSTGFSGGPGLQWEVSV >Solyc10g054840.2.1 pep chromosome:SL3.0:10:55948632:55950532:-1 gene:Solyc10g054840.2 transcript:Solyc10g054840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:X intrinsic protein [Source:UniProtKB/TrEMBL;Acc:E3UMZ9] MASNSNVVFGDEESQISGGTNRVQPCSSTPRKINTIDDDEGKKHNFLSLSQRLGVADFFCLDVWRASMGELLGSAVLVFMLDTIVISTFESETKMPNLIMSILIAVVITILLLAVVPVSGGHINPVISFSAALVGIISMSRAIIYIVAQCVGAILGALALRAVVSSSIEDTFSLGGCTVTIIAPGPNGPVIVGLETAQALWLEIFCTFVFLFASIWMAYDHRQAKALGHVTVLSIVGLVLGLLVFISTTVTAKKGYGGAGINPARCLGPAIIRGGHLWDGHWIFWVGPTIGCVAFYVYTKIIPTKHFLAEYGFKHDFVGVVKALSNV >Solyc04g078290.3.1 pep chromosome:SL3.0:4:63120604:63137957:-1 gene:Solyc04g078290.3 transcript:Solyc04g078290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTLSPFLYAAPLLLAFYIITKHFLRKFHNHPPAPFLTFPIIGHLYLFKKPLQRSLAKISDRYGPVLLLQFGSRKVLLVSSPAGAEECFTKNDVVFANRPHLMAGKHLGYNFTSLAWSSYGDHWRNLRRITSVEMFSTHRLQMLHGIRVDEVKSMVKRINSSAMAEKSVDMKSMFFELMLNVMMRTIAGKRYYGENVEDIEEATRFREMVEETFRIGGATNVGDFLPALKLLVRKLEKSLLVLQQKRDEFMQGLIKGCRKRMEKDGTVTDSEIEGKKKSLIEVLLTLQEKEPEYYKDEIIRSLMLVLLAAGTDTSVGTMEWALSLMLNHPETLKKAQAEIDERIGHERLIDESDMNNLPYLRCIVNETFRMYPAGPLLVPHESSEETTVGGYRVPRGTMLLVNLWAIHNDPKLWDEPRKFKPERFEGLEGVRDGYKMMPFGSGRRSCPGEGLAVRMVALSLGCIIQCFDWQRIGDELVDMTEGTGLTLPKAQPLVAKCSPRPVIYHFLHKLQNLPPSPFPVLPFIGHLYLLGKPFHRALFKVSNRYGSVVFLQFGSRPVLLVSSPSAAEECFTKNDIIFANRPDFLSGKHFGYNFTSLAWSSYGEHWRNLRRISSIEVLSSYRIQTLSSIRSDEINYLIRRLFRVSIEGSEKIVEIKSSLFNFTFNVLSRMIAGKRYYGEKVENSKEAKLFQDISKATITTIPKANILDFLPFMRWFGLHDVEEKMMELQKKRDNFMQKEIDEHRRLKTNGSFPSAEVVAGKKKIIMEVLLDLQKTDPEYYTDETIRSLMLVLLQAGSDTSAVTLEWAFSHLLDNPEILKTAQTEIDNKVGQDRLIDESDLAQLPYIRCIINETLRMHPAAPLLVPHLSSEECKVAGYRVPRGTVLLVNAWGIHHDPKVWKEPQKFNPDRFLGFEGVKEGCKFIPFGSGRRGCPGENLAIHVIGLALGSLLQCFEWDKPNREIIDMSEGTGFTLSPKVQPLLAKCSPRPHMLQNLPPSPFPALPFIGHLYLLSRPFHRALFKVSNHYGSVVFLQFGSRPVLLVSSPLVAEECFTKNDIIFANRPDFLSGKYFGYNFTILAWSSYGEHWRNLRRISTLEVLSSYRIQTLSIIRSDEINYLIRRLFRVSIESSEIIVEMKSSLFNFTFNVISRMIAGKRYYGENSKEAMLFQDISKDTINTAPKTNILDFLPFMRWFGLHSVEEKMMELQKKKDNFMRKEIDEHSRLKSSGSFPSAEVVAGKKKTIMEKTDPKYYTDKMIRNLLLILLQAGSDTSAITLEWAFSRLLDNPEILKTAQTEIDNQVGQDRLIDESDLAQLPYIRCIINETLRMHPAAPLLLPHLSSEECKVAGYRVPRGTILLVNAWGIHHDPKVWEDPEKFNPDRFIGFESVKEGCKFIPFGSGRRGCPGENLAIHVIGLALGSLLQCFEWDKPNSETIDMSEGTGFTISPKVQPLLAKCSP >Solyc06g062790.3.1 pep chromosome:SL3.0:6:39729256:39736495:1 gene:Solyc06g062790.3 transcript:Solyc06g062790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGGNVQTNEEVAIKLENVKTKHPQLLYEAKLYKILQGGTGVPNLKWFGVEGDYNVLVMDLLGPSLEDLFNFCNRKMSLKTVLMLADQMINRVEFVHAKSFLHRDIKPDNFLMGLGRRANQVYVIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGNKKQKYERISEKKVSTSIETLCRGYPAEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANIPSRALGGTAGPSSGTPHGLANVEKKSGGEEGRPTGWSSSNLTRNRSTGLNFNAGSLLKQKGTVANDLSVGKELPSSNFFRSSGSARQPNVSSSRDPVITGGEPDLSRPQTLDAAGAASLRKIFNTTQKTSPVVSSEHKRSSSTRNTNLKNLESAIKGIEGLSFR >Solyc07g056707.1.1 pep chromosome:SL3.0:7:64678221:64679168:-1 gene:Solyc07g056707.1 transcript:Solyc07g056707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYIYFCRLDFPLDYSFMHIISQRGGKIWSVEVHIDRVHFILSLFFLFRDLSGNWWLLMRQDFTRIGFWPQSLFTDLKSFATNVDWGGVVYSPPGVPKPPMGSSYFPIENSSYDAYCDDLTIINEKGETIEVDTTITHTDNPYKVEFIQLSRGAKHIYFVLYGGPSESTHVIWSSKEIYTLDVYKLVTRIPQLDEKLQINNKKLFLIKLLD >Solyc12g044920.2.1 pep chromosome:SL3.0:12:61216413:61223626:1 gene:Solyc12g044920.2 transcript:Solyc12g044920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGRIRAKIRRSSLHTFACYRSRATEDGNPHQLGPGFSREVHCNEPYFHEKKPLKYCTNYVTTTKYNIITFLPKALFEQFRRVANLYFLMAAIVSATTNLSPFSAFSMVAPLVFVVGLSMAKEALEDSRRFVQDMKVNHRKVGVHKEGGVFCPKSWMKIQVGDIVKVEKDQFFPADLLLLSSSYEDGICYVETMNLDGETNLKVKRALEVTLPLEDDEAFKHFSAIIKCEDPNPSLYTFVGNLEYERQVYPLDPSQILLRDSKLRNTAYVYGVAVFTGHDSKVMQNSTDSPSKRSRIELQMDKVIYLLFFVLLAISFASSIGFAVDAKFELPNWWYLQPMNEVNNVVDPKKPEVSGILHLITALILYGYLIPISLYVSIEVVKVLQALFINQDILMYDDESGTPAQARTSNLNEELGQIDTILSDKTGTLTCNQMDFLKCSIAGTAYGMRASDVELAAAKQMAADIGGHDIESPRPENENDFGESEIELESVVTSKDDFKPAIKGFSFEDDRLTDGHWMNEPNVNDILLFFRILSVCHSAIPELNEETGNFNYEAESPDEAAFLVAAREFGFEFCRRTQSSIFVQERYPSFQEPIEREFKLLNLLEFTSKRKRMSVIVRDESGQILLFCKGADSIIYERLSKNGRKFEEAMTKHLNEYGEAGLRTLVLAYKKLDEAEYSAWNEEFSKAKSTIGGDRDAMLEKVSDAMERDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITTMNADSVAQDSKLAMRENILKQIMNASQMIKHEKDPHAAFALIIDGKTLAYALENDMKHQFLSLAVNCASVICCRVSPKQKALVTRLVKEGTGKITLGIGDGANDVGMIQEADIGVGISGAEGMQAVMASDFSIAQFRYLERLLVVHGHWCYKRIAQMVDDEIYVAGNIKCYPKVDSSICYFFYKNICFGLTLFYFEAFAGFSGQSVYDDSYMMLFNVILTSLPVIALGVFEQDVPSDVCLKFPALYQQGTKNLFFDWHRILGWLGNGIYTSLIIFFLNIILFYDQAFRSDGQTADLTALGTTMFTCVIWAVNCQIALTMSHFTWIQHILIWGSVATWYIVLLIYGRIAPIYSKYAFRILEEALAPSPIYWCTTLLVTMMCTLPYLAHIAFQRSFNPLDHHIIQEIKYYRKDVEDRHMWKREGSKARQKTKIGFTARVDAKIRQLKGRLQKKKV >Solyc05g025530.1.1.1 pep chromosome:SL3.0:5:33154059:33154361:1 gene:Solyc05g025530.1 transcript:Solyc05g025530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAHLTSAIGQRHATTAFTHQSWCMSIDSTTLVMSCTHQLGNIVQWKRASYEACMHQTWRVRIYWETLVVAYMYWPGDVGQRHAASTKVLAHLTWFLHI >Solyc07g025290.1.1 pep chromosome:SL3.0:7:27235684:27236495:-1 gene:Solyc07g025290.1 transcript:Solyc07g025290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPKKKFTILEGFRTSVFFNIVHDSDKFWLGRMGLARISECF >Solyc08g005890.3.1 pep chromosome:SL3.0:8:681344:693276:-1 gene:Solyc08g005890.3 transcript:Solyc08g005890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGSNEKLGPFTQRLMLPQHPLHLLPGAGGSSGSGLGGDDEFPKRDERVPPWSTQETRDFIAIRGELEMEFSSAKRSNLKSLWEIVAARINERGYRRSAEQCKSKWKTLINRYKGKETSDPDNGGQFPYFDELHALFSSNMNNVHRVPIESEAGSQQARKRPRGTSRDRSSEEISEDEEGYACESDEVKLGRSNVAPKKKPEKEKRPRTSNAEKASRQASFGSSINNNTGRAVDNIQEMLKEFFQHQLRIEMQWRETTEKRAREREAFEQEWRSSMEKLERDRMMIEQASSNSQNVNLNIEITPWDLQFLLVDTIQKAGRFSIVKNSDDETISFFINCNNAGVEFIHANASKLSVSMIIDSTYVPNIIHSLFPLNNIRNFEGVTKPLFGVQITELVDGYFIGCTMNHTLGDGTCFWHFFNSWAEISRGRKIISRVPILERYFPEKIHVPIHFPMNLDDEKLFEKIEIPTLVKRVFHLSKENITRLKKKANDEMSINSISSLQAYLAHLWRCVTRCRKIDDREEVYIGILIGARSRLKPPLLEGYFGNAVHIKIVKTSARELLENGLGWVAMQINKMVVSQNSKEVIKMYKGWIENPIIFNKTRVFVDETRLSIGSSTRFNIYGNDFGWGKPIGVRSGMANKRDGGITLFQGANEGSVDIEVCMCPHTLQAMENDQEFMEMVTN >Solyc10g085560.2.1 pep chromosome:SL3.0:10:64802029:64808809:-1 gene:Solyc10g085560.2 transcript:Solyc10g085560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKSGQPLSVQPGDDMVLHLSQASLGEVKKDKASEPVCLSVTIDGKKLVLGTLSLDKLPQQQFDLVFDRDFELSHNWKNGSVYFFGYKAANPFEEYPFLFFQVLFVSLTTIVCDTEEDVDEVEDESDEEIPLSIANNGKTEAKASAKDSASTKQKVRVIEFTKVDKAEDQDESTDESADEDDSMMGEDEEGDSDEDQSDESEEETPKKAEPSRKRPADSAAKTPVPDKKTKFLTPQKTDGKKGAVHVATPHPSKQAGKTPGNKPNQTPKSGGSLACKTCNRTFGSENALESHSKAKHSAGK >Solyc12g087855.1.1 pep chromosome:SL3.0:12:64331836:64334011:-1 gene:Solyc12g087855.1 transcript:Solyc12g087855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHEEKENKDERMIHIAMKRNQRKQMNNYLTLLQSLISSSHVQRADQASIIGGAINFVKELEHNLQTLRAKKNINFSYRKK >Solyc08g074600.3.1 pep chromosome:SL3.0:8:58814761:58851186:-1 gene:Solyc08g074600.3 transcript:Solyc08g074600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALTATATKKVQDDLMEMLHIPRCVKFVSSVNRPNLYYMVREKSSIAKTVVDEIAEYIQSSYPNNESGIVYCFSRKECEQVAQELRERGISADHYHADMDVNARERVHLRWSNGKLQVIVGTVAFGMGINKPDVRFVVHHSLSKSMETYYQESGRAGRDGLPSECVLFFRPADAPRQSSMVFYENSGLKNLYDIVRYCQSKRECRRSAFFRHFAEPLQECNGMCDNCAFSNEVEELDVSSHAKLIVSMLKDIQENDQRVTMLQLVEKLKVKQKNLGPDLKKDELEQLVIKLLRSLSFYLKEEFQHTAYATNAYVTVGPLAKQVLQGNKIIKVELSTRQTRTSSSMKSSKRERSTGLNDKLDELRKELASIHGGIFPHSVLSTQQISTLSAQKPKSIEELEKIIGKLKTEKYGGRILEEIASYESDPQKNNADGSKETKGNTVKKLKTKKTLVVLESSEDET >Solyc06g060110.3.1 pep chromosome:SL3.0:6:38147692:38150530:-1 gene:Solyc06g060110.3 transcript:Solyc06g060110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPHQVFEVYGESKCFDDDGRIKRTGSVWTASAHIITAVIGSGVLSLAWATAQLGWVAGPTVLLLFSFVTYYTSALLSDCYRTGDPVTGKRNYTYMDAVRANLGGFQVKICGVIQYANLFGVAIGYTIASSISMVAVNRSNCFHKQGHHAACNVSSTPYMIMFGVMEIIFSQIPDFDQISWLSIVAAVMSFTYSTIGLGLGVAQVAETGKIEGSLTGISIGTEVTEMQKIWRSFQALGAIAFAYSYSLILIEIQDTLKSPPAEAKTMKRATLISVAVTTVFYMLCGCFGYAAFGDQSPGNLLTGFGFYNPYWLLDIANIAIVVHLVGAYQVYCQPLFAFVEKTAAEWYPDSKIITKEIDVPIPGFKPFKLNLFRLVWRTIFVIITTVISMLMPFFNDVVGILGAFGFWPLTVYFPVEMYIVQKRITKWSARWICLQILSGACLVISIAAAAGSFAGVVSDLKVYRPFQS >Solyc07g054590.1.1 pep chromosome:SL3.0:7:62997150:63001964:1 gene:Solyc07g054590.1 transcript:Solyc07g054590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEDLPEDIISNLPIGLIGEIHSHLPWKEVVKTSILSKKWRSIWYSHPIIWLDETDFGADYTNYSSTDKPRRDAFFTHMMELLEIRERNSELDYSVNKLFLRMTLEYDPSAEHLVNKWISFALEKKVRMICLGLKKINRTPYYLRGIAFSGTELVGLTISDCHITNCSFNLPALKLLFLFAVCIKDHDFKDLIAACPRIEKLRVLDTRQLHTIVVSNPHLKFFGGNLSCSNGKIRIESAEFDSLEFSFTKYACKVEITSATTVRELTVGNANNQEALMHLINKFPLLEKLIIHDCSRLQNLHISQRNLASLVLMDCTVVQLVRLTTPKLKSLEYKGPHTNFEGIEDLEELEFVLLYLEPVDMDTYWWYKWLRDILKLCARSKHLSVICNSQKVIIIPEYLRHLVSITDMEHLELEIKTLDATFKEVTDELISILPDLKTLSLTLGSTTKFFQIRIDEDGDLSAEEEEHNPKPNRRVSILRIELILSDCEIPNCSFKLPALKLLFLFVVRIDDDDYKDLIAGCLPTNLENERLEKEEGDEDEKKLLDGGIIIFFMTKKESSKGRRGPDRISSLPTDIMREILSCLPLIDAAGTCILSKEWQRIWYAHPVIQLDEVDFGADYCNYSDKHRAKRFAFLTYLENFLECRKRPSEFNSVDVDKFLLRMTVEPDSPAKELINNWICYALEKNVKSLFLGLYTFKHHHYSLHGIAFHTNWLVKLSLSGCEIKCCSFELPSLKSLFLYSVCIEDYDFKNLMAGCPNIEWLCILVNNKKLQTIVVSNPNLQFFQVCLLSSNGKIRIESPNLHSLEFVALNLDLCKVEITATTTVRELTLRKTYHKGTVIHIINEFPLLEKLVVYGPTELQNLPIDQPNLDTLVLKDCKVKDEAWYGWFGDLLKSCARSQHLSLICNSEKVIIIPKHLRHLVSVTDIKHLELEIKTLDATFKEVTDELISILPDLKTLSLTLGSTTKFFQFRIDEDGKLSAAEEVVHNPKPDKRVSISK >Solyc02g067320.2.1 pep chromosome:SL3.0:2:38088322:38095466:-1 gene:Solyc02g067320.2 transcript:Solyc02g067320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKIQLFENQDDESVTSESSTNSAFTVRIVRYKECQKNHAARVGGHAVDGCREFMPSGEEGTSSAFICAACGCHRNFHRRELNINTNTTAAITTVKTPELAETETPSRIQQPKPFSFSNGVLKRKNHHHPVVVVYKECLKNHAANLGTHAVDGCGEFLPIPAANPADPTSLKCAACGCHRNFHRREPEEPPPIATAAIEYQPHHRHHPPPPRGDHGSPNSPSPPPISSAYYPASAPHMLLALSAGFSGEKNQNLPTSTTPMAVANSNGRKRFRTKFTPDQKIKMLEFAEKVEWKMQKRDEDLVNNFCNEIGVEKGVLKVWMHNNKTTSISGKKLDQPNTDNGHNHQNGNSNYTVNGFCIVDRNNTTHHHDNTDSEFHIHHESSMNDDNKKENSSFGANNVVVTNGSSSSS >Solyc11g039957.1.1 pep chromosome:SL3.0:11:41154478:41155203:-1 gene:Solyc11g039957.1 transcript:Solyc11g039957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDREIRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSYSDYAGDVDTRRSMTGYVFTLGGSVVSWKETLQPTVTLSTTEAEYMALTEAAKEGFG >Solyc04g076860.3.1 pep chromosome:SL3.0:4:61874509:61877518:1 gene:Solyc04g076860.3 transcript:Solyc04g076860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGWRRAFCTTIPRDREPHFVDKDSQQVNNNGGGQQVPSPSPRSCVKLGFLSSSNPSTPRLRCKTNNKASSNDINTLISPKLHCKTTPKSNTKSPKTLLGSNPSSPRSPFSILKNTLRLSKHSCGVCTQSVKSGQGMAIYTAECSHTFHFPCIASHVKKQSNLVCPVCNSTWKDVPLLAIHRLQQQENQKTQKPEEVESYPSTPIRKQEKPLPNVKTYYKPEQCGYNDDEPLFTPTAGAKFVSIPEANEEQDDVEEEIEEFQGFFVNPISSDEAFANQRDNRSVEVSLMPEAAIVSVGRTHETYAVVLKVKAPPPPPSPPAGNSNSGSGHFLDPARRAPIDLVTVLDVSGSMSGAKIQMLKRAMRLVISSLGSVDRLSIVAFSATPKRLLPLRRMTQQGQRSARRIIDRLVCSQGTCVGEALRKAAKVLEDRRERNPVASIMLLSDGQDEKIQGSNTHNQRSESTHVSSTRFGHIEIPVHSSGFGKKGGLSHEPAEEDAFSKCVGGLLSVVVQDLKLQLDFSSGSDPAEVAAVYSYNGRPAVLGSSCVRLGDLYAEEERELLLEVKIPTMTNGSHHVLSVRCCYKDPATQEAIYGREHSLLVPRPQAVRSSIPKIERLRNLFITTRAIAESRRLIEHNELSSAMHLLSSARALLIQSGSAFVDEYVRGLEAELTEVQWRKQYQQQIEQHKMIQRQRTNEREMNLFLDENGEPLTPTSAWRAAEKLAKVAMMKKSMNRVSDLHGFENARF >Solyc06g030590.2.1 pep chromosome:SL3.0:6:19945982:19951127:1 gene:Solyc06g030590.2 transcript:Solyc06g030590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPQVKGQIVIRTVKLNIEIKNNITFSIILLFSSGGPGGSSRLGGGVSMLRCVDVAMFLEGDCFERPICSSPDAWFDVVKRVTDDNNKIQKRTKNVSRCLNLGSYNYLGFAAFDEYCSPRTIECLKIFSASTCSSRVDGGTTIIHTELEEHVAKFVGKPAAIVFGMGYVTNSAVLPVLIGEGGLIISDSLNHSSIVNGARGSGATICVFQHNKPSHLERVLRENIAEGQGRNHRRWTKIIVVVEGIYSMEGELCKLSEIVAICKKYKAYVYVDEAHSIGAVGKIGKGVCELLGIDTANVDIMMGTFTKAFGSCGGYIAGSKEVIEYLKYTCPAHVYAISISPPAAQQIISAIKVLLGEDGSKRGAQKIARLRENSNFFRSELQKMGFDVLGDYDSPVMPIMLYNIAKLPAFSRECLKQNVAVVVVAFPATPLLLARARICISAAHSKEDLIKALETQWAGYNWIHKFWL >Solyc12g100010.1.1 pep chromosome:SL3.0:12:67899099:67903303:1 gene:Solyc12g100010.1 transcript:Solyc12g100010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLVVFLIFFLYQLSFSSSIFLCPKHQSISLVKFKETFTINPYASSRCYFRGQKPYPKTNSWNMSRDCCLWDGVICDDMTGHVIELDLGCSRLVGTIDSNSSLFQLSHLQRLNLSWNEFHGSHISPEFGRFSSLTHLDLYNSNFSGQIPSEISHLSKLHSLRLYATGSLRLVAHDFKLLLQNLTQLRELDLTFINISSTIPLNFSSHFTNLRLGFTGLYGIIPESIFHLPNLETLRLNYNYKLNGHFPKTKWNSSASLMELDLSRVNFSDKLPESVGYLTSMHSLSLPNCNLRGPIPESLSNLTRIVHLYLQDNSLNGTIPSRMFSRLPSLSRLHLSNNHFSGELEDFKSNSLEEIILGGNQLQGQIPKSIQNLENLTGLDLSFNNFSGNVDISLFSNLKQLLGVSLSYNKISLINENKVNFTWPESLNVLQFAACEVKELEFLRSANQLLVLDLSHNKIQGRIPDWAWSNWMSLMTLDISHNMLTTVESIPLRTVDTIDLRSNLLQGSLPIPPNSTRYFLISQNNLTEEIPPSICNLTSLIMLDLARNNLKGAIPQCLGNISGLEVLDLHNNKLSGNIPTIFSNGSSLRSLNLHGNKLEGKIPRSLAHCKDLQVLDLGDNHLIDTFPMWLGTLPKLQVLSLRSNTLHGSIQPPRIETIFSELQIIDLSYNAFSGNLPTSLFQHLKGMRTIDSSTEAPRYRGDTYYQDSITVSTKGFMREIVRILYLYTVIDLSSNKFGGKIPSIMGDLIAVHTLNLSHNGLQGHIPQSFGDLSSVESLDLSGNQLSGEIPQQLVSLTSLSFLNLSHNHLRGCIPQGPQFHTFENSSYEGNDELRGFPVSKSCGDAGVLDTNDTVSELHDEENDSEFLSDFWKAALMGYGSGLCIGLSIMYFMISSGNPRWLAKFLLKSLIFPSYNLFISHGRLQHEQNCKFGSYNCPYAGPECSVTDDIQSLVAHLKDDHKFDMHEGCTFNHRYAKSNPQDVENATWMLTCEFSLSPPVPFFLSLDSKLTHSSFHNLMVLYTDCLQPFIMIELHNFINHIPFTDSRVKADIKLSYMV >Solyc02g078770.1.1.1 pep chromosome:SL3.0:2:43979141:43979296:1 gene:Solyc02g078770.1 transcript:Solyc02g078770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQYEIYNILRMPVSVPRILSDLTKVILTSVSLNNIFSKDACVCLWFSYPL >Solyc07g066140.1.1 pep chromosome:SL3.0:7:67772991:67775222:1 gene:Solyc07g066140.1 transcript:Solyc07g066140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQQGDDEMEELDDFIDEFEGIDLDGFDSDVNERQCIDLDGFGSDVDDFLDVELSDVESYVDDLDETDMTYRSMKDISAADVRNGRDIQGIPWERATVTRERRRQRRLTEYQNYENIPPSDATPDKESKTTKKDGLYYNFRYNACSVIPTFSHTQLRNMISATSKHDVYLLSHFSLMHWSSLTCVKTEILDLSGHVAPCEKHPESHSEGFTHTEVSTLAVKDKLLVAGGVEGELIVKYLDKPGVCFCSQPTYDDDTITNSIEIKNTVSGAVHFFTSNNDCGVRDFDMETFRLFNHFHLPWPVNHTSVSPDCRLLLVVGDDPDGMLIDSRNGMALAILPGHVDYSFASAWHPDGRTFATGNQDKTCRIWDCRNLSKSVTVLTGNLGAIRSISYTSDGRFMAMVESADFVHVLDVKSGYEVEQEIDFFGEISGVSFSPDTESLFIGVRNRTFGSLFEFGRRHNYSYHDAMI >Solyc01g098360.1.1.1 pep chromosome:SL3.0:1:88774631:88774789:-1 gene:Solyc01g098360.1 transcript:Solyc01g098360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWQSVLTGYGCGLTFGFGIVYLIYLFERPRWFINSFETITHEMIYIVQFSH >Solyc02g088040.1.1.1 pep chromosome:SL3.0:2:50897129:50897803:-1 gene:Solyc02g088040.1 transcript:Solyc02g088040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLHTSIPPIDPKLARVKTKISTAHFSKLRQINRSDKKRNMPPSDCEQSHSAIIDVVIFIAVVGASGVLLYPYINLVVHILIEFFEEVSDVVKEEFLRAPMVFICLGLSIFFAVIALLAVTICTTGRGCGRPGCRRLKKAAEFDIQLETEDSLKKSNLTPPKIGGVKKGIFKLPRDYHQELEGELKRMAPPNGRAILVYRGRCGCSIGTMEIPGPRKTGKFKK >Solyc08g042147.1.1 pep chromosome:SL3.0:8:23811618:23812602:-1 gene:Solyc08g042147.1 transcript:Solyc08g042147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNCGWLRRPRDFRGIQIFESYSISTSSPLYRTFHEAASIGCWLGSKSLSSSDDSYPLSQSLIGMFNDPFHHRLNTETIHKWFQSRLKVTAGFKVIPLDHNKFIFELPSRQEVMRVKAGDWFWSERHLNLSWWSPEVRSQAVSQENVWIKEQEQRTETKNRDCRRREEQSRYRTQNAGMGLQEGSGGKNRSRSQRALSR >Solyc08g082790.3.1 pep chromosome:SL3.0:8:65597609:65598590:-1 gene:Solyc08g082790.3 transcript:Solyc08g082790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLFVICLIFICSWPGCSYGIQDVAKVHSLQREVINQMNMRKVLEVDVVVDYNGGGGANTAHTPGKGYPPKGANNAN >Solyc04g082080.1.1.1 pep chromosome:SL3.0:4:65948797:65950005:-1 gene:Solyc04g082080.1 transcript:Solyc04g082080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECLRQLFISMLCKGDDESVRLPDCIVIDILNRLPIEVVVRCQSKRDYLGALISSRDFKTLHLSKANARGPMLLLRDSTRTNKKLYVVTNYLTKPHYLTKPVNMENLYLHSQLLVNNKKYQGVHPPHIRFSCEGVILFVNDARCGKICYVLNPTTQEEVIVQRTDHNLLCALYYCPVDHQFKLLSAGVINGSLVVYLVYTFKTQTWREIRGTSVNLLPLYKKGSPAMVNRALHWITHYDLQNKPSCDNNGILIFKMDNEQIYAKPHPGSVCNVAHIDNEHKSMMTLLVMENNLCFCHLLASQPALALDIWILEHYKKWTWNKTYNINLTPYGPGRNPDYLHRIMKPLYIQDGIFLFYFKADKYLFLYDLRHKTLNPVQLPQMIKFRPFGSYIKTLLPIVA >Solyc09g005510.1.1 pep chromosome:SL3.0:9:342776:344467:-1 gene:Solyc09g005510.1 transcript:Solyc09g005510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFMKMEKCTCSHRRHAHVNLASRKAKKSILKRIKANLVITNTLKFSNGEEEAIKKFNLSRCQHKKKKKNQICKALVVMIEPILWKRRVEERRTLEERSSVQFMFHFERSIFYHK >Solyc01g060180.3.1 pep chromosome:SL3.0:1:69680714:69684978:-1 gene:Solyc01g060180.3 transcript:Solyc01g060180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERDIDHLPKNAANYTALTPLSFLERAAMIYPNRKSLIHGSVQYTWLQTYRRCSQLASALTKRSITFGTTEPYKSFPLLASCQVAVIAPNVPAMYEAHFGIPMAGAVLNAVNVRLNADTIAFLLGHCSAAVVMVDQEFFPLAEEALKILEDKSQGKSNRPLIVVIADKNCDPAPLQYALDKGAVEYDNFLETGDPDFLWKPPQDEWQSIALGYTSGTTASPKGVVLHHRGAYLMALSNAVVWSMKEGAVYLWTLPMFHCNGWCFTWTLAAICGTNICLRQVTAKAVYSAIAHLGVTHFSAAPVVLNTIVNASKEETILPLPRLVHVSTAGASPPPPVLAAMTQRGFRVLHTYGLSETYGPSTLCTWKPEWDLLPTDVQARLNARQGVRYVSLEHLDVVNPTDMTPVPADGKTMGEIVFRGNVVMKGYLKNPKANEAAFAGGWYHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENILYQHPAILEVSVVARPDEQWGESPCAFVTLKPAVKETDQQQAAEDIIKFSRSKMPRYWVPKSVFFGPLPKTATGKIQKHLLRTKAKEMGPLKKSRL >Solyc11g062390.2.1 pep chromosome:SL3.0:11:49645288:49666519:1 gene:Solyc11g062390.2 transcript:Solyc11g062390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVTLDFGDTMQRDAVPPVSADVIFPSSRFPNYKIGPNNQIMEVKQDSKALTMKEVVARETAHLLEQQKRLSVRDLASKFEKGLAAAAKLSDEARMKDAASLEKHVLLKKLRDALEALRGRVAGKNKDDVEEAIAMVEALAVQLNQREGELIQEKTEVKKLATFLKQASEDAKKLVEEERAYARAEIENARAAVQRVEEALQEYEKMSGASGKQDMDELMKEVHEARRIKMLHQPSKVMDMEHELQALRVQLAEKCKYSIQLQKEVSAMPRWVRKGCFGIFESSIDCCKSGKLISSLSLEPREIEEEVVPVGEGLEEEEKEVKKDMVRSAEKLGNQQQKLARKMGEETVSQLYELDGTEALGSCLHIQPCSLAATELSECTIQWYRLACEGGKQEPISGATKSVYAPEPFDVGRILQAEITYGDQTTSVTTAGPIDPAAGLGNYVEALVRRHDIEFNVVVVQMNGTDHTSETIHVLHIGRMRMKLCKGKTSIAKEYYSTSMQLCGVRGGGNAAAQAAFWQVKTGLSFVLAFETERERNAAIMLARRFAYDCNIMLSGPDDRATPGS >Solyc12g045030.2.1 pep chromosome:SL3.0:12:61357135:61358515:1 gene:Solyc12g045030.2 transcript:Solyc12g045030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWQDLSGKVVMVTGASSGIGLEFCINLAKAGCKIIAAARRVDRLKSLCDQINSKSNGPQRAIAVELDVSADSTTIDSAVQIAWDAFGRIDVLINNAGVRGSVSSSVEITEEEWNNTYNTNLRGAWMVSKYVCKRMIDAKQGGGSVINITSMAGLNRTAVPGTIAYGTSKMALDMVTKIMAIELGGENIRVNSISPGVVKSEITEDLVKNKWFHKFLLRTLPLKYLGTTDPALTSLIRYLMHDSSEYVTGNVFIVDAGATLPGVPIFSSL >Solyc07g026650.3.1 pep chromosome:SL3.0:7:30135707:30137290:-1 gene:Solyc07g026650.3 transcript:Solyc07g026650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase [Source:UniProtKB/TrEMBL;Acc:Q6A1K7] MEMPVIDFSKLEGEERCATMSLLHQACEKWGFFMIENHGIDSYLMDNVKQFVNQHYEANMKKRFYESELPMSLEKNGNISNTDWESTFFVWHRPASNIYEIQGLSKELCKAVDDYIDQLIKLAENLSELMCENLGLAKSYIKEAFSGSKGPSVGTKVAIYPQCPRPDLVRGLREHTDAGGIILLLQDEQVPGLEFFKDGHWVNIPPSKNNRLFVNIGDQIEILTNGMYKSIRHRVMAEKDGNRLSIATFYNPAGEAIISPASKLLYPCHLRFQDYLNLYSKTKFAEKGPRFESAKRLANGH >Solyc10g061970.2.1 pep chromosome:SL3.0:10:23897658:23901059:-1 gene:Solyc10g061970.2 transcript:Solyc10g061970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Solyc03g013160.3.1 pep chromosome:SL3.0:3:48490131:48492406:-1 gene:Solyc03g013160.3 transcript:Solyc03g013160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRTENDNSLSLPMLADDFDKAEKGIGSGRLISNGKTSFLKTTFHGLNALSGVGILSTPYALSSGGWLSMILLLQIACVTFYTSILIKKCMDFDPTITSYPDIGDRAFGRRGRILVSVFMNLELYMVATGFLILSGDNLHNLLPEVKFEFWGIQFGGKQSFVVIVALVILPTVLLKNMSILAYVSASAVLATLVIIGAIFWEAMYDGIGFHKSGVVVNWGGIPTSFSLYAFCYCAHPVFPTLYTSMKNQNQFSKVMIVCFAFSTVTYASIAIMGYLMFGSDLESQITLNLQPEKFSSKLAIYTALINPIAKYALMMTPIINRIEERLHSYCDKKSFSYLNRTILMISSVTVALTIPFFGYLMSLVGAFLSVTTSILVPSLCYLKILGTHNKLGYEIVIIGFIILMGIIVLVTGTYTSMQEIMHLM >Solyc03g098790.2.1 pep chromosome:SL3.0:3:62495495:62503665:-1 gene:Solyc03g098790.2 transcript:Solyc03g098790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLFLLCLCLFPIVVFSSSFTSQNPIELPSASPKPNPVLDTNGNELNPNSSYRIISTFWGALGGDVYLGKSPRSSAPCLDGVFRYNSDVGTVGTPVRFIPLSGGIFEDQLMNLQFNIATVKLCVSYTIWKAGNLNAYYRAMLLETGGSIGQVDSSYFKIVKASTFGYNLLYCPITRPVLCPFCRGDDFCAKVGVINQDGRRRKPVLDTSGKEVTSHSSYRIISAFWGALGGDVYLGESPNSDAPCPNGVFRYNSDRGPRGTPVKFIPHSEGMSENKLFNIQFDIPTFRLCVKYTIWKVGDYNETLGGVLLETGGSIGQRDSSYFKIVPSKLGYNLVLCDPTPIFCPFCRKGQLCVNVGVVFQDGRRRLALTKDQPLDVLFEEI >Solyc01g067140.2.1 pep chromosome:SL3.0:1:75358345:75360860:1 gene:Solyc01g067140.2 transcript:Solyc01g067140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCILSNKLVFCNLQQADKRGMVGLQEQVFVRKVIELHDKYLAYVNNCFQNHTFSQGKSENLSDEAMEESLEKVELPLLCISTTTRIFFFVTSLRLPFFRRRLDRLLLFDNSVNDEHERSVLTKLKQQCGGQFISKMERMVTDLTWAKENQGSFEEYLSNSPITNPGIDLTVIVLTTGFWPSYKSFDLHLPVEMVRCIETFKEFYQTQTNDRKLKWTYSLGTCNINGNFEPKTIELIVTTYQASALLLFNASDRLSYQEIMTQLNLSDDDVVRLLHSLSCAKYKILNKEPSTKTISPTNVFEFNSKFTDKMRRIKIPLPPVDEKKRVIEDVEMDRRNDVYASIMRIMKSHKVLAQQQLVMECIQMLGQIFKPDVKVIKKRIEDLISRQYLARDKYNPNLFKYLA >Solyc03g111883.1.1.1 pep chromosome:SL3.0:3:63970334:63970552:-1 gene:Solyc03g111883.1 transcript:Solyc03g111883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPQEFRPKRFLNSDIDVKGLLIPFGGGKRGCPGSTFAIMVNELALPRIVHKFNFAFKTLESLFLGNHLS >Solyc07g052440.3.1 pep chromosome:SL3.0:7:61069239:61070461:1 gene:Solyc07g052440.3 transcript:Solyc07g052440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVKLLDLLLFIYFFFMAIVAPLFDGQTALPKHIFHPVLVDLKSWYTEECGDYLVSEKPHFFVGLIWLELLFAWPICLLSLYAIAAGKSWIKTTSLLYGVSTLTSMVAILSEMKNSAKASDKLLMVYYPFLGFAVLAILRGLLPHSSKSVSIGKISAISRKKRA >Solyc03g078440.3.1 pep chromosome:SL3.0:3:52361724:52365080:1 gene:Solyc03g078440.3 transcript:Solyc03g078440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFSAGEFRELRIDFKKYKFLLPKQRFREMVKGAVNEQPQKAFGWAARDPSGVLSPFHFSRRENGNDDATVKIHYCGVCHSDLHTLKNEWGFTKYPILPGHEIVGIVTAVGSSVHKFKVGDRVGVGVIVGSCQTCDICEQSLENYCPKAIFTYNSTDHDGTNTYGGYSDIIVVHQRFVLRFPENLPSDAGAPLLCAGITVYSPMKYYGMTEPGKHLGIAGLGGLGHIAVKIGKAFGLKVTVISTSPKKEDEAITKLGADAFVLSTDPAKFKAAVNTMDYIIDTIAAVHPLAPLLSLLKMDGKLITIGLPEKPLELPIFPLVLGRKLVGGSDIGGMKETQEMLDFCAKHNITADIELISVDNINMAMERLAKSDVKYRFVIDIANSLSLS >Solyc10g009150.3.1 pep chromosome:SL3.0:10:3140011:3141394:1 gene:Solyc10g009150.3 transcript:Solyc10g009150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSHIALILLFSLALYTNARKDPGEYWRDVMKDEPMPKAIQHLMPQPHKEKTDCHKLSFEPIPNVSSYHNDEAGLKQEKDFEPRPNLSSYHDDDNVPLKQEKDFEPRPNVSSYHDDDNVPLKQEKDFEPRPNVSSYRNDDVGLKQEKDFEPRPNVSSYHNDDNIGLKQEKDFEPRPNVSVYHN >Solyc07g041090.1.1.1 pep chromosome:SL3.0:7:51937910:51938338:1 gene:Solyc07g041090.1 transcript:Solyc07g041090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGSLSIMEYVQQKRTFANNLVMALQHVANYELMTYILYGLDPFYGPFCTITTSELLLGLARKFLVFSDEKNKNLMMNHVTLSANVANRQSFQSRPSYNSSPITNQSQQRNPNRNDNRRPSNRPICQICEKLGHLAKNCYD >Solyc12g056990.1.1.1 pep chromosome:SL3.0:12:64021568:64022482:1 gene:Solyc12g056990.1 transcript:Solyc12g056990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIRKCKEAPSTDLLVCFPSRAHLTLMPSPASHHHHLKRSNTRGIGPAGQASPMLWKRTEISEPTSPKVTCAGQIKVRHKPKSCKIKNWQSVMEEIEKLHVKKQKKKKLVWNMEGVGFKKDAMQLLTCLRNIRFDFRCFGSFHNDETDVITSDDDEDDDEDDQEGEDEHDDEAKTASRTVFSKWFMVLQENQKTEETEHAKKTEETEYSVFSHNDAPPPNALLLMRCRSAPAKGLLEQKHKDNDSDYDQQKNEKSRTENNMKKSLVVMRYGSDLYKFSSDISKENWVVDGIKDPFSRSRSSKR >Solyc12g015695.1.1 pep chromosome:SL3.0:12:5673771:5677243:-1 gene:Solyc12g015695.1 transcript:Solyc12g015695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKSIAEGNDVTALWTAKHPPKIRYKSPNTFCDHCHTKGHVKNDCFQLIGYPSWYKGKKKDGTNMQHNAPVFKPEYDNRNVGPSYGNLSFSHNHNSAGEVHKSIGGNDHTGVGTHAHGYGGLHGSHNCSGSNITADMCVHGYSSTGSCNHGGAGVNMAFTSNQLSHPNSKNSPSTNTAGIVNCSAVHSSSSHRWIVDTGATNHMSSTPDLLHDTQPLPTTEYNKLIQATKLMLNTHFKIKDLGEMRYFLGLEIARSRDGIMVSQRKFALDLISYFGLAGTKPVNTPLEVNQRFTSQDFDMSYEAQDTHEDIVLDDPTGYQKLVGKLLYLTMTKPDISYVVQNLSQFMHKPKKSHMEGALRVIRYLKNAPGLGIMLTSKVCKQLSVYCDADWATCPMTRRSVSGFVVKIGDSLISWKSKKQNTVSRSSAEAEYRSMANAVSEVVW >Solyc10g051365.1.1 pep chromosome:SL3.0:10:51924352:51925121:-1 gene:Solyc10g051365.1 transcript:Solyc10g051365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKGYVNPDFPNYVCRLKKALCAWYEALKSHLTISGFVKSKSDASLFIFTSETVTMYILVYFDSILITRNYPALVTHVINSLVPNGIVLSQSKYILEILIDVDMTNCKGVMTPMCSSSPPKAGDGSPHADATLYSTKRVLRYLQASSTYADADKPGDPMTESSLFHYRFSFTFLKMFTTFF >Solyc06g065720.1.1.1 pep chromosome:SL3.0:6:41278230:41280935:1 gene:Solyc06g065720.1 transcript:Solyc06g065720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFKLCRLLLLVIIGSFSHLIRFSVSDPGSDCLQIRSASLVNFTYQFSMAQHQLRGVLKVIDDCSFKVSHFDMLEGSDVRWWGAVGDHLENLTKGFVVSEQKLNRTYKSDGFVVKLMKNVTWDDINVLAVWDLPMASDFGHVVLRNLTNGTEFLAPLPSLVNGTVIKGNGMPTMFNNCKVLADNYRVRWSLNEEEDVIEIGLEAAIGFLSYMAFGWANPNASSSFMMGSDVTVTGFKEDLSPFADDYFITKYSECMISKDGRVEGVCPDTIYEGSDPVGLVNNTRLFYGQRKDGVSFIRFRKPLKSMDTKYDLQLNQNATMRVIWALGLIKPPDSLRPFYLPQNHGGSYGHLTLNVSEHIDDCLGPLDAEDKQDQDLVIADKKGPLVVSTGPAVFYPNPPNPSKVLYINKKEAPLLRVERGVQVKFSIQAGHDVAFYITSDPLGGNATLRNMSETIYFGGPEAQGVQATPTELVWAPDRNTPDLVYYQSLYAQKMGWKVQVVDAGLPDMYNSSVVLDDQQVTFFWTLAENSISIAARGEKKSGYLAIGFGRGMVNSYAYVGWVDDTGNGKVSTYWIDGRDASNIHPTHENLTHARCKSENGIITMEFTRPLRPSCDLDDKPECNNIVDPTTPLKVIWAMGAQWSDDHLSVRNMHSFTSSRPIRVLLMRGSAEAEEDLRPVLAVHGFMMFLAWGILLPGGILAARYLKHIKGDGWFQIHVYLQYSGLSIVFLGFLFAVAELRGLSFSSLHVKFGMLAIVLAIAQPINAYLRPKKPGAGEEVSSNRRVWEYIHVIVGRGAIVVGIAALITGMKHLGERYGDEDVHRLMWALILWILVGVLTVMYLEYRERKKRRDRISGRSNWVLGSGEEEDTDLLSPSQAMAEKDAASADCMEVQLEPMGR >Solyc09g008720.2.1 pep chromosome:SL3.0:9:2156111:2158592:1 gene:Solyc09g008720.2 transcript:Solyc09g008720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVITQKNVTFNISLEKPHELSSLNETHALQIEPKIKKKFTNLEKLEVKLGKARAAIKEAATFGNQTDDSDYVPSGPMYWNAKAFHRSYLEMEKELKVFVYEEGEQPIFHNGPCKSIYAMEGNFIYQMETSKFRTRDPEKAHVFFLPISVTSIVHFIYDRNSRGHWDPMRQTVMDYINLVSGKYPYWNRSLGADHFMLACHDWGPELSKSVPELFKNSIRALCNANTSEGFKPSKDVSFPEILLPGGTMNGLLGGPSPSRRSILAFFAGGLHGPIRPILLEHWENKDDDIQVHKYLPKGVSYYGMLRNSKFCLCPSGYEVASPRMVEALYTGCVPVLLKDHYVPPFSDVLNWKSFSVEVPVDRIPDLKKILSGISTRQYIRLQRRGKQVRRHFEVNMFAKRYDVFHMILHSVWLRRLNMRLHGLEYL >Solyc06g016670.1.1 pep chromosome:SL3.0:6:12347376:12347617:-1 gene:Solyc06g016670.1 transcript:Solyc06g016670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLSGSTILRNFVRSTTVCISQLQSSNFFCKRYFFLTLIAFCDIGGCKKDNLTD >Solyc06g060630.1.1 pep chromosome:SL3.0:6:38775646:38778288:1 gene:Solyc06g060630.1 transcript:Solyc06g060630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVVTSGNKTEENIVCYSPSMITTNGVWQGENPLDYALPLFLLQLTLVVVFTRILVFMLKPFRQPRVIAEILGGVILGPSVLGRSKKFTDTVFPLRSVMVLETMANIGLLYFVFLVGVEMDIAVIRRTGKRAIPIALAGMVVPFLIGISFSFMLHRSAHDTKQGTFVLFLGVALSVTAFPVLARILAELKLINSEIGRIAMSAALINDIFAWVLLAFAIAFSENKNMALTSVWVLLSSAAFVVFCIIIIRPLIGWMIKRTPEGESISEFFICIILSGVMISGFITDAIGMHSIFGAFIFGLVIPNGPLGLTLNEKLEDFISGILLPLFFAISGLKTEINAIDGVGSWAILALVIVLACVGKIAGTVIVTLYYRTPIHEGITLGLLMNAKGLIEMIVINIGKDQKVIDQKSFAIMVVAVVLMTAIIIPFVTLIYKPARKFAPYKRRTVQSTKPDSEFRVLTCIHTPRNVPTIINLLEASCPTKKSPICIYVLHLVELTGRSSAMLIVHNTRKSGRPALNRTQAQSDHIINAFENFEQHVGSVSVQPLTAISPYSTMHEDICTVAEDKRVAFLIIPFHKQLTVDGGMEITNPNFRTINQNVLANAPCSVGILVDRGLSGSTRLAANQVSHHVAVLFFGGPDDREALSYGLRMREHPGINLTVMRFLPGVPAHEEARSGSRRSNMNDPNVLTIVTDDDKEKQLDEDYVNAFRLRTANDDSVVYIEKVVNHGEETVAAIRTIDQSHDLFIVGRGQGTISPLTAGLTDWSECPELGAIGDLLASSDNAAIVSVLVVQQYVGMGHGDHILIPDSPGQQLHEHFNFGYTNNRTQIRGQPPQCHTQP >Solyc11g063610.2.1.1 pep chromosome:SL3.0:11:49935195:49936562:1 gene:Solyc11g063610.2 transcript:Solyc11g063610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMIGGSGNWSVPILIGAPDMEFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITSHSGGAVDLAISSLHLSGVSSILGSINFITTIFNMCGPGMTMHRSPLFVWSVLVTAFLLLSSLPVLAGAITMLLTNRNFNTTFCDPAGGGYPILYQHLFWFFDHPEVYILILPGSSIISHIVSTFLGKPVFGYLGMVYVMISIGVLGFLVWAHHMFTVGLDVDTRAYFTAATMIIVFPTGIKIFSWIATMWGGGSIQYKTPMLFAVGFIFLFTIGGLTGIVLANSRLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHYWVGKIFGRTYPKTLGQIHFWITFFGVNMTFFPMHFLGLSGMPRRIPDYPDAYAGWNALSSFGSYISVVGICRFFVVVTITSSSGKNKRCAPSPWAVEQNPTTPEWMVQSPPAFHTFGELPAIKETKSYVK >Solyc02g083430.1.1.1 pep chromosome:SL3.0:2:47399108:47401603:1 gene:Solyc02g083430.1 transcript:Solyc02g083430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSSFSADSPSMAANSTFSPPPAAGDGDFNYDVAWYGNIQYLLNISAIGALTCLLIFIFGKLRSDHRRMPGPTAIVSKLLAAWHATGVEIARHCGADAAQYLLIEGGSSALLLFLALLSLAVMLPLNIYAGKAPMADQFSKTTINHIEKGSPLLWIHFIFVVIVVVLVHYGISEIQERLKITRLRDGYGNPSNSGTNVSAIFSIMVQGVPKTLGFDKTPLVEYFQHKYPGKVYRVVVPMDLCALDDLATELVKVREDISKLVSRIELRGYLNEGEEDEYNNDSVNGRGLLERLCFLWRKAKDTWYHVVDQLGFSDEERLRKLQELRADLEMEMASYKEGRARGAGVAFVVFKDVFTANKAVQDLRNEKRRRYGRFFSVIELQLQRNQWKVERAPLATDIYWNHLGSTKFSLKLRRVLVNTCLLLMLLFCSSPLAVISAIQSAGRIINAEAMDHAQMWLNWVQGSSWLATIIFQFLPNVLIFVSMYIVVPSVLSYLSKFEQHLTVSGEQRAELLKMVCFFLVNLILLRALVESTLEGALLSMGRCYLDGEDCKKIEQYMTASFLTRTCLSSLAFLITSSFLGISFDLLAPIPWIKKKLQKFRKNDMLQLVPERSEEYPLENQDIDSLERPLIHERSSTVIADNNGFLHDASPNEIDFPGQDLSEYPPVSRTSPVPKPKFDFAQYYAFNLTIFALTLIYCSFAPLVVPVGAVYFGYRYLVDKYNFLFVYRVRGFPAGNDGRLMDTVLSIMRFCVDLFLLSMLLFFSVRGDSTKLQAIFTLGLLVVYKLLPSDKDSFQPALLQGIQTIDNIVEGPTDYEVFSQPTFDWDTYNS >Solyc03g113010.2.1 pep chromosome:SL3.0:3:64797743:64799830:-1 gene:Solyc03g113010.2 transcript:Solyc03g113010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESSYIEKRRGKWGDEVYLVWNIFAKVGKSFSWKHPASHVFPLKTNPLIRCPKGVKPADSMPSHFVMLWPSVILGFKLGVFVRPLCISSVMLEESYHVSRDLPCACEQASKWIYYAFQVIEHSKGKRPLEDVADTGLRKSSKLLDDQEKEEAMQCLHDLSLCQEEHSDKQKNSGTHSDSSSLIHQIGRDLSVNCLLHCSRSDYGSIASLNQSFRSLIQSGELYRLRRQMGIVEHWVYFSCNLLEWEAFDPIRSRWMHLPAMTSNECFMCSDKESLAVGTELLVFGKAIESHVIYKYSILTNSWSSGMKTNTPRCLFGSGSLGEIAIVAGGCDSRGNILSSAELYNSETGTWETLPSMNKPRKLCSGVFLDGKFHIIGGVGVGNLNVLTCGEVYDFKARSWTEVPDMFPARNRGAVANDAPAIAKAPPLLAVVKNELYAAYYAENEVWKYDKQRNLWITIGRLPEQATSMNGWGLAFRACGDQLIVIGGPRALNGRFIEINSWEPTEGAPNWNLLGRKHSGSFVYNCAVMGC >Solyc01g006510.3.1 pep chromosome:SL3.0:1:1093447:1097858:1 gene:Solyc01g006510.3 transcript:Solyc01g006510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sorbitol related enzyme [Source:UniProtKB/TrEMBL;Acc:Q3C2L6] MGKGGSDENMAAWLLGVNTLKIQPFNLPALGPHDVRVRMKAVGICGSDVHYLKTMRCADFVVKEPMVIGHECAGIIEEVGGEVKTLVPGDRVALEPGISCWRCNLCKEGRYNLCPEMKFFATPPVHGSLANQVVHPADLCFKLPDDISLEEGAMCEPLSVGVHACRRANVGPETNILVLGAGPIGLVTLLAARAFGAPRIVIVDVDDYRLSVAKKLGADDIVKVSINIQDVATDIENIQKAMGGGIDASFDCAGFNKTMSTALGATRPGGKVCLVGMGHHEMTVPLTPAAAREVDVIGIFRYKNTWPLCLEFLRSGKIDVKPLITHRFGFSQEEVEEAFETSARGGDAIKVMFNL >Solyc09g082540.3.1 pep chromosome:SL3.0:9:68684850:68691050:1 gene:Solyc09g082540.3 transcript:Solyc09g082540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESDAKAETSLKDQGNEFFKAGNYLKAAALYTQAIKKDPSNATLYSNRAAAFLHLVKLSKALADAEMTINLKPEWEKGYFRKGCILEAMERYEDALAAFRIASKYNPQSSEVSKKIKTLTQLAKDKKRTEELENMRTNIDMAKHFHALKSELSEKYGAEDGWKEFFSFHVETVEGAVKSWHETSKVDPRVYFLLNKEKTDTEKYAPAVNIDKAFESPHTHSNCFAFLRQYAEDSFAQAACLVTPKSIISYPQVWKGQGSRKWKHGQNDGIFVQFESPLVRKLWFIPSSTEKGKTLCRDPVALDISAHEILPRVFKQE >Solyc02g091260.1.1.1 pep chromosome:SL3.0:2:53251701:53252135:-1 gene:Solyc02g091260.1 transcript:Solyc02g091260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVLLIPSNLSFSNPKKLLIPLRRSKGKIFAHLNDSCDWDYRGRLVDESMIILRKRVHEMKVIERNYEPPEEWMEWEKQYYANYDELICNLVGCLQMKLLSTRPTLALGMLILLIISVPASTAMIFSHFIHWTSGVLSTVNLG >Solyc05g010200.1.1.1 pep chromosome:SL3.0:5:4383694:4384041:-1 gene:Solyc05g010200.1 transcript:Solyc05g010200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFNLIFFILIALFVPSNKKMVQAIQPHESLLAKSEKIFQPFLAVHEISRKLNDVQQGSGSSYRLVPSGPNRAESPSDYPTPPIKPDKRLYKLNASRKLNGGQRGLGSSYRFIY >Solyc03g034430.2.1 pep chromosome:SL3.0:3:6429414:6435694:1 gene:Solyc03g034430.2 transcript:Solyc03g034430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQNIGASNRDDAFYRYKMPRMLTKIEGRGNGIKTNVVNMVDIAKALARPPSYTTKYFGNELGAQSKFDEKSGTALVNGAHETPKLAGLLENFIKKYVQCYGCGNPETEVIITKTQMIQLKCAACGFLSDLDMRDKLTTFILKNPPEAKKGSKDKKAMRRAEKERLKEGEAADEELKKLKKETKKKVSSKDANAKPSSKKKQGSSDEDRASPPRSHVNVKEEEDEDDDDDVQWQTDTSLEAAKQRIQEQLNAATAEMVMLSTVESEKKSKAPSPKAASVTTEISKTENGVSNHERLVREIKANLAKGVTACKLQSFLGSLAGSPQEVITAFYEALLDGIEKGFAKEVIKKKSYLAAIAQDEESQLRLLQAIEEFSGKSNSVALKEVALVLKALYDDDLLEEEYIVQWYNKGVGANKDSKIWKNVKPFVEWLQSAESDNSDDAFYRYKMPRMLTKIEGRGNGIKTNVVNMVDIAKALARPPSYTTKYFGNELGAQSKFDEKSGTALVNGAHETPKLAGLLENFIKKYVQCYGCGNPETEVIITKTQMIQLKCAACGFLSDLDMRDKLTTFILKNPPEAKKGSKDKKAMRRAEKERLKEGEAADEELKKLKKETKKKVSSKDASTKPSSKKKHGGSDEDHASPPRSHTDTSLEAAQQRIQEQLNAATAEMVMLSTVETEKKKSKAPSPKAASVTPEISKTENGVTNHERLVREIKADLAKGVTASKLQSFLGSLSGSPQEVIAAFYEALLDGVAKGFAKEVIKKKSYLAAIAQDEESQLRLLQAIEEFSVKSNSVALKEVALVLKALYDDDLLEEEYIVQWYNKGVGANKDSKIWKNVKPFVEWLQSAESESEEE >Solyc10g080320.2.1 pep chromosome:SL3.0:10:61735833:61745865:-1 gene:Solyc10g080320.2 transcript:Solyc10g080320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRQKLLFRSSPAICPHIVWGFVPVCLKHHINPVKHKLLHTSCQLILQSRGKRFGFLVNCLNGTIENSNNEQQTCYRNGEEISYDLYNESICELCTEGDIDKAMRLLSEMEALGFHPSFVSYSSLIAALGSVGRTSEADAIFQEMLCSSRKPRIKVFNILLRSFLRKGLLRLADKVLMLLDDLAVDRNQETYEILLEYYVSAGRLEDTWLIVAKMRRESYPLNSFVYSKIIELYRDNGMWKKALGIVEEIREMGLRLDKRLFNSIIDTFGKYGELGEALGMFDKMRQEGIKPDFRTWNSLIRWHCKYGHLDTAIELFNEMQEQGLYPDPKIFIIINTHLVEQGRWDDIDTILESMQGRGHHKSGAIYAVLVDIYGQQGRFEDAEYCLNALKLEGLQLSPSIFCVLAHAYAQQGLCEQTVKVLQIMEAEGMEPNLIMLNMLINAFGNAGRHMEAQSIYQHIKEMGITPDVITYSTLMKAFLRAKKFDQFLQSEDDVQHQKLKTLLMLLSVIFEDFDAQPQMYFSSSAILLYQQRNRTPPGAATMNMSTMRLDASSITTAKATATAKVSHRSGTIGYNGTNSCRLIQFQQRKKKASSMIVCSKNPLASVVDRQGVNESGLSRIESLSQVSGVLGCQWGDEGKGKLVDILAKHFDIVARCQINLLTMLAELFNNVFGCKGGANAGHTIYNSEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFNEIDNLESNGVSCQGRILVSDRAHLLFDFHQEVDGLREAELDKSFIGTTKRGIGPSYSSKVIRNGIRVSDLRHMDTFPQKLDLLLSDAASRFQGFKYCPDMLREEVERYKKFAERLEPFVTDTVHFMNDAISQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLVGVVKAYTTRVGSGPFPTELMGEYGDLLRSAGQEFGTTTGRPRRCGWLDIVALRYCCQINGFASLNLTKLDVLSDLSEIQLGVTYRHPDGSTLNSFPSDLSLLEQIKVEYEVLPGWKTDISSIRKYSDLPKAAREYVERIEELVGVPIHYIGIGPGRDALIYK >Solyc12g032920.2.1 pep chromosome:SL3.0:12:37264474:37267240:1 gene:Solyc12g032920.2 transcript:Solyc12g032920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDQPLWMECVPILCLDIGEVHVPDRVMHQLHVLPSWGTNQHVHDRHKRLGSEVLEMLDKYFCDWGNRHQSLAVEVDDGTREAEYRLWYMQYGRLLIGKPGLEVDVSSDIVHSTGSTF >Solyc02g067105.1.1 pep chromosome:SL3.0:2:37903026:37909312:1 gene:Solyc02g067105.1 transcript:Solyc02g067105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKNESQASSSNDDLSMMLNDPQSHLTLDSFLFNNGEDHGGEDKIAEKQGGGCQENDEIQTLSIVEYVIVSFVNGKQVVAENSIFQAKAESAITIPKRSQGHCGSCWAVGAAESLSDCF >Solyc03g058730.1.1.1 pep chromosome:SL3.0:3:27818973:27819140:1 gene:Solyc03g058730.1 transcript:Solyc03g058730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIGNQIVARSIVKMFTCMLSFIALIYPPLLFILLSVLFTIIYFLTNMRRSF >Solyc10g050772.1.1 pep chromosome:SL3.0:10:50533459:50538671:-1 gene:Solyc10g050772.1 transcript:Solyc10g050772.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKEEVGSSSKGTTDMENIAGITSKAKALSMKNRKPEKGDKWIIDSGSYGIAASRLKGYSLPNPKVSCFILQDKAGHTFFSTDLKSIYETTKNVTLDYCYKGKGLLMSADHIPHLTGYCDADWVVFPNTRRLVTCFFPDSLIIGNPKSGILSLGVLQRLSTGVCLGVLQNGVEIAVKKKDMTSHQEFTKFENEVKLIAKLQHRNLTKFLGYCINGAEKFLVYEFRSNNSLHKILEEGVQ >Solyc09g091940.2.1 pep chromosome:SL3.0:9:71616015:71617857:-1 gene:Solyc09g091940.2 transcript:Solyc09g091940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTTPSFLFLGILSSSIATSGGLFCCFLCSLLLQSFRKPFTALLRSQTIISSLLAFTSTSCSSFPILFPLISAHGKGVEWVGGEESEDGLYQKKRWRKTIEENIK >Solyc11g039650.2.1 pep chromosome:SL3.0:11:43528029:43555126:-1 gene:Solyc11g039650.2 transcript:Solyc11g039650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIEELEQLSDSMKQAASLLADEDVDENSSSSSKRPSTFLNVVAIGGTGAGKSAVLNSLIGHPALPTGEGGATRAPICIDLKRDSSLSSKSIILQIDSKSQPVSASALRHSLQDRLSKISSKSRDEIYLKLRTSTAPPLKLVDLPGVDKSNLDDSMTQYVEHNDAILLVVISAAQAPEVASCKAIRIAKEYDSECTRTVGVISKIDQAASEPKVLAAVQALLSNQGPRGTTDIPWVALIGQSVSIASAQSGNVGSDNSLETAWRAESESLKSILTGAPQSKLGRLALIETLAHQIRSRMKVRLPNLLSGLQGKSQVVQDELVRLGEQMVHSAEGTKALALELCREFEDKFLLHITGGEGDGWKVVASFEGNFPNRIKQLPLDKHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLEQAKEPSRLCVDEVHRVLVDIVSSAANATPGLGRYPPFKREVVAIASDALDGFKTDAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNRGSKKAHEAEQSMLNRATSPQTGSQQVGGNLKSMKEKPSQLDKDASEGSALKTAGPEGEITAGFLLKKSAKTNGWSKRWFVLNEKTGKLGYTKKQEERHFRGVITLEECILEEVADEEEAPAPTKSSKDKKANGPDVAKTPNLVFKITSRVPYKTVLKAHSAVVLKAESVADKTEWLNKLRIVISSKGGQVKGESAPPIRQSLSDGSLETMTRRPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLTKLYSSISAQSTAKIEELLQEDQNVKRRRERIQKQSSLLSKLTRQLSIHDNRAAAAAIYANGEAESSPTASSPSSGDDWRSAFDAAANGSSSHSRYGSSGSSRRYNEPAENGDTNSRSSSASRRTPNRLPPGPPASGSGYRS >Solyc08g007712.1.1 pep chromosome:SL3.0:8:2214420:2215816:-1 gene:Solyc08g007712.1 transcript:Solyc08g007712.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIPTSKMPNVFLDVRQDLVYAYGCPSRLVRPIWKLKRAPKRAYPLFRRFSCTIEHYFLGDPDSDVKNAKFFCGYLSRPSICIRLAITACPIHLEGQMSPEASIPLISTIFVCYSTPFFGCSDSDVKNVNFFRGRPSRPFLCIQLSITAFPTHLEGQTSSEASIPLILMIFDCMAHHFLGDPDYDVKRAPKQAYPSFRRFSCAIAHHFLGDPDSDVKNAKFSRGRPSRPCLCMRLAITACPPHLEALNFLGDPDSDVKNAKNFRGRPSRPCLCIRLAITAFSTHFEGQTSTEASIPLISMTFEGFRRQKCQIFSWTSVKTFSMHMVGHQGLYDPFGMSNEPRSEHTLQFDDFHVL >Solyc05g013780.2.1 pep chromosome:SL3.0:5:7090805:7096352:1 gene:Solyc05g013780.2 transcript:Solyc05g013780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAGEEDNDDVLSDVEADDPVPIDIINSSSPEDVSIEKFREILAELDRERQARLAAEDSKSQLQVSFNRLRVLAHDAIKKRDEHSRQRDEALREKEEASTTVEKVTEELKEVTQQRDEFCKELEEVKKAKDSMRTEMETSGSMLVSGIDKISGKVSQFKNFVAGGLPRSQKYTGLPAVAYGVIKRTNDIVEELLRQIESTAKSRNEAREQMDHRNYEIAIEVSQLESTISGLRDEVAKKASVVESLEKSIGEKDEKLSKLEQEMCEKQKTLESKVGELRDLVKEYEGKLSSSESKLEMQRSLLAEQLKYVTKIHEQIYNAVKVVDPRKASELSESLFLAQEMDMEENIRAVLAGLESIYEMSEFVLQKTRDLLEEKSHEVKRLNESVSQLVKEKEQIGSLLRSALSKRISVDLSSKTNELFKIAENGLREAGINYKFNNYVGDGKITASDNKMHAANTEEDEVYALAGALENIIKQSQVEIIDLKHTVEELREESSLLKEHVETQAKELSQWKQRVEELEEKERVANENVEGLMLDITAAEEEITRWKVAAQQEAAAGKAVEQECAAQFVAVRQELEAAKEAVLEFEKKLKFKEETADAAMAARDAAEKSLRLADLRASRLRDKVEELTRQLEELDGRETSTTGLNRPRYMCWPWQWLGLDSVGMRRVETQQEGANEMELSEPLL >Solyc04g081280.3.1 pep chromosome:SL3.0:4:65394721:65407811:1 gene:Solyc04g081280.3 transcript:Solyc04g081280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease [Source:UniProtKB/TrEMBL;Acc:K4BVK7] MGVPAFYRWLAEKYPMVVVDVVEEEAVVIEDVRIPVDTSKPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTTFEEVFECMFDYIDRLFSMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRSAKDAADAAAEEEKLREEFEREGRKLPPKQESQVFDSNIITPGTQFMATLSIALQYYVHLRLNHDSGWKNVKVILSDANVPGEGEHKIMSYLRLQRNLPGYDPNTCHCLYGLDADLIMLALATHEVHFSILREVVFTPGQQDKCFLCGQMGHLAADCEGKAKRKAGEFDEKGNAEVVAKKPFQFLHIWTLREYLEFDMRIPNPPFEIDFERIIDDFIFICFFVGNDFLPHMPTLEIREGAINLLLAVYKKEFRSMEGYLTDGSQPNLSRVEHFIQAVGSYEDKIFQKRARLHQRQSERIKREKAQLKRGDDSAPRAEPESLVPVARFSGSRLASGPSPSPYQQGATARRPQQGGTVRRPQLQQGTSSLSVLDFPNEYYEPVDDTRSIFRTKKAARLSSDATIGAAIVEAEDSLETEVLENKEELKTKLNKLIREKNDVFNSENPEEDKIKLGVPGWKERYYEEKFSAKTPEEMEEVRKDIVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKGLGELNITFELGSPFKPFNQLLGVFPAASSHALPEQYRQLMTDPNSPISDFYPSDFEVDMNGKRFSWQGIAKLPFIDEARLLAEVAKVEHTLTEEEARRNCVMNDMLFVSLSHPLSPYIFSLDDRCKQLTDDERVEVKERLDPKASGGMNGYISLCMGDPCPPIFRAPMDGLEDIMDNQVICAIYKLPDAHKHITRPPKGVIFPKKMVTFSDIKPDPVLWHEDSGRKPWENGRNHHPGGNSGRHLGEAAHRLVSNSLQIRSGRGDNLHAQPGPYPSGPFPSSQGYNGQGHVRPNFDYSARDETAAAPYQYDQSYHPAYIPAAVDPYSRAPPLYEMGGQPAPPSRDYHRHGHQAAVTQQNVGYSYSSHTNRQQHVSQSPVPPIDHFHQQSRYSSYPNYHEPYEPGSYHPPYEPVSYHPPYEPGPYNPQDGVWAPQVNQNAGRGYGNPRPSSNHFSALGRRNDRGPPSSGYRR >Solyc03g006920.3.1 pep chromosome:SL3.0:3:1488708:1506101:-1 gene:Solyc03g006920.3 transcript:Solyc03g006920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFHVFLSLEKMDEVRMHRQYRIELRRREQAREALLRGGVLPPPAVGSSCVKGERPSMEDEISIHPNFCSPPINGGRPIHFYAVFDGHGGPQVSALCREKMHLIIEEELMRPENNTNMTSSSSSSYRREERDMEATWIRILQRSFQRMDKMICFNCDCPTLTYSYLCPPNHDLRQIGSTAIIAIVTDHAIVIANCGDSRAVMSSAGNTIPLSFDHKPSRRDELARIRAAGGELVYSNGLRVQGVLTMSRAIGDSFLKPYIISDPEFTFVNRKVNDECLILASDGLWDVVSNETASQIATVCVQGEAIFFSPSESAASLLTRIATGRNSTDNSHNLLAPLAGGIAAAASLLILFTLCFRKISLKRTVPSSDSESKPPHRFSYTSLRRATSKFSPSLRLGQGGFGSVYRGTVKSPTTNSNVSVAVKVMDAGSLQGEREFQNELFFAGKIDSKYIVSTIGFSSDQRGRRMLLVYELLANGSLQDCLLHRKCSELKDWKKRFTIALDIAKGLEYLHHFCDPPAIHGDIKPSNILLDDNFNAKIGDFGLARLKAEDHIEIEVRKESPVGNAAEDNGSVAEETESVITVNCLDEFHRGVEQSPESFVRHEASPETVTGVELSPEAPVVFPRTVAAMASPSEGLEKTSLSEGKDWWWKQDTGGTDSGAVKDYVMEWIGSEIKKERPKTEWIGASSSSGPVGKIEKKKHRKRLDWWVSLDDEKNGKEEKRRPAREWWKEEYCEELARKKKKKKKEQGGKGSISDDCHSESWWPRDDELYTAKKKKRSRSRGSKSSMDWWLDGFSSELRRARKNSYDSASGDIPKSGGISSTPSMRGTVCYVAPEYGSCGDLSEKCDVYSYGVLLLVLIAGRRPLQVTGSPMSEFQRANLLSWARHLARAGKLLDLVDQSVESLDKEQALLSITVALLCLQKSPARRPSMKEVVGMLSGDLEAPQLPVELSPSPPSRFPIKSHKKVR >Solyc07g063200.2.1 pep chromosome:SL3.0:7:65867607:65869496:1 gene:Solyc07g063200.2 transcript:Solyc07g063200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIEEIIFPATSGLKKTDLMAVEQNFVDEDMFTFVSEEDVSLEKGSAIPVPVPTSSSDNITGGYDCNICLDSAHDPVVTLCGHLYCWPCIYKWLQVDRSTPGSEEKCKCPVCKAHISNSSLVPLYGRGTSSPESESKKSQRDVVVPRRPQAIEIATLVNSLSPASHVSQQLHHRRSVPSAFGSYAALAPSSFGGTSTISLSSPTVGVFGEMFLGRMFGNSDTSLFAYPHPNTSTIPGSGGFRMRQVEKSLNRLSIFLCCCIILCLLLF >Solyc11g042940.2.1 pep chromosome:SL3.0:11:34183754:34194449:-1 gene:Solyc11g042940.2 transcript:Solyc11g042940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSSACSCVDFRSWRKLSSRMLECGVSGKGRNPIFTNDFEIGKKLYLGMDFGTSGARYSLIDGDGNIHAEGKREYPLYMKEEKMDWIQSWKDTLFLLLDDVPVSLRKVVASIAIDGTSATTIIIDSRSEEPLSRAFLYNESCPDSLPLVKSIAPAKHTVCSGSSTLCKLVSWWNSYPSSKESAVLLHQADWLLWLLHGKLGVSDYNNALKVGYDPEMDSYPPWLLSQPYSHLLPSVHDPGTKIGCMKEDIRTQFGYPKDCLICTGTTDSIAAFLAARATQPGKAVCFVYLFVMI >Solyc08g069125.1.1 pep chromosome:SL3.0:8:58260038:58264286:1 gene:Solyc08g069125.1 transcript:Solyc08g069125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLVAELTWLTRLLADLSVPPLLPIPIRDVEITDKMKDMKKQEHGLNFDSLELVRSGVDDRDCTGFNGAQIRRYHMKKIKKSRWTTIKMGVKPTLSRILSGSIISNFDMKPSSKVFPPFWGQSQVRYGQQISPTSYR >Solyc01g087250.3.1 pep chromosome:SL3.0:1:82060172:82071217:-1 gene:Solyc01g087250.3 transcript:Solyc01g087250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotenoid cleavage dioxygenase 1A [Source:UniProtKB/TrEMBL;Acc:Q6E4P5] MGRKEDDGVERIEGGVVVVNPKPRRGITAKAIDLLEWGIVKLMHDSSKPLHYLQGNFAPTDETPPLNDLVVQGHLPECLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGLRIKDGKATYVSRYVRTSRLKQEEFFGGAKFMKVGDLKGLFGLFTVYMQMLRTKLKVLDISYGNSTANTALVYHHGKLLALSEADKPYALKVLEDGDLQTLGMLDYDKRLTHSFTAHPKVDAVTGEMFTFGYAHTPPYITYRVISKDGIMQDPVPITIPEPIMMHDFAITENYAIMMDLPLCFRPKEMVKKNKLAFTFDATKKARFGVLPRYANNEALIRWFELPNCFIFHNANAWEEGDEVVLITCRLVNPDLDMVNGAVKEKLENFCNELYEMRFNMKSGAASQKKLSESAVDFPRINENYTGRKQRYVYGTTLNSIAKVTGVIKFDLHAEPETGKSQLEVGGNVQGIFDLGPGRFGSEAVFVPSRPGTEREEDDGYLIFFVHDENTGKSAVNVIDAKTMSAEPVAVVELPKRVPYGFHAFFVTEEQIQEQAKL >Solyc04g077270.3.1 pep chromosome:SL3.0:4:62328800:62332420:-1 gene:Solyc04g077270.3 transcript:Solyc04g077270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKWFILFLLSFYCFIQKISSATTNVINTNQFVIDGETIVSSGGTFELGFFSPNGSTTRYIGIWYKQILPYVQTIVWVANREKPLTNTSSVVLKVNKPGILALLDEKNETIWSTNTSRSVQNPVAVLLDSGNLVVKDANDDNPENFLWQSFNFPTDTLLPDMKLGKNFKSGVEAYLLAWKNDNDPTPGEYTLLIDPTGYPQGVIRRGARVSARAGPWNGLRWSGAPAPLQTQSSIYTFQFVFNEEEVYYSFSLINNSVLTRLVLTNNGYIQRLTWVDRTKSWHLYLNIPLDTCDTYSLCGAYGSCVIDSSPVCGCLDKFEPKYQQNWQTGDWSQGCVRKTSIDCNKEHGFVKYSGIKLPDTNNSWYNKTMTLEGCRQVCSTNCSCIAYSSLDISNGDKGCLFWSGELIDIRELSGRGQDIYIRMDSSDLVSYINSKKLIKKMVVVSQASSNRNKTGILAVSFSVLVAMILLGLILFMYIRKKRKLKLKEDFELPQFQLSIITRATDNFSVNNQIGEGGYGPVYKGVLEEGQEIAVKRLSRTSMQGIDEFKNEVTYIAKLQHRNLVRLLGCCIQGEEKMLIYEYMPNKSLDSYIFDQTKKKLLDWSRRFDIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDTEMNPKISDFGMARSVAGNDMGAKTCHVVGTHGYMSPEYAVDGIFSVKSDVFSFGVLVLEIVSGKRNRGFVHQNHNLNLLGHAWKLYKEDRSLELIDEKIAESCHISQVLRSIQVGLLCVQQCPEDRPNMSSVVQMLGNESLLAEAKEPGFFMERTVHDSDNSGMQTGSSNNEMTMTMLDPR >Solyc01g010506.1.1 pep chromosome:SL3.0:1:5444000:5447707:1 gene:Solyc01g010506.1 transcript:Solyc01g010506.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSKSMLRAQHHALPENYRCSLDVFRCFSEFSTANGQSLKLTQGETSKTEEKKADVGLDDIHKDEHAKNTESSTSERQALDENQRNFSFTSFLLYHREKSMQASSIPKSINFIRGNLAMLSVNVTCHNLSHEADLDNQIHSFFSKEVPPISSSSSSLCHDIDHREYNKLPAQKLPSIPFSKPSQRINIKKES >Solyc12g036177.1.1 pep chromosome:SL3.0:12:45260949:45262340:1 gene:Solyc12g036177.1 transcript:Solyc12g036177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCLTNDSNTSMFLMTHVMKPFIEKFKIPSMKKPHEKVRLHLDKKNQDVDKKANKGRKRIVFELGDFVWYIFARSNLPLIA >Solyc12g077595.1.1.1 pep chromosome:SL3.0:12:18791915:18792189:-1 gene:Solyc12g077595.1 transcript:Solyc12g077595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTDSKIFRNLKAHGLACEISEDLYHLSKKVVANRKRLETKNKDKDSKFRFILVESRIHRFCSLL >Solyc11g013750.2.1 pep chromosome:SL3.0:11:7104148:7109180:1 gene:Solyc11g013750.2 transcript:Solyc11g013750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSSVLLSYRAEDTATAFTDHLYTALIQAGIQTFKQGIDRNWKVSVIILTEGYALCQSCLEQLNVILKGKEKLDRSILPVFYDVDPSDIRKHKGKIGEALGLHEQSSGKESVERWRQALAEIADFGGMVLQNQADGHESKFIRKIVNVVTNRISRSGLYVAPHLIGIERRTRHINSWMRDGSSDVGLLVVCGMGGIGKTTLAKFIYMSNFYVFEGSSCVLNIREASKQPNGLVKLQKQILSEILKKDNERVSCVDEGIVKISDAVGGKKVLLVLDDVDDSNQVNAVFGMKSLFYPGSKIVITTRQKRILNPHLVDKVYRVETLGRDESLELFSWHTFGKPHPEEGFLECSNKVMERCGGNPLALRVLGSSLAGKSLDVWQSTINKLKVVPNNRIIELLKISYESLEDNDDRSLFLHIACFFLGKDKDFAVKILDKCEFFTVVGIQNLIDKDLLSVPDGHLVMHELIQDMGREIVRQESLEEPGRRSRLWRHGESFHVLKNKTGTETIEGIILDGNMLKDHEKIRIREFLHTSQPERSWMSIFSSHTMDNLGVPNEDLVTDAFIKMQKLKFLLLSNVQLCGCYKKFPKKLRWLSWHSLRLESLPSDMPLESLIALDLRYSSLKQLWKGPKLIRCLKFLNLSHSYQLGRTPDFSELPNLEQLILERCINLTEVDDSIGYLEGLTLLNLNGCTKLRRIPESICMLKLLETLDVSGCCNLEYAAMDLPAKIADGIGMNQIETSKHVRPWNPVLWLWLWKDKVCRRISSISFPASLVTLGLSECNLGDNAFLHVDLSKLNALKDLNLSGNPISYPPESIIHLSRLEKLSLTACTRLKSISQLPNGVDTVDANDCISLEKVCGLPSSCGVLYINCANLIEMDPYFKLEPLENVNEEILRYLSLSNLEMIRNVAFRLRFDIETLYSNPMALPKFVQNDITNIRCLPPKRLPAQGFYCNSIFSTFLLSEHMPSWFNTKLSEPFHSSFMVPVNQQIRGLSFCFVYTCSESKETVSEGFLPCISISNLSQTMNWKQDPLFIGIPEGDQERMMWLSYWEIGNSLQPGDVIEVSASVGLAKTRFSIKEVGMRIIFLEEQQESAKFDIEEFPSPRHQNLLFVRVFPR >Solyc08g083325.1.1 pep chromosome:SL3.0:8:65939865:65940588:-1 gene:Solyc08g083325.1 transcript:Solyc08g083325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWPFHYTQPPESSADMIGMPHICLYRELFSFRYTRRQKRRAYTTKRTEGPIARPKLKSSREGPGPSMSIYFPIIQCPQLPSA >Solyc05g054150.3.1 pep chromosome:SL3.0:5:64951325:64956923:1 gene:Solyc05g054150.3 transcript:Solyc05g054150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSEEEKKEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKININGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRLTFDNIDRWLNELQTHSDMNVVIILVGNKSDLKDAREVTTAEGKALAEAKGLFFIETSALDSSNVTSAFQTVVREIYNILSRKVIQSQELQKKDSGRLANGKSVVLQADEGNEDTVADTKKGGCCSS >Solyc08g006850.3.1 pep chromosome:SL3.0:8:1388439:1408950:1 gene:Solyc08g006850.3 transcript:Solyc08g006850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKMATTKSFLILIFMILATTSSTSATLEDVVTILSIDGGGIKGIIPGVILEFLEGKLQELDRDARLADYFDVIGGTSTGGLITAMITTPNENNRPFAAANEIVPFYFQHGPHIFNSSTGTFLGPSFDGKYLLQILEDNFGETRLHQALTEVVISSFDIKRNKPVIFTKSNLTNYPELNATMYDICYSTAAAPTVFPPHYFVTNTSNGDTYEFNLVDGGVASVGDPALLSVSVATKLAEEDPAFASIRSLNLKKMLLLSLGTGTNSEFDKTYTANETAKWGYFQWKSVIPPMLDASSSYMTDYYLSTVFQALDSQDNYLRVQENALTGTTTDMVDASVANMDLLKQVGKNLLKKPVSKDNPETYEQALTRFAQSLIDRKNLRANKASTFATEEMVTVLSIDGGAIRGIIPGVILRYLEAELQRIDNNTDARVADYFDLIGGTSTGGLVTAMLTTPNENNRPFAAANEIVPFYFEHGPKIFEPSGNPLFGPQYNGTYLMQVIQEKVGETFLNQTLTEVVISSFDIQTNKPVIFTKSSLAKSPELNAKMYDICYSTAAAPTYFAPLGFNTSHNGDQYRFNLVDGGVATVGDPALLSVSVATKLAEEDPAFSSIRSLNFKRMLLLSLGTGTTSDFDKTYTAEQAATWGILQWGSAIQAMTGAASSYMTDYYLSTVFQALDSQDNYLRVQDNALTGTTTAWDDASMANMLLLEQVGNALIGSTTSMDNASQANMKLLVQVGEILLKKQNSKEDPETNEEALKRFAKLLSERKKLQANKMFH >Solyc12g099860.2.1 pep chromosome:SL3.0:12:67800870:67813998:1 gene:Solyc12g099860.2 transcript:Solyc12g099860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGSLVQKIGNAIMEVARNKGSTWWYTPHMAAASRAITERVPLVDILLEVRDARIPLSSACELIKHHSPSSRRIIILNKTDLANQIQLKEWLKYFEEQKSLVFGVNSHNKDNIKELLNFLRARVRELSKIGHGDQTITLMLVGIPNVGKSALANSLHQIGRISAAEKGRLKHAIVSPHPGETKNISGLKIASHPSIYVLDTPGVFPAEILDAEVCSNLALTGAIRDCLVGEVDLAEYFLSIFNVSNEYKKWANLSLSGADDCSELERKQKRQYLTDHTQDFIVNKVRRTLFEAVSSFNGNLHDEEIMSRLIKTEFAVLRDAFNLPPDSDDYVHKVAAKLLNLYRTGRLGHYTLDLGTPFTVISKAQMQLELHQRLSQAEYMLLNLDLAILGKSGMMVLLYILLP >Solyc09g075390.3.1 pep chromosome:SL3.0:9:67520284:67535429:-1 gene:Solyc09g075390.3 transcript:Solyc09g075390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATSMSVSIECVNICKSWKGDVSGRLDCSALSCAWKAPRALTGFLASTTHPTQCSSTPFGRYGRRDRLRRCRCYDVDERYPVEVLRGVPGSMLLLSASSKWKLCCSSSFSSELYEEISPESLWEDLKPTISYLSCKELELVRKALNLAFEAHDGQKRRSGEPFIVHPVAVAQILGQLELDWESVAAGLLHDTVEDTDVVTFERIEKEFGATVRRIVEGETKVSKLGKIKCKDESHVQDVKADDLRQMFLSMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSGIATETLQVFAPLAKLLGIYQIKSELENLAFMYTNAEDYARVQRRIAELYKEHEKELEEAKRILMKKIEEDQFLELVTVKTEIQSICKEPYSIYKAVLKSKSSINEVNQIAQLRIIIKPKPCVGVRPLCSAQQICYHLLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGKGFVNGLVGHVITNDKSSGGKIVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTVTRDLLGSRVFVFTPGGEIKHLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLVKPMHVLANAEVVEIITYNGLSSKSAFERHKQWLQHAKTRCARHKIMKFLREQAALSASEITVDSVKEFAAESEGDSTVEELADYSKGTKHSWEKILKNVMEVSSARTNGEDIFQLRSGSIQIPKVNGKHNKCMQHTSLKATGETLSQGNGVGEMILANIPRYRDVLPGLDGWLASKVATWQNLEGHSVQWFCVVSIDRKGMMADITSALAAVGVTICSCAAETDREKGIGVALFHIEADLESLVGASLKIDMILGVLGWSTGCSWSENKQFLEC >Solyc01g102730.3.1 pep chromosome:SL3.0:1:91333852:91339245:-1 gene:Solyc01g102730.3 transcript:Solyc01g102730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEKPPVCVTGANGFIGSWVVQTLLNRGYTAIYAAIFPGSDPSHLHSLAGASNPGVRILVHEVNILDADAVSRAIEGCGGGGVFHVASPCTLEDPVDPQKELVGPAVQGTINVLTAAKLYNVRRVVLTSSISAMVPNPGWPENKVFDELSWTDLDYCKSRQKWYPVSKTLAEKAAWEFAEKNGLDVVAINPATCLGELLQPGLNASCAVLQQLLQGSTDTQEYHWLGAVHVKDVAAAQILLFESPRASGRYLCTNGIYQFGDFADRVSKLSPEFSVHRFTGETQPGLVACKDAAKKLIDLGLIFTPVEDAVKDTVNSLKAKGLLN >Solyc06g061070.3.1 pep chromosome:SL3.0:6:39214439:39216890:-1 gene:Solyc06g061070.3 transcript:Solyc06g061070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:K4C6T7] MALRMWASSTANALRISTTNNIIAPSFSISRCFSTVIDGLKYASSHEWVKHEGSVATVGITDHAQDHLGEVVFVDLPDIGGSVSQGSSFGAVESVKATSDINCPISGEIVEVNTKLSETPGLINSSPYEDGWMIKVKPSSPSELESLMGSKEYTKFCEEEDSH >Solyc02g072030.1.1.1 pep chromosome:SL3.0:2:41898144:41899277:-1 gene:Solyc02g072030.1 transcript:Solyc02g072030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGKKKPSSSLMTHVFPVSWLSKFKQKKVCRSEDQEGAKMRKVDLRTNVCLKQGRFYEDDPYWRISFSEENHPQNPLWCGECDQNSKSSLGEENHKFNDMVSRKISEKPKNEAEFSNRKRNSVKDEKLRKLSRKALEERIAENAREEVTEKDIFEIEPEDEKVMKRGKEKPTAYKSRKARSLSYNDSSPNSVEESCMMFTSLNLEEEADALSEEEFESECLKIKEMSEKSGCQQRKSVYINQKRRRKHGIKVRAYSPRTAKMECRIKALEDMKKARMKTRHETKESFTGDRTVFDSYAIMKSSFDPFSDFRDSMIEMITQRGIKSSEELEELLACYLTLNCDEYHDIIIKVFRQVWFELNQINIGEELQKCCCSDE >Solyc08g068160.2.1 pep chromosome:SL3.0:8:57325569:57329680:-1 gene:Solyc08g068160.2 transcript:Solyc08g068160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CM40] MDSYLKELQGKTSHDPYFNHHNKMIMNKCVFVNGPVIVGAGPSGLAAAACLTSKGVQSLVLERSNCIASLWQLKTYDRLSLHLPKQFCELPLMPFPHDFPTYPTKQQFIKYLESYAITFNIRPLFNQTVVSACYDRNLGLWRIRTDTTTSSTEFVTRWLIVATGENAEAVVPDIEGMEEFDGSIMHTSLYKSGEIFKRKKVLVVGCGNSGMEVCLDLCNHHATPSLVVRDTVHVLPREMLGKSTFGLSMWLLKWLPMRLVDRFLLITSRLLLGDTSRLGLDRPEIGPLELKNLSGKTPVLDVGTLAKIKSGDIKVCPGIKRLLKHHTVEFVNGQTEEYDAIILATGYKSNVPSWLKEKEMFSEKDGLPKRPFPNGWKGECGLYAVGFTKRGLLGASIDAKKIAEHIHQYFQ >Solyc12g014570.2.1 pep chromosome:SL3.0:12:5569763:5576649:1 gene:Solyc12g014570.2 transcript:Solyc12g014570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLRSLSWSFVLLTCFSVAAQGVNRTKWLTLNGNAPLVIARGGFSGLLPDSSYDAYIMGLETSLPNAIIWCDVQLTKDGVGICFPDLKLDNASDIDFYFKNKKSKYLVNGVPTQGWFSIDYNLKDLVHVTLKQGVYSRTQRFDGSQQSILTVQDVVNQVKPPGFWLNIQHDNFFSQHNLSMKSYIISLTRGVLINYISSPEVNFLRSILSRLNPRVTKLVFRFLGQDDVEPSTNQTYGSLLKNLTFIKTFASGILVPKSYIWPVDNSLYLQPYTSLVLDAHKEGLQIFASEFANDVPFAYNYSYDPTSEYLSFVDNGNFSVDGVLSDFPVTPSATIDCFTHLDKNDKPQEKLLVITSEGASGDYPGCTDLAYTKAASDGADVLDCPVQMAKDGIPFCLGSINLIDKTTVFRSPFINIASTVPELNITNGIFTFNLTWDEIKSLKPEITNPWLEFRLYRNPKARNEGNFVSLMEFLTYAKNATTVSGVMISIENAAYLANQGFGVTDAVLEALRNAGYNNQTAKKVMIQSKDSSVLKEFKKNRYELVYRVADDISDIESSTISEIRSFASSVILTKKSVFPSESQFLVGKTNVVEKLHSSNLSVYVQHFNNEFVSQPWDFFSDSSVEINNYFVGAGIDGIITDFPRTAARYRRNRCLHYKVPPMYFSLVSPGVLQQFMSRQSLPPAEAPSPILTESDVVEPPLPPVAKITPTARNESTASAAPTSPTGQSSVVAGVFTSCLTILLADLVIF >Solyc06g061220.2.1 pep chromosome:SL3.0:6:39339335:39339695:1 gene:Solyc06g061220.2 transcript:Solyc06g061220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYGFYFTIVLVMMSVYFGECSRNGTRETWLSVTEPKLKLNV >Solyc10g018515.1.1 pep chromosome:SL3.0:10:8538204:8540901:1 gene:Solyc10g018515.1 transcript:Solyc10g018515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIFRFEIVGRGKLGHLIGETRKPEPGDPKINAWRSENLMVFTWLLNSMDSAIELDQCYNDGCDCPKDSVNAMKREENERVYLFLAGLNQEFDELRSQILEKKPLPTLRGTFSEIRREETRRNVILKIDPNLETKNKHFSSLVVAKNENDRLKKPWCDFAKNIGTPGKVSGRMIGNAREFEGCYFLEDEQGTNKGITGLHEKNQNQEKEIKDSRQNQISTPQDLTDTQRNSIEPNCDLPLVTLDLDIPIAKRKGVGKVTNHPM >Solyc12g019597.1.1 pep chromosome:SL3.0:12:10793215:10794024:1 gene:Solyc12g019597.1 transcript:Solyc12g019597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYEFSQQDIKFLGVNWQAPRNVKDFRSFIGLAIYYRKFIVGYSKREETVTNLLKKDTKWVWSERCQEAFQKLKEAIANEPMLKLPDFKLLFEVHCVASTKDIGGMLVEEGHHVQKIVVIVHLRTDNVENTFYAFSQKVVSIVYYILKLDIGFFYRIKLYQDGTMRQYWIEDDLLHFKRERIVVPNQSGL >Solyc07g017777.1.1 pep chromosome:SL3.0:7:7977324:7984036:-1 gene:Solyc07g017777.1 transcript:Solyc07g017777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFATPVPCFFFQVKMRCWKMLHPLRWQQDKPFQVDQYKSKRPAKSEQISSCFQNKSVYMVRATSLDEHYLETR >Solyc05g010565.1.1 pep chromosome:SL3.0:5:4781549:4782038:1 gene:Solyc05g010565.1 transcript:Solyc05g010565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTSIMALFVVAFLIASCDVATGMRKCSTTDDCNNHENMFPKCICVDGTCVCSSLNAHSIDHEDSGSSGKY >Solyc04g072870.2.1 pep chromosome:SL3.0:4:59915401:59916305:-1 gene:Solyc04g072870.2 transcript:Solyc04g072870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHKIIAITIIISFLSNTESTCLPFSCDTSNPDTIKFQFCNSSLPVDQRVDDLILRLNLDEKISQLGNSAPAIPRLNIPAYEWWSEALHGLSMEGLGVKFNGSIKAATQFPQIILTASTFDEHLWQFQERQEQCTMQVN >Solyc10g080397.1.1 pep chromosome:SL3.0:10:61805383:61808435:1 gene:Solyc10g080397.1 transcript:Solyc10g080397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWWKVNEPRFPILAEMVRDVLAIPISSVASECAFSTGGRSLLKNPNTNPEDFFKLHFPVEMVLWVTGFFVVVALEIQSDSIRLLGFDNKWVCIEDSIRLLLRNGFVISHLLDGNEMGSDQNSL >Solyc09g009470.1.1.1 pep chromosome:SL3.0:9:2898161:2899420:1 gene:Solyc09g009470.1 transcript:Solyc09g009470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPVEVIGNILSRLGAARDVVIASSTCQKWREAWRNHLHTLMFNSNDWPVYHELTRSRLEIIVTQTIFQTNGLHCLSILMDDVDEFSAAPVIAWLMYTRETLRQLHYNVRTTPNINILEKCGRQRLEVLALAHNTITGVEPSYQKFPCLRSFSLSYVSISALDLSLFLTACPKVEVLSLVSIDIVMSDPQATMELTSNSLKDIYVESISLDKIILEADSLEKLHLKDCTLEVFELVSKGSLSHLKIDDVSVIHLDIGESAEDLEIVDVSNFTIMWSKFHHMIAKSSKLKRLRLWGVVFDDEDEAVDIETISTCFPQLSHLSLSYELRETALHYESQDSFQLENVTVLELGWMVIGDLFSHWVAGLLERCPNLRKLVIYGVVSETKTHEECHTLANFTSFIVKLMRKYLHVDVQFEYE >Solyc08g044350.2.1 pep chromosome:SL3.0:8:21449671:21450776:1 gene:Solyc08g044350.2 transcript:Solyc08g044350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERQVHSAVLRSMQLICVGEYH >Solyc07g015970.2.1.1 pep chromosome:SL3.0:7:6053104:6053337:1 gene:Solyc07g015970.2 transcript:Solyc07g015970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIMLKPKGKNTKKAEAADEDDGAVAAVGKFVKEWGTWSAKKAKVITHYGFIPLVIIIGMNSEPKPSLSQLLSPV >Solyc03g097840.3.1 pep chromosome:SL3.0:3:61600672:61604549:-1 gene:Solyc03g097840.3 transcript:Solyc03g097840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSDRKSLIPSYLYSTTSANSSKNLSSAFDNHDNMDKKNLLIAAPKEGSGYKVEMYSPAFYAASTIGGILSCGLTHTAVTPLDLVKCNMQIDPAKFKSISSGFGILLKEQGIRGLFRGWAPTLLGYSAQGACKYGFYEYFKKYYSDLAGAENAVKYKTLIYLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVKAEGAAGLYKGIVPLWGRQIPYTMMKFASFETIVEQLYKHAIPTPKDQCSNTTQLGVSFAGGYLAGILCAVVSHPADNLVSFLNNAKGATVGDAVNKLGVWGLCTRGLPLRIFMIGTLTGAQWGIYDSFKVFVGLPTTGGAAPPAQK >Solyc10g007470.3.1 pep chromosome:SL3.0:10:1808979:1813384:1 gene:Solyc10g007470.3 transcript:Solyc10g007470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPTETPIKKPKRLTSVVWNHFERVRKADICYAVCVHCKKKLSGSSNSGTTHLRNHLLRCLKRSNYDVTQILAAKRKKKDPTLAVVTYEEGQRKEETISPVSAFKFDPEVKKEEVNVPINLGSVRFDQERSRLDLARMIMLHGYPLAMVDHIGFKIFVKNLQPQFEVLTNSAVELDCMTIYAKEKQKMYEAIHNLHGRISLSADVWDSSENARYMCLTAFYIDEDWKLQKKMLNFITLDPSHTDDILSEVVVKSLTDWAIDRKLFSMTFDHCTGYEELIFRIKDWLSQNRPLLKNGELFDVRCAVQLMKSIVSDVMEAIRDVTHKVRESIRHVKSSQVTLGKFNEIAQQAAISGERPLILDCGQQWSSTYLMLEAALDYRGAFCLLEEHDPTYTSALSETEWDHASVVAGYVKLFVEVTNVFTTNKYSTANIYFPEICDIHIQLIEWCKNPDNFLSDIALKMKEKFDRYWSKCSLTLAIAAILDPRFKMKLVEYYYPQIYDSDAPNQIKAISDAIRELSNEYAMGSSSLDPDTAGASGSLASTTIGTRDRLRGFDKFLHETSHNHNMTSDLDKYLEEPVFPRNYDFSILNWWKVHTPRYPILSMMARDILGVPASTLGPELAFNNRGRVLDYHRSSLNPDAREALICGQDWLRMESEEYNSPHIYTAVPLTVESK >Solyc06g051390.3.1 pep chromosome:SL3.0:6:34803359:34821759:1 gene:Solyc06g051390.3 transcript:Solyc06g051390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKFFEKLNPTPQSNAPEGSEKLTDLEATVSVHYGIPSTASILAFDPIQQLLAIGTLDGRIKVISGSNVEGLFFSPKPLAFKNLEFLQNQGFLVGVSNGNEIQVWDLENRRISSSLQWESNITAFSVIYDTHYMFVGDEYGYLSVLKYEEGIMELLPYHMPPNLIAEAANISMPDQLAIVGLLPQPNSHGNRVLIAYENGLIVLWDITEDRAALVREYKQHQSKDEIVVYALKNAKEEKFRASSDNQEGEKEISSLCWLSSDGSILAVGYIDGDILLWNISVPGKKSPEAEASSNYVKLQLSAGAKRLPVIILRWSAKNTQNGCGGKLFVYGGDSIGSEEALTPSLMCAYTYANFFSFSSACVRQVLNLDWSSGIKALKCVGRVDLGLDGSFADAIVVSNANETGISDASSLFVLSNPGKLHFYDKASLSALKSNPEKEHADFAVKYPTLVPTLEPRITVAYLYPVDRKWNSSRTPSEEVVVALVRPAHGVTELEIKMPLSSSIPRQPTKDDGIERILVAGYLDGSVRLWNATFPVFTLLAVLESQGIQATGPRTAISALDFSSTALNLAIGHQCGQVHMYSLKGQSKTTSSKLVTDAEQDAQFCPGDTGFQFSLIKSPVCILKFVAVGARLVAGFESGQVAMLDVSSSSVLFITDCSSNSSSRITSVAVTSLGNALEDTAEQSEEGTRNACVKDVISVLNRDAEVVLLDGSTGKKIGSQAKHQKEMSTAISLHVLDGITLVSEESQKHSSTQDSAVQPEDLMQKCIDSQILLCCQEGLHLFSLSSIMQGDIKPIREVKLAKPCSWTSILKNDIENFGLVLVYQNGAVEVRSLVDLAVLGESSLISILRWNSKINVDKIISSPGQSMISLVNGSEFAVISLLAFGNDFRVPDALPLLYKKSPATAVDDASASQHQKKKQNVTTSIFGGIVKGLKGLKGEQAADSVNARDALVSHLENIFSRFPFSDPTDVTDDLGSLELKLDDIEIDEPVHVASSSLSSDDVKIEKETDRNRLLEGGSSDAKPTARTREEIIAKYRNKGDAASAALQAKDKLLERQEKLDRLSRNTEELQNGAENFADLAGELVKAMEKRKWWNL >Solyc04g050100.1.1 pep chromosome:SL3.0:4:45461390:45461697:1 gene:Solyc04g050100.1 transcript:Solyc04g050100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKKKIMIKFRWCSHVNPPVDHRPFTLDEDDIITKAQAKFGNQWATIAGLLLGRIDNAIKNH >Solyc01g091910.3.1 pep chromosome:SL3.0:1:85312254:85326068:-1 gene:Solyc01g091910.3 transcript:Solyc01g091910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNNYSHSYPYNTGYGYPPPPSNQPYPPPSSGAYPPLGSGAYPPPGSGAYPPQGSEYPPPYNTQHSGHYNYHPYPPGPSAPSAPPAPTLHHSSSFEYGYPSASPGASPPYPAHAYPPPPTSTVPTSEHQGSYHYASPQHYQHSWPERPLESQPSKVHDSLHRQDSVSSISSSGAGYDYGKDDSSTRPSAYPPIHDLVANMSLSENHPSHASPPAPASASVPSSPATYHAGPNPVPANYNAQGNIYGHPNSSFSRWEAESAKPTYPTSCAEPQNTQAMQVVPFMPSKSSLKVLLLHGNLEIWVYEAKNLPNMDMFHKTIGDMFGQMSNKITSDPYVSINIADATIGRTYVINNNENPVWMQHFNVPVAHYAAEVQFLVKDDDIVGSQLMGTVAVPLEQIYGGGKVEGFFPILNSSGRPCKAGAVLRISVQYYPMDKLSFYHHGVGAGPEYYGVPGTYFPLRMGGTVTLYQDAHVPDGCLPNVMLDYGMQYVHGKCWRDIFDAIRQARRLIYITGWSVWHKVKLVRDDASAEGCTLGDLLKLKSQEGVRVLLLIWDDPTSRSILGYKTDGVMATHDEETRSFFKHSSVKVLLCPRVAGKRHSWVKQREVGVIYTHHQKTVIIDADAGNNRRKIVAFVGGLDLCDGRYDTPEHPLFRTLKTVHSEDYHNPTYAGSTAGCPREPWHDLHSKIDGPAAYDVLTNFEERWLKASKPHGIRKLKTSFEDDLLRIERMPEIVGISDAPSVSSDDPNGWHVQIFRSIDSNSVKGFPKDPKEATMKNLVCGKNVLIDMSIHTAYVKAIRAAQHFVYIENQYFIGSSYNWSQHKDVGANNLIPMEIALKIAEKIRAHERFAAYIVLPMWPEGNPTGAATQRILYWQNKTMQMMYETIYKALEEVGLENSCSPEDYLNFYCLGNREAGKVEGNESPSAANTPQAFSQKSRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPHHTWARKQSTPYGQIHGYRMSLWAEHLGVVEDCFRQPESLECVRRVRSMGEYNWKQFASDEVTEMRGHLLKYPVEVDRKGKVKNLTGCANFPDVGGNIIGSFLAIQENLTI >Solyc01g107860.3.1 pep chromosome:SL3.0:1:95145101:95151341:-1 gene:Solyc01g107860.3 transcript:Solyc01g107860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVLNFNSISPICVLNYRLFPTTLSCSPRPLSGDPAVATSRRCLSQRKLSRSLPPASLPSTSANAAASTSNSQSPSDGHYSVGDFMTRKEDLHVVKTTTKVGEALEMLVEKRVTGLPVVDDDWKLVGVVSDYDLLALDSISGAGQADTNLFPDVDSTWKTFNEVQKLLSKTNGKVVGDVMTPSPLSVCENTNLEDAARLLLQTKYRRLPVVDVDGKLVGIITRGNVVRAALQIKRTTDNTN >Solyc07g063790.1.1.1 pep chromosome:SL3.0:7:66271235:66271819:1 gene:Solyc07g063790.1 transcript:Solyc07g063790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPAVHATGLVYFKLRDDLVMALDYNGEEAFPRFSLPNQAFDYEDYRYNQLVEYNEKLGFTCLSPRGIGFWVFENENCVWELKKEVGIESIKEVTNFSIPCGIYNAGIALMKDYYKVYFYKLQDKSFNEVKLNKCRDVQEVFPFRSDLEPLDLRLRQTNNTFSSNKLFYRLFFIFSSSFFAFILLIRVLSYHG >Solyc07g038190.3.1 pep chromosome:SL3.0:7:45659287:45663294:-1 gene:Solyc07g038190.3 transcript:Solyc07g038190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRHSHTQLLNSWRGAKFTWRAVLIFNLGLGAYLFTRPAKKEKKAMTREPITPAEITTPTPPAPQNLEPFFSPIPEPVKVPEPLSVKQQRSVFGWMLKEKEDGLTSKPRGEDYQ >Solyc11g065180.2.1 pep chromosome:SL3.0:11:50802814:50811121:1 gene:Solyc11g065180.2 transcript:Solyc11g065180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDNKSKQKTKSNNKNRQRYRPNNKSVKKGAYPLRPGVQGFYITCDGGRERQASQEAVNVIDSFYEELVQGINSNLGQVELSEKPVNKKTVFKYSDSSSSEDEDEDGENGNDEDQTKKETSKIEQHQEKTDDEIDSLKTGESEPENKDNNSTELIQGNTENKEELENTLEKKDIEVGEPPAKRQCVEKEAAEAGNIAFGKTEEKSIDRLIDAELAELGDKSKRRFSYLDTGCNGVVFVHMSKKDGDPIPKEIVQHMLSSLALTKKHVSRFILRVLPVEVTCYASEEEIVKAITPLITKYLPAESDTPKKFAVLYESRANTGINKMKIIDAVAKSVPSPHKVDLSNPEINIVVQIVKTVCLLGVVEKYKELSKYNLRQLTSKN >Solyc07g062713.1.1.1 pep chromosome:SL3.0:7:65525639:65527598:-1 gene:Solyc07g062713.1 transcript:Solyc07g062713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIGINFYQLYLFK >Solyc04g015460.3.1.1 pep chromosome:SL3.0:4:5668225:5670867:1 gene:Solyc04g015460.3 transcript:Solyc04g015460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFLLFIVTLLLSCFIVHSGPLSLQPLTPNFTASNFKFIDTSGSFLSSPNGTFKAAITNTKPQERSYYFVIVHSESHVVVWSANRDMPVSDSGELRLSVDGLTLFDDSGDTVWSAKRSSTSSSVTSMQLLESGNLVLVDAFNKSVWESFDSPTDTIVVGQRLPVGKSLVSSVKEDELAKGDYELVVVENDAMLQWNEKTYWKLSMEPKAFTDAYTPVEYMMISSNGLFLLGANGTDRVIQVNLDELKDPDFRIAKLEENGHFSVKRLSNGNWMSEFDSPIDSCRVAFTCKKLGVCDEGRCSCPPGFRVSSEVNGSCAPVDRNLVMPVSCNASLNMNVTELGNRVSYLRLENGLDYFANDFIEPVKRGVNVSACQDLCSKNCSCLSVFHDQSSGSCYMIENFLGSILRGSDSGNGRGRLGYVKVISEPSLFDPNDNSSDKRSRLPVVALVLLPSSGLFLIIVMMAGIMWLMRRKRLMQISGKEIRRTDSSSSADLDSISILGLPVKFDHEEIRVATECFRNQIGTGGFGTVYKGTLSDGAVVAVKKMNALGAHGNREFCTEIAIIGRVHHVNLVSLKGFCAHRGERFLVYEYMNRGSLDRTLFGHGPALDWHTRYEIALGTARGLAYLHGGCEQKIIHCDVKPENILLHDNLQVKISDFGLSKLLNSEQSSWFTTMRGTRGYLAPEWLTSSAITEKSDVYSYGMVLLEIVRGKKNSSFQPPNDTTSQSESSEMNRLSPSSLASANQSIYFPLFALEMHEQKKYLELVDPRVLGNVKSEEVEKLVRVALCCLHEEPTLRPTMANVVGMLEGVFPLATPQVQSLNFLRFYGRRFTEASMIGGDQEVNVFELHQQNRNISSTTSSSYNSFSYMSSQQVSGPR >Solyc05g010645.1.1 pep chromosome:SL3.0:5:4861318:4866263:1 gene:Solyc05g010645.1 transcript:Solyc05g010645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCISISNLTLLSISTLSSSKVDIADNAASTTSTLSWCKQDSSLSTALASIKQKLSSAEQTPRWTEHNGIVFLLTNARLSVMFWWGSFARRVAETACFSVIRGLSDGLGNGRRGFGILHKAYQDSNKSYKGCIPAQSISTLYHFDQNRRNSFSNIDYVVRSKHDFPETSSALSAMNASSKKKTITSSSSSAKYRSNSNVAYLIVLFLLSSKFPSSGITPMLRTCTLLYGFLRQMLFKSSIALSIISCVVAEDNAALAALILAVSFLSFHSFIVAFNATLVLRFLLFSFWPDFGHIISSRLLSDLLIHSDIASLSYVTPLSIVTGSSIIFFVKGQKNVSYRGSMYSDSDIRGKFVKETGLRNANLYCQTCPYPQWLNYNERVRNSSLIERWAKRSVEAVGGSLKLKKKLIVRLRLSHNSYVLRQDV >Solyc03g120130.3.1 pep chromosome:SL3.0:3:70098198:70103879:1 gene:Solyc03g120130.3 transcript:Solyc03g120130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGKPPSGCVVYDNFDSMEREDGVQQPLPSEQVMSEVHLGCPPNHSGPHLSHFTISLPPRYENSSLRGIIDAVEDISISASTMLDLDEDGDLILTRRKKSPSHHLVLTLQHNITSSIPRVGLQVWRAELVLTDFVLHVMSTSSDFDKVVALELGAGTGLVGILLARVAKTVFITDHGDEVLENCEKNVDLNAEIFHGKTSVHVRELDWKNSWPPQEENTSPSKGRYWWTQSEIEELKKASLLLAADVIYSDDLTDAFFSILKKLMLDNPEKVLYLAMEKRYNFTLDDLDVVANGYSHFRSYLITEQADDAGCKQLDGASRPLFVGEQIDLRYIPCYVRNYNRGDDVELWKIKVKS >Solyc01g103550.1.1.1 pep chromosome:SL3.0:1:92012677:92013612:-1 gene:Solyc01g103550.1 transcript:Solyc01g103550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAKLKMELISKEKSRNATFKKRKEGLLKKLYEFTTLCNVNGLMIMYGPKQGNGSECRPEIWTNSSGSSSSTNSKSLQQQQEEIENLIDEYKKENSLQSGSSKTFGLSDYFVDRNKRVEEEFIKLRKMNMEKKYPCWLEFMDQLSEFKLRDFLTLLDDRVENVKARIHLLKGNFSGLMGGEMIDLGGGNQWTHYNDNVMVQGGGMEYGDYNQLQAPIYHQEMRMVMMNENDWPQYNNGASSSSSAGNGSNNMMCALMKYETMMPSNNHLAYSPYVAPTILQQTPCMMMPQHSWRDNDRDDKAKFSPYMTK >Solyc06g068010.3.1 pep chromosome:SL3.0:6:42271949:42277214:1 gene:Solyc06g068010.3 transcript:Solyc06g068010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACGFGASGLKLTSLNLGSTKPKLSVVHNLRTKKFVQSDGLLLTTKSRKTFDCRCSTVEAESVAATAIPNSDDSSSKIVSSETASPLTPNSYEVESLLTEICDTTSIAEVDLKLGGFRLYVKRDLTGQSTTSLPPISSPVSVSSSVEVADSNGSASSTSLAITKSSPPSDGIQTMIEKAADEGLVIIQSPRVGFFRRSRTIKGKRAPPACKEKQQVKEGQVVFYIEQLGGELPVESDVSGEVIRILRKDGDPVGYGDPLISILPSFPGIKKLQ >Solyc03g116600.3.1 pep chromosome:SL3.0:3:67425455:67429322:-1 gene:Solyc03g116600.3 transcript:Solyc03g116600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLFSNSDGNILVERFNGVPAEERLHWRSFLVKLGAENLRGIKNEELLVACHKSVYIVYTILGDVSIYVVGKDAYDELALSEAIFVITSAIKDVCGKPPTERLFLDKYGKICLCLDEIVWTGLLENIDKDRIKRLVRLKPPTEF >Solyc12g056170.2.1 pep chromosome:SL3.0:12:63076304:63085916:-1 gene:Solyc12g056170.2 transcript:Solyc12g056170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKGPVLVDVDVSKLMGSESFSGGARKLERPSVAIAPSIGRISGSVRRKEQAFWSKMPQNEFAGQQSRHHVEDASEDHSVGKTSTVLPLTLGLEAAQSHRNCGKVGRNSTSNSKRSRIMQMDAPVNKTGEDGKGLSTEISANLTNCKNGERAQMLKQRQNYSGKRSDKRNGKVTKSNFSLKSLVGFGSATGGRNFLGMYGLKSDVMDVTKDVDDLPLRELLDGTYKCVPSPKDRRNNASNSSDSLMQLISNANSVLRCQKSVQMQHCSNVDSKLSCRNDGDKVETRTDNLSSSDQVQEYSSKVHSVPTMCHAPLYTPKDVLERLALAPSKDLDSLLADTVKFASSRNSSDLRLSKPSSQRNGLPPFSWSHPCSGHPKTVPDSAKLSTNKMVCQGRWVRVENTSTSLKGSTGFLEELQALTDIHKLVPTGVQVSGPLKNEDASTNRDSLTTCERISSSLAASNTVSPGALAAAETLCMIATDSTPCKIATHSLKQNTEVTTKSLKKPSQKGGGGMRACKLTEKSENQFIAPIPVVVSNSLAEIADGILPSKKLRLSVNFKKPDRKGPVPCSAESIRSTPVKSSKESDGFSNSFVNKPCTTSPYTRVMDKVYSSSDQKPRKVANGAEPRR >Solyc01g068360.3.1 pep chromosome:SL3.0:1:77381829:77387140:1 gene:Solyc01g068360.3 transcript:Solyc01g068360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEMGVEKLLVLFLVLISIRYSEQLQSSQVQTLLRIQHLLNYPTALSSWNNETDFCKIEPSSTVTVVCYDENITQLHIIGRKGTSPLPRKFSIRSFVNALAKLPSLKVLRLVSLGLWGPLPEKLSRVSALEILDLSSNYFHSGIPEAVSSLTDLQTLVLDGNRLTGRIPDGLGSLSVLAVLSLKSNSLDGHLPDTLRDLYNLRVLSLSKNNFSGDVPDLSSLQNLQVLELEDNSFGPKFPQTGSKIESIALRNNKFTANIPDKVQSYYQLEHFDISSNNFMGPFPPSLLSLSSITYLNVAGNKLTGMLFEDNPCNTALDFVDLSGNLLTGSLPSCLLSSSRNRIVHFSNNCLATGDDTQHPFSFCRNEALAVGVLPQHQRQKHASKVILALIICGSITGGVILVCLTILIAKSFLAKKASAQNTPTRFISEDASSSYTSKLFTDPRFITQAMKLGALNLPSYRIFSLEELEAATNNFDTTTFMGEIPNGQMYRGQMRDGSYVTIRCQIMKRSNTRQNFMHHIELISKLRHQHLVSSLGHCFQFYSDDSSVSRIFLVFEYVPNGTLRRWTSDKHARRKLTWTQRIAAATGVAKGLQFLHNGIIPGLFSNNLKITDILLDQNLVAKISSYNLPVLTENIGKEYCQTIPVGYNQREKYEEKLDVYDFGVILLEIITGRPIKTKNDILLLRNQLQVSMTGNGVNVVDLAIRRSSCSSESLRTMIEMCCRCLYEDPVDRPSMEDLLWNLQFAAQVQDDYHSSDASPISPIQRSGQLVIQ >Solyc02g070630.3.1 pep chromosome:SL3.0:2:40893684:40897815:1 gene:Solyc02g070630.3 transcript:Solyc02g070630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEGKNMASSATGEPLPANYYYGTFQGVANHPPPPPQSHPVFGFPQPVPPPGVAGAPPQYYPQGYQTVHGYAVAEGRPMREHRLPCCGVGIGWVLFISGFFLGTIPWYVGAFLLLCVRIDYREKAGLIACTLGAMLALIAVTFGVTKATHSW >Solyc01g086800.3.1 pep chromosome:SL3.0:1:81624726:81625136:-1 gene:Solyc01g086800.3 transcript:Solyc01g086800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLATKAARKSVAATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLSVVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRLRGERA >Solyc01g102680.3.1 pep chromosome:SL3.0:1:91295920:91298735:1 gene:Solyc01g102680.3 transcript:Solyc01g102680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDAEQMTLIHKKQCHAASHFSLDFDDIWLLIDQDFRSQPTDRRNSRVSGKSAEFGNFVSSQRLDWNSLNGSVPSELSNVTSLSELYAVSRDVKVSCYWGMSNNSFNASDVPDWFTKLPLLKTLYMENTTLQGQIPVDLFSRPNLETIGLANNKLNGTLDIGTRYGNNLTLDLRNNSIKDFTQKTGYNMNISLAGNPLCDGTGATAKYCAIQISNDSFSSPLQCPAMSCNSDKILSPTCKCLYPYTGTLHFFSLSFSNLENSSYFTTLAGSMMSAFLSNGLPVDSVSLSDPTVDVYSYLQIEAQIFPSTQDSFNHTSIPSIGYLLNRNPFQLQYFGPFFFTSESYCCFADGNKKSSSHTGIIIGVSVGCAVLVLLTFCAGLYAFRQRKMAKRAGHSSNPFGKISFS >Solyc11g045610.2.1 pep chromosome:SL3.0:11:29588012:29593892:1 gene:Solyc11g045610.2 transcript:Solyc11g045610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCICSKGSSEDENVFEQKEVKSSVHMVAPLHREEIKVELVNPKIEIIPKSKRTSESALISVLATKVEDDGKTRIIERPKEGHHKRRSTVDFGVQQSMSRVVSIPNAAKGELGAAGWPSWLTSVAADAIQGWLPRSADSFEKLNKIGQGTYSSVYKARDLKTNKIVAMKKVRFVNMDPESVRFMAREISILRKLDHPNVMKLESLVTSRISGSLYLVFEYMEHDLAGLAAAPRVKFTEAQIKCYMQQLLRGLEHCHSRGVLHRDIKGSNLLIDDNGVLKIGDFGLATTFEPNQTQPLTSRVVTLWYRAPELLLGATEYGMAIDMWSAGCILAELSAGKPIMPGRTEVEQMHKIFKLCGSPSEEYWKKSKLPHATSFKPQHPYKRCVTDTFKDFPPSALALVDILLSIEPERRGTASSALNSEFFSTKPLPCDPSSLPKYPPSKEYDAKMREEEARRRKAESVKGCGDESLSKSSRQSKGESTIESIAGQGQSNLSISVKYNPLEESGTGFPIEPPRVKNRNGFIHSTSVIHPNSAGYSRQVKEDSCTSQHGGEFLRQGSHTSRTVGDFSSVHSKRDDGSSYGDSTVYVPKKSRLLCSGPLVPPGGSMEDMLKEHERQIQEAVRKARLEKGRTKKNCYDYD >Solyc03g063240.3.1 pep chromosome:SL3.0:3:36031275:36041180:-1 gene:Solyc03g063240.3 transcript:Solyc03g063240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLVLAPSPLRTFSPFSSFLYSNLNNNATHNYLLSPSPSKSFSSLTSTSPLLAMASQNVSSGDEVDIFQLIQAHQEKAARLSPIEDVRTLLHYSLRGVLSTFSQKYEGYPSASMVDFACDTYGSPILAVSNLAVHTKDLLATSRCSLLVARDPEDRTDLVITVHGDAVPVPEIEKEGIRATYLARHPTAFWVDFGDFHFMRIEPRIVRYVVGVATAILGSGEFSKEEFRTAKVDPIYQFSRPITSHMNKDHMEDTKLIVQHATSVPVDFAYMLDIDSLGFNVKACYKDTDLKLRVPFPRRAVDRKDVKALIVEMLQAART >Solyc08g048500.3.1 pep chromosome:SL3.0:8:12420206:12426347:-1 gene:Solyc08g048500.3 transcript:Solyc08g048500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGKRSRRDDGDNKNQKRRTDRDEKNDDELIVYRILCPNNVIGSVIGKNGKVINSIRSETKAKVKVVDPFPGAKDRVILVYCYVKEKEDVEVDQDFNEKQPLCTAQDALLKVYTAIANALAAIGESDKKRKDKEECQLLAPSSQSANIIGKSGTTIKKLRSKMRANIKVVARDASDPTHSCALEFDNFVLITGDPESVRRSLFAISAIMYKFTPKEEIPLTTNVPEVRPSIIIPSDVPIYPGAGIYPNVDPIMPSRSVPSVLGTTQIPELPGYVDAGSTWPLYSSGLPMVSGYSGASQTEELTIRVLCPTNNIGRVIGKGGASIKSVRQTSGARIEVCDAKADRDQCIITVISTESVDDLKSMAVEAVLLLQGKINDEDEDTVTFRLLVPSKIIGCIIGKGGSIINEIRKRTRADVRISKGERPKCADSNDELVEVSGEVSSVRDALIQIVLRLRDDVIKGQEGNHNPSAALRAGATGFSLAPVLPNVPPAAPLSFEHRIETGNGVGMRSSGSRYGHESLSIGEDTYGTFSSYSSKLYGGLPPPSAVEMVIPGHAVGKVMGKGGSNIDNIRKISGAAVDIIDSKSSRGDQIAIISGTQEQKRAAENLIQAFIMAT >Solyc08g081240.3.1 pep chromosome:SL3.0:8:64414715:64418703:-1 gene:Solyc08g081240.3 transcript:Solyc08g081240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVNGEQRGVDSTLETINAAATAIASVENRVPQASIQKRRWGSCWSMYWCFGSQKQTKRIGHAVFIPETTASAADRPSSNTSSQAPSIVLPFIAPPSSPASFLPSEPPSATHSPVGSKCLSMSTYSPSGPASIFAIGPYAHETQLVSPPVFSAFTTEPSTAPFTPPPESVHLTTPSSPEVPFAKLLDPNYQNVAAGHRYPFAQYEFQSYQLQPGSPVSNLISPGSAISVSGTSSPFLEREYTPGRPQFLNLEKIAPHEWGSRQGSGTLTPEAVNPKYHDSFLLNYQNTGVHRLPKPFNGWKNDLTVVDHRVSFEITAEDVVRCVEKKPTMMMRTGSVSLQDTERSTKRQENLAEMSNAHDHSGHEPSREIHEGSSTDGEDGQRQQKHRSITLGSSKEFNFDNVDGGYPDKATIGSDWWANEKVLGKEPCNNWIFPMMQPGVS >Solyc04g058100.3.1 pep chromosome:SL3.0:4:55154558:55155327:1 gene:Solyc04g058100.3 transcript:Solyc04g058100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallothionein-like protein type 2 [Source:UniProtKB/Swiss-Prot;Acc:Q43512] MSCCGGSCGCGSGCKCGNGCGGCGMYPDMEKSATFSIVEGVAPVHNYGRVEEKAAGEGCKCGSNCTCDPCNC >Solyc03g097170.3.1 pep chromosome:SL3.0:3:60927605:60931111:1 gene:Solyc03g097170.3 transcript:Solyc03g097170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAADFYDEQDSVFCSKRVCVMDAAGHLGSAIVHQLLLRGYTVHAAFQNHDEMQCFKRKYGCANDDSKSKKLICFHADPLDYHSIVDALKGCCGLFYSFETPSDYPTYDELMGEMEVRAAHNVMEACAQTDTLNKVVFTSSATAVLWGTRKRDQHDSPEASYSHSYVDERDWTDINFCKKYKLWHGLSKTQAEKAAWALAMDRDVNMVSINAGLLIHPDLNIREPYLKGAAEMYEDGVFVAVDLKFLVDAHMCVFEDVSSYGRYLCFNRVINNTKDATTLANMLLPPSASSGTQSLEDDTVYEQRISNKKLNKLMLGFDTGLEVQVN >Solyc09g075130.3.1 pep chromosome:SL3.0:9:67356092:67360074:-1 gene:Solyc09g075130.3 transcript:Solyc09g075130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein Sm D2 [Source:UniProtKB/TrEMBL;Acc:K4CV90] MSRPMEEDAPQGKNEEEEFNTGPLSVLMMSVKNNTQVLINCRNNRKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAVAVNKDRFISKMFLRGDSVIIVLRNPK >Solyc11g039880.2.1 pep chromosome:SL3.0:11:41781617:41793346:1 gene:Solyc11g039880.2 transcript:Solyc11g039880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWGGQDFRRATLLKAEVVGACLSALNTAESLRGKSLSEITRNNLLLVKIESWHSKGTKIGSSEVIPTSNMVCNCAKRKNLLPMQKIQVGSARLLSSLFIIRDDSQSYAISSVCFGLDDKQIYNFKNTICSILCQEKVESEDLIIATFKMLTSAARYQASFLTAVIALEENPISESCKGDNHPANNDALQCNAANLLDCIWIYVKRSDDLVMTKSRIMCNVLNFLKALWQGAAHYTSLLKQLRNSDFWEKLLISAVLSISKKSCQSESTTKLELQNLAYKYQCQHNVLDVVACEIILQKKILHSELVTEESSKCLHNGSDGCKVATAESSCNLKEIFGAWCGSSLDAETIKTFVSFEYDDSVKLRARVAAGLFAVRIMCKVKGGDRGSLSVSLVDKITNLWQKLRKLPAFSELMAIYTKHGYRGGNELDNLILNDLFYHLQGELEGRQISHMSFKELSQYLLQSNFLQTYQCKHHEDIFPQTDGVCLYDTDRLQGDMAIDLWDLSDWKASKAVAEMLLLSLQNVNVMVSLTTSKLSALIALATTFSISDNDNVSFEIEVLILLGKEELLQSGRNIAHVLSLDNEVRSGRKIPEKSLSSSIDNICQSLHRTIELLPSVSDASEDIVNILAAQAELLFHFTRSPSTHLSLSTCLLILKTAGCGLKVLCNCRQLVTGVLFPMKIFLMLVLFSLQSSRRGSHLGVQTKIEHNEALAEAANVSLGLLPLICSCIELTEHCSLSVIITDQIIKGFSTLTTWFPIIQKHLPMQRIVLKLQDKSSYSNIGIILKFLLTIAHVKEGAEMLVKTGFFASLSVLLADLSNGRPLSVVERERNLADTFENNERAQPIWGLSLAVVTAIINSLGESSIFNVEHVVTYFLLEKADLISYYLSAPDFPPDDHDKKRLRALKPHTSLSALREIENTVMLICVLAKHRNTWSRAIKEMESQLRERCIHLLAFISCGTPRHGESQGRVPPIFCHPTIREEYEWHKKPSSINSKNGWFAFSAYCCSLNPKYSSFSSRTGTVIKDQPNEHVNLTSQTHFSDAMSIQIYRITSLLLKFLCQQAEDAAARAEEVGFVDLSHFPELPMPDILHCLQDQGISIVTELCEVNKLKQVSSEIQGVCVLLLQITVMALYLEFCVIQICGMRPVHGHVEYFSKEFYALTKAMEGNAFLKESMNSLKQMPFVPTMVTGAGKLGYRLRCDC >Solyc01g066420.3.1 pep chromosome:SL3.0:1:74095749:74104124:-1 gene:Solyc01g066420.3 transcript:Solyc01g066420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVLVVACVVAACVTLTKHDSDTSSNGVATSTKSVESMCQPTPYKQTCEKTLSAAKNVSDPKDYIKVAFEATVADIKNAIKNTEPVKKAASDPYTKDALLACEEVFDLAVEELRGSIARIENFDFSKMKDIVDDLKSWLSAVVAYEETCLDGFTKSEYSATRDEMMKLMSTTRELSSNALSMVNNFGEMITQNTGLTRKLLSNSDSFVEASNRKLLQISASKPNAVVSTSGGGQYKTIQEALQAVPRSSPTPFVILIKAGTYKEHIEIEKNMLNVVLIGEGPTKTIITGDQAVVPNRISTWHTATLGVCGDGFVMKDMGIENTAGPTKEQAVALRINADKAVLYNCNIDGYQDTLYAHSGRQFYRDCTITGTIDFLFGDASAVFQNCKLIVRKPGPNQACMITAQGRMRKDSLGGFVIQNCDIKADQALTSANPPVKVYLGRPWKEFSKTIIMQSNIDGFVDPSGWSPWNTTDFGIHTCFYAEYQNRGPGAALDKRVSTWRGYQKDISGDVINSFTAGKFINTQQPWLPMFDIPYEAGMMKIKDVVEDLKTWLSTVIAYEETCSDGFIKSEQSATHNKMMKILNTKELIFGETITKTTGLTRKLLSNSDWFVEASSHKLLQISPSKPNAVVSASGDGQYKTISGELNAVLMNYTTPFIILIKAGTYKEHIEIEKTGKCCNGGGVVKWHTTSLGVSGERFVIRDIGIENRAGPVKEQVVALRINDDKAIVYNYKIDGFQYILYAHSYR >Solyc02g032553.1.1 pep chromosome:SL3.0:2:29152570:29157113:-1 gene:Solyc02g032553.1 transcript:Solyc02g032553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKMNSSNSSPFETIEQGEVEPSRNMPTWLGPLLKKTFFGACLVHDELEKNELSKYCITCDSDLCKHCISTNKHNDHDQLKIYRNVYKEVVLLEQMEKHTDCKLIQPYKCNKKLVISLNPLPHCGSGSLIAGDPTCLTCKRRLHDPQQFQFCSIACKVEAKWGKIVETKRKRKRKGIPHRTPLK >Solyc04g007763.1.1 pep chromosome:SL3.0:4:1450580:1451241:1 gene:Solyc04g007763.1 transcript:Solyc04g007763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSKLTASVEVKCGGHLIHDLFHSNTHHVPNISPRDGKMNIVKEVIEAVDHKKKSIKWKVIEGDLLELYDSFTIISSFEPQWTTWTLAYEKKNVATPDPLAFLGYLVELTKDIEGHFLKI >Solyc01g109480.3.1 pep chromosome:SL3.0:1:96335496:96336344:1 gene:Solyc01g109480.3 transcript:Solyc01g109480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYKFYKLKEFFNNMRSLSIFFLLLSLSLLGYVISDGPEEYWKSKMNGDPMPKALKELLNDQYQDFPIERNKFVRNFDLKANIIIYHNDVDIYPKRSRPTP >Solyc01g005000.3.1 pep chromosome:SL3.0:1:12923:15102:1 gene:Solyc01g005000.3 transcript:Solyc01g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:B1Q3F2] MVLSKTPSDDSVHSTFASRYVRTSLPRFEMLEKSIPKEAAYQMINDELMLDGNPRLNLASFVTTWMEPECDKLMMASINKNYVDMDEYPVTTELQNRCVNMIARLFNAPLKEEEIGIGVGTVGSSEAIMLAGLAFKRNWQNKRKAEGKPYDKPNIVTGANVQVCWEKFANYFEVELKQVKLSEGYYVMDPIKAVEMVDDNTICVAAILGSTLNGEFEDVKLLNDLLIEKNKQTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRTKQDLPQQLIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCRENAIVLRKGLEKTGRFNIISKDEGIPLVAFSLKDNSLHNEFEVSETLRRFGWIVPAYTMPADLQHVTVLRVVIREDFSRTLADRLVSDIVKVLHELPNAKKVEDNLMINNEKKTEIEVQRAIAEFWKKYVLARKASIC >Solyc01g107450.3.1 pep chromosome:SL3.0:1:94896738:94900889:-1 gene:Solyc01g107450.3 transcript:Solyc01g107450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRGSVTRSLTSVARASTSRSSPTVNRVRTPPISGTRSNTRRFSFTSPRTLGALGCTQSFLPLHNVVAGTRLTSHLTVNVRACCELYHGTFQRSCQDR >Solyc06g076665.1.1 pep chromosome:SL3.0:6:47750855:47752111:1 gene:Solyc06g076665.1 transcript:Solyc06g076665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTLGPLFHHDHNWWQESLKALHAIGDCSTVYNLWLLWRTCSSTTVKVNQIGTVTEAIEVVKMAKDAQWGVVISQRLGETEDSFISDLSVGLATSQIKAGAPCRGERLAKYNQLLRIEEELGDQAVYTGEKWRN >Solyc09g055560.1.1 pep chromosome:SL3.0:9:42335292:42337095:-1 gene:Solyc09g055560.1 transcript:Solyc09g055560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGGEDDEDEFDLSVVTHPVNDAYGLWLVYVICEIWFAVSWILYQFSKWLPIDRETYLDRLSLTAKYSRVRLNVKDGNLCPGNNVRDHPTLIQLRVSVVLTNSPYMLNLDCDYYVKNNKNIREAMCFMTDPMLGNTFCYVHFPHRFDGIERNDWYANRNIVFFDVSANLYNQ >Solyc11g063693.1.1 pep chromosome:SL3.0:11:50059857:50067889:1 gene:Solyc11g063693.1 transcript:Solyc11g063693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGLVKLLITETQRVPIIVGGSNSYIEKLVEDPMLMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQIIFIPDADYTKGIRQCIGVRGIERYLREETNIYGDDESKQMSLQASISSIKRNTRMLICNQLDKIQRLISEKMWSVHYIISTDVFKEDLDEAWMNTVFATMPRYCEEISQKRSSQNYY >Solyc01g079190.2.1.1 pep chromosome:SL3.0:1:78140734:78141217:-1 gene:Solyc01g079190.2 transcript:Solyc01g079190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLENNKCFFRDNNQKFLTLISQLVVLRLLSSGRWQYASHWPELCLQGQCSSRPNSHVEYFKLN >Solyc08g061774.1.1 pep chromosome:SL3.0:8:49574968:49577297:1 gene:Solyc08g061774.1 transcript:Solyc08g061774.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQALISSIKCNTRMLICNQLHKIQRLLCEKMWPMHHIIATDIFKKDTEEDLDEAWTNTVLQPCLDIVKRFLKNDHLNIIIECEIEPDSDLKSEDFCLQDVVCIEKILKTQRVPIIVGGCFIWIDVEQSVLNHRVDMRVDQLVKADYTKGI >Solyc09g007730.3.1 pep chromosome:SL3.0:9:1272678:1275197:1 gene:Solyc09g007730.3 transcript:Solyc09g007730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSFPFISCFSSSADNFEGSQIPYEYQILKTGGQISQNFTVYSYSELKAATHGFRASNKIGEGGFGSVYKLGLKKMEKRDGRLQDGTFMAVKVLSVELESMRGEREFVSEIAALSDIKHENLVNLRGCCVDGAHRLLVYDYMENNSLSLTLLGGEQNRSKFTWTLRKGVSIGIAKGLSYLHEEVSPHVVHRDIKTSNILLDENFTPKIADFGLARLFTENMSHISTRVAGTLGYLSPEYAISGHLTRKSDVYSFGVVLLEIVSGSPVVAFDITRGEHFLVNKAWEMYNADQLLELVDPVLDRELLNDDEALRFLKVGLLCVQENASLRPKMSMVIKMLSRDGAIIVDEMKITQPGIVADLMDVKIGRKHSSQSFFSKASTSMSPGSPFQILYINVSS >Solyc12g039210.1.1.1 pep chromosome:SL3.0:12:53681680:53682174:1 gene:Solyc12g039210.1 transcript:Solyc12g039210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNHIRWLNYFMNSAKDYEHKYFLSLWLSRFVFPCKVGDPVFSITVNLDRGMRLALDPIVLACIYREMGSLRKAMIETGRRNRDIIDIYKLNIWSPLIFVQVWAWERMVFLQPEPTQNYNIVSGVRIGNWHNVKQMGEINLRITIDTYGEIFLWRLLFEIFII >Solyc02g063090.3.1 pep chromosome:SL3.0:2:35701014:35708767:1 gene:Solyc02g063090.3 transcript:Solyc02g063090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAVMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGNEPDKEILKMVARTTLRTKLYESLADQLTDIVANSVLCIRKPDEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYILTANVSLEYEKSEVNAGFFYSNAEQREAMVAAERRSVDERVQKIIDLKNQVCAGNDKNFVVINQKGIDPPSLDQLARAGIIALRRAKRRNMERLVLACGGEAVNSVDDLTPECLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVILGAGAFEVAARQHLINEVKKTVKGRAQLGVEAFANALLVIPKTLAENSGLDTQDVIIALTGEHDKGNVVGLNQRTGEPVDPQMEGIFDNYSVKRQIVNSGPVIASQLLLVDEVIRAGRNMRKPT >Solyc05g006210.3.1 pep chromosome:SL3.0:5:876440:878854:1 gene:Solyc05g006210.3 transcript:Solyc05g006210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTMMSGVVCRHILILGIVTLVFITMARRCLSVGVNWGTMASHQLAAESVVKMLKENGFDKVKLFEADDKILSALIGSDIEVMLAIPNYMLQDMSTDPGMAASWIDANVSAYAYTHGVKIRYVAVGNEPFLQTYNGTYLHSTFPALRNVQEAINHAGLGPEVKAVVPLNADIYYSPDSNPFPSAGDFRPEIRDLAIQIVQYLDSNDAPFVVNIYPFLSLYANNYFPFEYAFFNGSNKPMKDGDCVYTNVFDANFDTLAWSLKKAGFPNMKIIVGEVGWPTDGDKHANIENAKRFNQGLIQHALSGEGTPTRKGKIDVYLFSLIDENTKSIAPGNFERHWGIFEFDGKPKYELAIGLSEKPLAAVEGVTYMHKRWCVLKPHLPKDAQIYLAKSVEYACSRSDCTALSYGSSCNHLSEQGNTSYAFNMYYQFNNQNSLDCDFQGLAMVTHNDPSDDKCHFPLMVTDGPKVMLLHKNLLYIILAVLQGFLVVLLLVS >Solyc04g049980.1.1.1 pep chromosome:SL3.0:4:44915423:44915830:1 gene:Solyc04g049980.1 transcript:Solyc04g049980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISSSINQREGSSPSHPRSSLDDSLPGVANNMLGLDAERILSNSSEEQMDNFGETNSHGHSILAANEHLLVGPNRNTLASSTLSNSNFLPTIPGDGNEVISSLAEGVSEYQPSALLSILPKEPENQSILHRAS >Solyc07g005610.3.1 pep chromosome:SL3.0:7:492874:499720:-1 gene:Solyc07g005610.3 transcript:Solyc07g005610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLSVMTFNLLEDQLEDSPNTWEKRKDLCISVITSYSPMILCTQQGVKSQLDYIQQCLQGYEQFGISRKGAEDTSDQHCTIFYDKEKVELLEGGTFWLSESPSVPGSMSWGSTVPCTATWATFQLKGVEPPGFSFQIVNTTMDEFSPRARRRGALLTWQHIASLPPSLSVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDVWPNARVRKNVSLIRTYHGFKGTKQGPLEFFKLIFRALCLCWDRQTQDLHVDWILFRGRSLIPVSCEVVSDNIDGFYPSSHYPVYAEFMLPRSVRLTEASPENET >Solyc01g106570.3.1 pep chromosome:SL3.0:1:94287097:94293095:-1 gene:Solyc01g106570.3 transcript:Solyc01g106570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIENWRRCMAVEYTCCETGFFIHIVIIVLLVLFAGLMSGLTLGLMSLSLVDLEVLAKSGTPIDRKNAEKILPVVKNQHLLLCTLLICNAAAMEALPIFLDGLVTAWGAILISVTLILLFGEIIPQSLCSRYGLAIGAATAPIVRVLVCICFPIAYPISKLLDFLLGHGNRALFRRAELKTLVNLHGNEAGKGGELTHDETTIIAGALELHDKTASDAMTPISEIFAIDINAKLDRNLMNLILEKGHSRIPVYYDQPTNIIGLILVKNLLTVHPEDGTPVKNVTIRRIPRVPDSMHLDDILNEFQKGHSHMAVVVRQCKDDMDQPASKSPGETPVKDVRVDIDGEKPPLEKSLKTKRSLQKWKSFPNNGNASFKSTRSKKWTKNMYADILPIDGNPLPKLPEEEEVVGIITMEDVIEELLQEEIFDETDHHEEVS >Solyc02g064910.2.1 pep chromosome:SL3.0:2:36579005:36580678:1 gene:Solyc02g064910.2 transcript:Solyc02g064910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLQVQNIEPQNPILNFDALLCKEDPLDEGDLGGGYHSDERNWNVKKISPLLECDMFWEDGEVETLLSKEKLNLFDCTSLVSDGVLLGLRKKSLEWMLTVIDHYGFNALTAVLAVNYFDRFMSRVGFQKGQPWMSQLVAVACLSIAAKVEEIQVPLLLGLQVSNPKYVFEAKTIKNIELLVLSTLKWKMNPVTPLSFIDHIIRRFQLMTNLHSEFHRKCENIILGIITDSRLLHYPPSVFAIATLFYAINEIEPCNALDYLNQFMAIFKVRKQYHFANLICVSLKFFIFKQASIDECHDLILELMGTSGSKICQTNKRKCQSIPGTPDGVIDAYFSCESSNDSMVVASSVSSLPDPQYKRSRT >Solyc03g095290.3.1 pep chromosome:SL3.0:3:57743007:57752023:1 gene:Solyc03g095290.3 transcript:Solyc03g095290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCVLHVLPACLASPQLQLQTRRKLLNLHSIRQKPFFRSLQIVPSASSSSSTSFSPAEYPANPETSPGTRPKRKRQIAGIDQEELQDPAILADPDSCFCEFKGVQIHHKVYDAESVATNLSEEGTSSQPPHANKRVNFPMILLHGFGASIFSWNRAMKPLAQITGKKVLAFDRPAFGLTARLNHANHSSQGSEDTRPFNPYSMIFSVLATLYFIEFLAAEKAILVGHSAGSIVAVEAYFEAPERVAALILVAPAIVAPLNLGHVTKDNSSGKSNQTEGKELEVNSKGNWFTSVFSILSKVSQYLGQAMMRLVKGIGDMINSLYRKALSAFLRSTIGIMLVRMIIDKFGLAAVRNAWYDPKQVDDHVLQGYTKPLRVKDWDRALVEYTVAMLTDSASESKPPLSKRLSEISCPVLIITGDGDRLVPSWNSERLSQSIPGSCLEIIKNCGHLPHEEKVDEFVSIVDRFLERAFGVQKESHLQPAT >Solyc04g040030.2.1 pep chromosome:SL3.0:4:12292220:12296442:1 gene:Solyc04g040030.2 transcript:Solyc04g040030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKIVVIVVVIAVLIVDFFFQKYASPAHYFIQGKEYNMSYYLADGIYPKWSTILQTIRDPHSQKKKYFAIK >Solyc01g080100.3.1.1 pep chromosome:SL3.0:1:79112814:79113394:-1 gene:Solyc01g080100.3 transcript:Solyc01g080100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGNFSLVSLVKCFNGCKDQAQVSSFGTRIWNFSDKSIELQIRVGSILKKVHTLKPGSSKRLNSKKIYKAYMPSNNYYKGGVKSLLYYYDESCHPYIWINDTGCDFSRMVKQQYISLEDLRDCSELRIFRDHQRGCISVRKKPRTELC >Solyc05g051220.3.1 pep chromosome:SL3.0:5:62324807:62337017:-1 gene:Solyc05g051220.3 transcript:Solyc05g051220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKRELREERNGRDSPKEYKMDDLRDSMKSLRSTSRLAMMENELIADSTPWRFSSENVLNGLRGLSQGFVIYPDDRWYKLWDKFILIWAIYSTFFTPMEFGFFKGLPRKLFLLDICGQIAFLVDIVIQFFVAYRDSQTYKMVYRRTPIALRYLKSHFILDVLSCMPWDNIYKASGRKEGVRYLLWIRLSRVRRVTDFFQKMEKDIRINYLFTRIVKLITVELYCTHTAACIFYFLATTLPEEKEGYTWIGSLTLGDYSYSHFREIDLWRRYITSLYFAIVTMATVGYGDIHAVNLREMIFVMVYVSFDMILGAYLIGNMTALIVKGSKTVRYRDKMTDLMNYMNRNRLGRDIRSQIKDHLRLQYESAYTDGAVLQDLPISIRAKISQTLYLSCIENIPLFRECSAEFISQIVTRVHEEFFLPGEVIMEQGHVVDQLYFVCDGVLEEVGIGEDGSQETVALLEPNSSFGEISILCNIPQPYTVRVSELCRLIRIDKQSFSNILEIYFHDGRRILTNLLEGKDLRVKQLESDITFHIGKQEAELALKVNSAAYHGDLHQLKSLIRAGADPNKKDYDGRSPLHLSASRGYEDISIFLIKEGVDFNASDNFGNTPLFEAIKNGHDRVASLLVKEGAFLKIENAGSFLCTLVTKGDSDLLRRLLSNGIDANSKDYDHRTPLHVAASQGLLAMARLLLGAGASVFSKDRWGNTPFDEARLSGNNQLIKLLEEAKSAQTSEIHSVSHEISEKIHLRKCTVYPIHPWEPKDLRKHGVVLWVPTSMEELVTAASEQLNFSSGSCILSEDAGKILDIDMISDGQKLYLISETT >Solyc05g042175.1.1 pep chromosome:SL3.0:5:55991821:55993310:-1 gene:Solyc05g042175.1 transcript:Solyc05g042175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTRFFWSAVQLPAIRKGCKFNSCFGLFIHNHTKTMIRILKIPSYFSSARYFEQPKAQQHQLNPQQASVEQVNDESLHGSNHVFVNH >Solyc12g038657.1.1 pep chromosome:SL3.0:12:51620728:51621634:-1 gene:Solyc12g038657.1 transcript:Solyc12g038657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSKNKIIIVDSNVNDNEYSTKANNVYSINKNFAFEIGMKFNSEEESYDAYNSYALAKGFGVRKSAKTYNINKEVTRRLFLCACEGKSDKLSLFQERKRQRLEYRCGCMARIKFKISNGMWEVCEFNDVNSHPMIEDNLKHFLQSGPKKVVRYLHNEAGGIENMGFIEQDAHNFIQAHKRNMISGGDSQTLINHFMHLQSENSNFFHSFQVNEDGR >Solyc08g006120.3.1 pep chromosome:SL3.0:8:858111:863519:-1 gene:Solyc08g006120.3 transcript:Solyc08g006120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILANIIVMGSTILARSFVQAYRQALANASRNGVAQEAVQNMKRASKTMTDVEARQILGVTENASWEEIMQRYDNLFERNAKNGSFYLQSKVHRAKECLEAIHQPKEPEEK >Solyc04g010080.3.1 pep chromosome:SL3.0:4:3379228:3389271:1 gene:Solyc04g010080.3 transcript:Solyc04g010080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVALVSGGKDSCYAMMKCIQYGHEIVALANLIPADDAIDELDSYMYQTVGHQIVVSYAKCMGLPLFRRRIRGSTRHHDLSYSMTPGDEVEDMFILLKEVKRQIPSVTAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSFLLQEMIKNGIIAIAVKVAAIGLNPSKHLGKEIAYLEPHLHKLKELYGINVCGEGGEYETLTFDCPLFKNARIVLDEFQIVLHSPDSIAPVGILHPLAFHLENKVESISSNGIDEASNLDTVFEVEGDVQQEGEAASEFVAVRSERSGVTKQELKVSKTMKDNVFSISCWLQDSSKNSSDLQEDLEVVLMRIEALLVENGSSWENVLYIHLYIADMDEFAVANETYVRFITQEKCRYGVPSRSTIELPLLLVGLGRAYIEVLVANDPTKKVLHVQSISCWAPSCIGPYSQATLHNEILHMAGQLGLDPATMLLCEGGPVAELEQALENSEAVARSFNCSISTSAMVFVIYCSESIEKSERIIVQKKTETLLKQMKSNHADGTKKSKVLDPIFLYVLVPDLPKRALVEVKPMFYTGEYLSGPSDLAKQSESTEQDYCGHDISLQKCVVYGKICTVILSVTEELAGKICSLASVACPANVMSKSLVEKEQVILIARFCISRFDKVLSENNFSWDDIMNFRLYFASNLNISHGTLSAIFSDVFNELVQMSRVDAEPILNIVPVLGAGRSLSTLDDIFTCELIASKC >Solyc08g023660.3.1 pep chromosome:SL3.0:8:26772438:26773696:-1 gene:Solyc08g023660.3 transcript:Solyc08g023660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLISQIETKCSGHLLHEHFKSNPHKTSAMAPDKITNFTLHEGQLGKTNSVVSWNYILGGKERHAKQVLHIDDEEKSITFNFKEGYMNELYKSMTLTLTLIAEKNFITWTLVYEKLNENTPEPLDFIEFLIYLIKDLETHHVGK >Solyc09g090150.3.1 pep chromosome:SL3.0:9:70167523:70170511:-1 gene:Solyc09g090150.3 transcript:Solyc09g090150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIKFSLCFFLLFSCCFSQIEQQQSFLWQKLQYQQQHRRGRAKTDCRISSLSAREPTYKFNSEAGTTEFWDRNSEEFECAGVAAVRNEIQPNGLLLPHYNNAPQLLYIVQGSGILGTVIPGCAETFESPQRERSMRGEEGRSEGGSQYRTGGDRHQKVRRFRQGDVLALPAGITLWLYNNGQEQLVTVALLDVSNPANQLDLQFRHFFLAGNPNPKGLSGSRYEEEIRSRKQHEQGGQPQQQQPGNLFDGFDLDILAEVFNVDQNLAKNLQGREDQRGQIIRAENLDVLSPEFEEEQPHRPGRGSRPNGLEETICAMRLRENLGRTSRADVYNPRGGRVSTLNSHKLPILNWLQLSAEKGNLYQAILQNAVMAPYWNLNAHSIIYIIRGTGQIQVVGDTGNSVFDDEVRERQMIVVPQNFAIMKKAGDQGLEYIAFKTNDEAITSALAGRLSAIRAMPEEVLMNSYQISRQEARSLKYNREETCVFAGRKSTGYSTRAMEYALTAVEAFLKV >Solyc11g013530.2.1 pep chromosome:SL3.0:11:6608583:6628286:1 gene:Solyc11g013530.2 transcript:Solyc11g013530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGWLWKWMVAAAMTGGDGGGRCQRRRKMMVRNNEGEEKKPCSISLVPRVSENEITEKPSKLEKITELPQQIGNGIDFYTQARKALSLRCPFDSEESNSQSQPSSSSTLHLTLPNNLAQLLNKNSDSRKRHKKSHAGTETKKKSSSRQKGGRNSGFWDDVEEYFRVLTVEDIDRWYKLRSFEFLGNDQKLLYIPTFENVGSAVNDSGVTAKEEKENEQFMDVDSEGGKKIELFKEENDGNVKPCSSPSLPFSGLEWLLGSRNKIYIASERPSKKRKLLGGDAGLEKLLVARPVEGSDSFCHYCSLGDHGDVLNRLIVCSSCSITVHQRCYGVQDDVDGTWLCSWCKQNNEAVSIDKPCVLCPKSGGALKPCRKRGLGSEESSGLEFVHLFCCQWMPEVFVENTRIMEPILNVDGIKDTRKKLICYLCKVKHGACVRCSNGACRTSFHPICAREASHRMEIWGKLGCDDVELRAFCSKHSDFQISSSSQQGKGSAVDVSCSTDNNQLAGSVTAKSHKLKLGLRNGDKMVLHTDSSSSGLDKLNDDGLQQEGLLEKGLNLRHQTEYGVPQQPINRDLCENKDGDVADPVDFTMILKKLIQQKKVDVKDVAVEIGVPSDLLASMLNDGKMVPDIRSKVAKWLKNHAYIGSLHRTLKVKIKSTKAPKVGAGVVDDLDSIKVTEPEITDSVPVKSVPPRRRTKNNVRVVKDGESLYSSKETVHIDGVAADDAKTSVDGREDSSCPRELLSAGVQKVMLATIPSKATLAGDPNVDEVPIHCLDNGQVEQGALSDQNLATVADMSSTVSSVSFNHLPDVLTRENFHSSHIHPFIQNRLRQMESGVPLDDLRQGEVSQIEASSSSGICCSQHSKHSTSGDLFKMNGACSEQLVKASAMGLLELSPADEVEGELVYYQHRLLCNAVARKRFSDNLIVKVVNSLQQETDASRQREWDAVLVSQYLYELREAKKQGRKEKRHKEAQTVLAAATAAAAASSRISSLRKDNIEESVHQEMNAANERLRLSSQQNPRVKETLSKPTAMRILPETNSDLVQLSSDISKDHARTCDVCRRSETILNPILVCTSCKVAVHLDCYRSVRNSTGPWYCELCEDLLSSGGAGAQGSHLSEKEKPCFVAECELCGGTAGAFRKSNDGQWVHAFCAEWAFESTFRRGQVHPIEGLATVPKGNDVCFVCQRRKGVCTKCSYGHCHSTFHPSCARSAGLFLSMRTNGGKLQHKAYCDKHSLEQRLKSETQRHGVEELKSLKQVRVELERLRLLCERIVKREKLKREVILCSHDILASSRDNAVLSALTRHPYFQPDVSSDSATTTSIKGYTDGYKSGSETIQRSDDITVDSAVAGKRRIKFPVSMDNDQKTDDSSTSPNPVTQKTARASFSGKQIPYRASSNSTDHGDMRLRYRKHMETFEKELVMTSDQASVKNQRLPKGYVYVPIRCLPKEEEAAPDECSGEPLDPDG >Solyc08g005070.3.1 pep chromosome:SL3.0:8:50848:55492:1 gene:Solyc08g005070.3 transcript:Solyc08g005070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSFTDKNAVFRKLKAKPENKMCFDCNAKNPTWASVTYGIFLCIDCSATHRSLGVHISFVRSTNLDSWSPDQLKMMYFGGNNRAQVFFKQHGWTDGGKIEAKYTSRAAELYKQLLSKEVAKSKAEDTGLPTSPVASQLVQTTNGFSNIKISEAPKETSLFKDETPEVSASPKASQSMFTTSIKKPIVAKKSGKPGGLGARKLTKKTSESLYDQKPEEPPVQVSSSNPASSAPTGGSSFTSRFEYTDNVQSSEMSSGRVLNHVSPPMSSNFFADYGMESGFTKKSSKSSKVQIEETDDARKKFTNAKAISSAQFFGDQSKAEMEASVSLKKFSGSSAISSADLFGDDDRSDLDLTAGDLINRISFQAQQDMSSLKNMAGETGKRLGSFASTLISDFQDRIL >Solyc07g006720.3.1 pep chromosome:SL3.0:7:1568904:1571423:1 gene:Solyc07g006720.3 transcript:Solyc07g006720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFHVAVIAFPFATHAGLLYGLVQRLASTLPNVTFTFFSTKNSNSSIFNSQHCYSNTNVKPYDVSDGVPKGHVLGGGLEEIIGLFFKSAKQNIDNAMISAENDSGKKITCVIADAFMWFTSDMAQQCNVHWIPVWTSAAGSLSVHVDTDLIRENVGIQGIDGREDEVLNFIPGISEVRLGDLPGGVVSGDLKSPFSMMLHKMGKTIGKGKAISINSFEELDPQMVQYLKSKFNNILNVGPFNLTSPPPLANVVDKYDCIEWLNHQEPNSVAYIGFGTVATPPPNELEAMAQALEDKKIPFLWSIKENFMSHFPKGFLEKTSEYGKVVPWVPQVQVLEHSAVGVFINHSGWNSVLESIASGVPIICRPFFGDHHLNAWMVEKVWKIGVKIEGGVFTKSGTIDALEFVLSKNKEELKEQIGMYKELALKAVGTSGSSTQNFNKLVEIITSC >Solyc10g081980.2.1 pep chromosome:SL3.0:10:63017952:63023487:1 gene:Solyc10g081980.2 transcript:Solyc10g081980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNGAYYGPSIPPPSKSYHRHGRGSSCNPCSCLFGCLCNCIFRVIFTILIVLGVIALVLWLVLRPNKVKFYVTDATLTQFDYSTTNNTLYYDLALNMTIRNPNKRIGIYYDSIEARGMYQGQRFASQNLERFYQGHKNTSILHPVFKGQGLVLLGDREKSNYSNEKNLGVYEIEVKLNMRIRLKIGWIKTHKIKPKIECDFKVPLESNGRSGNFEETRCHLDW >Solyc01g066957.1.1 pep chromosome:SL3.0:1:75102364:75110048:-1 gene:Solyc01g066957.1 transcript:Solyc01g066957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWVFKNGVVRLVENSDCHGANGLRKVLVHLPSNEVITSYAVLERKLYSLGWERYYDEPELLQYHKRSTVHLISLPKDFNRFKSMHMFDIVVKNRNEFENGVVRLVENPGDFHGATGRRKVLVHLSSNEVITSYAVLERKLYSLGWERYYDDPDLLQFHKRSTVHLISLPKDFNNLKSMHMYDIVVKNRNEFTVRDM >Solyc02g005185.1.1 pep chromosome:SL3.0:2:7416886:7422556:1 gene:Solyc02g005185.1 transcript:Solyc02g005185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDVLAIQASSVEYDAFSAASVGTSNVATAISVATTGNVVTKDFTYVYAAIGSHSSVNAGPSAVQRAGLRAGCTPCEGPRVGISVGCNPSATPIASCTPCLAKKQNTSTTLRGGASLTYKKLRPKKVKTVGSGLLFGSGGSLTERSGNTDRVLHISTLISSTPTNIDLSYKPMDKGEREELQLIKDNYERRVRKALKAHQTFKAHQTVKAHIRFHFV >Solyc11g011680.1.1.1 pep chromosome:SL3.0:11:4703468:4703746:1 gene:Solyc11g011680.1 transcript:Solyc11g011680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKMSPLIQGTRILRRLSNSGGVPKGHCAVYVGESQKKRFVVPISYLSQPLFKELLAQAEEHFGFDHPMGGLTIPCKEDVFVHLTSHLRRS >Solyc08g023465.1.1 pep chromosome:SL3.0:8:27751180:27754027:-1 gene:Solyc08g023465.1 transcript:Solyc08g023465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEVKRKMGSYTSKWEEKGRFPWETCRQFFGRNIPNPIVLATAIFPIPNSQCNYYLFGFAKFAHFTKNPLWISLSRQMGLRD >Solyc06g072280.3.1 pep chromosome:SL3.0:6:44705369:44707835:1 gene:Solyc06g072280.3 transcript:Solyc06g072280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein E [Source:UniProtKB/TrEMBL;Acc:K4C8U8] MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDQRIEGRIIGFDEYMNLVLDDAEEVNVKKNSRKQLGRILLKGDNITLMMNTGK >Solyc09g065850.3.1 pep chromosome:SL3.0:9:64345820:64349696:-1 gene:Solyc09g065850.3 transcript:Solyc09g065850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYEKDINDLEATELRLGLPGIINDESSTSTSTSKNSRKRPSSSSVNENEQQDSAPAPKAQVVGWPPVRSYRKNHVSKLSESDNNSSGMYLKVSMDGAPYLRKIDLQVYKSYQELLKALQSMFKCTIGKFLYSEREGYNGSDYAPTYEDKDGDWMLVGDVPWEMFISSCKRLRIIKGSEAKGLACL >Solyc04g081690.2.1 pep chromosome:SL3.0:4:65706621:65714941:-1 gene:Solyc04g081690.2 transcript:Solyc04g081690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLVACQNLLQQTKDDILKKKEPNNRNGGCFLKVEYVQDESLGTIFNVDKSTSEESTSYSFATPENLDILDGPLSNRYFSY >Solyc02g083780.2.1 pep chromosome:SL3.0:2:47606181:47609682:-1 gene:Solyc02g083780.2 transcript:Solyc02g083780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDSTLIYKLEGHRRKLLHSPIFRSVYSKSFSTSREMAVSNEVLNIIDRVDPLEPALDELVRFLCPDIISFILEEKRKNPELGFRFFIWAAKRKRFQRWIPKNLIADMLSKDGGFDLYWNVLDKLKFSGIPIASNAFAALIWGYWKVNKAEKAIEAFSRMKDFDCKPNIYTYNMILHIAVQKDAILLALAVYNVMLKLNSQPNSSTFSILIDGLCKSGRTHDALALFDEMTERGVLPSKITYTVILSGLCQAKRTDDAYRLLNVMKTRGCKPDFVTYNALLNGFCKLGRVDEAHVLLRSFENEGYLMDIKGYTCLIDGFVRTKRIDEAQSVFKNLFEKNVVPDVVLYTTMIRGLSGAGRVKEALSLLRDMTGRGVQPDTQCYNTLIKGFCDMGVLDQARSLQLEISENDCFPDTYTYSIVICGMCRNGLVEEARHIFNEMEKLGCFPSVVTFNTLIDGLCKAGELEEAHLMFYKMEIGKNPSLFLRLSQGADRVLDSVSLQKMIEKLCETGKIHKAYKLLMQLADCGFVPNIVTYNILINGLCKSGLINGALKLFQELQVKGHFPDSITYGTLIDGLQRVGRVDESFKLFDQMSKNGCMPSAEVYKSLMTWSCRRGQISIAFSLWFQYLRNHAFRDGEVIGLIEEHLEKGDLEKVVRGLLEFDLKRADFDSSPYNIWLIGMCQECKPHEALKIFSLLVEFDVMVSAPSCVMLIHSLCEEGNLDQAVEVFLYTLERGVRLMPRICNKLLQSLLRSQDKNEVIPDHTMKLDRVAADIPIVRRHRSSFRHMTLIGSDGSQRNFIVQSSLTPNARIDERILQLFRMMNRMFEKHMESGSGTSAFTPLLLYPYSHRFAW >Solyc12g098160.2.1 pep chromosome:SL3.0:12:66761046:66785591:-1 gene:Solyc12g098160.2 transcript:Solyc12g098160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKKSRTANKRFSPTTEISPSKDGIGDNSKKSLQRKRKLSDMLGPKWSDEDLTHFYQAYRKYGKDWKKVAGAVKPRTAEMVEALYTMNRAYLSLPEGTASVVGLIAMMTDHYCNLAASDSEQESNEDAGTSRKPQKRARVKTQPNVSKTSEVASPTLAATHGCLTLLKKKRSGGSRPRAVGKRTPRFPVSFSHENPMGEKYFSPSRQSLKLQADDSDTDEDVKIALVLTEASQRGGSPQVSQTPNRWTDSAMSSPAETAGRKRVKMGMGDGKLLSNEVDEEEGSMEADTGELLRYKKDLTETGSISRTAQKGRRPYGEKLDVDSVDNHFDDIKEACSGTEEGQRLGSVGGKLELEASDEKNSRTSLQGHRKRSRKMFFRRDEDSPFDALQTLADLSLMMPTENEDESIPAKDDTDDHVDESGSVEALPANRQRDKHGSAGVKSRWSQPVSKSGVASSKTLKHGKVRPTDVSAVPETKQVRRAQKAMSSKARKNEGHINNEVTDSLEAEAKELPNKSTNKGKRSNQSMSPKLIKDQEHASCIDPRTERSDSAQSTAQIPVENQVNLPAKVRSRRKTDLKNPQRQRKSKISDKILDDTSASVTAFHDRAFSLKEKISNRLSKHQVRSWCIYEWFYSAIDYPWFAKREFVEYLHHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLNEEKEKLYQYRESVRTHYNELREGTREGLPTDLAKPLSVGQRVIAIHPKTREIHDGSVLTVDRSRCRVQFDRPELGVEFVMDFECMPRNPFENMPSSLKRHADGVDKFFESFNELKVNARAHEFMKFPVGDNMENGDVFSHFSPPSHPISNLLMQNKVASAEADMQCKSGVMETAAYQQTTYSKLSVAAQILGKEADVQALVEFNRALDKKDAVVSELRRMNDEVLENEKSNDSSLRDSEPFKKQYAAVLIQLNEVNQQVSSALYRLRQRNNHPGNMLLALPRPVTNFIDPSVLSTFDCCTSQPDESGFLVNEIIESSKIKARTMVDAAVQAMISFSCRDNATEKIEVVDYVNDRIPLDDSFMPTPPDPKSKNMSDTNEAEIPSELISKCIATLLMIQKCTERQFPPADVAKVLDSAVASLQPSCSQNTPIYREIQKCMGIIRNQILSLVPT >Solyc02g077420.3.1 pep chromosome:SL3.0:2:42928352:42929949:-1 gene:Solyc02g077420.3 transcript:Solyc02g077420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMAEKWEELSGKNKWEGLLNPLDVDLRKYIIQYGELAEVTYDTFISDKVSKYAGASRYSMENLFSNVGLDPSKYRVTKYFYATSSIPLPDAFITKSLSREAWSKESNFMGYIAVATDEGKVSLGRRDIVIAWRGTIQTLEWVNDLQFLLIPGPKVFGDGGLLPLFKPLVHHGFYNVYTSESARSNFNKKSARDQVIEEVKRLVEEYKNEEVSITVTGHSLGASLATLNAFDIAYNKINKTSEGKEFPVTAFVFASPKVGDINFVNAFNKLKHLHVMRIHNVLDIVPKYPPLGYFDVGQEIIIDTTKSPYLNLPGDILTWHNLECYLHGVAGTQGIGLLAGFKLEVDRDIALVNKSSGALKSEYLVPANWWTAKNKGMVQQEDGKWVLNDREEYDIVVAEV >Solyc07g005830.3.1 pep chromosome:SL3.0:7:660958:666088:1 gene:Solyc07g005830.3 transcript:Solyc07g005830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation initiation factor IF-3 [Source:UniProtKB/TrEMBL;Acc:K4CB54] MAGLTTSTSFTLTPISSKPITNKPLFLHYLNSSIFGLRFHNPQLRSCSSLIYHSATISARYGGGGGRGGFRPPPRSEEDEALDISSIRSDKVRLIDDKQNMLGIVSKMEALQRAEDASLDLVILSPEADPPVVKIMDYNKYKYELQKKKKEQQKKNAASRMDLKELKMGYNIDSHDYSVRLKAAQKFLKDGDKVKIIVNLKGRENEFRNNAIELLRRFQNDVGELATEENKNFRDRNVFIVLVPNKAIVQKAPEQPKKKEKPATEVSASV >Solyc10g049665.1.1 pep chromosome:SL3.0:10:46299117:46301008:1 gene:Solyc10g049665.1 transcript:Solyc10g049665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNTRREDGNFWKLIEKYLIHPRVLEVIRISGLYGVYKFNQSAINRSLIIAQVERRREATITLQDVGVLYGLPLNGDPVLGNEMIRTIGDWQNICQRLLGFVPSREDFKPNSIKVAAFNSHMLSQPQLPNMATKDMVNQKARYYMFWMIAASQSNQNEIAGFLPLLQRVIVLRPQIVAHIDARTISHVGFPRVPQATRWFPHLSWTNTTKHVLKVYRDALDSMIEDQVHLPDRLMRQFGLQQAIPTPLPFDSHHFRHDHWGRPHTKWELEHAHWLSFWNQRLQYSCDAPILVGSEFIA >Solyc12g010850.1.1 pep chromosome:SL3.0:12:3779435:3781035:1 gene:Solyc12g010850.1 transcript:Solyc12g010850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVESRLRMKKKSQKMTVEKYVDFIDSKKQFDLTIPNLNEIISIHGFKKSKRQKKVLADAVNTMELIDLRRSTLQEEISSEAFVTLDEAIKDLTNLNWQECCVTSLQTICFSTGVNGSDHCQAKTNATASSIPNIPPPTKKPKVRSVNSCYLE >Solyc08g022240.1.1.1 pep chromosome:SL3.0:8:29942241:29943689:-1 gene:Solyc08g022240.1 transcript:Solyc08g022240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIHLPLVTHLHHHDLDPNKNRVPVVYAYQDVKTSKKSNRLGEKLSNLLNIQKNDPTRSNLNDIHEDKANTPTMSPKEDISDRWCDIHGVQEWEGLLDPLHPFLRREIVKYGEFAQATYDALDIDSFSEYCGSCMYNSHKLFDKLGLNKSGYRVTKYIYAMSQIDMPQWLERSKLTYTWSKDSNWIGFVAVSDDEESRRIGRRDIVVAWRGTVTPSEWYENMQRKLESIGHMDSKVEHGFLSIYTSKCDSTRYNKSSASQQVMKELKTLVEFYKTKGEQVSLTITGHSLGGALALLNAYESAANFPKLPISVISFAAPRVGNIAFRDELYQMGVKILRVTVKQDLVPRMPGIVLNESLQKFDDLTGTLEWIYTHAGTELKLDVRSSPYLKRGFNFIGIHMLETYLHLVDGFVSSTSTFRSNAKRDVALVNKACDMLVDELRIPTCWYQLAHKGLECNSYGRWVRPKRHPEDIPSPTREQLL >Solyc06g059775.1.1 pep chromosome:SL3.0:6:37793848:37803962:1 gene:Solyc06g059775.1 transcript:Solyc06g059775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASFTKRREGLYRKASELATEYNVDIGIMMISSTGKPHSFFHPTFDAVISRFQNPDMQFGESTNLEANAARNESKYARDLLQKAGLEKCISQPTPMAYLAITRLDIQFVVNRVAQRMHQPSEHDYHCLKRILRYIFGILGRGLLIRPGDLELRDFSDSDWANNKNDRKSTSGFLIFLGPNLISWCTKKIQGLSVLD >Solyc10g052470.1.1.1 pep chromosome:SL3.0:10:52730056:52730322:1 gene:Solyc10g052470.1 transcript:Solyc10g052470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SANT/MYB domain protein [Source:UniProtKB/TrEMBL;Acc:Q9LEG4] MSSMSSQHGSSGSWTAKQNKAFEKALAVYDKETRDRWSNVAKAVGGKTAEEVKRHYEILLRDVFFIDNGMVPFPKYKTTGGSHNSTSD >Solyc11g051105.1.1 pep chromosome:SL3.0:11:17413663:17430317:-1 gene:Solyc11g051105.1 transcript:Solyc11g051105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWINKTINLMKDIPLKMRKRTYLEAYVDFDLTMTCTWTCPLIRSFNLVKQLWSSCLNEKPFDAYNFGIAARTSIPFENPGRHKNLVSRLTPSTLRDATAEYANLPLIPSYFQARIYSESILLQAYLILSLKPTVVILGKPYKFETVRHSKFND >Solyc04g072895.1.1 pep chromosome:SL3.0:4:59934677:59936549:1 gene:Solyc04g072895.1 transcript:Solyc04g072895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTTRSIFLFQLRNLLWSTSKHDVYLMQNYSVMHWSSLLGKGEEVLNVARPLTPTMKYPGSASQTLPRVQISTMAVRDGLLAAGGFQGELICKYLNQPGVAFATKISIGENAITNAVDISYSPTGSMRVITANNDQQVRIFDAKSFVCTNHYAFPWSVNNTSVSPDDKLLAILGDSPECLLADAQSGKVVSNLKGHLDYSFSSAWHPDGRILATGNQDTTCRLWDIRNTSKSIAVLKGRMGAIRAIKFTSDGRFMAMAEPADFVHIFDTQSDYGTCQEIDLFGEIAGISFSPDTEALFIGVADRTYGSLLEFNRKHYRHYLDYVL >Solyc06g048687.1.1 pep chromosome:SL3.0:6:31552804:31557305:1 gene:Solyc06g048687.1 transcript:Solyc06g048687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEYAQTDEVKDDLYNKYLNKGHTELSLQSQAEAMMSSEYIQSIRAINSSFLFWNGAKSSEKKRFSGKGCCPLLAVIVPLAARNKGVKNYAKAYCNVLLSFGAISSLTAKTEIEFLMKKFEF >Solyc04g063350.3.1 pep chromosome:SL3.0:4:55473351:55480565:1 gene:Solyc04g063350.3 transcript:Solyc04g063350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLISKSTKFNHFLQNTRVCFLRSFTTSAAGAGAGGYIQPAGSFSGESAAIFRPERYQSGKAGEQLDTIDYEEDENQVMDFPGGKVPITPQMKFISESSEKRLPCYRVLDDDGYPLPGTIFEEVSKELAIKMYSSMVTLQTMDTIFYEAQRQGRLSFYLTTAGEEAINVASAAALSLDDFVLPQYREVGVILWRGYPLEQVANQLFGNKFDYGKGRQMPCHYGSNELNYLTVSSPIATQIPQAVGVAYSLKMEKKEACAVTYFGDGSTSEGDFHAALNFAAVLDAPVVFICRNNGWAISTPINQQFRSDGIASRGQAYGVRSIRVDGNDALATYSAIRAARQMAIKEQRPILVEAMTYRVAHHSTSDDSTKYRPVEEIEHWKTAKSPISKFRKYIQRNGWWNDENESELRGDIRKQVLQAIQAAEKVDKPSLKDLFTDVYDKMPLNLQDQEKFVKDAVKRSPKEYPSDVPI >Solyc01g059857.1.1 pep chromosome:SL3.0:1:68771420:68788976:-1 gene:Solyc01g059857.1 transcript:Solyc01g059857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGDSAGEITGTSMASTTIDHLHPLYLHSSNSPGSLNIEIMLTGTDNYTLWSKAIQLALLGKNKVGFIDGTVKRDQFSGSLAQLWDRYTHLIWGDLKERFNKINSSRVFQLHKEIFTLVQVYYSRLKDLWDEYDSIMPPPACNCPKSKEFFEHFQYQRMLQFLMGLNDGYAQARSQILLMHQLPSINQVYAMISQDESQKLAANLSRSMPESSNPTAMYTSRSNSRNRKPYNPNVFYEYCHMKGHMRSDYNKLLKCDHCHKTGHVKLDCFKLIGYPSEFKGKRYSVVAGNLVYEESSIHHHAPQPTQKESHPAAASEMMPMPMFTPQQHQKLIQMLNQTTVGNSYLSKGTSIQWVVDTGATHHMINDANNLHCERLIENASSVQLPTGESAKVDDLLLTGNDSVMIKQTKEMLQQAFKIKDLGELRYFLGLEFARSDAGILIHQRKYALELISDMGLAGAKPVSTPMELNQKLTTVEFDTNIPSTCPDETLKDPTGYQSYNNDQVEGQIFYVFYNEVCPKVEKILLEMVFNLSITDSTTPALLRLIFHDCQVQRQQMLEDSYEDNHKH >Solyc01g060047.1.1 pep chromosome:SL3.0:1:69419384:69420795:1 gene:Solyc01g060047.1 transcript:Solyc01g060047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSNFEPNDDILDFVINKWGSFQNINHEIPIEVLEDLKDVGHKFFKLPPEEKVKYCKDKYNSSESVLMFWSVIVLEYQNCAKRFAKKLLEVLLKGLNVNKINESLERLLMETMSININYYPPCPNFSMPWGLTNTGTSIALVWSSKTTGDAYMYEGLKGISGST >Solyc04g080285.1.1 pep chromosome:SL3.0:4:64600638:64601114:1 gene:Solyc04g080285.1 transcript:Solyc04g080285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPRFCTGPPWFCTGPATDGPYGWMVRYLFVLADDEHSRASDLFGLNRFVEIGEEVSATLMLSSRGFNRCLKIDARTIGFVRCCHRSSSFDRLSSAKVES >Solyc10g081500.1.1 pep chromosome:SL3.0:10:62678954:62681422:1 gene:Solyc10g081500.1 transcript:Solyc10g081500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLTLEPSNVVTIRLEPGQRCSGALTLRNVMYTMPVAFRLQPVNKTRYAIRPQSGIISPLTTITLEIIYRLPPNTTLPSTFPHSDHSFLLHSVVAPGAAINNKATSLDMVPIDWFTTKKKQVFIDSAIKVMFIGSPVLCYLVKKGYMDEIREVLEKSDYNCKPVDSVDCEGKTLLHLAISQSRADLVQLLLEFGPNIEAHSRSCSSPLETAAALGESLIVELLLAKKAKTERTEYSASGPIHLAAGNGHLEVLKLLLLNGANVNALTKDGNTALHIAVEEGRRDCVRVLLANGARADARNEGNCDTPLHIAAALGDEHMVRVLIQKGAEKNIRNKYGKTAYDVAAEHGHNKLFDALRLGDSLCVAARKGEVRTIQRLLENGAIINGCDQHGWTALHRASFKGRIEVVKTLIENGIDINAKDEDGYSALHCAVESGHVDVAELLVKKGADIDSRTNKGVSPLRIAESLKYSGLTRVIMQGNGVKEQVGAKLEMNIAKSYGKMTKEVEIIGSVKKRSHVNKSSRVRRSSIDRTI >Solyc08g016580.1.1.1 pep chromosome:SL3.0:8:7976585:7977007:1 gene:Solyc08g016580.1 transcript:Solyc08g016580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVTENQRENAEIFTGPTICKQKSQQLLEQINMPKGLLPLDDLIEIGHNKQTGFVWLKQKKAKENRFKKIGKLVWYDTEVTGFLEDRRMKKLTGVKSKELLIWVTISDISIQVSDLEKITFATPSGISKAFPVSAFEE >Solyc05g013050.3.1 pep chromosome:SL3.0:5:6157166:6159971:1 gene:Solyc05g013050.3 transcript:Solyc05g013050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVFEGYERQYCELSVNLSRKCNSAALLADGEPKKQQISELKVGLDDADVLIRKMDLEARSLQPSLKATLLAKLREYKSDLNKLKKEVNKLTLTSANQAAHDLESGMMDPHAASASQRERLAMSTERLDQSSDRIRESRRIALETEDLGVSILGDLHQQRETLLHSHNKLHGVDDAIDKSKKILTSMSRRISRNKWIMGSVIGALILAIIIILYFKLFHH >Solyc09g056260.3.1 pep chromosome:SL3.0:9:48298300:48312058:-1 gene:Solyc09g056260.3 transcript:Solyc09g056260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLCVRLPQIPLTNLRFLRSRTLKIVLCSSTSSVESNKWEPFIKKKVVMRVGYVGSDYRAIEGELEKAIFKAGGIRESNFGNLYKIGWARSSRTDKGVHSLATMISLKMEIPADAWEDDPNGITLANCLENDVAVMVFFSCQLVISRVPSLLHDIGPFDHLLVCLLRFDARRECNARKYSYLIPAEIIGIKNDSASSEIEYHIANFNDILNSFEGEHPFHNYTIRSKYRKQSPARNLLKNDSLLKKGRPSSEEEISGSEEIDGEQNRKEQGVVTIVEAENQNAEGNCNLKVSHSKDLNSVIPIQARWLHEPDARDKLSSAHFRRIFHCHCGKLEKLLGMNYVEVSICGESFMLHQIRKMVGTAVAIKRNLLPHDTLRMSLCKFSRIVLPLAPSEVLVLKGNNFALRNHPGNIIRPEMLTLLESDDILKAVDDFYYSTLLPQLSRFLDPSKSPWSEWVEILDANTSIPDYQLEEVRVAWKLWKAQYDSRTKFPSVLAQESGVV >Solyc11g072020.1.1 pep chromosome:SL3.0:11:55605611:55606652:-1 gene:Solyc11g072020.1 transcript:Solyc11g072020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKRQKCFVRLRSTSPSIQIIIQDDTRNIIQRYSLSKCFLPAQDNLDGTKEYQDRFTLMVDPGYSIAIVKACIQEKKRIAFKKQRLLSKDGKDLSDVQTLFALGIKNGSTLILRYASITQISMKMLMLFSMVKDPWSMSRTMQIIIHDDTYKDAIGSNDIIDGITKDCRISYTLMVAPDDIIIAVKAYIQEQTGFSFKNQKLLYEGAVLRNPQTLVSLGIKKESTLVLRYEPISSNKIKSSIFTIKVQRNDTIGDLKAFFQEKTGIRFHTLRPTYRGQILDNDKRLVDLDN >Solyc01g017485.1.1 pep chromosome:SL3.0:1:23877156:23880162:-1 gene:Solyc01g017485.1 transcript:Solyc01g017485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGDGVFSGGRLPSLSLEPLTDCRRKVRMTASHHVSYALGDTRATIARTKDGDPAMAATRVHEAGMTSNRRSEIWSRIKVAILEGAARSPPVQGELMLVGCFCLTLLHNPTKKKGSTSELNLEIEDFFRFSTSVPLIIVSCAWAVRALSHMDSSMCPSGKEEGGKNHTWRSQYNGELYAAFGKDESLPKRNLLILSQLVGPVPSCEISGEEDQVGPCEQLDALSPSNLLSEMRQMKRKENPWTKPIISTP >Solyc04g077485.1.1 pep chromosome:SL3.0:4:62485938:62488082:-1 gene:Solyc04g077485.1 transcript:Solyc04g077485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFCLGRLDPRDAALRDFFCFKAAKVPFCQITIKFLALVARIEFRTKFVDPELSAFVFS >Solyc03g097585.1.1 pep chromosome:SL3.0:3:61358847:61388027:-1 gene:Solyc03g097585.1 transcript:Solyc03g097585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVSHTHPLVYTFGILGNLVSFMVFIAPVPTFYRIVKKKSSEGFHSLPYVVGLFSAMLWIYYAMVKTNVTLLITINSFGCIAETIYMKTLGLVLLLNFGVFGLILFLTQILCQGTKRAEVIGWICMAFSISVFVAPLSIMGRVIRTKSVEFMPFNLSLALTVSAVMWFLYGLLLKDVYVAVPNIPGMILGVLQMILYGIYRNSKPTFNKIYKKKSTEGYQSIPYVIALFSCMLWIYYAFLKTNTTLLITINSFGMLIETIYVSLFLYYAPKKARVNTVKMLLLTVVGGFGAIILVTQFLFKGVVRGQIVGWICLIFSLCVFVAPLGIVRQVIKTKSVEYMPILLSVFLTISAVMWFFYGLLLKDAPNILGFIFGILQMILYAMYRKKHKPIVNVNVEVQNPVIILDDNKKIPELTEEQIIDIVKLGKLFHKFNIPGQRFTQFIRRKQLKTNTTLLITINTFGVFVETIYVVFYLIYAPKKSRVQTIKMLSLFVVGGFGAIILVTQFLFKGVIRGQRKVIKTKSVEYMPLLLSVFLTLSAVMWFFYGLLLKDINIAAPNILGFIFGVLQMILYVIYSKKEKAILKEQKLPEIQKGEVIVKDENMNADKKFPELTQEQIIDIVRLGLMVCKGKVHVATCPHGNIVSFIVFLSPIPTFYNIYKKKSTEGYQSIPYVVALFSSMLWIYYALLKSNMPLLITINSFGMFIETIYVVVGGFGAIVLITEFLFKGVVRGQIVGWICLIFSLCVFVAPLGIVRKVIKTKSVEYMPLLLSVFLTLSAVMWFFYGLLLKDINIAAPNVLGFIFGILQIVLYAIYSKKEKVILKEQKLPEIQTPAVIVKNENMMNTTKKLPELTQEQIIDIVKLGLLVCSDKTQVATCPNDTNCGVKDTNNNMD >Solyc04g016120.2.1 pep chromosome:SL3.0:4:6847497:6848048:1 gene:Solyc04g016120.2 transcript:Solyc04g016120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKHKVDKLIGKNMFSGSLEPFGMLQPVMKAYSFSPPYYSNKNLFFMSSFRLIWCRMHKQSREEQVSDTKSPRNIASRKKCPRKCSSKKKGLWLSRR >Solyc06g073910.3.1 pep chromosome:SL3.0:6:45768328:45771620:1 gene:Solyc06g073910.3 transcript:Solyc06g073910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGPEGGLAETLTTEAEGVPLVFTNDLDVDDEGNVYFTDSSTKYQRRNYMLLVYSAEDSGRVLKYNSNTKQTTVLVRNLQFPNGLSLSKDGSFFVFCEVAKGRLQKYWLKGEKAGTFEVMAVLPGYPDNVSTNERGEFWVAIHCRRTIYSYINSIYPQLRLFLLKLPIPVKLRALLHLGGKLPAIVVKYNPEGKLLRILEDEEGKVVRAVSDVEEKDGKLWMGSVLMPFIAVYQLQ >Solyc08g029110.3.1 pep chromosome:SL3.0:8:38278020:38287510:1 gene:Solyc08g029110.3 transcript:Solyc08g029110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRKASHAGSWYTDNPLELEEQLDGWLRAAGLVKSSDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNISRIFLLGPSHHYYTPKCALSRATVYKTPIGDLPIDEEVNDELKATGHFEYMDLRVDEAEHSMEMHLPYLAKVFQGYPVKIVPILVGALSAESEALYGRFLAKYVDDSKNFFSVSSDFCHWGSRFNYIHYDKSHGAIYKSIEVLDKMGMDIIETGDPDAFKLYLSETDNTICGRHPISVFLHMLKNSSTKIKIRFLRYEQSSQCKSMRDSSVSYASAVGKVDG >Solyc03g117440.3.1 pep chromosome:SL3.0:3:68083876:68095309:1 gene:Solyc03g117440.3 transcript:Solyc03g117440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSIDIATTFMGLAKRQTPQFYGLRTAVFPTLWKTSKCQRFSLSTSSSSRISVAINTSSKPNRFSRGFTVSATSTSAPQSEDSDILTKIPQDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKTAGAEEIMMLNNGCLCCTVRGDLVRMIAELVSRKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDSKHVGFHLDETKPKGVVNEAVEQIAYADRIIINKTDLVGDSEVSSLIQRIKNINKMAHLKRTQFGKVDLDYVLGIGGFDLESKSLCYWTIAYILLHSCRIESSVGAEEHHDHHHSHDHTHDPGVSSVSIVCEGTLDLEKANMWLGTLLMERSDDIYRMKGLLSVEGMDERFVFQPDEKTSTRSVGLMGLGSRNTAAAGRRRELLEMEDEDNYVEYVPVAKRRAIEAQKILQRKGNSSVLEEEEEKSKLVEAKPSLLVMATQLKKEQPEISPTEQVVLQEKEMIEHLSDRKTLMSVRELAKGITYTEPLLTGWKPPLAIRRMSKKSCDAIRKQWHIIVDGEDIPPPIKTFKEMRFPEPMLKKLKAKGIIQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMMPIAPGEGPLGLIVCPSRELARQTYEVIEQFLEPLKEYGYPELRPLLCIGGVDMKSQTDVVKKGVHIVVATPGRLKDMLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPITVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAIHGGKDQEDREYAIATFKSGKKDVLVATDVASKGLDFPEIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMDDVEAITNASGVKGCAYCGGLGHRIRDCPKLEHQKSVQIANSRRDYFGSGGYRGEI >Solyc04g050745.1.1 pep chromosome:SL3.0:4:48119381:48122513:1 gene:Solyc04g050745.1 transcript:Solyc04g050745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQELIREELEQLNGRVKRIVDDQTLHDDEFHEATHHFPHEEILTNVALQDMNIVEGNDHVDHNVAAEGGNSVEGNGHVEGGTRQSLRVSHPPIWMKDYMKQVSDLNPLYTIGNYVSYQHISPSYAAYLSKFSTEVEPRSYKERGSKLVIILLYVDGLLITKDDANLIEETQCVLHSHFKNKDLGELKYFLGIEFLRSNKGMMMNQRKYALELISEQPKASHWNAASRVVRYVKGDPGKGLLLSSDHKPQLTGFCDADWAACANTKRSVTSFILKFGDSQIS >Solyc11g069760.1.1.1 pep chromosome:SL3.0:11:54671084:54671635:-1 gene:Solyc11g069760.1 transcript:Solyc11g069760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRLWTLWILQTLGGVFCLLLGRATTLPLAITWMIIFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSTKYSTGTGITYMGMMIIACTLPVTLVHFPQWGSMFLPPTKDPIKGTEEHYFTSEYTEDEKQKGMHQNSIKFAENSRSERGKRVGSAPTPPNVTPNRV >Solyc01g011040.3.1 pep chromosome:SL3.0:1:6958226:6965676:-1 gene:Solyc01g011040.3 transcript:Solyc01g011040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHGHLPSVFLLIFSLCLIRSVHVHSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDLPPWSGVTCSTQGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPLPSQIGRLKRLKILNVRWNKLQDVIPPEIGELKQLTHLYLSFNNFKGEIPKELANLPELRYLHLHENHFIGRIPPELGSLQYLRHLDVGNNRLVGTIRELIRIEGCFPVLRNLYLNNNYLTGGIPAQLANLTNLEILYLSYNKMTGVIPYSIAHIPKLTYLYLDHNQFSGRIPDAFYKHPFLKEMYIDENTFRPGVKPIGLHKVLELSDADFLV >Solyc05g012660.2.1 pep chromosome:SL3.0:5:5870250:5873184:1 gene:Solyc05g012660.2 transcript:Solyc05g012660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRASNSTTMLMGLILFVLMIIVLRQNQYFFNSRSRDSFIISTPHAISRHNYNHEVEKSKSIINCNRSHYSYDICSINGPTIFDPFKSTFYDMGSTNKNETNIIVEKIKPYPRKWENFTMGQINEFTLTKGPIGPNCLIHHKAQALVFSLGGYTGNFFHDINDGFIPLFITINSLFPNQDLVLVISKLEDWWVHKYKDLLQSFSKHPIIDIDKEINITHCFPSVTIGLMSHGFMNIDPKLTPTSKTLIDFHKFLATTYGQGQFQLQLPQSQHRPRLVLASRGGSIGRLVLNQDEVRLVAEQIGFEVILFEPSKTTSLHESFGLIHSSHAMIGVHGAALTHALFLRPSSIFIQIVPIGAEGVSDICFGKLAREMKLVYEEYKIKVEESSLMEKFGKGDNLVLKNPKALQEKGWSQEIMDIYLREQNINLDLNRFKKMYLEKAYQNAKLFMSING >Solyc12g055910.2.1 pep chromosome:SL3.0:12:62841586:62858940:1 gene:Solyc12g055910.2 transcript:Solyc12g055910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMELFTEAMMEEENCCIDEINDDYSTLDGERCGICMDVVIDRGVLDCCQHWFCFTCIDNWATITNLCPLCQSEFQLITCVPVYDTIGGSQTDEDLYTRDDDWSIEGKTNTLSFPSYYIDENAVVCLDGDGCKVRAGSVTNEGDLNLDTSIACDSCDLWYHAFCVGFDPEDTSESTWLCPRCVDKLPEKSAPYKKLGPENASNNCLLEASFSGKVSVSIADAGETAVVVSIVERNNQGEIPGRKLSNLDTKEAINTGILVPDPVPDTSSIELSLRQNECPDSAQPATPVGVKSDASTDLCNELIQPNLDLHLGLSENSCSASTVDVTNMMVAGDQVLQAALLKNTSECLCPGEKVMPDKNEEKVVASCAKRKRRENRNADNGGIRAKAELAYDLKRVKIEGSTEQINAKDQTPVSASDNSDKPRVIIPKDKKLKCKPENKDLSSDIMDIVKGTGRKILKKLAHSNQDGMSSIQKESAARLRVKKIMRRTGDEDSSVLVENLRKEIREAVRNKSYGDKGENQLDPKLLTAFRAVVTGSTPETKKPLVDLKAKRSLLQKGKVRENLTKKIYGIGGRRRRAWTRDCEVEFWKYRCSNMSKPEKIQTLKSVLDLLRDDSENAATTPVNEGEEKSSILSRLYLADNSVFPRKEDIKPVSTLTVVANENKENGSTSYTSATSFPSPSNIVPRAHVASLVVASSLEIKGAKTSVPTTKADITRNVLPIKGTDRPSTSTSSGLKLSTKEEITVKCDNTRSDKKKWALEVLARKTAATSKSGTLENEEDSAVLKNNYPLLAQLPKDMRPALAPSRHNKIPMSVRLAQLHRLTEHLLKKTNLSVMRRTAETELAIADAVNIEKEVADRSNSKLVYINFCSQELRRSDNASNVGVAEPSPCQNLVLTNSSDEVSDVHFSDPAVNEALRNAGLLSDSPPNSPSCALEEAKEESCISKEVEDHGPENVFEVDDPPELDIYGDFEYNLEDDEFSGAGTSMISVLQPEESKLKVVFSTINPVGTDGALELQNLEKQDILEGPVDTSSLSGCETSGVVGRSTAADQTENCLGHSSPIDEDLSVVDFEELYGPDKELLIEKYPEMASVKLDELAMDNEVQQSNGVDESKQASESSEQGNGSSSTASKCPNSPNKLSKSENLQINKKSKSSADKESASNSSVSMKVKAYVKEHIRPLCKSGVISVDQYRWAVDKTTEKVMKYHPKDKNANFLIKEGDKIKKLAEQYVETAQHTTK >Solyc07g053400.1.1.1 pep chromosome:SL3.0:7:61968960:61969253:-1 gene:Solyc07g053400.1 transcript:Solyc07g053400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSDISLNENESNKENIAPCEKLSIDCSKVRRSTKKYKRNFKRAPLRDITHLFDSPLQFQQSVSSNVIVCGKRKMVDEDVDLVQKNKSKSLRRDFR >Solyc06g070980.3.1 pep chromosome:SL3.0:6:43728360:43734202:-1 gene:Solyc06g070980.3 transcript:Solyc06g070980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC1 [Source:UniProtKB/TrEMBL;Acc:K4C8H1] MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Solyc01g068330.3.1 pep chromosome:SL3.0:1:77354549:77360605:-1 gene:Solyc01g068330.3 transcript:Solyc01g068330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGRGGGSGGRRSLSSSFDRELRTHIESCKNNYLSVEDLVDHLRSSYHKYSREKLQPFTKRVQDVLQLQRSNPNSTEEVTPPKKKPKRDGSEQRLQLLEKKHIMSSQRKKQEGDGSSSTTLASTSDSDDSYSSSSSDAIYGEKLEEKPDLMKSMLRHTYNQQVNSTPKSKKIEYEVIHDNNDEKRKKLVMSKGGQRRNIATKDLGGGRGGGEGERFGDSEGNVDGPKFKDLGGMDGVLEELKMEVIVPLYHPQLTKHLGVRPMSGILLHGPPGCGKTKLAHAIANETRVPFYKLSATELVSGVSGILIGQFSISGASEENIRELFSKAYRTAPSIVFIDEIDAIAAKRENLQREMERRIVTQLMTCMDESHRLVKPDDAKGTALPTDKRNNEAKSDGSNGGPGYVLVIGATNRPDAIDPALRRPGRFDREIALGIPDENARVQILSVLTRNLRVEGAFDLTKIASSTPGFVGADLAALTNKAGNLAMKRIIDERKVELSRELSDGEDAEEWWRKPWSPEEMEKLSIFMADFEEAAKLIQPSSRREGFSAIPNVKWEDVGGLDSLRHDFDRYIVRRIKNPKDYMGFGVDLETGFLLYGPPGCGKTLIAKAVANEAGANFIHIKGPEILNKYVGESELTIRTLFTRARTCAPCILFFDEMDALTTKRGKEGGWVVERLLNQLLIELDGADQRKGVYVIGATNRPEVMDQAILRPGRLGRLLYVPLPSPDERVLILKALARKKPVDSSVDLMTIGRDDACKNFSGADLAALVCSSFNMLMNEAAMVALEDKLTAMATSCDDTSSVIKESHFKCALEKVSPSVSNEQIKYYQELSKHFRAA >Solyc02g011810.2.1 pep chromosome:SL3.0:2:14140778:14141055:-1 gene:Solyc02g011810.2 transcript:Solyc02g011810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQHPSMQGVLRLIITLDGEDVVDCEPILGMEKIAENRTIIQYFPYATRWDYLATMLTEAATGMRMMHNYFRIGGVVAYLPYGWIE >Solyc01g111930.3.1 pep chromosome:SL3.0:1:98000126:98000857:-1 gene:Solyc01g111930.3 transcript:Solyc01g111930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIMIVRLFKTLNLILISCYIEKDSIQCSCIVFAWQVQNSSFLMGVQLQAWIERTKSKVKVSWIGNM >Solyc01g057440.3.1 pep chromosome:SL3.0:1:60910869:60917137:-1 gene:Solyc01g057440.3 transcript:Solyc01g057440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFAGLHDFRLCLLLDYSPKQNNLQFSFNFQEYLRMSSFSSSLLATTKVSYLIGT >Solyc04g040180.3.1 pep chromosome:SL3.0:4:11959993:11962254:1 gene:Solyc04g040180.3 transcript:Solyc04g040180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLFIKQAQQYSKGRPSYPQELFNFIASKTPSHDLVWDVGTGSGQAAQSLAKLYKNVIATDTSPKQLEFAAKVPNVQYICTSPKLSKSEIETKIGSESSVDLVTIAQAMHWFDLPTFYEHVKWLLKKPNGVIASWCYTTPKINNSVDAIFDKFYTSDAGPYWESPRKLVDEKYETIDFPFEAIDGCDHNGPFEFKIEKVMDLDSCFTYLKSWSAYQTAKEKGVELLSEDVVEKFTSAWNEDGKSEKKVIYPIYLRIGKVGNLD >Solyc12g027737.1.1 pep chromosome:SL3.0:12:25975210:25975683:-1 gene:Solyc12g027737.1 transcript:Solyc12g027737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILKYLKSVPAKGILFTKCIDPLVINAGDINDRRSTWGYFTFVGGNLVTWRSKKQNVVAQSSAEAEFRGMKLGICETLGLELLLTDLGYPPKAPIQLYYDNKAARDDICTQFGTTRSCQACRSRQGFRQGKVGCNDIRVTQDSIRGPIG >Solyc02g055467.1.1 pep chromosome:SL3.0:2:2624941:2629887:-1 gene:Solyc02g055467.1 transcript:Solyc02g055467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTTRGADFAELPRGALAEGRRGCCSYYGIEGAGTKSEAEEVEEETVQMERAENMAAAEVVVVVVEVEMGGVQPQIILNQETHLSPEENRKSGSRDQCKSLAMRLGQPEMAEISRKSISV >Solyc07g053590.3.1 pep chromosome:SL3.0:7:62136226:62139122:-1 gene:Solyc07g053590.3 transcript:Solyc07g053590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCINIDSLTSVTKIIRTCPSCGHLIKYEEKAGIHNLPGLPAGVKFDPSDQEILEHLEAKVRLDAHKLHPLIDEFIQTLEGENGICYTHPEKLPGVAKDGLVRHFFHRPSKAYTTGTRKRRKVHTDIQGNETRWHKTGKTRPVVLKNKVKGHKKILVLYTNYGKQRKPEKTNWVMHQYHLGDNEDEKEGELVVSKVFYQTQPRQCGGVNNNIKNNSCPPPLPQVRTSQVGSQFVQCFNPTTLISFERNNPTMTTTSRLPNFNNLPDASFIP >Solyc07g020800.3.1 pep chromosome:SL3.0:7:13545286:13548125:-1 gene:Solyc07g020800.3 transcript:Solyc07g020800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYVPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISSASYENVLKKWMPELRRFAPNVPIVLVGTKLDIREDNRYLADHMGSNIITPAQGEELRRQIGAAAYIECSSKTQQNVKAVFDTAIKVVLQPPRRKEVVRKKRQRSTGCSIVRGIVCGGCVA >Solyc07g017500.3.1 pep chromosome:SL3.0:7:7380970:7386608:1 gene:Solyc07g017500.3 transcript:Solyc07g017500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFEGKVVYSSLANLEKDNTKFQFVEDEVDEDSWGRVSEDSHAAGNSYPHGYPLIESDDVLDRSYGSGNVPYNFVPQSGPPEVNLRNVLSGLVAIVTGQNKVQVSNTVPQLPSSNVSFLGSGTNGDTFLHSSVYIPSAPPLVEPSAFNYSAYKDVLESEPPEWLPDSSTTICMQCTAPFTAFTRGRHHCRFCGGVFCRTCTKGKCLLPMKFREKNPQRVCDTCYDRLDPVQGVLINTISNAVQVAKHDVMDWTSTRGWLNLPVGLSMEYEIYKSSNTLRTYAQVARLNPERSIPGAVLKGAKGLAILTVAKAGMLLTYKFGSGLVVARRSDGSWSAPSAILSAGLGWGAQIGGELTDFIIVLHDSKAVKTFCSRMHFSLGAGCSAAAGPVGRVVEADLRAGEKGSGICYTYSCSKGAFVGVSLEGNVVTTRMDTNLQFYGDPYLTTADILLGTVERPKAGEPLYAALKDLYESFPLRLKGVLND >Solyc09g091250.3.1 pep chromosome:SL3.0:9:71023107:71025616:1 gene:Solyc09g091250.3 transcript:Solyc09g091250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEDLDPTACFMVDDDLLNFSLEDETVEEDDEKSTITSKDPLSYSSSSSTNPLVSLLPHPECVEEELEWLSNKDAFPAIEFGILSENPGMVFDHHSPVSVLENSSSTSHSSGNGVVSGNAYTSCCVNLKVPVNYPVRARSKRRRRRRRGGFADMPSEHCLPVTQPSFKNVKQREPLLSLPMNSAKSAASIGRRCQHCGADKTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPANSPTFSAAAHSNSHRKVLEMRKHKIGVGGMLIHEACGYRVGQ >Solyc11g016995.1.1 pep chromosome:SL3.0:11:7707248:7709626:-1 gene:Solyc11g016995.1 transcript:Solyc11g016995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLINVTKSVRTTGKEAKTEFNGLPTKRFSWLTQFEKWMSILDATLMFLLQRRKSDVGWDLGLFPCEAQGAINDLNDNVLSYTITRIFWQFICVDWQLSLPEFQVKILEQNVISTRALLVASSLKLQVLS >Solyc03g062890.3.1 pep chromosome:SL3.0:3:34633216:34638327:-1 gene:Solyc03g062890.3 transcript:Solyc03g062890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:K4BH38] MGNLKAVAVISGNDSVQGSLQFIQQSNGVTHVRGRIIGLAPGLHAFHIHALGDTTNGCNSTGPHFNPLKKDHGAPMDEVRHAGDLGNIVAGPNGVAEISISDMQIPLSGVHSILGRAVVVHADPDDLGRGGHELSKTTGNAGARVGCGVIGLQSSV >Solyc05g050055.1.1 pep chromosome:SL3.0:5:60875047:60876651:-1 gene:Solyc05g050055.1 transcript:Solyc05g050055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYRDIGAIARSCNMNKPNNVIAPKLGLKASHVWNYFDRVLSPGMNIFNGSSESVSLDFPIARQERSYDQRIKHSTDVAYSIIGTKPPLEIGSKNKMLFAVVQNKGDGKEK >Solyc12g019810.1.1.1 pep chromosome:SL3.0:12:11867756:11867980:-1 gene:Solyc12g019810.1 transcript:Solyc12g019810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGQIKRRWNPGEDELLKKLIEEHGAKNWSFICQLIPSRTEKSCREFWCDHLNPQLDHQPFNPEEEDIIFQGS >Solyc09g064860.3.1 pep chromosome:SL3.0:9:62571662:62594210:-1 gene:Solyc09g064860.3 transcript:Solyc09g064860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVMNVVAIALAAGHKTKRPFGVDDQDIEFGNPWWFVYAGVSCFLVLFAGIMSGLTLGLMSMGLVELEILQRSGTITEKKQAATILPVVQKQHQLLVTLLLCNAAAMEALPIYLDKIFHPVVAVVLSVTFVLAFGEIIPQAICSRYGLAVGANFVWLVRVLMIICYPISYPIGKVLDAVLGHHNALFRRAQLKALVSIHGIEAGKGGELTHDETTIIRGALDLATKTAAVAMTPIESTFSLDVNSKLDWEAIGKILARGHSRVPVYSEHPKNIIGLLLVKSLLTVRAETETPVSAVSIRRMPRVPEDMPLYDILNEFQKGSSHMAAVEKVSKKDCNLVSDRAGVKGGESKFCNGDSQLTTPFLCKNDEKSDIVTINIDKVSLQNQEMKLPSLHQKGVTINNSTYLAEDIEEGEVIGIITLEDVFEELLQEEIVDETDVYVDVHKRIRVAAASSVARAPSARKLTGQRASRRRSLCIIQLQIRTRCFTFFHSLNSPLRSITHDFASRRLPTHRRAVPPSPLCIPKHKVDSRILRAVAIEHCKDGDTAVEVVLNEVIPCLTKLPSTSAEHSGVTGISSAAAVDANGPPQPDAFLLHNTKDSDELQNGSSFYDAGCGHHQTIEDTDGESLQNYHDAVGGNHVPLEVDGGGTPVSVEKCDKSKEKVTAYEPCLVMNAMSNAEGEDIADVYEKCAPLLIENERCGHSADPEATSFTANKKNGAEVADNKLCLPTECVVLHDTFEGTENSSSDDSTALVHKRIHEEVSSQDNRGMKDPEGQVVPLSAQTLCGSEDSIEFVVAPDVHNFELEKTEISLHQKEKTELSDSVDATSSEDLAGVILVTKEESMPNSVVTASGQICSIDLLEDMMTEAKSNKKTLFLAVESIICLMRDVELQEEAAEQAKLEAAKGGLDMLERVEDLKEMLQHAKEANDMHAGEVYGEKSILATEVKELQSRLLGLADERDKSLAVLDEVRGCCNLVYVSEERYETEIAMRQTLEVRMAAAVKEIKAAEQERLEKKEVARKALADQEIIMEKVVQEANVLKQEAEENAKLRDFLVDRGRVVDTLQGEISVICQDVRLLKEKFDDRVPLCKSLCSGQTSCILASSSSSLKSMISDEVAEPADLLHAPEKVDRVSCHEEEHKVCEDVKSVIHNTDLVDDGWEIFDNRELYV >Solyc11g073210.2.1 pep chromosome:SL3.0:11:56546659:56548948:1 gene:Solyc11g073210.2 transcript:Solyc11g073210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLTPKLAKQVYGGDGGSYHAWCPNELPMLKQGNIGAAKLALTKNGFALPRYSDSAKVAYVLQGSGVAGIVLPEKDEKVVAIKKGDSIALPFGVVTWWYNKEDTELVILFLGDTKTAHKAGSFTDMYLTGSNGIFTGFSTEFVSRAWDVEESVAKTLVSSQTAKGIVKLDAGFQMPEPKQSNRDGMVLNCEEAPLDVDIKGGGRVVVLNTKNLPLVGEVGTGADLVRLNASAMCSPGFSCDSALQVTYIVRGSGRVEVVGPDGKRILETHLKPGNLFIVPRFCVVSKIADPDGMDWFSIITTPNPKFTNLAGKVSPWKSLSPQVLQASFKVAPEVQKHFSSKRSAEEVFFPPPN >Solyc02g077350.1.1.1 pep chromosome:SL3.0:2:42880284:42880565:1 gene:Solyc02g077350.1 transcript:Solyc02g077350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDFHHPNYVLVKIQRVNGSLLKLVVVLFLLSCYIAILLLIFDHFQKHPYFIHGQLSMLNLSSLCIKSISSIKSRSYLFLVLSINNLVLHVI >Solyc01g096740.3.1 pep chromosome:SL3.0:1:87613005:87633782:-1 gene:Solyc01g096740.3 transcript:Solyc01g096740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEERRETLLNKREEKHYYENCPGCKMDLHKAGQTGLPVKELFTIWVVVLGTALPISSLFPFLYFMVRDFHIAKREEDIGSYAGYIGSAFMFGRTLTSAFWGVVADQYGRKPVIIFGTSIVANFWMAVVTRFLLGSLNGLLGPIKAYAAEIFREEYQALGMSTISSAWGIGLIIGPALGGFLAQPAEKYPDLFSKGSLFGRFPYFLPCLCISVFALVVAVGSFWIPETLHNHDSERPRPDSYKALEAASDTKDGNESAPKENLFKNWPLMSSIISYCVFALHDMAYSEIFSLWAVSPRKFGGLSYSTADVGEVLSISGARQFHRFGLLVFQLTLYSSVAKYIGPVMTARAGGVLSIVLLTTYPYIAMLSGTTLSVVMNVVSMMKNILSISIITGLFILQNKAVDQRQRGAANGLAMTGMSFFKALGPAGGGALQLKDYYENCPGCKVDLHKAGQTGLPIKELFTVWVVILSAGSAFMLGRALTSVLWGTVADRYGRKPVIAYAAEIFREEYQAMGMSTISSAWGIGLIIGPAIGGFFAQISLLLALLMHITVFLGRGYSFNLAAVLLKAHGLQETLHNHDTRTPPQRSYKALEAASDTKEGNESTPTKSLFKNWPLMSAIILYCVFALHDMAYSEIFSLWAVTPRKFGGLNYSTVDVGEVLSISGCGLLVFQLTLYPLVERYFGPIVIARIAGDQRQRGAANGLAMTSQSFFKAIGPAGAGVLFSWAQKRLDTPILPETPLINKKYYYENCPGCKVDQHKSGQTGLPIKELFTIWIVILGTALPISSLFPFLYFMVRSLNFFVVLRSSFSSFL >Solyc07g008480.2.1.1 pep chromosome:SL3.0:7:3388313:3390049:-1 gene:Solyc07g008480.2 transcript:Solyc07g008480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRCGHLDLSPVPTTSLINMYSKCNSISNALSVFYTSPLDHNVFAYNAIIAGLIANDLPKSAFEFYFKMRLVGVIPDKFTFPCVLKACKNEVDVKKIHGLVFKLGLEVDLFIGSALLHSYLMYRMVDFALDVFEDLPEREDVVLWNAMINGYAQTGGFGSALMVFRWMIEDGVIPNKFSITGVLSALANYGEVYNGKVIHGFVIKKGFDLGVAISNALIDMYGKCSCVADAFEVFQTMDDKDIFSWNSIICVHEQCGNHEGTLGLFKRMLSARVRPDLVTVTTTLPACAHLAALRHGREIHGYMIVNGLRKDTADIEYDDTYIDNAILDMYAKCGSMREAKLIFDMMNFKDVASWNIMIKGYGMHGFGIKALELFSDMCKAELRPDDVTFVGVLSACSHSGLVKQGREFLAHMQPKYGVVPSIEHYTSVIDMLGRAGQLEAAYELLLTMPIKTNSVVWRAFLAACRIHGNDDLAKVAAKQVLDLEPDHCGSYVILSNVYGQTGRYEEVLEIRDAMKLQNVRKTPGCSWIELHNGVHVFITADKSHPEANLIYAGLNSLTARLCEHGYTPESDALESSP >Solyc12g088130.2.1 pep chromosome:SL3.0:12:64571291:64573794:-1 gene:Solyc12g088130.2 transcript:Solyc12g088130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRRRKRLNGRLSMLRSIVPKISKMDRTSILGDTIDYTKELLEKINNLQQEMELGSNQLSLMSIFKNEKPIEMFVRNSPKFNVERRNNIDTRVEINCANKSSLLLSTLTTLDALGLEPQQCVISCFNDFAMQASCCEEMEQRGVTDAEEIKQALFKNAGYVGKCL >Solyc04g080630.3.1 pep chromosome:SL3.0:4:64824744:64825435:1 gene:Solyc04g080630.3 transcript:Solyc04g080630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L31 [Source:UniProtKB/TrEMBL;Acc:K4BVE2] MALTLSNTFLQKNVAPPSFSSKKMGIGSNQMRWSCRKKDIHPQFYDDAKVYCNGEHVMTTGGTKNEYTVDVWSGNHPFYQGSRSQLLLDADQVEKFRKKFSGLTQIMQIPTLKGEIVLPPKKKKPTKKK >Solyc10g008100.3.1 pep chromosome:SL3.0:10:2218296:2222052:-1 gene:Solyc10g008100.3 transcript:Solyc10g008100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTLTQLTSLLLLLSFFFFFHVSNSSTDLPIGIHPLDEKYYASDVIKCKDGSNSFTIDRLNDDFCDCIDGTDEPGTAACPSGKFYCRNVGSTPKFLFSSRVNDDICDCCDGSDEYDSNVNCPNTCVMGGDFSYQTRRYRSRRKHLDRVGGRNANEVNMADSAQRLKGLKILVLVQVALIIIFLVSRKLYRRSRSKRRHSR >Solyc02g082215.1.1 pep chromosome:SL3.0:2:46461383:46464780:-1 gene:Solyc02g082215.1 transcript:Solyc02g082215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQLGSRRRRLSPHRALIATAAFTVVGLLILTLRSVDPSTQLPITTSENVNAKPADTNLHSNHSDAQPLKTCATVEEMGEVFRSGFWKESYRVRKIIESHFAINGASRVRALPPEEFCRHGFVLGKASEAGFGNEMYKILTAGALSVMLNRSLIIGQSRHIGVDFLLRISSLTPILPSH >Solyc07g063000.3.1 pep chromosome:SL3.0:7:65734369:65742678:-1 gene:Solyc07g063000.3 transcript:Solyc07g063000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIWEFLPMSFVCWVFLAYLFCTTLSLETPVQNCHPYDLLALKEIAGNLTNGVILSAWSNEPNCCKWDGVVCGNVSTQSRVIRLNLSRKGLRGVVSQSLERLDQLKLLDLSHNHLEGGLPLDLSKMKQLEVLDLSHNVLLGPVLRVFDGLESIHSLNISSNLFTGNFSEFGEFPNLVAFNISNNSFTGSFKFEICSFSKKLKVLDISLNHLTGDLGGLDNCSSLLQQLHVDSNDLGGHLPDSLYSMTSLEQLSLSANNFSGQLSPQLSKLSKLKSLVLSGNRFHGLLPNVFGNLTLLEQLAAHSNRFSGPLPSTISYLSVLRVLDLRNNSLSGPVDLDFTKLTSLCTLDLATNHFKGNLPVSLSSRELKILSLAKNEFTGPIPENYANLSSLVFLSLSNNSLSNLSGALSVLQHCRNLSTLILTRNFRGEEIPKNVSGFENLMIFALGNCGLDGRIPIWLYNCSKLQVLDLSWNHLDGEIPTWIGEMEKLFYLDFSNNSLTGEIPKNLTDLKSLISPHNYASSLNSPTGIPLFVKRNQSGSGLQYNQASSFPPSILLSNNRLNGTIWPEIGRLKQLHVLDLSKNNITGTIPSSISNMGNLEVLDLSCNDLNGSIPASLNKLTFLSKFNVANNHLQGAIPTGGQFLSFPNSSFEGNPGLCGKIISPCAASNLDLRPASPHPSSSSRLGRGGIIGITISIGVGIALLLAIVLLRVSRRDAGHQIGDFEEDFSRPPRSSDTFVPSKLVLFQNSDCKELTVADLLKSTNNFNQSNIVGCGGFGLVYKAELPNGIKTAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCQHGSDRLLIYSYMENGSLDYWLHERVDGSSLTWDMRLKIAQGAARGLAYLHKEPNIVHRDIKTSNILLNERFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLTATFRGDVYSFGVVLLELLTGKRPVESGGDIRYNDMGYKLREAASGGVKYSLSMHSARSTTEALDRSSCLVARGNRKCKGEIPRTVVDDGTVDRREERARSVGESLTDGAAKAVETGLDVGEKAKESIDEAWDAAKETTNNIKDAMVDDANDEMKKGI >Solyc06g008620.1.1.1 pep chromosome:SL3.0:6:2516156:2518138:-1 gene:Solyc06g008620.1 transcript:Solyc06g008620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSPNGSIIFSTVGRTNYGFDIFSLKSPLSFLNSPITEHCLTDGASVNFNGQFIDEDQTLVFVSERSGAPRIHLSRRHSGIELIPISINTLFLDRPILRNNRLYYISAHQHSEKIFTSSSALYCTSTVEGDNEVKRLTPQGYVDYSPSISKSGHMIAVASYGNRGWPTEEFHDLSTDIVVFPVSKPDSRTIVCRHGGWPTWSGDSTIYFHRKADDGWWSIFKLDLPDDLSNLVDEGNASIRVTPPGLHCFTPAAAAVSGDHSKTSIIAIATRRPGKSYRHIEIFDVETQKFFPVTELINPTIHHYNPFFSPESTYLGYHRYRGESCSGETTIPYLDSVISPVNGLKMLRLNGFFPASSPSGEFIAFNPGFKGLEIVKSDGSKKWTLIKDRTSFCNSWSPAEPHVIYTSIGPIFESVKTTVQIARVSFSSLNVNSDEIPVEIKVLTGEETGNNAFPSCSPDGKHLVFRSGRSGYKNLYIIDGVNGEMEGGEVRQLTNGAWIDTMPNWSPDGKLIAFSSNRHNPNDINRFSIYVVHPDGSGLRRIYVAGPEGSEEVDKERLNHVCFSKDSEWLLFTGNLSGVTAEPVSLPNQFQPYGDLYVVKLDGSGLRRLTCNGYENGTPAWHPSTMPMETTAGETERSVLVGEKLKGEFDDLLWMKC >Solyc10g081670.2.1.1 pep chromosome:SL3.0:10:62821147:62821479:-1 gene:Solyc10g081670.2 transcript:Solyc10g081670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMGKTEEFIVNVIEQRLEEPDTDIYATDCLETCKSVYQDAVDAMKKAEEDVKSKEYYKADLDISAITTDIDTCNECAVSIYGEDTEFRQFDNWIQGVATECLDKISALTK >Solyc01g066120.2.1 pep chromosome:SL3.0:1:72966525:72967765:-1 gene:Solyc01g066120.2 transcript:Solyc01g066120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSFFTTQNMKILKNEKCHVLIALFPCQSQINSYLQFSKQLINLGIEITLTVEGLSYAPFSDGYDDKFQLIPPLSPIDFPSFLFDNVERSNLKYPRVLVNTFDALESDDLRILKHVTMVGIGPSIPSIFIDDNTFRADMIEISSNNYMDWLNSKDKESTIYIAFDSYSKISIQLMEDIGHGLLKCGRIFLWVIRRRTRQVEVLKHPSVGCFLTHSGWISILESIASKVPIVAYPLWNKKVCNAKLIQDIWKNGVRVNISEGGVVKKDEFNRCITIVMGDGEEGIDLRSNVKNWSDLAKESMKENSTSNVNLKTFGNEILLGHNEY >Solyc07g066570.3.1 pep chromosome:SL3.0:7:68093999:68095779:-1 gene:Solyc07g066570.3 transcript:Solyc07g066570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVSFVVRIMKGSSDSSSSPALITKDLLGGCRSLDSKELDLDLQVPCGWEKRLDLKSGKVYLQRSLQQKTIGKLQDLNFPPTSKLFDEPNLDLKLLPSSPSYQSVCTLEKVKSALEKETTRKRSISSSNSSSSGKEDTEEHTSNSFAAGCPSCLLYVLIAKDHPKCPRCHTIVPLPLPIKKPRIDLNI >Solyc02g071850.1.1.1 pep chromosome:SL3.0:2:41773510:41773962:-1 gene:Solyc02g071850.1 transcript:Solyc02g071850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRGPFSRHAPYMPRGPSALALRHSPSMSRGPYAFCLKTRALRAFSPLHLPHRDTCPACLEALTTRPEAHKVPASRFSTRRLEAHVLCASWPSSPRHEARALCSLRLFAPCPEARTLLALRPLCHPPRDTPSSCLEAICHPPRDTHPTYL >Solyc07g043260.3.1 pep chromosome:SL3.0:7:57047112:57051126:-1 gene:Solyc07g043260.3 transcript:Solyc07g043260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDLRLSSGQDKRLDLYVDTNAIDDSIPSHVGKENVDGNGIGMDIVDVDIIDNGIENGEPEKGIEFDTKEAAYAYYREYARSVGFGITIKASRRSKKSGKFIDVKIACSRFGTKRESGSSRSCPKTDCKASIHLKRKQDGKWFIHSFMKEHNHEICQDDFYYSVKGRSKKSAGVVYQKKGLQLALDEGDVELLLDTLALMQAERPNSYYAIDFDKEKRMRNVFWIDAKGRNDYVHFCDVIYLDTYYIRNKYKVPFLPIVGVNHHFQFLLLGCALVGDESSSTFNWLMSTWLRAVGGQSPRVVITDDEISLKEAAEEVFPKAQHCFCLWNVMRKVSQNLVDKITKPEAFVKKLKKCMWFLLKEEEFEKRWWKMVDSFKLRDDDLIRSLFENRTKWVPVYMRNTFLAGLSTFERSESVSSFFERYISSETTFKEFIDQYKLFVHEMYEEEAKADIETRHRLPTIKTLSPYEKQMSTVYTNAVFMKFQAEVFGVAACTILNEGEEGTEKLYRVNDREKHQSFMVSWCARESCIVCSCHFFEYAGILCRHAITVLQVSGVPNIPALYKLERWTREAKTKGRACGIPSNPHHRIQRLNDLCKLAAKFGEIGSLSWETYELAVNTLQAALHDCVNANNSVKSALVSNISFSQCDPNFNEEIQGGNMAKSSKRKKVQKMHKVQSDVEVLSTRIQDSSLQMDQSNSKLPTHEDAFLAQRHVQGMDLSSRMATIAGYYAPPHQSVHGLGKLSSFSMLQDRYCSNHQASQSVLGNLNYISAHSGHYSPQSIQGLGQLSVRAPLVLPSFNIQENSSDMDNSTSVTGKHLQY >Solyc08g016410.1.1.1 pep chromosome:SL3.0:8:7522462:7522899:1 gene:Solyc08g016410.1 transcript:Solyc08g016410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNRFVFKPTEKLELPCWIDILAVIVVDLVATGWSVVILVAQSHYSPLVGRSRWSWCCFCRFFQVGGVWEKGLAVVEQLSLLGHYLHDYSLVVLVVREQQRERGDEEKEVWHFCFSERRSEKKRELGYVNFNRIDLDFDLNRWI >Solyc01g057525.1.1 pep chromosome:SL3.0:1:61322829:61323327:-1 gene:Solyc01g057525.1 transcript:Solyc01g057525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSGKEITPATNLILFHLSRPYQHHQSVLRATQTVDDMIKEKTLEEIRKTFNIENDLKPTEQKEVRKENA >Solyc10g055240.2.1 pep chromosome:SL3.0:10:56455648:56471627:1 gene:Solyc10g055240.2 transcript:Solyc10g055240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEVSKQIERRKAIDTQKKNLCDLKEKNGCNFPGYDYNVQDRKNWMSTLGPEKLHVNQIVWPGTHDSATDEIGIPFISRPFGQCQSLSIYEQLVLGVRVLDIRVQEDRHVCHGILLCYHIDVVINDVKKFLSETHSEIIILEIRTEFGHDDPPNFDKYLEAQLGEFLIHQHDNVFNNTVAELLPKRIICVWKPGKSPKPRQGSPLWNSCYLKDDWIDTDLPEKKFESNMKYLSEQPPITSRKFFYRVENTVTPQADNPVLWVKPVTERIHPYARLFIMECISRGYADRLQIFSSDFIEEDFVDACIGLTNARIEGKL >Solyc05g023880.1.1 pep chromosome:SL3.0:5:29883085:29883515:-1 gene:Solyc05g023880.1 transcript:Solyc05g023880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKRLKKEPGLRWVENQGSVHYFSFGYASNPDIKIIHGMIEWFNIKSKGKREIVIRDIRRFNHIQNGACSCGDYW >Solyc07g045090.3.1 pep chromosome:SL3.0:7:58333759:58335646:1 gene:Solyc07g045090.3 transcript:Solyc07g045090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAELESREWYVASYAPTGVPNSDHIKLRTLTLSIPDDHVAFQILYVSIDPYMRTQLSGLHDGLSLPQIPLGQVITAFGIGKVVRSKDTNFSEGELVMSRICPVAEFGVLPSNLLQKINHAHGVALPDYLSCLGMPGITAWVGIEKIGNAKAGSNVYISAAAGGVGIIAGQLAKVKGCRVVGSVGSDHKVKLLKEECGYDEAFNYRIETDYDAALTKYFPNGIDVYFDNVGGKMLEAVLNHVNHGARIALCGMISEYNKVWKEREGVRNLLNMVGKEVMMKGFMVGSYYNHFEEFVKEMEVHLKEGKIKSKHKIYNGIESFLESLTSLFSSSNVGKVILQVTP >Solyc03g025645.1.1 pep chromosome:SL3.0:3:3091137:3094456:-1 gene:Solyc03g025645.1 transcript:Solyc03g025645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRGDSSQHYKGTGQKYSGQDYQKGKKNWDQKCEYCKIKGHVKKNCFKLIGYPADWKFKKKENLNTAYNVQAENTQQNMKSGIRNTGEILDKMASGELHRAPHLTDTQHDNILGMINNDNSQHGMMANMAGMAGNTQIAKIKDLKWIVDSGATNHMSFSLSNLTDVKLVKSDYNRTVHLPNGGVTLGTDIVVILVYVDDMLVAGSNLTLIEQTKASLHKAFKIKDIGDLKFFLGMEFSRSGKGILVNQRKYALEIISQLGIGSAKPSWTPLETNIKITTHELDNLIGESDEELLENKEQYQSLVGKMLYLTLTRPDIAFSVQTLSQFLQQPKKSHWEAALRVMKYVKREPGLGILLSSTRTNTLTVFCDADWASCPNTRRSVSGFLKYG >Solyc11g066030.1.1.1 pep chromosome:SL3.0:11:51961933:51962172:1 gene:Solyc11g066030.1 transcript:Solyc11g066030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEINEVGLIYGPFIIFIINLFYFFRRQNLYSNSPIFNANNNPFTFRKKYCPLIFTKKNQCFRKKILSLSYVLEFRWYC >Solyc10g008455.1.1 pep chromosome:SL3.0:10:2567610:2571694:1 gene:Solyc10g008455.1 transcript:Solyc10g008455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTALFTSRSGGNNFNGQNNRSGNVKIDKQRSGYLYCDHCDMRGHNRAECSKLKYCTHCHKHGHLKDFCYQLIGYPTNYKGKRQANIMTTDYNSQFNNSGSSTDSNVVDQMQQFKGGGSQQMLQQHGINSGSGGSGTVLAQHFTPNQYQQVLQMMNKSLIHEGNTVSTNSNTNAIGIFAGHSQFTPSTSSIDWIVDSGATDHMVRTKDLLTHGSTVKSSGHVQLPNGDSTKVTHSGCSQLQGVTGSNITLINDTKQVLKDNFKIKDLGSLRYFLGIEFARNNEGILMHQRKYALEIISDLGLGGSKPIATPVELNGKLTTVVFDKHVGVTSDPILSYIGEYQRLVGRLIYLTITRPDLSYAIQNLSQFMNAPKQSHMNAAIRVVRYIKQQPGLGVLLSAQHCGSLQAFCDADWGSCLDTRRSSAEPEYRSLASTVAEVTWILGLFRELDIPTVLPIVVSFRMVALFVRYSYAFC >Solyc08g061063.1.1 pep chromosome:SL3.0:8:46595498:46606724:-1 gene:Solyc08g061063.1 transcript:Solyc08g061063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGNFKCVYDSDDELWAENRSKRLHDPLLMKNNNDEPKKKTEEIKKKRPRKLTSTVSYVSRARLMYPGSLLFKGFLVISILTGINATRCNGNRGDQFPSGNLFVAVSEGLWDNGAACGRRYRLRCLSGSGYRPCKGGTIDVRVVDYCNKRPCPSTIALSADAFSQISHSTKAKINIEYIQYVPTNGNRDITVCGSSREINMMSADLRKLSDPQKELEAAEHIPSHKSQALQTIKQAIDRIEEEKF >Solyc02g070915.1.1 pep chromosome:SL3.0:2:41066603:41069453:-1 gene:Solyc02g070915.1 transcript:Solyc02g070915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSPHSNFFSSLKQLEKRLKLDDSSKREAVETPTQSLISRLYLNCDETPADSITRSTNLKKSEGPLQFLSNSSLAQESVGIVEAGGNGVDEIELMMQLLGFRRVKGKDPKGWKWILAVMMHFMETLLGFRVQKVKKKWRDYMFKTLDHLHACPFFHIQMTHKYSHHMKNENQNRPKYDPGILANLSGMIPLNLFCERSSERRCSNPTISGSLPSNLFLERFKNTSSLNLVRISPGAGPDSMFPDRSSENKFLQRPRDLGMLPDRLLFDISIP >Solyc02g032607.1.1.1 pep chromosome:SL3.0:2:29322603:29322995:1 gene:Solyc02g032607.1 transcript:Solyc02g032607.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFHPYLDRFVVIFLEDIVVYSNSMEDHVQYLCKVFKVLRDNDLCMKREKCSFAQPTVQFLEHTISHVKIRMDGDKVEAIQNWEAPMKVPELQSFLGLANYYRHLIFSYSAIASPLTDFLKKNREWEW >Solyc02g085960.1.1.1 pep chromosome:SL3.0:2:49370434:49370910:-1 gene:Solyc02g085960.1 transcript:Solyc02g085960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVHNEGGVAVEKVENKNTEKEIPPLDVVKDYEEQGLVIQLEKTVENNYENNVSIDFDSMFPDFFHGDEEMDLAMKFYHGIDDRDVLDPPVVLPIIEPSYMKFDESIQFDCPEEFKLGDGQPLLSNDRGNWDHELMNWIWHPNSYDEFLRFQRGDEN >Solyc04g026370.1.1.1 pep chromosome:SL3.0:4:16418933:16419127:1 gene:Solyc04g026370.1 transcript:Solyc04g026370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISNRFDRKRHHGFGTTSAQSDSQFSLMALHRVNLLARTKMEIWYCIGTIRSSVLINGSPQNC >Solyc10g074870.2.1 pep chromosome:SL3.0:10:58638800:58647324:1 gene:Solyc10g074870.2 transcript:Solyc10g074870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNHNFSPSRAASPQIRTNPDVESNQYLSELLSEHQKIGPFMQVLPICSTLLNQEIMRVSGMMPNQGFGELDRFRHRSPSPMTSPNLMSNVGGTGLGGWSGLAQERLSGAPGMSMDWHGAPASPSSYIMKRILRLEIPLETYPNFNFVGRLLGPRGNSLKQVEATTGCRVYIRGRGSIKDPDQEENLRGIPGYEHLNEPLHILIEAELPANIVDIRLRRAQEIIEELLKPVDESQDYIKRQQLHELAMLNSNLREESPGPSGSVSPFNSGGLKRPKTGR >Solyc07g047970.3.1 pep chromosome:SL3.0:7:59310444:59318177:-1 gene:Solyc07g047970.3 transcript:Solyc07g047970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFANSKNYGVPIYGAGWVPPSALRSAVEPSVDDEKDDGDKSSSSAPGNYVVLAGGGGEGNSGIRNALIVAQFDFDSNVLSDEPVARLGTGGDLPYRMAVHPGGEGLICSLPKSCRWFDWDFQTDENRSLGLRSSEKVLEPLEDVGQQLALTFNDEGSLLAVGGEDGKLRVFKWPRMENILDQANAHASVKDLDFSPDGKFLASVGSGPCRIWDVSKSTSVASLTKENDEIFGYCRFAPINDENQVLYITTMRDQGGSISKWSTTTWRRIKSKRVVRDPICAFNISTNGKLLAIGTIEGDVLIVSSNNFQVQNVVKKAHLGLVTTLKFSEDSRALLSASLDSRVRVTIIKEEKKSGLSLWIVILVLLIAIAVYYAASNGILPLELNSILK >Solyc06g043210.1.1 pep chromosome:SL3.0:6:29386496:29387628:-1 gene:Solyc06g043210.1 transcript:Solyc06g043210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLLVEFKEEHATGTGVLTAWFLLVSQEIFNPNNVLLVASTSSDISVEDWKTHTDYHGYEQSDLQIS >Solyc09g082910.1.1.1 pep chromosome:SL3.0:9:69037060:69037560:1 gene:Solyc09g082910.1 transcript:Solyc09g082910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVVDQVIVALSHATVLEELMREKLEARNSLQQQAKENVVKASQARYSFQNLMNNGMRQPMHLILGLLSILQDENTSTNQKNIIDTMVRMSTVLLNLINDAIDIPDKDEGRFPVKMMSFQLHSLIRETFCLVNCLCIYKSFEFSMNVSNSLTNLVMGDEKRS >Solyc01g098840.3.1 pep chromosome:SL3.0:1:89115228:89121671:1 gene:Solyc01g098840.3 transcript:Solyc01g098840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mevalonate kinase [Source:UniProtKB/TrEMBL;Acc:K4B0S1] MEVRARAPGKIILAGEHAVVHGSAAVAASIDLYTYVSLRFPTPADNDERLSLQLKDVSLEFSWPVARIKEAFPNLENPIPPSSCSLETLKLIASLVDEQNIPEANIGLAAGVTAFLWLFTSIHGCKPAKAVVNSELPLGSGLGSSAAFCVALSAAILALSDSVTMDFSHQGWQVFGENQLELVNKWAFEGEKIIHGKPSGIDNTVSTYGNMIKFKSGDLTRLKTNMPLKMLITNTKVGRNTKALVASVSERTLRHPTAMASVFTAVDSISNEVAAIIQSPVPDDLAITEKEEKLAELMAMNQGLLQCMGVSHASIETVLRTTLKYKLSTKLTGAGGGGCVLTLLPTLLSGTVVDKVIADLETCGFQCLLAGIGGNGVEFSYSGIPDIGGNFS >Solyc06g073770.1.1.1 pep chromosome:SL3.0:6:45665977:45666450:1 gene:Solyc06g073770.1 transcript:Solyc06g073770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDIFYDLDPLFEGDEYNHRKVSNDQIPYMNNQNEEKKSTENDDKTMTIQEKDVEVARCEIDLQSLKGGEMMVRKRKTEDFSSGSTNEANHLAGLGVGEVGIREGRMLFISPRYNYLWKSPLQPLDSCRLRSNETRVRLSPRENRLTTWRGAIHGT >Solyc03g032200.2.1.1 pep chromosome:SL3.0:3:4729649:4730354:1 gene:Solyc03g032200.2 transcript:Solyc03g032200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVTFGILFQTKKFKLPPFPAECDILKCLLSAPPHDPECLVIFQIKETLDDDDTDDDENENSIDANNKNEDENKNTNNKDEDENDNTNNKDEDENENSDEDSNEDEDEDEDVNRLTFYFCKPGYNTEFHKQDVQSIIRDPRDSFQEKDLCINGDARYSNLFGCR >Solyc06g009950.1.1.1 pep chromosome:SL3.0:6:4356337:4356507:1 gene:Solyc06g009950.1 transcript:Solyc06g009950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGTPEKQILIEPIFAQWIQSAHGKTSYGFDLLLSSTTGPAFNAGRSIWSLSGN >Solyc08g066310.2.1 pep chromosome:SL3.0:8:54901954:54904342:-1 gene:Solyc08g066310.2 transcript:Solyc08g066310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFQGGLQSDVYENEKNILLELKQVWGFEKLWDSNSSHCDWYGIDCRNGSVTSISIQDVNLHTGTIPPILCELKSLYLIDLKTNYIRGEFPTSLYNCSNLEYLDISWNQFHGPLPSDLHRLSRLYNLNIAGNSFSNIPGAIGQLSELQYLSLEFNNFNTSIPREIGNLSKLETLDISYIDSFKQVIIPGELGRLKKLTSLFIVKSNLIGEIPETFSGLSALQVLDLSSNNLKGSIPSYLFEWNKLTNLHLEYNQFSGRLPMLDANMELMGRNQEMFSNLSGLQVLDLSGNRLNGSIPSYLFHWKNLTSLLLGDNQFSGNLPSITGNLRLRTLDLSSNQLSGHIPKEYDKKEYNFRNNLNLCSKYTNHYIQVPRCSGKTRVVIAVVAPVAFLVMVTLLCYTCKKNWSFSTDQLMRRKKRHENQDPEWMFISFQQLRFTESEILVNMTEENLIGSGGCGKVYRVGVNPNGNFVAVKRIWNKRNLDYGLEKQFLAEVEVLGSIRHSNIVKLLCCISSGNSKVLVYEYMENQSLDKWLHQKRRSEKAITASAHSVLEWHTRLQIAVGAARGLCYMHHECSPPIIHRDIKCSNILLDHELNAKIADFGLAKVLAKWGETETASAIAGTFGYLAPEYAYTSKVNAKIDVYSFGVVLLELVTGREPINGDEHINLAQWAWNHHEEGNPVVDAIDEEIKEACFLNEMSSMFKLGLICTSTIPSARPSMKEVLQILLRSLTTSS >Solyc12g013530.2.1 pep chromosome:SL3.0:12:4383832:4393845:1 gene:Solyc12g013530.2 transcript:Solyc12g013530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWDFISSGAESVKRNTPDLATPVTKVCKGTYYYSATAVKMIDNVVRVSGVQKLGQYSYMPDEEGRAKIVSFSTKFAKNASVYAIKESAKIFLPGGKAVSQIYSQTVREMEVESKNNQNASCNKEITSNSSKVATSTGPLGLLNGAEMLENRELQLSSENKAQVDSFAHQTPEDVLRVFMMKEFVGSRYLDNLLVLDHPHNRRKRN >Solyc03g025600.3.1 pep chromosome:SL3.0:3:3021911:3030347:1 gene:Solyc03g025600.3 transcript:Solyc03g025600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4BF33] MNMNSISVLKLVLFIFWPWLVNSKGEQNRLLVNMTLVQNATALGAYCLDGSLPAYHLHRGFGAGVDNWLLQFEGGGWCNDIKSCLDRSKSNHGSTRYMNKWEVFSGILSNNASFNPDFYNWNRVKIRYCDGASFSGDAKFYNGTSLLYFRGQRIWQAIILDLLPKGLGHAKKAMLSGCSAGGLATFLHCDNFTSYLPNNASVKCLSDAGFFLDERDIALNHTMRSFYEGLITLQGVEPNLNLNCTSTLYYPHLCFFPQYALPFIETPFFILNSAYDVYQFHHILVPPSSDPRRHWDHCKLNVTACDTSQLNILQGFRIDMLTALRDFYQNSTRDGMYINSCFTHCQSETQDTWFAAGSPRIHNKTIAETVGDWYFSRNISKEIDCAYPCDTTCHHMI >Solyc01g067710.3.1 pep chromosome:SL3.0:1:76462373:76467310:1 gene:Solyc01g067710.3 transcript:Solyc01g067710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:K4AX19] MVFDFGTLVASLNRLSTSDHQSVVSINLFVALICACIIIGHLLEENRWMNESITALVIGLCTGVVILLISGGKNSHILVFSEDLFFIYLLPPIIFNAGFQVKKKSFFRNFSTIMLFGAVGTLISFIIISFGATSIFKKWNIGHLEIGDYLAIGAIFSATDSVCTLQVLSQDETPLLYSLVFGEGVVNDATSVVLFNAVQNFDLSHINTSKALELVGNFLYLFASSTILGVVAGLLSAYIIKKLYFGRHSTDREVAIVILMAYLSYMLAELFYLSAILTVFFSGIVMSHYTWHNVTESSRVTTKHAFATLSFIAEIFIFLYVGMDALDIEKWRFVSDSPQLSVQVSSILLGLVLVGRAAFVFPLSFLSNLMKKSPEERISFNQQIIIWWAGLMRGAVSVALAYNQFTRGGHTQLRANAIMITSTITVVLFSTGVFGLMTKPLIRLLQPSPKHLTRMISSEPTTPKSFIVPLLESTQDSEADLGPNVPRPHSLRMLLSTPSHTVHRYWRKFDNAFMRPVFGGRGFVPFVPGSPTEPSGH >Solyc07g042597.1.1 pep chromosome:SL3.0:7:56184542:56189322:1 gene:Solyc07g042597.1 transcript:Solyc07g042597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASSPAALEIGAEVGNNSSYKGRSRRSDLYCDHCHLTGHTKAVCYKLIGYPLDYKFKKKTGSYIKENKTGKGNPNPSDIGNQFGGQSANFAGSSHMSKDDVKWIVDSGASSHMEDELQSSYIENNAQSFNIPAPDPSSSVPSMDSSSMGDASVSESSTLQSLTVPVTRLSSRTTKTPIWMHDYVSTSKGSTHCCYPVSDVEAGSTNEDILLVDPTQYQRLVGKLLYLTMTRVDIAYVVQVLSQFMHSPKQSHMNAALRVVKYIKNAPGLGLLMPSDSSGKFVAYCDSTWGSCLQTRRSVTGYLVKFGNAIVSWKSKKQETVARSSAEAEFRSMASVVAEVTWLIGC >Solyc04g077333.1.1 pep chromosome:SL3.0:4:62355857:62357422:-1 gene:Solyc04g077333.1 transcript:Solyc04g077333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPLHRKPFHGPIRFNTSVPLLLRTCGYPVGSILRVNSPGAGSLSLFHAERLPESKSTATGFWTDLELTMAAVHKNWKFVSISNDSVKTCFGARSEMGL >Solyc02g082140.3.1 pep chromosome:SL3.0:2:46414977:46417762:1 gene:Solyc02g082140.3 transcript:Solyc02g082140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKERRMFVGVVWNCAAELKLLLTALLFLCSLITLLHFIPSRLITFSPVDLGSCISTPIAPLHSVSHLNATNSSTPSANTSTTTPPPAPESPSLEKDRLLQNGVVKRAFNPFGSAAYNFILMSAYRGGYNTFAVMGLGSKPLHVYGKPSYRCEWVSSDKQKTPISVVGHKILPDWGYGRVYTVIVINCTFPVPVGNGENGGKLLVHATTNGGGDTNFNTTDTFEALSETGKDFVNFTSVYEAPPKYDFFYCGSSLYGNLSPQRVREWLAFHVRLFGEKSHFVIHDAGGVHEGVMEVLKPWMEKGYVTLQDIRDQERFDGYYHNQFLIVNDCLHRYKFQAKWMFFFDVDEYIYVPKKSTIKSVVNSLSDYTQFTIEQMPMSNKLCLEEDRGKSYRKWGFEKLVYKDVKTGIRRDRKYAVQPRNVIANGVHMSQNTVGKTTHKTEGRIKYFHYHGTIAEHREPCRQLVNTTAITVDGIPYNVDTTMRDVAATVKRFELKMIGTTLQRTRQ >Solyc01g094810.3.1 pep chromosome:SL3.0:1:86093394:86106957:-1 gene:Solyc01g094810.3 transcript:Solyc01g094810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSMRVDGFGLESFRSVENVSKLDSVLVNRLLKEVNGCLCFRPFPRMLGDGKAVDLCELFLVVREKGGYERVCASRSWGVVAVECGFDLTTGSALKLVYVKYLDALNRAMVKLEQPDNEKSEVKKSALGFSAVRMDLELDLKGVLMEICDEKKKDEEHGKMEFDPAADGNLSDHIEVQDFVEKQSLDGSVWDVKGIHDNRLMKLSEDEDDCIIRKRKYSDGKIGVNYDEKHLKIDDGNGDVLGGSDDIGLTKFRGDKEDSITRQRKRDSNLDMLKWVIELAKDPCDPAIGNLPEKSKWKSYGNEVVWKKVLLLRDEMLLEGNVDTSTRNSIWQGVLGRGGEELFMRKLKKVDQKQKMHPSMYDNNSGSEQLRCSQRVQSAKDYSKKSGSHIDATLINRPTDSSAESGVWWNRRRKKIASIGSEFQADIPECNKDIYESDSKWLGTRIWPLDKNEQRRILIERDPAGKGRDDTCGCEYAGSYDCIRFHLSEKRKKLEVELGSAFYHWKFDCMGEKVALSWTSNDKQKFHDIAKSIPLSEDESFSYWPDLFKFFPHRSRESLVSYYFNVSNLHRIGQQNRMNTSYVDSDDDESGCGPSIVAVVVIEMGRFLQLLLILMVVLAGPYHGGVWKIRVELPDAYPYKSPSIGFINKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRAAYELRVKEFCQKYAKPEDVGAAAPEEKSSDEELSEAEYDSADDAVAGPVDP >Solyc06g071200.3.1 pep chromosome:SL3.0:6:43918199:43946055:1 gene:Solyc06g071200.3 transcript:Solyc06g071200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQNTVKEALNALYHHPDDGVRMQADRWLQDFQRTIDAWQVADNLLHDASSNQETLIFCSQTLRSKVQRDFEELPSEAFRPLRDSLNTLLKTFHNGPPKVRTQISLAVAALAVHVPADDWGDGGLINWLRDEMNSHPEFIPSFLELLRVLPEEEFNYKIAARPDRRRQFEKELASAIDTALNILTACLNINELKEQVLEAFASWLRLRHRIPASTLSSHPLVLAALSSLNSEILSEASVNVISELIHYTAARNSGGVSSELALIQVIVPQVMSLKPQLRDPSKDEEDIKAIARLFSDMGDAYVELIATGSDESMLIVHALLEVASHPEFDIASMTFNFWHNLQMILTERESYLACGNETSIETEKTRRLQVFRSSYESLVSLVIFRVQYPLDYFDISMEDQRDFKQTRYAVADVLIDAALILGGEPTLKILYMKLVEGISHCGKDQNSDWRPAEAALYCIKAISDYVSDIEAEVMPQIMSLLPKLPHQPQLLQTVCLTIGAYSKWLDASSNGFSHLPTLIDILVRGMSTCEDSAAAAALAFRHICNDCKKKLCGSLDGLFQIYQTAVIGEGPFKVSAEDSLHLVEALSMVITELPSEHAKKALEAVCLPSVAQLQEMINQGPQVLGQKNARELTVHFDRLANIFRYVNHPEAVADAIQKLWPIFKAIFDVRAWDMRTMESLCRACKNAVRTSKRLMGVTIGAMLEEIQGLYGQHHQPCFLYLSSEVIKIFGSDPSCANYLKVLIESLFSHTACLLTKIQDFTSRPDIADDCFLLASRCIRYCPQLFFPSTVFPSLVDCAMIGITVQHREACNSILNFVSDIFDLSNSTNGESCLSIRDSVIIPRGPTITRILVACLTGALPSSRLETVTYALLALTRAYGLKALEWAKECVSLIPSTAVTELERTRFLQALSDAASGANMNGLVVPIDEISEVCRRNRTVQEIVQGALRPLDLNIVAVS >Solyc06g083760.3.1 pep chromosome:SL3.0:6:49136675:49147310:1 gene:Solyc06g083760.3 transcript:Solyc06g083760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNPKVAKAFRAMKNIGISQEKVKPVLKDLLKLYDKNWELIEEENYRVLADAIFEKEEATESQKPENIDQEEVLEEEAADEEPERPLKRLRSRHQEVHSSSISAGTSFKKVEEQAELPGTNSQGCSLGPELNNRNAAAESQSVPCLTYVRKEGKQPVSPNSADRLENNANSRKNRLKGKETQTPQIISKEKGLVLGKASRASILKKPKTEPDEPHTVDMPQLEVPLAVIHPEPSNDKGSSNGNASRKQPDTSETSAAELRGGREADKDIPTFSNGLVTSHELVKPQNQCYSNIDVASSTFGEVKLSINCDAALGRSDFHLPSLEAVVKLVEDKCLKPFKTLDPNFSVPKLMKDMCECFLELGTQYNHELQETAKVDAENDIGYRSMALVSSNGSINLELDSGEDQPEKSQLPLPCNGHTNSAQTDQTTSVRNCGSVPEIDQNILEHLMSESPVALCGSKNLELDAGEAQPEKPQLHPCNSHNNSASTDQIASVENCGSAPEIDQNILDHVTFQSPVPLCESTQDETGSCVVTDITRGQEEVMISLVNEVNDKIPPSFNYIAHNVVFQNAYLNFSLARIGDDNSCSTCSGDCLSLSTPCACAYETGGNFAYTKEGLVIEELLKESISMNRDPKKHCQFFCKECPLERSKNEDIIEPCKGHLVRNFIKECWWKCRCDKQCGNRVVQRGISRKLQVFMTPDGKGWGLRTLEDLPRGAFICEYVGEVLTNAELFDRVSQSHNREEHSYPVLLDADWGSEGVLKDEDALCLDATFFGNVARFINHRCFDSNMVEIPVEIETPDHHYYHLAFFTTRKVKALEELTWDYGIDFDDHEHPVKAFKCQCGSKFCRNMKRPRRNRARKGW >Solyc09g059880.1.1 pep chromosome:SL3.0:9:56543379:56543932:1 gene:Solyc09g059880.1 transcript:Solyc09g059880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPTLMVNLKFLTAHYLLMWCQFLSIIATLVCLIFFGENCVPKIWDAFHFTGATTTVFVGFVYSATIVLRDTHGIATNRDRLFSSVMILLVVSPSSVAICSDIYNLFNNAVDVGF >Solyc09g064980.2.1 pep chromosome:SL3.0:9:62890962:62897438:-1 gene:Solyc09g064980.2 transcript:Solyc09g064980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESSFESQRTEAGRYNLRSSWNPLFAENFFHKKIHQQLSRLSRLQNLNFEFQLSNRAFLENNTLLGKIANQVVSLTKLVKLYLKNNNLTGHFPDSIVNLTSLEELYLSYNNLEGQVQAYLARLNKSRLLGFNLKSNLGNYFPNFQILYLVKCQFIGSKPSSLANASKLLELDFPVNNFTRNMPKGFGSLWNLLWLNVWSNQLGYGKHDDLDFVNSLTNYQQSTNAPFWRQPNVGTFSHSTVNLSSQLQCLIHYKNRISGKSFKVSVLGDMYSFGILILVIFTERTPIYTFSKQSPPLSQKVKDILDKTTGEMSKATNNKEYWGSIKKEEMECLVSILEIDFACSAESPRDRLIVTQVHKSDILGDNKARSNGHGIIKAGLNGHGDNVPKSCVFGNKMDKLALLGFKSQITDDLSGVSSLGHLNLKGLRLAGRISGHLGNLSLQKMPSSTTKQAVKASNQNLIKLKSLVLEYNTLVGQIPYQIGPLTKLVKRHLKNNNKARLFSGSIGNLTSFEDLSYNNLEGKVPAFLARLTKLRLLGLSVNNLSGEFPPSLYHLSSLELLKQYKFCNKCLHKLCSVSCGRIVKFRRLKDPSGIHTNVTKEDQEREFYSAASAAFLGNETDKLDLLGFKSQITEDLFRVFVSWNYSIHFCQCTETRKSHSFESQRAEAGRLSRLQNLNLSSNYLTGEIPVNLSYCVNVKSLFLEHNALLGIIPNQFKESPNFFSLIDQVEASWIVSMGFGNLRNLLWLNVWSNQLGYSKHDDLDFVNSLTNCQQSTNAPFWRQPGCSLTGTIPQQLFALSSLTYIYASYNSLTGTSPVYIGNWGHLTYLYFSHNNISAKIPQTLGKCLALGEIYLKGNYLQATIPNLKDLPDLQSVDLSQHKLSGPIPHFIASLTSLLFLNLSVNNLDGEVLVTGVFSNLSADGLNRNS >Solyc04g051247.1.1 pep chromosome:SL3.0:4:50126261:50129771:-1 gene:Solyc04g051247.1 transcript:Solyc04g051247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFCYFWVVLAITCLVTLLVLLKLFHHHKQNLPPGPKPWPIIGNLHLLGSVPHKSLHKLAITYGDLMLLKFGSRNVVIDANWASRPQLAAGKYTAYNYHDMTWAPYGPFWKQARRIYFNHIFNSKRLDSFEYIRVEEILLRQHLTRYTLTSISRTVLGGKYFSESNGGNNNEKSIISLEKLQDMLDKWFLLNGVINIGDWIPWLGFLDLQGYVKEMKELHKNLDKFNNFVLDDHKAKRDQGDKNFVARDMVDVLLQQVEDPNLHLKLNTDSVKGLMQDLLAGGTDTSATTIEWAFHELIKQLNMIKKAQKELDRVIGDGRWVQERDFTQLTYIESIIKETLRLHPVSTMLPPRTSLEDSHIAGYDIPKGTILMVNTWSIGRNSRHWESPEEFIPERFEGKDIDVTGQHFALLPFGAGRRKCPGYSLGIRIIRYTLANLLHGFNWQLPHDINSQDISMDKIYGLTTHPKLALHLIMVPRLPRHLYK >Solyc01g014723.1.1 pep chromosome:SL3.0:1:14532402:14535591:1 gene:Solyc01g014723.1 transcript:Solyc01g014723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGISRPKSKLTQEIAFNRTPTATAAESSTTSPDLFSSTSGSRNSSSTTLEKSADEQSAFFKEGLQEEIYITQLEGFTKNGDEIKDYKLKRALSSISLVDEFKSQIVDEFEILLLHLCMLVKTCSVKIEQRWMILEVVLYPSLCNNLQRFILEQQKEFYILSLELWNMGFGVHKCLTSDYVASSPDGLAIWLRSMLAELQHKHKSATKIYCKNKASIYMTKNQIFHSRKKNIDVRFHFIQDVVAKEDIVLKHCNTHKQLAHIFMKSLEAYKFIYLRASICFCNSKSRGIIED >Solyc12g013850.2.1 pep chromosome:SL3.0:12:4637895:4641487:-1 gene:Solyc12g013850.2 transcript:Solyc12g013850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLNMEKTCANPLAITFIICFFLLGTSINMTLIFPFHTITSIIFSIFPSYITITNNQHSNSYFVESKINQIPQYNNNNRSIPRFAYLISGSKGDLEKLWRCLRSIYHPWNYYVVHLDLESNVQERLELALRLKKDEIYTKVGNVYMISKANMVTYRGPTMVANTLHACAILLEKHKDWDWFINLSASDYPLVTQDDLLYTFSDLKSDLNFIEHTSELGWKEAKRAMPLIIDPGLYKTTKSDIFWVTPKRHVPTAFKLFTGSAWMILSHAFVEYCIWGWDNLPRNLLLYYSNFVSSPEGYFQTVVCNAPEFTSTVINHDMHYISWDVPPRQHPHTLTLNDTANMIASGAAFARKFKQDDPVLDKIDMELLNRSNGSFTPGGWCAGNPPCSKVGNPTKLRPGPGGQRLRRLIDELVFSAKYGQNQCS >Solyc06g069490.3.1 pep chromosome:SL3.0:6:43374128:43378568:-1 gene:Solyc06g069490.3 transcript:Solyc06g069490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFSGAFSITEASSPTEAGPARTGTGTAGRDDFIFRLSSSATACHGFWHDVILVLPSVLFVLYLGFHARSNIKKLSHRRSFVMIGYYGLLWFAVLLNLCWCFLQAWQCTPGKQVAWNLLSLFATSAMLFLEISIVAFLLKENYASCLETLSRTFMVSGLFVGVDLLLKAIYIFGLGIPLFLDMEIADRGKWRVWSTNKLLLTAAYGYILFVHFSKWRDKLPPRPAFYNYVIVMFVTSAVMLFACGLAGIRGGFGLWLYNLTVVCYHSLYLPFLYVTFLADFFKEEDWLLDSAYYSEMKDAGFFDADGE >Solyc12g042910.2.1 pep chromosome:SL3.0:12:59293101:59299142:-1 gene:Solyc12g042910.2 transcript:Solyc12g042910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGANSLMWFRKGLRLHDNPALEYAAKGSKFLYPVFVIDPHYMDPDPTAFSLGSSKAGLNRIQFLLESLADLDLSLKKVGSRLLVLKGDPGELLIRCLKEWSIGKLCFEYDTEPYYQALDEKVKGYVSGTGVEIFSPVSHTLYNPADIIHKNGGSPPLSYQSFLKLAGQPSWAATPLSTTISSLPRIGNTGSFAVSEVPTVRELGYEDLPEDEKTPFKGGESEALKRLRESIANKEWVANFEKPKGNPSAFLKPATTVLSPYLKFGCLSSRYFYQCIQDILKCSKKHTSPPVSLLGQLLWRDFFYTAAFGTPNFDQMKGNRICKQIPWKNDDKLLAAWRDSKTGFPWIDAIMVQLRKWGWIHHLARHSVACFLTRGDLFVHWERGRHVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPAGNYIRHFLPVLKDMPKEYIYEPWTAPISVQRKAKCIIGVDYPKPVVSHDSASKECKMRLGEAYALNKKLNGLVSEEDLNELRRKADNESTTLDSVSRKKKQKLID >Solyc06g071160.3.1 pep chromosome:SL3.0:6:43886132:43890292:-1 gene:Solyc06g071160.3 transcript:Solyc06g071160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKFDLFMSLSRQRSLQVLILFGFLYLFLVGLEVPFVFRNGFSLVSQDGFGTGQFSKSFVLDSEEELEEKEAPNRPLDVPLMVPNQSKTERKIRGIKSPLSSLVFDGSYVNMTSNDGFSGILKSAKEAFEVGKKFWKELELYKKEVGSIVESNKTEECPHSISISGSEFLGKGRMMVLPCGLTLGSHITVVGKPRRAHQERDPKISLLREGQFLMVSQFMMELQGLKTVDGEDPPRILHFNPRLSGDWSGKPMIEQNTCYRMQWGTAQRCDGWRSRDDEETVDGQVKCEKWIRDNDTNHSEQSKASWWLNRLVGRKKKVDFDWPFPFSEDRLFVLTLSAGFEGYHVNVDGRHVTSFPYRIGFALEDATGLSLNGDIDVDSVFAASLPTSHPSFAPQRHLDMSNRWKTPPLLDQPVDLFIGILSAGNHFAERMAIRRSWLQHQLIKSSNVVARFFVALHARKDINVELKKEAQFFGDIVIVPFMDNYDLVVLKTVAICEYGVHVAFAKNIMKCDDDTFVRVDAVIKEINKIPENRSLYVGNINYYHKPLRNGKWAVTYEEWPEEDYPPYANGPGYIISSAIANFVVSEFDNHKLKLFKMEDVSMGMWVEKFNSSSRPVQYVHSLKFSQSGCVDDYYTAHYQSPRQMICMWNKLQQLGRPQCCNMR >Solyc12g017850.2.1 pep chromosome:SL3.0:12:7567628:7577322:1 gene:Solyc12g017850.2 transcript:Solyc12g017850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVRGRKKILSIDLSLFAPADIINSPKSTKSPNKFEEPNGVVGLGIVAALSNNQKCNKPPILVISPRPTSTTPIPILGNNNFYNKIKKKPTIEEMEMCEEYTRVISHVGTNLVKKMEYYDDQFLGNGYHETGIAAASAPPDPFRAADFLNICSLCHKHLEGLDIFIYRGEKAFCSSECRLKQISIDEHKEKCGSLAMKSPEFSASPRSGTMQFSGGVAVA >Solyc01g090795.1.1 pep chromosome:SL3.0:1:84366291:84368580:-1 gene:Solyc01g090795.1 transcript:Solyc01g090795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHHTLDEVTCDQVPIPENTPITESTTPPTELNIPVTAPTGPNVSVLTPRRTTRSCHPPSYLKDYNYSLPKLHSSSPINNVVDSQHSLTSFTNHPDHGYVHSDSDYSLFYKKNVHSLVFVAVYVDDSILTGTDIKEIESLKFFLHEKFRIKDLGRLHYFLGLEILYRHDGVLISQRKFTIDLLKEFDSMNYKSTTSPLDPTEKLRLTEGKLLPYLKAAYHILRYLQHDPTLGVFINNRPDITINAYWDSDWASCPDSRKSVSGYLVLMGDSPISWKSKKQPIVSLSSTEAEYRAIRQVVGEVLTLLVSSASIAGFIRIDNYKATKLKIKSRSTNPYVQLDLKMSKISFYLLKNENDKMYLYAPFLLARLLY >Solyc09g011030.3.1 pep chromosome:SL3.0:9:4367695:4373180:-1 gene:Solyc09g011030.3 transcript:Solyc09g011030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEGPNWDGLLKWSLSHADGTKPPPRNLSEEDRRWFMEAMQAQTVDVIKRMKEITLVMQTPEQVLESQGVTSQDIEDMLDELQEHVESIDMANDLNSIGGLVPLLGYLKNSHANIRAKAAEVVSTIVQNNPRSQQLVMEANGLEPLLSNFTSDPDVTARTKALGAISSLIRHNKPAIAAFRLANGYAGLRDALSSESVRFQRKALNLIHYLLHENHSDCNVVTELGFPRVMMHLASSDDGEVREGALQGLLDLAQDKTGEVAGSSSTEENEKLKQILQERIKGISSMSPEDLGAAKEERQLVDSLWNTCYNEPSSLREKGLVVLPGEDALPPDVASKHFEPPLRAWAANRNEDTKPSNEKKQAPLLLGLGPPTQGPPVQNSSSGAMSGEENRDTSA >Solyc05g018610.2.1.1 pep chromosome:SL3.0:5:22515037:22517331:-1 gene:Solyc05g018610.2 transcript:Solyc05g018610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNKDEALKCLKIAQTSIESGNRERALKFLNKARRLDPSLEIDDLLSQADAFQSKSSVSSSPSSSSIPSKSGSSCTRRRVSLYTEEQVTIVRKIKRKKDYYDILGLEKGSSVEDVRKSYRKLSLKVHPDKNKAPGAEEAFKMVSKAFQCLSDAERRRTYDSVGSEEPVYTRRPTTHHHATGFRFSDDVDADEIFRNFFFGGMNPATTTHFSFGTGMGMGGNQGSKSLFKTLIQLLPVILILLVNFLPSSDPVYLFSRSYPYDLRLATERGVNYYVKSGKFEQEYPVNTPMRSALEEKIDNDYFSILSHNCRLEQQQLHWGYQRQTPNCDTLKQFQAAA >Solyc10g085610.2.1 pep chromosome:SL3.0:10:64852728:64857572:-1 gene:Solyc10g085610.2 transcript:Solyc10g085610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKTLYSLSTSDFTSHDEHHQFPFSDRRRPECDISISNAAMKVQKVYRSYRTRRMLADSAVVAEVLWWQAIDYARLNHSTVSFFNFLKPETVSSRWNRISLNASKVGKGLSKDAKAQKLAFQHWIEAIDPRHRYGHNLHIYYEEWCKTESGQPFFFWLDLGDGKKVDFEECPRSKLQKQIIKYLGPQEREHYEYIVAEGKIVHEKTGNVLDTTKGLPGAKWIFVMSTSRRLYAGEKKKGLFHHSSFLAGGATSAAGRLVVMDGRVVSISAYSGHYRPTEDRLDSFLSFLNENGVNLDEVEIRKSNEDYESYGDRKSIGSGYTSDFSALSDSPSLADFPKEEKPDVPLKSAQNLVAQTSSHNRSLSGSLQIPIAEMSRTPILRRIHSEKSPKSYQLPLVWSTGAGPRIGCFADFPAEIRWQALELTNLSPRPCSV >Solyc07g026860.3.1 pep chromosome:SL3.0:7:32077421:32085578:-1 gene:Solyc07g026860.3 transcript:Solyc07g026860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEQSNLKKIDDNDIEEDDGEDEIDGFLRDLHPDFNGDNINNSGDDFLEEEPNYEAKKFYTLLKDLDLPLYGSAKMTYCWTEQTCRIHTLRQRRRYLPLNHKWRNDKASFDNTIEHRLPPEMLSGDDILDQVAGLYKYDDSKRVEDLLSLSHGPMPYVTRFKVHIVNGYRFHVKEYDQYLKTPNSGVVVVGETGEEPNHMNYYGEVAEVDMNPSKTLKYEMGQVSKIPKHELIQPGALAKGLGQVHDRNMCPLRVHSQMDIEEHKLEHMWAVVTGGKDGNLPNMATIFFETRKTGNELVEPETNEKYAEIQELVQFEPSLTNIDAVERCFGPQCKSYAVGFGGGITTKDLKGGITSKAVFWKN >Solyc04g015000.2.1 pep chromosome:SL3.0:4:5211684:5213149:-1 gene:Solyc04g015000.2 transcript:Solyc04g015000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NASFCSWFGVNCTPKTQRVVALTLPRNFKAQFPHIWPICPSSVLSISTTTASVVASLMDLVGHLPRLRVIDVENNQDVSQNSTKGEVTQDIGGLTSIVQLHLSSNHFSGLCGMCILEIRACMIKYARGLIPTARNVRHKNLVPVITTCSSDYIRAFVLQFMPNGNLENWLYKEDRHLNLHRRVSVMLDAAMAVEYLHHGHNTPIVHCDLILAVRKSMAHHN >Solyc04g054930.3.1 pep chromosome:SL3.0:4:53378505:53381549:-1 gene:Solyc04g054930.3 transcript:Solyc04g054930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQQNVVVSDPKSGINLTIPVKVPVSNSSALFTTAAQKPPPGPGSCITISRKTLLEINGNNSARINSWVESMRASSPTHHKSSPALSDDLNSWMVQHPSALDMFEQIISASKGKQIVMFLDYDGTLSPIVEDPDQAFMSDSMRATVRKLARYFPTAIVSGRCRDKVYSFVRLAELYYAGSHGMDIKGPSKGSKYKKGAQAVLFQPASEFLPMIDEVYKELVDITKSTEGVRVENNKFCASVHFRCVDEKKWGELAQQVRSVLKEYPKLQLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANCTDVFPVYIGDDRTDEDAFKVLRERDQGFGILVSKTPKDTHASYSLQEPSEVMVFLRRLVEWKKLSLRRQFRIRRQIEEMKASLRN >Solyc05g045770.1.1.1 pep chromosome:SL3.0:5:58426337:58426567:1 gene:Solyc05g045770.1 transcript:Solyc05g045770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERMRKKSKPIKEKGRWGAFGKVGSIGCCHSSDGTKEELNEMRGQGAGKIFELIRLDDREKQNEIGIWPKKKQGY >Solyc05g013370.1.1.1 pep chromosome:SL3.0:5:6447494:6448192:1 gene:Solyc05g013370.1 transcript:Solyc05g013370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNKGNMLNYKKKKETIKKKTRELSILCDVKACVILVDPNGKVDTWPENPTDFNPIIQSYKENLCHGKRKRIDDDGCFEKKSKKNHALFCDDDENQWLNDVFRESNESLLVKLNSKLEAVDRRIEFLKMMNYGNGVVGGSSSSAKESLLANQETHNRLENSNAYNQETEIAMAAEFWVIGGDESANDRGKEIDFLRDNATVNNLNNVQNFGYDDHLWPVIAASEFSTCIN >Solyc04g012120.3.1 pep chromosome:SL3.0:4:4428657:4430330:-1 gene:Solyc04g012120.3 transcript:Solyc04g012120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREENVYMAKLAEQAERYEEMVEFMEKVVAALNGEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVASIKKYRSQIENELTSICNGILKLLDSKLIGSAATGDSKVFYLKMKGDYYRYLAEFKTGTERKEAAENTLSAYKSAQDIANGELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEPSKADNE >Solyc10g086160.1.1 pep chromosome:SL3.0:10:65204822:65206277:1 gene:Solyc10g086160.1 transcript:Solyc10g086160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLWPSDVKRSILEPAGALALAGAEAYCKYYGLKDENVVAITSGVNINFDRLRLISKFADVGRNREAVLATCMPEEPESFKRFCKQKISVLVCRVAHQTKSELEALIEKMRSAKLHTVNLTDNDLVKDHSTHLTASRSNLHNELLCRFTFPEKAGSLSKTIDAFSPRWNISLVHYRAQLAYKLQDEFDEFQGCARRLGYKYVVNQMMLSSL >Solyc02g078530.3.1 pep chromosome:SL3.0:2:43765190:43770077:1 gene:Solyc02g078530.3 transcript:Solyc02g078530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCFFGDRKKGHDLVHHDTRDAGGDSIASTKNFSFNELRLATNNFHQINKIGRGGFGTVYKGTLKQGKDVAVKTLAAESRQGLREFLTEIETISNVKHPNLVEIIGCCADGNNRILVYEYLENRSLDRALFGSRTSIKLEWEKRTTICLGTARGLAYLHEELVPHIVHRDIKASNILLDKDYTPKIGDFGLAKLFPDNITHISTKIAGTTGYLAPEYVLGGQLTMKADVYSFGVLILEAVSGKSSSSRIWQGDKRSLLEWSWRLYQEEKLLELVDEELDEFPEREVVRYIKTALFCTQANANRRPMMSQVIEMLSRDIQLNEKELTPPGFFEDSEGSVQSRLKISRGNTSQQSSVHITITQVTPR >Solyc12g089230.2.1 pep chromosome:SL3.0:12:65314228:65323747:1 gene:Solyc12g089230.2 transcript:Solyc12g089230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDSMIIIVFFGIILWSTLFLLIRKAFPKRSFDFCNRLVSTIHASLAVTLASLSVQDWRCPVCPVASTSSPKQRKTLAVTAAYLIYDFGCCLFDKQVRIDNLVHHLVCVVGIGAGFAYKLCGSEMVAALWITEISSPFLHLRELLKELGYRDTDLNFAADVLFAVIFSIARMIGGPYLSYVTLSADNPIIIKAMALGLQLVSAFWFYKIVRMLMYKLSTRAKSKTVTETLVSNGCVNYKGNIADKGTTGGWKASPFIIVNEVAERLAFFAVAVSMVSYLVFEMHQSLPDAATHVNDWIGAAYVLTLVGAFLADAYFGRFLTIIIFSFIYLMGMILLTLSASIDSLRPPQCTKRPCTPSTNTQTSFLYGALYLIALGTGGIKPCVSTFGADQFDELDKKESQKKYAFFNWFFFAINMGALLGITLLVYVQQEKGWTWGFGVPTIVMFTSIVVLIVGFKKYRYKKPMGSVFTRFVQVIVVSIRNHFRGVVVVNESELYEMKTKESDFFGARKLPHTKQYRFLDKAAVVTDHEIITNNKWKLCTITQVEEFKSFIRILPIWASTIALSISFAQLSTFFLTQANIMGRKLGPHFTIPSGSVPVFTALNGLLLVPIYEKFVVPYLRSKTGHRRGITSLQRIGVGLFVSIFALMSAALVERMRRTHPNPKGLSVFWLFPQFFLIGIAEVFSYVGQLEFFYDEATDGTRSISSALFLSEIGIGSWLSSAIVKIIQSASGGVEEGWIRNNLNNSKLDYFYWILTAINGVNFLVYLIVAWRYKGRNYERGTIRDESNLIGLDGQFKKENDTREFSSMAS >Solyc01g095320.3.1 pep chromosome:SL3.0:1:86504563:86509039:1 gene:Solyc01g095320.3 transcript:Solyc01g095320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTNPYQRNQVPYNPHYYPRFESNPHHHMNIDPTRSTLPYESWPCGGSNYRHPYPPECHSCCIHNTSPSQCAFSPPYPYLPPSTYNNCSYPTYPVMYPTHYVPHPHFTMEQPRYEYEKNMGRDHHCCGCSNHKCGSKKGGSSVKIEEHDQDKGNDCNDSLVPFGFNNCPYPVVCLPPDDMKNRERMKPDGSNCKEQEENPQPLKPLGDFRPSQQPNFWKLWPSHYGNSSGSPKENGDFPEKQHHDDAIRKQFPFPIIWMPYRPEEDREEVGKETESGLIAEKEPTSPSKLTKLMSHDSEDKRSSSKENEVNSGGDFHGKGLNKGSVVKTIPVKQVEQNEIFDGKKEEASQRHESDAKQKKITQEAGRKQSTSPTKSSKLPPVCLRVDPLPRKKSSSGNSRSPSPPRGKGKLVESRSDSSKPPIKSNEKENVQLDKSSTTSMPRKSTEVEPSKSKAKVVEVAQGTAKEDILHDQCTVFPDLKRQARSKIAEGDTGKAANKPKDELDAVVAKAQSSNEAHQRGEARQAVNEEVDAGNKTKREKRSKMSNDEAATKIQSAYRGFTLRRWEPLKKLKQLAKIEEQMAEHKRHIQTLESSADNGVDNKQRTFLTEVIMGLLLKLDTIQGLHPTVREYRKSVAKELVSLQEKLDLLNCKKQPAESEQTVTAKSSEDTSMAVEDNPSLQGGQEVQKLERDDDFVKGDEGIKFDAKGLCEEQTLCATELLANSHDVGNAVLEGKELNKDVEEVMEGVLGSSAVKTGDGASVQHFESEEKTDKLFDENTIVVEKLEEHGDGVDELGELPRGVPDEETSIQGSAEIRQDTVDLTALTPEERVSDTESLEHYQALGETSIILGLENTHSSNGVEENADIVERDAAVPIDILEREKEVTKPLSEDANMSEVDDKVGMEKNGKKLDQGGSASDGFSVPSQEKAITIEQPTDTTNTEESETIEVLQEKMQNAVDRDIEILDSGKPVEQSLEPQLSIGTNDEAREYKQKMGEGHKEVQGEELQACDDVVVSDHDNEGKEHNVVVEQRHVENFEMQANEPVTAYNAAPVIQEPVDGSKAIATPTSEAATTETEMSREKELGLADDHNIHPSMCVAGEVNPADASHSFGSTPIEVPGKNANELKEWKKMDMLPASPTASQVSCDSDALSESNRKIIEENEKLREMMEKLIKSGNEQLSAISSLSGRVKELEKRLSKKKKLKLKRNRVPAAGSACVKPLNDSLRNRNVGLAM >Solyc02g084455.1.1 pep chromosome:SL3.0:2:48100553:48101557:-1 gene:Solyc02g084455.1 transcript:Solyc02g084455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQGKTSNSQENDIQPINTEISGEIYSPKNTPDNKQSSLDDQEPAKSEETESSLVANTTNINQDTANSNARDIEMSSSRLIFQIIAYSGLLIKTRRRSRKHVSYNWRIVVEALNNYNLKAKVTKVIWKAPDTGQIKINTDPGRSSWFFCVRDEQGDILQAQAQEIGELACTNTQAEAMAILQALKFIEATQMDRVVIKTDSLLTKNIVDKSWKVPWQVVITLEEIWRLMQGRPVVIKHILREGNKLADHLANLALGKGTLCGSNFQEMEPQGRRIINSDKLKMPYLRIQVIKI >Solyc09g031950.2.1 pep chromosome:SL3.0:9:27224824:27229582:1 gene:Solyc09g031950.2 transcript:Solyc09g031950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFTTLSLLHNLDDGNLQVESDSRSFWVMFGGFAPISKNVATDDDIVPESSTAQLYSITDGQVNPLDGELTKSIFENDKCYILDCGSESNFDSWPSGSTPAPEDGRGKVAALVKQQGADVKAASKSTSVDEEVPPLLEEGGLSSSYKNHIEENGYNDETYASDTVGLFRISGNSSNNNKAVQVDVLFSIHLAWKAKSHEQQNLAAKIVEF >Solyc12g015910.1.1.1 pep chromosome:SL3.0:12:5904922:5905983:1 gene:Solyc12g015910.1 transcript:Solyc12g015910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTKIPHPLHHPNSPYPKSSKSSFLYSKPTKLAFFRSITIERISTKSVSATPAAAGEASSSSLQPIEELPTKLQEMVKLFQAVEQPKAKYEQLLFYGKNLKPLDAQYKTSENKVQGCVSQVWVRAYFDSEKNVVFEADSDSVLTKGLAALLVQGLSGRPVEEILKVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALQLYVEEAEKGANSGQSEVSNASSTESLGVNGNGNVESVASPEVNGNNVAVGASDDGVLRSRGMRIKQKLEKELRPVELEVEDISYQHAGHAGIRGSDGETHFNLKVVSEEFEGKSLVKRHRMIYGLLQDELQNGLHALSIVAKTPSEVGSS >Solyc07g032710.3.1 pep chromosome:SL3.0:7:40950744:40954802:-1 gene:Solyc07g032710.3 transcript:Solyc07g032710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDSLRSAVYRSFITCDDPKGVVECSTIRKSHMEKNTPCSSHKDEGRQTVNHTSSFHLMEVSREAQKLNQVIDSWSKGMTIERHSNDIAKDLLKGALELQESLVMLGKLQHIAKLKKKYKHELDGIPIQRTKSERISEHRLNRFEFQKPRFSVDGDCFDELREVIRDNFARQPNSALQFQTNSEKASVGTRIKSSHVPSTSSSHSSIVQSQQVSPPLDGPNLIARLMGLEEIPSKSQHQTTHKVVKQMRPIFEIDLPKAKKPTFISHKVDPKRKTFDEIIETMYFKGLLRSKSTHKFVDSPPIVIMKPLYEQNPSDSRNKCEEISPDDHKGASIYRKTQAGKDHNNRFSKERGEAPSKSKTLQVLIQPNTKIIASSPGKHRGEANAKSKTLDFVSQEKQHNKNIRASSPGKDLGEAPANSKTLKLLLQEKYPNAMIKASSHRKYLGDATVKVFIQEKQPNTRTRASSPEKTPQTKKEPIGKREDGTQRVAPAIRNSKEMKNAKIDDSAKFQDQSKMSTLKVRKPERKPLAAQAKSTIYDLKRITTTASHNSIKRKKNVKANKPIKSTPIATVADIKHKDESKEMVQAEDKDTDRAITNVTSSEELQLEKRANIFEDLVTGKAHTSLFSKFHANVLACRVTITLSVAMGLDIYSRHCSANKNYIISISRRPNMNDNAVNGENVPCESSVLSTYCLGDIKLVEQINCNINLDFTENVNFNSGATTSESFLCQSKELFETDVWEPTVWQTTSVDHEIADSTLLLDCANELLENKRSQCALAVNPLSMKAIKMRKFYVSFEKLVKEICDGIEVLRSYNKVAGKNLSADALYPLLERDLWCKGVAGSAWDLAWRTGLTKNEVEQVLNDIEKYLLAAFIDDLLTDFML >Solyc11g005110.2.1 pep chromosome:SL3.0:11:91983:109326:-1 gene:Solyc11g005110.2 transcript:Solyc11g005110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIINKYLQTTGASLPIHDHRVEQYDEITKMKRETLNLELSLQRYKGDELNSAQYDELNELEKQLENSINKIRARKLELLQQQMENLKRTEKMLEKENHDMCQWLMKYEMYKQQPVAMMEQQEEAAITELNLLGEQPLLSQFSFFGDQHQLGTTSNSSAYHLQTSHPFTPSTYGVDEKVLGGEEVEQSTELMDDLTEQPLPPGVLSTSYSSPLVPTLNPAGHLPQSFQKTRYLAGNGDGNLFPVVASVQPCSESFHTSQHDSSEAILNTLQPRSANDLESAAQNAVLHEQEISAQKVIQSQREARDASGPKDNSDIFSGRHDPNALKEHLLQMTADHRVQMSLKRGKSAQPEQGNVEIGNGYGVPGGGAYYSASNTDDSISKTNGGSEHNSGKELPEYLKKKLKARGILKDDSKIENHGIAINSSKAQLPKTSDATLPVGWIESRDPTSGALYYYNGSTGKSQWGRPTEAPAQVSGVCSGLPEYWQEVLDESTGQKYYYNSQTNVSQWEHPSKPQQVAIQHHDGTVTNNATNVTWVDQASTFQRCIECGGWGVGLVQSWGYCKHCTRVLNLPQSQYVSANVERQQQTSGDAGVTEDSEKKFQRQRSSFKPPMSKSNKKDKRKRTNDEDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEIASQRKNTKSILTPISKRGDGSDGLGDAD >Solyc08g067763.1.1 pep chromosome:SL3.0:8:56818954:56822853:-1 gene:Solyc08g067763.1 transcript:Solyc08g067763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAADGSTDTCGSPLDISDNSLTDCDTEAHDDNAGIATDNADSEAHYDDITINDPIVAEVAEVPTTSIRRTSRKITEPIWMKDYIKGKQSSTRHPIANSLNYDKITSGYKAFVTRSSEDIEPKFSHQAYVLELVSEAGLTGAKPSITPIESNLRLTLVEYDQANGYTDYVKLQDVTAYQRVIGKLLYVTITRPDVSYTVQVLSQFMQAPKRSHWDAAMRVIKYLKGTVGQGIWLQSKPANELTCWCDSDWAACPNTRRSIPGYVVKFGESLVSWKSKKQQTVSRSSAEAEYRSMASAVSEVTWLLGLFTDLGGCIPPPVPCRSTQESQIHYLTVYPARRTIYALINLTY >Solyc10g084260.2.1 pep chromosome:SL3.0:10:64000881:64004633:-1 gene:Solyc10g084260.2 transcript:Solyc10g084260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVEESSPFLPSQKSGTNDEMKPTKPSSSNSGKIPAPSAAAEPVKPASSAVSMGWTAEGLPMGHSVGTAVVGEPIMRRAQWESSLCSCFGKNDEFASSDFEVLFADVLHRISQFWFKLLPLRVIFCSRISFLILKDIMCSVSLLSCHLFIILNASHGHCTGLLGTLAPCVLYGSNAERIGSSPGSFTNHCLPYTGLFLIGQSFFGWNCMAPWFSYPSRTAIRQRFNLEGNCEAATRSCGCHGGFVEDEERREHCESTCDFLTHIFCHPCALCQEGRELRRRLPHPGFNSKPVRFMMPPGDQTMGR >Solyc04g079090.3.1 pep chromosome:SL3.0:4:63760930:63767131:-1 gene:Solyc04g079090.3 transcript:Solyc04g079090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMGRIGSYIGRGVRSVSGTLNPFGGAVDIIVVRQPDGSLKSTPWYVRFGKIQGVLKARENAVNVSVNGVEAGFRMNLDTRGQAYFLRERDMENGYSLTTRTSEQLATLNLKEGKNVVVFTCSKQQVDARIYLWRWDANIVISDVDGTITRSDVLGQFMPLVGRDWSQTGVAHLLSAIKENGYQLLFLSARSISQAYLTRQFLFNLMQDGKGLPEGPVVTSPDGLFLSLYREVVKRAPQEFKIACLQEIKALFPYNRNPFYAGFGNRDTDEICYLKVEIPEAKIFTINSKGQIVLKRNTDTMSYSCLHGCVNDLFPPMSSVSRCSLRRLTM >Solyc03g063605.1.1.1 pep chromosome:SL3.0:3:37416391:37417786:-1 gene:Solyc03g063605.1 transcript:Solyc03g063605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIF >Solyc08g014350.1.1.1 pep chromosome:SL3.0:8:4181550:4182095:-1 gene:Solyc08g014350.1 transcript:Solyc08g014350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALPIISSTEFKSHCHPSHQIFRLANYILLSASSSCIFLTLSLRLIPSLYGGLFILLHILTIAGAVLGCTDTATLAGSNNWYAIHMISTVLTAIFQGSSSVLIFTKSYDFLGELKSYVREDNGVVILKLIGGLSILIFCLEWVVLLLAFILRYNAFVEGNNSTNSKQEETLKGYPCPIQV >Solyc02g043910.1.1 pep chromosome:SL3.0:2:879671:881108:1 gene:Solyc02g043910.1 transcript:Solyc02g043910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGFALRGVLSKYQPLRKACEEGCILGGYTLQTQATNIFSSSNFFPCNIMKFPFMASGSLDKIPLNFVYGVAYLWRSREYCIEYGGRALRLDFARVTRPARMATFKYRSTNDVPMRLKEVGVQANRAWCFRGFYGEEGLCNLKVTQVLGMLKKLVDASLTLVLAYY >Solyc10g005960.1.1.1 pep chromosome:SL3.0:10:736038:737285:-1 gene:Solyc10g005960.1 transcript:Solyc10g005960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSMTAVAMVVFSLGLLLSPHTTSAHNITHILAKYPQFSTFNHYLTETHLAAEINSRETITVCAVDNAGMEELLSKHLSIYTIKNVISLHVLLDYFGAKKLHQITNGTALAATMFQATGSAPGSTGFVNITDLKGGKVGFGPAENHGNLPATFVKSVEEIPYNISVIQISTMLPSASAEAPTPEPSQMNLTSLMSAHGCRVFAETLLKSPAEKTFDENADGGLTVFCPGDDAMKNFMPKFKNLTADGKQSLLEYHGVPVYQSMASLKSNNGVMNTLATDGAKKYDFVVQNDGDTVTLKTKIVTAKITGTLIDEQPIAIFTINKVLMPKELFKASAAADTPAPAPAPDADSPEPSKKKKKHKAPAAADTPADSPADGPSDDFADSTADDNSAFSYSAGPVVAGVLSMWFFFLLL >Solyc03g096135.1.1 pep chromosome:SL3.0:3:59439529:59443129:1 gene:Solyc03g096135.1 transcript:Solyc03g096135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTLVVVRCRFEKRKLKSADESIRREYTTWVEGESRVCVGLLGNDDTRQAIVDFLDEAHI >Solyc08g065370.1.1.1 pep chromosome:SL3.0:8:53364736:53364987:1 gene:Solyc08g065370.1 transcript:Solyc08g065370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQASNFNLMPRPLTANRLWSQIFGVAFSNIRWLHFFMLFVPVTGLWMNALGVVGLALNLRAYDFVSQEIRAAEDPEFETFYT >Solyc01g107050.3.1.1 pep chromosome:SL3.0:1:94609942:94610762:-1 gene:Solyc01g107050.3 transcript:Solyc01g107050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FHFSRENVSKLKAKANHQMNTTKISSLQAVLAHLWQSVIRCRRLDHSEETTFEVSIDMRKRLNPPLPEGFFGNAIYPAPTTIKTGELLKHGFGWAALQINKTIATHDHEKLKCIYENWMKEPEVPKLGDLPSNYFMLNGSPQFNVYKYDFGWGKPIAHRGGVGNLLDGKITVAPGLEEGSMIVEICLSSETIQALEEDIIFGEFVNNTTPMVGVERTIRSRI >Solyc08g075820.3.1.1 pep chromosome:SL3.0:8:60034576:60035826:1 gene:Solyc08g075820.3 transcript:Solyc08g075820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEDSDMNIVVTQISVGGFDNDVNAKMLSEYLEEQVGQVLRCRLKTSSTPPKSYPTYHIDEESMQRMNDYIRVEPHAFVHFASSGSANYALAAAGRNELILGGKPLKVSLGPGNPHCLDKKRTDEMPLKFSDVNVEIGGLVSNDDFVVGWSGPPTGVNFLVDRFDGTCKILFTKNTVFSFKNEARQAVIKCNFKIQFLTREINEIKECKDFASFVILLQLASSPLVFYRTADDDVEESVAFDLLDDDDQWIRTTDITCSGAIGRFNTYRISIRPCNGLNFAKAKKYFRDSRVPVVEPCNQRLRVRNEPNFGVPMPEPFFCIQYHDGISFKVLFLVNAVLHKGIINQHQMTNDFFTLLNKHQEGISVAALKHIFSFKRPVNDAVKKLEHIQTWLWNKLNLLKSTEESDDVVEVGGW >Solyc01g095715.1.1 pep chromosome:SL3.0:1:86780935:86783251:1 gene:Solyc01g095715.1 transcript:Solyc01g095715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSYLPFPCCSGFFSRKFPMLVSETLVASSLILIKFSVSEGASLHYLLKILVFGVGRWYQVQNQGT >Solyc01g110630.3.1 pep chromosome:SL3.0:1:97179996:97182654:-1 gene:Solyc01g110630.3 transcript:Solyc01g110630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCNQKELQSSPLKWHTSFNCHLMATRVPRIIKKSSTSLDVPKGHFVVYVGEKQRKRFVIPISYLSQPSFQGLLSQAEEEFGFDHPMGGVTIPCSEDIFIDITSHFRI >Solyc03g005810.3.1 pep chromosome:SL3.0:3:551381:552971:-1 gene:Solyc03g005810.3 transcript:Solyc03g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIASQLQFHVPVRMSTATAIGTLLGKLYFNNGGNNIWLASLVQNIGFPILIPFLLLSSTKTNNIDIKKTIFLGGNCMFYSIGQFYLPITTYTLICTAQFGFNAFFFFLFEQTRNHFFYSKLFSTSHSIFFTPLLQKNDTSINNKHSKRNSIAGFLCTLAASAGYGLMLSITRLSFEKIFKRESLRLIIEMTIYESLVASLTNLVVIFASGESRNLRQEMNDFLAIFHSWFNWIDFQGVFIVLQCDWHSWCANGTIFSVLFLHEKMSGVKVISMFLAMWVFLSYMNQHYLDDLENKAEKSLVRE >Solyc02g076720.3.1 pep chromosome:SL3.0:2:42412166:42418019:-1 gene:Solyc02g076720.3 transcript:Solyc02g076720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKINSYPSTITVSTPPTIEIYVSLPFLTINFPRILQQVLAMATSAWDIAATEFLQGFHRQKLALPRHSSKQTNLLLWGTLPRQSSFGYSHKNLRLRSHIPAKISAVVSGNVSSLVDENSGKVQEVAENLIHLYRVPFLQDSATAELLKLVQTKISNQIIGLKTEQCFNVGLKSDLSSDKFSVLKWLLGETYEPESLGSESFLEREQRKHPDAYIVEVGPRLCFTTAWSANAVSICQACGLTEINRLERSRRYLLYVKGSLLDSQINEFASMVHDRMTECIYVEKLTSFKTSIVPEEVRYIPVMEKGRKALEEINEEMGLAFDEQDLQYYTKLFRDDIKRNPTNVELFDIAQSNSEHSRHWFFTGKLVIDGQPVDKTLMQIVKSTLLANPNNSVIGFKDNSSAIKGFPVKQLRPVQPGSTCPLDTVTTDLDVLFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDPSFTYPANLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSAGIGQIDHRHITKGEPEIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDAELDFNAVQRGDAEMAQKLYRVVRACIEMGDSNPIISIHDQGAGGNCNVVKEIIHPQGAKIDIRAIVVGDHTMSVLEIWGAEYQEQDAILVKPESRDLLQAICSRERLSMAVIGTINGEGRIVLVDSVATEKCKSSGLPPPPPAVDLELEKVLGDMPKKTFEFNRMNNLREPLDIAPATTVLDSLKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLSGGACSIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAVALSEAMIELGIAIDGGKDSLSMAAHSSEEVVKAPGNLVISTYVTCPDITKTVTPDLKLGDDGVLLHIDLARGKRRLGGSALAQVFDQIGDESPDLDDVSYLKTVFNEVQNLISDELISAGHDISDGGLIVNALEMAFAGNCGIRLDLTSSGSTIPETVFAEELGLLIEVSKKNVDLVLEKLHHGDVSANIIGQVTSSPMVELKVDGVTHLNEETSVLRDMWEETSFQLEKFQRLDSCVELEKEGLKNRHEPSWKLSFTPTFTDDKYMTAISKPKVAVIREEGSNGDREMSAAFSAAGFEPWDVAMSDLLNGFITLDEFRGIVFVGGFSYADVLDSAKGWGASIRFNEPLLNQFQAFYNRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPSQPRFIHNESGRFECRFTNVTIEETPAIMFKGMEGSTLGVWAAHGEGRAYFPDDSIFNHILGSNLAPVKYCNDDGTPTEVYPFNPNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQYPWYPKNWDVEKKGPSPWLRMFQNAREWYLSKIPKA >Solyc11g043070.2.1 pep chromosome:SL3.0:11:33916041:33919180:1 gene:Solyc11g043070.2 transcript:Solyc11g043070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGGSFISSSMNILFDRLASQGELLKMVHKHKNDVRISKKLRMTLLGLLAVLSDKENKQTLNKYDKLEDTIETLKDLQEKIGDLRLKEQFGLTKQETRTPTTSFVDDCDIFGRHKEIEDLIKRLLSEDANGKKSDCSSYCWNGRHGSCLNIPLPVSKLKSLHVLVGAKFLLGGPCGFSMEDFCELHNLYGSLSILELQNVVDRREAVNAKMREKNHVEKLSLEWSRRSIAENSQTERDIVDEEPLGEMSYCNMFLEELSLRECDDISPEFVPGAHKLKVSCCSNLTRFMIPTATEIVNLTSCRNLEKLLVPCGGIHITYLNVWDCLKLKWLPERMQELLPSLKIIQMWDCGETKSILERGLPFNLQQLVISHCMELVNG >Solyc02g067200.3.1.1 pep chromosome:SL3.0:2:37957084:37960797:-1 gene:Solyc02g067200.3 transcript:Solyc02g067200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALLEFRKHKSHIKQVGSLLFNLSSFPRKLQLQQFHLLLSSSSVKPTYTTQYTSSVEKSKSLSFSSNKGEILNNPIIKDCLLKLSEISPATVRRYWRVSVLNPNDVLEILLGFQNDSGAFDVEIKKIESLWGIYMWASKQSKSFRHLIKASEIIATMLVRSGLFKEVECLVSLLDTQGTFLDNHEIYSNLIEVFVGDYRLENAIGCYDRMRMRGVSPSISCYRVILEFLIQIHETQLAFQIYVDAIDIGLGRNVSERGIYEGVIRLLCADAKVQDARNLVKKVLAFGIEPNYLILDSIASGYCNKRDYDDLLSFFVEISCIPDVTVVNKLIQSVCGQFGVASGNSYVMKLDQLGFCLNEITFGILIGWACREGKLKDAFFYLSEILSRNLKPTIYSYDAILSGLFKEGMWKHYQDILQEMEDQGVEPQLSTFRVLLAGFCKARHFDEVNTMVSKMVGRGLIQLSPTEDPLSGAFGFLGLNSSAVKIRRDNDIRFHKAEFFDNLGNGLYLDTDVDEYERVIHKVLDDAMLPDFNAVVWKDYMKKDMKDVLMMVDQMFCWGQEISLGALDALVKELCASSICIKTISGLLEKVPNFTHQLDQETLNKLVRKYSKKGSVHRARAILHGMLSRHLRLDSETHTALMMGLCKKGDLRGLTSYWKFAQTNNWLPDLKDGKTLFSRLCRRRRLNEALELFNALLVLYPDEVCDALHMFLEELSAKGFTSSAKILAKEILNQGCISSHSAHSHLIQEFCNWRIFREAAVVCDNMLAKDWIPPLDASLQLIPQLCRSSNFDKAVALKDICLRDEPPAVLPLHRALIHGYFASGRVREATSLFQETLAKEQFLSVEICDVLFQGYCQANKRKKVEELLGVVIRKNLGISIASYRNIVRLMCTRGKVSTALCLKDHLLKQTNPPIAVIYNILIYSLFSTNKTSVVYTLVHEILGKGLQLDEVTYNYLVQGFCRCKDLSSATQYLKYMMEKDLRPSDRSLREVIKCLCCYGELEEALTLSKEMEFRGWNHGSVVQNNIVETLLSNGKLGEAINFLDRMAMKCLIPANIDYTYLIKRFCQHGRVDKSVDLMDIMLRNGNVPESSSFDYVVQSYCTWRKLDVALNFHAEMLCRNQRPSINTWSILIKSLSEGGQLAEAEKQLDSMVQLGEIPRRETYSLLINMYRSQNNLNKASELLRSMQRCGYEPDFETHWSLISNLRDSSDNVNDGKQNGRFLSRFLTEIGFSRKN >Solyc01g007210.3.1 pep chromosome:SL3.0:1:1778171:1780149:1 gene:Solyc01g007210.3 transcript:Solyc01g007210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKDIEKQKSNMGYPSMARHNQYISHQQTIPSSYDNSSFIMPSTYYNYPNNNNHNNNSYN >Solyc01g095780.2.1.1 pep chromosome:SL3.0:1:86843268:86843880:-1 gene:Solyc01g095780.2 transcript:Solyc01g095780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTITKSKSKKQKTQMAKLIYAMVILLFVLATPGTSKPSCETVSKRLAPCLSYIQGRYHSIKPSGRCCRGLIDISNMMKKRGDYSAVCKCIKNRLVHTNYDPKRFQIGSQQCHTGYTLPPVGHTTTC >Solyc12g006040.2.1 pep chromosome:SL3.0:12:625798:635328:-1 gene:Solyc12g006040.2 transcript:Solyc12g006040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVAISSLMITIQQSMQVTGCNLELFYEKLASLIAVMEKPSSITGDLEALASLELQIVEVAYRAEKEIDSKSIEVVRAKTDTLRGRAFWKLSCFLEQVVENIDSIMKEWMAIRDGCNNMKEYMIVSESSKSFLAKTEMRQGLTFWKRAVGHVDSMMNKWRKKQSICTKSKDVEAQNLTLGSTSRHSLEHENVMVGHENEFEMMQDQLTRGASDLEVVSIVGMGGIGKTTLANKIYTDPFVMSCFDKRAKVTISQDYCVRNVLLRLLSSISGKTHQTYEEQDDGQLADQLQKLLKGPRYLVVIDDIWTTGAWDDIKLCFPDCNCRSRILMTTRNMEVAEYASSGSPPYQMRLMNFDESWSLLYEKVFARGFFPHEFEQLGKQIASKCKGLPLMIVVIAGLLSKIGNRLDRWRSVAENVSSVMNTDLDVQCMRVLALSYYHLPHHLRACFLYFALFPEDKLIFVNELVKLWAAEGFLKVDETKSMEQVAKQSLKDLVDRSLVFIQRVSSFDGKIKACGMHDVIRELCLREARKINFVNVIMDNQNPSEQAKHFSTTRVRISIQSKQSKTVVNRLSMVRNDDSCSIVLSVDDPSSSNMMQALKRFKVLRVLDLASSTLNAFPSCIVELFHLRYLALSVYSSTDDRGIDIPPSIASLQYLQTLILKSPTSLAWKYSRPFILPSEIFKMSQLRHLSLDWNYLKQHESIERSWLLRNLQCLSGWNPLSCTSSIFRLLPNVKKLQIYGIQEGYIRSNKDEVFHSLCYLDQLKELDFKMKKTAIVRPMFPTRLILPSIGTFLKNLKKLAFRGTRLHWKDLEILGKLPKLKALKLGYDACLGTDWEVGEEGFPHLKFLRLKQLYLHKWIASSDHFPCLERLVINRCWSMYSIPQDFVDITTLQLIHVSDSAKSVGNSAKMIQQEIEDNYGSSVETVIRNVEVAEHASSEPSNVSTSEEPLESWRLKMRQGSTTRLLSTYVIELEQYPTGAHIASRMLYTAENSFEDVNSKVVADFGCGCGTLGLAAGLLGAESVIGLDIDAESLEIAYANADELEVLFVYVITFFACKVEKAFKAESFTLVLMDICSLLCFNTFLELFHLQLDMDFIQCDISNLKWRGQIVETVVMNPPFGTRRKGADMDFLSSAMKVASQAVYSLHKTTTREHVKRAALRDYNAISAEVICELRYDVPQLYKFHKKKEVDIAVDLVNKWMEMQYMYTKSKDAEEQNLTFASSTSQHVEAPMVGHENELEMMQDQLARGAGELEVVSVVLMGGIGGVQNLLRIPPSKFNRTFNTSMKVPLRSISSSLVRYHLPSFTSYVPIFLGMFNSSLAIPFSMSVVMLLYLLYT >Solyc05g006910.3.1 pep chromosome:SL3.0:5:1501171:1512581:-1 gene:Solyc05g006910.3 transcript:Solyc05g006910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPSTSQVQLAIAALFGASVMAIAGFFLHKRSVDEILDRLIKLRRKHQLSYPISDSEPEEFDFNEDEIENVKTRNVYTSNLSTSIDNIDDDDDYDDNGGNVLGSYRVSSSMPNVRVSNEWLNEDSSLNRTDKILLSNSTERLNLVPSSSFSPRNKSKSGEERALSSLNPSMRMESVGKPMTSKLPADSVVEGVGNSNEDKDEFAVAAEDVVYSYENDIGPTEEEFSVSALTESHIHLQHKTAVPEARSNIDHAVGEVDKASMHIVENDPSFFNNILPLPATTHDPGNVEEEEVLKLIRECLDLREKYVYREEIAPWMKETISESKASDKKHDPFSFGHFEASSHHFKMEDGVVRVYASENDTEELFPVASATTFFTDMHHVLKVMAVGNVRSYCHHRLRFLEEKFRLHLLVNADREFLAQKSAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGQYLTLKEVFESLDLTGCRYDMNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKEVLQDLEASKYQLAEYRISIYGRKQSEWDTLASWFVNNELYSQNAVWLIQLPRLYNVYRSMGTVTSFQNILDNVFIPLFEVTVDPKSHPHLHLFLMQVVGFDMVDDESKPERRPTKHMPKPDEWTNQFNPAFSYYAYYCYANLYTLNKLRESKGLPTIRLRPHCGEAGDVDHLAAGFLLCHNISHGINLRKTPVLHYLYYLAQVGLAMSPLSNNSLFLDYNRNPFLMFFHRGMNVSLSTDDPLQIHLTKEPLVEEYSVAAKVWKLSSCDLCEIARNSVYQSGFNHADKRHWLGNKYYKRGPQGNDIHKTNVPNTRISFRHETWKEEMQYVYRGKTILPEDVEH >Solyc06g076800.3.1 pep chromosome:SL3.0:6:47845701:47848796:1 gene:Solyc06g076800.3 transcript:Solyc06g076800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPILVYSGIIASITAYFLWFYLLAQRLSGPKVWPLVGSLPYTFLNRRRFHDWISQNLRSTGVSATYQTCTICIPFLAWKQGFYTVTCHPKNIEHILRTRFDNYPKGPTWQNAFDDLLGQGIFNSDGDTWLMQRKTAALEFTTRTLRQAMNRWVNRTIRTRLWVILDKAAKEKNPVELQDLLLRLTFDNICGLTFGKDPETLSPKMPENPFAIAFDSATEATMQRLLYPYFLWRLKKFLGIGAEKRLQKSLKVVENYISEALDSRKESPSDDLLSRFMKKKDINGNSFPSDVLKRIALNFVLAGRDTSSVAMSWFFWNVMNNNHVENKIIEEISNVLKESRGVNHEKWTQEPLNFDEADKLIYLKAALAETLRLYPSVPEDFKYVVSDDVLPDGTWVPAGSTVTYSIYSVGRMKTVWGEDCMEFKPERWLSTGGDRFEPPKDGYKFVAFNGGPRTCLGKDLAYLQMKSVAAAILLRYRLLPVPGHKVEQKMSLTLFMKNGLKVYLNPRQLEPAVPKIAMSA >Solyc11g020100.2.1 pep chromosome:SL3.0:11:10156748:10161584:-1 gene:Solyc11g020100.2 transcript:Solyc11g020100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAALSSLMYTLEQLFKPNQSFVCRSCTQQHVESLQKNLSALQDFLDDTTKDIETLKLIEKRIRDVIYKAEDKVDSTLRSIILADCTESREGACKLFEEELVLVEKDVDSLRKEVMQMEFNKHGTRYETTPSSPQKSSIDEENTIIGMDDEFNTILDRLTTQTNELTVIPIFGMGGIGKTTLAKKVYDDSYIRSRFDKHAWVTISEDFNQRQMLLEVASSITGSNQEKSDDQLMEIVYKGLKGRRFLIVIDDIWSTEAWDQMQRIFPNDKNKSRILLTTRLKYVADNVSCPEFPPHCKSFLSLDDSWNLFTEKLFKKDSCPRQLVKLGKHIVQQCQGLPLSIIVVAGLLVKMDLTRDNWKKVEENLKSFFGTVSERCQSILSLSYNYLPQYLSACFLYVGGFPEDTEIGVSKLIRLWIAEQFIKARSNKRLEVVAEEYLQELIDRSLILAGKQRANGRMRSCKIHDLLRQLCLSKAHTENVVHVMNGNVVEAIDDQRRMILLSEVEEKHDYYSMMQHSSDIVRTFISMQVDFPTQIVSQFKLLKVLDVLSIDYDFSSVISELVQLRYVAARIREAVSVAKLRNLQTIILQSLERNELKQAIDIWRMTELRHVDIRSPLYISNPLEAENPLFLNNLQTLFLHYSPFVAEIIRRIPNLKKLKIVDDSENLDWPAILDSLSLIQDLETLHIKTMKWMVFSGENFPPNLKQLKLTHTYIPWEVVELLANLPNLEVLKGYHAFDGTYWKLDEDIVFHNLKYLLLSGCYYLKRWEAEPANFPKLEQLILDNFSAMKEIPESIGEIMTLKFIRVIWCSSGVEKSARKIQEEQESFGNYDLQLQITPKGKADKIVILYLLGRSRALHIQGWLMPLLAISVFLLTT >Solyc01g090680.3.1 pep chromosome:SL3.0:1:84200995:84203791:-1 gene:Solyc01g090680.3 transcript:Solyc01g090680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAMLPLFTSTNSNNCFTTLPLPTHRDSNIHPPPSLSSICETPFGESWNKNRCIEYGSNSKILDSGSFDEFVEKGSPYYETVFGSVPSRWEVEKAMSDLQSFNYAPKEEMNWAEPMLVKSPGNARFYDALYMLQNEPSIQRLVCSIACDRAVWEAMMSNKAVQNLQGSVISAAKVEESQSSTGDIGSLIVKWIMGITTSRIAELLQSFGSLLSEIIHDIFEPDNKEKPTSELSDLMEEKIRSSFLLSVVLLLIVVVTRTQGA >Solyc01g016800.1.1 pep chromosome:SL3.0:1:22151214:22153123:-1 gene:Solyc01g016800.1 transcript:Solyc01g016800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLRGLGISVGAGTIHEMGMNYTINCGISLVNYRTERLPTETGKLLWTRVSDDEFYWATATEFDKFCWATDTETVKFYEATGTEHGRYCRATGTKPVKFWRATTIEPSRLWCGIEEKEEEKLRLPKTRKAKLMRLSEMEKREKQRVEEMKETQKKILQFGLFCCLFHGFLQPIYLSHSNRCTCFIFLAISSSLISCGT >Solyc11g071230.2.1 pep chromosome:SL3.0:11:55059755:55065169:-1 gene:Solyc11g071230.2 transcript:Solyc11g071230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:K4DAI0] MASIRRALSPVPRPGDRTNGEACFVSSPLSKSSSCNQSYTPPEGLMSSCFGSLDCAFYKVRTYVLGLLSQRSSRPSEKSKLKGQIWRRAFLQFFICFVVGVLIGLAPFVSLNFSPNIMSKHQTLSFEVIGPNENDRVFDDVSRNMTSTLNSSAFQDNSMSEPNLVYDEVKDDIVVKAFVNQSLDQEFILSRKLLIIVTPTEAHSFQVYNLNRLAHTLKLVLPPLLWIVVEMDSQSIETADILRGTGVMYRHLVCKRNSTEVKDNSVHLRNMALTHIESHRLDGIVYFADDSNIYSLDVFEQMRQISRFGTWVVARLAENNRKVILQGPICNGSQVIGWHTDGMAKRFQRFDAEISGFAFISTVLWDPKRWNRPTLASIRQLDIFEAGFQVSTFIEQVVDDESQIEVFPMHCSRIMVWQFNTELLYPYPHEWRSKNYPGTIAASV >Solyc09g011840.1.1.1 pep chromosome:SL3.0:9:5120823:5121902:1 gene:Solyc09g011840.1 transcript:Solyc09g011840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSASRYLMVLFIFCFYFRTIQSEEKMSFGFGDFGKDSNFESQIALYGDAKVVNGGIQMSGSMGFSAGRILNKKPFKLIDGNPRKMVSFSLHFVFSLSRENGDGFAFVMVPIGYPFDVFDGGSFGLLGNRKMKFLAVEFDTFMDEKYGDVNDNHVGVDLSSFISVKVSNVSSVKLGLMNSGEKMQSWIDYEASSKRLEIRLSKLGDIKPVDTLLSCPIDMSQMWKEDELFVGLSSSSGNSTQKCNIYSLSFNTRTAPHWMHSEPLDPQAFVEKKEEMKVHSRSDCALRILGALLFGTGCGALGALVVVIVWTVLGSRRPVAPEDHPAVMELHKKEFDEYKKFIVVVDKAMEDGKVKN >Solyc05g016180.3.1 pep chromosome:SL3.0:5:14723593:14727494:1 gene:Solyc05g016180.3 transcript:Solyc05g016180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYRFQSIVYRLQGITKSSQRFVPIPFASDGLTEEFYDLDAYNPAERIERRHTKIHNN >Solyc03g119560.1.1.1 pep chromosome:SL3.0:3:69611713:69612453:1 gene:Solyc03g119560.1 transcript:Solyc03g119560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNITMEDIPINPNWTRLDILAVVCERVLEEEEEIVKETAMHEAESREKLMRVENPNRPIDDQVKTRIKTRKREYDPEDKRRRIIGKKRKKSETGKILPMPQIVKDRINQLEKGVKEVKFIIEKEIFKTDCGEHQNRLSIPITQVVEKFLTDEEEKYLCMPSNGNRINFKKVRVIDPSLDIYELELRRWKMTESAIYALNGKWTKMRVRNKIKKGNTIQIWAVRMDDDELIIVIMKLSPDEKTKVIN >Solyc03g096930.3.1 pep chromosome:SL3.0:3:60745236:60746379:-1 gene:Solyc03g096930.3 transcript:Solyc03g096930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLACRSPGYAPVPPSYSTVGSSANVLSDGERALNEQSSHNQLANMPFAQYPQSGFLPFQYPGANNLGIPTSQLEAHKILLQQQIEVLQTQLKLLEHPNIQKKADDLGISDSKGKAILSESSRGHTEGAEI >Solyc03g115900.3.1 pep chromosome:SL3.0:3:66975845:66977885:1 gene:Solyc03g115900.3 transcript:Solyc03g115900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQMHIQNIQSFQLSLKTTLPFLPIITNKLFKLEKMATVTTQASAAIFRPCASRTRFLTGSSGKLNREVSFRPSTFSSYNSFKVEAKKGQWLPGLASPDYLDGSLPGDNGFDPLGLAEDPENLKWFIQAELVNGRWAMLGVAGMLLPEVFTSIGILNVPKWYDAGKSEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKNYSLPPNKCGYPGGIFNPLNFAPTEEAKEKELANGRLAMLAFLGFIVQHNVTGKGPFDNLLQHLSDPWHNTIIQTLSN >Solyc08g007440.2.1.1 pep chromosome:SL3.0:8:2005197:2009654:-1 gene:Solyc08g007440.2 transcript:Solyc08g007440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASSAGGIRGALSYCVQQVRRYDYHHYLCLLELPANMRKAAFALRAFNVETSRAMDVASDPKIGLMRLLWWQEALDKIYSNKVIEHPVAQALTSVVSEHKVSKSWLKRAVEARINDANRENNDIPQTVEELEQYAEDTTSTILYSTLQAGGIKSTAADHAASHIGKASGLLLLLKSLPYHASRNHQFSYIPAKVAEKHGLLVHLSGQSEIKKDSREALCDAVFEMASVANAHLQKARQLAGSVPAEARPVLLPAVPAQVMLDSLAQVQFDVYDPRLAQGILGAPPLLFQLKLKWYSWRGKY >Solyc09g082760.3.1 pep chromosome:SL3.0:9:68864705:68869129:1 gene:Solyc09g082760.3 transcript:Solyc09g082760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVLLAAILIWEITRGLGFNVYADNVVRIELKRQSLDLNNIRGARIYVKGLRGSNRNSVSSDEQIVYIKNYRDVQYFAEIGIGSPPQHFTVVFDTGSSNLWVPSSRCFFSIACYLRSTYKSRLSNTYTKNGNSCKIPFGTGSVHGFFSQDDTKIGSAVIKQQVFTEVTKEGYFTFLSARFDGVLGLGFQGADSKNVTPVWNNMVLQKIVSKSIFSFWLNRDPTSRMAGEILFGGMDWTHFRGLHTYVPVSKNGYWEIEIGDLFIGNNSTGFCKDGCSAIVDTGTAFIAGPTTILTQINHAIGAEGVVSSHCKTIVHDYGRTLWERLLSGLHPDNVCHGICSNNETLGVSHEPQMRMRNSKSQRLEKDILCNVCEMVAFWIQVEIRKERSKDLAFQYVNQLCEKLPNPGGRSYINCDVFSLPHITFTIGNKSFPLSPDQYVIRVDDHQGVHCLSGFTALNVHPQRPLWVLGDAFLRAYHTVFDFDNLQIGFAESA >Solyc04g054180.3.1 pep chromosome:SL3.0:4:51819391:51826542:-1 gene:Solyc04g054180.3 transcript:Solyc04g054180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGTKRKANEVVGKGKAKVEDEAPAAATTSVEEGANKNELGETQLAAEKNESKKNEPKKKKNDKVVVKRQAKRARIVKPEPEPEYFPDKRSLEDLWQEVFPVGTEWDQIDMVYQYKWNFSNLEDAFEEGGELHGQKVYLFGCTEPQLLFFQGQSKVTCIPVVVAVVSPFPPSDKIGINSVQREAEEILPMKQMKMDWVPYIPLEKRESQVERLKSQIFILRCTQRRAGLKHLKLERIKKFEYCLPYFYHPFAEDEIEQSTTVQILFPSEPPVFCEFDWEFDEPEEMADKFIESEELPADKKDEFVEYVKQQVKEGKRSNREAREARKKAIQEMSEEAKAAFQSMKFYKYYPVTSPDAPDVSQVKSPFINRYYGKAHKIF >Solyc02g087540.2.1 pep chromosome:SL3.0:2:50576674:50584938:-1 gene:Solyc02g087540.2 transcript:Solyc02g087540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLTELWSKLGGLMLIWGIVQQYFPPALSKRFDYLWRRVENYFYPYVQITIDDFSNGKKNEMYGHINSYLGTKSIKKDAKLLKVEKSKNSKSFAVSLDEGEEITDEFQGAKFSWRNYSVKLSDDFSGHRSTRSNSVPMEKKSYRLTFNQGDREIVTGKYLNHIMEEGKAIQDINFEHPATFDTLAMDPKKKAEIINDLIAFSKGKDYYSKVGKAWKRGYLLYGPPGTGKSTMIAAIANYLNYDIYDLELTSVKDNSGLKKLLMETTSKSIIETGKLTLSGLLNFIDGIWSACGQERIIIFTTNHKDKLDPALIRRGRMDMHIEMSYCRYEAFKVLANNYLNLETHTLFQQIQDTLTELCSKLAGLMFILGTIQQLVPHSFYKRIKTLWHRIENYFYPYVQITIDEYSNYKRNEVYSLIKVYLGTKSTNDAKYLKAEMFKKSKFLAVSLDEGEEVIDEFHGVKLKWNSYTETFPDNSSGGRSQLPIEKKCYTLTFNKKNQEMVTGQYLKHVMEEGKAIEFKNKKQKIYSNEEGERYWYGKGMWRNINFEHPATFDTLAMDPTKKEEIINDLVAFSKGKDYYSKVGKAWKRGYLLYGPPGTGKSTMIAAIANYLNYDIYDLELTSVKDNSELKKLLMETTSKSIINDKNESSKLTLSGLLNFIDGIWSACGQERIIIFTTNHVDKLDPALIRRGRMDMHIEMSYCKYEAFKVLAKNYLGIETHPLFQEIQSLLEEVDVSPCDVAENLMPKNASGNPEICLESLVKVLIKAKRKASLNSHKNKKSSTKLIITYGRFKKLFQ >Solyc09g073020.1.1.1 pep chromosome:SL3.0:9:66106783:66106935:1 gene:Solyc09g073020.1 transcript:Solyc09g073020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGEAPLPIQVCFKKLAYLSPSCDLWNDPFSTMKRINGMPNQEMWLVEK >Solyc10g047470.1.1 pep chromosome:SL3.0:10:40740662:40742084:-1 gene:Solyc10g047470.1 transcript:Solyc10g047470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSYEASASRIQADIGAQPPFFYIFRERELISDVFEAATGMRMMLNYFRIGGVAADLPYGWIHKCLDFCDYFLTGVAEYQKLITRNPIFLE >Solyc07g009570.1.1.1 pep chromosome:SL3.0:7:4724855:4725025:-1 gene:Solyc07g009570.1 transcript:Solyc07g009570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKRITKGIQLNQQCSIFQISQLKLRTQMTIILYFNLFILYFNITLYANKFYFF >Solyc05g054760.3.1 pep chromosome:SL3.0:5:65455922:65460219:-1 gene:Solyc05g054760.3 transcript:Solyc05g054760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVCVKAAVGAPDVLGDCPFSQRVLLTLEEKKVTYKKHLINVSDKPKWFLEVNPEGKVPVINFGDKWIPDSDVIVGIIEEKYPNPSLIAPPEFASVGSKIFPTFVSFLKSKDSSDSTEQALLDELKALEEHLKAHGPYINGQNVCSVDMSLAPKLYHLEVALGHFKKWSVPESLSHVRNYMKLLFERESFQKTKAEEKYVIAGWAPKV >Solyc03g083270.3.1 pep chromosome:SL3.0:3:54542297:54547129:1 gene:Solyc03g083270.3 transcript:Solyc03g083270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4BIA3] MEMENIECVSTTDGIEDEEIQLSHNQFSSAKPHNILFPGLAPTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCPEIFPYYSKLKHEALCNFRPYNCPYAGSECSVTGDIPYLVSHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDESEARNYSYSLEVGGNGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGVCIPNLCS >Solyc05g047560.1.1.1 pep chromosome:SL3.0:5:59881520:59883034:-1 gene:Solyc05g047560.1 transcript:Solyc05g047560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQTTSFNFRSSCSILVFLSIFSSIPLLYWSYSIGYGYCHDQVENNKEMLSMEPIKLLKFPKAWNQLVFSSKQRRTPQTKLLKIGLFVRKWPEKNQAGGMERHALTLYLALAKRGHDLHIFTTSSSASIPSTMSNLHFHISRPIGSGYLNQVVAWKQFLEENATKAFDVIHSESVGLRLTKSSTYLNNVAVSWHGIAYETIHSDIIQELVRNPLDSSSQQVISDGKMMKVVEEVKFFQYYAHHVATSDHVGDVLKRIYMIPEERVHIILNGVDEEIFKPDVSKGNDFLLKLGLNQDRSRSSIILGLSGRLVKDKGHPLMFEALQQLFLENSTFKETVIVLIAGNGPWGDRYKELGPNVMVLGPLERAQLAEFYNAIDIFVHPTLRAQGLDQVPLEAFLTGKPVMATKLASFTGSIIVNEDMGYTFSPTVSELKRVLYEVWEGGKKNLEKKGGFARERGLKMFTATKMVAAYERLFLCISGNNEEKQQKNEDYCVYQPHQEMD >Solyc12g005240.1.1.1 pep chromosome:SL3.0:12:155131:156096:-1 gene:Solyc12g005240.1 transcript:Solyc12g005240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLVKFHSSFQFKLNTTSSLSFLGSKQQLNNLYHSIFFTKPKSDFSPLQCSLSSPTPPITKEDAVSQAKFSLSTTLEKPLNNPKLIGKIKKLKQPRFRVEIPVVDDSPSALAQLAFDIFGEMPIKRKAPNIKILLLWPNQTLTQAAQAEFEKKKSSNPIIENLDISSRIEISADVVVFMAPEASILTVMKEISDTLYPMPVVIFNPKWGFDEESSFGELSGFVGSFEVVYSFMGLEVRGILSNRKGVMFKCVKDGVLSGEKWYVFVEEDGELKVVSRFKARPSIVEVENVLYNLMAVNSPITKSAKFLKDLVSNVRGKK >Solyc10g031550.1.1.1 pep chromosome:SL3.0:10:15236649:15236987:-1 gene:Solyc10g031550.1 transcript:Solyc10g031550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLMAERANLVFHNKAIDGTAMKRLISTLIEHFGMAYTSHILDQVKTLGFQQTTATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHQYGNVHAVEKLRQSIEIWYAKS >Solyc07g020797.1.1 pep chromosome:SL3.0:7:13538795:13539325:1 gene:Solyc07g020797.1 transcript:Solyc07g020797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCLDAPLGVATDPSKIQAMDKWPKPKSLKSLRGFITLTGIIGDEKAFQQLKVTMTPAQVLVLVDLQNPLLWRYMFILKGLELSSTVEEWGYYLKGDHYHNRPPYPQVFS >Solyc03g032245.1.1 pep chromosome:SL3.0:3:4797839:4799858:1 gene:Solyc03g032245.1 transcript:Solyc03g032245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKKKILRTVRKKLYHPNHEKTTIIVVHNNTISTTNRKSSANDQYFEDHSGPHFMSKENMAAISIQAYFRGYLARRMVKALKSLVKLQALVRGVSVRRQAQIALHCMHTLARLQVTVRDRKFR >Solyc12g070150.1.1.1 pep chromosome:SL3.0:12:29632748:29632945:-1 gene:Solyc12g070150.1 transcript:Solyc12g070150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYLSMGEAHRCITDFLNHFSDVVSSQDTKSLCLIFSISSNSSFHLFSSLYSMRSSLSRMLEE >Solyc09g073040.3.1 pep chromosome:SL3.0:9:66134006:66135633:1 gene:Solyc09g073040.3 transcript:Solyc09g073040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQITIKDSSTIQSQGISENFFKVVNINSAFRSFHRSFPDENPKFAINSAKASVLKPLELNHNLSSDSNMVLVEYNHIGRDMNSATTHKFKAGSMKATNKSSIENNMINIVHHHQINHEDDNEKFSNYIDHVKNKMRNMSNFDDNDHKVTHHNYINDRSKINVN >Solyc03g118140.3.1 pep chromosome:SL3.0:3:68583214:68585348:1 gene:Solyc03g118140.3 transcript:Solyc03g118140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:K4BLW5] MSALFNFHSFLTVVLLWICTCTYVKMHFPALLEQRTGFRGFFWKAARIGERLSPWMAVGCLTMGVSIIFF >Solyc04g007780.3.1 pep chromosome:SL3.0:4:1466585:1468370:1 gene:Solyc04g007780.3 transcript:Solyc04g007780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKGKLIASMEIKCGGHLFHDFYQINAHHVSNISPDKVHHFNIHEGDQDLKVGSIIGWKYNHDGKVKVTKQLIEAVDDEKKLITWKVLEGDTLELYNSFAITASFEENWATWTLVYEKKTEDTPEPITLLSLMIDITRDLESHLHNN >Solyc11g039710.1.1.1 pep chromosome:SL3.0:11:43259450:43259710:1 gene:Solyc11g039710.1 transcript:Solyc11g039710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLINKSTAFCKILLFLVGTIYQDTQAGENLILKIFSTFLLTGLSLRFTPNMFLSWVVVLVGSHISIYVGATRASQPAYPTVHVH >Solyc06g071010.3.1 pep chromosome:SL3.0:6:43761898:43765297:1 gene:Solyc06g071010.3 transcript:Solyc06g071010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLEVITKASIGNSSTTSDGDFSILLNSEPVLLQLKPEKEDNDDISLLKRGTGWSLLSTDAEVMESGQKFFKKLKKMMKNPNTFNKDEFFEVLVSYMKGIWDIFGISVSPDRVDEGNIVKMIEKLGSFMGRDVKGLVLEACVVLETWEILECMIVNGIVDHSGISSLINNLIEKKQSWLVVLCVKHVLDIQTYDMMCVLKYFLSLSKNGDVTLINVRREWESQAMSAIEKAKDVSLGPVRMDIARDASLLLMLAHDGFSVSEMCLHYLLASRNVDEVIMGACISKLTGSEIMVMIRYLQKWLNKYERFPQVCPCPKAPVELGLKACEWVPSLEDVAKCIGLVVDEHFSSLVLHKEFHEELKSLEEVVNSLTAEAKICGILSNVTEALKSKTQSFEVSVKPNPIDYSISYTMWLAMQHEVDISWFSVYA >Solyc10g047490.1.1 pep chromosome:SL3.0:10:40834566:40835971:-1 gene:Solyc10g047490.1 transcript:Solyc10g047490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSHVIALDLSCSQLRGTFNPNSSLFKLYHFQTLNLAYSHFNYSSSIPHNIGQLRNLRLLNLFHSYFSAKIPTEISYFSNFVSIDLSPPYKYGLQLDLRTFEATLHNVTNLEEFCQRSTRATLFWTYIQLDLSYSQLSGSFPQSLVNLTNLYRLDLSSNKTTVDEGTSNTFPSLAISDLLSCELKNFPYFLINVKNLSCLDISKNKIWGQIPKWFSSMRCDMNKLKFLDLSQNNFSNLIPSCLESMTNIVALDLRSNNFTGSSPPLCAQSTSLRNIVRNGNQFERPVQMSLVNYDGLEILDVHNNTIDDTFIAWLGTLDQLQILILKLNKFHGPTSTYKTKFFFSKLQTFYLSRNELSGSLPTKDLETTR >Solyc12g013860.2.1 pep chromosome:SL3.0:12:4649824:4654290:1 gene:Solyc12g013860.2 transcript:Solyc12g013860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSARVPAETVSEKKNLPKENKKMNNGAKVNEPIKFGSHGTKEPIKEEGKKVPVTALRKDAVEDWPEPKQIHSFYIVRYRRFEDQNLKPKFDQAEKELQKMNKTRFQLIEKLRARRAERSELFGQRKPVSAENKEFRTVIEGKRKEIKPLQDALGKLRGPRKDGREGGVGLCSSEAELDNLIKSLQYRIQHESIPLTEEKKILREIKQLEGTRGEIKENVVLRAQIQDSMGEKESIQKQVKLISGGLDGVHKEQQAVKAKLKILDDQLDANTSQIKSLDEELKEVTKKRDAAFVRVQELRNQREEAV >Solyc07g042980.3.1 pep chromosome:SL3.0:7:56640901:56645795:1 gene:Solyc07g042980.3 transcript:Solyc07g042980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGELYTWGRDEGDGRLGLGPGRGHNEAGGLSIPLKVKSLPTGVAAVSCGGFFTMALSEEGELWNWGANSNYELGRGDKLGGWKPQPVPSLKGVRVIQIASGGYHSLALTDKGEVLSWGHGGHGQLGNSSLQNQKVPIQVEALAHEKVIYISCGGSSSAAITDGGKLYMWGYAKDCQLGVPGLPEKQSSPIEVKFLMEDDGLGNHNVLSVAIGASHAMCLVSRSGH >Solyc11g027893.1.1 pep chromosome:SL3.0:11:19345161:19345463:-1 gene:Solyc11g027893.1 transcript:Solyc11g027893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKGKSLCKKISFPQYKNKSSFPSRSYLRFQIVEKYAERVGVGAPIFLAAVLEYDATEVLDLAGNVAREN >Solyc09g083430.2.1 pep chromosome:SL3.0:9:69515101:69515388:1 gene:Solyc09g083430.2 transcript:Solyc09g083430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLDIHVGKLIWLELIGVPTKVAKETIEKENPSIADIPILLNGSPVTFDFRCDRVRLFDNVLGYVVQIPTVT >Solyc08g041975.1.1 pep chromosome:SL3.0:8:24627420:24628147:-1 gene:Solyc08g041975.1 transcript:Solyc08g041975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEELRKKLKEVLEDGHIRPSKAPYGSAVLFQKRKDGSLRICINCGALNKLNDIGIYSSTLKENVEHLRKVFQVLWGNQLYVNREKCELAQHEVHFLGHDINQRKLRMEKGKIWVIQEWEAPMNVTELRSFLRVANYYQRFISSYSD >Solyc08g041760.2.1 pep chromosome:SL3.0:8:25954997:25958709:-1 gene:Solyc08g041760.2 transcript:Solyc08g041760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLNTLSCTGKVNCHDAKVVGTQSDLLKADEGYYLGVDAAANCSFIDTSMFPEMHDKAYRRVVRPIVGQKYGVISNVNPSKPVKFVSLRKICDLLEKYSSSGNSTAKLTSVKFRKASAKEKHRHINRSPYLKKVKCCNANCVVTTKKSKSRLLTSSQLDPCQSLEQSKRAHFHGGEEGARIHPREGGSTCGRTERYKGRKKEGLRYNLHQYSESGGGGLIAQEQLNAWIHITGQNTSRKEILRLPHKDVGYDYRKEYVHYKHSKGWKSLVVYKSGIHAPCVYTSRFILQSEMISSVSILFL >Solyc08g008660.3.1 pep chromosome:SL3.0:8:3021471:3023469:-1 gene:Solyc08g008660.3 transcript:Solyc08g008660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVNGQSQVPPGFRFHPTEEELLHYYLRKKIANEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYLFSHKDKKYPSGSRTNRATAAGFWKATGRDKVIYGNCKRIGMRKTLVFYKGRAPHGLKLDWIMHEYRLDDISTPQHQPSLNFCASESAALEEGWVVCRVFKKKSTLQSSSAVSKTLIHENTNTHHDGVLDQILMYMGRSS >Solyc02g093185.1.1 pep chromosome:SL3.0:2:54763356:54766255:1 gene:Solyc02g093185.1 transcript:Solyc02g093185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHINVIKLTDLPWIIDSGAINHMVYTLDVLHNVQIVRPNQNRKVHLPNRGVTFVTHMGSNLRLIEHTKAILHKAFKIKDLGELKFFWGMEFSRSVKGILMNQMKYALKIISDLGLGNAKPAWTPLEANIKLTIQELDCLTGELDDELSKDKEQYQRLIGKMLYLTMTRLDIFYSIQTFSQFLHQPKRSHWEVAVRVMKYIKREPGLGILLSSKRVNKLNVYCDADWAACPNTRRLVSGFLAKHGETLLSWKSKKQNVVSRSSAKAEYKSMTNAISELV >Solyc04g005440.1.1.1 pep chromosome:SL3.0:4:307616:309295:1 gene:Solyc04g005440.1 transcript:Solyc04g005440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQDLKYFCKLCDKKYPCGKSFGGHMRSHVLAKEKVEFKQKKLQSWIDGGKNSSKKDHKSQFELSEHSGYGLRDNPKKTWRASDSRSPLLSQENVCQQCGKVFQSLKALCGHMACHSGKDRGGSKDDHSWTSENKNLLMDSNSDTEADEPKLKSRSNTKRYNRLVAKSSSFCLVNNRSVSSPVSEIDEQDQEEVAKCLMMLSMDSGIWNGVNSVVESSDNNSVILETKSSSVDMKVARKGCLKPAENVDETHRRCKKEADRNLKLNALNAEAESENSDSGYFLGEYMKVESDASVDEFHRNVNYQWNTSNKSLRVWCDETRRDVEKGFNRTTTKYITEMRNGSTKDYKYESYGMASNLAKSESRKRIKDISYEPELGKESSFRKIKVGFKGPEGSKHTRKKKKYECFNCKKAFSSYQALGGHRPCNKKANAYFESTYETDENGRGAENGPSYIDKGKHRETFNNRKPTVHDGQDVNYNPEKKMKPKKFKGHECPFCNRMFKSGQALGGHKRSHFLVGSQENHNQASVVKGSEFADLLDLNLPAPVEDMNGEPTFVHW >Solyc09g091580.3.1 pep chromosome:SL3.0:9:71302152:71312590:-1 gene:Solyc09g091580.3 transcript:Solyc09g091580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAAAQLVYCGIDPLCRSSLPYRGLSSSSSSSSLKKLRIRRKNGIVRAIATEPKPSESKATGIPKPVNGSSTRIQDVSQEIKRVRAQMEENEDLAILMRGLRGQNLRDSLFADDNIKLRLVEVDESSEFLPLVYDPASISAYWGKRPRAVATRIVQLTSVAGGFLSRLAWDLINKKVKENEVARAIELREIVTSLGPAYIKLGQALSIRPDILSPAAMVELQKLCDKVPSFPDDVAMALIEEELGEPWSNIYSELSPSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLVLRKFPQISIDVVGLVDEWAARFFEELDYVNEGENGTVFAEMMKKDLPQVVVPKTYSKYTSRKVLTTGWIDGEKLSQSTASDVGDLVNVGVICYLKQLLDTGFFHADPHPGNLIRTPDGKLAVLDFGLVTKLTDDQKYGMIEAIAHLIHRDYGAIVKDFVKLGFIPDGVNLQPILPVLAKVFDQALEGGGAKNLNFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNSDFAIVDEAYPYIAQRLLTDESPRLRSALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGESLNGRMAELGILQSQTNSIIPFPSSAYQTEQPIQTRAALAFLLSDKGNFFREFLLDEIVKGIDALTREQLVQIMAYLGIGNAIPVFSMVPAAFVPIRPAALVPYVTEEDKIILNNVQKIIQFLAAGTASNQGLDGASVPRVIQELLPVLPGLSAKVLPEILSRLTSRVMARLIRDALL >Solyc02g060570.1.1 pep chromosome:SL3.0:2:33549908:33564404:-1 gene:Solyc02g060570.1 transcript:Solyc02g060570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTTQTVKDDSGDIAGGGETVTINIRCVNDSKLSVQVSLDSTVGLFKTVLSQPTDIPAEEQKVIYNGRILKDDQTLKSCGLEADHTVHLIRGFVAAAFASATNVVNPNANQDAPRVGFPTTGGLFVRVSGGPLFSGLGSRGGSFGAGLQDFEQVQQHDSNMMREILNMPLVQDLVNDPEIICNFIVNSPQMREYVNLNPELPHIFNDPAIFLQIWEAARNELMHETIRTIQWSLSHTESSPEEFNMLRHMYENVEEPFQNATSMAGDTRNDSGTNPFVDLLGAQEQGRNRSTNLPANGSDTTANPHAPNSNPLSDPWASADFGGDQMNTAPRSNASRNIWGPSPGGLDDIADLQRMLGGIPGASSENQLIGYPSISQIMQHINQIMGLDPNSDPGDMMPNPELIHQLMSSGRMQEYQVQQGPFPYLDQPQSNQEQDQDEADETGLEADYTVHLIQGSAAATSASATNVVNPNANQDAPMVGFPTTGGLFVRVSGGPLFSGLGSRGGSFGAGLPDFEQVQQHDSNMMRDIEYEQGKNRSTNPPATGSDTTANPLAPNSNPLSDPWAPPDFGGAQMNTAPRSNASRNILGPSPGGLDDIADLQRMLGGIPGASSENQLIGYPSISQIMQHINQIMGLDPNSHRGDMMPNPELIHQLMSSKRMQEYLVQQGLFPYLDQPQSNQSLDYIGFFDSEEALIAVEELEEDSGE >Solyc01g100610.3.1 pep chromosome:SL3.0:1:90467651:90474868:-1 gene:Solyc01g100610.3 transcript:Solyc01g100610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solute carrier family 40 protein [Source:UniProtKB/TrEMBL;Acc:K4B192] MVVVVSHAQPWSAFLTLRQVPLTSSSSFRIRTLTPRFRSLTPRFTTQRLGSLNTRCSINTDVLTDIATDQEVRDDVATDDCGCTIPVLHLKSDILETEALNLLAKGTFVDTLLTTLPVLSEEEQNIIAATPAHPAGLYALYASCLAGYMVEQLWNFASPAAIALIHPSLLPVALMGFLAKLAVIGGGPLVGKLMDHFPRVPAYNCLYIVQTAAQLMSVGMIIHGHTLHPTSASSLLFRPWFIVLVLVGAVERLSGLALGVAVERDWVVLLAGTNRPVALAQANAVLSRINLLCEIVGAALFGILLAKYELVLCLKIAAGLMMGTLPIVVSLTWLTNKLSSGVLDRAVETCLSCSFPSSLKSENIVGVGLEAIKHGWFEYIRQPVLPASIAYVLLYFNVVLAPGGLMTAFLTQQGLNPSIIGGFSGLCAFVGVAATFVSAKMVKHLGILKAGAAGLVFHASLLTTAVAVYWSGCLSQQTPVFFFLALVVLSRLGHMSYDVIGQQILQTGIPASKANLIGTTEVSVASLAESIMLGVAIVVNDVSHFGFLATLSLVSVVGAACLYCRWLENPTDTQRTLFSSSPHL >Solyc06g067910.3.1 pep chromosome:SL3.0:6:42206293:42209704:-1 gene:Solyc06g067910.3 transcript:Solyc06g067910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAAAILLVLLFATVANSSQKDGLLSNGNFELGPKPSQMKGTKVVDRHAIPNWEISGYVEYIKSGQTQGDMLLPVPQGDYAVRLGEDASIKTKVKVTKGIFYSISFVFARTCAQEEKLNVSVSPNSEPNDWGMLPLQTMYSSEGWDSYSWGFLAEADEIDIVIHNPAVEKDPACGPLIDFVALKALKTPKRPRGNMLKNGNFEEGPYIFPNTTWGVLIPPNIEDDHSPLLGWMIESLKAVKYIDSEHFHVPQGKRAVELVAGRESAIAQVVRTRPGKIYDLLFSIGDASNSCEGSMLVEAFAGKITMQFPYESKGKGGFKRARLRFTAISPRTRVRFLSTYYHMKSDNSGSLCGPVVDDVRLVGLRNPHLP >Solyc09g065030.3.1 pep chromosome:SL3.0:9:63064322:63071025:1 gene:Solyc09g065030.3 transcript:Solyc09g065030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNPCPKFFNSVTHFSFFKKFKRLKPIEPLLTLFGFLFFVLGLYLFIFLLDYRTVDTTGSHLRGPSFFVTWFGLNDSSLVLKNSSSRSRNDDKVGFLENDCDIFDGNWVWDESYPLYQSEDCMFLDEGFRCSKNGRPDNFYTKWRWQPKDCNLPRFDAKHMLEKLRNQRVVFVGDSIGRNQWESLLCLLSSAVSDNSSIYEVNGSPITKHTGSLIFKFKDYNCTVEYYRAPFLVLQSRPPSQAPGNVKMTLKLDQMDWSSAKWKDADLLIFNSGHWWNNEKTIRGGCYFQEGGKINMTMNVETAFQKSIVTLVDWIGREVNMSKTKIFFRTYSPVHFRGGDWKTGGSCHLETLPDLLSPQESLRNSFEYMTVIHVLSQLSNKSRTRNMSLLNVTGMTSRRKDGHLSLYYLGPKVGPVSPHKQDCSHWCLPGVPDSWNELLYAILLKQELAQEKISKYTSQFSE >Solyc10g078890.2.1 pep chromosome:SL3.0:10:60680932:60683305:1 gene:Solyc10g078890.2 transcript:Solyc10g078890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4D2D0] MSSSSYYYYSFNFSVIICILLVVLSIFSHSNAQLNSNFYENNCPNVSDIVRCVLQEALQSDARIGASLLRIHFHDCFVNGCDASILLDNNAKTKIVSEKNAAPNANSLRGFNVVDNIKVAVENCCPGVVSCADILALAAESSVSLAGGPSWNVLLGRRDSRRANQGGANISIPSPLEDINKITTKFSAVGLTITDLVALSGAHTFGRAQCRLFRERLYNFNGTGKPDPTLNTNYLAKLMKICPKKGSNTALANLDLTTPNKFDNNYFANLQNKKGLLESDQKLFSKNSASEITNIIKTFSRDQNVFFQSFVESMINMGNISPLTGTNGEIRLDCKRVN >Solyc05g016615.1.1 pep chromosome:SL3.0:5:17631862:17634880:1 gene:Solyc05g016615.1 transcript:Solyc05g016615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQGEVLDVLFQQGLDSGIEEKKPDGVGEEYWKIINCVACGTIRSYLAREQKYPHTKETSANKLWNSLEERFLKKNSQNKLYMKRRLLRFTYVPGSTMNDHITNFNKLAKDLRNMDVTFTDRDMALMLLSSLPDEFEHLETTLLHGNDGVSLKEVCSALYSYEQRKREKQKGGEAEALVARGHWKKECPKLNSKVKPNNGKALMDSNVADCDDSDYSLVTIDPSKSSDVWLMDSACSYHMCPNREWFIDLQEGECGFIHTANNIPLTAYGVGSIQLRNHDGLSRTLTDVRYVPDLKKNLIFVGALKSNGFKVIANNGVIRICSGVLVVMKAIRRNNNMYHSQGSTVIGTAATTSNDEKGTEMTKLWCMRLGHAGGKSLKTLSDQGLLKGVTVEEVKQIDGASKQVECEGKIIFPTQGSNEETTEDFPLEGEPVEEEVPYQEPQPQLESIATSKPKRTIRKPARFIDMVACADSIVVDDISATYKDAVQSSKEDKYIRSKYDHCVYLRKLEDNSFIYLLLYVDDMLISSKSQEEIGKLTIQLRKEFEMKDLGEAKKFLTWR >Solyc02g069280.3.1 pep chromosome:SL3.0:2:39782657:39791478:1 gene:Solyc02g069280.3 transcript:Solyc02g069280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKITEASSSESSKEKRVPVARPDTGKNCVKQIELLANHFVVAFDPHSTIMHYDVNIQQQADENRPVKNLTNNCARDNPIRFPLDNTAYDGNKNIFSAVQLPTGCFAVNWSDGEDFKSRTYDITINLVAELQLRKLEQYLSGNLSYTPRDILQGMDLFMKDNPSRHRISVGRCFYSKNPHKDFRFGAAAYKGFQQSLKPTEAGLALCLDYSVLALRKPMSVLNYLRNYFQIPKEDIFRYNHQAAHDALKGLKVTVNHRRTSQKFVIKKLTDRKTSEITFPLEDPEGKDPPRDVLLVEYFRDKYQREIQFKDFPSLDVGKGSKINYVPMEFCVLVEGQRFPKEELDKASAMFLKNMSLAQPNERKEATCEMVKAEDGPCGAITDNFGIKVDKNMTGVVGRVLPPPDLKLGGQSPLRVNDKCQWNLVGKSVVEGKALQRWALIDFSSKERNWLRVDEFVIGLRDRCSKLSINMEGPAEVHLTDMHELSEVGKVEKLLNFVVDAAKRKINGKLQMIVCVMTSKHNGYKYLKWVSETKIGVVTQCCLSTNANKGQDQYLANLCMKINAKLGGSNMELMQRLPNFRNGDNVMFIGADVNHPTSRDADKYPSIAAVVATINWPAANRYAARVCPQKHRTEKILEFGKMCADLVRTYEELNSVKPNKIVVFRDGVSGSQFDMVLNEELNDLVKDIYDRYKYKPEITLVVAQKRHHTRLFPREGNVPPGTVVDTQIVHPFDFDFYLCSHFGQLGTSKATHYHVLWDENGFNSDILQRLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGRMFQEVVMEMNASSSTTSPASFEQRFYNLQPDLQNIMFFDSKKREPMGRPDGGNTSDESVSLHANHFPVDFNDGTIILHYDVDVQKVDGDQPGKSVTDRFDLRKIREKWLMDKPAEFPCDKTAYDGIRNIYSAVDLPAKPLTVNCSVEDDAKEYKYILTFKLVAQLQLDNVTEYLRRSLQNIIPRDVLQGMDLVMKENPRRCRISVGRCFYSNSARTSFNGGVAARKGFQQSLKLTSEGLALCLDYSELLVIPEQIPVIEFLENYYGKNIDDIFKYTRAGASDLLVGLKVKVTHRPNKQKFVIKELLPGETRTVKFKLQDTGEEVLLVDYFDKNYTPKIKNRHLPSLNIGKGDKDNYVPMEFCDLVEGQRFPKDLLKTTSLEPKTRRDLIRETVLAKDGPRMTIPDNFKIRVDDNMTQISGRILPVPVLKLGGQNPPPNLNYKTCQWNLVGKSVVEGKALQRWALIDFSSKGCRDSLKLQVDEFVVKLKDRCTQLSINMDIPAVVHLTDMNELSTVGKVENLLKVVTDAAEKKLQGKLQMILCVMTSKHNGYKYLKWVSETKIGIVTQCCLSSNANKGHNQYIVNLCMKINAKLGGSNMELMERLPNFRSDDNVMFIGADVNHPAGKDADKYPSIAAVVATINWPAANKYAARVSPQKSRTEKIIEFGKMCKDLVLTYEKRNSVKPNKIVVFRDGVSDSQFDMVLNEELTDLANAIYESNKYQPAITLVVAQKRHHTRLFPKEGNVSPGTVVDTQIVHPSGFDFYLCSHYGQLGTSKATHYHVLYDDNGFISVDLQRLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGRMFQEVLMEMKSPRSTTSSSEASSSSSSPIDSFAQEFYDLHHDLKDI >Solyc02g021607.1.1 pep chromosome:SL3.0:2:23757281:23761838:1 gene:Solyc02g021607.1 transcript:Solyc02g021607.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGLSNAPTTFQDLMNFVFKAFLRKHVLVFFDDILIYHKNSEDHLRHLISVFEELLLQSGQKFTIKTDQKALKFLIEQNVDPTVCKQCTWQQNQLKNKRRLVVGKFHELRRQILSLWHSSSQGGLSGVEATLKRLLTLLLLEGH >Solyc03g120180.2.1 pep chromosome:SL3.0:3:70126974:70129210:-1 gene:Solyc03g120180.2 transcript:Solyc03g120180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNFLDWKSFEIKRNKFDVMEIHHSHLSSASLEDAQDYDISGLQSEEISAKQDDQVKSSANVASRKHDNFLSLFSLRDINSCILISENKRVICSVMISLLVVLSYAHIPLSIARSNSFVASRPLYILLLTDFTIVIARIVRKEVIPDEERAKDTERVKDFGQNLDGALSILEYGLVLYQTIRAIFIDCSFYLVIVICGLSLV >Solyc03g119950.1.1.1 pep chromosome:SL3.0:3:69959482:69962112:-1 gene:Solyc03g119950.1 transcript:Solyc03g119950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSAKTPTISLPLDPQNPQFSKLHSSKSLNFSHIFQKSHLFSLKKPHQNSILSSSSTSTPTTDPNSHLIQLCFHNQLEQAIVFLKSIKDLHGTIEEDTFVTLARLCEFKRASNEACEVFSCIHNCMTQLSLRLGNALLSMFVRLGNLGDAWYVFGKMEERDVFSWNVLIGGYAKNGYFDEALDLYQRMLWVGIRPDVYTFPCVLRTCGGLPDWRMGREIHAHVIRFSYDSEIDVVNALITMYVKCGDVCSARVLFDGMSKRDRISWNAMISGYFENGEFLEGLVLFSSMREFGFFPDLMTMTSVISACEALGDDRLGRALHGYVARMEFYSDVSAHNSLIQLYSAIGSWEEAEKIFDRIQCKDVVSWTAMISGYESNGFPEKAVKTYKMMELEGVMPDEITIASVLSACTSLGLLEMGVKLQHVAERRGLIAYVIVSNTLIDLFSKCNCIDKALEIFHRIPDKNVISWTSIILGLRINNRSLEALNFFREMKRHQDPNSVTLMSVLSACSRIGALMCGKEIHAYVLRNGMEFHGFLPNALLDFYVRCGRRAPALNLFHMQKEDVTAWNILLTGYAQRGLGALAIELFDGMISSRVKPDEITFISLLRACSRSGLVTEGLDYLNSMESKYCIVPNLKHYACVVDLLGRAGLVEDAYDFILSLPVKPDSAIWGALLNACRIHRQIELGELAARHILETDERGVGYYVLLCNFYSDNGRWDEVVRLRKIMIEKGLTIDPGCSWIEVKGNVHAFLSGDNLHPQSKEINAVLEGFYEKMEAARRSKSERHTVNEVKDSKAEIFCGHSERLAIGFGLINTAPGTPIWVTKNLYMCKSCHDTIKFISEVVRREIAVRDTEQFHHFKDGRCTCGDENYLGET >Solyc03g046580.1.1 pep chromosome:SL3.0:3:13196470:13198370:-1 gene:Solyc03g046580.1 transcript:Solyc03g046580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWFWMIFVFLGLVFCNLSPSEGRHISSHHPTALVVGKVFCDVCHYHQDLSKASHFISGAIVAVECANSRFYQEVKTNMHGEFSVHLPFKLNKHVIGCSARLISSSEPHCAEASTTTTTSFQLKSKKKGTHIFSAGFFTFKPVNQPKLCNQKPSTHSTNNKEVYPDHNSLTSTLNNNDPILTPSVSRFVTINQGYLPPLPGLPPIPQLPPLPQLPPLPPLVLFPPFFHAPPVSPPHSTFPPFFHEPRIPPPSTFPPFFHAPPIPPPNFHLPIMSPPPSIPPPITSPPTFDLIPLPPPPPPPSPFLLPPFPFSPMPGLPGVPPPSTSSSKKNNP >Solyc06g008630.3.1 pep chromosome:SL3.0:6:2522479:2522893:-1 gene:Solyc06g008630.3 transcript:Solyc06g008630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative anti-PCD protein [Source:UniProtKB/TrEMBL;Acc:Q0H8T9] MVTSKNLVTLFFVCIVMISSCVYVSMADGESSNAEKVEKAYKSASTDYITCYNDCQKDCSGGYTHCEMKCDEDCTAKLFKERIEKLKI >Solyc01g066950.1.1.1 pep chromosome:SL3.0:1:75050017:75050337:-1 gene:Solyc01g066950.1 transcript:Solyc01g066950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWKIKNGVVRLVENLGDFHGATGRRKVLVHLSSNEVITSYAVLERKLYSLGWERYYDDPDLLQYHKRSTVHLISLPNDFNNLRSMHMYDIVVKNRNEFAVRDM >Solyc04g007680.3.1 pep chromosome:SL3.0:4:1363054:1364091:1 gene:Solyc04g007680.3 transcript:Solyc04g007680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLIASLEVRGGGHLIFDIYHTNTHRVSNISPSIVNNFEIHEGETVKVGSIVSWNYNEDGQKKIVKQVIEAVDPDKKLIKWKVIRRYIRIV >Solyc09g009270.2.1.1 pep chromosome:SL3.0:9:2649889:2655415:-1 gene:Solyc09g009270.2 transcript:Solyc09g009270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPTPAAAIHSPSSPQYTQQFLSNVLSQRGPSSLPYSEDVKWLIRQHLVSLIDTCPSLQPRTATFTYNDGRTVNLLQANGTVPMVYLDATYNIPVIIWLLESYPRQSPLVFVNPTRNMVIKDSHPFVNSSGIVSIPYLKNWVYPSSNLVELARNLSHFFSRDPPLYTKRNPNPSPNPHPPPGTSFSNSGSTRPAIPPRVYPPSPYGSGSGGVVGRKMDDPSEVDKKNAIDELMLKLHSDIEGLGKARESDMEGLFNAQGVLRRREEELRKGVKEMLDEKEGLEQQLLMVLMNADVLEGWVRDNETKVKKIGNVDVDEAFELCDRLSKQMLDCTASDLAMEDVIYSLDKAIQDGAIPFDQYLRNVRLLSREQFIHRATASKVKAVQMQAQVSHMASRLSQYTLS >Solyc01g095600.3.1 pep chromosome:SL3.0:1:86689846:86693081:1 gene:Solyc01g095600.3 transcript:Solyc01g095600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGRLNMKSLLDCAKFQTSIIKSGLTPRIIDVNHLIHIYSKHFLVHDVQKVFDEMPERNVFSWNAIINTYVKDQNFAKARTLFNAAPLKDCVTYNTMLSGYVNSEGFQDEAVRLFAEMQFADKVSEYALTTMLGLVTKLSLLSYGRQLHSYMLKSGNSLSRYSLSSLIVMYSKCGCFRDAWRVFDGSVVGFVDTVSKNTMVAACFREGEVEMARDVFSTRPQLNDEVSWNTMISGFAQNGYEEEAIRLFRSMMEEGFKWNEHSFSSVISACSGVKNLKLGKEIHALILKEGMTLNPFLSSSFVNLYCKCGLLNYAHSVCTTTQKDNPFAVTPLIVGYSEAGNMLEARRLFDSLPDKNHVVWSAMISGYVKANQCEYAFQLFRELMTQKTTVPDELIFINLLGACAIHATFNYGQQIHACILRTGTAMNTKLANSLVDTYSKSGNVTYAQRVFQLSVDRDTILYNSMIAGYALHGYENEAIQLFSQMTEQGFQPDEVTFLALLSVCRHRGLVKIGEEYFFSMTKDHNISPGTDHYASMIDLYGRANQLDKAVNLMEKLPMEPDAVILGTFLNACKMNRNAELAKAAEDKLLQIEGGNGSRYAQLAGIYASEGKWNEMGRVMKMMRGREAKKTAGCSWVYVGDTVHSFISWDKSHSDNDAIYTILGCLIKELAKLSATIFLEEGLLIGIQELGTLTSWRHSSPLMRYIALSGFCLDFLWDDQWMWKLDRKGRYTVKSGYSELHNAITPISNGQFWWKIWKLKIPPKGRSSRPEIVYKRQPIFRKIGLKQEHQPPSFIAIGPDFATKWFPPGENIFKCNIDASWDLASGIIVSMLVQDSHGSFIRGCSSRLGRQ >Solyc02g079180.1.1 pep chromosome:SL3.0:2:44343707:44345042:-1 gene:Solyc02g079180.1 transcript:Solyc02g079180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEGGKGKGKMTEIDDIVEALGESYEGLEDVHIDISMLEYGDDNDDDNQCDNRSNEVNHGNAAAVAATPTTPRELFSSSSNRRNFQRRASPFVLKIYEMLADIQFKSLISWSNNGTSFIIHDNHKFAVDVLPRFFRHNNISSFVCQLNSYGFKKVSWDKFEFRHDCFQRGKGQWLRNIKRKISKSQMNEQSTERQAIDETVAFTMEKEIEEIRAEQVTMREEIMMLQRQLDVLEKEMEDINQAGSNMSSKKAKICMILFNSLFACTRGIDSTEVAEEQGGEVEDGVENSGKGNRGKKRKMLVVEELEGVNEGRKKGNPGKERKMQVIEELEGDNEGRKKIADAADFKTGSYLGRMLMDEMNLNNLDQEQPDNFLESEELAGSSTFWTDYVEKMDHKAIRGVDPALSQSQEIPL >Solyc02g091100.3.1 pep chromosome:SL3.0:2:53152518:53156307:-1 gene:Solyc02g091100.3 transcript:Solyc02g091100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSNHQTLSTVDGNTFVAMCLARAGVDRMFGVVGIPVTSLANRSVGLGIRFIAFHNEQSAGYAASAYGYLTGRPGILLTVSGPGCVHGLAGLSNATVNTWPMVLISGSSDQKEMGRGDFQELDQIEAVKPFSKYSAKATDITKIPSCVFSVLDWAVSGRPGGCYLDLPTDVLHQTISDTEAQKLIDDAESCRNKELIAKPIVQHSEIEKAAALLRKAERPLIVFGKGAAFSRAENALKNLVERTGIPFLPTPMGKGLLPDNHELAATAARSLAIGKCDVALIVGARLNWLLHFGEPPKWSKDVKFILVDVDKEEIELRKPCLGLVGDATKVVEMIHKEIKDDPFCLGKSHPWVEALSKKSKENVLKMEAQLAKDVVPFNFMTPMRIIRDAILQLGSPAPIVVSEGANTMDVGRSVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVASPERLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRNPEEITGPYKEDPAPTSFVPGASYHLLIEAFGGKGYLVGTPDELKSALTESFSARKPAVINVTIDPYAGAESGRMQHKN >Solyc01g068250.2.1.1 pep chromosome:SL3.0:1:77180008:77181381:-1 gene:Solyc01g068250.2 transcript:Solyc01g068250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKPKSFPLSSMASPPYTTPLLSESSNSIISTTSSESDESPQTSQRFQDFQLKISTTPISCFRSYKSLAVLSGHVGTVSCLALCGEFILSASQGKDIIVWQQPDLRQFTKFGTGDGSVKALITIGNKVFTAHQDSRIRVWKVSRRSENVFRLIDTLPTTKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLAVSNGYIYSGSWDKTLKVWRISDLKCLESIKAHDDAINGLVASKKNGVVYSASADGKIKAWKNICGTHSLKGILEGHKDVSLNSVVVCEDGVFVYGGGSDGYVVGWVGNKNLDSWRLICEVKAHEMAVLCMCLMVGEYLCSGSADKSICIWKREINGGLFKFGVIKGHEGPVKCLQASTNSVGGGFMLYSGSLDKSLRVWWIPKYGGVDSDEKKDSSFITN >Solyc03g019877.1.1 pep chromosome:SL3.0:3:62793818:62794846:-1 gene:Solyc03g019877.1 transcript:Solyc03g019877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVENDLKSSSSSELSYTESKIFTGLSIFLSPASLDLTGKVSISLLSAPDLPLGIACVLGITGELFPLDELGTFDFLDLTSTTLFSKSCCLLPRNQSHNVGLIQKIVWLQNPIKKEPKNRVKPQSNNLPWSKSDKLIPDPTLGFGLNTKWQRKQCR >Solyc02g092950.3.1 pep chromosome:SL3.0:2:54553325:54556365:1 gene:Solyc02g092950.3 transcript:Solyc02g092950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHIGMMDGAYFVGRSEILAWINSTLHLNLSKVEEACTGAVHCQLMDAAHPGLVPMHKVNFDAKNEYEMIQNYKVLQDVFTKLKITKHIEVSKLVKGRPLDNLEFMQWLKRYCDSVNGGNLHSYNPLERREGCKGAKEVNKRSAPSQNATKNASTASKHVSHNTRRNEVPHVSSTNQSGKISRPSSSGGSSTYSETERTAHEQQITELKLSVDSLEKERDFYFSKLRDIEILCQCPEIENLPVVDAVKRILYAMDDDASLVDTEAMVSEQHQQVETLSCISEEAEERLRVDTQKRKNIVNVDVDLAASNTLSPRQRISDASDVHCSGSLVTY >Solyc03g051900.3.1 pep chromosome:SL3.0:3:23312374:23351035:-1 gene:Solyc03g051900.3 transcript:Solyc03g051900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKSQSKKNLQSNGPIQLEEEVPDFPRGGASSLSRKELDEVRAEVDAEFEAEDRLLKKRKKQHKLQKTNTEDDLGSLFGGGINGKLPRFANRITLKNISPGMKLWGVVSEVNEKDIVVSLPGGLRGLVRASEALPPFVDDGAKLSEMDTNLLSSVYHAGQLVSCIVLHLDDDKKEVGKRKIWLSLRLSLLHKNLTLDIVQEGMILSAYVKSAEDHGYIIHFGLPSFSGFMPKESENVEVKNRSGQLVQGVVKRIDRTHKVVYLSSDPDVVSKCVTKDLKGISIDLLVPGMMVNASVRSTLENGIMLSFLTYFTGTADMFNLQQTFPSLNWKVDYPQNKKVNARILFIDPSTRAVGLTLNPHLVHNKAPPALIKVGDIFDQSKVIRIDRSLGLLLEIPSSPVPTPAYVNVSDVADKEVKKLEKSFKEGKLVRVRVLGFRRLEGLATGVLKTSAFEGSVFTHSDVKPGMIVKAKVIAVDSFGAIVQFSSGVKALCPLRHMSEFEIVKPRKKFQVGAELVFRVLGCKSKRITITHKKTLVKSKLEILGSYADATEGLTTHGWITKIENHGCFVRFYNGVQGFAPRSELGLDPGCEISSMYHVEQVVKCRVTSSNPTSRRINLSFTRTSSRVFSTELVKPGNVVSGVVERVTPDAIVLDVTSQGHFKGTVSPQHLADHSGHAALMKSALRPGYEFDQLLVLDVEGSNLILSAKHSLVTSAQQLPLDVNQVHLNSVLHGYVCNIIESGIFIRYLGRLTGFSPRNKATDDRRSSLSEVYQIGQSVRTNIIDVSSETSRITVSLKQSICCSTDASFIQEYFLVEEKIAKLQSVDSGSSDLRWVEQFNVGSTVKGKVHEIKEFGVVVSFQKYDDVFGFISHYQLSGLPVETGSSIRTAVLDVSRIERLVDLSLKPAFVNKSKKETTNGQAQKKRKMETLEELEVNQTVNAVVEIVKENYLVVSLPSYDNALGYASRADYNTQNLPPKSFTNGESVIATVMALPSPSTSGRLLLLLKSISEAIETSNSKRAKRKSGYNVGSLVQAEITEIRPIELRLKFGSSFHGRVHITEASDDNYAEAPFSNFRFGQTLTARIISKLNMSESVKRGYQWELSIKPSTLTGSDEIEPDKKISYSTGQLVSGFVYKVDKEWAWLTISRDVKAQLYILNSSSEPSELDEFQERFSVGRAFSGYVLRCNKEKKLVRIISHPLLVDPETACQGDGPTDHSSESVAFHIREGSVLGGRISKILPGVGGLLVQIDPHLYGKVHFTELTDPGVADPLSGYHEGQFVKCKVLEIAQSGKGTVHIDLSLRSISHKTQKEKLSAHNDTVNFPVLVEKIEDLRPNMMVQAYVKNVSPKGCFVILSRKVDAKVLLSNLSDGYVENIEKGFPVGKLVIGRVVSVEPLSKRVEITLRTSSAVGAPKSDKDALSNLTVGDVISGRIKRVEPYGLFITVDHTNLVCNSHFKAVGLCHVSEISDDHVDNIDSRHKAGDRVTAKILKVDKERHRISLGMKNSYINDATSGETYARPSSGHAVNGDALPIGIQSTSSPESSSQGREDLDDESVDGKDLFLAEVESRASIPPLEVPLDDTENLDMGDVVNQNSGGATTNFGTSDDKNQKHVAKKAKRLREQEIRAAEERLLEKDIPRDEDEFEKLVRSSPNSSFVWIKYMAFVLSLADVEKARSIAERALRTINVREELEKLNVWVAFFNLENEYGNPPEEAVAKVFQRALQYCDPKKVHLALLGMYERTEQHKLTDELLNKMVKKFKHSCKVWLRRTQWLLKQKQDGVQSVVNRALLSLPAHKHINFITQTAILEFKCGVPDRGRSLFEKMLREYPKRTDLWSVYLDQEIRLGNADVIRALFERAITLSLPPKKMKFLFKKYLEYEKMHGDDERMEVVKRKAMEYVESSLT >Solyc07g008770.3.1 pep chromosome:SL3.0:7:3751398:3755710:1 gene:Solyc07g008770.3 transcript:Solyc07g008770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFLSNPLTRTRILTRPVFSPPLLLTLRHRSNSNRSGGGSGDGGGEPPHPQLIEIDLDSSSSGSDGGVKKLEEVIHSVIVRRSAPDWLPFLPGSSYWVPPPQPYVKVGGIHSNRGDFDPHRILEVVGKMAAVKSHFGSASSLSASSLLSEDETRSVSRVRGWPSSSFFTGGPAFPTPVQVVEVEVKLEDNPDNDSKSEDEG >Solyc03g006565.1.1 pep chromosome:SL3.0:3:1129839:1130718:1 gene:Solyc03g006565.1 transcript:Solyc03g006565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDESRVSATHAELSALGLNTYLIRINLISSSLYKWAERTEETLKIYDGVMHSLLFAKTDENIEVVHRDILAWLNNRY >Solyc05g012800.1.1.1 pep chromosome:SL3.0:5:5965440:5966933:-1 gene:Solyc05g012800.1 transcript:Solyc05g012800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKASSFKVSSKLVNSHTKIQSCYLEQDLKGQKVAIVGLGKSGSAATKLALARGASVTAIDQNEISESTFHINNGLLDLKNADLKTFFGNFDDKILKEADVVVVSPGVPLERYGIQSMICSGKEVLSELDFAAQIIPSYTKVLAVTGTNGKSTVTTFAGQILNHLGFETFVGGNLGVPLSDAVFHSPDRRSLEVAVVEVSSYQLEIPPKYFCPSVAVILNLTPDHLERHHTMKNYAAIKCRIFSQMRGKKIGVVPLGNPYLDEAVMDHADGVSLAWIGGSPGVNVDVEAKIAELKLPTIDCLSQLQLSELKAVGKHNYTNAAVAALSVLGLDIEIKAEAFSSMISKLTTLPHRMQVVHVDDDGIVWVDDSKATNVEATYFGLIGFELKSVVLLGGVAKEVEMKGSNGFEQVVEPLRHHRGVITFGFSGKMIQRTLCANGLTIPCLNAETLKDAVRLARSIAQRGDAIVLSPGCASFDEFRNFEQRGRIFQELAISSE >Solyc02g065630.3.1 pep chromosome:SL3.0:2:37357247:37364095:-1 gene:Solyc02g065630.3 transcript:Solyc02g065630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKGRGPPPNIRRPPPGPGMMYPDSFGPPTHNPPPVDFPPFDRLPPPEILEQKIGAQHLEMQKLTTENQRLAATHVTLRRDLAAAQHELQMLHVQIETVKANREQETKGLSDKISRIEAELQAAESIKKELPQAQGEARTLFAARQELVTKIQMLTQDLQRAHADVLHIPRLLAELESLKKEYQQCREHFKDIRLIAYKRWRGERRTTYECERKLYSDHLESLQVMEKNYMTMSREVEKLRAELANTSNSDRQTGGPYGGSTGYNENDATNNYATGQNIYADGYGVYQGRGSVPTGTNAGGVPAVDSPQVGAQSVPPSNRPPYDTSNMSGYDAQRGIRGPVGHGYEAQMGSSGPGYDAQRGSGLAAYEAQRGHGYDRGPGYDAQRAAGYEAYRGPGYDAYGAPVYDPSKASNYDASSKGGVATQGQVAPIGNAPPGAAPSPGHIGPGYDASAQGGNPARR >Solyc09g018950.3.1 pep chromosome:SL3.0:9:17749328:17753153:1 gene:Solyc09g018950.3 transcript:Solyc09g018950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNLKMAGNEEERVQIRASLKAQSCFNNYPKWKEKLRENCYTRVREDRSRLLWKLRFANNQPHQDLVKSSLEDIVSDEIQKFKHSYQSETFDNSKFFLALDDSIWEYDGLHETYQGDCEEMLLEMQRIFYEDLRMEETKEQVSNETWEDEEDEYLACAVYEHMNLNEKAGKEVWCPICKQGELKEDCHHIYCSPCGLRLNRDDEVNLEVLRNRLDEAHSDHLDQGCRLKPKFCVENRFDLTALYITCQGCSMFEVVM >Solyc06g048710.2.1 pep chromosome:SL3.0:6:31613401:31616470:-1 gene:Solyc06g048710.2 transcript:Solyc06g048710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYIEKKFDAEQVIGDFELMTKDAGRIQEEILRKILKENEGTEYLKQWGLNGRTDVETFKACVPIVSHNDLDPYIQRIVDGDLSPILTGKPIQVISLSSGTTQGKPKFVPFNDVLVNSAMQIFKLSFAFRNSSKQFKTKGGLAAGTASTNVFRNAQFQKTVKTMAIPVCSPDEVTVGPDFQQSLYCHLMCGLIFRDEVLVVSSMFAHSIVHAFQSFEQIWEALVVDIREGDLSSRVTVPSIRLAMSKLLKPDPELAETIYIKCSSLSNWYGLIPELFPNTKYIHGVMTGSMEPYLKKLRHYAGELPLLSADYCSSEGCIGVNVNPKLPPEMVTYAVLPNIAYFEFLPLKENLIGMEQANSTVGLTEVKLGEEYEIVFTNFAGLYRFRLGDVVKIKGFHNGTPELQFVCRKDLLLSINIDKNTEKDLQLAVEAAGKHLVDEILEVVDFTSHVNISADPGHYVIFWELSGEATDKILQECCNCLDKSFLDAGYVSSRKVNAIGALELTIVKRGTFHKILDHFVGLGGAVSQFKTPRCVGPKNSSLIKILSNNVVKSYYSTAFC >Solyc11g071400.1.1 pep chromosome:SL3.0:11:55180446:55182138:1 gene:Solyc11g071400.1 transcript:Solyc11g071400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDSICVLYNLETLLLSSCFDLEELPLQMEKLISLRHLDISNTSRLKKPLHLSKLKSLQVLVGASNLIHSELRSAVIGKSSRWGYQRRVIKIIAPLILFHVSSASLFVLKMFQKHTNDVHMLT >Solyc02g068230.3.1 pep chromosome:SL3.0:2:38788978:38797082:-1 gene:Solyc02g068230.3 transcript:Solyc02g068230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:K4B7F5] MPEEDLTEVKFRLFDGSDVGPFQFSPASTVAMLKERIVAEWPKDKKIAPKAANDVKLISAGKILENNKTVGQCKTPFGELPNGVITMHAVVQPSVGKSKSEKKIDETPKKSVCACTIM >Solyc01g080363.1.1 pep chromosome:SL3.0:1:79446270:79453724:-1 gene:Solyc01g080363.1 transcript:Solyc01g080363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAKKNRKEDAEEIAIEDGKSEKLKVKKVIDKGNMDGIRIYAENTIRKRKEQMNYQHRESTEMSERMDSFERSMAGSTSLSTLEDPVSVGLPQAADHAISSEDEKKVNEVELSRHFAELKSRGGRGRGKLPGENNGVLIGEDPESSDTTRTRHFPTGDKLYCDLLVTPSSTFTAVFVAFTAFLRRRSVRRCRFEGII >Solyc07g065845.1.1 pep chromosome:SL3.0:7:67569773:67577969:1 gene:Solyc07g065845.1 transcript:Solyc07g065845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPPVFGSAAKPSDEYYQLFPICITPIGAHELPSDDQHIETDTAVASSYNGVDSAIATDSHESDPSLHGTHADEPLPNSHSAPLRKSTRQSKPPTWMQDYVSKSSGAHACIYPISAVLGYTKLSSKYQAYLAQIDGDLIQATKDHLQLSFKIKDLGDLKYFLGIEFARNKDGILMHQRKYVVELISDLGFTGAKPFQTPLEVNKKLTSFEFDQHMQDDTDHLLSDPGEYQRLIGRLLYLTITRPDIAFAVQYLSQYMHSPKVSHMVAATRVIKYVKQSPGLGVFMSAHVSSCLTAYCDADWAACIDTRKSVTGFLIKLGDSPISWKSKKQSTISRSSAEAEYRSLASTVAEVVWLTGLLKEIGVIFPGPELETEIIEAVAENELPVGGKATSNSGHRRGLRLDPIQILHIPQLL >Solyc11g044367.1.1.1 pep chromosome:SL3.0:11:33097339:33097803:-1 gene:Solyc11g044367.1 transcript:Solyc11g044367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPNDHRRVQMERMQNKSNLQVTFSKRRDGVFEKATELSTLCGADVFVVDFSPRNKQYSFRNPSVESIMNRFLEINPPTNTDVANPVIIAHQNANIDEINVNLNRLEISLEKGKNMDKHFTHQGKNLQLKLVPLTLKNCARSWKLQMKKFKEY >Solyc09g005500.3.1 pep chromosome:SL3.0:9:339409:340489:1 gene:Solyc09g005500.3 transcript:Solyc09g005500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLISEIEIKGCKDLFHEIFCEKPHHLPNIVPQTIQAVDLHEGDWGTVGSVVNWNYTIEGQEKVVKVVVDDINKEKRLVTFKAFEGHLIEEYKAFKATLHIENEGDNNLVIWTIEYEKQNEEIQEPFSYLQLATNLTKDVDTHHVNQ >Solyc10g045510.1.1.1 pep chromosome:SL3.0:10:34104683:34104841:-1 gene:Solyc10g045510.1 transcript:Solyc10g045510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYASALQNFTLSRPTLFGHVVNKAAEIAVESLKYNQHKYFVLLIITVSNG >Solyc12g008473.1.1 pep chromosome:SL3.0:12:1887038:1887577:1 gene:Solyc12g008473.1 transcript:Solyc12g008473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDLLYQSLRDNMENLREEFDRSDIIRDMIDKIRGIVVDESNNGELGKEGEVMCTPCSHVFHEDCIAKWLEKGNSCPICRHDLSNI >Solyc12g006680.2.1 pep chromosome:SL3.0:12:1143624:1145027:-1 gene:Solyc12g006680.2 transcript:Solyc12g006680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVSLDSIDQKLAYAKRCSHEGAKAGAKAAVFATVVTAIPTYACGKISPWARAHLNPTAKALIVSTAAGMAYFIVADKTILKTARQNSFKQQG >Solyc07g041300.2.1 pep chromosome:SL3.0:7:52356788:52361290:-1 gene:Solyc07g041300.2 transcript:Solyc07g041300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIENEIADSNAKNVEWFEESLGVDLKWSLAINSVLYKATTKFQDVTLLDTKHFGKVLVIDGKMQSAEKDEFIYHESLIHPALLLHDNPRRVFIMGGGEGSAAREALKHDNILKVVISDIDQEVVNICRKHLVANQEAFADSRLHVIINDAKVELEKSDEYNKYDVIVGDLSDPKEGGPCNHLYLKSFYQHIIKPKLNHNGIFVTQAGFAGVLSHQDFFSSVYNTAKQVFNHVIAYTAHVPSLADTRGWVLASDQPLKLDAEVINNRIKERIKGSELQFIDAAFMLAFTIMNKTLHITLMNETNVLTEENDKSLHGN >Solyc10g086605.1.1 pep chromosome:SL3.0:10:65500391:65501924:1 gene:Solyc10g086605.1 transcript:Solyc10g086605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLSEYRHKKLGQAPIDVIKKRA >Solyc09g083320.1.1.1 pep chromosome:SL3.0:9:69419861:69420367:-1 gene:Solyc09g083320.1 transcript:Solyc09g083320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:K4CVV8] MSSPPPDAGTVAAVTVRPWPLFIDIAALSLPISVSDATYRINKNLRYFAGNYALIVLSILLISLIVRPILLVLFLIIFVGWIYLYFSRNEPLELFGFDVDDKLVLGFLTLVTLVALLVAKIWMNIFVSIGFGIVIMCVHGSLRAPEDQEDSPYGALLSESPRGNYSIV >Solyc03g005770.2.1 pep chromosome:SL3.0:3:540999:543842:1 gene:Solyc03g005770.2 transcript:Solyc03g005770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTMALSSSTFAGKAVKLSPSSSEISGNGRITMRKTAAKPKPASSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFAVKLSPSSSEITGNGRVTMRKTATKAKPASSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAFATNFVPGK >Solyc10g083760.2.1 pep chromosome:SL3.0:10:63636332:63642888:-1 gene:Solyc10g083760.2 transcript:Solyc10g083760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRFTAVKSLNSCVRPEFTAMSSVIVPISTVKVSGTRKSKKKALICAKATEILSSPATVTEPLKAEPAEAPVPLLRVSPSSLQCEPGYLLPNSPVLGTGGVTGYEYLTNILSSKVYDVAYETPLQKAPKLSERLGVNVWLKREDLQPVFSFKIRGAYNMMAKLPKEQLEKGVICSSAGNHAQGVALSAQRLGCDAVIVMPVTTPDIKWKSVKRLGATVVLVGDSYDEAQAYAKKRAESEGRTFIPPFDHPDVIVGQGTVGMEINRQLKDNIHAIFVPVGGGGLIAGIAAYLKRVAPDIKIIGVEPLDANALALSLHHGQRVMLDQVGGFADGVAVKVVGEETYRLCEELIDGVVLVGRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGLKGENVVAITSGANMNFDRLRLVTELADVGRQREAVLATFMPEDPGSFKKFAEMVGPMNITEFKYRYNSDKERALVLYRQVICLPINLLCNLCVGLHTILELEGMVERMESADLQTINLTDNDLVKDHLRHLMGGRTNVHNELLCRFTFPEKPGALMKFLDAFSPRWNISLFHYRAQGDTGANVLVGIQVPPDEVVEFEGRADSLGYEYAMESLNEAYQLIMH >Solyc02g078740.3.1.1 pep chromosome:SL3.0:2:43960096:43967571:-1 gene:Solyc02g078740.3 transcript:Solyc02g078740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKVRQIVKGSTNISNCYTDREIVATSIVLLKIVEIFRPNLATRYSFHARSVSLCYRLFKV >Solyc11g064770.2.1 pep chromosome:SL3.0:11:50202432:50205548:-1 gene:Solyc11g064770.2 transcript:Solyc11g064770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLAIGGAFISSALNVLFDGLAPRGELLNMFQKHKNDVRILKKLRMTLLGLQAVLRDAENKQTSNQYVSQWLDEFRHAVDGAENLMEQVNYEALRIKVEGQHHNLAETSKKQQENFGSTKQETRTPSTSLVDDSDIFGRHKKIEDLIVRLLSEDANGKNQVIVPIVGLLQEIDLNIDDNLNPLQVKLKKSLKEKKFLVVLDYVWNDNYNEWDDLTNLFVQGDLGSKIIVTTRKKNVASMMGSGAINVGTLSSEVSWALFKRHSLENRDSEEHPKFEEVGRKIADKCKGLPLDLKAVGGILRCKSEVDEWRDILRSEIWKLPSCLNGILPALMLSYNDIPAYLKQCFAYCAIYPKDYPLCKDQVIHLWIANGLVQQFRSGTDGEFEKLKLLSKSQQLRRLLPVNTFLLYQLSKRVLHDILTRRTSLRALSLLGHEIVELPDELFIKLKLLRILYLSSTKIKKLPDSICVLYNLETLLLSHCYYLEELPLQMEKLINLHHLEISYSSLLKMPLHLSKLKSFHVLVGAKFLLGGSSMEDLKASFEGKDEGENHVDKFFWEWSGSSTADNSQTERAILDELRPHINIKKVEITGYRGTKFPNWLADHSFYKLVVLSLCHCKDCDSLPAQGQLLCLKFHSIKGMHGITEVKEEFYGSSASNRPFNSLEKLEFEDMPEWKQWHILGCGEFPALEKLSIKKCSELTGKLPENLCSLKELRISECPELSLDTSQLEGMKQIVELYIIGCNSLTSLPFSILPSTLMTIQITRCQKLKLEHPVGEMSYCNMFLEELSLIECDISTELLPRARKLSVSYCPKLTRFMIPTAPEVLTLMSCQNLEKLSVARGEAQITYLNIFGCRKLKWLPEGMQQLLPSLKTLELQYYAI >Solyc02g030560.1.1.1 pep chromosome:SL3.0:2:26872770:26872922:-1 gene:Solyc02g030560.1 transcript:Solyc02g030560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQKAVKQLVAAVAQTAALAASNTTAPGGINEPETNDMKHECINSLKLA >Solyc04g010110.3.1 pep chromosome:SL3.0:4:3400040:3406124:1 gene:Solyc04g010110.3 transcript:Solyc04g010110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BPG6] MAESIDSKLQIVMFPWLALGHIIPFLNLSNELAKKGHKISFLLPKNAQIKLQNLNLYPNLITFHTLKIPHIHGLPYGAETTADVPRSLETLLATAMDELYDEIKSFLQNLKPHFVFFDFAHWVTEIALEIGDINTICYKLTSPATSAISLIRSPEKSVFMASTAAEKVRPPPATEIGGIKTLCYKTVCPATSAYSLVRSPEKSVFMASTAAEKVRPPPGYPSTTVVLHEHEAKLLEFLFQEYGKGVTIYERLTKGMTLCDAIALKTCREIEGTFGDYIATQFKKPVLYTGPVLPDPEKGPLAEHGLSNWLEKFEPGSVVFCAFGSQLILEKKQFQELVLGLELTGLPFLVVLKPPEGTNSVEEALPEGFKERVQEKGLVLACWVPQLKILTHKSVGCFVSHCGYGSMWESLALCDCQLVLLPSANDQTLSARMMEQDLKVGVEVKKDENGWFSKESLCKAVKCVMDKDSQVGCLVKENHRKWKEVLSSPGFMSNYIDSFIQILYELLVK >Solyc04g081840.3.1.1 pep chromosome:SL3.0:4:65810674:65811857:1 gene:Solyc04g081840.3 transcript:Solyc04g081840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TENDDSGSLVNKSNVNEEYVQALRTKSYVELYNKVQEQLEDGKLNESSSSSLQLDTNLCQEALLKLPESCQLHPLIVNYFDITLEACRICELLLQNVQQTRANYRKIRRAIRLMTIEQESRHCYNVYRELASFASLNNSFSAVNQVQILDTREGHDLLLQKLSLQFRRVNKRMKILKSCKRVFGISIAIGYTGIMIALLVLVLHSMVGIVAVPGLIACSYKLFKKRSKVDREKSSSSLEILIAQLDVAAKGVYILINDFDTMSRLVRRLYDEIEHNRSVADMCARKKNADMLKEAIRNYSINKDCFMEKLKELEEHVFLCFLTINRSRRMVLQEMVSR >Solyc06g083640.3.1 pep chromosome:SL3.0:6:49024978:49032924:-1 gene:Solyc06g083640.3 transcript:Solyc06g083640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIKVPSLVDLCIYSIRDELIQVDDLNLSSVLYRLPTELFDQLLLQLPPLALQKLQQGKSVILDDHELLTDGVDNQRKRKRYLNFEEEWKNLYEARWPAFGWRSKNFRSQSSARSANQKEVECESANDWQQMYWEAHLQKNSCLDTAAELTLFPSFHGRISEIEVPGEASVDSPVTIPSSLIIANNLDRMPGVISSEDNEPHDRNIIKCHMQINVPIILSHHLEHCICEVSLKVETGVLSSAVLHLRLPNVLCVEETCLLLRNARLESLELQWIKSYMHVEGLCKLISQNYRSLKSIKFMHCKFTVSSLDAICDLLCMHNPQALGMQHFSIKTSNFLENNFQSSPAGLISLLSSGRSLSSLCLSDNHLPRHFARKVFDTLVDASSGITVLDLSENNIAGWLSHFKYKNRSSTDGTYNSLKSLKELNLRNCNLQMDDVDCLRYALVHVPNLEQLDLSDNPIETSGIRCLIPYFKETSYKYFPLVELKLESCELTCFGVCELLEALSSLRKPLNFLSIGGNSLGSEIGTALGKFLCCGIQALDIEDIGLGSTGFMRAGQAIVKETKLHSINISKNRGGIETARFLSKLFSHAPDLSTVNAKYNFMPKESLSILSSAVKAAKGKLEHLDLRGNIICGQQVHLSELAEFQTNGFSILELSSSPALNEPYDDDP >Solyc12g096540.2.1 pep chromosome:SL3.0:12:66396121:66398592:-1 gene:Solyc12g096540.2 transcript:Solyc12g096540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPEKNRREISKYLFQEGVCFAKKDYNLAKHPNIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRAGPRGPPGEFGGEKGGAPADYQPAFRGGGGRPGFGRGAGGFGGAPPSSSS >Solyc08g081370.1.1.1 pep chromosome:SL3.0:8:64551084:64551581:-1 gene:Solyc08g081370.1 transcript:Solyc08g081370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPVGYTDLFLPKLLVHLLTVLGFVRKFICTIFTVFGLGDFLEPEMSFPTRPESHSELHSVSAALIRELLPVVKFSELVDPPESCAVCLYEFDGEDEIRRLTNCRHIFHRSCLDRWMDHDQKTCPLCRTPFIPDDMQESFNERLWLASGISDFYGEYSPVAAGL >Solyc03g113960.3.1 pep chromosome:SL3.0:3:65494648:65499470:1 gene:Solyc03g113960.3 transcript:Solyc03g113960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEQGNSIETTNSISTPHFTISRQIVTTFPPALCSTFPVRDEFNSDLEEILTDQNRINSEKKLDPYEWRCLCLRFSQEVAPVIFTGECIFSKGTKLEMVDYVTGQQVRHGPLASAQVEIFVHNDDELNSHMKIQTRGDQNSYLRLEGGVVSVNKIIFKYSTNHMKKSTGVKLRARVVDQPQVIEAVTGPFTLKDKRSKSKKRYPPSPTDHVWRLDHIYKNGPFHKRMNENGIKTVEDFLVELQNNPQRLRHILGKSMYENCWKKVTMHAKTCNLDGRKYHHLGNLVAVDECQSLELENTSGAAESNQWLESAESYAIVDRIYETDETSLDITSVISESEYWEKIMQFLDS >Solyc09g059914.1.1 pep chromosome:SL3.0:9:57081099:57082010:-1 gene:Solyc09g059914.1 transcript:Solyc09g059914.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEELRKQLKELLEAGHIRPYKHPMERRCYFRKRKMDLFDRLGEAKYFTKMDLRKGYYQVRIAEGDEPKTACITRYGAFEWLVMPFGLTNAPTTFCTLMNEILHPYFDQFVVVYLDDIVVYSSTLQEHVEYLKKVFNVLRKNQLYVKREKCEPSRSIRMLPILPLGES >Solyc02g077575.1.1 pep chromosome:SL3.0:2:43037913:43040567:1 gene:Solyc02g077575.1 transcript:Solyc02g077575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISNKDVRVCLLRQFYGSVYKVDYLTLRHGFIMAHFAEGTEFDFHKYIRRALDKDFQVVVAISPWIWTFSMLFIFFNANVFHSTYWLPFIPLAMLVAVGTKLQGIITKMCLDSNYKSSVIRGNLVVKPEDQFFWFGKPKLLLLLMHFILFQNSFQLAFFAWTTYNYGLNSCFHHKTVDIVTRLVMGVLVHFLCGYVILPLYALLTQMGTKIKSSVLTDEMISRLKRWQEKAKRKLAKRSNYLLAQNLLSLNISPSFETSLDVTYLSTDTENDGEIVDDQRQIQQHTEFGSFGGFHLSKSRAAQH >Solyc01g087400.3.1 pep chromosome:SL3.0:1:82223696:82229905:-1 gene:Solyc01g087400.3 transcript:Solyc01g087400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLLNQDLHQLGLPLQRLGEISKCNKVCHSLSIEVSNLVIVHDFFPFSFGGASMVLDTEGAIGALRSWGECTLSLHDGDEKYLQFQVDDLPYSCPTCRGYSYKSINLSNAVQELWKRRDVADRDLIASLRAGAGLPVDDEIFSISSFSEDENNAPPVKNKHKQSLKLSLKGIVDKSPQMSKERGKDSCNEKGLAGQNEGHPDAPSGGCSAGDVKNDELQAYGEVNSFSSPVGSLTEGVMKRKFTDDVTGNMGKRKVQRNNSKPQHLDGDDVGIQTSMPKTSKGPNGNEERVQLRENENSERDDTATKLGGGTVTFLVALISVSKESNLIKIKKVERRSLNLVGEQNAEFDVLMDALWHKPEGIEDLVQLRENEDSERDDTADKFGGGNGHKVNHMDQIKGQNHRGKESNLMKIKKVSPEGTNFPAEVGGKFADGSGPYPPLKTFGILGKRSNDGSVITRARVEADATRDNKLTSVKHAEAEPASFDDLIDKKNSTPSVSNSARKDPKPLLKLKNPCHESQNAWASPGEEHKSVVKGQRSKRKRAPAFGEKSSTWADENLSQQYEDNTMDEFLDANWILQKLGKDAKGKRVEIHHSSDNTWHIGTVVEVFEGSPVVSVAFDDGKKENVELGKQGIRFVSLKSKNIDC >Solyc04g011967.1.1 pep chromosome:SL3.0:4:4332705:4335193:-1 gene:Solyc04g011967.1 transcript:Solyc04g011967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDSTIDSASTRKSSRHTKPPIWMKDYMTTAVGQNSFIQSSYDYSLFTLKKPEGMVIVLIYVDDLLITGDNELLIREAKEALHQKFKLKDLGELKYFLGIEVLRSRTGVILNQRKYILELISDTGLSGAKPVNTPLETNLRLTSVEFDQTAGLQGDDVLTDNSAYQRLVGKLMYATITRPDISYAVQTLSQFMQHPKRSHWEAAIMVVRYLKGTVGQGIWLKAQPATTLTCWCDSDWAACPNTRRSVTGYIVKFGDSLVSWKSKKQ >Solyc09g031910.2.1 pep chromosome:SL3.0:9:27810754:27813873:-1 gene:Solyc09g031910.2 transcript:Solyc09g031910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSKLVRCGLWSVQSSFACHMPEAGFTWVFRCEEDGKKDDSRQSLFLCVCPMKFRLISCHFNVVCPIVPNENRRSQIQKRTGS >Solyc05g005325.1.1 pep chromosome:SL3.0:5:256902:261417:-1 gene:Solyc05g005325.1 transcript:Solyc05g005325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLSQHKYFIIRSSLCQYRNNFIDRGWLKDDSFIYLVLYVDDMLIAAKKKYDIQKLRGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEQKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc11g011508.1.1 pep chromosome:SL3.0:11:4569243:4580183:1 gene:Solyc11g011508.1 transcript:Solyc11g011508.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPCKEAVRTSILSKKWRYHWCRITELNLDSRLWETKMDKLYPTVKFTKIIYQILSLHEGPITKFSLDIAALKSCPNIDNFIHFLSRNDIQQLVLELPWGKMYNLPFSLFTFSLLSHLTIHNCVIHSPSDFQGFDKLISLKLCDVTIPPELLGSLISQCPLLDNLELEISEDSLSDVIEINAPKLRSFDFTGNITFICLMNVPLLAEVSLSLYQGSSMEADNFFFAMFFESCTALERLFLHFNGSEIEPEDDDEVAMRLPFDVNCVKYLYLRFLILEESYDLSHVLCCIRSFPYLEYLEIQLPVKYVMMFLRL >Solyc11g072210.1.1.1 pep chromosome:SL3.0:11:55729205:55730647:1 gene:Solyc11g072210.1 transcript:Solyc11g072210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDDQENNGSCEKGLSSSNLKVKINLKLKKDKDESRGDEEKNMLLMKQKKDHICCECGKEFSSGKALGGHMSSAHVQANQRLEESLKKKKSLIKRSRFDDDDDDDDEEEVVLEEEEEMGGVVVSCEICHKNFPSKKSLFGHMRCHPDREWRGMKPPGKKISKSKGFGEARFEDVNEGFEDRFASLRDEEEEDGGGGRGVRFNVVELPPLKDWGAKDRRGRSPLKRSVSSTIMDDDKELHDAVQQLINLVNGNVNNNNNNNKNRSEVMMSSSNSVGNAPKEAAFRDLKDKSKKKGVVIDDTKEKNREETKKRKREKEKELVKFDPGQDLVPSPVLKKSVEVKYKCNVCGKMFATHQALGGHRSSHNKLKISIENTIDEIKGRNDEENNNNTQGDGQLGNQEITNYGNIIINDQYGSNNNNNNVHKCKFCDKIFPTGQALGGHQRSHLTNNQEESSSQNASKVLDFDLNELPHLDDDTSL >Solyc08g065720.2.1 pep chromosome:SL3.0:8:54072940:54078480:1 gene:Solyc08g065720.2 transcript:Solyc08g065720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVDVCHAYQVLKAGGLKEENIIVFMYDDIANNTKNPRPGVIINNRQGHDVYKGVPKDYVGDDVNAKNLFNVILANKSGVVGGSAMPSGELIYADELFNMLKKKHASGTYDRLVIYLEACESGSMFDGILPKGLNIYAMTASKPDENSFATYCGDGTPDHPCFGPCSLPEFKVAKRTAANLTYGSHVMQYGDLMVSFDPLATYMGENFKNHSDDSVDAKSFSTSSSRNVDQRNSELFYLFTKHQKALEGSDEKYEALVKLNKVKSQRSQVDNNVKHLGELLFGVEKGNEVLNNVRPAGKPLVDNWDCLKSYVKIFEAHCGRLTTYGRRHVRGIANICNAEITNEKMHQNAPEDSYEKYEALVKLNEVMSQRSQVDKNVKHLGELLLGVEKGNEVLNSVRTVGQPLVDNRDCFKSYVRI >Solyc03g097450.3.1 pep chromosome:SL3.0:3:61206723:61217787:-1 gene:Solyc03g097450.3 transcript:Solyc03g097450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILHGKIAALLLYRHSTNAEEVLTMDASRLYKSSNELAHDLYTIPSYTSWFSWQSIHEVERLSLREFFDCSSITRTPRIYKEYRDYIITSYRQDPTRRLSFSDIRKSLVGDISVLHKVFTFLEKWGLINFDPSNAETPAAIHAPAEEDKEDEKWRIRVEEGTPHGVRVVAAPHSLKPLAPVPSPVITGHRGAGKGRGGGTVDNIPKFSPMASYLDVYGELVGQQKEESVVCLSCKELCASGHYEYSKDASSNLCEKCFTSGNYDKNKFADEFKPIDGANPKVNWTEAETLLLLESVLKHGDDWDLVTQNVKTKSKLDCISKLIQLPFGDLMLGSIHKKFNFLDKNREVRGVNQAQPAISESRETLGNQSHEQNQERQQNGNAECETPPLKKIRRAPVSEDSSFLMKQVAHISGAVGPHIAASAAEAAVTALCYENQCSTDIFDGDDNGLVSIADFSETERTSQVVGAEGEEKHVRSETEVEASQRNSISLTLRTRAATATAIGAAAAHAKLLANQEEREIEYLVSTLVEAQVKKLKRKMKHVEALNLMMEKQHGQMKDLEESLVTERMDILQKIFSSGVSRWRDHASVKSQSSTSSI >Solyc09g075740.1.1.1 pep chromosome:SL3.0:9:67779973:67780689:-1 gene:Solyc09g075740.1 transcript:Solyc09g075740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEGTLISEEPTSSPRISFSSEFLDERNFISITPNAQEEKERKDQQDRSTRSAAEFEFLSSKLTNENMITADELFFEGKLRPYWQMRYAEKLNKINLKADDEILNNTTVIKSKEETTTRPINWFIDEDPSPRPPKCTVLWKELLRLKQKRASSLSPSSSTSSSSSSSSISFADKEKGKGQSMKEKHVKRIKKGLERCKSETLRVRPVIHVPICSQGKNSALPPLFSLKKKGRAIER >Solyc06g030620.2.1 pep chromosome:SL3.0:6:20202156:20203089:1 gene:Solyc06g030620.2 transcript:Solyc06g030620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFKHLPSGQERSVCPCVSKRWLMLLRNILMDEIAECNCIESEGYLVRSIFGRDAKDVKLAAITIETPNHGELENLYIQGNNPCRGVIDAGLATIAPGFPTLIDLSLSIVSFIVGKGLSEIVYGLHILEKLDIFQCQIITDKYLLDFSKNCPNMNSLTTDSCSNIWNYSLKFVAGNTTIDLVGGMFRKLTHIELSGLLRITDEGIIPIVQNCAANFVVSFNNS >Solyc01g107060.2.1.1 pep chromosome:SL3.0:1:94610537:94611505:1 gene:Solyc01g107060.2 transcript:Solyc01g107060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTVTPSAIAWLNCTPTLLQLTKKPVVPLFSSWAWAASRRPQNGGKKSKVRDSDTLR >Solyc06g065990.1.1.1 pep chromosome:SL3.0:6:41476506:41477177:-1 gene:Solyc06g065990.1 transcript:Solyc06g065990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMIMSSSKALITSSSSTIPSSPRFKLSLTQIPFPKLPLPRSPKSLETLSIPSTLKSISVILASSLAIAPPSLAEEIEKASLFDFNLTLPTMMAEFLFLMFALDKIYFSPLGKFMDERDSAIKEKLSSVKDTSTEVKQLEDQAAAIMKAARAEISAALNKMKKETQQEVEQKIAEGRKKVEAELLEALASLENQKEETMKSLDSQIAALSDEIVKKVLPASN >Solyc09g011570.3.1 pep chromosome:SL3.0:9:4857735:4866413:1 gene:Solyc09g011570.3 transcript:Solyc09g011570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKLLGLWYSPFSHRVEWALKFKGVQYEFIEQDLQNKSPILLESNPIYKKVPVLIHNGKPICESIVILEYIDEVFEGPSILPKDPYNRALARFWVKFFEDKGPSMRKSILLKGEEQEKAKEEVFEMLRILDNELKGKKFFVGDKFGFVDIVANAGALWLGVLEEVSGVVLVTKEKFPNFCVWRDEYCTQNKEYLPSRDELLIHIKLLGLWYSPFSKRVEWALKTKGVEYEYIEDDLQNKSLLLLQSNPIHKKVPVLIHNGKPICESSVILEYIDETFEGPSILPKDPYDRALAPLWFGVLEEVIGVVSVTSEKFPNFCDWRDEYYIQNKEYLPSRDELFAHYQAYIQRTIHQGSQKKN >Solyc04g072265.1.1 pep chromosome:SL3.0:4:59360753:59386026:-1 gene:Solyc04g072265.1 transcript:Solyc04g072265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGSFRVDYELQRFLTRCPELASLSQFDYLLNKGDKVTEEEVVNAVGEIVIHPKYTIPLIGCFRPLARRIVDRAVSLLSLVPNLRCNNDEGEDLMEVDQEDDRGEVEDLDIEETIHIIDVYAKRGKGLKLHELACLAFCRAYDLVRSLLRSVLGYFEFAPPPFERIRQRKSVIEAVVLDGGGLLNAIRVSYRLLLAEPEVFSTMWDWSCLLDNISQFHDFYLGKNEEPKRSAHDIIWCGIRILSMLLKLNDRATANFNLCSQEAYSCLLRWEEYCQDVALEKAAWYLESSRENNFDLNGGSMGFKQCRSLQSSPFDSLIPSLENGLLKSGDKKVTWECGKPFILTSAMQKGYEMVFLAFSQRWPVLLYGPAGAGKTALISKLAELHGGRVLFLHMDEQVDGKMLVGTYVCTEQPGEFRWQPGSLTQAVSNGFWVVFEDVDKAPPDVQCILLPLLEGATSFFTGHGEGIRVHEGFRLFSTMTSTKLDISMEGKSSVSALWRRVMIAPSSHQDLLKIVNKWYPELESLAAELIGTFDRVNELVGCHFGNGAFLGSHGRFSLRDLLKWCKRIAGLGFHFGGDGLSVYARENIYKEAVDIFAAFSTAEKRLAIVKEIAKMWSVGSAETLYPINRPVVQELASELRIGRVVLKRSHRVTWEEKKRFVEIRNLIHVLERIACSVKYNEPVLLVGETGTGKTTLVQSLASRLGQKLTVLNLSQQSDITDLLGGFKPIDAQFICIPLYKEFENLFTTTFSSKENGDFLVRLRKFVSERNWKMLLGGFQKGVRKIIEIGRSGSGTKRKRPLGDELIKAWETFSLKLDKARLQIGATGGMIFSFVEGAFISALKNGEWILLDEVNLAPPETLQRVIGVLEEETGSLCLTERGDVDYVNRHPNFRIFACMNPATDAGKRDLPVSLRSRFTEYFVDDLLDDEDLSLFISQFIDEDHSNRELVSKIVQFYKAAKKQSDDKLQDGANQKPQYSLRSLYRALEYTKKAKRTFGLAKALYDGFCMFFLIALDEPSAKLMNQLITTYLLEGKIPPQISFDAYLLDRGNSGSDDLTESYVLTKSVKEHIRNLARAIFVGRYPVLLQGPTSSGKTSLVQYLAAITGHEFVRINNHEHTDLQEYLGSYVTDANGKLVFHEGALVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFVPELCETVRAHPNFMLFATQNPPTLYGGRKILSRAFRNRFVEIHVDEIPEDELSTILTNRCEIPESYSRKMIAVMKELQLHRQSTKIFAGKHGFITPRDLFRWANRFREFGKSYEDLARDGYYLMAERLRDNDEKKVVQAVLEQQLRVRLAEDDMYKQEGGGRDKILEVIKHSGVAGQLNKIVWTRSMWRLYFLVERCYKLREPVLLVGETGGGKTTVCQLLSIILGSKLHILNCHQYTETSDFLGGFYPVRERSKICTDFKHLCEKLMHSKAIVNYPGDTVISSDINHASSTLHKLSVILCSYRQSLVCHPDVTSQDVDYIGQLNLDLVQLCQKWQTIFMWQDGPLVEAMKNGELFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGCDLQKITAHPNFFLLATMNPGGDFGKKELSPALRNRFTEIWVPPITELDELNSIALESISNTSFSVLVDLMMKFWEWFNNLQTGRALTVRDLLSWVSFINVTERILQPESAFLHGAFLVLLDGLSLGTNISRTDAAGLREKCLSFLLDGLKELNLSFDRSNISMLVPYGWADLGRSAVVECSDTMQCDNRFGIPPFYIEKGGNCFAGEKFEFLAPTTRRNALRVLRAMQLAKPVLLEGSPGVGKTSLIVALGKFSGHTVVRINLSEQTDIMDLFGSDLPVEGDEGMQFAWSDGILLQALKQGSWVLLDELNLASQSVLEGLNAILDHRAEVFIPELGRTFKCPPSFRVFACQNPSNQGGGRKGLPKSFLNRFMKVYVDELVEDDYLAISSSLYPTISRSLLSNLVLFNKRLHEEIMLLHKFAQEGSPWEFNLRDVIRSCEIIKDAPSISESDCFLNPVYVQRMRTAVDRLEVLKLYEQVFKMKPSINPHPRVQLNTKYLIVGNVSIERNPYLSPGVSNSDLKILPGFRNSLEAVAQCVKKQWLCILVGPASSGKTSLIRLLAQLTGNVLNELNLSSATDISELLGSFEQHNAVRKYRLAITWIESFINEYCGLQLESSCKEFMMRNELFILWLSFLSSIKHDPPTSSCSSYVDTWRTKYFESASTLVNIIEHLKLVVEETSLPLSWSMKDLDSTLAMIKKFEEGHSKRTHSSKFEWVTGMLVKAIENGEWIVLDNANLCNPTVLDRINSLVEQSGSITINECGTVEGKPVILHPHPKFRMFLTVNPLNGEVSRAMRNRGVEIFMMEPDWLFDDKCTEIEIELENAKRYIILSGVPSGKLVDLMANAHMTAKVEGALLKIRITLLELARWVQLFQQLLTNGNQLSWSLQTSWQHTYVSLFGVDGGKSLVDQAGFPISLIPKFQDFNSSQAGLLSLPGGWPAPLKLRDYLIYSKETCIRQNCMYLEFLGAQTACYSTSAALRNALAPTSVVSSLVMDTRLLHALMFPKNASCQADVCGGAKELNLDLAREMLLYAANWVFEQATESDYKLYLLWFSHVGSLLQQYSSFFSFYSSILAKELEHPIWNQIFSCRHEIVSHYFVNLDTCPIPLLSVEVVDLAPADNVLKSCSFLVNAIKSVRLLRCSHLQWSSEIGYKYSSETQFFKPVLRSLQELEKNILEMFVQSPSFDVLFQLYSNLLEHHTLLWTGIVTSQNECLLISWRSLMKEVSRLSDFFPKEVETFQRDVEILDKFSKKWPSQLQKSLLWVHGGHPYLPPSAELYEKLCQLLNFCERLWPGKRKIRELATDDVITEAALYSNPELRLLAMQGVSMSSFVMAKVDENGIRPVEQLEEMYQMLSRRFDFEKEKVEENIRSINQAPRTSILPACCVFLPDMFCQRSSFDCWLETLPIADDASFFLDTRLLQNLSTFALTVEEEQCQNLSPIALTDDEEQCQNVSPIALTDGDKQRQALAGLIKSAMDFSLNFSSRSPTDFSPHQKILWTLDAWRSMDRGHLFLCSNSE >Solyc10g074490.2.1 pep chromosome:SL3.0:10:58104976:58110234:-1 gene:Solyc10g074490.2 transcript:Solyc10g074490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRKHHRETTVFLGFPIILLYRRRSNIFQLVPLITALSVVVLILFVLISYISPPIDSRHDQFISLFYNGTEPRRNLLEEQVIQEPVEGGRLSRDIWNSKKSNLYHGCSIASDEFPAAEVNTLPNRYLLIATSGGLNQQRTGIIDAVVAAHILNAVLVIPKLDKQSYWKDSRFLLDMIYTWMHFIINPFFRLVISFFGSNHSFFCSDFSEIFDVNWFISYLSKDVKIVKDLPRMGNELIIPHTTRVPRKCNAECYQTRIRPILNKKHAVQLTKFDYRLSNHLDTELQKLRCRVNYHALKFTDPIIEMGKKLVERIRKKSQHFLALHLRFESDMLAFSGCYYGGGDKERRELGIIRKRWKALRVCHKAIIVTGNIEYVDPTTYLNKMLFLWHKMQVTNPDKERKNGKCPLTPGEVGLMLRALGFGNDVHIYIASGEIYGGEETLAPLKAFFPNFYTKETLASKEELAPFSSFSSRMAALDFIVCDESDVFVSNNNGNMARMLAGRRRYFGHKPTIRPNAKKLYKMFTDRNNMTWEEFSSQVQKQQIGFMGDPMEVKPGRGEFHENPSACICEDTNVKAREDVTIPRSPAMIFEEDTDSADDGTRKSSGEVTDRHIIEDEQYWFDTDYMENEIGRIQSIGGATISLR >Solyc11g021070.2.1.1 pep chromosome:SL3.0:11:13383658:13384208:1 gene:Solyc11g021070.2 transcript:Solyc11g021070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSGILWRILQKKLCLPQWNLISEISSKCLHNLLLSEEMIHRNNESPLISTHLRSPNAREFLYSIFFLLLVAGYLICTQLLFVSRASIELQTEFEG >Solyc10g046937.1.1 pep chromosome:SL3.0:10:38433652:38440449:-1 gene:Solyc10g046937.1 transcript:Solyc10g046937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGLEKCTTQPTPMAVSLSTNGADTLFADITNFRILIGALQYIFGTLGRGLLIRPGDLGLRGFSYSDCANDKNDRKSTSGFLIFLGRTLSPGVQKNKPKVSRSSTEAEYRALALLAAETMPN >Solyc03g025810.3.1 pep chromosome:SL3.0:3:3233390:3235734:-1 gene:Solyc03g025810.3 transcript:Solyc03g025810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAEVHGGPYAIRSKDIPKEEVVSMGNLENPTSPKEDRYDSKMKNEDVHRPILQRQDEFARPPGIHFPEERTKGIDDYVGSVGKHEHQGLGTAPIGVVDHHTAAETKGFDHHVTAPGAQGHQRLQGHENIIGAPIGLVDHHAAASHRPITTAAETHETKGFDHHVTAPGAQGHQGLQGTENIIGAPTGLANPHASHLHEQMHMPPVVTDTGARGRGELNDQGLQGHKFKVLTGLEEDPNVPKDYPNPTNYQSKVTDPTGANNEEAGVSPLVQSFEKMGVNDVPEATRMRTEPKAGDFQFDHGTERRQYTGSHDQFAPQESPTVFPSVGEDTESIPKSMNPSNPQDLPQDTLTGKPGSYTETLSSATSAIADKAVAAKNIVASKLGYGGTEEETRVTGGDATKTTSATEYAQKAASTVAEKLAPVYEKVAGAGSTVMAKVTGHENRGGVDAEHEVRTDKGVSMTEYLAEKLKPGEEDRALSEVISDSLSIQKEKTEETEEAKPMGKVTESVEVERRLGPIEPKKKEEVVGSSGETKVGENLGQGVMDRVKGAVSTWLGKGAEAQANDSAASGGAVVGGGVE >Solyc04g053040.3.1 pep chromosome:SL3.0:4:51278231:51280320:1 gene:Solyc04g053040.3 transcript:Solyc04g053040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKFFSMSFSHFLFFLAICFTVFICVLMMFSILSEMNKENNTIIFKNKRFSLEDESINLWSEKSICCACIKELKERLEKLYTEFDQLQMEYEKLTNFVK >Solyc10g084910.2.1 pep chromosome:SL3.0:10:64394509:64396164:-1 gene:Solyc10g084910.2 transcript:Solyc10g084910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFNQIIPWSSEELLGLGHVNKFYRCSFCKRGFSNAQALGGHMNIHRRDRARLREFSSDQNLLSLDINNSVYPPPPPGNDDLLQRDNEGIISSPSKRPCVMVSCDHNPPPLIPPHDVLLQQEESSYGEKTISRPSKRPCVMVSSNDETIISSYSKRLCVTYDEENDHLITSKVNHYDHNQEVIIGDHLQLPLFKETPLVKEASNCMENGKLENKGMEQNHVSILDLELRLGMDSTDTDPRFELHKL >Solyc01g104140.3.1 pep chromosome:SL3.0:1:92502917:92511574:1 gene:Solyc01g104140.3 transcript:Solyc01g104140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4B1Y9] MEDSGSITEMVLSNGDAYVGSFKGVFPHGKGKYTWSDGSVYDGCWEEGKMSGEGRFVWPSGASYEGDFSGGYLHGFGVFSGSDGSVYRGVWRMNTQHGIGRKQYQNSDIYDGCWKEGVREGSGRYAWSNGNMYIGSWKDGKMCGRGVMKWFDGDLFDGCWLNGLRHGSGCYRFADGSYYFGVWTKGLKDGHGMFYPAGSKHRFLRKCSDRSDEKRKRRLSHISSSNSESVKPKVKRSFSERISSSLLRSSGSISQRTTSLGEDIALGDSSRDIMTCDSSSVLSQSSLDDRTEFLETGSVAYEREYTQGVLIKERIVNISKLSRKSKQRCKFHAKEVKRKSCVDFLEGRKSYYLMLNLQLGIRYSVGKITPVPIREVRQSDFGDQARIKMYFPRKGSQFTPSHHSLDFYWKDYCPMVFRYLRELFKLDAADYMMSICGDDGLRELSSPGKSGSLFYLSRDDRFVIKTLKKSELKALLKMLPDYYKHVKEHDNTLITKVFGVHRISLKRGKKVRFVVMGNMFCTELRIHRRYDLKGSSHGRLTKKDRVDETTTLKDLDLTYEFHMDKLLREALFKQLSLDCRFLESQNIIDYSLLLGLHFRAPENLMSLLEPPDSLHKVETDPDHVEGGISQGELSIPPRGLLLVTHEPSSVNVTPGPHIRGSTLRAMSVGDQEVDLLLPGTGRLRVQLGVNMPAQANQKVMQDEAASVQVELFEVYDVVLYLGIIDILQEYNMKKKLEHTYKSLKFDPMSISAIEPKIYSKRFLSFLEKVFPVEP >Solyc01g098010.3.1 pep chromosome:SL3.0:1:88513966:88517694:-1 gene:Solyc01g098010.3 transcript:Solyc01g098010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLILEEFPEIVRLQALSNAEHKASHRVLEKAGFTKDGTLRKYLYFKDMNSSRISLRPFKLSDVDDMMLWAGDDRVTQTIRWDTLTSKEEALTFIKDVCIPHPWRRSICIDDRSIGFVSVFPGSGDDRSRADVGYAVAFEYWGQGIGTEALKLTIPQVYSEFSEVMRLQALVDVENKASQRVLEKVGFIKEGKLRKYGYHKGKLVDLFMYSLLSTESIY >Solyc08g007460.2.1 pep chromosome:SL3.0:8:2031880:2034537:1 gene:Solyc08g007460.2 transcript:Solyc08g007460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQQQEENNQIKLLVKKKKTRMFSSKMFVLISMALTAAMIFASDAQTTPPSCASKLVPCAPYLNSSSPPAECCDPLREAITNDLDCLCKLYENPTLLPSLGINITQALALPRACNIPGDLSACTSGGAPGPSSEGLPPPVTPGGNNGNNGVNKFTWSGMSILLLLCASLMIA >Solyc03g122030.1.1.1 pep chromosome:SL3.0:3:71508592:71509683:1 gene:Solyc03g122030.1 transcript:Solyc03g122030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDEETQSGGSGSPESPRSNGRITVTVASAPPQNTLTLALPIQQSRPAGASGGGGGSGGGREDCWSEEATAVLIEAWGERYMELSRGNLKQKHWKDVADIVSSREDYRKTPKTDIQCKNRIDTVKKKYKLEKSKIAAGQGPSKWPFFDKLDMLIGPTAKINPTVAAGPSNLYSGNQHVPMGIPMGVRSLPQLRQHQQLQKQKQPSRKRPHMDSDTSESESEPDLSPASTDSFPPGTFQKKRPRFQKEMLNSSALRQGGIGEASGNKNWGNSVRELTQAILKFGEAYEQTETAKLHQMVEMEKQRMKFAKDMELQRMQFFMNTQLEIAQLKHRRSENSNHHSNNHAAAASNHNNNNNNSDNSI >Solyc06g076830.2.1 pep chromosome:SL3.0:6:47863239:47869560:1 gene:Solyc06g076830.2 transcript:Solyc06g076830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIAYMLFSDPRFPFALRTLQKAVSFSSHVFYVNWRIFVALGDFRSSLESEDLRVDSMSSAVKLESVICQNDEDPNYTSVNGKVAFAKRLNVVRKILEGFRRQGDWSAIKTSIGAVRRVASSRYSKSNQAVDTEETREHGSGRNSGPLLSGTAYCLSSCCMIMLNKIVLSSYSFNAGVSLMFYQNLISTLIVGILGCSGAITVEKLNWKLIKVWIPVNLIFIGMLVSGMYSLKYINIAMVTILKNVTNILTALGELYIFGKRQNQKVWTAMFVMIISAVSGGITDLSFDATGYAWQSVNCVLTASYSLTLRRVMDRAKQFTKSGSLNEVSMVLLNNGISIPFAIILIFLFDEWRYVINADVIKIPMFWVAATASGLLGLAISFTSMWFLKQTGPTTYSLVGSLNKIPISIAGLLVFKVPLSIPNLFSILFGLLAGVLFARAKMS >Solyc05g046160.1.1.1 pep chromosome:SL3.0:5:59203186:59203380:-1 gene:Solyc05g046160.1 transcript:Solyc05g046160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGDLLEDYKSFYITTHVETKGENKLVIWIIEYEKKNANVSDPHTFMEFALNMTKDIETHHIK >Solyc04g008770.3.1 pep chromosome:SL3.0:4:2416344:2420422:1 gene:Solyc04g008770.3 transcript:Solyc04g008770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQVQSEAIDNQSKSTSVDDSQPRSLDSLIAEAAAYGEDGENESIDEKARKALECPCIAHLRAGPCGNQFSDAFLCFLKSTAEEKGSDCVSPFVALQSCIKANPNAFSKDVLDEEDDVRKQDEVKTEETQKQEYRIIPPIWSVESKNTKRTKL >Solyc01g091310.3.1 pep chromosome:SL3.0:1:84825741:84835598:1 gene:Solyc01g091310.3 transcript:Solyc01g091310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLPIAILSAIIGIVIAFLVFGNYFQKRKAEVESVAKPEIIQTNQKTSKPQQSHKNTSKKSQPKSHSHTTDHKDANKRHHPLDLNTLKGHGDIVTGVCFLPNAQSLATACADGVVRIFKLDDALSKSFKFLRINLPAGGHPIAVAVADETSSVAVACHALFGSSLYMYGEDKPKADADSKQAKLPLPQIKWEQHKVHDKRAILTLVGTKATYGSADGSTIIVSCSEGTDIVLFHGKSGKIVGNVDTNQLKNNMATISPNGRFIAAAAFTADVKVWEIVYSKDGAVKEVSRIMELKGHKSAVTWLCFSPNSEQIITASKDGTIRVWNINVRYHLSEDPKTLKVFPIPLKDASGTTLHYDRLCVSPDGKILATTHGSMMQWLCMETGKVLETAEKAHDGDITDMAWSPSPIPMGDKKVLVLATASVDKKVKLWAAPSLNAS >Solyc05g015260.1.1.1 pep chromosome:SL3.0:5:10141756:10142319:-1 gene:Solyc05g015260.1 transcript:Solyc05g015260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIVNEINVFPQISNAKRGPVHSSITGNNFSLEINLIAKHAYTCGDNIVEECHSNATCGTNKYKLLSWDIFDKDIFAFDFPYPLEKFKSDGVHKILETKDDLIQRILEFVRTMENVMPQLQDDDSVCVILIFVKKVYVPPQEFELTKVNMLFHYYANFAPLFWNKVRDEIKLYLGQDPSRLYEEF >Solyc08g067760.3.1 pep chromosome:SL3.0:8:56811643:56817591:-1 gene:Solyc08g067760.3 transcript:Solyc08g067760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4CM00] MAGGGGDGSRELDQTPTWAVALVCAVIVLISILLEKVLHKVGQTFERRRKSALVEALEKIKAELMVLGFISLLLTFGQNFISQICIPERVADTMLPCPAQQHSRLGYGGGHHGPGQGHHKTPEAGEAHRRLLSDHRILAADSPGDTCRPGQVPLISIHGLHQLHIFIFFLAVFHVIYSAITMLLGRLKIREWKEWERQVEHEYEASNDASRFRLTKETSFVRDNTSFGTTPVVFYSVCFFRQFFRSVRKADYLTMRHGFISVHLAPGSKFNFQKYIKRSLEDDFKVIVGINSLLWFSAVVYLLLNVHGWQAMFWLSIMPLVIILAVGTKLQAIIAQMALEIQERHAVVQGIPLVQISDRNFWFGKPTLVLHLVHLTLFQNAFEITYFLWITYEFGLHSCFHDNFYLSLLRVAIGLGVQILCSYITLPLYALVTQMGSTMKRSIFDDQTSKALMNWHKNAKKKRPTKPGQIETRKLGSPGESPETSPTKGGLGSRRKLSQVEPLSSDQTANIVASVDIPEEKPPSNPDLLTGL >Solyc05g041160.2.1 pep chromosome:SL3.0:5:50615880:50616663:1 gene:Solyc05g041160.2 transcript:Solyc05g041160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDQDAHLKNKVADEMEFVVQCSKCFKWLYIPTEERYEKIRENLLECPFYYEDDWAFDKPSIPQPPLGWKRIVKIRTRGTIFDSPIRKGFRSIPEDESPSYLKQHSEYASQDSKKRCPTPSDDINGANARMSMCMCL >Solyc07g040970.1.1 pep chromosome:SL3.0:7:51356413:51356970:1 gene:Solyc07g040970.1 transcript:Solyc07g040970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCWRIIYRDYPWVESRQLLMAWKESWARSSSLREAYSPFPSSQIFAFVSVYSIISSIVPIRLCFRKSNRSQFIQQDLFGSDLPAIGLRNKELSKGQEIDKRTWPKERSIQFSMEMEFCEFSPELEDHFEIFKHIRGFNVTIVTSANTQDETLPPCSGFLQKEEKVITQPL >Solyc07g049780.2.1 pep chromosome:SL3.0:7:60292980:60298189:-1 gene:Solyc07g049780.2 transcript:Solyc07g049780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPESPFFESTLGSSSSSPSQKIPNDLGALTPNQLVLLIDDDSLRGEVMHHLYEKKDSCKNLALLLWNNFNTVYMLLLEVLSVYKKFSPSTLSIREATRVCCAIALFQVLELDPRSRVEAGFQIDHRGQMSIWKLGNGSAEIPCYFYPFLKPCGDDKHLECVRTTTLGFLSDLTKFDDPHGSDALRFFLKSEVVPLCLKCMDVCDEKSQKLATLIVMNILKQESGLTYCSATPERFFAIVQVLRRVVEKLSLKPCLLHLEYVIQCYVCLSKIYRSIGTTTKLRGCCKFYISIFMDVYIFRQLKREKALQRRLHQVMHQVLHQVQRVRERERSEVVL >Solyc08g083350.3.1 pep chromosome:SL3.0:8:65946350:65950828:1 gene:Solyc08g083350.3 transcript:Solyc08g083350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFIKTQPRGSNRNLGRDRRGCRVLSTSSLVRLSSSIRKKRKMASLSSCNHPGKLCSSFSPSSLKLSWVQRVSVQFIHTQNHTCSLPYAPRALTVISMAPPKPGGGKAKKVTGIIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYVIPVEITVYDDRSFTFILKTPPASVLLLKAAGVEKGSKDPQREKVGKITIDQLKVIAQEKLPDLNCSTIESAMRIIAGTAANMGIDIDPPILEPKKKELVY >Solyc10g052590.1.1.1 pep chromosome:SL3.0:10:52909276:52909629:-1 gene:Solyc10g052590.1 transcript:Solyc10g052590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISAKKLIKMARKWQKFAAKQRKRSSFPRSNSNDLESCSTSSTIVSKGNFVVYKPDQKQFVVPLAYLQHEVIRQLLHMSEQEFGLPCDGPITLPCDALFMNYIVSLIERGVGADL >Solyc02g093130.2.1.1 pep chromosome:SL3.0:2:54690672:54691172:1 gene:Solyc02g093130.2 transcript:Solyc02g093130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGGGGQSGVAANSGVCCGGKRKNEKPYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVYYLRGPSARLNFPELLVGDGGLNDLSAASIRKKAIEVGAQVDAVQNSLATHHNHTEEKVHSETASPSELKPCWFQEKPDLNLKPEPEDPEVDYW >Solyc02g085705.1.1 pep chromosome:SL3.0:2:49165808:49166877:1 gene:Solyc02g085705.1 transcript:Solyc02g085705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVHLQWFCDLLISLVFLYLYCFVNKIAAPDGILSHEMIHAATNEQQQFLNESDIARAVNAAIIIIMKYMAEYPLRYLWLLGLSSWDFGGHLAAVLCLVRAAGCSSLVMILAGDDKSDGFGVKYLVGSASLKFVRFMGCASVWRKKKNGWAK >Solyc07g065250.3.1 pep chromosome:SL3.0:7:67217119:67219618:-1 gene:Solyc07g065250.3 transcript:Solyc07g065250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVDPDRESEPFIELDPTGRYGRYDELLGYGAVKKVYRAFDQEEGIEVAWNQVKLRNFMDDQQVIDRLYSEVRLLKTLKHKNIIALYSVWRDENRNTLNFITEVCTSGNLREYRKKHKHVSMKAVKKWSKQILKGLNYLHTHEPCVIHRDLNCSNVFINGNIGQVKIGDLGFATIVGKSHSAHSVLGTPEFMAPELYDEDYTELIDIYSFGMCVLEMVTLELPYSECDNVVKIYKKVISGVRPKAMDKVKDLEVKKFIEKCLAQPRVRPSASELLQDPFFNDINDDDENDDEEYTSNNYWHA >Solyc01g058220.2.1 pep chromosome:SL3.0:1:65594614:65597632:-1 gene:Solyc01g058220.2 transcript:Solyc01g058220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGIEVHCDLSSSTQCWLQQHFLGSRWSRSC >Solyc09g065380.2.1.1 pep chromosome:SL3.0:9:63726454:63727638:1 gene:Solyc09g065380.2 transcript:Solyc09g065380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLVVVSQHKNHYYDRTRGQAPIRFGSFGSPPSVGFKEINCRNFESSAGILPTPLKAYSTPVTKKGYSSSFRSKTPSPPSSIQGKSHSEGQKKSKKSVHSSAVSIPIDIKGGGDSRKEGSLNDEFAYSELWAGPAYSNSPPPSSLPIPKFSVKPKRTVSLDLPTTSPFGIDLPPFAKSAPASPTRERSPSPGVLSDSTDSATQTLRRILNLEIMD >Solyc10g047743.1.1 pep chromosome:SL3.0:10:42059662:42060495:-1 gene:Solyc10g047743.1 transcript:Solyc10g047743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMLIQKTVFNSHGRNLYSDGLNFFLSPAGSVIPDKHFTAGEGLGLAYVDQQYSSKNHHFVAVEFDIFTNYYDPRGDHVGININSIQPVSNRHSQWYDAWITYNSISKNLSVVCTEPILHTLYKGIFAVWVTVGFSSAIGTFCAIHTIYSWNFTSSLNYYGNITDPDIHIPCPVLNLYVTLQSLVLYPNIPLPRPMLNPDVPLQSHVPEDTSKQK >Solyc01g109630.3.1 pep chromosome:SL3.0:1:96436047:96439518:-1 gene:Solyc01g109630.3 transcript:Solyc01g109630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYCRALSKFKFPPLKMLCELHHHHFFFTTQSINQQHQTTLPTIVYFSPKRRRSFTIFSAPHPHQQPHLKNQETDPNTTITSNDEKVVTRKHNSKSSAVLLRYLKQEEYQELLPGSDEPEKQDCAVLEEDKEKVLEMSLIRKRTPQFPGSLYVQSPTDADVNTSLPPISSLFHSKKGSGFDDDEMLIKALEIRRKVTVEIFKEAMRKGRFSITYSTNLVSELPDFIDYVMIQAASMKQMPEFLGSSFNVRARYFIDDSGVVPIVRWLKHNELSYPHIANLICKSRGDLESIRRLAKWLKSIHVKGRFIGLVMIRAKGNVLGRSLEELDEIVGYLEYKGVKRDWIGYIVGRCPEILSFSMEELESRTNFYFDMGMDAKDFGTMVFDYPKVLGYFSMEEMNQKVAYLKEFGLSNEDVGRLLAFKPHLMGCGIEEKFKPLVKYFYYLGISKDGMRKILVTRPVLFCVDFETTIVPKVQFLRDIGVQQDAVGNMLVRFPRLLTFSLYKKIRPVVIFLLTKAGVSQRNIGKVIALGPELLGCSIANKLDHNVKYFLSLGITLRQLGEMVADFPMLLAYNIDILRPKYRYLRRMMVRPLQDLIEFPRFFSYSLDDRIIPRHKIMVENRVNFKLRYMLASTDDEFKQKVQVAIERRLRFESGVTYDKQEQTEIDGSIEKLAFDFQTTEILEENTHLCSDDRDLPTQHIQNFV >Solyc05g014510.3.1 pep chromosome:SL3.0:5:8365251:8369571:1 gene:Solyc05g014510.3 transcript:Solyc05g014510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSYFPLRWESTGDQWWFASPIDWAAANGHYDLVRELLHLDTNLLIKLTSLRRIRRLESVWDDEEQFDDVAKCRSKVAKKLLLEGETKKGHNSLIRAGYGGWLLYTAASAGDVEFVKQLLGRDPFLVFGEGEYGVTDMFYAAARSKNSQVFRLLLESSKGESAEEVSSTFQLELMNRAVHSAARGGNVEMLREILGNFSDVLVYRDAQGSTLLHSASGRGQVEVVKSLLERYELINSRDNQGNTALHVAAYRGYLAVVKVLISVSPSSTTLRNNYGDTFLHMAVAGFRTPSFHRLDRQMELMKQLVRGKIIEIEDIINIKNNDGRTALHLAVIENIQTDVVELLMTAFSINLNIRDADGNTPLDLLKLRPQSASSEILIKRLISAGGISNCQDHMTRTILASHLKIQGIGGSPGASFRIPDAEIFLYAGIDNAFDANSDCASTEIASCWGEPSPCHSAAGSNSSNKLSSVNSAARRLKFLLRWKRKKERKEETRGLEDNMSVESYKLYSGFGQQPIPLRQRFSRMSSFPNNKRVLPVQNSLPSPSTKKKYAAGLMHGVIQMTPQSSYGSPSSAYSESSWSSPVVVNKEKRLDFGNASGGSSSLKMSNSREKSKLSHIHGSFNMKLMNQYFCFGAQGLAVESSVSCAQQDQHHRHPVVA >Solyc06g072940.2.1 pep chromosome:SL3.0:6:45101255:45104359:1 gene:Solyc06g072940.2 transcript:Solyc06g072940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMAQQSSLDYNGKPKNRILTRSRRCFEPISKNNFTSYSQASEVPSSPANAMEFLSRPWSPSSSDLLQMFSSSNLLLKDAAGKEDYEEQDEEILDSSSNRAFTNKQYIKVDLHHMKGWLKRKSPFWLFRSDQEKKEKLRLQTAKLHAVLSLTQLASGIAGFASNSSSERRESHHINYEREGTWSHNMGNVVASAAALMTTVCAEAAEALGAGRAQVASAVNSGLAIQSPMDMIAVTATAATCLRGAAILKSRAIEDSSPRIPEMLTAGTRLWIIMPSGHKEDKWVTLHLKQNQLILSLKRKYFGTLRASKEYKLINIIKECLEAQDKYFVSLMTNNGIIKLLFKDEMQSSIWISTISGVLKKQRSS >Solyc02g087280.3.1 pep chromosome:SL3.0:2:50365985:50370435:-1 gene:Solyc02g087280.3 transcript:Solyc02g087280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGQSPRNLNKPCILLIVIAGMERFSFKGVASNLVTYLTDVAKMSNSAAAKMVNNWCGITSMLPLLVAPLADSYLDRYTTVLASSSLYFAGLLALTSLALQWPWTSLDKSGFSSSLSWSLHLISLGQAGYNPSLQAFAADQLDDEEELPCTKNDQSSKKKSTFFHWWYFGICCGSLLGVSIMSYIQDTLGWGLGFAIPSIAMIVSIVVFRFGNRFYTHNTDGEIIHIKSLGGHIVKAIRAATSRLTCGEIAVPDTNKSKVVEIELENAPLCQQDSGSTEGTDKKPENGIVEILKVVLPLLPVWTMLLMFAVIFQQPATFFTKQGMTMRRNIGSSFRIPPAALQSSITISIILLMPLYDTCFIPFIRVLTRNEKGITVMQRMGIGMFLSVIAMIIAAVTERKRLDIARNVGTLTSEFEALPMSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPINMRTLGIALYTSVFGCGSFFSSFLITVIEHLTSSIGEKQNWFADDMSRARLDNYYWFLALSSAASFMMFMVFCRFYRSRTVN >Solyc12g056630.2.1 pep chromosome:SL3.0:12:63561026:63568762:-1 gene:Solyc12g056630.2 transcript:Solyc12g056630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKSLASSLDEVDRTMYSTFCSAANSLSQLYTQAMNQQKLSFLSGERHGMEKLYQWILRQQEGGSRVTTVDIMNYLQSELDYNGEDQSMSPRPPPQHSQPLHFANSGFHVSPGPVGVAAPGHGLRSDHDQQPKNNVFSNALSSPVRRSLQNYHIAQGSHFANNAQPPNATRHNETNSQNLNRDSNGYNSVDASMDMHSDSPGHDSTY >Solyc01g094920.3.1.1 pep chromosome:SL3.0:1:86200750:86202502:-1 gene:Solyc01g094920.3 transcript:Solyc01g094920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSSLTSSELHFPFCFNRTMATLSSTLALYFSFTLLCFNLISASFSPLDHYLVNCGSPEPTTVDFDHRRFTGDVSDPKASFLTSTESISLADLNPCPKSSPIYHTARVFTRPSKYKFVIKNPGMHLVRIHFRRLRGSLDFSNAKFHVLANGFVLFNSLSMEMGKDVEIVKDYVIGVDSDKLVITFVPSEKSNFAFVNAIEVISAPNDLIADVAQYVSFDKNEQIRGLLKNGFETMYRVNVGGWKVTPFNDSLWRTWVTDDEFLKSNDGSSKVHFGGRINYQEGGASREVGPDNVYNTARVIRSSGSSIPELKMTWTFPVTKGYKYLVRMHFCDIASIARGMLFFNVYVNNNLAYENLDLTEVTNRLLASPFYADFVVDGDSSGVLTLSVGPSNTSLPHAVDAILNGVEIMKINNSVGSFDGKICAHAVLKSWKRGNGNVLYPMLAAVFMLLMAFVIMHRRRTGVTDSVVWWRLPTEIPEVNLKYGNQLSSNKL >Solyc07g007800.3.1 pep chromosome:SL3.0:7:2453819:2457279:1 gene:Solyc07g007800.3 transcript:Solyc07g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSKGSVWKRKNMNQEKENEKNIANKNCKKNIEKITKKGENYNNYNNNINNYPLVCYEELPGYMKDNEFILNYYRANWPIKQALLSIFRWHNETLNVWTHLLGFILFVALTLSNVVQVPQLSDFMTMFIWNFPMGGDANVSNNSKEFFQGPSRLIDLKQDQHLQLENMMSTKSIQSETTWPFYVFLVGSMFCLLSSSICHLFCCHSHKLNILLLRMDYVGITVMIITSFFPPIYYIFQCSPHWQIVYLGCITIMGICTIITLLSPVFSTGKYRSFRAVLFMSMGFFGLIPAVHAVVLNWDEPERNVTLAYELAMALSYLIGTIFYMARIPERWRPGFFDLAGHSHQIFHVFVILGALSHYGAAQVFLEYRSRLSCDT >Solyc11g028206.1.1 pep chromosome:SL3.0:11:20741507:20742308:-1 gene:Solyc11g028206.1 transcript:Solyc11g028206.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIKPNSDFTAEHFLLQVVVYIVKILKTQRASIIIGWSNSYIEKLVEDHPVFMFKYKYDILNRRFDMRVDQMVKADYTKGIRRSIGVPEMDKYLREKTNTDGDDESTQMILQASIYSIKCNTCMLIRNQLDKIQRLINEKVIEDLGEACGNTVLQPCLDIVKRFLKNDNHNIIIECT >Solyc03g078710.2.1 pep chromosome:SL3.0:3:52803453:52807244:1 gene:Solyc03g078710.2 transcript:Solyc03g078710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPHVLIFPLPLQGPVNCMLKLAELLCLDKEIQITFINTEYIHQRLMNYTDVEVRFGKYPNFKFVTVPDGLPEDNPRIGDQIRLIIEGVEEVSSPLFKEMVMTTNSAPTCLIVDGIFTFALPIAKEAKIPLLYFDTISPCSLWTYLALPKLIEDGEIPFKGNDLDVLVNGMPGMEGVMRRRDLPSFCRTPDVDIPIIKHVIKEGKHIVQAQGLIFNTFEALEGPILSQFRALCPNIYAIGPLHTQMKAKLSSQRLPNTPTSNSIWKEDVSCIDWLDKQPNKSVLFVSIGSLATMSKAQFFEFWYGLVNSDTRFLWVQRPGSIIGLEDDNNNVPMELVESTKERGCIISWAPQEEVLARPSIGAFLTHSGWNSTLESIVEGVPMICWPYFVDQQVNSRYVGEVWKLGLDMKDVCDRITIERMVREVMDVKKIEFLERAKYMSDLAIASVGEGGSSYQDLDRLIEDIRLMRI >Solyc12g094540.1.1.1 pep chromosome:SL3.0:12:65725943:65726557:1 gene:Solyc12g094540.1 transcript:Solyc12g094540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRIVDKEEQDNRYDLFDVHFHSDVIKTTVTPDPDIITQWISETVSSHSGNRRLIAGLDVEWRPNFNRNQQNPAAVLQICVDRRCLIIQLLYCRSIPESLFNFLGNREYDFVGIGIESDVEKLLEDYELNVKNMVDLRGIAADVYGMKNLKNAGLKELCNVVLEKEIVKPKSVTMGRWDSEWLSLNQIQYACLDVFLLKLLST >Solyc04g011655.1.1 pep chromosome:SL3.0:4:4126422:4126905:1 gene:Solyc04g011655.1 transcript:Solyc04g011655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLYVVRFICKNREKKTIHMQCYTQINTLNEHQNKLPPNQYNRICTLSCFAQLTTMRRCHVQAQLFRCFMLMELEGSSVNAILFYINGTTLRFTIREFAIISGLNCSDNVADFCFDTDQPNRIIAEYFPGNSPVTKSRLIEAFKAN >Solyc11g042557.1.1 pep chromosome:SL3.0:11:36439417:36449953:-1 gene:Solyc11g042557.1 transcript:Solyc11g042557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPEEFVDIDDLDEMDDYAEMRPPFKTQRISSSGGSSTAQSVMKGPLNLYFSQKSTQKGGLEKGGGIEETKKILRERAVSAFAIRMYDAGLPFNCVNHKSFDKFIEAVGQHGPGMKPPTFHEVRVTHLKKEVDKVEKIVEEHKVQWTKFGCSIMMDKCTARNGKMIINILVNSPIGSVFLGSCTGRGKQSDYGQSKSVSSSKDISLNHSSPPRSARTGTGKSSERDQRPSKRKLKLVKSSPKILGGVDAGVKKAVNNQASEHLLRIRVTVHRRRYNSSWPIAGSPLPTLLDDDMASLIDFGVGNGSIILVEEEC >Solyc07g017925.1.1 pep chromosome:SL3.0:7:8570570:8574109:1 gene:Solyc07g017925.1 transcript:Solyc07g017925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDYMSRTHTGVTSMMDKMRENRLLGVVKVGRRKLREGDLMGHSARILVQDLPNITDIYVWNEESILNHMWNLSSMEEENFNIKVMYTKREYNKVSWRRFTLNNLGKSWLLITRGTTMKERTTHMIIRSKGNNRSEAEDIPPSKKLINRSTPSNERPPKVTTTKIGIVIRSFDHPFLENHFWGLPTYIRKIGLPESRVLYTVLRSPHIDKKSREQFFMKIKKEFLVIKIKRHELRKKFFR >Solyc06g075110.3.1 pep chromosome:SL3.0:6:46746843:46754123:1 gene:Solyc06g075110.3 transcript:Solyc06g075110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSHRRFTGVFKRSNDSVRLIITTIMGMVFGYFIGISFPSVSLTKMSLPSSILSSLDVAFNDGHQRLSGRSFPENLGSVPLTPKIYVPTNPRGAESLPPGIVVPESDFYLRRLWGDPSEDLRNKPKYLVTFTVGLDQKNNIDACVKKFSEDFQILLFHYDGRTSEWDQFEWSKRAVHISIRKQTKWWYAKRFLHPDVVAAYDYVFIWDEDLGVEHFNADKYIQLVKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKSTEEKPGWCSDPRLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGSQGSSENGKAPWEGVRVRCRNEWTMFQDRLASADKAYYALNGKTRL >Solyc03g115400.2.1 pep chromosome:SL3.0:3:66608293:66610580:-1 gene:Solyc03g115400.2 transcript:Solyc03g115400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSELRMWVSDKLMSLLGYSQSTVVSYVLNLAKKASSAANLTSQLVDDMGMSSSSETRVFAQEIFERVEQKKTGPNLYLQQEREAAMLARKQKTYSLLEADDEDDNIVGVESSSVPSQTRKEDTRIKKFRKRVETHGDEDDEA >Solyc05g054130.3.1 pep chromosome:SL3.0:5:64937407:64944377:1 gene:Solyc05g054130.3 transcript:Solyc05g054130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLFKSKPKTPVELVRQTRDLLMYTQRNSDIRESKREEKMMELSKTMRDLKCVLYGNGQSEPVSDACSQLTQEFFREDTLRLLINMLPKLNLEARKDATQVVANLQRQQVQSRLIACDYLEANIDLMDILVAGYENIELALHYGTMLRECIRHQSVARYVLESEHVKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFAEYNSKLLESSNYITRRQAIKLLGDILLDRSNAAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANKNKPSDIVSILIANRSKLLRLFADFKTDKEDEQFEADKAQVVKEIAALETKELS >Solyc02g083960.3.1 pep chromosome:SL3.0:2:47759299:47766818:-1 gene:Solyc02g083960.3 transcript:Solyc02g083960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDHQRTRKDDPFLLNYNSDELRIASEFLSNWLPFLSRDLCRSCTHTLSDRIRSLNSKVSGNAECLKQPKNVSVLTPERCDSDGCNGSQENCDNNSLGSWNDYGDLNDNADTNSLGSWKDGAGGEPFEELSVDRNSSDGCIDGADSQSQSVGEASTSEAFKSSLPVTTPKVKMSWADMAQEDELQAEEVSESIALRSQVNGVAEEEITNPESKQKTELSREKREYIRFCNVKRKKDFICLERVRGKIVNILDGLELHKGVFSAAEQIRIVKYAEKLDQMGKNGELKERTYTTPTKWMRGKGRVTIQFGCCYNYAPDKNGNPPGILKSETVDPLPDLLKVMIRRLIRWHVMPSTCVPDSCIINIYEEGDCIPPHIDNHDFVRPFCTVSFLSECNIVFGSNLKIVGPGEFAGAIAIPLPVGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMDESKRPTGYVPEHDLQGLQPLSYESDSQKKSSSSRPRFSARKQSVRQEEESRERVKMPMRRHSEPRYPGRYRGGPANRQWYGANTEN >Solyc04g010030.1.1 pep chromosome:SL3.0:4:3339869:3344248:-1 gene:Solyc04g010030.1 transcript:Solyc04g010030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIVLYDSRIVRLKSKSFTLLFCYFLITTPILLSVHCSPATAISVHLCEDNAKLDSPPGLPVDNDSVSDNAGEELENDRGRMLRLGDFVAHISDLDKALGQVVQINQSFLLYDVKKLKPSQYIPSNQLKRVTKFLEGDMVVLNNWLGRVDFVLRDVTVRFMNGSLRTFKGGMGLQPHDDWDGLSLHLGQKVNVSPKRTCTITELVDGSVYVIWIISSDGNDDSPPLAKQDPDRLTQVFPFCSTWKIGNVCGHELSKSETEFLLGDVETSVDVTWQNGITEKNLPSSILKPISDLGVHDFFIGQYVVLDNSDGLGVVKSVDHKEKTTTVRWLNIPNEEIISSCQLNRLFNYHLGNLVLRRITREAENSHLSSFGNIIGFKDGNIEVTWADGTISMVQPQELHGVIDRDKYEDTDEFASNGIEQEVEEDALVVKVPEFKVDLLDFVDLRCVRCLNGSRIHCFNNALEKDYRELKGLTLESVADEQLLIYLPFYQPINLHSLVIGGLMEEGPKTVKLFANKRHFDISDAAKTTPRDTAILSEDNLRGVTVKVNQINFQRIHSLTIFIEDNQYGSELTRVQRLYLFGTL >Solyc02g077630.3.1 pep chromosome:SL3.0:2:43076110:43080151:-1 gene:Solyc02g077630.3 transcript:Solyc02g077630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSISIMFLQILVTLFLPTLIFSLNQEGLYLHNVKLGFDDPDNVLSNWNEHDDTPCNWFGVSCDKFTRSVTSLDLSNANVAGPFPTLLCRLKKLRYISLYNNSLNSTLLEDFSGCEAVEHLDLAQNFLVGTLPASLSELPNLKYLDLSGNNFTGDIPVSFGSFQQLEVLGLVGNLLDGSIPAFLGNVTTLKQLNLSYNPFTTGRIPPELGNLTNLEVLWLSDCNLIGEVPDTLGRLKKIVDLDLAVNYLDGPIPSWLTELTSAEQIELYNNSFTGEFPVNGWSKMTALRRIDVSMNRLTGTIPRELCELPLESLNLYENQMFGELPQDIANSPNLYELRLFHNRFNGSLPQHLGKNSPLLWIDVSENNFSGEIPENLCGKGLLEELLMINNLLSGEIPASLSECRSLLRVRLAHNQLSGDVPEGFWGLPHLSLLELMDNSLSGDIAKTIASASNLSALILSKNKFSGSIPEEIGSLENLLDFVGNDNQFSGPLPASLVILGQLGRLDLHNNELTGKLPSGIHSLKKLNELNLANNDLSGDIPMEIGSLSVLNYLDLSGNQFSGKIPLELQNLKLNQLNLSNNDLSGDIPPVYAKEMYKSSFLGNAGLCGDIEGLCEGTAEGKTAGYVWLLRLLFTLAGMVFVIGVAWFYWKYKNFKEAKRAIDKSKWTLMSFHKLGFNEYEILDALDEDNLIGSGSSGKVYKVVLSKGDTVAVKKILRSVKIVDDCSDIEKGSIQEDGFEAEVETLGKIRHKNIVKLWCCCTTRDCKLLVYEYMPNGSLGDLLHSSKSGLLDWPMRYKIAMDAAEGLSYLHHDCAPPIVHRDVKSNNILLDGEFGARVADFGVAKAVEANAKAIKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKRPVDPEFGEKDLVKWVCSTLDQKGVDHVIDPKLDTCFKEEICKALNIGLLCTSPLPINRPSMRRVVKMLQEVGGGNLPKAASKDGKLTPYYYEEASDQGSVA >Solyc01g104710.3.1 pep chromosome:SL3.0:1:93010748:93011257:-1 gene:Solyc01g104710.3 transcript:Solyc01g104710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKMAAIAMVFIILSSANIDTISVAAQGLSCYDNCNTGCAGLPSEEYLICDNKCHERCGDESKIYGNLVESV >Solyc07g032620.2.1 pep chromosome:SL3.0:7:40702045:40704018:-1 gene:Solyc07g032620.2 transcript:Solyc07g032620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLQAADTLMPRLLDFPLPLLTLLSQNLKGIIAAKIHYLAQTNFDGVNAEGVPKCLTFDDIQTNTCMEVKGTGTANHCPTIDGGVDSFAFKLGKYNAKKFYLETTSFTVKEEGVSKNSA >Solyc01g068470.3.1 pep chromosome:SL3.0:1:77517387:77522984:-1 gene:Solyc01g068470.3 transcript:Solyc01g068470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGNLKEALPFYEKVMNKLPFQTQLHGLAALQWSICQDSLRRSNEARSMYEKLQSHPNPGVSKKARQFMFSFQAMEMMKVTSSTFSSMNTGYQNYFDAFIRDKVNYSLKEDEAEDGAIQQAIPYIIFLLFPIFIVLLIALRKGI >Solyc02g030500.1.1.1 pep chromosome:SL3.0:2:26763226:26763531:1 gene:Solyc02g030500.1 transcript:Solyc02g030500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVVVSISVGFVMEGWPKGTYDGLGILLSIFLVVVVTAISDYRHSLQFRDLDKEYKKVLIQVTRDGSRQKVPIYNCCCGSRRTASGSDIESCICNEKANG >Solyc09g007380.3.1 pep chromosome:SL3.0:9:972859:977202:1 gene:Solyc09g007380.3 transcript:Solyc09g007380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAVAKSWRDELASLVEDSGIRFAGEISAPTYSTPAFEEKRSVYESPAIEEVVAEPESFKDQIEGFAKAWGEMLLELIRGCRDVVQQSLLTEESYIVQKTKGPLAEASRRLSVLNEFLPEDRDPVHAWPVIFFVFILAMSALSVNSKQETTGKLVKEVYIHPPSATRILLPDGRHVAYHEMGVPSNKARYSVIAPHGFLSSRLGGIPGVKVSLLEEFGIRLVTYDLPGFGESDPHPERNLNSSALDMQYLANALGVNSKFWVLGYSSGAIHAWAAMKFIPDRVAGVAMFSPFINPYESSMTKEEMRGTWNKWTRKRKLTYYLARRSPKFLDYFYRRTFLSGKHGQIDKYMSLSLGQKDKALIREPTFEEFWHRDVEESIRQGSTKPFIEEASLQVSNWGFSLVDLQVQEKCSGKGILSWLKFGYGQVRCELTGFLGPVHIWQGLDDHVVPHQMTDYVARILPSVVVHKLPNEGHFSYFFFCDECHRQTFLTIFGSPQGPLEESIEAPTEEDGEQEAANLDLAAE >Solyc05g010120.3.1 pep chromosome:SL3.0:5:4300209:4308954:1 gene:Solyc05g010120.3 transcript:Solyc05g010120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGRRKKLHFSNFYSFRCGKASVLSDDHSQIGGPGFSRVVFCNEPDNFESGIREYAGNYVSTTKYTAATFLPKSLFEQFRRVANFYFLVTGILAFTPLAPYTALSAILPLVLVIGATMVKEGIEDWRRKQQDVEVNSRKVKVHQGDGVFNLTEWRHLKVGDIVKVEKDQFFPADLLLLSSCFDDAVCYVETMNLDGETNLKLKQALEVTSSLHEDANFKDFKALVKCEDPNANLYTFVGSMEYEEQQNPLSPQQLLLRDSKLRNTEYIYGAVIFTGHDTKVMQNATDPPSKRSKIERKMDRIIYFLFAVLFAIAFVGSIYFGIVTEKDLDDRHNRWYLQPENSDIFFDPRRAPAAAMFHFLTAVMLYSYLIPISLYVSIEIVKVLQSIFINKDINMYYEETDKPAHARTSNLTEELGQVDTILSDKTGTLTCNSMEFVKCSVAGTAYGRGITEVEKAMAKRNGSPLMAKSNDHGEDGVVTSRKSTVKGFNFEDERIMNASWLFEPHSDVIQKFFRLLAVCHTVIPEVDEVTGKVSYEAESPDEAAFVIAAREVGFEFFKRTQTNVSVHELDLESGKRIERSYKILNVLEFNSTRKRMSVIVKDEDGKILLLSKGADSIMFERLSKSGRRFEQETRDHVNEYADAGLRTLILAYRELSEEEYKTFNEKFLEAKNSVSEDREAIIDAVTDKIEKDLILLGATAVEDKLQPGVPDCIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMTQIIITLESPEIIAVEKSGEKNAIARASKGSVTQQITEGKALLTASSTEAFALIIDGKSLTYALDDEVKDMFLDLAIKCASVICCRSSPKQKALVTRLVKNGTGKITLAVGDGANDVGMLQEADIGVGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISTMICYFFYKNIVFGVTVFLYEGYASFSGQPAYNEWFLSTYNVFFTSLPVIALGVFDQDVSARLCLKFPLLYQEGIQNLLFRWRRIIGWMVNGVCSAVIIYFFCITALDPQAFKEDGKIAEFPVVGATMYTCVVWVANCQMALAISYFTLIQHIVIWGGIALWYIFLLIYGNMSSTFSTTAYKIFVEALAPSPFYWIISILTVISALIPYFAYNAIQTRFFPMYHGMIQWIRYEGRSEDPEFCHMVRQRSIRPTTVGFTARSLARRDPLEEKKEHNSSHR >Solyc08g080225.1.1 pep chromosome:SL3.0:8:63678086:63679759:-1 gene:Solyc08g080225.1 transcript:Solyc08g080225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGLEYHRLPCPHCHVKLRCHPDLPYRKSLFSRLWRLLHHKLTLVLDRKKKRGNLLYKRDEDADSLKFLDKGNFKSRHTPSRCYIVIPFAEGSRVEISHSGMNNTFSKVLIGVDMHTVLISPLEEKKAISIHVIVREGRRPHYLMNE >Solyc12g015790.1.1.1 pep chromosome:SL3.0:12:5798906:5799643:1 gene:Solyc12g015790.1 transcript:Solyc12g015790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:K4DD14] MATRLQFEKSSEIGVFSKLTNAYCLLPTGGSEHFYNTFESELSQIIPVIKTSIGGTRIIGRLCAGNRKGLLLPHTTTDEEFDNLRNNLPDGVVVKRIDERLSALGNCIACNDNVAMMHTDLDDETEEMIGDVLGVEVFRQTIAGNALVGSYCAISNAGCLVHPHTSVEDMYELSTLLEVPFIAGTVNRGSEAIAAGLIVNDWTAFTGRNTTATEVSVIERVFKLREAEPIYIVDEMKRSLIDSDR >Solyc04g008100.2.1.1 pep chromosome:SL3.0:4:1757920:1759176:-1 gene:Solyc04g008100.2 transcript:Solyc04g008100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLMVPSHFKCPISLDLMSDPVTLSTGITYDRVSIETWIENGNQTCPITKKLLNTLEPIPNHTMRKMIQEWCVANKEYGIERIPTPRIPVTSSEVTGILRKIESCCKLQQGSESSRELVMKMRNMIKESTRNKRCFVANGAGKMLSSTLDCFTEKSSVYEVETMEEIISALTILLPLDSESKSILGSKSSLNCMIWFLKCGSLSSRRNSVFLLKEIMRMEEVWRVEELLKIDGGLESLVKLIKEPICPTTTKASLLTIYHMVNSSQLSNDKARSKFVDLGLVELLIETLVDCEKSICEKVLGILARLCNSQEGRKRANNYALTIPVLIKKLLRVSDLATEFSVSILWKLLIGKNDNVVLINEALQVGAFQKLLLLIQVGCGENTKEKASELLKLLNLHRGEVECIDSLDLKNLKRPF >Solyc10g083530.2.1 pep chromosome:SL3.0:10:63445598:63450592:-1 gene:Solyc10g083530.2 transcript:Solyc10g083530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHLHELLEEDQEPFYIANKRFHDQKPPSKLCKNTCFFSFGESPELRKSPLCLSSPAPAKSPEIEKFVLNVPATTAALLLEAAIRIQKQQSSSKSKTQIKKVKFGGFGSILKKLKNRNSDKNGEKSFTCSCINSRVNTEISEEKLMDLENYGDFGSSPLSPFRFSLQRCPSSSGELLPGFTSPAASPVYHTKEDKENYETILGLASIEQLEEEDKEQCSPVSVLDPPFEEEDERENEDEDEDEDENLDCNYALVQRAQQQLFCKLRRFEKLAELDPIELEKILLEEEEEDRAEEEEYQVSNLIFEEKIEVKSLNYGEAVFGRECKKIDLSSQELRSNNIDMMVKSNLKNEFDDWNEFKDQREEIAIEFAFSIFGLLVHELGEELIHLAHS >Solyc01g057113.1.1 pep chromosome:SL3.0:1:58826511:58829887:-1 gene:Solyc01g057113.1 transcript:Solyc01g057113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYPLEYLSYYRRFKSKINPPPEIAPQPESENFSRPAPPKPPRRSARVSQTPECLQWQLKDSFNKKDFGAFTYFYGLEVHNVVSESNVKYRCEEGYVLPDQTMFRQLIGILNYLTVSRPDISLVVQQVSLFMQAPPHLHLLVVLRIIQYLLGTSTRGLFFPSGSPILLDAFSDSD >Solyc08g062380.1.1.1 pep chromosome:SL3.0:8:51045701:51045865:-1 gene:Solyc08g062380.1 transcript:Solyc08g062380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYGEVLVSCDEQNINRWIGKLYMVTLPIHLRKLQLFSNLPISLRYTSLFLSL >Solyc11g069720.2.1 pep chromosome:SL3.0:11:54622925:54628963:1 gene:Solyc11g069720.2 transcript:Solyc11g069720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQAESSDSKNSKKDFSTAILERKKAANRLIVDETVNDDNSVVALHPATMEKLQLFRGDTILIKGKKRKDTVVIALADETCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGLTGDLFDAFLKPYFLEAYRPLRKGDNFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPVKREDEERLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLERIGKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEVLNSMAVTNEHFQTALGTSNPSALRETVVEVPNVSWQDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSSGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRHQIFKACLRKSPLSKDIDLRALAKHTQGFSGADITEICQRSCKYAIRENIEKDIEREKRRQENPDSMDEDVDEVPEIKPAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFAETSSGGTAAADPFATSNAAADDDDLYS >Solyc04g072240.3.1 pep chromosome:SL3.0:4:59328834:59346902:1 gene:Solyc04g072240.3 transcript:Solyc04g072240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSPVRFGILGCANIARKVSRAIALSPNATISAVGSRSIEKATAYAKENGYPSTTKIYGSYEAVLDDPEVDAVYVPLPTSLHVKWAVLAAQKKKHVLLEKPVALNVKELDMILEGCESNGVQYMDATMWMHHPRTAKMKEFLSDSQRFGQLKSIHSTFSYLGDAEFLENDIRVKSDLDALGALGDAGWYSIRAILWTNDYELPKTVTALGDPEFNEAGVILSCGASLSWKDGRVATFYCSFLANMAMDIVAIGSKGNLRVHDFVIPFQENVAPFYTVEGSCFGELARSIHPAPSEHQVNTDLPQEALMVTEFSNLVGSIKGEGSKPEKKWPTISRKTQLVVDAVKASIEKGFEPVEIIY >Solyc03g118250.3.1 pep chromosome:SL3.0:3:68648404:68654139:-1 gene:Solyc03g118250.3 transcript:Solyc03g118250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDYSESPSSSSVGPPQSKVKHNPEVGFDLKFDLVSGDNHFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYAKRGDAVLDLACGKGGDLIKWDKAKVGYYVGIDIADGSIEDCRTRYNGDADHHQRRKKFSFPARLMCGDCYEVRLDRILADDAPFDVCSCQFAMHYSWSTEARARRALANVSALLRPGGIFIGTMPDANVIIKKLREAEGLTFGNSVYWIRFDEEFSEKKFKSSNPFGIKYKFHLEDAVDCPEWIVPFHIFKELAEEYDFELVFVKNNHIFIDEYMKKPEFIELMRRLGALGDGNQDRSTLSPDEWEVAYLYLTFVLRKRGQPDQTQRNPRRDKGKMHLTKDDIENVNGTV >Solyc04g025760.1.1.1 pep chromosome:SL3.0:4:21125207:21125890:1 gene:Solyc04g025760.1 transcript:Solyc04g025760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTDEDLIRCLTKFIRGMPIDYDDIPYVDLYGDKEPAELFQNLGNNHVNYFFTQLKRKAIKGKNFNRSIVGGRKWKGRDNSKEILDKERSRIGYKKTFRFDEESGSEDKEIVYWIVKEYCLDKTTVDVLRECGEIRHEDFVVCFITKKVNLCKHHQDTSTTTDNVVPDCVLNLSRDHDEWLYGAKIWQLPPLDESFKALTDEELNYFDTPDPEYPHAYIHENKKLN >Solyc12g087930.1.1.1 pep chromosome:SL3.0:12:64419954:64421342:1 gene:Solyc12g087930.1 transcript:Solyc12g087930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKMMNNMLQGFYNKQHSKIRGLYIDGLMDYNWDTKLVIIRIDHSWVLKFMARATILALVIVSLPWINTIIGYLSSRYESNYAYQVDNEMVYNPIKLEFLPMIFQDLANERLIRMGDRSLLVSNGNDEEIYNSQVVKDYNPDLISFSDSTQQNNVPNETFDFVLTYGYPQLSNFIERALKVGGILVVPLNDNPLVDEFPHPSNYKIVYVRKFDSTIIAMKKIKNASINSSTRRLLETNSEEVSSSSSGNRKAFDKEHLTSAQSESELVRSDDFTSAKKEALKKLEDVLLEPPRASSRKSSRYLKKTHFLPDLMGVSLESYPRRVFIDVGLQEKNEKSSDNYHSTWFTKHYPTKNTKFEMFKIETMNEESSGKEIPLSSINMSDWLQKNVKENEYVVMKAEADVVEEMVNNRSIKLIDELFLECKHQGIKKSDKKNRRAYWECLSLYGLLRDEGIAVHQWWG >Solyc12g056040.1.1.1 pep chromosome:SL3.0:12:62953488:62954822:-1 gene:Solyc12g056040.1 transcript:Solyc12g056040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKESSSSTSTHQPAIGTRTSERIARPNLGSDSDPQQPPVNVAPSSSGSGKAKLGCNVKPPRFKKAETKNSDGGGGGGESSSMGLMKKVNSGPAAIGTQSSVRLRGKGKVDSNPKPPMSKKVETKISDGGGGGESSSMDSKEKANSGPAAIGKDKVGSSVPSDSVSRPPKSEKAKTNISGESSSMDSKNKTNTESAAIVTQRSTRQQRGKGITTAGGASSPSATAATAARRRNEIPKSPVAQKPIISPNTRSSSTKISSTTSYGEHAIVSGPAKKRLSMEPSSSSGRLDKGKAKMVPSEENAPEDMKDKKYPSLFKPVSITSSEANAILAKRLPLKKRAVVIPTSSVLTRQGKARLANSQPAKSTVSTARRSKPKSDSDPTPSADPQPPKGRASTSRGKTEMGSDPTPPSSSTRGNSSVSATPRKKTTVEPSNRVLRSGNKN >Solyc12g042025.1.1 pep chromosome:SL3.0:12:57578895:57579281:1 gene:Solyc12g042025.1 transcript:Solyc12g042025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNALDDTQHDLEELVDAGITKIAQIIPWIHVKHTSFFVCVIDPESIDKMDIIKHKEMWTKEALGTCGFFQIVNHDIPILVLDEMLQGDRKFFKNDIKFKKQYYIRDIMKKDCSC >Solyc12g039007.1.1 pep chromosome:SL3.0:12:53026899:53028022:-1 gene:Solyc12g039007.1 transcript:Solyc12g039007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLMAENKMFPLEDSNVENIALVIDAKNDSDLWHLRYGHLNIKGLKFLCQKDSTDEGQWSDPNSVEEVIEPETFTILEEPSSERIPLRRST >Solyc10g019177.1.1 pep chromosome:SL3.0:10:12233781:12235694:1 gene:Solyc10g019177.1 transcript:Solyc10g019177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILNWLLGLKRKLDTNLGVKWDDVAGLSEAKRILQETVVLPLFMPEYFQGLQIGIRRPWRGVLLFGPPGKGKTLLAKAVATECGMTFMNISCSSLCGNWYGESERLTWCLFKLARAHAPTMIFIDDIDSLCSVRGSATEHETSRRLMAELLVQIDGLNNSNSTSGKMVTLLAATNFPGNLDEELSRLEKWIYIPLPDFETRKELIQINLKSIELAPEVDIEQVAQKTKGYSGDDLTNICRDASLNGMRQKIAGKTIDEIKNILKSEMLKFQLQWKTS >Solyc03g115920.3.1 pep chromosome:SL3.0:3:66984355:66985411:-1 gene:Solyc03g115920.3 transcript:Solyc03g115920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BL94] MADIISNVFNENDEFSAPILSDNECAEELQYQEVLAASLEIFNLHMSTTQIEESPESSQGFCEICMETKATNEMFKLENCSRHSFCTDCIAQYVQFMIQDHIFSVTCPGLKCCATIEPVYCKSIIPENVFEKWQGGLSESSLLECEKFYCPYKDCSELLIYDHDQGIIECICPVCHRLLCAACGVPWHTGLDCDKFQNDEKNREDDLKVEELASSSKWMKCPHCKHIVQKADGCIHITCWCGSQFCYICGETWSENHWRCQISE >Solyc06g062340.3.1 pep chromosome:SL3.0:6:39431464:39432160:1 gene:Solyc06g062340.3 transcript:Solyc06g062340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTEALSPPWRRFLKSWFLAVRQRKCTGMLWEAMIRAR >Solyc10g009070.3.1 pep chromosome:SL3.0:10:3082645:3094180:-1 gene:Solyc10g009070.3 transcript:Solyc10g009070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVSSKAELKRREKQKKKAKSGGFESLGLSSNIFRGIKRKGYRVPTPIQRKTMPLILSGFDVVAMARTGSGKTAAFLVPMLEKLKQHVPQAGVRALILSPTRDLALQTLKFTKELGRFTDIRVSLLVGGDSMESQFEELAQSPDIIIATPGRLMHHLSEVDDMSLRTVEYVVFDEADCLFSMGFAEQLHRILTHLGENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDLDTKISPDLKVAFFTVRQEEKHAALLYLIREQITSDQQTIVFVSTKYHVEFLNILLREEGIEASVCYGDMDHDARKIHVSRFRARKTMVLIVTDVAARGIDIPLLDNVINFDFPTKPKLFVHRVGRAARAGRIGTAYSLVTSDDMAYLLDLHLFLSKPIRAAPTEEEVLQDVDGVLSKIDQAVANGETVYGRFPQTVLDLLSDRVREIIDHSTELETLQRPCTKAFGLYSKTKSKPSKESIKRVKDLPREGLHPMFKNDLRGNELSAMAFSERLKAFRPKQTILEAEGEAAKSKKQNQWVDVMKMKRAIHEEVINKVRQQRSSVPASKEDDFDPTPSKRKDKQVSGSKRKAKIFKDEEYFISAVPTNQHFEAGLSVRGNHGFESKRLDAAVLDLVADDKNGLQKQKVSYHWDKRSKKYIKLNNGDRVTASGKIKTESGSKAKTNKTGIYKKWKDQSHKRISLNGTNDGNSAAQSTSLAGGPRGQGGGRNFRGGRNNRSVPNAHVRSEIKDVDQVRKEREKKAQRASYLKTKKGKKSYKGGKKGNGKGKGKGRHG >Solyc03g111820.3.1 pep chromosome:SL3.0:3:63920851:63923834:-1 gene:Solyc03g111820.3 transcript:Solyc03g111820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNALVSAATTRGVKPTQHAMVKRERPIFSLSDDHAMFKKILDTHDPDGREVDVDVILHIVEDVFQHSYPAAMDGVLNGTGTDHLEANVGALKLEEKTSLAFDGILEGLAYIIHKVSCELTCNCSSGGHDTHSTTMSVLEMLSNYHWDAKLVICLAAFAVTYGEFWLVAQLFGTHPLAKSVAILKQLPDIMEHHGSLKSRFDAINELIKAILDVTKSIMEFKKLPFQYITEDQPPLSVAITHVPTAVYWTIKSIVACAFQLTTLSGMSYDMIVATTADTWEMSSSTHKLKNISKHLGAELNRCYQHIQEKMHIEYFQMLVHLFEATQFDNMKIMRAMIYIKDDLLPLEVGTTQTRAIVEVLRRKTVLLLLSDLEASHEEILVLSQIYLESRSRPEIQYEIVWLPIVDRSKEWNEEQEQKFKELQALMPWYTLHHPSLLEPAIAKFVKEKWHFSKKMMLVTLDPQQGKVACPNAIHMTWIWGNLAYPFTISKEESLWSMESWRLELVVDGIDPNLMDWMASGKFICLYGGEDMDWIRSFTKSAQSVAKRAGIELQMLYVGKSNNKERVRKINSTITDEKLSNCLTDLTSVWYFWTRIESMFYSKMQLGKTIQEDKIMQEVLTMLSFDGSDQGWALISRGSFEMARAKSQIITKTLEDYTTWEDSAKTKGFVPALIEYFLQLHTPEHCNRLILPGLDGDIPDVIVCAECGRPMERFFMYRCCTD >Solyc03g119910.3.1 pep chromosome:SL3.0:3:69942144:69943612:1 gene:Solyc03g119910.3 transcript:Solyc03g119910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIISSQLDLYSIKELPESHAWKSSLDDDGSRNINAESIPVIDLNHDHKFVMDTIGHACKTWGAFQIVNHNISHRLLNHMETHGTRLFSLPMQQKLKAARSSDGIAGYGVARISSFFDKLMWSEGFTIFGSPLEHARQLWPYDYNKFCDVIEEYENEMEKLAGRLMGLMLGSLGIAKEDVKWAVGPRSGSSALQLNSYPACPDPDRAMGLAAHTDSTLLTILHQNNTSGLQVFKEGNGWVTVPPLRGALVINVGDLLHILSNGLYPSVLHRAIVNRTRHRLSVAYLYGPPSGVKISPLSKLVDQRNPQMYRPVTWSEYLGTKAKHFDKALSSVRLCAPRIGFANSKDQSGVQVG >Solyc07g056270.3.1 pep chromosome:SL3.0:7:64255886:64260142:1 gene:Solyc07g056270.3 transcript:Solyc07g056270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDLKSDLSRKTSFLGLKLWVVMGLCVGVFIVGILCMLSIWVTCRRKSRRTLDGYSHCQIPHVSKDIKVDRVGAANVHDHPESLFLTIHDKPNETTSEKMLVHLGRSKSSDADNISQCSSIYHHERGFSSQSGEEGSSGTVRKQPSYGIAMPSPLIGLPEVSQLGWGHWFTLRDLELATNRFSAENVLGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMRHHGTLTWEARVKVLLGTAKALAYLHEAIEPKVIHRDIKSSNILIDDAFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLVLEAVTGRDPVDYSRSANEVNLVEWLKMMVGNRRAEEVVDSDIEVRPSTRALKRALLVALRCVDPDSEKRPRMSQVVRMLETEEVPYREDRRNRRSRTASMEIESVKESCSSSADVESKVGRADSSTSDTILG >Solyc01g066080.2.1 pep chromosome:SL3.0:1:72875046:72876430:-1 gene:Solyc01g066080.2 transcript:Solyc01g066080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSFFTTQNMKKLENEKSHVLIALFRVINLGIGVTLSLVDNFHLYYSCVMFRGSKFIFNLIQSIAKNGAPFSHVIYTIIMELVGLVTKKNIPSKLFWIQPTTVFYVYYYRFTDYFDYLKNSDFPSFAFDNVESSNWAVKSIKRQIEMLSTEENPRVLVKTFDALESDALRILKHVTMVGIGYIAFGSYSVISTQLMEEIDHGFLKCGRPFLWVIREGQDGDNMEDKLSCKDELEKASKVPIVACPLWNDQVCNAKLIQDIWKNGVRVNVSEGGVVKRDEFYRCITIVMGDGEEGKELRRNVKKWSDLAKEDMKGNGTSSVNLKDFANEILLGYNDNKTS >Solyc01g105840.3.1.1 pep chromosome:SL3.0:1:93767449:93768453:-1 gene:Solyc01g105840.3 transcript:Solyc01g105840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIISFKYVVNNYGPISIAKIITSILQQNI >Solyc01g090110.2.1 pep chromosome:SL3.0:1:83630863:83633970:-1 gene:Solyc01g090110.2 transcript:Solyc01g090110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSDMKLQAMNAVRAYFVRNWTREDLMNTGEMTQHAYASLKRVYLTLFFAMWSFTFGSYLHWIWEAGGRFTVLSSVASLLCLYLTSPSSVRTRVLLLMIAAFSIGASIGIFTKYFFEIDQELVFRLLAPPTLGIGFIWVGSTYTRERSAIYKGCLFYSCLLFYSTFNASNSEYIDSHTAHRMLKVCIVFALFMGYIVVYSQEILYDAHFGEINFVNRTLSIFFRLPGILVHTARLCLRA >Solyc07g053160.3.1.1 pep chromosome:SL3.0:7:61736067:61738595:-1 gene:Solyc07g053160.3 transcript:Solyc07g053160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPNKVRRIADFHHHDLPSCRSKMYNKLHQKEKRPKPSEKKEWEGATCSVCMEHPHNAVLLLCSSYDKGCRPYMCATSCRFSNCLEQYKKAYTKVTSIEGSEPGLLATDDPNCSSGAGCLAGAAELLCPLCRGQVKGWTVVEPARKHLNAKKRTCMHENCSFVGTYKKLRKHVRREHPSARPLEVDPSLAEKWKKLEHERELNDVFSTIRSAMPGAIVMGDYVIDGNFGGLHRNFGLDNHLDETLFRSDPLSDLWNNNVHPDDLFNDSYHSFDQDDFFVHHSGTEAASNVFNRISRLHSRILLGRSRRRQRHRASSRIR >Solyc08g075080.3.1 pep chromosome:SL3.0:8:59357018:59365015:1 gene:Solyc08g075080.3 transcript:Solyc08g075080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSKNNAVDSPSSPVASSSSSVVVRRSRSTRSKVFQSACLGSRDSENEEVQALETQTKRNEASGACLSETKSGGRKAEWFGKVKVKKHDETCVASNVELDDWKESRLSDTIARKGDASSRASSSRSSNLSGRFLPRFNFRPGNMSFRLSRANSLGSARSLFASSQRFASSIDEDELRRSSSSGRFTDRNERPQNCDFLPSCFSNRSPSQCSEDVTSNNLVFTPSTANFSDNFTDEPHPASRRGLSAREPTERNISISRTLSVGRLRDRVVRRSSFPDVCSFQRETETGHASAMRQNLGGELSSVASQGNDLILSNSSGFASSGASTSLYGSQYYAVESPRGRETRYHDLLEHRSNWLERRRRIRSQVYALQRLGRRFENHSGHERSCVLSSQHRTGHCTCRISSQGANLDSDSSARASISRIVMLAEALFEVLDEIHQQSVVLSSQPSVSSIGSVPAPNEVVESLPVKSYNKFRRSSNDEVAQCYICLVEYEEGDMLRTLPCHHEFHRTCVDKWLKEIHRVCPLCRGDICQGNV >Solyc02g082617.1.1 pep chromosome:SL3.0:2:46852760:46855586:1 gene:Solyc02g082617.1 transcript:Solyc02g082617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLSESVRLFGAQFGQVYSYFEPNLVSARIACMHYSTARLFDGLRSLRCFDYLFLLKLSGNINIGAKTMKKDFDFDPLDVTKWICNIHSQASRSFISL >Solyc07g044750.3.1 pep chromosome:SL3.0:7:57925482:57930880:-1 gene:Solyc07g044750.3 transcript:Solyc07g044750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLLFLQLQEPPFHLPILLGILDWKMKRYRNGEIWDFEEEMQLLGDGFCDQREVILGLDGDTTCTVCVCMPLIPFADDLPDPPPILSRAVAGCSNHNSVGESAARDALELVMADALSKAGSARFCVQAVCLAVSGVNHPTDIERIMNWLRDIFPSHVQFFVQNDAVAALASGTMGKLHGCVLIAGTGTIAYGFTEDGRDARAAGAGPVLGDWGSGYGIAAQALTAAVRAYDGRGPYTALTPSILRKLDLSSPDELIGWTYSDPSWARIAALVPVVVTCAEGGDEIANKILKNAVQELASSVKAVVRRLQLCGKDGNDAFPLVMVGGVLEANKKWDIGREVVNCICKDFPGVHPICPKVEPAIGAALLAWNLLSRYS >Solyc11g073300.2.1 pep chromosome:SL3.0:11:56587356:56593347:-1 gene:Solyc11g073300.2 transcript:Solyc11g073300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISTARSSAYLTALTQEIEKKLQRALTSPSQRRNLLQELFADIALEVDDRAKEIILGTKDAIIVAEERAEGPTCYYYVLADHFVHVPQNGKPILDLIVQLWSQSFAANIFSLLFHKWLFEVQLENSEVLLRYSSALVQGATNVFWIDIQTNTRRFQSLFKYLLEEVALVPDRLKKIPLQAQRDLFLFLSRFIFFYNLGDKLGSFLRQFPDFPNAFLIGGAADIFVTELADQLQKLKVEPVLLHYLSQLKVLQGLELRMATSTRLKTCLYSFTSPGAPMYPTRAVRHAAWDALDLLYPVGRYPRHIISLFFRLLYPWYWPSSFWNFIKSCILAVFYSLLRLIFSSWDKVRSRPKEQ >Solyc02g064804.1.1 pep chromosome:SL3.0:2:36521735:36522282:-1 gene:Solyc02g064804.1 transcript:Solyc02g064804.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRSFIIKECEQQNWEGIITRIWPNTKYLDVIVTGHRASQLCPSMLRKFIRGENVLCTVNYYPTNSPEPRGFEPMLFGYGRMFELGAELQITHLTLE >Solyc07g063600.3.1 pep chromosome:SL3.0:7:66169556:66171198:-1 gene:Solyc07g063600.3 transcript:Solyc07g063600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4CH43] MAATGSSATVVRATPFLGQTKYANPLRDIVPMGSARFTMSNDLWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGAFGCITPEVLEKWVKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNNLYPGGQYFDPLGLADDPTTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >Solyc11g072920.2.1 pep chromosome:SL3.0:11:56372110:56374267:-1 gene:Solyc11g072920.2 transcript:Solyc11g072920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4DAZ8] MSPNYILVVICILLSTCSFYETNAQLSANFYSTTCPNVSSIVQNVIQQALQSDARIGASLIRLHFHDCFVNGCDASLLLDNNATTNIVSEKDAAPNTNSTRGFDVVDNIKTALESSCPGVVSCADILALAAESSVSLAGGPSWNVLLGRRDSRTANQAGANTSIPSPTEGLTNITAKFTAVGLNLTDLVALSGAHTFGRAQCRVFSARLYNFNGTGNPDPSLNTTYLSNLRQICPQNGSATALANLDPTTSDGFDNNYFANLQNNQGLLQSDQELFSSATTLSIVNTFSSNQNTFFQSFVQSMINMGNISPLTGTNGEIHLDCKRIN >Solyc10g005930.2.1 pep chromosome:SL3.0:10:715423:718552:-1 gene:Solyc10g005930.2 transcript:Solyc10g005930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYSPCFQPLKVFPTSNRIRSSGALTLNRRNAVACMKKSHEDTSLEAKVDFLLDHVKWDDKGLAVAIAQNVDTGAVLMQGFANREALSTTISSRKATFYSRSRSSLWTKGETSNNFINVFDVFLDCDRDSIIYLGKPDGPTCHTGSETCYYTPVDELLKHPEVEKNELALTTLYALESTINQRKAETSSSSNGKPSWTKRLLLDDKLLCSKIREEANELCQTLEQNEANGRTASEMADVLYHAMVLLSLRGVKLEEVMQVLRQRFSKSGIEEKNSRKS >Solyc06g069250.1.1.1 pep chromosome:SL3.0:6:43146001:43146480:1 gene:Solyc06g069250.1 transcript:Solyc06g069250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPPNATSAISSTAASAAPAAAATSNRLVVALIGSVNSIWALWKKKPNRSTKTKKTGENSSLAKSKKFLAKISGKATNFMRKKKSKNGGAENGEDFGDGGLWQKEILMGDKCQPLDFSGVIYYDRDGNQLAEVPVRSARSSPLPFYVTESSKHSEIN >Solyc06g074380.3.1 pep chromosome:SL3.0:6:46143162:46147125:-1 gene:Solyc06g074380.3 transcript:Solyc06g074380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGKKKSHQQNRRDRAYYLEQEEPEYPVAPTVESTQEDEEDEEESQDDLISSFSTNDIPSKFSLYQQSVQSPKGDISYLQKFFLMYVGGRVPLHFQEDFCGTGLLSTEWLHSDARRTAIGLDLDVEALDWCMENNVNKVGADVSSRIFLFHGNVLQPLEAKLVNVSTQNLMQNVTLGDSEDDSPDHKLMKDFQFPARDIVCAFNYSCCCLHTRQELVSYFKHALSALNKKGGIFVMDLYGGTSAEHELRMQRKFPNFTYVWEQAEFDIIKRKTRISLHFNLHKPQRKIRHAFSYSWRLWSLPEIKDCLEEAGFQSVHFWIRHMPDSEDIRSTYGLAAGRDIKYEEVTSFQQQDSWNAYIVGVA >Solyc06g071520.1.1 pep chromosome:SL3.0:6:44178506:44180615:1 gene:Solyc06g071520.1 transcript:Solyc06g071520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDPFFMSNNNMMKQENDDFCIDSKDYLQDLEHLDHNFSNTFNNFSENFMIDDNTNGTYHDPFDPFSNIQDNFSSLGDFNFINYEVNPFDQENGESSGSKLVMKNNFHVVDGVNVPDDQSSCVTGDIHHNNLSSSMKKKLGGSRGRPKKSKSSKGQWTIEEDRLLIHLVDKFGVRKWSQIAQMLKGRIGKQCRERWHNHLRPDIKKDFWTEEEDRILIQAHGEVGNKWAEIAKRLPGRTENSIKNHWNATKRRQFSKRKCRTKWPRPSSLLQNYIKSLNLEKGSTKKSNDTNPNGRVDLIRRVNYPGGSGYTGSIPEKKNENLVNRPNKCHAKVSPKPEAIEFCQGNNNIGDFDLSEVPEFTLDDNFFMEEINIPHVGSSSIMVNENNYEKCMGMEIMPYYEVPTLMQGEVNKEIDLMEMISRV >Solyc01g112270.1.1 pep chromosome:SL3.0:1:98253454:98256766:-1 gene:Solyc01g112270.1 transcript:Solyc01g112270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDYATHTLVSVVDVSIPYCSNNQTQFPDEENAKAISLFEDVVQLNDAIEDEAKRMENLVKGIFAGNLFDLGSAQLACGAILKGWHFFLASCQNLIPRPWVIDDLDTFITKCSRESWKKVILAANDLRSINDVTYPELVKIISKVVEMLFNF >Solyc07g063130.3.1 pep chromosome:SL3.0:7:65829235:65837534:-1 gene:Solyc07g063130.3 transcript:Solyc07g063130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCGKPSAIEDSRDSPRERLSSKSAASLRGPRTGGSSRREEAYRVKDRLDSIDGKTMLIDKQVNGSVRLQTENYERKREKQELIGTLPKSSEGEQVAAGWPPWLAAVAGEAIRGWVPRRADSFEKLDKIGQGTYSNVYRARDLDQGKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNIIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLKFTESQVKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDNNGVLKIADFGLASFFDPRQSQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSAGCILAELYSGKPIMPGRTEVPWLNIIMDSEGLLQKLLGSKYIQGHQSSLVRCASETKLWSLYPAFWFLMLVVEQLHKIFKLCGSPSEEYWRKSRLPHATIFKPQQPYRRCVAETFKEFPAPALALIETLLSIDPADRGSSCSALKCEFFTTKPLPCDPSSLPKYPPSKEFDAKVRDEEARRQAAAGGKGHRYDPERRGTRESRAVPAPEANAELVSSMQKRQGQSNPKSRSEMFNSHPEEVASGFPIDPPRPSQVVEEASNDPHVQLHKRGSHSGPLSHRAAWAKAGKNMDDAPKLSVADMSAMSGLVAARRSMFSEDRPDKSGSHKQEVPKLIARFPGSYKEASHSTMKQEQKNHALASSHHQNEDGRTSNNDPVLLGYGSKGNKIHYSGPLLVPSGKMDQVLKDHDRHIQEAVRRARLDKAKAKKIQVEGNKLSANSLFVSGR >Solyc04g078490.1.1.1 pep chromosome:SL3.0:4:63311155:63312759:1 gene:Solyc04g078490.1 transcript:Solyc04g078490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSASTVAGAQNRIKSRSSPVISSMKEIDDSDDVIDVFDVGEFDYSSNIPDECLACIFHFLSSGDRKSSSLVCRRWLRVEGQSRHRLSLNAQSELVAVVPVIFSRFDSVTKLALKCDRRSASIGDEALVLISLRCRNLTRLKLRSCRELTDAGMESFAKNCKGLKKLSCGSCAFGAKGMNAVLNNCSALEELSVKRLRGITDGAAAEPIGPGVAGGSLRVICLKELYNGQCFGPLIIGSKSLRTLKLFRCSGDWDKILEVIAEQVSELVEIHLERLQVSDTGLAAISKCSILEILHLVKTPECTNNGLKAVAENCKLLRKLHIDGWKTNRISDDGLIAVAKHCPNLQELVLIGVNPTCASLEKLATNCLNLERLALCGSETVGDPELSCIAAKCIALRKLCIKSCPVSDQGMEALASGCPNLVKVKVKKCRLVTSGSADWLRTTRGSVAVNLDTPEPENPDASASDGGLPEVGNEIRQVAGQVRGVSIASSSTGRSNSFKARLGLATGRNLVACTFRRWSSFGGSSSSSSRNN >Solyc09g059780.1.1 pep chromosome:SL3.0:9:55935582:55936334:-1 gene:Solyc09g059780.1 transcript:Solyc09g059780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYANVTLLHKLCIIHDFIFWRMVDSLPPFKGCSSAPE >Solyc12g042050.1.1 pep chromosome:SL3.0:12:57718983:57719552:1 gene:Solyc12g042050.1 transcript:Solyc12g042050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKHKEMWTKEILMDYSKQMMKFGGCFLRLLSECLGLDRFNLNDMDCKGAWCFVPLQ >Solyc10g007880.3.1 pep chromosome:SL3.0:10:2069633:2080258:1 gene:Solyc10g007880.3 transcript:Solyc10g007880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYILVAICIILVIIFRWSWRLMNWLWLQPRCLEKCLRELGFRGNSYKFLVGDMNEVVKMDEEAKSKPIKFSHDIVKRLMPFIHKTIIDYGKNSFIWLGPNPAMLIMDPEHIREILSKSNIFQKPPPALTKLLAEGILSYEGDKWAKHRRIINPAFHLDKLKHMVPSFHLTTCEMLSKWEKIVSTEGSEVDVWPYLQTLTSDAISRTAFGSSYEEGRQIHELQQELAKIILKETEASYIRFLPTKEKRRMNRIHQEVRSLVLRIINKRMNKIEAGDTSNSDDLLGILLESNMKEIQEHGNKKFGMSIDEVIEECKLFYLAGQETTSALLVWSMILLSKHSDWQARAREEVSQVFGNNVPDYDKLNQLKVVTMIIQEVLRLYPPNFLMGREVHKETKLGNLSIPSGVQLLLPTILLHHDQEIWGEDVEEFNPERFSEGVNRATKGKFAYFPFSWGPRNCIGQNFAMLEAKMALAMILQQFAFEVSPSYAHVPYSVFTLLPQYGAQLILHKMMEIPYNNNYYNLNLVLFSCAIILVLIWAWRILNWVWFKPKMLEKCLRQQGFKGNSYKFLFGDVKEMMKMGKEALSKPIDFSHDMTWPRVMPFFHKTINNYGKNCFGWYGPRPAVVIVDPELIREVLSKNYIYQKPPGNPLTRLAANGLAGYEADKWAKHRRIINPGFHLDKLKHMLPAFQLTSSEMLNKWKEIISKEGSEIDVWPYLQTLTSDAISRTAFGSSYEEGKKIFELQKEQMELLLQVARSLYIPGWRFVPTKTNRRMKQIFNEVGALILGIINKRIKMIEDGEIHDDLLSILLASNLQEIQQHGHKKFGMSIDEVIEECKLFYLAGQETTSALLVWTMILLSKYPIWQQRARQEVLQVFESDEFDYDKLNHLKVVTMILNEVLRLYPSAYFINRVVTKDTKLGNLCLPSGVQLLLGTILLHHDTEIWGDDAMEFNPERFSDGVAKATKGQLVFFPFSWGPRICIGQNFAMLEAKMAIAMILKHYSFELSSSYAHAPHPLLLQPQYGAHLILYKLEK >Solyc01g107640.3.1 pep chromosome:SL3.0:1:95012524:95018138:-1 gene:Solyc01g107640.3 transcript:Solyc01g107640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLLLPAVRPGIGSAPSVHPAAGKPWRSSFLRCPNTLIPSTSSLPFSPLKTDPLSSPLFRLISKKNRILASAPVSSPFTSPNEESEKAKLAQVAKRLLNTASYFKRLGTLGFWGQLVCTLVAAVILSFSIAITGRITSPFTFYSTAGGIAAAFISVFWSFGYLRLSEKLRKTANDPSKAPPRADVVKSLQNGIVVNLLGLGAAILGMQATVGTLVAKALTTSTNPYQTLTPGSSPVLALDVFLVQASANTIVSHFLGLVFSLELLRSVTLPTTDSIPVPRIA >Solyc06g073620.3.1.1 pep chromosome:SL3.0:6:45562315:45563890:-1 gene:Solyc06g073620.3 transcript:Solyc06g073620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDRNLIEILEANSPIDFNKYIGYVSSPKCGAIATFAGTTRDTFDGKEVLELQYEAYVPMAVRCLKSLCSSARSSWDIHSIAVAHCLGTVPVGETSVFVAISSVHRADALDACKFLIDELKASVPIWKKEVYTNGEVWKENKEFIERMPELGNASHDQDGACSGKKKVEAHERKSCCGTKVKVNDESADSSR >Solyc09g010566.1.1 pep chromosome:SL3.0:9:3937174:3938911:-1 gene:Solyc09g010566.1 transcript:Solyc09g010566.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTMVAKRARAMWWMRLHSAIRTALACIIVGCVTLYSPPSLSKQLAFPSFSYVTSIFIVSDATLGHALRGCWHACLATLQTMPLSMLGLWIHNYVATDDYSPEVAALMVAVSAFLVALPESTDLMCKRIAFGQLVIVYVDAVIHGLYVNSPMMHPFRIAFSTALGVVASIIALLLPYPWLAYHESGRT >Solyc09g083420.3.1 pep chromosome:SL3.0:9:69500701:69507838:1 gene:Solyc09g083420.3 transcript:Solyc09g083420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGLKIIPREHVEKAKDESLDDSRKQRRRSGHKKDRERKKKKKSRSTSSDDEDLDKIRARSKKKKWYASDEDLSSYSDGSESDSASDYERKNSSRKTSKKRRSKHGKSKERSQSSSSESESEKRKRSKKDRQSRREGDVLQNNVLDNDFDGSKLRNDHQRVRKEMGLDWMLRPKDNADKIPESVSNCSAKETPAEEVTKVNPKELNPYLKDGGGGYPDDSEGTKSGGSQLLSSAVVGDGGASWRLKALRRAQEQADREGRKLDEVAAERWGSLSQLAVSVSSGKAAPTRAHLHAIKRRQGAMDDKEAVTDKRNQAYARKETSPGRSKMRMPTNLKDSLSWRKERNQNISNADAGLIATAISSVNKFSNDGNFMREFMHEKSGDSSHAPDSSNPKSGVLESKPDLPVYERTSEDATNIKPALSANQLAAKVMQLRMKGMHDEAEKLLKEAEELKTKQTANDVLSRPRIDGSTSRYVMHDLSARQKNKAEDADVHLAQKIVQNKKYTTYGQADDEYDYDDGPRKKSRKKGGVENHKSLETANHARRILTQQERCQFCFENPTRPKHLVVAIANFSYLSLPVWRSIAPGHCCILTMQHESATRSLDDNVWEEFRNFKKCLIMMFAKQEKDLIFLETVMSLARQKRHCLVECIPLPKEVAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSVKGLRASIPKDFPYFHVEFGLNKGFVHVIDDENQFSSSFGLNVVRGMLKLPPEDMHQHRRRESVDTQREAVAGFARDWDPFDWTKQL >Solyc08g061260.3.1 pep chromosome:SL3.0:8:48402522:48404704:1 gene:Solyc08g061260.3 transcript:Solyc08g061260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKAVMGNLTVAERQLLTAVNSGASSLSFVGSGFIVLCYLLFKDLRKFSFKLVFYLALSDMCCSFFSIIGDPSKGFFCYAQGYTTHFFCLASFLWTTTIAFTLHRTVVRHKTDVEDLEPMFHLYVWGTSGVMTVIRSIANNHEHLSRLGTWCWAQTGHTGKASI >Solyc02g080760.1.1.1 pep chromosome:SL3.0:2:45458453:45458917:1 gene:Solyc02g080760.1 transcript:Solyc02g080760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTILVVILIITSVLTYPINARSLMAMKEKPKASADEQNEYFQHPLSPFFGGFGGVRGAIRPPFGLGAGFGGFGGSIGGAFGSGFGPFAGNGGTSSARSGSGSGSGSGFGSGINEGFGNNGGNNPNDKIEGELDTGDLGEGGDATIKNDMHHH >Solyc10g039390.1.1 pep chromosome:SL3.0:10:21640871:21643059:-1 gene:Solyc10g039390.1 transcript:Solyc10g039390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEEKKIEVTSAVYRVGLHCPKCAHDIRKPLLATQGVHNVDVKFDEDEVTVKGAIDANKIHQRLQKWTKNKVHLVSHAKIENANQLKKETIKTTILKVYMHCNKCEVDLERRLLKHKGINSVKTNFKAQTITVETILESEKLVSYVTKTFGKYTEIIKKKEEEKVTMEEKIIEFKQVKKVEAKIKEGEIPCSVYYVYAPPWFSDENPNACHVM >Solyc01g100105.1.1 pep chromosome:SL3.0:1:90063808:90066201:1 gene:Solyc01g100105.1 transcript:Solyc01g100105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNYYIVDGDDGIRVILSLLCEKFKVVNFFVVEEGEFTVVAQNITQYVESCCVDVEVGTDCEHSPGSVDEWDLSEGEECDLEWMDAISNERGRVVGDRLESFKELQVGMTFKDMKEGRQVMNYYALANKRALTIIKGFKIKTFINKHTCEETFFNARADAVTLAQYFKNKLQNNPKYKVKDMRGELENDLKLNVCQSKLKRAKRMALEKLDGSFIDDYNKLEDYAQELKQSNPRSDGLIDAVVKVLPEAQHRYCVRHIESNWCRKWRSGQMRKLMWWCAWSSYVEELKDQLNKLGKLSEDGARNLVKYPPKAWCRVYFDTQCKNMKPSQ >Solyc08g080910.3.1 pep chromosome:SL3.0:8:64192550:64196018:-1 gene:Solyc08g080910.3 transcript:Solyc08g080910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLYVDRMSQACREVIIFCKLNGIDFEEVHIDLSKRQQLSPEYREINPIRQIPAIMDGRFKLSESHAILKYLACAFPRIADHWYPADLYKRAKVESVLDWHRTNFPRGPGSYTFYSVLAPTVGLPLNTKAAARTEKMFIACLATIESVWLQKKGRFLLGSDQPSIADLSLACEIMQLEILDEKDRERILGPFKRVLKWLDDTKNAMAPHFEEVQSTLAGYKEKVQKQRNTLGSKITQSGRKPVLQSNM >Solyc03g063070.1.1.1 pep chromosome:SL3.0:3:35361524:35361673:1 gene:Solyc03g063070.1 transcript:Solyc03g063070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIIAKPWQLTPKLLTLCEKKKEKKQQNTALPLHERKQSEHKIGTEYR >Solyc01g065590.1.1 pep chromosome:SL3.0:1:71771114:71771680:-1 gene:Solyc01g065590.1 transcript:Solyc01g065590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPLGTVVSLRPTFIPYQRVSLAVKIPFTLHSRANLRTTRGNFCMPLLSFRRPTPIEIVYQRLSLVLQVLTQGSLHLHIHVYFTKTFSEIVPNRYAFHAGRNLPDKEFCYLRIIIVTDGVHRGFGR >Solyc02g071050.3.1 pep chromosome:SL3.0:2:41107163:41109197:-1 gene:Solyc02g071050.3 transcript:Solyc02g071050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVAEKELDIINVQEETDDPKTKKIDALIVIPAESLTTPNSVNKYTKWLEIGIYALIVLVSQTVATILGKLYYNKGGKSTWVISLTETIAFPILLIPMIFNCTNNNNNKIPNLDSKSPSLMTKLFIYVFLGFLVGIGCGLFSIGLLHLPASTFSLISSSQLAFNVLFSLFMKLEKITPLIANSITLVTISSLLLAIDSSNNASSYHHNAIGFICTLIASALFGLLFSLTDLYFRKFFKKSTLREFMDVIICQSFFATCIILIGLFASKNWRNLKTEMNNFEVGRLAYIMILIWISVCWQLYTYCLLGLIMKVSAVFANVITTLGAPLIPIMSVIIFNDKMSGVKAISIVLAIWGFSSYAYQQYLDELNHKDDRNEIEDESTDRQTI >Solyc01g014420.1.1 pep chromosome:SL3.0:1:13467376:13468416:1 gene:Solyc01g014420.1 transcript:Solyc01g014420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNNHQVKLCIPTSKILEVITTKKCLQNFLFESFEKLGKYFLRYAISIQSFKTYENYHEGLLNIKKNKIIFTASLHEHILHASPDLQRQICYTVENFEKLDIVYTFGWESETTLPIVVGDVVRSFIGAIFVDSILEKILNTFLT >Solyc07g007380.3.1 pep chromosome:SL3.0:7:2113091:2118956:-1 gene:Solyc07g007380.3 transcript:Solyc07g007380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIWCLIVLIFSISLLYGVVTSSQILSVHAGASFSQSSKEPKYKVEFHSEDSPFQPDDDQETILMPNKNGEKFLCYLPKVDKPKIGKPVTTNISSLVVDTEKRIKLKTPDELLEVLKDKCLVRVRIHLALPLPLDEEQEGWWSYEFCYEKKLRQVHLEDEKLVQEFILGNYDAVATAAYHQKHSDSSVLKDPRSKDASQRYHAHIYTNGTMCDLTNEPRQTEVRFVCSEPRAMISSITELSTCKYALTVHCPTLCKHPLFQEERPVWHTINCNILPKDYRETKVEDNFENEKIAMVTDSKYQYQPSIDSDEHVRVEEDNSDDENITVAT >Solyc06g051730.3.1 pep chromosome:SL3.0:6:35366407:35379035:-1 gene:Solyc06g051730.3 transcript:Solyc06g051730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSMWRATTKLLVATTTLGGGAAAATIASSDDPAMALKLCTNVPLRLYRDSVTAATIAFDYEYSLWGLPEGSAERAKAKHEVHMRGARRLEELCFRNGGIYIKLGQHLGQLEYLVPEEYVRIMRESMLNRCPHSSYDQVHEVVKKELGGAPDEIFDEFDPIPIASASLAQVHVARTRDGQKVAVKVQHTHMTDTAAADYATVELIVNTLHRFFPSFDYRWLIAEVRESIPKELDFLVEAKNSIKCMDNFRKLSPHIADYVYAPKVYWNLSTSKLLTMEYMEAAQVNDLKSIQRLGIQPSDVVKLVSETFAQMMFKHGFVHCDPHAANLLVRPLPSGRRSIFGRRKPQLILLDHGLYKELDYNTRINYAALWKALVYSDADGIKENCVKLGAGDDLYALFAGILTMRPWNKVIDSSVDHLVVKGTDGDRSELQIQGPSLESFLIIGRVSSEAVLESMLLQKRSLLSWINFWIQKILLEGRVCVMHIAVWLLQLQKALTL >Solyc01g060316.1.1 pep chromosome:SL3.0:1:70143008:70143737:1 gene:Solyc01g060316.1 transcript:Solyc01g060316.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKKLRSRRCEIKPAYKRDGLFKKESELSTFCGANVAIVAFSPSNKVYACGNPSVESIVDKFVGENPPLKTDNPNPIITAHKSANINEINKKLNKLETSLERERKHGKALQALRIEPSTEKLGFLTLRSCGNPWSALTPLRARKSTSSDSGEGSSGSVCSTLNGISFYFDTSFV >Solyc01g112380.2.1.1 pep chromosome:SL3.0:1:98369045:98369701:1 gene:Solyc01g112380.2 transcript:Solyc01g112380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETSIIGEKIISNDIIIFLVLIEQMSDEKVLRVLLFI >Solyc02g031713.1.1 pep chromosome:SL3.0:2:27302946:27304904:1 gene:Solyc02g031713.1 transcript:Solyc02g031713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQNSPKVDNSNRSRSRPNPNRGSDTRSNTRDQPSNFRKNYEDRKRGAPHREDCYICGETTHAARYCPSLRKLSAMVVAEKQQEKDTMQARSSAGEQHGQSSGSDKGKNVSVGMFNHIALISHISIAALASKPASVRPRESLFVDAKLNGKDVRIIVDTGATHNFVTEQKAKELGLSYVASKTKLKTVNATPTTVHGFAPKVPIELGEWTGQTDFTIALMDAFDVILGLDFWYEVNAFISPRHNQLHISDTGDEPKTSCVTRYGAFDWLVMPFELTNASTTFCTLMNKLFHSYLDYFVVIYLDDIVVYSNNMEDHVEHLCEVFKVLRDNELYVKREKCSFAQPTVHFLGHTISHGEIRMDSDKIDAIKNWEAPMKVPELRYFLGLANYYCRFIFNYSAIAAPLTDLLKKDRDWNWSEACQTAFERLKAAVTEEPVFPYRISLRHSKSTLMHQTLLSVAS >Solyc01g108973.1.1 pep chromosome:SL3.0:1:95995753:95997198:-1 gene:Solyc01g108973.1 transcript:Solyc01g108973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFVHMVVSILEFIVHELTYLVIEFLNSCPGCGDERSYSKNFHNKSKDGGSIISEFCSADFRNAVLSTMSASDMVIHGERHHRPKLQNMSQELNHDLWSQILIVLHTITCDLCLLRFLFLNTVSSCPKCAHFEKLGSKSFSVYSIAVTDANSNFFLIFLWLCLADILLGAV >Solyc03g045035.1.1 pep chromosome:SL3.0:3:11404095:11406911:1 gene:Solyc03g045035.1 transcript:Solyc03g045035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCMSSCHQCSVVSFSISSRFCCENAVTFLYQNSFELPPFIYEFFIETNFLSSCRFSLEFLRLVALAFSLLISRMESEICEVEEGLQLLQLRYQLQRALVKIILFSKYPCGIEGSNLDLPSTTYRSFVSSLGFICNA >Solyc04g015040.3.1 pep chromosome:SL3.0:4:5242696:5247850:-1 gene:Solyc04g015040.3 transcript:Solyc04g015040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4BQ07] MASTSLVLPLSSAFSINLFKNHHQRIRKLQTGNGVTREFGLRLWAQENLMGTVEEAERYNTTKRRDLVMGLGFCGVTSFLVGSCYAEAAGLPPEEKPKLCDATCEKELENVPMVTTESGLQYKDIKVGRGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGRPYIFRVGADQVIKGLDEGILSMKVGGLRRLYVPGSLAFPKGLISAPGRPRVAPNSPLVFDVSLEFIPGLESDEE >Solyc08g082860.3.1 pep chromosome:SL3.0:8:65641524:65647013:1 gene:Solyc08g082860.3 transcript:Solyc08g082860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAIIFMIFTMSMNMIKAETCKSVDKGPVIPTTPLVIFLEKVQEVALQTYGHKRVDAKLFVDMSLRKNLSETIEAFNKLPRIVNGSVSKSDLDGFIGSYLSSPDEDLVYVEPVDFVAEPEGFLPKVKNSEVRAWALEVHSLWKNLSRKVADHVLEKPELYTLLPLKNPVIIPGSRFKEVYYWDSYWVIRGLLASKMYETAKGIVTNLVSLIDQFGYPPVLAAMIVDIFNQTGDLDLVRRSLPALLKENHFWNSGIHKVTIQDAQGSNHSLSRYYAMWNKPRPESSTIDSETASVLPNICEKRELYRELASAAESGWDFSSRWMSNGSDLTTTSTTSILPVDLNAFLLKMELDIAFLANLVGESSTASHFTEAAQNRQKAINCIFWNAEMGQWLDYWLSNSETSEVDIYKWEDLHQNKKSFASNFVPLWTENSCSDNNIKTQKVVQSLMSSGLLQPAGIAMTLDFPNGWPPLQHIIIEGLLKSGLEEARTLAKDIAIRWLRTNYVTYKKTGAMYEKYDVTKCGAYGGGGEYMSQTGFGWSNGVVLALLEEFGWPEDLKNDC >Solyc03g006160.1.1.1 pep chromosome:SL3.0:3:818026:818571:1 gene:Solyc03g006160.1 transcript:Solyc03g006160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNAIRCFISCILPCGSLDVIRIVHSNGKVEEISDSHIKASQIMKLYPKHILKKPSSSNDDHQQNISTTTPKIVIVPPDAELQRGKIYFLMPMPSSCSSSSSSSTPREKLRTRSRLSTRNKKKTRQVTSEGHDNANLLISDDQYLSDILSEKASTQRDKRRGRDRVWRPHLESISEIVSEL >Solyc12g010390.2.1.1 pep chromosome:SL3.0:12:3440156:3442129:-1 gene:Solyc12g010390.2 transcript:Solyc12g010390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4DCC6] MVSFDKLKIWVLCICLVSELGYGFYLPGSYPHKYGVGDFLNVKVNSLTSIDTELPYSYYSLPFCKPEEGVKDSAENLGELLMGDRIENSPYRFKMYTNETEVFLCQTKPLSADEFKLLKERIDEMYQVNLILDNLPAIRYTKKENYFLRWTGYPVGIKVQDAYYVFNHLKFTVLVHKFEETNVARVMGTGDGSEVISTVGNEGSDAPGYMVVGFEVVPCSFQHTPDSLKNLKMYNKLPSPIKCDPTTVSMAIKENEPVSFTYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTIRRDLARYDELDKEAQAQMNEELSGWKLVVGDVFRAPSNPGLLCAMVGDGVQILGMAVVTIMFAALGFMSPASRGTLITGMLFFYMILGVAAGYVSVRLWRTIFCGDHKGWVGVAWKAACFFPGLSFLILTVLNFLLWGSHSTGAIPFSLFVVLILLWFCISVPLTLIGGYLGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLLIVMILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSINYLIFDLKSLSGPVSATLYLGYSLFMVLAIMFATGTVGFLSSFWFVHYLFSSVKLD >Solyc11g065553.1.1.1 pep chromosome:SL3.0:11:51361394:51362746:-1 gene:Solyc11g065553.1 transcript:Solyc11g065553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLWVTS >Solyc07g017300.1.1.1 pep chromosome:SL3.0:7:6724704:6724883:1 gene:Solyc07g017300.1 transcript:Solyc07g017300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIQSFSFVMKSPDCSASCFSLTFFFTALLSDFFFCLLQYGIDAMEVTGFTPIINWRS >Solyc02g090490.3.1 pep chromosome:SL3.0:2:52653969:52656132:-1 gene:Solyc02g090490.3 transcript:Solyc02g090490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:K4BCJ8] MGRMVLIAAAMTLFVTLQVLQPPLVVSAATKGKTVTVLSIDGGGIRGIIPGTLLAFLESKLQELDGPNARIADYFDVVAGTSTGGLVTTMLTAPNKDNRPLYQAKDISNFYMQHGPQIFPQSRRNSFVRRITNLFGGPKYDGIYLRTIINSILGNLTMKQTLTNTVIPTFDIKRLQPIIFSTADAKANISKNAQLSDVCLSTSAAPTYFPVHSFETKDAQGKTRTFDLVDGGVAANNPTLMAITYVSKQIMTGNFQYEGMKNMDCNKMLVLSLGTGIGKQEEKYNATVASRWGMVGWVYNNGATPLIDIYGDASADMVDIHTSTMFQTLGSEKNYIRIQDDNLTGEAASMDIATTQNMETLVQIGNDLLKKPISRVNLETGRYEPVVGEGTNEAAIVRFAQLLSEERKLRIN >Solyc07g017320.1.1 pep chromosome:SL3.0:7:6799059:6800036:1 gene:Solyc07g017320.1 transcript:Solyc07g017320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTQLKCGGIIVGCTFDHRVADAYSANLFLVSWSELMKSEILNPNDQVTSRIYYVTGDKIEHLQLLANCHDDQKGTSQRSKLESFSAFMWKTIACGINKETWGFNNFRFGIVVDGRTQLIINNVDKSLKGYFVNVLSIPFGEKKVEEVKEKSLNWVANVIHEFVDIAKTQEHFLGLIDWIEAHRPEPSMAKICAMNGDGPAVVVSSGQHFPVKKINFGWGKPALWSYHFPWAGKSGYVMPMPSPKGNGDWIVYMHLLKWKIELIKASPYHVFKSVTANYLNLI >Solyc02g092990.1.1.1 pep chromosome:SL3.0:2:54590990:54592642:1 gene:Solyc02g092990.1 transcript:Solyc02g092990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYSSTHCGGGTKHRHRSLHPTQLPNYNRSASDNSQSDFFSLMNSDEDESPIPFVFGNRDYTDDLPDECLALIFQCLSSGDRKKCSLVSRRWLLVEGQSRHRLALNAKVEILPHVPTIFSRFDSVTKLALRCDRKSVSINDEALNLISLRCSKLIRLKLRGCRDVTDVGMSAFARNCKNLKKFSCGSCMFGAKGMNALLDNCSSLEELSVKRLRGMNDGFAADPIGPGAAASSLKSICLKELYNGQCFAPLIAGSKNLKTLKLLRCLGDWDRLFETIGSRENHVSEIHLERLQVGDTGLAAISNCPKLEILHLVKTPECTDAGVVTVASKCKLLRKLHIDGWRTNRIGDEGLVAIAENSSNLKELVLIGLNPTSTSLFAIASNCKKLERLALCGSDTIGDPEVTCIATKCMALKKLCIKGCEVTDQGIESFAWGCPNLVKIKVKKCKHVTGDVADRIRAMRQSLAVNLDGGEIDIEPVDSSASDGGAIEEATEFQHTAAALPIIGASDIPSTSNVGRSSASKPWFGFFGGRGLVACTLRRWSNGNGESL >Solyc05g051160.1.1.1 pep chromosome:SL3.0:5:62244099:62244482:-1 gene:Solyc05g051160.1 transcript:Solyc05g051160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYPPPKIDLKNPWQIKKKITRDEVIVGKLVIPFFDTFEYILRYWTLDAAKSLENGCDVLVDMWNVTEENILKKYQGGSVFLRKLHNDDFYLSCMILFNNCKLNVGDEIGLYWDPRSSSLMFKLLS >Solyc08g048230.1.1.1 pep chromosome:SL3.0:8:14646216:14646545:1 gene:Solyc08g048230.1 transcript:Solyc08g048230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRPKTRNPLDMMQKGSWSILDKRIIYEKYELEFEAGKGERAFYLQDDLFHHIVWAPRIWRLWGFLFDCIERPNELDFPIGPGHFGASRSFMMKMMSFKSISNHYFTS >Solyc03g093643.1.1 pep chromosome:SL3.0:3:56619589:56636711:-1 gene:Solyc03g093643.1 transcript:Solyc03g093643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIPLVARETTNYTCYCSYSTATDSMCRQDSATHLSAEEEIAAEESLSSYCKPVELYNILQRRAVRNVILHSFKDAYSTKFKQSTKEGIYDCDCFHSQFFLHARLRLMYSILDALRIQMTISVPATVSDESQVQNLFPLGVILAKPLSSAAAAEGHSAVYQFKRACMSTSFSGVDGINRAQAKFILPEMNKLSAEIRAGSLVILFVSFAELARDRGDISSFPLNLEGHCLLGRMPMELLHLLWDKSPNLSLGERAEMWSTVDLNPCFMKTSSLDKDRHISFEYPRSSAALATIQQLQVKIASEEAFARERTRYDSFSYDDIPSTSLARIIRLRTGNVVFNYMYYNNKLQRTEVTEDFTCPFCLVKCVSFKVNEEYQAVNVSVRSEMWRSEIVADGVDPKQQTFFFCSKPLRRREQPDLVQNSKHVHPLVLDSDFPSMNDLNGRTNGVADAVECDPSSSNGASVPSSGNLYTDPDSVQSASGSTLAPPALLQFAKSRKLSVERSDPRKYVQWHNVK >Solyc02g031860.3.1 pep chromosome:SL3.0:2:27567750:27579234:-1 gene:Solyc02g031860.3 transcript:Solyc02g031860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSPYGVKPKMDRDFEQNNFEQARYSSIDTRAEVIGSSNQKFFQDPSSSINTDIRPPDFTIPVAARPVMNYSIQTGEEFALEFMRERVNPKQNLIPHASGGTAGATSCMDLKDKSGISHTGSESGSDIAMITSVGKTRVQHHGRSTSVNEGISNHQAVQTETRALSRNNNIHGIQSQMSSRSSTLTKLKFLCSFGGRIMPRPSDGKLRYIGGDTHLVRLRNDVSWEEFWQKMLTLFNNCHTIKYQLPGEDLDALVSVSCDEDLQNMIEEFNVLEGDGSQKLRTFLFSYSDLDDSLAGLENIEGDPEMQYVIAVNNMDFGSRRNSTALASTSEKNLDEFLSATIAGEDGQVARHVAGADTSDPVIGMPLTSQSAHEGVSISSHRILGSNLGHDSNQLEYLGQTVHHGGTEWQPLPSSIPVDNFPGVGGKNLVLPSKQVQYNHGYQLPNSSQLTNNFLISSSHGYMNWKGGIDPKQSYESSHMNDQESHATVVNLKRDNYPREIFELSKAKPREKEVPEEGNIKVESSFQKINEPEKLWPLECKKVVSSNPLNDSASSHVSRVEVPNFTASAVVGNDVMQSKINEKSQEEVQSSASPVAVQEEKLDRFTEDGFSGSGRISNSGYGDSGANPHDISYEQPSIPPRTFRSEWIPREQPGLNRLSKSDDSAASQFIMAHAYSEGSQQIIESVNKLNDGNVAPQTEHFVPSGRSLSANLHATADKGVKLQESQGLSVSAREVDTKFSGELSEANYKPELKAATYAEKVKSGLSDPILSNNIQAESASRKTELHWGDASSHRAEGNEEAEQLNSLAEKECQVGAAVSTGIPSGTVGTLEHGSILFDINDRFPHDFLADIFSKAKLMDASPVPAPLYSDGTGLSLNMENHEPKNWSFFQKIAQGDFDRRNVSLMDQDHLCVSSTRANVDDGVSMDYGYPPFKGDGAMIDHMDSQLNIEAEFQQASPEIVVPDTMDLPSGYNPSQITDVESMQYDVELSSKVPESGYQDENQGAQNAGFPLTNLPLGDFDPSSLQIISNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVNGSLRHVLLCKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVADFGLSKIKRNTLVTGGVRGTLPWMAPELLNGGSNKVSEKGSTIFSASSYSG >Solyc01g107040.3.1 pep chromosome:SL3.0:1:94596370:94606632:-1 gene:Solyc01g107040.3 transcript:Solyc01g107040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKSVFDSSVIRAEFDKAGINNRFIPLIWKYVIQNPDCNWEDIPSLPSSAYTLLRAKFKASTSVVHSVFESNDGVTTKLLIKLQNGAFVESVIMRYDSSLGKLNGKPRPGGPRSTLCVSSQVGCKMGCTFCATGTMGFKNNLSSGEIVEQLVHASHLSTIRNIVFMGMGEPLNNYSSLVDAIRVMTASPFQISPRKITVSTVGIIHAINKLHNDIPNLNLAVSLHAPVQDIRCQIMPAARAFPLEKLMNALQEYQKKSQQKIFIEYIMLDGLNDEEQHAHQLGKLLEAFQVVVNLIPFNPIGSLSQFITSTNQKVARFQKILRDIYDIRTTVRKEMGQDISGACGQLVVNLPDKRSISNAAPLTDIEDIRRQILC >Solyc03g005180.1.1.1 pep chromosome:SL3.0:3:114187:115266:1 gene:Solyc03g005180.1 transcript:Solyc03g005180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFLRRQLINLSCILNSQCNLRICSYSSRPTRANQANDFFEEDSKPRPVAADFGGGVFHLSPLYRDEKYSSKDCRIELVDNETWSVSSGLAEVWRGNTNVASKKNSSFSPEEDVDDAVANYNDEDPDFDDIEDLRIRGNLFYKLDKDSKEYEEYKFDFHRRNKNKNNGDDCPKEKEKSSDVSASGIEKSLKGIYEKKQSKKDKLLCDSDFSVDRDDTNNLQDFQLKRSRVPTFNQLTAPYHEPFCLDIFVSKGSVSASIIHRATSKVVAVAHSISKDMKFDLGSTKNRATCAAVGEVLAQRALADDIHNVIYTPRTREKLEGKLEIVLQSIINNGINVKVKIRQRKTKKHGFQRPTA >Solyc02g067700.1.1.1 pep chromosome:SL3.0:2:38397230:38397742:-1 gene:Solyc02g067700.1 transcript:Solyc02g067700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIAISMILLFVGIGVLILIHICIVGRAYRRGIGTTNIVERGSLASNSMSHEDIEKLPSYAFQSKDPMECAVCLDNLKVGEKCRLLPLCNHSFHAECIDLWLLKTSICPICRSTADFLKGGSISGGESSRYSESGRQELNAETAELAMILVPESDTPINSLSFETRTSQS >Solyc06g065170.3.1 pep chromosome:SL3.0:6:40749557:40755658:1 gene:Solyc06g065170.3 transcript:Solyc06g065170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQWRKFEFFEEKFSGKVPDDIAGKIQCCSSGKGRIVLGCDDGSASLLDRGLKFNYGFQAHSSSVLFLQQLKQRNFLVTVGEDEQIASQPPAVCLKIFDLDKMEPEGTSTSSPDCIQILRVFTNQFPEAKITSFLVLEEAPPLLLIVIGLDNGSIYCIQGDIARERIKRFKLQVDNHSDKSQSSVTGLGFRVDGQVLQLFAVTPNTVNLFNMHTQAPTRQTLDQIGSSVTSVAMTDRSEFIIGRSEAIYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVFDDQRTGKNTFNVYDLKNRLIAHSIVVNDVSQMLCEWGNIILILEDKSTLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYSKQNFDEAMAQYIHTIGHLEPSYVIQKFLDAQRIHNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNEFIKSEDGVGEQKFDVETAIRVCRAANYHEHAMSVAKKAGRHEWYLKILLEDLGRYEEALQYISSLELSQAGVTVKEYGKILIEHKPAETVEILMRLCTEESELPKKGASSGAFISMLPSPIDFLNIFVHYPHALLEFLEKYTSKVKDSSAQVEIHNTLLELYLSHDLDFPSISQSNIDDGGNDLAHKSSKSVSNGRAISNKKDVNDEKGRQERRRKGLTLLKSAWPSELEQPLYDVDLVIILCEMNDFKEGLLFLYEKMKLFKEVIACYMQVHDHEGLISCCKRLGDLGKGGDPSLWADLLKYFGELGEDCSKEVKEILTYIERGDILPPIVVLQTLAKNPCLSLSVIKDYIARKLEHESQLIEEDRRAMEKYQEESSIMRKEIQDLRTNARIFQLSKCTTCTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRAVLETKRSLEQSSKNPDQFFQQVKSSKDGFSVIADYFGKGIISKTSNGPSEANGSNSASSGNDFYVELHQNKKIGNTKV >Solyc08g080380.3.1 pep chromosome:SL3.0:8:63799996:63802253:1 gene:Solyc08g080380.3 transcript:Solyc08g080380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPFEILFLLPFFLLPLTFFYFKVLRSSEITQLPPGPTPWPVIGNIFHMGKMPHITLTNFAKIYGPLMSLRLGSQCLVVGSSTSTAIEILKTHDRILSGRHVPNAVPAKRSDLDKISMGWTSECHNEWRYLRTLCRAELFSGKVLESQAYLREKKVMELVEFLRSKEGQVVNIGELVVFATVLNMLSNVLISKDMVNLEKEIEDGGIRNLIRGLVEAISAPNVSDFYPVLGKLDLQGLRKRARYVMTEIRSNWELILEERRKNKESGSSSQHDFLEALLDNGLTNDCIHQLFVELVSAGSDTSTSTIEWAMAELIKNVETMKKVQEELEIELSESDYPKESQLLQMSYVQACVKETLRLHPPAPFLLPHRAIETCQVMNHTIPKDAQILVNVWAIMRDPLIWEDPEMFRPQRFLSSDMDFKGNDFEFLPFGAGRRICPGLPMAAIIIPLVLASLVHFFDWELPHRERPTELDMTEKFGVTLQKKEPLLLIPKPRK >Solyc11g006960.2.1 pep chromosome:SL3.0:11:1457627:1469594:-1 gene:Solyc11g006960.2 transcript:Solyc11g006960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGRFNLTPASSDSGFVGSYTNGPKGSYMGPSMDRSGSFRESSDTRIFGSGKGASRGTGAVVGDLPSLSQCLMLEPIVMSDQKYTRSGELRRILGFTVGSTSENSFGAAHLKSPLHFGDELKKFRDSVAESCNKASGRAKKLDEHLHKLSKYSEGIPSKKQQRNEQLTNERLGGSRTQIHRGPSDLVTQKIEERLKNSTLNKRVRTSVAETRAEYRNSALSRQPMIVKDRDMLKDSNADSDMSEEKIRRLPAGGEGWDKKMKRKRSVGAVISRPLENDGEPKRMQHHRLASEPGLSPSDSPGFRSGISNGAGSINKSDGSSLAGVNARTMLKNEQDKSALSRDPTAGLNKERVLGKGSIKLNSHEENHAVCPSPIAKGKASRAPRSGSLAAANSPSNIPRLPGTLESWEQPPNVNKNLAVGGVNNRKRPLPTGSSSPPITQWIGQRPQKISRTRRANLISPVSNQDEVEVPSEACSPSDFGARLTPGVTSGSILSKDASNLTQNLKVKADSVLSPTRLSDSEESGAGESRLKEKGGVTCEGEEKPVNTVQSNGVSTSHMKKNKFLVKGETGDGVRRQGRSGRGSAFSRSSISPTREKFENQVTAKPLRNSRPASEKHGSKSGRPLKKHLERKGFSRFGNPLSSGSPDFTGESDDDREELLAAANSAYNASIHACPSAFWKTVDRLFASVSAEEKSYLLEQLKSAEESHANLSQTLNRTNNVLGGHAHDGTSVSDSPSVEKNRCINNQNGSKVSSDTELVDQFHDSILSAKVDSDRIFDKVTPLYQRVLSALIVEDDIEECEENGFDLFMSPQNGPETLLHGVIDSQSRKMNRTEVEYDTVFSSQIKKNGTGNEFVSCNGYGVYHRNPDVQGPQYSDEMSRGNNGYLHSEVGLFVGLSECDTDVPQRLQINSFGISSFERQYAQMAFDDKLLLELQSIGLYIEPVPGLDDKEDEVINQEIMQLEKGLYQEIGKKKTYMEKISKAIQEGKDLEGWDPEQIAMNKLVELAYKKLLATRGTLASKNGIPKVSKPVALSFAKRTLSRCRKFEDSRTSCFSEPVLHDIIFAAPPRINEADLLAGSCPVRADGVLVDPYERFNHQSDHAFAKNGPIINRGRKKVLLDDVGAGAAFRATSTLGGTLLGGAKGKRSERDRDSLARNANAKAGRSLGNSKGERKTKTKPKHKTAQLSTSVSGSFNKFTGITTHPVYPSANGSGELVNASGNRKREGDVNSSMERKESADGMNLPLNDIDAIEDLGVESDLGAPQDFNSWFNFDVDGLTEENGDGLEIPMDDLSELNMF >Solyc06g065630.3.1 pep chromosome:SL3.0:6:41130112:41132643:1 gene:Solyc06g065630.3 transcript:Solyc06g065630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:A0PDH2] MGCCKEEEPKWLWVNGPIIVGAGPSGLAVSACLKENGVPSLILERSDCIASLWQHKTYDRLKLHLPKQFCQLPLFDFPENFPKYPTKHQFISYLESYAKHFSITPKFKQSVKVAEFDGVCGFWKVQTQDFQYLSKWLIVATGENAEAVIPEIPGIDKFKGRVMHTSVYKSGTEFINQRVLVIGCGNSGMEVSLDLCRHNAIPHMVVRNSVHILPREMLGISTFSIAMALLKWMPLRIVDKLLLLVANLTLGSTDKLGLRRPKTGPLELKNATGKTPVLDVGALSQIKTGKIQIMPGVKEITKIGAKFLDGKEGEFDSIILATGYKSNVPSWFKGSDFFTEQGMPKTPFPNGWKGENGLYTVGFTRRGILGTANDAKNIARDISEQWREFKGFCKNFCTTKNLSDNQGICF >Solyc06g059755.1.1 pep chromosome:SL3.0:6:37753179:37755278:1 gene:Solyc06g059755.1 transcript:Solyc06g059755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCRLCCKDHLISLPLMMRVAMVAEAEVASKLAPSTANSICLYHVEAFVNYVSAELLPPSCCISTTTQHAVVYSWGPVVELPVASRIGVGAIIISLSFLLDFLLGLSGVARQEKRLGVIKDDYIDRRFKFVIFTEQWYHGMSNVNKSVNQVPATSSSGTVVELLHQNSMNSRQQNPMNGGNSTYSGNAVQINAFP >Solyc05g025990.1.1.1 pep chromosome:SL3.0:5:39173942:39174094:1 gene:Solyc05g025990.1 transcript:Solyc05g025990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPEHGKQVIFLLKLKNAKKIIQIGLFSRYSILLTGLTIPNGCKASES >Solyc05g052420.2.1 pep chromosome:SL3.0:5:63500613:63501343:1 gene:Solyc05g052420.2 transcript:Solyc05g052420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYHTPFSSLHSNKIEMTSTLQLHRINHHNAATLAGNFPMTTTTSNICVPDNHFHYHTHPVGPNNNQCCSAVVRSISASIDTVWSIIRRFDNPQAYKNFLKSCHVIVGDGKVVGSLREVHVITGLPAASSIERLEILDDEKKVMSISIIGGDHRLNNYRSVTTLHRAAADDGGDGGRTVVVESYVVDVPQGNTKEETRVFIDTIVRCNLQWLGQIAENLEKTKSN >Solyc01g102890.3.1 pep chromosome:SL3.0:1:91443047:91444225:-1 gene:Solyc01g102890.3 transcript:Solyc01g102890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETFYQISQLVMALKSFILIIAILAVVTSISHASDPSPLQDFCVAVNDSMNAVLCVVFVNGKFCKNPMDVNADDFFRPGLNLAGNTSNQLGSAVTAVNVNNLAGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTLFEGTLYVGFVLSNPGPNMKNKLFTKILHPGDVFVFPVGLIHFQFNVGKTDAVAFAGLSSQNPGVITIANAVFGSDPPINDDVLAKAFQIDKKVVDYLQSQFWWDNN >Solyc06g011273.1.1 pep chromosome:SL3.0:6:6149781:6151262:1 gene:Solyc06g011273.1 transcript:Solyc06g011273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDRLTAIKLAWLLKKSTSDIIILLLYVGDIHITLSLSSLLKSIIKTLMSGFSMRDLGLVNFFLGISVSTCKGSLFSSKPFNTPLSPKYLSASDTSHPFYDPLLYHSLVGRLQYLTFTHYDIAFSFSQLARFMYSPLDIHLTVFKHILRYLYYFLNLGLFSSSGSIGNKQSVVSRSSGEEKYHFVAHGTVEISWLLSLLGDIHIQLSSRSKIYCDNISIIYLAQSHVHHTPMKQIEIDIKCVREKVAFSFLHVHYVSSADQLADLLRKSLPCAQFSFLHGKLNISRDPTFFQGE >Solyc09g055963.1.1 pep chromosome:SL3.0:9:46764942:46769025:1 gene:Solyc09g055963.1 transcript:Solyc09g055963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLHYFISVEVIRSSSGLILTQANYVNEILNDELMTDCKSVNTPISACESLTLSNGTHLMTDATHYLRILHRLQYPYFSRMDIAYAVNKFSIHESTIRPSLESCEACSPITVWYHSTRTTWSSKKQNISSRSSTEFEYKAVANDLSETLWFSIKDLGNLHYFIGVEVIRSSSSLILTQSNYVNEILNDELMTNCKSVNTPMSAFELLTLSDGIHLTDVIRYRQVLGRLQYLFFTEKLCSLFSDTCVVQFN >Solyc06g084245.1.1 pep chromosome:SL3.0:6:49477538:49479837:-1 gene:Solyc06g084245.1 transcript:Solyc06g084245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFDLPPSIACINSMHTIMLCWKNQSHNILVNQQNNMLICLQDRNSDPPQWIIDTGATNHMTSDINLLNKESIYLKLSSQLVEEDYNTTVVQATTQTAEEASDNVATDVVLASSYCAVLRPCLKEDPAGANNLLYSQFDHSLYIKKTNEGMVIVLVYVDDILVTRDSLDQILATKTALHKAFQIKDLGELRYFLGIKFARSQQGIIMHQRKYALKLISETGLSEAKPASTPMDTTVKLTTTEYDQYLKEVVSSSDETLADQKYISHPDISYSVQNELYKMNCIKRIV >Solyc01g105110.1.1.1 pep chromosome:SL3.0:1:93272013:93272171:1 gene:Solyc01g105110.1 transcript:Solyc01g105110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEWMIDKLLAEYGLPAEGDLANKRKFAIGAFLWPHCSSDRTSHLTTSPHPA >Solyc09g083020.1.1.1 pep chromosome:SL3.0:9:69130168:69130641:-1 gene:Solyc09g083020.1 transcript:Solyc09g083020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGAVGNDYGSTWEEKGRGDVAGIFVAYSKDSIQSLQFLLYEDGNFVQSNKHGTHYCANFSAVVFNYPSEFLTSISGSYVNGEGLESIEFTTNKGSYGPFGQTTTYAKHFNFHLGNSNLFGGFHGTVSDSAVESIGIYLKPFVINLKDLRLKDEK >Solyc09g098530.3.1 pep chromosome:SL3.0:9:72849291:72856425:1 gene:Solyc09g098530.3 transcript:Solyc09g098530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLYTISLSPSNSIRFTSPKRCCHYHVISRRVSPSPYRRRHLRRRRFPFLKKFSPEDTPPSDQNLHFVLTVDNLPTKSFYSIKDLIHLKLREFLHSGRAAIEDLQTLIRIDTDAGRVSFSCTRSTVKFLATLLVSTFLLIFTLRAILNLVRRIPLNTGNNNVELVYKRDRSLGGREVLVAKNETPTLDRKKPNVLDRDEGNSNWDLDTPISFSRRRKKKSSVEQLPKWWPVSTSGSDQVGTENQEEYQRMADRLIRAILDNRMTGKDILADDIIQLRRIGRISNVKVSFDTENARDTLFRVAVDFILNYCESTASQSAFVLIDGEEAQNFVAGLADNVGLESTRAARMVSAAVAARTRSRFLQAWALEIQGKHSEAVVELFKICVIHQIFPPEEFSPEMEMVARGLEKHLKVDQRESLMNSLLQVCGDETRRSVAEALGLMYMKSNIAHQQENKYT >Solyc03g078260.3.1 pep chromosome:SL3.0:3:51686018:51689807:1 gene:Solyc03g078260.3 transcript:Solyc03g078260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4BHQ5] MGYMGYFFNLGGSLLGWIFIWDKQINFTTNILKSRMMMANTYLQVLCLSICSLAIINTVSSANENYLPEAYHVSKTIVKNAVAKGAVCLDGSPPAYHFEPGFGDGAETWLVQLSGGEWCRDVEACLDRSKSDLGSSNVMGSWWFTGMFSKNQSGNPEFYNWNKVFIRYCDGGGFTGDVEYVDPATKLHFRGARIFKAVLDELLEKGLKTAKSALLSGSSAGGFPAMLHCDYFRTLLPNTPRVKCMNDAGYFINPKEPFLTNFTELYTSLVTLHGSAKALPKECTSKMSPELCFFPENMQQYVKTPLFIASSAYDKYQINSSIANGINTCIGYANCTAATNQTFLEFRSRFLNALPKPTNPKLKGVFIDSFNHHSQVQYWWSPINITTINNLTISKALADWFYDRNYTYVIHEHELPIPALGVVKEEEHKAKEEQAPSAKSLT >Solyc05g010220.1.1.1 pep chromosome:SL3.0:5:4406698:4407870:1 gene:Solyc05g010220.1 transcript:Solyc05g010220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSSSCKRSRNSHVPRMRIVDLPLMILIDILSRLSIKSIFQCKTVCKFWYNVLSYDPLFVKMYQTRSQIFPCIYLIDGVGNPSFLEIKPEYSSYAHHCNRPIQLTPKFHYPPGSVFLVGLCNGFTCFVNDSTNIDQKHSLYIGNPLLGEYFEVKLPKWEITDCGVTYGFCFSKASGKYKVLRLVVGKLTKVSGLEVYTLGIGEKWRNVGKIPCPACYKFGKVNINGALHWMDSEKNDIIYSFDIETEKIKSLPAPLGLVNPPWNLKLVELGNYLCLTDYYNTNIDIWRMKEYGISESWTKDIILVDSIPRSMVHFNFEPILMWKDGEILIQSGTKLALYDPKMKSFRLVYFHSEVITAVTYIPSFYSLKTVMGDKFQVSNVYPKTRIV >Solyc11g071540.2.1 pep chromosome:SL3.0:11:55265914:55273137:1 gene:Solyc11g071540.2 transcript:Solyc11g071540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKIQRCCEVCGNLSFDDGGDGFFYCTRCGSQAVDIMDTGVDDDDLFNVDGGMYSTSQRRQYCQVIQPVPISQVQLSQHLETLKTFDDYDEHNGDDGVGPAVPSDFGSSQISLTYTDYYSEIRLRYVMGLQAMIQMQCKTLVEKFNVSPLIVGLAGPIWLRLLAHENVLSDEWADDVIHESESQTQGEIELSQPTGSQKTEPRNLNGKRAVTIWHKSLRNLIPLPCSLAISFLVCHVAREAILPTDILKWTLEGKLPYFAAFLEIEKQLGPPPRSCPISTSRMFRPIRTVTLQKLESLAASIARKIGLELPSVNFHAIAARYLKNLSLPVEKILPRACQVYEWSMPPELYLSDNDSRLPSRVCVMSILIVTMRILYDLNGGKWELISSCSNNLVSAVENGAGECGFSCNASGAVAEEDSASRDSDHHDSSSALSEKSNSDALKLLKILEEKYSELSDSYDFSKDLQSYLLYCKNVVFAGLEPAYDDHEEEKLIEDFWNFYQSRKAAKASEDGKTDSHTCNHFHHSGSRHGSSSTTKENENFRDNDDSNAALRQLKADMKENRFVYIPPRKNVKKIDGYIRYARKKDGAYLYAVHADYYILLRSCAKVAQVDVRTMHVGVLAFEKRLEMLERRIGFCLCKRIPDDFCEFCRD >Solyc05g015150.3.1 pep chromosome:SL3.0:5:9920297:9925474:1 gene:Solyc05g015150.3 transcript:Solyc05g015150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRIYAFFLVLLNFHTGISLTSDGLSLLSLKSAMDDGGGGTDNVFSDWNENDDTPCTWSGISCANISGSSEQRVVGITLSGKNLRGYLPSELGTLLYLRRLNLHGNNIYGSIPDPLFNATSLHSIYLYDNNISGTLPPSVCNLPRLQNLDISDNSLSGTFSKDLRNCRQLQRLILARNKFSGEIPVGVFPELANLEQLDLSSNLFNGSIPHDIGELKSLSGTLNLSFNHFSGRIPKSVGDLPLTVSFDLRNNNLSGEIPQTGSFANQGPTAFLNNPMLCGFPLQKNCKNSSNNSTQVEGSSGNEGTSSRKGLKPGFILLICLADAFGVAFIGLVIIYLYWKKKDSGGCSCTGKGKFGGNEKRMLCDFPCINGFPSNDSEVESEKGGGGGASGGGVSSGEGDLVAIDKGFSFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFVAEIQAIGRVKHPNVVKLRAYYWAPDEKLLISDFISNGNLASALHGRNGQPSPSLTWSTRLKIAKGTARGLAYLHECSPRKFVHGDVKPSNILLDTELQPYISDFGLNRLINITGNNPSSSGGFMGGALPYLKPAQPERPNNYRAPEARITGNRPTQKWDVYSFGVVLLELLTGKSPDLSVPTTSTSTEVPDLVRWVRKGFEEQNPLSDMVEPMLLQEVHAKKEVLAVFHIALACTEADPDIRPRMKTVSENIEKVGA >Solyc09g082970.3.1 pep chromosome:SL3.0:9:69068382:69077183:1 gene:Solyc09g082970.3 transcript:Solyc09g082970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:K4CVS3] MSHAINFFVSSPSRSPASLTISRPSAFVSTGSLRVPVKKSLRSLVVKASAASDLDESQSSPVLVSENGSGGVLSSVTKEYGRNNAVASSADSSSIEVDTVTEAELKENGFRSTRRTKLICTIGPATCGFEQLEALAVEGMNVARINMCHGTRDWHRMVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWNFTVRAFDPPLPERTITVNYDGFAEDVKVGDDLLVDGGMVRFEVIEKIGPDVKCLCTDPGLLLPRANLTFWRDGKLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAVSFVKSAEVIKHLKSYIKARARDGDIAVIAKIESIDSLRNLEEIIQASDGAMVARGDLGAQIPLEQVPSEQQKIVQLCRQLNRPVIVASQLLESMIEYPIPTRAEVADVSEAVRQRGDALMLSGESAMGQFPEKALTVLRTVSLRIERRWREQKRREVIELPSIASSFSDSISEEICNSAAKMANNLEVDALFVYTKNGHMASLLSRCRPDCPIFAFTTATSVRRRLNLQWGLMPFRLNYSDDMESNLNKTFSLLKARGMIKSGDLIIAVSDMLQSIQVMNVP >Solyc08g042140.3.1 pep chromosome:SL3.0:8:23505405:23515798:-1 gene:Solyc08g042140.3 transcript:Solyc08g042140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTRLRQVSVPVIPAFACEKDSEAMRRRRVLRKFDKELANRNYKAANSLLKQLQHKPRALLCFDSAKLVPKIINTQELSMVDSSSFESLVDTIMCSIKYSIKFALSDEEVLITGFEDATGSETDNSPYEDHQMCLQHEVGHFLVGYLVGVLPRSYEVPSLQDITQDKFAQGNVQFLGFEFLKEVDINTISSKRFTHGKLKSKENRAKISSQTLNRFLCVILGGLAAEHLLFGYSELLHSDVQKLDRVLRWLCYNENEADSLVRWAILTTLSLLSHHHEARSRLAEAMTSRRSIGYCIDMIESTL >Solyc06g008720.3.1 pep chromosome:SL3.0:6:2626162:2636997:-1 gene:Solyc06g008720.3 transcript:Solyc06g008720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNKFEEGETCDDSTVDPDIALSYIDEKLQDVLGHFQKDFEDGVSSENLGSKFGGYGSFLPTYQRSPSWTCTKTPPDAYNNNRSTSPSSLRPEGGRRPSSASSSASLSGKPLASSAYSSTIVPTLKAPAANGKTNSSLQPAQDEDSTSRSELVKKPTNLSDKKTPKLHARIGIKKFSTQKKAEIYSGLGLDVSPSSSLDDSPISSEGLSHDLRDSRYESPTSILQIMTSYPMHDGLLLSPLSDDLLCLTEKEKVRGGSTSECMIQESLETSVTLVNGAHYANRRSSEARKWKSYNKDVLGIGYGSDNQNGSTVQSKELDLKVITCEELVSEALKLPLLSNPNHNVADPLKDAGRVSDSPRAAVRDKTAECSSYKAGSQESRSPEMLKVSDAVGNKSLVEHNCLTKERVNVGKTEKPCSSGEYPILASNESTSLDALHASQDNRDHSVQGIDSSGRKRYGSKGSSSGTKEDGSQSSNYVMMNHQENPNCRSSSGTSRSLDKTCNQHTGVPNSSVVEKRRHKNKEKKISHDNHSDGGTKDANTRNTSEVESDVSSKKIIQDDALDDENQTFCPVVEKSRWSSSSKMPEMIRDKCKNRDSEDDSKKDLVSAKNPEAYISDVSTHKGKCDNNDSPIKRKGRELRDSLTCNPQDSMEKICDDISRKEKVARVSLSDRKDTSASKGSAGTGQVKKEQQAGQDLDSTLSLLSVKAADSSQKDLCNSRPSVAPSSALPTSSPKRSSDGENDVFSNKSSMVKKDNACNGKSYDLLSEAELEEKDVHRESGKKVKAKSMVSGYATQQDTDVSADPLRQASHFACKTGNSDQGSDKERKNDHQFQNSGSVSNRKRGSSSRRKEKNRAPKSDSDQCKTKDTDILNLSSEQMPFNEEKTAPGKNKSQGKSVVGSDRLKKSSKKDPSGKLLEKNVKGDNESRFVHRDDAEVQMDVVARLDKRQATLPERDDERSSKVISNKSEQINVSSKSPLTRDQNETAVFKEPVPGSERENGNAFERERSNTSRQGKKAKSHHGNLPNNTANKVRYQDVPSPVRKDSSSQAATNAIKEATNLKHLADRLKNSGSSESTGIYFQAALKFLHGASLLELDSSKHGEQNQSRSIYSSTAKLCQFCGHEYEKLRDMAAAALAYKCMEVAYMRVIYSSQSDANRYRNELQTALQIFPPGESPFSSISDVDNLNNPTIVDMAASAKVVGSPQVAGTHVISAGNGSSFTQLINLAQAVNFAMEASRKSRVAFAAVYPGPGDSQCKEGALSVKRALDFNFQDVDGLLRLVRVAMEAIILSVEPEFYCL >Solyc07g055490.3.1 pep chromosome:SL3.0:7:63634869:63637302:-1 gene:Solyc07g055490.3 transcript:Solyc07g055490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLSLVEVEMIATICVAILLVIYTWKVLNWAWFRPKKFEKYLRKSGLNGNPYKLLYGDLKELTNKLNEAKSKPISFSGDVPQRLIPFFCDSINKNGKNSFAWLGPKPIVFITEPSLIKKIFEKHYVYHKNKHSNPFAKLLVQGLVTLEEQKWAKHRKIINPAFHFEKLKHMVPAFYQSCSEMLRKWEEAVPKETSAELDVWPHFQLMTGDVISRTAFGSSYEEGRIIFELQKEQAEYVMEQIFSIYIPGSRFLPSKKKKRMVEIEKEIQTTIRRIIEKRLMTMKAGETSNNNEDLLGILLESNTKEIEQHGNKDFGMTITEVIDECKLFYFAGQDTTSVLLVWTMILLCQHPEWQVRAREEVLNVFGNEKPDFEGLSRLKIVTMILYETLRLFPPALLYGRTNKDEVKLGELSLPAEVLLIIPAILVHYNKELWGEDAKEFKPDRFSEGVSKATNGQVSFIPFGWGPRICIGQNFVMTEAKMTIAMILQKFSFELSPSYTHAPVAVVSIHPQYGAPLHMRKL >Solyc04g049876.1.1 pep chromosome:SL3.0:4:44389943:44399315:1 gene:Solyc04g049876.1 transcript:Solyc04g049876.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSLKYLTFKRPDITHAVNFASQFMQSPYFSMKDLGPLHFFLEIEVNYFEGGIHLNQSKYGVEIMIVGSLQYLTLTRLDITHAVNLASQFMQSPNV >Solyc04g063440.2.1.1 pep chromosome:SL3.0:4:55576050:55577246:-1 gene:Solyc04g063440.2 transcript:Solyc04g063440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVRPIPEDALVDILLRLPVKSLLRFKCVRKNWCALIKSPSFIKEHFQYRNNNCARLFVCNMKMAPELQPIVKSVVFSLLPEEIVPGVTPEQKTLLQLPRVTDFMCVAGPVNGLFLVQKLLYGADVCLGLWNPATKEFRSLPPAHFEIEGFWSHNNDHQYGFGFDLVTLDYKVVWIRVFWDDLGLSVYTCVYSSCNNSWKRLTLEFPPISNLSAPLDATYLNGVYYWLSKGLNGIYTILSLDMISEQFREMQVPDITTAHWGALTLHGGSLAMLTSDSDQPMTSIYDVWVMKQEGNWSKVLTVQPHIDSYWPINIWDNNKMVFEIMETSQLVLYDPKTRHVTDLGFQLDPNIAGCWVFNYKESLVPIKRGNETRGEDNAVKQIEHYFNTIPADEASS >Solyc05g012827.1.1 pep chromosome:SL3.0:5:5985071:5998091:1 gene:Solyc05g012827.1 transcript:Solyc05g012827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELFSEQFQYISGPANQTKKAKKKTFAKPGLLSFLANKKRRLLTTNEIIKEIECEKGHLLKLIGGQQSVAPQFEAKIQFVEELPFENQPVEELPVVHPVEELPLEQPVQELPLDQHGKDFPFEDQVQMNSVTPQTNDQPEEQAGVLSNPNKRGRTQMHDVHARKERKLIILNSQNQPVGPTDDVVIELSSFLETLARNATLCPFDILDWRSMDTTKDLWDYTKKMSETNAKNRKKLMNPHTAGKKSFALVRNKLEKDKETVSSKDIFVVTRTRKPGRSYKASNEDTTSKIAKMEQIEKQISINGEFVDAFSSVMGPEHPGRLRLYGAGVTKTTLKKKVGNWESTLSATTDGMQEMQERMQKMEKQMEEQKKIVRQEVIANVIAQLKYAGLIDPNILAALSTPSPRESTSVQGAKQGNSPRASIAALASLISQSIALLGRVTVASAGFGSDFEGLRSSPLLELNMAGKRILQQQFPASISHRLIPCSAYTTPRSPQDTDSSVYIPSPKYTQ >Solyc09g091850.3.1 pep chromosome:SL3.0:9:71529680:71536460:-1 gene:Solyc09g091850.3 transcript:Solyc09g091850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGKMVQDGHFDRENSSKGPSMPSSPGDTHCAAVSAAAWVEPHGKCTVAFAVAWSSPQVKFMKGKSYYRRYTRFYGTSERAAVDLVHHSLTNYKLWEEEIEKWQNPILNDNKLPEWYKFTLFNELYFLVAGGTVWIDSGVPSSDSVSTRTARPEVTKVKSIKKGVQVEQTAYNGYGEDIQLSSSDKLSGSSTDSDDVGRFLYLEGVEYIMWCTYDVHFYASFALLALFPKIELSIQREFAKAVLCEDGRKVKFLAEGNWGIRKPRGAIPHDLGMHDPWHEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDFSFATDVWPSVCAAIEYMDQFDHDNDCLIENDGFPDQTYDTWTVHGISAYCGGLWLAALQAAAAMAMHVGDYAFAEKCKGKLIKAKTVYEEKLWNGSYFNYDSGSSSNSKSIQADQLAGQWYMASSGLPDLFDAVKIKSTLQKIYDFNVMKVRGGRMGAVNGMHPNGKVDDTCMQSREIWTGVTYGVAATMLHAGMEEQAFNTAEGIFTAGWSEDGYGYSFQTPEGWTTDGHFRSLIYMRPLSIWGMQWALSMPKTILDAPKVNIMDRIQVKPHTPQETGVQKIVKKAKCFNNSIFSCSC >Solyc12g082760.1.1 pep chromosome:SL3.0:12:17331773:17332257:-1 gene:Solyc12g082760.1 transcript:Solyc12g082760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNARLCVVPKGDDSIVIPNDVRPCVQSKGDYNMPCPTSFDCVCSPRAIMCFLDKDHDGMPRLTFSNHICCQTVLMAYHAEVVPLCAVQGQRWHVTPDVIRPHVLPNSDDGIARTISYHHVCAPKAKMSSHA >Solyc07g055870.3.1 pep chromosome:SL3.0:7:63918624:63927373:1 gene:Solyc07g055870.3 transcript:Solyc07g055870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSQSRFPLGRQSSLAPEKDGVSDDSVTAGHGVDEGMDSGLRLMYMTNDGDLEGMEELLDSGTSVNYRDIDGRTALHIAACQGYADVVDFLLKNGAQVDSMDRWGSTFLANDILGILPCPFSNPDSISDLPAFSFQSNAIYYKNNDVVKLLEVHGAKPAVAPMHVENVREIPEYEIDPRELDFSNSVNITKGTYCVALWRGTKVAVKKLGEELFTDEDKVWVGHRLSVIKAFGDELALVQKIRHPNVVQFLGAVTQSSPMMIVTEYLSKGDLRAFLKRKGALKPIMAVKFALDIARGINYLHENKPEAIIHRDLEPEYVIIVFLTVYPWPVSNILRDDSGLLKVADFGLSKLMKFTKTVREVRPLARQEDSWRYVAPEVLKHEEYDTKVDVFSFALILQEMIEGCPPFSTKQEIEVAKAYVANERPPFKASQKLYAHGLRKLIEDCWKEQPSKRPTFQRIIIRLEEINRILLHRRRWKYRLPKLPKPNTKILKFTPELPIPVQGFVDLFHFSAWALNFFQKLKAVLKFDHSNSKSHWSRPTF >Solyc04g026155.1.1 pep chromosome:SL3.0:4:18772160:18773643:1 gene:Solyc04g026155.1 transcript:Solyc04g026155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSIMREINNLKTRLSAAFEMKDLGPAKQILGMKISRDRCVGSFNLSQELYIEKSPKTAEERDHMALVPYASAVGSLMYAMVCTRHDIEHVVGVVSRYMANPGKEHWEVVKWLLRYRRGTYSTSLCVVKGKVTLQGFVDADLSGDVDSSKRSIMREINNLKTRLSAAFEMKDLGPAKQILGMKISRDRCVGSFNLSQELYIEKSPKTAEERDHMALVPYASTVGSLMYAMVCTRHDIEHVEGVVSRYMANPGKEYWEVVKWLLRYRRGTYSTSLCVVKGKVTLQGFVDANLGGDVDSSKSTSR >Solyc06g084450.3.1 pep chromosome:SL3.0:6:49597222:49598840:1 gene:Solyc06g084450.3 transcript:Solyc06g084450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLMVTTRGGGNGKKDSNAQQLSLLDFILAGLRKSMVSCRVDRQEDVVSAVEIGWPTNVQHLTHVTFDRFHGFLGLPLEFQVQIPCRVPSASVSVFGVSAESMQCCYDTRGNSVPTILLLMQQRLYSQNGLKAEGIFRINPENSEEEHVRDQLNRGIVPEDMDVHCLAGLIKAWFRQLPSGVLDGLSPQQVLQCNTEEEFVELVKQLKPTETALLNWAIDLMADVVEQEDSNKMNARNIAMVFAPNMTQMSDPLTALMHAVQVMNLLKTLIMKTLRERGEAEEGEYSPMSSPSSRRQTDEEFDSQQEMDTSCESASDDEDQHRYSYNTEERDEVESLSEIEESFLRQLDENEHAKNDFRKQLEGILGRETASTENGDSSSDSKIDTSGLSTSDGEDSRYTTCVTLEEKVESKRSSASMEDVDRIEVESAVSVQ >Solyc08g082135.1.1 pep chromosome:SL3.0:8:65130648:65140595:-1 gene:Solyc08g082135.1 transcript:Solyc08g082135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRWKDMLTKELNAAEVVTFDYPYMSGGKRRAPPKADKLVDFHSNVVKEVAAKYPGHPLILAGKSMGSRVSCMVAVNGIGASAIVCLGYPLKGANGAARDELLLQIDVPVMFVQGSKDGLCPLKKLEAVRKKMKCVNELYVIDGGDHSFKIGKKHLQLTESTQEEAEKLAVHAIASFVSNHAKEG >Solyc01g080050.3.1 pep chromosome:SL3.0:1:79026099:79029310:1 gene:Solyc01g080050.3 transcript:Solyc01g080050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIAVERNRRRQMNENLKVLRSLTPCFYIKRGDQASIIAGVIEFIKELHLVLQSLEAKKRRKSLSPSPGPTTPRPLQLSPTPESSPFITHNNNFKELGACCNSPVADVEARICGSNVMLRTISKRIPGQIVKIINVLEKLSFEILHLNISTMQDTVLYSFVIKIGLECQLSVEELALEVQKSFTSSDVLCINEI >Solyc05g005405.1.1 pep chromosome:SL3.0:5:320899:322768:-1 gene:Solyc05g005405.1 transcript:Solyc05g005405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDDDLISIRKFESFKVENRLFKVHKKSFEELEKSFKIKIFQRRSMSRCKVASGSKILENVPIQDTARTSLLSKPWVEIWFTLPNLVFDRHFFQYASNEQASPANHQLHTQQNIIKSINTVGKYMLPNRLFTCGMLTYLKLSRCIFNLPGGSRFPNIICLHLERSKFFRRQGSEDMRLNLPMLETLKCRYCTGVDCINMVSPEIENLFLHISYTVTLSCFNVNPIFTRIKHLCLNGKSLEVTKLPFCFCKLGSFRVPENLRFLSCAFCLLRNSPNLYELDIDEVVKVRHIVIINIGRLTCCYLSLFFLQKAELLSYLSMEQDCMNEALRMVRIVRLRKFKASSTEIYLRSVIISHPPIERSKK >Solyc07g006950.3.1 pep chromosome:SL3.0:7:1775623:1778895:1 gene:Solyc07g006950.3 transcript:Solyc07g006950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLLYLVEFCLYQGSTIQGLHTMLTRVIKVSLFPIYLLSRVEFRLSIVDYRSSSYIVQLPHLLYLDKLVKKKKISVASNRLPRMLDVESLLAKLCNPLTQYGHDVIIRVLVCLLMFFN >Solyc09g074185.1.1 pep chromosome:SL3.0:9:66366911:66368419:-1 gene:Solyc09g074185.1 transcript:Solyc09g074185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVETQKIECDKMLSLDVPTRWNSTYLMLDTVEKFEKAFERFDLYDASDDLDLSKMASGMKEKFKRYWRTPEKMNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSGISQNMSKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLEDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSTGGRILDPFRSSLTPKCVQCLICVQDWLRQETKPICVEESLESKGQDNEEIILLARDT >Solyc07g052805.1.1 pep chromosome:SL3.0:7:61382371:61387252:-1 gene:Solyc07g052805.1 transcript:Solyc07g052805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLPTINKAYSLLIERESQRIMSQTSHSSNSSDLNALFTAQSSVPKPRKKRGSTGHTYNQGRGRSSNDRRSYPSANNAISDTDHSEFNRVENPRNQGYGRGDRQADSGDYHKGLNALQEQYNQILQILGQSNKQNTTERDSNSHSSANLAQENYPASGNVTALSASIAHTGWIIDSGATNHMTPHSQLLINKHPLPIGAPRSVQLPNGDSIVITYTANTQTYSHLVHDGSSDSQTDGSSSSQTVLWHQRLCHTSSNVLAKTLNLPVTKCSNEDDESSEVAPLFQPNPTTVVHNDVVPAQATPSIRKSQKNTKAPIWLQDYVASAQLQSNRPLYSIDKYIGYDNLSSSYRAFLTSFVYVDDLMITGSDINLIQETKTTLQENFKMKDLGNLRYFLGIEFARSQEGIVMHQRKYSLEIISEAGLSAAKPAATPLDPYVQLTTKEYDEINGMNKDDMLLTEPSVYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNAALKVVRYIKKEAGLGVLLSSTKSKELQIYCDSDWGSCLHTRRSVTGFMVKLGGSLISWKSKKQATISRSSTEAEYRSMASVVAEVVWLVKLFKELGVEVQTPNEEAFLLRASFPWSPGMHLNKESIISSLNL >Solyc11g012640.1.1.1 pep chromosome:SL3.0:11:5423526:5424062:1 gene:Solyc11g012640.1 transcript:Solyc11g012640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQLHFQQSNLPLLIQLKHKSPIKNPRIPYGKREINQLASEKRSIVKPLSLEILPKFKKLSRSEISKERSNLLVLGAVSFGVVLFLMGFDDKNQALALGPEGPLMEEFWDNMRRYGLYALTVSTGVLWAVFQPIYELLKNPISAILILTIMGGSVYIVSQVVSAMVGVTDFSYDYNY >Solyc07g047625.1.1 pep chromosome:SL3.0:7:58999843:59000287:1 gene:Solyc07g047625.1 transcript:Solyc07g047625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNIEKLLKTPMAELKYHELQQLEEHLKAANEKVEAVAKQQKERGAVFPYEILGKKLYVIVSDQDQEPIQIWILTCRWFLTVGILPGSWWA >Solyc12g056950.2.1 pep chromosome:SL3.0:12:63983375:63984600:-1 gene:Solyc12g056950.2 transcript:Solyc12g056950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIGKRARPPIIKRTTSMTEFTLDPTLNYQLDHHTPRSNGQDQTVLLTRRKSADFIESSHFLRACSLCERHLISGHDIYMYRGDSAFCSLDCREKQMNQDARKEKCTSSATTRKKSTNSVPSVASGTGSTVPLPQARP >Solyc01g056697.1.1 pep chromosome:SL3.0:1:55983858:55985581:1 gene:Solyc01g056697.1 transcript:Solyc01g056697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVTDMFNEGVIRASTSPLSCPVLLVRKKYGTWRFCADYRALNALIVRDRFPIPAVDELFDELHGAQYFSKLDLLSGYNQIRVKLEDVSIRTDMFLVIPFGLTNAPSTFQATMNDVFRPYLRRFVLVFFDDILIYSQTWDAHLEQSKLVLSLLRKHKLVAELSKCLFGKTSVDYLGHVISSKGLFVDPVKIISIQQCPTPRVVKDIRRLLDLLRKEPFKWTEAAQHAFVTLKSKLSSTPVLALPDFNQEFQVETDASGKGIGAILSQNSHHIAYFSQKLSSRMQQASTYHREMFDINQAVSKWRQYLLGRRFTIYTDQQSLKNLTNQTIQTPEQQKWLTKLVGYDFQIVYRPGKQNTVADALSCIPDASFMLLSLKTFAIGHELKALNQSHPELLEIQKCVQQKDERYLHYQFKDGLLFYKGRFVVPSDSSLRHKLLIEFHSTSIGGHAGISRIYHHQMKDTHLHPAGLLQPLQIPDQVFEDIAMDFVTCLPSSKGKTTIMIVVDRLSKYGHFILVPSTFCTYTVA >Solyc02g011980.1.1.1 pep chromosome:SL3.0:2:14177535:14177765:1 gene:Solyc02g011980.1 transcript:Solyc02g011980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRMGPIMGHTMHYRRMMITLQLGYSIPPLRKKRTEIKILNRMAIHLYKTSTPSIRNGIVDSQVKSNPQNNMIYG >Solyc11g066270.2.1 pep chromosome:SL3.0:11:52295594:52298062:1 gene:Solyc11g066270.2 transcript:Solyc11g066270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLYLLLFFLLNSRLINAQGPPSPGYYPSSRAQSIGFNQGFRNLWGPQHQSLDQSTLTIWLDKNSGGSGFKSLKNYRSGYFGSSIKLQPGFTAGIITSFYLSNNQDYPGNHDEIDIEFLGTTPNKPYTLQTNVYIRGSGDGNIIGREMKFHLWFDPTKDYHNYAILWDPNEIIYPKKNDATFPQRPMYVYGSIWDASSWATEEGRIKADYRYQPFIGKYSNNFKVEGCAAYESPSCRRAPSSSPSGGGGLSRQQIEAMLWVHRNYKVYDYCRDPRRDHTHTPEC >Solyc05g053570.3.1 pep chromosome:SL3.0:5:64496795:64508938:-1 gene:Solyc05g053570.3 transcript:Solyc05g053570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDIYYAFGKPQAIISEDSENVRLIEESETDSQDKKRGMVLPFEPHSITFDNVVYSVDMPQEIKDQGSTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQETFARISGYCEQNDIHSPYITVYESLVYSAWLRLPQDVDKNKRKMFVEEVMELVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIKYFESLPGVSKIKEAYNPATWMLEVTAASQEMMLGVDFTDLYKKSDLYKRNKALISELSMPRPGTKDLHFETQFSQPFWTQCMACLWKQHLSYWRNPSYTAVRFIFTVILALVFGTLFWDLGSRVSQSQDLFNAMGSMYAATLFLGVQNSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQVIVEIPYVFVQAAFYGIIVYAMIGFEWTVAKFFWYLFIMYFTLLYFTFYGMMTVAISPNQNVASIVAAFFYAVWNLFSGFIVPRPRIPIWWRWYYWLCPVAWTLYGLVASQFGDLQTMISNDENVEQFLGRYFGFEHDFLGVVAAVIVVWPAVFAFFMRGSLRADSSNSIFSRSARDEDDEEALKWAALEKLPTFDRMRKGLLFGKEGEAAAEVDTNDIGHQERKNLLDRLVKVADEDNEKFLLKLKNRIETVGIDLPSIEVRYEHVNIDADAYVGSRALPTFINFMTNFVESFLNSIHILPSRKRQITILKHVSGMIKPSRMTLLLGPPSSGKTTLLLALAGKLDSTLKVTGNVTYNGHELHEFVPQKTAVYISQYDLHIGEMTVRETLEFSARCQGVGPRYEMLAELSRREKAANIKPDHDVDIYMKASVTKGQEANVVTDYVLKILGLDVCADTMVGDEMLRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTFSIVNSLRQSVQLLNGTAVISLLQPAPETYNLFDDIILLSDGRIVYQGPREAVLDFFESMGFKCPERKGVADFLQEVTSKKDQQQYWAKRDEAYRFITSKEFAEAYESFHVGKKLADELATPYDKTKSHPAALSTQKYGLGTKEMLKVLTTWYIRYTSVFHFNTMCQLLILFVSVLSSQLVVMALIMMTVFFRTEMPRDNMDDGGMYAGALFFVVVVIMFNGMAEINLTILKLPVYFKQRDLLFYPSWAYALPTWILKIPITFIEVGLWTFLTYYVMGFDPNVSRLFKQFLLLVLVHQMASGLFRFIGAAGRTMGVATTFGAFALVLQFALSGFVLSRNDVKKWWIWGYWISPLMYSVNSILVNEFDGKKWDHIAPNGAEPLGHAVVRSRGFFPDAYWYWVGVVALIGFIIIFNLCYSVGLAYLNPFGKQVMISEDDENDRLIEGSETEGEKKKGMVLPFEPHSITFDNVVYSVDMPQEIKDQGSTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQETFARISGYCEQNDIHSPYITVYESLVYSAWLRLPQDVDKNKRKMFVEEVMELVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRYSCHLIKYFESLPGVSKIKEAYNPATWMLEVTAASQEMMLGVDFTDLYKKSDLYKRNKALIAELSTPRPGTKDLHFETQFSQSFWTQCMACLWKQHLSYWRNPSYTAVRFIFTVILALVFGTLFWDLGSRLSRSQDLFNAMGSMYAATLFLGVQNSSSAQPVVAVERTVFYRERAAGMYSALPYAFGQVIVEIPYVFLQAVFYGIIVYAMIGFEWTVAKFFWYLFIMYFTLLYFTFYGMLTVAVSPNQNVASIIAAFFYALWNLFSGFIVPRPRIPIWWRWYYWLCPVAWTLYGLVASQFGDLQTMLSDDENVEQFLGRYFGFEHDFLGVVAAIIAAWPVVFAFLFAFAIKAFNFQKR >Solyc06g033900.2.1 pep chromosome:SL3.0:6:23500472:23501099:-1 gene:Solyc06g033900.2 transcript:Solyc06g033900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKILVEFNCITFKIFHGDILEGVVYEIKKEDYKYVPGESPIFMNEKMSRIEKDIVVRFIVVGATYVEAEKEFQAVGSLESACLGHISQNVVWISVIYSPSL >Solyc04g082050.3.1 pep chromosome:SL3.0:4:65939867:65941732:1 gene:Solyc04g082050.3 transcript:Solyc04g082050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQASLMTISLPEQEKLIHKLQLFKIQGKDKRGCSILSVIGKLFPARIVSVEAVNKYLQEKIYPSLEQRQFSIVYLHTGVNRAENFPGIAALRSICDAMPENVKNHLNAVYFLHPSLQSRLFLALFGRLIFSGGVYWKMNYMTRLEFLWEHVKRKEIEIPEFVYDHEEELDDYRPMMDYGMEGDHPRVYVNSTVEPAISMYSMRCIA >Solyc04g018100.3.1 pep chromosome:SL3.0:4:9572755:9587658:1 gene:Solyc04g018100.3 transcript:Solyc04g018100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKYSTHHVFEQFPNSAIMGTVEEGTLPYNLWQGESSSSSSSSNPSDAVLFGGICLLVGVSCRTLLRRTTIPYTVVLLILGIALGSLEFGSIYRLGKLGNGIRIWADIDADLLLAVFLPILIFEGAFSMQKCMAQMLLLAGPGVLISTGLIGAAVKLIFPYDWNWNTSLLLGAILSATDPVAVVALLKDLGASKKLSTIVEGESMMNDGAAIVVYQLFYRMVLGKSSGCVAVLEYLAEGSLGSVLIGIVFGMASLLWLRFIYNDTLTDFSLALTVSYIAYYTAQEEAEASGILTLVALGMVFAMSKDTHRAGGKQSLHEFWEMIAYIANTLIFILSGVIIAQSIFSIGNLENNTGRSWGYVFLLYIILQVARTIVVFAFYPALCYFGYGLNWKEAIIIIWSGLRGAVGLALSLSIKDASGDPKYLSSETGAMFVFLTGGSVLLTLIINGSTTQLLLSLFGMDALSESEKTMVNYAKHQLLRKAEEFSRTCSGSNNPFDWITVGGYASCIKDVCEDTVWPPCTTNHGYLKIDDMKTMRVCFLKATRETYWSTFNEGRITESSISVLMESIDEAIDLATQGLHDWNYISTHLKFPGYYRFFSTSVCPPRLTRWLVLKKLQDSCHICSAFIHAHRIARQLLLDYTGKSDNGNAAIVVAESEVEEIEARKFLENVKNSMPEIIHQTESREVTFSLLKCLDECLSDMEKDGILTEKVVLHVHDLLQNDLEKLLRSLPTVRIPKPVEVLSIHPLLANLSPAIQSDLECSANSVLKTPGCTLYTKGSKLTSVWLIGNGSVRSRRSPFPINCHVDSTYHRGSVLGLYEALVGKPYLSDVTDSVAFCVKIKLEWVISVLARDNAVEELLWKECTVVVSKLLAPDIFKKFSMQEVRSIIDERSVMNTFSSQEVIETSHHSINFLLSGCLRDQSTEQLIECPAVLPCSILSESIPYSSANGTGRFPHYNVSSSELLIPMFNSLLV >Solyc08g065645.1.1 pep chromosome:SL3.0:8:53952793:53954521:1 gene:Solyc08g065645.1 transcript:Solyc08g065645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGYKKTSSDPCVFVQKFSDNDFIILLLYVDDMLTMGKNTSKIDELKKESCKSFSMKDLGHAKQILGMRITRLRDKRKIYLSQKKYIERVLERFIMKNAKPVSIPLAGHMKLSKKMCPTAREEKENMAKVPYSSVVGSLMYLRGSSDECLCFGASNPILKGYTDSDMEGDLDNRKSTTGYLFTFSGGAISWQSKLQKCVALSTTEAEYIAATEAGKEMIWLKRFLQEIGRVQDVLHVFVASLLML >Solyc06g051090.2.1.1 pep chromosome:SL3.0:6:34291933:34293846:-1 gene:Solyc06g051090.2 transcript:Solyc06g051090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRIKILTSLFEEIQETSSAAARLPPSSILCLAELSCVIQKVKLLIESCKEGSSLWNLMQTELISNQFHALIKEMDNALDILPLRMLNVTVDTKEQVELLHKQAKRADLFIDPRDIQKRKEILHLMATNCDRKSKNKGLIDFETVKQSLNSIGLRSSLDYEEEIAKLKTQAEKQAGTGGLIAVSNINSLISLMSLSKTAILEEEYLMNSQNLKQTTSINVLPDQSSSCYSLVSDVPDELRCPISLDLIRDPVIVASGHTYDRNSIAQWINSGHHTCPRSGQKLIHMALIPNYALKSLIQQWCQDNNITITEPKSTPSDSESSTSKIMKYDKAIDYISATKASMDAVKMTAEFLVGKLATGSPDIQRKAAYELRLLAKSGMDNRRIIAESGAIPFLTTLLDSRDPRIQENAVTALLNLSIHENNKILIMSAGAIDSLIRVLQSGQTMEARGNAAAAIFSLSVIDEYKVIIGARPKAIPALVGLLKDGTTAGKRDAAIALFNLAVYGANRQCIVLAGAVPLLIDLLMDDKAGITDDSLAVLSLILGCNEGLQALRKSRILVPLLVDLLRFGSSKGKDHSITLLLGLCKDTGEEIVGRLLMNPRSIPSLQSLSADGSLRARRKADALLRLLNRCCFQSQ >Solyc03g044380.3.1 pep chromosome:SL3.0:3:8971764:8981370:1 gene:Solyc03g044380.3 transcript:Solyc03g044380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:A7X9Y2] MSPASDNSLSDSQTQRLNDLSIVSPEEATVEPDEVLSVIESLKRKIASERADYIKKRVEGNTQKLENLTKDLYNLATERKCLEIFDAGGKIDLLSKRQKDALDMQNGIDTSNGDDDSNSSEDDGYATSAILLGSSIAVKNAVRPIKLPEVKRIPPYTSWIFLDRNQRMTEDQSVVGRRRIYYDQNGGETLICSDSDEEVLEEEEEKKVFAESEDYMLRMTIKEVGLSDIVLDLLGHCLSRKPSEVKARYEALVKADDVGTSKNEFTESSLDLYLAKDLDAALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKQSPWYCSNADMEPCGPNCFSLAKKFESNATVISPQCASHGEKSILPSDVANNTQMPGRKHVSRRSKSSKGEGAPNAKNISESSDSDIRPVNDITSNERSSSPSKSKSDNKDGSNKRNSKRIAEHVLVAIKKRQKKMAVLESDTVASESLGFKDLNLHSISRKENEDASPSSQKAQCHSTKRSRRKNSPVLDSKNSLQGKAFGCKVMEVNSEKPVANCDDTLGKNEKVGENNCKQEVDGTKSWRPIEKALFEKGLEMFGRSSCLIARNLMNGLKTCWEVFQYMNNSGNKLFSGTGDGMDDILEGGCNGDGQEIMGEPRRRSRFLRRRGRVRRLKYTWKSTGYHAIRKRISERKDQPCRQFNPCGCQGPCGKECPCIVNGTCCEKYCGCPKGCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWISCGDGTLGVPPQRGDSHECRNMKLLLKQQQKVLLGRSDVSGWGAFLKNTVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAHRKGDKLKFANHSPVPNCYAKVMMVAGDHRVGIFANERICAGEELFYDYRYEPDSAPAWARKPEASGTRKEDAAPSSGRARKHT >Solyc05g052240.3.1 pep chromosome:SL3.0:5:63361803:63364587:1 gene:Solyc05g052240.3 transcript:Solyc05g052240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKNEVMVDEIPFPSQFMVNNKPLPLMGHGITDIEIHFLQIKFTAIGVYLDPEIVTHLQQWKGKTGAELTENDEFFEAIVNAPVDKFLRVVVIKEIKGSQYGVQLESAIRDRLAEVDKYEEEEEEALEKIVEFFQSKYFKKDSVITYYFPGASGSAKISFAIEGKEDLEIEVENANVVEMMKKWYLGGTRGVSPTTISSLANNICVELSK >Solyc04g025100.1.1.1 pep chromosome:SL3.0:4:25663725:25663889:-1 gene:Solyc04g025100.1 transcript:Solyc04g025100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFLVFFAYAKEKPSRKHQQILLGIDIDKSNLRLQEQNTKGGYWKQSISPCHY >Solyc10g074600.2.1 pep chromosome:SL3.0:10:58215609:58216976:-1 gene:Solyc10g074600.2 transcript:Solyc10g074600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVSFFRLKGLGMNIGLKDLGNIVLKDLGLWVFEEVQKETSYRPQLKLYAEIVSCLGSNGLLEDISCLIMALKMESSLEPHIEGFVALLESLMKFNLTRLALEVFYLMKLRGCDPDKLTFKLLINGLESNEETNLSAFVRQEAEKYYGQSLDFLDETEEEVPRLKPM >Solyc01g010550.1.1 pep chromosome:SL3.0:1:5502248:5502932:-1 gene:Solyc01g010550.1 transcript:Solyc01g010550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPAPKKLSKKGTVNIKCSICKRDGHNARGHYKYINTVVPEARQPFETQDLVSDYVSSGYSDQFNHDMWDNSQLDVDFTNQSIITQEPRQQSTSVECILDEVVLVTDIINVNYKGVDYVRGVQSAQDEGIDCARGAQCALERSDDCMQGAQCDQEESTDCLRGAQDESAQAGLSKRGKLYKKNVMNDYVCEASMKRSRKC >Solyc06g063020.3.1 pep chromosome:SL3.0:6:39904997:39908428:-1 gene:Solyc06g063020.3 transcript:Solyc06g063020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEQPYDVSESDSDYDSDDSQDDPTFDLLEETQSSLSKLSIKKQKSMDIMSVRRNVSKALEDCVEEEKDLEEVVIVPELDEKDKKSYETVQKIIKAGQIEKLKVEQCKVYLRKHGLRLTGTKDTLIQRIKEHTDILNGRGEEKYPPSSFVLNCKGDACTGDVVMFEQNVYEMFSIASRSATGPPCGTRIVAGRIVKESYGAKKQQHTFTVEVLWSKGEKPLPPLHPLLIKGRNLYRLKTLRQKWDDEAERQKILSEKHARGSVARSSRETRVQEKEMRKMRRENRVSTDYKEKKTVAEGKGKQLHSRSMNSLNENDQRQVEVVYNSQKDEQKQFRNYTNVVIQENVYPRENRPEERPNQRQPLTNVNLNDPSSNREKHNSHMHRHMPMWRGSSYGGNTYYYDIPTWRGYSDGSYNNCNTSRSPLEGHSHMYTGGHGHSQNMNNKSPQRTGNWQARNGKRPIQGQREEQKKLCHFYAQGRCYYGLSCKYLHDSVGI >Solyc03g112760.3.1 pep chromosome:SL3.0:3:64587871:64596242:1 gene:Solyc03g112760.3 transcript:Solyc03g112760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQKLFLWLILRFCVFVVLSFGVGCDGSDVTLKLLTTPREFTNRNFANFAFQVLAGGNGDICADCSTSCKLDDYVPVVCEGGEVSYTQLLDGKHTFEVCTNGSLGVGCAHYNWTVDTIAPTAYITTPTSFTNASNVSVDITFTEPCWSQGGFGCASTNSCNLLVYGAGQVVPNTLNVIEPDLKFSVVVSLSTRDQYGRVILVMDKNFCSDPAGNKFKRTDNSSLFIHFDRRTVFADIRTHIPERLLQIDSEMRTVRATNSTENMEVYLYFNEPISNSSTDILNSLSISQGLLTPISGNSFGERRFGFQVRGISQTAIVTLSVRSDLILSWQGTPIAPVAPVTFLYDMQRPAVRLSTTSRMRTCDEQIPVLIKFVKPVFGFNSSHVSISGGQLQSFQEMSRSMYTVNIQARDDFVSVSIPENVTGDVAGNMNLQSNVLRLKHYTVPVTSEVLSILATSAFVVTSFAAGLLTVSTASLQSVGAYSRPSSLMTSDPARNLFRIACHIQFFALTRWLPITLPVEYYEFARGLQWSVPYFSLPWEMGSMHQFMMGPGSTTDPHSYSSKINDFGMKPGKYNVNKAAALYGLPLSPMEYRSIFGSQDLLPEAQYIVDPKYSNGWRDFNRSMFWLAVIGGSLILLHALVLFVLRLRKDREKKWSYGALVFPRFEIFLTILAIPCICKASVNVVKGGSSAGIAVGILLLGLVSFLLLQLFLFLSIGITLGKLLQYKEVHQVGQKFHWYEELVRVTLGPGKRGQWTWRNSRDSRYVVMFGPLFEDLRGPPKYMLSQIAVGNPNKHPDKVIATDDETEDAEAPFIQKLFGILRIYFTFLEFVKRVCLGIVAGTYLKSLSSKSPIVVLLTITSFQLFFMLLKKPFIKKKVQLVEIISVACETGIFAACIALIGRNSSRNETAIGITMIALFFIAFLAQLVNEWYALYRQTKRLGAEDKSFCSGLKAASIGFLLFFIPQRLIRKLESGSALLDRVLKETGDVTSSCDRNRSSGSRSSGTDRPFTRQFRELAKSSFSKDSNVTTSDPSTSRVRWSGFWNPKRSGSSSKDSSADFKSKPRGLYKDLEAIFASKS >Solyc08g080150.1.1.1 pep chromosome:SL3.0:8:63605733:63606845:1 gene:Solyc08g080150.1 transcript:Solyc08g080150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHDPDDDCGTSELSNGAAGDLNDQKMDGHAVADDKKLVFSAMKEEPFDSDHHTLVPSMPMPVATAAPPRRSSTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEQAEQSIIEATGTGTVPAIAVSVNGTLKIPTSSPASNPEIDENHPQKRRRKSSNSEFIDVGSLNRNAANVSQFAPVTTTPATITTAPPPGFVPVWGMSNGGMMVPSNAIWMIPATVPPAQVNVTNNNNVVLQYPQLWTPVFNLATRPIPSFVTAATINTNGPPAIMIAGPLSVNNGAATTTGPKIGSNKSSMAPASLSSSTDKNNNNTNDVKPHMLRDFSLEIYDRKELQLMSRSGNHQQETQVTSSERS >Solyc06g053160.3.1 pep chromosome:SL3.0:6:35974736:35981958:-1 gene:Solyc06g053160.3 transcript:Solyc06g053160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSSETKLLQELILYAASAALSCLVLFVGLRQLDPNREASKKALEHKKEIAKRLGRPLIQTNPYEDVIACDVVNPDHIDVEFNSIGGLESIKQALCELVILPMRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRKATDHEALTNMKTEFMALWDGFTTDQNARVMVLAATNRPSELDEAILRRLPQAFEIGFPDRKERAEILKVILRGERVEDTIDYDRIASLCDGYTGSDLFELCKKAAYFPIRDLLNDEKSGKPSAEPRPLSETDLEKVIATSKKTQVAANEYNSLRSQLAGLSRQTDTDDYPVQAAISELSKLVVSQILNLQAENQDS >Solyc07g064400.2.1.1 pep chromosome:SL3.0:7:66708617:66710680:-1 gene:Solyc07g064400.2 transcript:Solyc07g064400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCSEVEIMEEMEEVMVSSIDEEVKPIFRVAHFLKPTTKELPFLPSRLKISCSSPKVQFKGGSSYMKGWSKWVNKLKPLHQEIWKKAGVFEGIIGSTFMIYKHSDLILALAERWCLETNTFILPWGEATITLEDMVVLGGFSVLGHSVLKPVKTKDAVDIEKALTEVHKDIRVRKTNVRHRAWMEHFAGRGDHLEHVAFLTLWLSRYVLPARSFLKVDRALFSIAIYLSQGIPIALAPAVLASIYRDMSLLKQFIVSSAKNHSPSDSRCIEDELNPNLRAPFQFIQLWAWERFTNLQPKPSSIIYTGEPRVARWHKAKKLNHVDHRSGIDSAAECFLWRPYAIDIVKNWDISRFYKERDEYVVVGPNIGREIMTFARLVRASELVGMDCVEQYNPHRVSMQFGFDQDVPDCVNHASDYIPKIAWTNYNRPIKDVKLYIPSRFIESDVSRRYLEWWKNQNVVPEVAIQHEKMNASVCCGFLQKCDMIVIESSSSDDDNIPISVSLRKRKLMKKEVVVPGNNQKLFLSMQSQSSSASNDGTARAREILVESKPISDKFEASNGKSDEDGDGPYVVKEMVPLESKNNNDKGGCKLNLTDGIALASDGPNAGLLSTNSAKTLQMSEASVVTQKVIATCTKITEGNMAMGNINNHEKGSGSNDMIDIVKLERRIRNLENINAGKVPIFRTK >Solyc04g008460.3.1 pep chromosome:SL3.0:4:2077863:2080301:1 gene:Solyc04g008460.3 transcript:Solyc04g008460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKLSPIISFYIASPSLNPNLHCQAALKEKSNNGCLHLCVRAMEKETVRCYEVLAKGEVLGVPSAPIYCPCHQAYPS >Solyc05g051460.3.1 pep chromosome:SL3.0:5:62661741:62664406:1 gene:Solyc05g051460.3 transcript:Solyc05g051460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVRSNDSLGAPLISMCQNTADEENNWNNHAYSRDFQIQSMLESLDDDEATTYVEESGVGSEKKRRLRVDQVKALEKNFEVDNKLEPERKVKLAQELGLQPRQVAIWFQNRRARWKTKQLERDYNVLKANFDSLKHNYESIQHDNEALLKEINELKSKLHGGNSEIKIAVKEEAFDSESDDKRTEQSNQCNNSNTELNFDTIIMNPSINFTDFKDGSSDSDSSAILNEDNAVISSGAFLIKSGSGSGSSSPDSNSSLNCFQFLESNEVNFSSSQFVKLEEHNFFNGEESCSTLLFTDEQAPTLHWYCPDDWN >Solyc08g028950.2.1 pep chromosome:SL3.0:8:39208513:39210427:1 gene:Solyc08g028950.2 transcript:Solyc08g028950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQEKFVQKVVDTLLDNGIRGRPMRDGHNKFYKSFSDVIEGKEGRFRETLLGKRVNYSGRSVIVVGVTKSKNQDKEPIVWEIVQEVMQGHPVLLNRAPTLHRLGIQAFQPVLVEGRAICLHPLVCKGFNADFDGDQMAEAQVEARYVFSYEYLASGYWGSRFRATYGNVHAVEKLRQSIEIWYATSEYLRQEINLNFRMTDPFNPVHIMSFSGARGSASQVHQLVGMKGLMSDPQGQMIDLPIQSSLRKGLSLTEYIISCFGARKGVADTFVPTSYAGYLTRRLVEVVQHIVVRRTDCGTTRGIYVSPRNGIMAKRIFSQTLIGRVLADDIYMGS >Solyc02g088080.2.1 pep chromosome:SL3.0:2:50912878:50914457:1 gene:Solyc02g088080.2 transcript:Solyc02g088080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIFIVAVFVMLFFSVSHGVGTGRKMMGSFSHGDTRGVLHQEKARETYELDYIDAGPNFNSHGGVLVPNFPTPETPPQH >Solyc07g043060.1.1.1 pep chromosome:SL3.0:7:56723675:56725075:1 gene:Solyc07g043060.1 transcript:Solyc07g043060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEG6] MSKIELVFVPTPFIGHLVSICKFAENLVSRDERVYVTILSPWDASIDAYIKRSSVSTPEGSRIRYITLAQIEPPSSQELAKSFENYISLLIASYRPIVKDAIISNKSWSGTDSNPKIIGLVIDMFCTSMIDVANELDIPSYLFYTSGAGVLGFLFYLSVWHQQFGRQFNPSDGDLNIVAYVPPKVLPTFAFVKEGYDSFRNHGARYKETKGIIINTVEEFESHAVNSLASDPELPPVYTVGFLLDLEAQNGNGNFKSRDEEIKKWLDQQPPSSVLFLCFGSAGIFEPPQLIEMTIGLERSGVRFLWSIRLPVDAETTKLEEILPEGFLERTKTICEWAPQVDILAHKATGAFVSHCGWNSILKSVWHGVPIVAWPLYAEQHINAFQLVRDLEVAVELTFNDRMHDNDHRKIVKAEEMEKVIRSTMDSENPQRKRVKEMGEICRNALMEGGSSFISLGRFVQSIFDS >Solyc03g008010.3.1 pep chromosome:SL3.0:3:2470897:2478371:-1 gene:Solyc03g008010.3 transcript:Solyc03g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVVLHIYDVTNSGSDKTNNTIVQINKFFKDGIGLGGIFHSAVQVYGDDEWSFGFCEQGTGVFSCPAGKNPMYSYRECIVLGNTNHSIFKVNQILRELSREWPGHSYDLLSKNCNHFCDELCERLGVQKLPGWVNRFAHAGDAAVEIAGTTAFRLRQAKTEIVTASKVAYRFFAGVASNNSASPDSPGNSGRGTPRFQANWFKNFITTGAKPSGSDSEEVLGHQQQHGTETPLRQN >Solyc05g015850.3.1 pep chromosome:SL3.0:5:12422417:12425099:-1 gene:Solyc05g015850.3 transcript:Solyc05g015850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYATIFPSASSSSSHHDGYVSLMNSKSSISDDTKEELLFQGKNKAGFLGLMASMETPRDIITKKDEVIKSCKKKIKKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLSKDEEVVVTTYEGMHSHPIDKSTDNFEHILSQMQIYNSF >Solyc02g005440.2.1.1 pep chromosome:SL3.0:2:9023400:9024235:-1 gene:Solyc02g005440.2 transcript:Solyc02g005440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFEHLMDAKNIMSSTFGFKKSLAMCLSREFSNTSWVRK >Solyc01g056780.3.1 pep chromosome:SL3.0:1:56536092:56538474:1 gene:Solyc01g056780.3 transcript:Solyc01g056780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSMGSWVCSGIGNHRPSASLSLRTGSSTTTTSVSLKMAANASTHSGLLHCSFLPSSALSTLSSSTSFSGSSFGIGFNSNIGVSTTKRRGLVVQAKKYALCQTKRNRSRKSLARTHGFRKRMSTTSGRATIQRRRAKGRWDLCPKSSPRTGKRA >Solyc09g014320.3.1 pep chromosome:SL3.0:9:5836335:5840588:-1 gene:Solyc09g014320.3 transcript:Solyc09g014320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHQNSCGIGTSFASDAQIEVWTPFHQVVHEFLARWKGYLLPLVFQKLERVIRHFDGCKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHILKEGGKFIAKIFRGKDTSLLYCQLKLFFTEVTFAKPKSSRNSSIEAFAVCENYSPPEGFNEKDLYRLLEQVGSPSGAEDLDCSSGWLEGRNKVYIPFLACGDLSGYDSDRSYPLPKSADGTYQCLDPVQPPIAPPYKRALEMKKASSQGIHNLDKLSLDP >Solyc02g083570.3.1 pep chromosome:SL3.0:2:47484487:47486904:-1 gene:Solyc02g083570.3 transcript:Solyc02g083570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC29 [Source:UniProtKB/TrEMBL;Acc:K4BAM5] MASRRIQKELKDLQRDPPTSCSAGPVAQDMFHWQATIIGPNDSPYAGGVFQVTIHFPPDYPFKPPKVAFRTRVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKTDKTKYESMARSWTQKYAMN >Solyc06g060790.1.1.1 pep chromosome:SL3.0:6:38930561:38931331:-1 gene:Solyc06g060790.1 transcript:Solyc06g060790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTAVSSSITTFKSSSFQPRASSMNPLPSVKFPSISSHNPLISHSVSAPKNYTVAPLRSAAASTTPETTKTATTFHGLCYVLGDNIDTDQIIPAEYLTLVPSNPDEYKKLGSYALCGLPSSYQTRFVEPGELTSKYSIVIGGDNFGCGSSREHAPVALGAAGVSAVVAESYARIFFRNSVSTGEVYPLESEKRICEECKTGDVVTVEIGESRLINHTTGKEYKLKPIGDAGPVIEAGGIFAYARKAGMISAREA >Solyc06g076350.3.1 pep chromosome:SL3.0:6:47580296:47582950:1 gene:Solyc06g076350.3 transcript:Solyc06g076350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISSSSTPSPFFFIQRHLSQIRRNILHQRKKILVLANTVTMGEEVSLTDYESSGGNDDRLLWEIGLPDVDDLTPLNMQLIPSELAAAFRISPELSKTMTDVNRASQNTFSSLQRWHSQDMASMNNSNFKTFSYERSREETVTERDETDLIREGSDSRKLRRVESGGTEEADSSLCNENFADDSSAKTLKRPRLVWTPQLHKRFIEVVAHLGIKGAVPKTIMQLMNVEGLTRENVASHLQKYRLYTKRMQPNEGPSSSDHLLTSTPATEIMRESSESGHLRNTNGHMAMPTLMPYQQQMVAMPMMGMPNGGHVGMPVGYGGGPPLGFHHHYNVVQQRDWSGNNFGYYHPVASNDKYVDLDDVI >Solyc02g084410.3.1 pep chromosome:SL3.0:2:48080133:48081779:1 gene:Solyc02g084410.3 transcript:Solyc02g084410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEIEEVSSCEALPLLSLNHVSLLVRNVWNSVRFYEDVLGFCLVKRPSSFNFHGAWLYNYGVGIHLLENKGMEDYDEPRPINPKDNHISFQSSDVVVVKRRLEEMGMRYATALVEEEGIKVNQVFFHDPDGYMIEVCNCDNLPVLPISSSCSVKPHSYYNKMIATNHGCGFMENEMLENLSMNMLNFSF >Solyc01g091100.2.1.1 pep chromosome:SL3.0:1:84690192:84691079:-1 gene:Solyc01g091100.2 transcript:Solyc01g091100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLVLPLILLFIFSIFIVESSAIVPKFIESQCKHTRYPGLCVSSLATHLNPTSQDPQEIAQAALKVSIVKAIYTKNYLQIASKELKQKKAKEYQAVKDCLDQISDSVSQLTNSFKEFEHMKSEEYSERDFAWCQSNVQTWLSTVLTDTYICMDGFYGYPMSGKMMAMIKARVLNVAQVTSNTLVLFNGFAAWHRDNSNGGFKSKP >Solyc03g063020.1.1.1 pep chromosome:SL3.0:3:35205190:35205444:-1 gene:Solyc03g063020.1 transcript:Solyc03g063020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEILDRFRAQLCVAIEKEVVATTSLTHGFINSLQPCLLVSSKPLLVILRLTPFGASIMVLLPTFHENVQNFENNFRVLIHSPR >Solyc01g021640.3.1 pep chromosome:SL3.0:1:32382797:32409398:1 gene:Solyc01g021640.3 transcript:Solyc01g021640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKELPGFYYDAEKNRYFPIKGPIPGSSKKRKSPSPIVSTKERDKGKCMKSGSNNLLHVRELCGKVIASRKGKLNFQMEFLKKQASYPLIWKYDGTQRIVNTALEHLTVDQNTPEGPVETDILLTGGMNGSLCIFEVGKVGQQFNQGVKCLPDRVWTLKDRYREGYYDSPGHVWRPPGAFVHMPSNISCIKMSQKHPAPVSDASLKHILITTMGAESSGGTVNILNLSEPLDLNSSIPTDRTRISEIASFECTVWTADRSHDQKQAVVGTNRGAAMLDIETGVKSWVRRSKSDVFSIQFDNSENTVLCGLRNGTILSIDTRLKPGEFSGRLPQNRIPCHSSGSSSRFKSAARDSFQLKGRMYHCNTISMPSSVCCLAPLRLYEQCFLASSMDGSINLYDRRLMRGAIQSYLGNKNSHSRIQLGVDSSETMVMSGGEDYHLRLWSIKSGELLFDDKFMDSIPSVVSWPKTEGLLGMGKQIQGAWLGSEEGLFFMDWP >Solyc12g038013.1.1 pep chromosome:SL3.0:12:49386585:49389213:-1 gene:Solyc12g038013.1 transcript:Solyc12g038013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSDCIVQDAQTGRIIGRGIERGGLYYVNEVTQQGNALLAQGSPEYQIWMWHRLLGHPSLEMMDHDPPTQVSNTTDVNSETSVSAPSLQSTPMITTEHPESTSVEVNSESCGKPADTPIVANHGLQVIEGAKATDREQYQKIAGKLIYLAHTRPDIAYAVAVVSRFMHLPQIHHMTAVMRILRYLKGTSCTRIYFGRNDSLDIIAYTDADWAGDRDGRKSTYGYFKRESCHLEKQEAKGSSLVKCGS >Solyc05g051200.1.1.1 pep chromosome:SL3.0:5:62291782:62292456:-1 gene:Solyc05g051200.1 transcript:Solyc05g051200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLEIDTSFSHSNLLFLEDESSWSNTHDPFVDIDEYLPIIIPCNDEEIVVESSNTSTTTTTTTTSKVASIQNIHHDQEEVTSIEKKHEDDQEKHYIGVRKRPWGKYASEIRDSTRNGIRVWLGTFDTAEEAALAYDQAALSMRGPWSLLNFPMEHVKKSLENIEYSCKDGLSPAAVLKATHKTRRVKHKRSSRKKKNENLENVFVFQDLGVELLEELLMTSS >Solyc05g016007.1.1 pep chromosome:SL3.0:5:13454258:13459316:-1 gene:Solyc05g016007.1 transcript:Solyc05g016007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFIMPSLLINSTMRRNMIAKRGLEMREVIAAIVPRRGIVIIGHEAISQSRIASFTPATLAATSAKGRGQGHDHRSGKRVFGMLHKEGYMVE >Solyc02g084270.2.1 pep chromosome:SL3.0:2:47979620:47984664:-1 gene:Solyc02g084270.2 transcript:Solyc02g084270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLKHRHIRRITEYYYHRITATVDGSLPDQDSDQLETPKSIFLFFVFQAKCVETGESVAIKVVLQEKHVCMLDHPNVVHLFLCLLLCRETAKVLQQVDKKFILIVASTPAYQGKYSPPDCYHEKLLLHIQLYQLFWQFNQDNLYRLHA >Solyc08g061107.1.1 pep chromosome:SL3.0:8:46741711:46744938:-1 gene:Solyc08g061107.1 transcript:Solyc08g061107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYFLSIEGTFHLSKPHFWSRDRSSLFLRQQLKRRPPPEEAAARRPPEKQQRRRPSEKQQQPREAAALQQRRRPAFRQKKKKQQPPRRAVKQPAAAASRLYKSPTVAPGIQKLLNIQNNNLEAWKFEQEVCRRALVEMIILDELPFSFVEKEGFKKFMSKVQPLFHIPSRRTITRDCYENKFESILKRNTTKNLSHNRHMDFSAKNQLYVDWVLHKRMLNFCPITSHKGEHLAESISNYLLDWKLDNVFTITVDNASSNDVAVLKLSKKLDMWGTNLMERKHLYVRCMTHIFNLIVQDSLKEIGPSIKRVRQMVKYVRSSSSRTRNFLKCVEMQR >Solyc04g050790.3.1 pep chromosome:SL3.0:4:48199234:48204882:-1 gene:Solyc04g050790.3 transcript:Solyc04g050790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIEMESCRRSSGVQIPVFGDWDKANELPITQYFESARQAGLIHHSSSQQLYFHKTQFYAIPNNLPHTKTKGAAINKKRCGGKVEKTSGPQRQTAQRPTNPKPVDEDLYKIPPHLLPGYKRKRMFGFFSRCLAPPCKA >Solyc04g040140.1.1.1 pep chromosome:SL3.0:4:12016953:12017333:1 gene:Solyc04g040140.1 transcript:Solyc04g040140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASGETVPENGGTDEKEKKKKRKNEKENAKMLKIATKKKKRDKMQDRDNVNYQNSEKRESENQELAGDEVHEDGEIKKRMMTTEKRRNCKNGLSSPSSFHLPHHISPNFPCNIKQLLFFSGDKLR >Solyc10g050090.1.1.1 pep chromosome:SL3.0:10:47711275:47711526:1 gene:Solyc10g050090.1 transcript:Solyc10g050090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRLVWISLKAILKHSKHLALLVTDFCPNDTPRNVIFFTQIPYYFICWSVTSGKGKVFKILFAFLKIFWSEANYPLKCVEA >Solyc03g082785.1.1 pep chromosome:SL3.0:3:54074359:54074687:1 gene:Solyc03g082785.1 transcript:Solyc03g082785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWEAVLMGYGCGLVIGLSIIYIMLSTQYPAWLSRMVVNLEHNFYENKKTQQKILLVCSDLQIQV >Solyc12g044370.2.1 pep chromosome:SL3.0:12:60221920:60228385:1 gene:Solyc12g044370.2 transcript:Solyc12g044370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKRRAEGNHSNDKPKKNPLPEEDELKKTGNNKSYIQILGNGMDTQDTSPSVLLFSDKWRFLFNAGEGLQRFLTEHKITLSQIDHIFLSRVCSETVGGLPGLLLTLAGMGKEGMSVNVSGPPDLYLLVKAMESFIPNSAMGQIDSVLCNGSDEVASASSSKFDRLFAPITDGVVKLSAVLVPPCCLKVCGSMKEGSSETNTPLISGSHLAEDLQAQWMNLTAEVELNPGELSVVYICEFPEIKGKFYPDKAAALGVEPGPKYGQLQRGNSVESDHQNIIVHPCDVMEPSTHGPIVLLVDCPTPSHLQELSSLQSLTPFYSNISGQPVELCKKVNCLIHLSPVSVTNTPAYEQWMARFGEAHHIMARHEPKNIEIPILKSSSRIAARLNYLCPQLFPSPGFWSLQQLKSLPSISKFPRELSLPTSCQITYAENLLKFHLLPYKELGLDNSNVPATISRTEILNELTSNIPEIISASEHISQLWHGNNGIVEELQLHETPLPSCLEGITREDMEIVFLGTGSSQPSKYRNVSSIFINLFLNGSILLDCGEGTLGQLKRRFGVEGADEAVKGLKCIWISHIHADHHAGVARILALRHDLLSGTPHEPLIVVGPRQLEIFLDRYQMLEELDMQFLDCRDTTESSWEAFESNDDNDANGSTSRLKNLLRQAGLMTLISVPVIHCPQAYGIVLKFADRTNVIGKKIPGWKIVYSGDTRPCPELVKASREATLLIHEATFEDGMLEEAIAKNHSTMGEAIEAGDAAGAYRVILTHFSQRYPKIPVFDGTYMHKACIAFDLMSVNLADLPILPSVVPYLKLLFPDEMSINEAD >Solyc03g121790.2.1 pep chromosome:SL3.0:3:71329911:71330518:1 gene:Solyc03g121790.2 transcript:Solyc03g121790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNFSYFVLIIVALSLSCVNCRPLTSNQQSIHHKLSTNKGNMSIEGERQMKIVVENSNVSNEEMKSIGSSPPSCDHKCYGCMPCEAIQVPTNTGRVGVQYTNYEPEGWKCKCGPAFYTP >Solyc01g005240.3.1 pep chromosome:SL3.0:1:185555:191705:1 gene:Solyc01g005240.3 transcript:Solyc01g005240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSHFSGVKTPCPSIFKRSVHFPQLDFVATVPFVSAPSKSVKVSCCERVQRLVCKAQATDVNRKETKNESFGEAPDELTCVMKFGGSSVASAERMREVADLILSFPEERPVIVLSAMGKTTNNLLLAGEKAQSCGVSNVSDLQELAFIKELHLRTIDELGVESSTISKHLLELEQLLNGIALMKELTPRAKDYLVSFGECMSTRIFSAYLNKLGVKARQYDAFEMGIITTDDFTNADILEATYPAVAKRLTGDWMSNPAIPIVTGFLGKGWRTCAVTTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPRAETVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNPKAPGTLIVKTRDMSKAVLTSIVLKRNVTMLDIASTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDNVVEELEKIAVVKLLQNRSIISLIGNVQRSSLILEKAFHVMRTNGVNVQMISQGASKVNISLIVNDSEAEQCVRALHHSFFESDLSELVWESQSGNGYATTTTPLPTLSN >Solyc01g067280.3.1 pep chromosome:SL3.0:1:75508523:75511910:-1 gene:Solyc01g067280.3 transcript:Solyc01g067280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSSQQSIPDDIAIKIASSLKVSDLCSLGSCSRFWRKLCGSDYIWECLCKKRWPALALEIESYNNQPHEEWRVFYIKKHNEMAGKAKGVIDFVNRCLAFESIEVGHYLKAVRDLDSILFTFEDVYTFFLKSKHSVLLNLIGLHYCLIWLGLPGECVMEILNKSNISQREVRVQWWKLGRWLFGFRLRDELITRTVSLEDLATGKEEEVLGVLHRGAVHEVIRVQISEAKPECTSWSFQNVQNAN >Solyc02g083527.1.1 pep chromosome:SL3.0:2:47451315:47451919:-1 gene:Solyc02g083527.1 transcript:Solyc02g083527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKLLISICTFKDVFMLKVIQANNNNQNKKSRVHHEEAGEKYCCVCLSRLKDGDDSRVLPCLHEFHRECVDRWLNGARRTCPVCRFSMEDGNKLCLITEDLTEEMVIWFSSFHVAGCIDFEIMKNEKSQMLSLVFNMFGLHSFLISFYTF >Solyc01g101120.3.1 pep chromosome:SL3.0:1:90848467:90851108:1 gene:Solyc01g101120.3 transcript:Solyc01g101120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNTIMTRGASKFIFLFFLFILLASISGLSPAKRIEHRELERQKEQKIHFFGKGLLELLPIRAIKHDLMDPPATTGFPTTPIVNPVTTPSNMPPDNSAPTVVTVPSTNPNLGIPNFGSIPPAAPSVTPVTDPNPNPPVPLTNPVTTPSTSTPVSNPVTTNPNPVGGVPATTPVTPPATTNAPATGGQSWCIAKNGAGDTSLQSALDYACGMGADCSAIQQGGSCYNPNSLEGHASYAFNSYFQKNPAQTSCDFGGAAMITNSNPSTGSCVFPASGSSLSSPTTTTTPMSGTPAPTTSSSTGAAIPGLAPPTVTNGNDSGFGMMPSGIGDSIPPTTTTSLSMSNELQPLVGCIVLVASIATSKLVLEF >Solyc12g019175.1.1 pep chromosome:SL3.0:12:9455220:9458048:1 gene:Solyc12g019175.1 transcript:Solyc12g019175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMIVINNSRPMGRKSKAYKLYNSISKITIINRDVVFDEDNFWLWEEKPNNQQLPLDLDDGDMEQEQPLADEDDLIYAGSDPIFLEKFKVSMMTKFDMSDLGLMHYFLGIEVKQSSSEFFFHKRNMSEKHYRDSDFAGDKEDRKSTSGYVFMLGFGVVSWCSKKQPIVTLSTTEVEFVAQLCVLPKRFG >Solyc01g110810.3.1.1 pep chromosome:SL3.0:1:97264293:97264586:-1 gene:Solyc01g110810.3 transcript:Solyc01g110810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQMSGAKMRRSYHHFFSEAQLVSWNPMIVSSLFIVHLWTVLFHWQFTEIAERSLCCFISYDFRFFANLDSGNIQWYVDYELVFHYAESFLPCKVA >Solyc11g006930.2.1 pep chromosome:SL3.0:11:1441532:1445683:1 gene:Solyc11g006930.2 transcript:Solyc11g006930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEKGVHRWIVDISEWNPSPNYFSFAMSFLPQHEHSSITRFFQMEDRKRALVSRLLQYALIHQVLGIPYNGIVIRRTAEGKPYLLCLERSQECDKLNLEFPNFNFNASHHGDFVAIASEPICLVGLDVVSQTIPEKESVEEFIQSFSSYFSSLEWFNIINAGSSRQILSEFYRYWSVKEAFVKAIGDGVGYKLDTIEFHHKNWENIVVKVDGKELKDWRFWLLELGKDHVASIARGHPMYATSSYKTTLNRTQFNDEEYNVGLHLPNTSFIFRKVEDLFPSNENR >Solyc03g116085.1.1 pep chromosome:SL3.0:3:52414290:52415897:1 gene:Solyc03g116085.1 transcript:Solyc03g116085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYCNLIGCAYTIKDIEYVLVYTIYKKGRYSQVLDKAKNFLGVLSEANRKLEVDAKENPKKYDIEEVLTQEDSEYIEMNLMLGVAELYSSEVVSAAESAIACQQPVISLASSSSATSDVDDSSDEDDH >Solyc02g081082.1.1 pep chromosome:SL3.0:2:45722084:45723441:-1 gene:Solyc02g081082.1 transcript:Solyc02g081082.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVTPRTNDQPEEQAGDVSTPNKRGRTQMHDVHARKERKLIILNSQSQPVDPTDDVVIELSSFLGTLARNATLCPFDILDWRSMYTKKDLWDYTKGKYIIPEVVYHWTMVTIRDAWRRHRSDLKLNYYDPYDNDAVRMAKKPGHIPECQFRELLKYWNSEKFKAEMEEIEKQISINGEYVNAFSSVMDPEHPGRLRLYGAGVTKTTLKKKFGNLESTLSATTDGM >Solyc04g017727.1.1 pep chromosome:SL3.0:4:7956506:7957303:-1 gene:Solyc04g017727.1 transcript:Solyc04g017727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDGEFRTQNQLDSCALTREQYDQVLQFLGKNLEVSQCVNATDKEEVLLAATHIEHEDRVTENIDTQLLHQRLGHVSSSVLKS >Solyc07g044885.1.1 pep chromosome:SL3.0:7:58066769:58068526:1 gene:Solyc07g044885.1 transcript:Solyc07g044885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVWSIKSNLERGSYIMTVEIAECKHLAYGYWKKIRRKINDNQKKGGIGIPFGSIWNRLLVLLRNSFFSSESSENILEASSFKRLGIRDGVGGHVVPCPWSRTRDSVRIKHMNFHEEI >Solyc06g063330.3.1 pep chromosome:SL3.0:6:40134292:40143423:-1 gene:Solyc06g063330.3 transcript:Solyc06g063330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFGGPLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTRKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFEPKKIGEGDILTGGDLYANVFENSLMEHHVALPPDAMGKITYIAPAGHYSLKDTVLELEFQGVKKQFTMLQSWPVRTPRPVAAKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERNGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMLRNIIHFYNLANQAVERGAGMDGQKMTYTLIKHRLGDLFYRLVSQKFEDPAEGEDALVAKFKKLHDDLTAGFRNLEDETR >Solyc10g054480.2.1 pep chromosome:SL3.0:10:55463082:55467410:-1 gene:Solyc10g054480.2 transcript:Solyc10g054480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHTTSTSSSVVHSQQSSSYTYLLQESQQNPRWLNSTSLLKPSFIVTPKTQNEIQGAILCAKKDGLQIRVMSGGHDYEGLSLLCKKPFIILDLVDYRSTNIDIENETAWIHSGATIGEVYYNIAKKSNILGFPAGLCPSVGVGGHLSGGGIGTMMRKYGMAADNIIDANLVDANGTILNRKTTGEDVFWAIRGGGGASFVVISAWKVRLVRVPSLVTVFTIHKRLDQEGVELVHNWRYIASKLPEGLFIRVLIQQIDGIGKQGNVKLPEVLFNSLFLGLKSDLISLMNANFPELGLKMEDYKSNFKAISDFVVEPMPESVFQGISERFSHKKLVFMIMDEIEEYEIPFPHRKGNIYNI >Solyc01g067103.1.1 pep chromosome:SL3.0:1:75284373:75286546:-1 gene:Solyc01g067103.1 transcript:Solyc01g067103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRSTIDLEHGWDFMQRGITKLKNILEGLPEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYREAFEEYITTTVLPSLREKHDEFMLRELVKRWSNHKVMVRWLSRFFHYLDRYFIARRSLPGLNEVGLTCFRDQVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGLMDYYENDFEAAMLKDTAAYYSRKASNWILEDSCPDYMLKVSILRRGVLETGEG >Solyc02g024040.1.1.1 pep chromosome:SL3.0:2:25311566:25311751:-1 gene:Solyc02g024040.1 transcript:Solyc02g024040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSCTKAISFFGVVQHIYSLFSSSTKRWKILKDRVPSLTLKSSSLTRVGSLIESVKAIRF >Solyc08g080770.3.1 pep chromosome:SL3.0:8:64097244:64099638:1 gene:Solyc08g080770.3 transcript:Solyc08g080770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVHPNKSNYLSTTSSSSFSSIIPSNFYVSPRRETFTLWMKSLVYHGNGCTVYDSNGNIVYRIDNYNSKRSKEVHLMDRNGKVLFSIRNRKVPVFGHWDGYKWSYDGITSKEMPWFQVKKIHNVLRGENVNCYSVILGCNSEATCYNIILATKSIKIVNQHGRLVAEVKQKQANSGVLLGDDVLTLMVEPHVDQSLIMALVTVCGLIHHKI >Solyc06g043036.1.1 pep chromosome:SL3.0:6:30371834:30374422:1 gene:Solyc06g043036.1 transcript:Solyc06g043036.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSAADSQMWIIQGTLAWRTPHVRTVVFNQPPPQEDRLGDAGEIQCRSTFRFTPGIRAVRGGGGTTTAPLFLRIHTSFITVWTAISRAQVWPQWENKMENLTTHLHRPRTKRSPLSLWGDRGIVPFKPIDKVIRVRSNVDPTSDSLVGSGWSGGDHHGSSLLENPYIPYQCMDSYLLSTGLGSASMGK >Solyc01g099300.3.1 pep chromosome:SL3.0:1:89454114:89477213:-1 gene:Solyc01g099300.3 transcript:Solyc01g099300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNMVGLCSNNLGGGEIETVKLEPILVESLIPQMECCEADSLPYKKSSSSCGRQDSTATEQSRTSVLDQDQSPIDDSSLCSTSQICPAPLSRQFWKAGNYDEGHATKSTLKKGSSFLHIHPKFLHSNATSHKWAFGALAELLDNAVDEIQNGASFVIVDKTPNPRNGSSALMVQDDGGGMDPEAIRRCMSFGFSDKKSASTIGQYGNGFKTSSMRLGADVIVFSRCKKNRKLTQSIGLLSQTFLTRAGLDKIVVPLLDFEFSAAAKKWSSLHGEQHFRNNLSLLLRWSPFATEAELLKQFDDIGDHGTKVIVYNLWYNDEGRMELDFEADSEDIRLSGEANDNEKNASRIKVTDQHLANRLRYSLRAYLSVLYLRIPDNFCILLRGRVVELHNIAKDLKYPEFIMYKPQTLGFKEGSVITTIGFLKEAPVVNIHGFNVYHKNRLILPFWHVVRYSDSRGRGVVGVLEANFIQPTHNKQDFEKTPLFQKLEVRLKEMTWEYWDYHCGLLGYFPKKRPQGPITPQASSESRQQHGSYKPIVLGNSSGAVGSNITVRRTVTSEASSESRQQHGSYKPAVLGNSSGAVGSNITVWGTVTPVFQNNSKQGASKRKAVDHPIEHENLKRTAGLIVDLTGGERSQEILTLTSSGSQDQEAAHLIQENEKLRAQCCECEKREEELHSKVNKLRKELKEAQRTYAHMLVESRLLDKVKPEEDVYI >Solyc11g012530.2.1 pep chromosome:SL3.0:11:5346622:5348467:1 gene:Solyc11g012530.2 transcript:Solyc11g012530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIEKMLTMIWVRQQIIIWVSRGIGVRPSWHEGCRGYQKRINGIIDQERALWSHQMITQTRWMLIGIINI >Solyc01g079590.3.1 pep chromosome:SL3.0:1:78554807:78562374:1 gene:Solyc01g079590.3 transcript:Solyc01g079590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMTRFLSAVAFLAIGVLFAPETFGSKSDGQHPPKLATFLKLAHLLCFSTAFGAALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFSMVGVCCAVSVGSFGYLHPWKTASASEKYQLGFILAAFAFNLSNLFVFTPMTIQMMKERHKIEREANIGEEVGWTKNQEVAKVNPKLSAMNKKFGMIHGLSSLANIFSFGCLAMHSWYLAGKLDL >Solyc08g076250.2.1 pep chromosome:SL3.0:8:60370468:60374963:1 gene:Solyc08g076250.2 transcript:Solyc08g076250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHFNSVSLLPSFFLLLVIFVRKTKKSNQRLPPGSWKLAIIGSIHHLIGALPHHVLRNLSKKYGPIMHLKLGEIDAVVVSSPHMAKQVLKVHDLSFAARPELIASDIVFYRQKDILFAKYGDYWKQMRKICISELLGAKMVKSFSLIRQDEVHDLVASIRSTPNVVVNVSEKVLRVTCSVICRLAFGKVWDDGDNLLMLMREVLALSGGFDVADFFPSWTLLHEIGGMRSRLKRMHKKFDVILEKIIHEHKENRANGKEGNSEFGGEDLIDVLLRVMEKNGELRFPITNDSVKAIVLDFFFEGTETSSVILQWALSELMKNPNMMAKAQTENDLNDNDVEELKYLKLVINETLRLHPASPLLGLRQCREATEIDGYTIPLNSQVDVNGWVIARDSESWDDPETFMPERFEKEFSNVVYPLAQLLYHFDWKLPYGQRPEDLDMTETLGISATRKNDLHLIAISHDLKCPWKLPIIGSMHHLIGVLPHHVLRNLSKKYGPIMHLKLGEIDTVVVSSAHMAKQVLKVHDLCFAARPELMSSDIVFYNQNDIVFAKYGDYWKQMRKICISELLSAKMVKSFSLIRQDEVHDLVASIRSTPNVVVNISEKILRLTSSVICRSAFGKVWDDRDNLLMLMREVLSLSGGFDVADLFPSWTLLHGIGGMRNRLKSLHQKIDVILEKIIHEHKENRANGKKGNSEFGGEDLIDVLLRVMENGELQFPITNDSVKAVVLDLFFGGTETSSVIIQWALSELMKNPKVMAKAQAEVRRVCKGKKDLNDNVVEELKYLKLVINETLRLHPASPLLGLRQCREETKIDGYTIPLKSQVVVNGWAIARDPESWDDPEAFVPERFEKNSVDFNGNHFQYIPFGAGSRMCPGMHFGLANVVYPLAQLLYHFDWKLPYGQQPEDLDMTETLGISATRKNDLNLIAISYD >Solyc10g050210.2.1 pep chromosome:SL3.0:10:48034026:48038348:-1 gene:Solyc10g050210.2 transcript:Solyc10g050210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGSFSLTRQSSMYSLTFEELQSTCGFRKDVGSMNLEDLLKNISTAEESRGLASSAGVGEGSMAAGTLQRQGSLTLPRTLNQRTVDEVWRDFQNETTVSSNLGQKQSNLGEMTLEEFLVRAGVVRDDNQPNVTSNNVGFTGVLGEPSSNNNALNIAFQQPTQSPGLLSKFSESNMLNVVNVKSSQQQPQQQQPLIPKKRNVDFASTMQLGNNCQLASPRARAVVVSTSSRSVHATVVQGGVMQNGVKGMAGLLSGVTFAPGGSPGNQLSSEMISKDKLYRSFLSPSPYAFNEGGRGRKSCSTLEKVVERRHKRMIKNRESAARSRARKQAYTFALEAEVAKLKEINEKLQKKQAEFIDSQKNQVMASFTTNSKYLSL >Solyc05g009020.3.1 pep chromosome:SL3.0:5:3186188:3192505:-1 gene:Solyc05g009020.3 transcript:Solyc05g009020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGAGDLKSVRGIICEVGAGASAGAIAATFMCPLDVIKTRLQVHGLPQVSQSARQGSVIVTSLQNILRTEGFRGLYRGLSPTLTALLPNWAVYFTVYEHLKDSLHSHVDSSGQLTIGANMLAAAGAGAATSITTNPLWVVKTRLMTQGMREGVVPYKGILSALIRITHEEGIRGLYSGLLPSLAGISHVAIQFPAYEKLKSYLAKRANKHTNELNSGEVAIASSMAKILASVMTYPHEVVRSKLQEQGQVRNSEKAYNGVVDCVKKMFKQERLTAFYRGCGTNLLRTTPSAVITFTSYEMIHKILQRAILPEKDSEPNPRRKSHKVAEEHGDNDEKLQQSESTSNKRTPLIPLSNSDNLTARH >Solyc12g099280.2.1 pep chromosome:SL3.0:12:67456496:67465579:1 gene:Solyc12g099280.2 transcript:Solyc12g099280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNIAGDDDDDFGDLYADVEVQASSAINALQSSMLVQTEVNEVDYNASVGNCNRENAVRGEEDRESESGDDLNILLNDDEGDDDFLNAGCDEDEIEGNGGFIVSDKGNGLGQNSNGSGSGDGEKNSYKNTRPPQAAYSNHLKANGSSCAPSYCTTFVKGNWEDNSYTQRVGSSFAAQNGQSFSLPRSRNILDVNIDMFEKKPWRYPGSDLTDYFNFGFDEDSWKQYCNCLDEHREQAKKVFKESDYKTPKISKPKGREIEVEESMIERLPSTDVERPQYRDSSVVIQIALQQSMEDPISSTKEQKEASENGDVGGDKKEFLCFSSACEDELASLEGTGEGSGNSTSGRNTPVCEHVSMGSDNYENSEYSDADERHHQEGTYCNVDQTSGAIKSTHDANKSSERDISDPRQPIKLQEPLCGGGREHSPGSSCCSLSHGGTSGDGTFLNLEKSHDHHTRLISNAESELREKGTTDYQPISRTDHNRTKSGDFKYFTQGRRSVQRDLLHDRRRPGRMGETIPAHLKDEDSHKSDARILYERRNSSVIRHRQRDRRYAFDSHEREDTSHFKRAEPFYSNAGRFSDYPCRGSFTKNPQMEYQLRCRYDKNWSGGRSVKRKLDHLELSTYTDDKLLERDRPHYGGRLTVQDMENISFHESEQWIDKYISYSDDENPSQRIRKIDQLPKKKRVRTDDLVTECNYIYDIMEETDNRYRPYNHRDTDILEDGYDVNLTYFRREIKSPSRGQRRDISPCKSSNDICFMDLKDMGGRFDGYRPSSFCLYRESCTSSRRWQSLELPRGRNRIFSGTRKCDGGQFASLTNSIGANQTIKYPANQDIFKRRRGGRQSEGMQWVEDENNSGYQENVFDAERTSYSFRRTSSDKRFKSFDNNHGPNPVEKLLDDRHVEQEKYKLIREGNNANQFGQGSKVFHKDNHWRRFPRGRDSVDTDLIVENGESSGRCSKAGGVTSFDRYGHLDSDCYLKLKPVDGTSKLHFRETLRTRNVTTDPKENDKERLAIFSDANQEESLDIEEGQIIEEMNEKIVKKRITYSGKSEIGEMKNFATGKNVEGQGSPKILEIIAKMEKRGERFKQPIALKSDTKNISTPLVDSFAVSTEPMQPRPARKRRWAASKATV >Solyc09g092210.3.1 pep chromosome:SL3.0:9:71828257:71829694:1 gene:Solyc09g092210.3 transcript:Solyc09g092210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDYTEGENQSQAKKVVEEEIKKNENQESINTSSSSLDEKKEENKKLKPNQFNGLDMENYTWGQTLQDVTINVTVPLGTKSSFLAVDIKNNSIKVGLKNQPPIVEGELLEAVKGDECFWSLEDKKQVTILMTKRNQSDWWKSLFKNGPEIDTQKAEPEPSRLSELDLETRSAVEKMMFDQKQKQKGLPTILSCCIVFRVCGI >Solyc09g098190.3.1 pep chromosome:SL3.0:9:72557231:72568064:1 gene:Solyc09g098190.3 transcript:Solyc09g098190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSWRFIGLSILVFLLNVSSIAHSAPTNADKSCSNEINMMLVKLWVNGGEEDSIVGLSAAFGSVLPTDTKRASRLPAVYTQPLNGCSASSTKLSGSIALARRGECEFITKATVAQAGGAGGVVLINNEGGTLDIACPNNSTISNVTIPVVSISKEGADIIDKYINSGKKVELLLYSPDRPIVDYSVSFIWLMAVGTIICAALWKKFTQSKER >Solyc10g047090.2.1.1 pep chromosome:SL3.0:10:39649571:39649858:-1 gene:Solyc10g047090.2 transcript:Solyc10g047090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGLRIDSPRFEIRKKGIDLIYGHRRCGKGRNARGIITASHRGGGHKRLYRKIDFRRNEKDIYGRIVTIEYDPNRNAYICLTHYGDGEKRYILHSP >Solyc05g012174.1.1 pep chromosome:SL3.0:5:5391801:5392774:1 gene:Solyc05g012174.1 transcript:Solyc05g012174.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCSSTLFMLLLLVVASGTMVLSKDTCMTYLGPCADTDPCDANCCREKCLEQFKDKKPNPFCEESIAGDPHSVEKKKIKWSRMNVVLKK >Solyc03g123640.3.1 pep chromosome:SL3.0:3:71937021:71945024:1 gene:Solyc03g123640.3 transcript:Solyc03g123640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVLRQITPLRTTLQKNHHFLLRLAALIAVPMVSVGLHALVSRKHRGCDLTEQPTAWENQTNNQGVRKRTMGRKTSKKHAGVNTDYTVESVSGGNADDNDRPHKDKKTTPVPQTSFLRKQIDPETAKYFAEIANAIEGTEIDPEERSVICGNALEETRGKEAELATDYIISHTLQTLLEGCSLDHLCTFLQSCAKNFSHIAADRSGSHVVETALKSLSFHLQDNENHSLIEHALKKICKAIVVNPVDIMCNCHGSHVLRSLLCLFKGVPLEEFHSTKSSVGLAERLNLKAPHAKNVSLQPVQIFPSLLKKFVSEMLNTASEDISKLQMNQYSSLVLQTVLKSLAGNEQELLHLIRVLLGSSAGSANAGNLLEGIPIRNILRLVEETAYSHLMEAILEFAPETLYDELLTKVFRKSLFRMSSHHCANFVVQALASHAKSQDHMDLIWEELGTKFRDLFEMGKSGVVVSVLAATQRLHSHEHECCQAIAASVCTGDEFPKGIVPRILFLENFFCSRDKSNWSWPHGTKIHVVGSLILQSIFRLPSELIQVYITSITSLEEHHVLEASKDPSGSRFIESFLNSNISGKQKRKLVAKLRGHFGELSVHPFGSFTVEKCFTASNLNLRETIVSEMLPLQPELSKSKQGPYLLRKLDIDGFARQPDLWKSRQASQQSALKEFYATFGPKETKSFEKESFLADTVSKSKPGKLKDIRKEIETTLASAKTSITPFLAHQVSKKVKRSKDEKKRHRKDGESESKRKKIKV >Solyc12g098830.2.1 pep chromosome:SL3.0:12:67189875:67200946:-1 gene:Solyc12g098830.2 transcript:Solyc12g098830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSTVCQAVVSCMSIPNSTSRSTAVFSNTQKLDGALVFRSSVSYNRVNVFSSCRCSAATSVPPGEDVFSVVTSSTTSEVDYLGESTKGDLNLNFGIDGNTLQGPVEEVAKMEAQEAENLLQHLGIPVPYNARHSPRGIFCSRTLNLRSISAIGYDMDYTLMHYNVMAWEGRAYDYCMENLRNVGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYIKRAMHGTRMLSTREVSEIYGRELVDLRKESKWEFLNTLFSVSEAVAYMQLVDRLDKGVIGPDLCPNEYKGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKRLLLITNSDYHYTDKMMQHSFNRYLPNDMNWRDLFEMVIVSARKPEFFQMAHPMYEVVTGEGLMRPCFKARPGGLYSGGSAQMIESSLNVHGDEILYVGDHIYTDVSQSKVHLRWRTALICRELEEEYNALIHSQEERASLIDLINKKEVLGDLFNQLRLALQRRTEGRPAQTLAATHMEDNELTESMQKLLVVMQRLDQKIGPMLDEDGELFNKRWGYLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRAQEQNLAHDSYTFDHLRREEN >Solyc04g008750.3.1 pep chromosome:SL3.0:4:2407800:2412225:-1 gene:Solyc04g008750.3 transcript:Solyc04g008750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor SPT4 homolog [Source:UniProtKB/TrEMBL;Acc:K4BP31] MGSQPAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTPNFTGVISVMDPTRSWAARWLRIARYVPGCYTLAVSEALPEDLQNLCEDEHIPYAPPKRI >Solyc08g074340.2.1 pep chromosome:SL3.0:8:58586206:58588871:-1 gene:Solyc08g074340.2 transcript:Solyc08g074340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVRKDCLVVVLEHKIFVYNFSNLELLFHIESTTNPKGLCEVSQTADQLVLVCPGLQKGQVWVKHSASKSMKFIVAHYSVLVCFALTREGILLATASTKGTLIRIFNTLEGTLLQEALPKYFSSEWSVAQFRLPGVSHYIVTFGNENNTLIILGWDGSFFRCKFDPASSKEMTQLEYHNFLDPEEPCN >Solyc12g017710.1.1 pep chromosome:SL3.0:12:7300922:7301499:1 gene:Solyc12g017710.1 transcript:Solyc12g017710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWKITSIFTTYCSSMLRESLADHLQNCNSLLEFEFKKHRKNVLLGLSCIHNNGIIYCDIKPGNIFLVGMDKTVKIGDFGLSVTLEQGMNQKQEVIREYKEIDIWALGCTVYELITGTLMWEDAHGDYVLDKIEFEEPMLQNSKFSNEPQDFLGKCLVKNQSKRWTADKLLNYIFL >Solyc02g021420.3.1 pep chromosome:SL3.0:2:23110043:23134980:-1 gene:Solyc02g021420.3 transcript:Solyc02g021420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMRDLDPAFQGAGQKAGIEIWRIEKLSPVPVPKSSHGKFYTGDSYIILKTSASKTGALRHDIHYWLGADTSQDEAGASAIKTIELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPLKGGIASGFKHVEEEEYKNCLYICQGKHVVHVKEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGNCDVAAIEDGKLMADAETGEFWGFFGGFAPLPRKTTRDEAKNIDTVPTRLYRVQKGQAEPVEIESLTRELLDTNGCYIVDCGIEVFVWMGRNTSLDERKTASGAADELLLGLDRPKCHVVRVIEGFETVMFRSKFDSWPQSTNVAVTEDGRGKVAALLKRQGLNVRGLMKAAPPKEEPQPYIDCTGNLQVWRVNGQQKTLLQASDQSKFYSGDCYIFQYSYPGEDKEEHLIGTWFGRQSVEEDRVSAISQAGKIVELLKFSATQARIYEGYEPLQFFVIFQSFIVFKGGLSEGYKKHLAEKELGDDTYKEDGIALFRVQGTGPDNMQSIQVEPVASSLNSSYCYILHSGSSVFTWTGNLTNSEDQELVERQLDLIKPDMQSKLQKEGAESEQFWEILGGKSEYPSEKIGRDAEGDPHLFSCTFSKGELKVTEIYNFNQDDLMTEDVFILDCHSDIYIWVGQKVENKNKMQALAIAEKFLEYDFLMEKLSHQAPIYIVMEGSEPLLFTRHFSWDSTKSAMHGDSFQRKLTLVKNGGAPPIDKPKRRTPVSYGGRSAAPEKSQRSRSVSFSPDRVRVRGRSPAFNALAATFENPNARNLSTPPPMVRKLYPKSVTPDSAKLAPRSAAIAALTASFDKPLPAKEVIIPPSIKGSPEEPKLSTEAIISSPQGDSKENSVNNVTDEAPKPKPETIQEDVKEGETEDEEGLPIYPYDRLKTTATDPVTEIDVTKRETYLSSEEFREKFGMVKEAFYKLPKWKQNKVKMALQLF >Solyc08g047880.1.1.1 pep chromosome:SL3.0:8:15569415:15569648:1 gene:Solyc08g047880.1 transcript:Solyc08g047880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYESIPQSLDLAYNNLLFDLLIILFGFSKCRLNLRSQCDQFYMIYGNRTNNKCKAHILKLWFRFHYFFQKKCDKTY >Solyc09g091870.1.1 pep chromosome:SL3.0:9:71560493:71563679:-1 gene:Solyc09g091870.1 transcript:Solyc09g091870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CWL3] MVIFSKQEIEVVVVGAGPSGIAVSACLNKFGIKNVVLEKEDCCAYLWKKKTYDRLHLHLAKGFCSLPFMSYTTSTPKYLSKNEFIQYLDKYVEYFDVKPKYHTCVEWAYFNNEESKWNVKSRDLSSGDMKVYVCDFLILATGENNEGYIPKVVGMENFKGEIVHSSDYKFGRKYEGKNVLVIGSGNSGMEIAFDLSNYGCHASIVVRSPIHILTREMVYTAMLMLKYLPVSWVDAVIAKYAKFKFGNLAKLGISQPKEGPFSVKISKGRSPVIDVGAIDKIKLGQIKVLPGISKIKEQTVVFDNGDEHQFDTIIFATGYKNVATKWLKDYSSIFLEDGTLKNWKGQNGLYAAGFSKRGIAGISMDAIAIADSIKSVRGDKI >Solyc11g017240.2.1 pep chromosome:SL3.0:11:8042639:8046023:-1 gene:Solyc11g017240.2 transcript:Solyc11g017240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLFCTEVNDDANFATTAACDIQLLQALSRRIHYGKFVAEVKFRDSIDEYKPFILAQDRDALMKLLTFEAVEEMVKKRVAKKAKVFGQEVSLNDNAEEVKGKIDPLLVSRLYDEWVMPLTKLVEVEYLLRRLD >Solyc04g080530.3.1 pep chromosome:SL3.0:4:64757151:64760853:1 gene:Solyc04g080530.3 transcript:Solyc04g080530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4BVD2] MTLFHGYTSIITIVLLATSYLVIFSEATTTSSSQLSNAILIKVDQSGKGDYKKIQDAIDAVPSKNTQHVFILVKPGVYREKVVVPSDKPFITISGRKTTVKNTIITGNDYGDIFKSPTFTVFASDFVARYLTIQNTYGSGAKAVALRVEGDKATFVGCRIMSHQDTLLDDVGRHYYKNCYIEGDTDFICGNAASLFEKCHLHSLSQSNGAITAQHRQSPQENTGFTFYGCKITGVKSAILGRPWGAYARVVFAQTYMSSVILPNGWEDWNDSSRQRTSYFAEYKCYGPGASSNKRVNWLKTLSSEEAVPYLKESIMGPKSWTRSKPTHLTPLSKVNSNKFNKKRSSP >Solyc11g012250.1.1.1 pep chromosome:SL3.0:11:5130449:5131501:1 gene:Solyc11g012250.1 transcript:Solyc11g012250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEIHNFIVIWTIVLTSLCYCHTIVKFFPNGKSRFVAIIPIVCLFFILPLNLTSINLGAITSFFIAWLATFKLILFAFGKGPLSSTTPLPLLTFIPLACLPIKFQTPSTNTTQKSTNSTLNIVIKIALLATLIWVYSFKAHLHPYIILLLYCFHIYFSLEIILTMVSTMVRVVSQVELEPPFDEPYKTSSLQDFWGKRWNLMVTNILHPTVYVPVRLMMTERISRKWAPLPAVLATFFVSGLMHELIFYNIGRLKPSGEVTCFFIIHGVALTLEIVIKKLLNGTVLVPKIISGPLALGFIILTSFWLFFPPFLRGKAEIKACTEFIAFLEFVKYGKLVSPSNITCPLVL >Solyc01g091630.3.1 pep chromosome:SL3.0:1:85096458:85102591:-1 gene:Solyc01g091630.3 transcript:Solyc01g091630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGGFLDNNSGGGGARIVADIPFNHNNSSSNNDNKNNMPTGAISQPRLLPQSLAKNMFNSPGLSLALQTGMEGQSEVTRMAENYEGNNSVGRRSREEEPDSRSGSDNLEGASGDEQDATDKPPRKKRYHRHTPQQIQELESLFKECPHPDEKQRLELSKRLSLETRQVKFWFQNRRTQMKTQLERHENSILRQENDKLRAENMSIREAMRNPICTNCGGPAMIGEISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLVTSMPPPMPNSSLELGVGSNGFGGMSNVPTTLPLAPPDFGVGISNSLPVVPSTRQSTGIERSLERSMYLELALAAMEELVKMAQTDEPLWFRSIEGGREILNHEEYIRTFTPCIGMRPNSFISEASRETGMVIINSLALVETLMDSNKWAEMFPCLIARTSTTDVISSGMGGTRNGALQLMHAELQVLSPLVPIREVNFLRFCKQHAEGVWAVVDVSIDTIRETSGAPTFPNSRRLPSGCVVQDMPNGYSKVTWVEHAEYEEGANHHLYRQLISAGMGFGAQRWVATLQRQCECLAILMSSTVSARDHTAITPSGRRSMLKLAQRMTNNFCAGVCASTVHKWNKLCAGNVDEDVRVMTRKSVDDPGEPAGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGALVVYAPVDIPAMHVVMNGGDSAYVALLPSGFSIVPDGPGSRGSNGPSCNGGPDQRISGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >Solyc01g111010.3.1 pep chromosome:SL3.0:1:97336657:97338510:1 gene:Solyc01g111010.3 transcript:Solyc01g111010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSDIPKGHFVVYVGEKQKKRFVIPISFLSEPLFQDLLNQAEEEFGFDHPMGGVTIPCTEDLFVNLTSRLRNLHLGYVPVLVDSVADTNRHKAHMVLMMRGPLFQIMAIRVPRIIKKSSTSLQVPKGHFAVYVGEKQKKRFVIPISYLSQSSFQDLLSQAEEEFGFDHPMGGVTIPCPEDIFIAITSQLN >Solyc04g054250.3.1 pep chromosome:SL3.0:4:52062942:52075301:1 gene:Solyc04g054250.3 transcript:Solyc04g054250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLQNELQEVVGLERMVEESDMENLNYLNMVGLRLHPAVPLFFHEAMEDCVVDDLHIQKETRIIINCYAIHMDPNVWPDPEKFFPERFIFVDANFNFYHLALGEEAVLECSWELPLSALWWHNWCIALSGSFENGIDPCDLDIDEKFGMYILDLLTETGMLGGKPADTPIVANHGLQVIEGAKATDREQYQKIVGKLIYLTHTRPDIAYAVGIVSRFMHLPQIHHMTAVMRILRYLKGTSNTGIYFGKNDSLDIIAYTDADWVGDRDERKSTSGYFTLVGGNLVTWRSKKQKVVALSSAEAEFRGILLSIHKRKKFPPGPKGLPILGHLHLLGKNPHQDLQKLANKHGPIMYMRLGLVPTFVASSADAAEKVLKTYDHVFASRPHHEASQYLSYGQKNLIFAKYGVYWRNMRKLCTVHLLSNNKINSFQSMRKQQVQLLIESLKKEAHDRVAVDLSAKITSLNANLTCLMVFGKKYMDEDLDKRGFKSIVQDVVHLAATPNLGDFFPFLGAIDLQGLTRKLKDLSEVFDEFLEKIIDEHVHSHEHKQTKDFVDTMMGIMQSGVAEFQLDRRHIKAILFDMLIAAMDTTASSTEWILTELLRHPQVMKKLQKELQEVVGLERTVEESDLENLKYLDMVVKEGLRLHPVVPLFYHESMEDCVVDGFHIKKGSRVMVNCYAAQRDPNVWPEPEMFLPERFVGSCIDFRGRDFQLVPFGSGRRSCPGMQLAVIVVRLVVAQLVHCFEWELPNGMQPCDLDIDEKFGMVTCREKPLMAIPTYNLNKS >Solyc04g049920.3.1 pep chromosome:SL3.0:4:44734033:44737773:1 gene:Solyc04g049920.3 transcript:Solyc04g049920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSNMGDTTLTKVFVGGLAWETPKEAMKEHFDKYGDILEAVIISDKITGRSKGYGFVTFKDAESAKKACEDATPIINGRRANCNLASLGARPNVVGARANSASPASHVQWYYPQAAPPASPFHHHQPLPFYGYSPAYIATDMNYNHKVSYNGGGYVNGHFSQVYPGQAMMPVYHPYYQFHHQSQTMGLPAHIYSPTPAAPIPTLMSKPTSIPPTAVCLAVE >Solyc02g090670.3.1 pep chromosome:SL3.0:2:52838356:52845203:-1 gene:Solyc02g090670.3 transcript:Solyc02g090670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine aminopeptidase [Source:UniProtKB/TrEMBL;Acc:K4BCL6] MVGFVSTFLGDCRFINHPHSLRRLFHYKPGNKHVSMQCSRTFSGLTDLLFNRRNVDELPNTNRKRLRPGQISPPRPVPEQIQRPPYVKSRKPPGIGSGSEVHDKKGIEKMRASGRLAAQVLQYAGTLVKPGIMTDEIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSINECICHGIPDSRPLEDGDIINIDVTVYLNGYHGDTSAMFFAGTVDEEAKNLVQVTKECLDKAISICAPGVEFNKIGKTIHDLADKHRYGVVQQFVGHGVGRAFHSDPVILHYRNNDRGRMILNQTFTIEPMLTIGSIDGVIWGDDWTVVTDDGSLSAQFEHTILITADGAEILTQC >Solyc04g054257.1.1 pep chromosome:SL3.0:4:52095491:52097736:-1 gene:Solyc04g054257.1 transcript:Solyc04g054257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVWATFIFALILLFIFYEILNIKNKKKLPPGPKGIPILGHLHLLGENLTQDLHKFSLKYGAIIYMKFGLVPTYVISSPQSAEKILKTYDHIFASRPHNETAQYISYGQRNLIFSKYGAYWRNMRKLCTVHLLSNMKIHSFQSTRSEEIALMIKEIAQGHVEVDLSAQVSKLSANLSCLMVFGKKFTDDDLDKRGFKYLVQEVTTLAATPNLGDFFPFLGVIDLQGLTRRMKDTSKVLDPFVEKIIDEHVNAKEQKKNKDFVDTMMDIMQSGEAEFQFDRRHVKAVMLDMLVASIDTSSSSIEWMLSELLRNPDIMKKLQRELEEVVGLNRMVQESDLENLKYLNMVFKESFRLHAAAPLLLHEAMEDCVVDDFYIKKGSQVIVNAYTIHRDPNFWTDADKFMPERFLESNVDVRGRDYQLLPFGSGRRSCPGMQLALIIVRLVVAQLVHCFDWELPNEMNPKDLDMTEQFGIVTGRANHLMAVPTYRLQHN >Solyc01g007220.3.1.1 pep chromosome:SL3.0:1:1799709:1800344:1 gene:Solyc01g007220.3 transcript:Solyc01g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKKCSHHKSKKKKLIRRICAGVLIFLFLALLTILIVWAVLHPKKPRFILQDTTIFTFNVSAPNIFSTSIQTTIYARNPNSKIGIYYDSMHVYATYHNQQITYYTQIPQVYQGHKDVNIWSPFVYGNNIPIAPYNGPGLSEDQQNGGVWLDFKIDGRVKWKVGSITTGHYHLHVTCSAYVPLGDRPGYGGVMVGNNAVKYQLARDCSVSV >Solyc05g055680.3.1 pep chromosome:SL3.0:5:66074593:66075336:-1 gene:Solyc05g055680.3 transcript:Solyc05g055680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKMGFNNYYSDSSEPHHHKTHKIFLFCNYILLGASSSCIFLTLSLRLIPSLYGFLFILLHILTIGGAVFGCATVSAQSADTRGYGAHMRRGCVMILRLAGGLCALIFCLEWISITLAFFLKYYDYIEGNNGNIAMKNRGKVQDEQDVKNWPWPFQV >Solyc02g021760.3.1 pep chromosome:SL3.0:2:24095854:24112386:-1 gene:Solyc02g021760.3 transcript:Solyc02g021760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGEGGLNFDFEGGLDTGPTHPTASVPVIQSFDHTAAAASSANINPPTVPAVGGQGDVGFVGNRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPICRFFRLYGECREQDCVYKHTIEDIKECNMYKLGFCPNGPDCRYRHAKMPGPPPPVEEILQKIQHLASNNYGYSNRFNQNRNANYSTQTDKSQASQAQNGTSLAVKSTATETPIIQQHQPHQQVQPPQLQGGPTQAQIHPNGQQNQADRTAVVLPQGTSRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSVENVILIFSVNRTRHFQGCGKMTSRIGGAANGGNWKHEHGTAHYGRNFSLKWLKLCELSFQKTHHLRNPYNENLPVKISRDCQELEPSVGEQLASLLYLEPDSELMAISLAAESKRLEEKAKGVNPDNGKDNPDIVPFEDNEEEEDEEEESEDEDENFDQGFGPAALGRGRGRGIAWPPIMPFGHGPRPPPGMRGFPPGMMGDGFSYGAMTPEGFPMTDHFGMGPRPFPPYGPRFSSDLMFHGRPPAGGFGMMIGPGRPPFVGGMGPGATGPPRAGRAVRMHPSFIPPSSQPSQYPYRAKREQRAPVSDRNDRFSSDQGKGQEMMGSVNGPDGVHMQIGKSEHDNQFGAGNSLKNDGSESEDEAPRRSRHGDGKKKRRDVDEDAATGSENRCQ >Solyc05g014656.1.1 pep chromosome:SL3.0:5:8658841:8659376:-1 gene:Solyc05g014656.1 transcript:Solyc05g014656.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISHVQFNMKIDICELDAYLKLCMPSDDLDLSKMALGMKETFKKYRGTPKKMNKMIFIAFVLDPRNKFVYVSFALEELLGEETKNLVNKKVEAYLRDLFVIYVIKYGKGSKNQPSSSDSSACGLSQNVSKNSLITKLHMKKQK >Solyc01g103260.2.1 pep chromosome:SL3.0:1:91784244:91785078:-1 gene:Solyc01g103260.2 transcript:Solyc01g103260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGMKHPVGAFFPADTCKDIHLSILSHLLDQFFFATLPALEQSPGIRREGASDI >Solyc09g059120.1.1.1 pep chromosome:SL3.0:9:53649905:53650417:1 gene:Solyc09g059120.1 transcript:Solyc09g059120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTSQDSTGSSQVILNLFNIMLFKRLDHIISNILMRAFEDQCGSILDRTTPQLTRLMTIIKSNYKYRRKVFGACVDVTLVINHFCDRALHASKELFNEIVPTSKSSIRLLNKIATDNKDINNECIVCLSEINKMHALLTYISWRMYYQVVSKKSLLSSLRLCYTNGAII >Solyc01g107920.2.1.1 pep chromosome:SL3.0:1:95199616:95200917:-1 gene:Solyc01g107920.2 transcript:Solyc01g107920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRNLAALLSSLIAQLLLLITVVFPSSNPLCITNSSLSHFLTPLLLHFLSVSETAATLSRKRKRIHFSEFDAPEGEGLTRFKLGRPDSFIRRNPDCFKKFFNINSSTFDWLCGLLEPLLECRDPVDSPLNLAAETRLGIGLFRLATGANFSDVSRRFTVSESVAKFCFKQLCRVLCTNFRFWVGFLNSGELESVSNRFESISGIPNCCGVLCCVRFKVNEESIAAQLVVDSSSRIISIIAGFRGDKTDFQVLNSSTLFEDIEKGTIFTNSQGLEINGVSVPQFLVGNGDYPLLNWLMLPFDDPISQSNEEKFNNAINVMRLPSVIAVQSLRNWGVLREPIEGEIKTVVASIGACSILHNMSLSRDDYSAFCDDLNEYSPDKRKSCSNPGETKTVACSIRSALVTKVTKATEFQ >Solyc02g087570.1.1.1 pep chromosome:SL3.0:2:50604903:50605274:-1 gene:Solyc02g087570.1 transcript:Solyc02g087570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVSCAACKYQRRKCTSDCVLAPYFPANQPKKFQNAHRLFGVRNIVKILQELKDEDQKSDAMKSIIFESDMRAKFPVYGCVECISYLRQQLQISLQELQDVRSQLEFYRQQHPTATYPNFDR >Solyc01g079130.2.1 pep chromosome:SL3.0:1:78068846:78071994:1 gene:Solyc01g079130.2 transcript:Solyc01g079130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSTLLVTFYMTFALLLLLLCSHVVSARYHHHGHRGHHNNKKQHKHNSHISYPPSISPEPSPSAGDEGVYDVRSFGAVGDGVTDDTEGFKAAWDAACQVQSAVIHVPLGYSFMIQSTIFTGPCQSGLVFQLEGTIMPPDGPESWPSKTSKRQWLVFYRVNELSLQGGGVIDGRGEEWWNLPCKPHKGPKGSTLPGPCDSPIAIRFFMSSNLTVQGIKMKNSPQFNFRFDNCKNVHIESLHITAPIWSPNTDGIHIEQTSNVEIYDSLISNGDDCVSIGAGCYDVDIRNLTCGPGGHGISIGSLGNHNSRACVSNITVRDSVIKQSDNGVRIKTWQGGFGAVSGVSFLNIHMDNVRNPIIIDQFYCLSKDCSNRTSAVSVSDIEYSSIKGTYDIRSPPMRFACSDTIPCTNITLSDIELLPAKGELVLDPFCWNAYGGQETLTIPPIFCLLEGNPPKVFENNDLGYCSSS >Solyc04g011880.1.1.1 pep chromosome:SL3.0:4:4280244:4280552:-1 gene:Solyc04g011880.1 transcript:Solyc04g011880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVMKMGAQSPVVIFSRSTCCISHTIETLIRNFGANPIVYELDRLQNGKELERALVDLGCQQIVPVVFIGNELVGGSNEIMSLNIRDKLKQLLIKANAIWV >Solyc12g010570.1.1 pep chromosome:SL3.0:12:3547599:3549881:1 gene:Solyc12g010570.1 transcript:Solyc12g010570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSNNLVGIVNIITFIISIPILGGGIWLSKQANTECERFLEKPIIALGIFVMLISLAGLIGSCCRVSFFLWIYLVIMFLLIILIFCFTIFAFVVTNKGAGHVLSDRGYKEYRLGDYSNWLQKRVNNHWGKIESCLKDTKICKTLMDHNGDVDNKVEEFYKKHLSALQSGCCKPSNDCNFTYVSPTNWTKSSTSSSFTNPDCNLWSNDPNVLCYSCESCKAGLLDNIKSDWKRVAVLNIIFLVFLILVYSVGCCAFRNNRRLDSYKRYP >Solyc08g062825.1.1 pep chromosome:SL3.0:8:52216413:52220368:-1 gene:Solyc08g062825.1 transcript:Solyc08g062825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHVKRKLLAHNRKEDINLRVKEWKNALIGYVIGGNSSEAQMAEFIRKVWGFVGLPKILYHEYKDGYYVFKFQNTIDKEKSDANRSLSKLASAIGIPLYIHGFTENVEKISYARVLIVMDLAKRLSDVIVVETPSGPWDRYTDTEGTQTGDPCSPIPGYASCDNRRSRTPIDDLNGQDVVLCVVNQL >Solyc11g050790.1.1 pep chromosome:SL3.0:11:16208051:16208437:1 gene:Solyc11g050790.1 transcript:Solyc11g050790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWWLIPQYLLYGISEVFILVGLQEFFYDQVPVELKIFVISFIEKATSRKGQDSWFSDNLNRAHLDNFYWVVSGLRVFFHSFFTCTLQGLISKKIEVLYEKGILDNLCS >Solyc01g014564.1.1 pep chromosome:SL3.0:1:14358993:14359283:1 gene:Solyc01g014564.1 transcript:Solyc01g014564.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMVKYKGVRQRRWGKWAAKNLDKKNKTRLWFGTSDTPEEVALVYGKVAIELRGTIIFLE >Solyc02g080930.2.1 pep chromosome:SL3.0:2:45578057:45579026:-1 gene:Solyc02g080930.2 transcript:Solyc02g080930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCMKIFIFLAIIMAIFSVASSVDELEEEILAKDENEVESFEVPLNETDESSSSSIIRGASRFLLSHHHKSKTIHKRMTCNKNPRICRSKGSPGSFCCKKKCVNVLADRQNCGLCGKKCRGKCVNTLFHKKHCGGCGNKCQQGSSCVYGMCSYAN >Solyc09g005280.3.1 pep chromosome:SL3.0:9:212431:218282:-1 gene:Solyc09g005280.3 transcript:Solyc09g005280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTFQLPDIQHNRDTILQTAKNLYRYRRSRLHDHFKKFATKELRLQNMPSQVNEAEWKFLVEYFISDDFKKMSERNKSNKAKQEVNHICGRKSFQAVSFEARDTNTGKEPNSQKFWEMTHVNSNGHWVNDASAEVNDKVKEVVAEQIQEIEEGADVDLIANAAFMHIMREKAGYVRGQGSGVKPASRRSRDEIQEQLEAQQKEIEEERRKRESLESKLMEVKYQLEEERRSREVMETRIVREQKLLKEGVMALVSHIMDFLLQFSTSLITQLVQTRHVLQVLWIKTRMIKHCC >Solyc06g008990.1.1.1 pep chromosome:SL3.0:6:2926588:2927193:-1 gene:Solyc06g008990.1 transcript:Solyc06g008990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLEDVNSWSFIQILNNPSTYHHQIDYKKDDNDVYIHPSTKLSSLVMSTKSLEMCTESLGNETGCYFNESMEERDIARSVQRSKCREFKKRIKRTMNFPPPLTSISGSERVRIRSHREGGRLVLRVVSINACNSYFKAERANGTLTLSWRNNKVAQMDEEANSCRKLASEIGIPRRCKEKSGSRIKGISSWGQFWVAIF >Solyc08g006130.2.1.1 pep chromosome:SL3.0:8:864501:865459:1 gene:Solyc08g006130.2 transcript:Solyc08g006130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYRSIRFLHTPITRNHNKCQPWIFILTKKP >Solyc01g057747.1.1 pep chromosome:SL3.0:1:63555854:63557203:-1 gene:Solyc01g057747.1 transcript:Solyc01g057747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMTGTDVEMIIDIPPVVLIPQKKVVYNVEDIRRTISEFEQPFKVVEGEIYQEEKEKLKKLVKNQLICVTSDTWTSLQNLTCMVVTAHWIDDEWNVQKKILNFFQLQITRNLFILTLDNASANEVPLSILKAQIDDWKGVILRNEFASFKSYVEKTKLDSHGILSLEVETRWNSTYTMLEIAVNFERAFARMYIDDHNYRKYCLQRQLKGHPTTNDWNLVKFLKIFYHSTLKFPRSLYITCNLFFHEFLNV >Solyc01g006040.3.1 pep chromosome:SL3.0:1:712452:726948:-1 gene:Solyc01g006040.3 transcript:Solyc01g006040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase [Source:UniProtKB/TrEMBL;Acc:K4ASH0] MESSAAASDEPQKKRPHLNSVFSSPTMARHLKTSSDNKDVDAAVLQHQNQKLVQQLDAQKHKLHDLEAKMKELRDKQASYDDFLVTLNRIWNQLDDDLIILGVHSMADQISLQSLDHQDYSGGSIPSCPAEEIFLCRVLKTNAIPGNANDVSIVNIREALDLRHSSTLELMKSLQNAIDAQRIKTENLAHLLEGKTSAEDGIIILSKIDDMMKEEANYLRQVIDVLHLKHKAYADAIEACNQRQSADQSELKRLEGELEESMTELEDSRRKLVTLKMQKDVACGGQETISSAVNGSMSPEKHTDRTKGVRELKESIEEAKILKEDRLSELHDAQEDNLHLSKQLQDLQNELKDDRYVHSSRAYTLCNDQLHHWNSEAERYKALADSLQADRSFIGRREKELALKAEAVDAAKKAVDNSESRIEELEHRMHRYIIEKNELEIKMEEAIQDSGRKDIKEEFQIMGSALSKEIGMMEAQLNRWKETAQEAVSLRKERRSLETSLERKVIEHKDLIGKCAHQTGEIRTLKELVSFSADLFFYQGLSFSVKVMCPSLLAG >Solyc03g063470.1.1 pep chromosome:SL3.0:3:36813273:36814685:-1 gene:Solyc03g063470.1 transcript:Solyc03g063470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVSLTRCGIPKIITVVFTKTRKGKARSCLNIDGTFNQKRPLQYLRLKRPKSCYSFDLKSATDRWPLSVIYTLIEIIWGSTLASSIVNSFLGLNTFLVSPPMVKKISEVAFLTGQPLGYYGSWSLLSLSHHYIVWLATLKAYPLRSTPFVDYALLGDDILITDKKVANQYSRLLDRLSVTISFAKSIVSKNGTIEFSKRFWIRDMQKDISPISLKALTSYRMTVGLCQLSCQYSIEISTLQS >Solyc04g025477.1.1 pep chromosome:SL3.0:4:22798596:22799962:-1 gene:Solyc04g025477.1 transcript:Solyc04g025477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGILFLRYVSLFFYVFDWGSGETVQIIPTSFGGVGETTKAVKDSFHHNYILKWRHQLSIQDEFQSLLSPRDRTSACLSLKTA >Solyc10g080470.1.1.1 pep chromosome:SL3.0:10:61860766:61861821:-1 gene:Solyc10g080470.1 transcript:Solyc10g080470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASIKFRDEQKPLIRAKVPLSVLNFPFQSGIVAGESKELSLSLGTLFDSGPSFKVAYRPNDSFNPFSFVFKTGIGHFGSPVSSPFTMSAEFNLVGNQNPSFFIHFKPQFGDFCVKKSHSSSALTKSLTSKPNGIDPIQNNFETPVMKTDYFQEKAAFLGSKVGVFPEESAVVGAVENLFSGAVVSARTSFPVRNRAVVNFRWGLRFPKVPAVEDPDTAILGNTNFPSPAAISFRHCPLLVMNKIGIEHLSSDDPKKANNLPRNADLTQACLDVKQQLETIQAENGLLRNALNDLRSEIASKKFNFPTNEFNSRSNRDAENNTKAKYSGDRRSNGKSKEGDVNVNEDSKKA >Solyc06g069740.1.1.1 pep chromosome:SL3.0:6:43551107:43551664:-1 gene:Solyc06g069740.1 transcript:Solyc06g069740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIFSFSAQANKMGDTNSTILSCVFFCLVIIFHEFYSSISSFFRTIISLFNTPYNKKHDNAETSSKANVINSETKDVELVFDTLINFCNENGDKNIDEVELVEVFDSFEKTEPSLEEVKEAFDVFDENGDGYIDANELKKVISKMGFLDLSVFDCQRMIAPFDENRDEKIDFGEFVKLMENIFQ >Solyc11g072160.2.1 pep chromosome:SL3.0:11:55690344:55694759:1 gene:Solyc11g072160.2 transcript:Solyc11g072160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFTFQVSELEAVVMSRAARSKSKDKKVSKEPPKIASKPSSASGYNPLFGTFQTFDPAPVTANAALHVSGRFKSIDERDDLSGHSHGAGGEYETVSNNGSWSGEEDHKEKTSNLPTRQETSVGTDNDKREKIRQKNEKKHQRQKERRAQELREKCSGFLMSRKLEALARQFVAMGFPSEQATLALILNEGKVEESVAWLLEGGEEANKYEAQSADTVGNLKIDISEEFARIADMEIRFNCSKQEVERAIVICEGDLERAQDMLRSQKQEPPSVPPKTEETSHPPTMGNNKIPIGATKNLARVPVKPSSSSTIPAKADDKELNYTKVVAPVRPSMDPGSNNIPLLEGTEPKLDRGKAPQVSVPVDKRFPDAGSNPSVSCSLSSSLQVSLPPAKTEADYVAVENELKNLPVGTVTEPVVVMQQPPFVNARHTPTSNVSSTLPETAVPFFPINIVGTVKPSGMNPHIAGTKSLITNDVSTNHQLYNQPHYQQHQQPEQVVSSYGTLVSPGTSWGNNLWSWTGGSSQTQLVPATSSLGLFSGLGTHGPYGVPSPVDWNSGGGTVLHLDYKNIDWSLDHGSSSSGTGGVWRTTNSLLEHYDPKYDSFYRGIAAKSTSKPVLTNKIGVPNPGLQVGGLSAAETLVAGGSREWTSAAGGFHQQVSPVGGFHQQRSPVGGFHHQTSAVGGFHQQTSAAGGSRQQTSAAGGSHQQTTAVGGSHEWTSATGGYHHWTSPFEERDLFSLPRQFVTSPSL >Solyc07g044820.1.1.1 pep chromosome:SL3.0:7:57997002:57997889:1 gene:Solyc07g044820.1 transcript:Solyc07g044820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEP0] MPRKNLLLASLSSFAIVIFIFFISFTIHSLTTSLTNIILLQQAPQIVNTQFTLLLGIFTRPGNFDRRHFLRLVYGIQSTPIARIDVKFVFCNITNREERTFVALEIMRFNDIIVLNCRENMNSGKTYTYFSTLPQILPTRYDYVMKADDDVYLRLEPLASSLQSLPRVDLYYGFVIPCPSMNPFVHYMSGMGFVLSWDLVEWIRRSNIPANNTYGPEDKLVGQWLNMGNKAKNRFSNKPKMYDYPGTNGKCSHELIPDTIAVHRLKKWEQWINVLRFFNVTKQLQPSSLYSISFD >Solyc01g112370.3.1.1 pep chromosome:SL3.0:1:98368942:98369464:-1 gene:Solyc01g112370.3 transcript:Solyc01g112370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMSGEVQMSSEKQPRRGLSCTRYFVALWFCYSPVHQMQQYYRLGALDNCSKKWSGLVDCLTLKTKRSSEVEEILETREKAKPHQWSTRTPEEAAAYWSELFDHLDEE >Solyc01g096460.2.1.1 pep chromosome:SL3.0:1:87423734:87425027:1 gene:Solyc01g096460.2 transcript:Solyc01g096460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKRRAVKNLVTKLSSVSEQTRTEAICELRLISKNDSDSRPLIADAGAVPYLSESLYSSNKLSQENATAALHNLSISHKDLLMSTRGLLDALSHALRNPSSPSVAQCAAGTIFSLLTVESYRSIIGHKRDILFGLIDIIKNPNSDSRTVKDALKALFGIALYPMNRAHIIELGAVPPLFSLLCNDGRAGILEDVTAVIAQIAGCEESWEAFRKISGVGVLVDMLDSSTGSSNRTKENAISALLNLVQCGGEETINSIRGIVLGAVDGIIEVAENGTDKGRSKAMALLKILDVSSCTFQEEHMDYLSNHSS >Solyc07g025410.1.1.1 pep chromosome:SL3.0:7:28095966:28096115:1 gene:Solyc07g025410.1 transcript:Solyc07g025410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSYRGVWWFIRVSKGYVFLRVFLLFTNFYDLVFICFGISYVLGFTVS >Solyc10g045105.1.1 pep chromosome:SL3.0:10:31314636:31316727:-1 gene:Solyc10g045105.1 transcript:Solyc10g045105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYDCDKFNTLCNEKDVELKAALTEKRNLEMRLPKLSSQGSKKTTPKELVDANNQVFDKIHEELKARCMLRTAEETKKEAFE >Solyc03g098160.3.1 pep chromosome:SL3.0:3:61922598:61935356:1 gene:Solyc03g098160.3 transcript:Solyc03g098160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMETEIAMEEIEEEAPKNSSLFPVFPQAQISSASNPTTSYDAVPEWLRNSSFTTDISVINDAVMTDYGNVQFQENLEEDEGEDVENKNQKGEGAPYELLHSSGSERGHSSSDDDGRDCKKKKRKKKRKKSHRSSDDRPLYDYALSASRKPDVRTWASSTAANVKDYYFDSRGDRDNLAFGSIYRMDVARYKLHNLRKTSEINNYRRNDKRNFERDIDIDALDDKLRSGGRYWSGTYAAIEHHKNLKRLKILTPHKPMMNIPADFVSLADEVKSDEGIRGDAISGNAVVEESLEDEVYRKTKEFNKMTRERPHDEQIWLAFAQFQDKVASMQPQKGARLQTLEKKISILEKATELNPDSEDLLLSLMSAYQSRDSIDDLISRWEKILIQNSGSCTLWREFLRVVQGDFSRFKVSEMRKMYANAIQALSGAWTKQHRQVSGGANSPSMDPAIVRLELGLVDTFLSLCRFEWQAGYRELATALFQAQIEYSLFSPSLLLSEQSKQRLFEHFWNSNGARVGEDGALGWSKWLEKEEELRQRAMREESSHASEKGGWTGWSEPSSKGKEKNEAIENITETDGALDELEEESEMKDDEQKDDTEALLKMLGIDATAEANCEIKDTRTWTRWSEEEVARDSNEWMPVHAKTGISHSEDPADAEGDEQLLRVIAYEDLSDYLFSIISEEACFSLVSQFIDFYGGRMAQWTCTNSSSWAEKYLSLEAIPDSLFDELRRMHNVLTKEGRNRTETSLEQVLSSSGDISMRTSMMRFIRNATLLCCTIFPQNHILEEAVLIAEELSKTVMNTSSCSVTPCRTLAKSLLKSNRQDVLLCGVYARREAVFGNIDHARKIFDMALSSIDGLPQGVQTNASLLHLWYAEVEVSNGIHGGSGSSESSLRAMHILSCLGSGTKYSLYRCKPSSLQQLKARQGFKEQVNMLRSSWTRGLIDDNSVALICSAALFEEITIGWTEGVQILEQAFTMVLPERRRHSHHLECLFNFYMRMLCRHHQEMKLSKLWEYIVTGLDIYPCSPNLYNALVEIGHLYASPNKLRWIFDEKFQKKPSLVAWLFALSFDMSRGGTEHRIRRLFERALENEKLRNSVLVWRSYIAYESDIACNPSAARRAFFRAIHACPWSKRLWLDGFIKLSSFLTAKELSDLQEVMRDKELNLRTDIYEILLQDDVES >Solyc07g032385.1.1 pep chromosome:SL3.0:7:38301938:38306048:-1 gene:Solyc07g032385.1 transcript:Solyc07g032385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHLISSRCTTCWGSMRSSCQIVRSRTKMMNILIERKKPQEVHSIFQGLTEEGHRPTLVTYTTLLAAFTLQKRFKSIPLILSKLEQDGLKPDSIFFNAIINAFSESGNLKEAMKVFHKMRVKKCKPTTSTFNTLIKGFGIIGKPEESMKLLEIMSLEENVKPNDRTYNILVRAWCNRGKIAEAWNVVHRMFSAGVKPDVVTYNTIATAYARNKEPARAEAMIFQMQNHKVAPNHRTCGIIVDGYCKERNMTQALRFVYRMNDLGVLPNLVIFNSLIKGFLDITDTSGVDETLTLMEEFGVKPDVVTFSTIMNAWSSAGIMDKCKEIFDDMIKASIEPDSHTFSILAKGYVRAGEPEKAEALLKVMASSGVHPNVVMFTTIISGWCSAGQMQYAIRVFERMCKMDVSPNLKTFETLIWGYGEAKQPWKAEEFLQVMDEMGVTPTKNTLQLVSDAWRAIGFLNEAKKISGDVEYDDSTFLNALEDNNFERVVYAKDSLSSLKQVSNQNGSSPVNKRSQIVLSRYCCLGGSINVATKSIMLPHTCRFNVKSLMIFDKQRQVKIGIYGFVNSCRIMALN >Solyc06g082760.3.1 pep chromosome:SL3.0:6:48498529:48500411:-1 gene:Solyc06g082760.3 transcript:Solyc06g082760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTWSISTIIQSFEGLAPLHPLLSLFSQDSTSFENSFTIIDNGGRVFAMRHGRKVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTKARARAVRKYVDKMVTMAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Solyc11g071440.2.1 pep chromosome:SL3.0:11:55209639:55210589:-1 gene:Solyc11g071440.2 transcript:Solyc11g071440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NEKIKEADSILIVGGGPTGVELAGEIAVDFPQKKVTLVHDGSRLLEFIGPKASDKTLEWLKNKNVEVKLMQSVDLSNNTDNSGGNRTYFTLSGETIRADCHFLCTGKPPGSEWLRETYLKDRIDNFGRLKVDENLRMKGHRNIFAVGDITDIKELKQGYSAQKHALVAAKNLKLLMSGGKESKLTIYEPRSSPKIIVSLGRHDAVAQFSFTTIIGLVPGMIKSKDLYVGKTRKKMGLQPK >Solyc02g092090.2.1 pep chromosome:SL3.0:2:53936640:53937284:-1 gene:Solyc02g092090.2 transcript:Solyc02g092090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTFPATTLSADGLFSNLFPAFDGGFTPWECIEPAFLFSQQVESVVSPKESPPPEPVISNSDSGSDDSKPNSRKRQRTTSNRDMDERKRRRMVSNRESARRSRMRKQKHLENLRNQANRLKVGNREIMNRLRLITHQCQVVQCENERLRTESAILRQRLEGIRQIWINRQFNSYSAWACNNMEQRPH >Solyc11g030810.1.1 pep chromosome:SL3.0:11:23549403:23551186:1 gene:Solyc11g030810.1 transcript:Solyc11g030810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASVHIKHRSFPFCPYLGVDPRFRYLPLRYRIGSYWYCFNPIGEVVCSGDEKMVTMTRRERWRYETGFANWISPYRKPKVRPQLLKVPNAQTGKKKWWMKPPLLFLKLNSDGRCRYGICGGGGVVRDSMGALTMAYPIPLGVGTSN >Solyc07g049750.3.1 pep chromosome:SL3.0:7:60220868:60225979:1 gene:Solyc07g049750.3 transcript:Solyc07g049750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFELPSDLIQQAKILTRTEAGLPDYLPDDSSLPAMPSLSATVAAFDPSPPYLRCKHCQGRLLRGLESLICIYCGHKLHQNPDVAPEPISFKSTVGYQWFLQALRLDGSEKVGAATEKNQVNKGPSSPHDEVLLSDFLDLKIRWPTELETDNTIMTKKLELSKSSYDPTGFDLDNFLSFPKRENISSAHKEQTVTSDNIGSAANKTVGSHEDLSLFENLRSAEPAVTSSTIQTSDDFSGWQADFQAAGSGEQNVSNESISPLSSAIGSGVQHSFAAFDTYTSSTVSSGNHEGSKSTDALVGADIDLSAQLDTVFGTTEGPTDGKLKDVVDVPPAANDWPAVDLWDSANLEASQKAGEILPISRPKNAELQNSSEDPSTSIDWFQDDTWQTHNAPAPKHDSTNGDLDSFDEWNTLTSSAPTKDPFENVPAPELDTTNGDHDSFDEWNTFATSTPSKDPFENMLAQSNSDNNNDAELTNFSSNLEDMDFGSFSQSDPFSGAPGKEGVSAEGNGDILEVPTIFSTVDTPSKVGDDAGHASENAAIHAESNPLKNDMNIESIMSQMHDLSFMLETNLSIPSKSNISSPKD >Solyc11g051140.1.1 pep chromosome:SL3.0:11:17634896:17636321:1 gene:Solyc11g051140.1 transcript:Solyc11g051140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSFYVSLMKFNGIFKKMYGVNMHVVKKKLSITSKMIARAKIETVSNNESTHVPKQSSISINIPDRSKIYKKKSSSTFMKNGSYSRSIEEDEDCTTT >Solyc02g083660.1.1.1 pep chromosome:SL3.0:2:47536588:47537088:1 gene:Solyc02g083660.1 transcript:Solyc02g083660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLFHVIELPKLAFLWRYLKLTVIVFVTRLGLCKPPPEEDDADSSYNPNSYILLLDGTCPSLVTVPIEVATAAVKLKVPILLYSDYLLCRRRKCGQSVKGCSICLESMELDEEVRELITCSHVFHRGCLDTWVNDGHVTCPLCRSMLLPPKLTSFRSNSLNPSIS >Solyc07g066150.1.1.1 pep chromosome:SL3.0:7:67776515:67776955:1 gene:Solyc07g066150.1 transcript:Solyc07g066150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFSAPTFQGLRPLNKPTDSSLFLNKPIAFKPITKKPRNLAVKAELNPSVVISLSTGLSLFLGRFVFFNFQRENVAKQVPSQNGISHFEAGDVRAKEYISLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATSSNGYDPSFFG >Solyc04g054710.3.1 pep chromosome:SL3.0:4:53026038:53032574:1 gene:Solyc04g054710.3 transcript:Solyc04g054710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Prephenate aminotransferase [Source:UniProtKB/TrEMBL;Acc:E5LBC4] MTAASSSSLLGSSRIGSGPTISGLHSDSLNPTSISFSSNLQGLSLRSSGAKRQLYSRGTGSVVIAQNMDRVEVDLSLSPRVNSVKPSKTVAITDQATALVQAGVPVIRLAAGEPDFDTPAPIAEAGINAIREGHTRYTPNAGTMELRSAICHKLKEENGLSYTPDQIVVSNGAKQSIVQAVLAVCSPGDEVLIPAPYWVSYPEMARMADAMPVILPTSISEDFLLDPKLLESKLTEKSRLLILCSPSNPTGSVYPRKLLEEIAEIVARHPRLLVISDEIYEHIIYAPATHTSFASLPGMWDRTLTVNGFSKAFAMTGWRLGYIAGPKHFVSACNKLQSQFTSGASSISQKAAVAALGLGYAGGEAVATMVKAFRERRDFLVKSFGEIDGVKISEPRGAFYLFIDLSSYYGVEVDGFGTINNSESLCRYLLDKSQVALVPGDAFGDDTCIRISYAASLSTLQAAVERIKKALVTLRPPVPV >Solyc03g005270.3.1 pep chromosome:SL3.0:3:155029:160535:-1 gene:Solyc03g005270.3 transcript:Solyc03g005270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDGVKCSKVLALVAILLFSFVGDLSALSVTVNDVECVQDYVLYEGDTISGNFVVVDHDIFWNSDHPGIDFTVASPSGNVVHTMKGTAGDKFELKAPRSGMYNFCFHNPHSSPETVSFYIHIGHISNEHDLAKDEHLDPVNVKIAELREALESVTAEQHYLKARDSRHRRTNQSTRDRVIFYTVAEYLLLILASGLQAMYIRSLFSKSIGYNRI >Solyc06g035810.1.1 pep chromosome:SL3.0:6:25146088:25146644:1 gene:Solyc06g035810.1 transcript:Solyc06g035810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANQSISKEKQKPTNVSDSDIAWYTKIETCLTPLPEVAGEEEVASRQLEKWPKRLVAIPPRISRGTVDGVTEEVFQKDLENILDMNAFLGGFAVNFVNDPVWVINIVPVEAKVNTVGAIYERGLIRTY >Solyc10g047770.1.1.1 pep chromosome:SL3.0:10:42389491:42389748:-1 gene:Solyc10g047770.1 transcript:Solyc10g047770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDQGGFGYVNKGYLKESNCYIVVRRISRESKQEIKEYESEVRIISRLRYKHFVQLIGWCHQKRELLLLYELIPNGVLYYQIFKG >Solyc02g014560.3.1 pep chromosome:SL3.0:2:17660832:17663102:1 gene:Solyc02g014560.3 transcript:Solyc02g014560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAFFRTSKPAIRVVTAAQNPLFLRSIFTKSNASDENPTVFGSGFVIAASTIALYYISSSSHHISYADSGQDIGKKSTFLFGDSYRKKVFFKYEKRLRLQSPPEKVFEYFASYRKPGGEVYMTPGDLMRAVVPVFPPSQTTGIRGGNLKGESASSELHCAPSQFFMLFDTDNDGLISFPEYIFFVTLLSIPEPSFSQAFQMFDIDNDGGVDKEEFKRMMELMRTSNRQGARHRNGMRFGLKVTGSVDEGGLLEYFFGKDGKGRLEQEKFVQFLRDLHNEISRLEFAHYDYKSQGSISAKDFALSMVASADISHIDKFLDRVEDLDDEKQLRDVCITFKEFMNLAELRKELPSFSQSILSYAKSGVLSKQELKLAANQVCNISLSDNVVDLIFFMFDLDCDGTLSSDEFLRVLQRREQESSQTRESSFVGFFSGWLDSTNK >Solyc10g009420.3.1 pep chromosome:SL3.0:10:3475291:3481063:1 gene:Solyc10g009420.3 transcript:Solyc10g009420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4CYB8] MESDSIECIPSLDGIDVNEIVHSQFFSSSKTPHNNNNKNNNSIRPATSVHELLECPVCTNSMYPPIHQCHNGHTICSTCKERVHNRCPTCRQELGDIRCLALEKVAESLELPCKYGSLGCPEIFPYYSKLKHEAMCNFRPYNCPYAGSECSIVGDIPYLVAHLRDDHKVDMHSGCTFNHRYVKSNPRQVENATWMLTVFNCFGQSFCLHFEAFQLGVAPVYMAFLRFMGDETDARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGKIWKEKRNPDGGACIPICS >Solyc10g050235.1.1 pep chromosome:SL3.0:10:48116934:48117629:1 gene:Solyc10g050235.1 transcript:Solyc10g050235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVLDRKAKKFVLSQEKYIQKLLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVGSLMYAMVCTRLDISHAVGVVSRKPILCGYTDSYMDGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAELIAVVEAFKVLYILARILRSMVGLNTLM >Solyc11g020213.1.1 pep chromosome:SL3.0:11:10532045:10533715:-1 gene:Solyc11g020213.1 transcript:Solyc11g020213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLETNFTFESDNATDQRHTFTTEMFGFDDIVPTTTHIVSSPDSIPAQVMNTPSLNSPLIPSPDSYSPPSNVITAPQPRRSTRGNDIVMIKETKKALQHAFKIKDLGELRYFLGLEFARYEDGLLMHQRKYTLELIADIGLAGAKPVTTPMELNQKLTSVELIGWLLYLTTTRPDISFVVQCLSQFMHCPKSSHMDAALRLVRYLKSAPGLGILMSSTGNWGSCINSRRSITGYLVKFGDSPISWKSKKQSTVSRSSAEAEYRTMASTVAKVVWL >Solyc11g051170.2.1.1 pep chromosome:SL3.0:11:17833924:17834190:1 gene:Solyc11g051170.2 transcript:Solyc11g051170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMILNQQGQNVICVYVAIGQKVSSVVQVVTTLQERGAIEYTIVVAETADSPSTLQYLAPCIGAALAEYFMYRERHTLIIYDDLSKQAQ >Solyc02g032080.2.1 pep chromosome:SL3.0:2:27927745:27928558:1 gene:Solyc02g032080.2 transcript:Solyc02g032080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTEIPSECTSSQDTHGLASSKDGYTPEDAICSYAGRNYAASFLDEMENAGDALNSKVSIAVPAQAKIIFSNPGNASSEKDMWLPAREAEKVAGLFTSSLSIFTKVMKRFNISGSYTL >Solyc01g081370.3.1.1 pep chromosome:SL3.0:1:80444210:80445364:-1 gene:Solyc01g081370.3 transcript:Solyc01g081370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSFHRSFHRSISLCLPSSSVVVASNNGEYLMRYSIGTPPVRTLGVADTGSDLTWTQCLPCKNCFKQQSRIFNPKKSSTYKPLHCSSKMCHAANFPTSCNRVMMKKKKTCRYHVRYGDNSYSIGDLATETIRFGSSIHKQVKLKKTVIGCGHNNAGTFSGDKESGIVGLGGGKFSLISQMGSSIGGKFSYCLAPFFYQQKTYIPKSKIHFGTDGFVPGDDVVTTPLTRKFPATYYYLTLEGVSVGKQRLDFRNVSFSYGEGNIIIDSGTVLTLFSPEIYVKLESMVKTAIKLPTIADPSGSLNLCYKSISIDKIPIITMHFIGADLKLGPWNTFVETSDSSMCFAFAASYGGQIFGNIAQMNFLVGYDLNNKRVSFKPTDCSK >Solyc01g065720.3.1 pep chromosome:SL3.0:1:72123369:72143884:-1 gene:Solyc01g065720.3 transcript:Solyc01g065720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLTIGDGPRYVQMQSEPEASTLSSLYSFHQDTATRIFDELPQATIIQVSRSDAGDISPMLLTYTIEVQYKQFKWQLVKKASHVIYLHFALKKRAFIEEIHEKQEQVKEWLQNLGIGDHTTVMQDEDEPDDEASPMRAEESAKNRCGFLFRDVPSSAALPIIRPTLGRQHSMSDRAKNAMQGYLNHFLGNIDIVNSQEVCRFLEVSRLSFSPEYGPKLKEDYIMVKHLPKIQRDDDSRKCCSCQWFGCCKDNWQKVWAVLKPGFLAFLKDPCDPEPLDIIVFDVLPASDGNGEGRVSLAKEIKDGNPLRHYFRVSCGSRCIKLRTKSDAKVKDWVAAINDAGLRPPEGWCHPHRFGSYAPPRGLTEDGSQAQWFVDGESAFEAIALAIEEAKSEIFICGWWLCPELYMRRPFHTNASFRLDALLEAKAKQGVQIYILLYKEVAIALKINSVYSKRKLVGIHENVRVLRYPDHFSSGVYLWSHHEKIVIVDHQICFIGGLDLCFGRYDSPEHQVGDCPPLIWPGKDYYNPRESEPNSWEDTMKDELDRKKYPRMPWHDVHCALWGPPCRDAARHFVQRWNYAKRNKAPREQAIPLLMPQHHMVIPHYMGMSSEMDNGSNGVARPHKNIKRHDSFSSGSSSQDIPLLIPQEAEGAESFKEELKINGFHTGHGFHDQRSRSSRIPFSFRKTRVEPLAPDLPMKGFVDELDQNLELSSNLAQPGMKKLDKDWWEKQERGNQVVSPEENGQVGPRVSCRCQIIRSVSQWSAGTSQIEESIHNAYCSLIEKAEHFVYIENQFFISGLSGDDIIKNRVLEALYRRIMRAYNEKKSFRVIIVIPLLPGFQGGLDDSGAASVRAIMHWQYRTICRGSNSILHNLNDLMGSRMHDYISFYGLRAYGRLFDGGPIATSQIYVHSKIMIVDDHAALIGSGNINDRSLLGSRDSEIGVLIEDKEFVDSFMGGKPRKAGKFALTLRLSLWSEHLGLRSGEVGQIKDPVIDPTYKDIWMATARTNTMIYQDVFSCIPNDLMQSRVSLRQCMAFSKEKLGHTTIDLGIAPSKLESYQGGDIESIDPMERLKSVKGHLVSFPLDFMCKEDLRPVFNESEYYASAQVFH >Solyc07g045440.1.1.1 pep chromosome:SL3.0:7:58665087:58666316:-1 gene:Solyc07g045440.1 transcript:Solyc07g045440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSPAVPLSVTLLLFLFLSTTTYAHNITKILAKHPEFSTFNHYLTVTHLAAEINRRQTITVCAIDNAAMNVLLEKHLPTYTLKNVLSLHVFADYFGAKKLHQITKGSTLTATMFQATGEAPGTSGYINITNMKGGKVGFANEDNDGHFAATFVKSVVEMPYNISVIQISHILTSAAAEAPVAAPSDLNVTTLMAKQGCKSFSDLLKSHPDVAKTFAENVQSGLTVFCPTDGVITAFMPKFKNLTKDGQASLLLYHGIPVYNSMGMLKSNNGLMNTLATEGKKKYDFTVQNDGDDVKLETKVVTATISGTLYDEEPLSVYKVDKVLLPRELFKGTVAEEPAPAPKGAKKKKKSSKGGDDDVDDDGAPEPSQDDDEDPADDSANLNFANHVKSSGLFVTVVISIICVAII >Solyc02g021685.1.1 pep chromosome:SL3.0:2:24013964:24017477:1 gene:Solyc02g021685.1 transcript:Solyc02g021685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLTMTRPDIAYSVQILSQFLQQPKKTHRDAAAKVMKYIKREPGLGILLSNRQSNKLSVYCDADWASCPNTRRLVSGFLINHGETLLSWKSKKQSIVSRSSAEAEYWSMANAVSELVWIIAMLKELGNEIDQPAVTE >Solyc03g097120.3.1 pep chromosome:SL3.0:3:60888171:60892295:-1 gene:Solyc03g097120.3 transcript:Solyc03g097120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVHEATVAGNLTSPPFLNKTYDMVDDPSTDSVVSWSKSNNSFVVLNVPEFARDILPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGYKHLLKSISRRKPSQLQGHQQTTSKVPNASVASCVEVGKFGIEEEVERLKRDKDVLMQELVKLRQQQQETDHQLVTVGQRVQLMESRQQQMMSFLAKAMQSPGFVAQLVHQQNGNNRHITGLNKKRRLPEQAEENVACKPVNALQDGQVVRYQPSMTEAAKALLQQILRINASGRLDNRLHTNGGCLTNNPLPPNNSLGSSGASSCISSVTLSEVLSTSSQPNLISDSGFPFNSCSSIISETQSTTTVVPGEANIPILPEADALNSQAVHDLPEFSHTLGVTDVNMPETGNVPDMDTTKCILDDLTTIVSDEFSANTDGDVLLDGMPKLPAINDIFWDQILSATPASPLTGDTDEIGSLVVEEDLLGVQESDWDKLKHMHNLTEQMGLLSSAAQI >Solyc07g024090.1.1 pep chromosome:SL3.0:7:24223719:24224888:-1 gene:Solyc07g024090.1 transcript:Solyc07g024090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGCDYAKNGGPLGILPRVKSSDRRYSAYIEGGIAKEKAKHKIIIAHGFDSCKDLMLPISQMQELQIYVLQYDRDGYGESETHPKRSVKSEVFDVEELADKLQLRHRFYVVGFPWEHTLCGVV >Solyc08g048190.1.1.1 pep chromosome:SL3.0:8:14738956:14739138:1 gene:Solyc08g048190.1 transcript:Solyc08g048190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSEILDAAIRLVTNSARYINFLLLKMKSISIVILLVSDKYFVCRKLLTTVALLYAWF >Solyc01g104210.2.1.1 pep chromosome:SL3.0:1:92559350:92560590:1 gene:Solyc01g104210.2 transcript:Solyc01g104210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLDTNIIHYWLVGHRIINQFEFKHGQTFGATLLFPIISISIYLSFTLLSLRFPSLLPIVSTTTLRCITAAHSLILCILSLIMVVGCGVSIIHEMAANVHDWKWIICYNRLNNENIILRGPILFWCYIFYLSKILEFLDTLLILLSSSRSRRLSFLHVYHHALVPLFCYFGVAIGQSMWHVGVITNASVHVLMYAYYFLSAIGKRPRWKKLVTNVQIGQFMFCIMCFGALMYYHFTTEFGCSGIGVWFYTFSFNVSLLVLFLNFHFKTYAKSNTKKP >Solyc04g012040.3.1 pep chromosome:SL3.0:4:4367364:4377507:1 gene:Solyc04g012040.3 transcript:Solyc04g012040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDRNLESKIEMLLNVEKQMRQAGDVAGTRKSAIDILQLCFEARAWTTLNEQIVLLSKRRGQLKQAVQAMVQQAMQYIDQTPDLETKIELIKTLNNVSAGKIYVEIERARLIKKLAKIKEEQGLIAEAANLMQEVAVETFGAMAKTEKIAFILEQVRLCLDSLDYVRAQILSRKINPKVFEADPSKEKKKPKEGDNVVEEPAPDIPSLLELKQIYYELMIRYYFQNNDYLEICRCYKAIYEIPSVKENPAQWIPVLRKICWYLVLSPHDPMQSSLHNLTLEDKNLSGIPHFRLLLKQLITMEVIQWTSLWNTFKDEFENEKNMLGGPLGDKAAEDLRLRVIEHNILVVSKYYSRITLKRLADLLCLSIQEAEKHLSEMVVSKALVAKIDRPVGIVCFQSAKDSNDILNSWASNLEKLLDLVEKSCHQIHKETMVHKAAIRA >Solyc01g096970.3.1 pep chromosome:SL3.0:1:87840206:87844561:1 gene:Solyc01g096970.3 transcript:Solyc01g096970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDPKPCDFIKSVSIFILVESKQMITPPGADDFLPVLIYVTLKNLDLCEHVEVLAIELWVLQGLVFLGQLQ >Solyc11g011890.2.1.1 pep chromosome:SL3.0:11:4830777:4834890:-1 gene:Solyc11g011890.2 transcript:Solyc11g011890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPRFNLEVEALFEDDSDITSQVASNICVGETFTDPPKNRVIDFTQLSSEHVSLDLSLSQDSIGGFSVSSTSESSNELATTQTSVSGPGFQRVFSCNFCQRKFYSSQALGGHQNAHKRERTLAKRAMRMGVFSERYANLASLPLHGSTIRSLGIKAHASLHQMSAPAIRPLDFKTSARFEYGNRQSPPLYMENDDQLVLWPGSFRQVTNPGTSHTNFSLDGCSNMTLTPYVEKDEPIAPDLTLRL >Solyc01g099280.3.1 pep chromosome:SL3.0:1:89426859:89442073:-1 gene:Solyc01g099280.3 transcript:Solyc01g099280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGSDSGQNHTGVAGAVLMPTRFVWPYGGRRVLLSGSFTRWQDHITMSPMEGCPTVFQVVCNLVPGYHQYKFFVDGEWCHDERQPVVSGNYGLVNTIFLPRESDTIPELLSPDVPAGSNMDVDNDFLRLDAVPQISQAEIELSRQRISAFLSTHTAYELLPESGKVIALDVNLPVKQAFHVLYEQGISVAPLWDFFKGQFVGVLTAIDFILILMELGTHGSNLTEEELETHSISAWKGGKLRINRQIDCNLNSYSRSLVHGGPYDSLKDLALRFLQNKVSTLPIIHSSSPDGSFPQLLHLATLSGILKCICRHFKHSSSSLPILQQPICSIPIGTWVPKIGESSGKPVAMLRPNASLGAALSLLVQAEVSAIPIVDDNDSLLDIYCRSDITALAKDRAYAQIRLDELSIHQAIQLGQDASSPHGLFNGQRCQMCLRSDPLHKVMERLAVPGARRLVIVEAGSKRVEGIISVTDVFRFLLGC >Solyc06g048860.2.1 pep chromosome:SL3.0:6:31915866:31918654:1 gene:Solyc06g048860.2 transcript:Solyc06g048860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQATPVKRLCKIHNTITVNGQFPGPTLQVNNGDALVINVVNRAQYNFTSNIKNSSYCRLSVCTHGVKQIRTAWADGPEFITQCPIRPGGSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIYPKEGEFYPFSKPKRETPILLGEWWDVNPIDVVRQATRTGAAPKVSDAYTINGQPGNLYRCSSKDTTVVIVDSGETNLLRVINAALNQALFFAVANHKLTVVAADASYVQPFTTSVLMLGPGQTTDVLIDGNQPPGRYYIAVRAYASAQGPFDNTTSTAILEYKNTPCSVQGIKINPIFPSLPAFNDTATATTFSTSFRSPRQVDVPTEIDENLLFTVGLGLNNCPRGSSSRSCQGPNGTRFTASMNNMSFVLPPNFSILQAHYQGIAGVFSTDFPAVPPVKFDYTGNVSRSLWQPVRGTKVYKLKYGARVQVVLQGTSIVTGENHPIHLHGYDFYIIAEGFGNFNPQTDTAKFNLVDPPIRNTASVPVNGWSVIRFVANNPGVWLMHCHLDVHITWGLAMSFLVENGVSELESLVEPPLDLPVC >Solyc06g075070.3.1 pep chromosome:SL3.0:6:46708056:46720081:1 gene:Solyc06g075070.3 transcript:Solyc06g075070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRWDGDSGYLKINETQYQLQQIHWHTPSEHSINGKRYDMEAHLVHMSSDRKTALEGDLKAVADKRGAEKGMGIIDPNIIKLDDNIYYRYIGSLTTPPCTEGLVWTIDGKVNSVTARQIKLLQDAAVNGFEFNARPVQLLNGHDTSDEFSYDQYANNGPAKWGEISSNCNGNLQSPIDLDNKVVEVVSNLGILQKYYKPSNATLVNRGHDIMLRWDGDAGYLKINETQYQLLQIHWHTPSEHSIDEGDLIAVADKKGAEKPMGIIDPNIIKLDVNIYYRYIGSLTTPPCTQGVVWTIDGKVNSVTARQIKLLQDAVVNVRVRI >Solyc06g008210.3.1 pep chromosome:SL3.0:6:2087710:2094948:-1 gene:Solyc06g008210.3 transcript:Solyc06g008210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAQSIARFLNPLAFHIQKLGLELKCPLCLNLLTKPMLLPCDHIFCNFCVPSSTQVQCECPLCKHQYVDQEIRAVPHMESMISIFRSLDATCNAKVFQFQSPDAGRPLEQSPVSVNADKSRNEQTVKATIRNNDPSKEIQRHNEHGMPWNVVNHLSVLPSSDRKKDSKNDGYNAEEIDLIQFQQQSPGSAHSSDSNKDIVKETSELRVMKTNAAKRLVEDSSDVVPFLKKEDSFEGLMHHTAMHENVFHERDVKRQKKLSYGLPEMALQSHDHNQQRESCSRIIANSDCNLTCKLSESSFVAHPQEVSDQHLLEGSACAFCLKSKITEGTGPMLHYANGREVVGDATSLSKAIPVHMKCIDWAPQVYYDGEIIKNLEAELARASKLKCSGCGLKGAALGCLVKSCRRSYHMPCAFEMQNCRWDTDNFVMLCPSHKSVKFPSEKSKSRKRANIEARTEPAPITSERLNFWATSSDGPKEWVLCGSALSSEEKYMLVKFANVCGATVCKSWNPSVTHVIAATDEKGACTRTLKVLMAILGGKWILTIDWIKACVQENYPVNEEAYELSLDNHGCFGGPKIGRLRASSNAPKLFDGLKFYLSGDYVPAYRTDLLDLVENAGGSIIHTKEQLISQTVATQTASSACLVVYSSDPPRGCEFGEERNILLQRQANAEELAKPLGCQVIQHTWILESIATCKLVPFC >Solyc04g014655.1.1 pep chromosome:SL3.0:4:4918880:4919598:1 gene:Solyc04g014655.1 transcript:Solyc04g014655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIPEAIFNISSLEVIDFSFNKLSGRIPSTTGLHLPNLEELYLGENQLEGEIPLFITNASKLQILSLSQNFLTGTIPTNLGNLRELRHMFLHHNQLTNEPTERELRFFNSLADCRMLRYLQVGNNPLSGYRQLERLTSLGIQDNNLAGNIPSEFGKLKQLQGLQGHIPEGVCHLSNLVKLYLDGNELSGLIPECLGKSKHATISLFGF >Solyc05g005180.3.1 pep chromosome:SL3.0:5:164778:168818:1 gene:Solyc05g005180.3 transcript:Solyc05g005180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEDLNTMRRRVASVANHLLPIPLAQNVFSSIGFSNCSSSSMNDNYHKIHGEVPNHEPVWRLIPSDDESGKDFTDIIYEKAVGEPIAKITINRPDRRNAFRPHTIKELIRAFNDARDDGSVGVIILTGKGTKAFCSGGDQALRSKDGYADFESFGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTASEAEKMGLVNTVVPVDKLEAETIKWCREILRNSPTAIRVLKSALNAVDDGHAGLQGLGGDATLLFYGTEEGNEGKNAYNERRRPDFSKFPRLP >Solyc01g110640.2.1 pep chromosome:SL3.0:1:97190528:97192006:-1 gene:Solyc01g110640.2 transcript:Solyc01g110640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCHSCLQSSHQCDQSSTPSCGDGFFSDERPSVKRELINIWNSKLQAQGECTCTRDGELKEEFAPTKIQSSLDFWDKMEGLPKITNSAGTENFNNQYIVLSVTSGVLHFFGDSLVPDTINKNFPEGAKVLQQVDNKFIPIVASTVLDITDQGSGRWLDSLANINLSIPKFEYLFIICLKTAVCD >Solyc06g069860.3.1 pep chromosome:SL3.0:6:43615730:43617518:1 gene:Solyc06g069860.3 transcript:Solyc06g069860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLIYQTTKKRANGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGGAVRERIVRAFLVEEQKIVKKVLKIQKAKEKLAAKS >Solyc02g066840.1.1.1 pep chromosome:SL3.0:2:37628232:37628684:1 gene:Solyc02g066840.1 transcript:Solyc02g066840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANHQDKGKRIVEDVSVDLTLGLPMETQMEYWRQMNLLPPMETEMEWRQQNYPFSVTRTSSLPRDTEIEWRQRLEAEITWRRPMDAEIEWRKRLEAEMDWRQRMETEIECRLRMETEMEWRQINGPISVMRTTSMPPMETQTEFGANE >Solyc12g010010.2.1 pep chromosome:SL3.0:12:3140794:3151122:1 gene:Solyc12g010010.2 transcript:Solyc12g010010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRHSGHATSSPSRSFKRGMRKGSEGLKSIGRSLGFGVSKAVFPEDLKVSEKKIFDPQDKFLLLWNKLFVISCILAVSVDPLFFYLPVFDDKSNCLQIDRKLAVIATTLRTVVDAFYLIHMALQFRTAYIAPSSRVFGRGELVIDPGQIAKRYLRSYFIIDLLAVVPLPQIVAGRFLQRSTGSDVLATKQALLYIILLQYIPRFVRVIPLTSELKRTTGVFAETAWAGAASYLLLYMLASHIVGSFWYLLSVERYDTCWERACSHNTTCQTDFLYCGNQGMTGYNAWSNISESVLNGACPRSGDNPPFDFGIFAQALSSGIVFSMKFVTKYCYCLWWGLQNLSTLGQGLQTSTYPGESLFSIALAILGLILFALLIGNMQTYLQSLTIRLEEMRVRRRDSEQWMHHRLLPQELRERVRGYDQYKWQETRGVDEENIVQNLPKDLRRDIKRHLCLALVKRVPLFANMEERLLDAICEHLKPCLYIENTYLVREGDPVDEMLFVIRGRLESVTTDGGRSGFFNRSLLKESDFCGEELLTWALDPKSGSNLPSSTRTVKALTEVEAFALIADELKFVASQFRKLHSRQVQHTFRFYSQHWRTWAACFIQAAWRRFTKRKLMELQRKEDEEAEALAGASSNSGGASFSIGATFLASRFAANALRGVHKNRNLKSARELMKLQKPPEPDFSEDTD >Solyc10g008970.1.1.1 pep chromosome:SL3.0:10:2987209:2987868:1 gene:Solyc10g008970.1 transcript:Solyc10g008970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDTQQKIQHKLEMEIKVVQQEKKSPLLEENLELPQVEKNIIQKAISQTFQSTSHLANLLPTGSVLAFQLLSPIFTNQGNCDKICKILTIALVTLCGLSCFILSFTDSFKDKKGKISYGFATIRGLWIIDGSIRIPNQIARRYKLKFIDFLHGFMSMLVFATIALFDQNVVKCFYPKPSDEIEELLVALPIGVGVICSIFFVVFPTKRHGIGFPLTSN >Solyc04g025580.2.1 pep chromosome:SL3.0:4:21714166:21714802:1 gene:Solyc04g025580.2 transcript:Solyc04g025580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIVLDNVDNISHVESLIRERSQFGSGNLIIAATRDNHLLCGLTEKEKFKAKLLNNNEAMQLFSCRGVPSALVTLGSHLRGRSVEEWRHELILKIIFDGLDYDTQSVFHDIACAFHGFFEDEVTKTVNVCGFHSKSAIATLVQKHLLHRALHRLVMHDLVRDMGREIVRMESP >Solyc08g083130.3.1 pep chromosome:SL3.0:8:65785683:65798964:-1 gene:Solyc08g083130.3 transcript:Solyc08g083130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTNSDMIWGESIMSSKCNDNMRRRFNDEQIKSLENMFETESRPELRTKQQLAKRLGLQPRQVAIWFQNKRARSKSKQLELEYRMLQISYDNLGSKYELLKKEHESLLIQLQRLKKLMEKDDNEKDVNKKSETEVKQDDFAPEFGSRGIDYLRAESDILDMAQIADGLSEIENEFNFESRTFLHDTGCTSPLWEF >Solyc03g059150.3.1 pep chromosome:SL3.0:3:30055810:30064433:1 gene:Solyc03g059150.3 transcript:Solyc03g059150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFSYIRRPSDSNTEELSLNKQLAHRIDVFLSFHPYAKPLTLLVATLLLICLGGLALFGMTDDSITDCLWFSWTYVADSGNHTNSEGIGPHLVSVSISFGGMLIFGMMLGVVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLLAERDKEEMELDIAKMEFDFGGTSVICRSGSPLILADLKKVCVVSVSKACAIVVLAEDGNADQSDARALMTVLSLTGVKEGLRGHLMVELGDLDNEVLVKLVGGNLVETVVAHDVIGSLMIQCARQPGLAQIWEDILGFENCGKIILNPDESYVLQEGDEVLVIAEDDDSYATAALHMVQRGNLPKNLIISKTTERILFLRLATRYGGYDNGNLVRSQLFLNIFSFHLLVPENDEGNAVIRRHLESLPLESFDSILILADESVEDSAIQADSRSLATLLLIRDIQVLCGRDAKRLPYRESMVSIVHGGSSSLGSWREEMQQASDKSVIISEILDPRTKKLLSMSKISDYVLSNELVSMALAMVAEDRQINDVLEELFAEEGNEMQIRGASLYLCDIVELSFYEVLLRARQRRQIVIGYRLANADKAVINPPAKTERRKWSVKDVFVVIADKE >Solyc03g111530.3.1 pep chromosome:SL3.0:3:63619229:63622293:-1 gene:Solyc03g111530.3 transcript:Solyc03g111530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIQIYLFVLLQILVCIKAEKMTYMASYCPNTTSGYAEGSKFQFNLNRLLYRLLYNNASNYIYANPSVDEVHGLYLCRGDVAPKDCQNCIDMATERIQRECPHKKQAVIWYDQCLVRYSDIPDFASTFNVSSYYWIDYNSESFSWTTQVKGISDAMFDNLTPKVTNDLKYAESLDEITPWSLSQKLYGMVQCIPDLSAEDCRACLKGARSVIPKSVPRECRVVHESCHLSYQFKNPDEGRSTGAPPPPSPTPGGKDEGEITWNTKVICIVIGIIALLAVVLTGSCLYLKRRNRRRTERERMQRRRLIQLLDMEGNLLDEF >Solyc05g016342.1.1 pep chromosome:SL3.0:5:15765025:15765478:-1 gene:Solyc05g016342.1 transcript:Solyc05g016342.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGAARKILGMEIIRDRESRKLFLSQRSYIQKVLERFGISSSKPIDTPVLPISISLPCSLHSEKKRRSICHEWKFDVCYGLYKVVKRIFWYLRGTSDVGLIYGGDTQLLVTGYSDSDYAGDVDTR >Solyc09g059430.3.1 pep chromosome:SL3.0:9:54664410:54667594:1 gene:Solyc09g059430.3 transcript:Solyc09g059430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNVPKFGNWENDDNTPYTVYFEKARQTRGTGKMMNPNDPEENPDMFRNLAPPPEVAPQSKPKRQTEEPPIGRGGPARQTRDHRLSKEDGEFRQYANSPARKESVGRKGANEPSHQRGRGSNSGRTGRQSIGSEHSFDKSPLHPHYQAKVSNAGRGVASPAWEGKNNSYDSSHGTPGRSKVKQDKSDRGAAVPRFGEWDENDPQSADNYTHIFNKFREEKQGNPSGTPSRTSNNTQKHNSEEKQRKWCCCPW >Solyc03g043750.3.1 pep chromosome:SL3.0:3:7312715:7322991:1 gene:Solyc03g043750.3 transcript:Solyc03g043750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLLPPLHQKNPIASMEFTLASSASAKTALLSCRQMGLGSPKLPNVWRRSSWRSRSSRRNTSLRTVAMSTTAGLVNNMEAPEANAQNVNYMWPDKKRPRICIIGGGFGGLYTALRLESLVWPDDKKPQVVLIDQSERFVFKPLLYELLSGEVEAWEIAPQFSDLLANTGVRFFKDRVKCLYPCDHLGTNAPTAGTVHLESGLLIEYDWLVLAIGAEAKLDIVPGAADYALPFYTLEDAHRVDKKLRELERKNFGKDSAIRVAVVGCGYGGVELAATISERLQQKGIVQAINVDKTILSNAPAGNREAALKVLSSRKVQLILGYFVRCITKGNEPQTDAEPGSIDSAADHSESVTLELQPAERGLQGQTIRADIVLWTIGSKPLLPQLEPSDKPYDIPLNARGQAETDETLRVKGHPRIFAVGDSSTLRDRNGKLLPATAQVAFQQADFAGWNLWAAINDRPLLPFRYQNLGEMMTLGKYDAAVSPSFTDGLTLEGFVGHTARKIAYLIRLPTDKHRVQVGISWLLKSTVDSVATIQTMLTKAQ >Solyc09g090140.3.1 pep chromosome:SL3.0:9:70158684:70162279:-1 gene:Solyc09g090140.3 transcript:Solyc09g090140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDPVRVLVTGAAGQIGYALVPMIARGVMLGADQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDAVEACTGVNVAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAPNCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLSVQVSDVKNVIIWGNHSSSQYPDVNHATVSTPAGDKPVRELVADDAWYNELETLLNGEFISTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTFVSMGVYSDGSYNVPAGLIYSFPVTCKNGEWSIVQGLPIDEFSRKKLDLTAEELSEEKALAYSCLA >Solyc05g018742.1.1 pep chromosome:SL3.0:5:23149347:23149826:-1 gene:Solyc05g018742.1 transcript:Solyc05g018742.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKYGICLKNHATYLGDYSVDGCREFVKRGDDGTNKAYICANCGCLRSFHRMNSHSLYRPPILRSRFLHPHIHPHGQKK >Solyc02g005070.2.1 pep chromosome:SL3.0:2:6328006:6332828:1 gene:Solyc02g005070.2 transcript:Solyc02g005070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSENNITSSVYFQIDLTYGTATVTASFSGELGEKLLSMTAEDIFDITCAKRQSLHVNHVHEILSNKLFQIQLRKSSWGTSNNTQATYSIISYMEKQHTSPTTIDRNSKKIRSLEISEMEVTETTTTVGSSNATLKFEPPTPTKKL >Solyc05g055100.2.1 pep chromosome:SL3.0:5:65743067:65751356:-1 gene:Solyc05g055100.2 transcript:Solyc05g055100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNAELKLFLEVELCLDLRRLSSPGKTEEEILLFFKLYDPLEEKIRYAGRLLVKESGKLLEILPKLKELVGFSPDEEIDLFEEIQFHPSVWCEKLNIMLSIRDYQLEDGDIICFQKSLQNQCREQYRFPEVPLFLKYVYSWQFIARIEHVETEQNADACLYTMIKVARDENLGEQIGKEIYFDLVNHDKVPTFCMQKQMPFTKFKEEVAKAFGIPVQFQRYWLWKKRVNNTYRPERALTSQEETQYSVGQLRENSITVNNSELKLFLEVILCPDLRRLPPPGKKNKEILVFFKLYNPVEESIRYVGRLFVKERSKLLEILSKLKELASFSPDEEIDLFEEINFNPSAMCLQLNTMLSLRDYNLGNGDIICFQKSLRNQHSEQYRFPDVPSFLKYVHNRQLIGHENLIPISHLPTNGPQLLVEAETTSMMDVLAVEGPVSARFTWTVHNFSKLNWKKSYSDAFNVGTYQWRVLMAPKGNNGDHLSLYLTVVNTAALPSGWIRYAQFSLAVLNQIDDKFTVRKDTQLQFNARTRAWGFASFMPLTELYDPRRGYLVDDKVILEAYITLP >Solyc02g064580.3.1 pep chromosome:SL3.0:2:36254152:36262977:1 gene:Solyc02g064580.3 transcript:Solyc02g064580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMDVAIPSRGVFALLLWLLVALTSIVRADELSLVVSQSTTLQISPGIVVENSPGSKPGTKVICERVQIHGLSRLKSLRKYAHSVKVNVSYLNPSGRPPNAEVCFHRNQSVAIGMCPPGQWMKITKGSWVRSMSPFEHRFLDIRMTGSSKDTFQVSLHEDFYLYRVVFLVLGILLLALASFLSKSLVFFYGGAMAVGVILVILMVLFQGMKLLPTGRKSSLAIFLYSSIVGVGSFILSYVPQLLRSILREIGVGEDMYNPLAIFLLLFLVIAGAWLGFWVVHKLVLEEDGSIDTGVSQFVAWSIRFLASALILQSSVDPLLCAEAWICGVLISSILRRLCRPKYVLRFFKNLSQIDTSYLWESQELYASPTKGSYDSRTFKTNLNGTIRPGFPLKPRSLLSEKDTYYSTFHKTPEQKKLSKDEYDKITKDTTKKAMEELVSSPDFSKWAVAHADRITLAPKKETTARQRRWYQWL >Solyc01g095995.1.1 pep chromosome:SL3.0:1:86980491:86982894:1 gene:Solyc01g095995.1 transcript:Solyc01g095995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGIVVAYFFLFKPKTPKIAVEAVRFPTFSVTNGTVNFTFFQYVSVTNPNRDEFTHYDSSLQLSYSGEPVGLVFIPAGKIDGGRTQHMSAKFDVQSYPLPAKLKADVSGGMIPTTAVNVFDEGPTMEVETRMKLVGRVRVLKVFTHRVDSGVKCGVMIQASVADDEEWQFAICQFIVIVLKLQSLNDSWKSVIQGTVSIRASMSLRSYPAAENMPLTVSHLGKHRLNLREHSKLSNKKDKTKIDIELSFQFLKVHNTCSVMKNQLTGAASASLPLRSSFSNNKSSHQFFNQ >Solyc02g031717.1.1 pep chromosome:SL3.0:2:27311972:27312963:1 gene:Solyc02g031717.1 transcript:Solyc02g031717.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAQKQQNDTMLKTVNALPTTVHGFALKVPMDSGGWTGLTDFTFALIYMFDIILGLDFWYEVNTSISPRHNQLHISDAGGSCIVPLIRIPQNWMNLSVMQNFKGFKRDEPSFLVALVGGVENSFEEVVLPPCIEQVLCDNKDVMPKELPQRLPLRREVDHHIKLVPGAKPPAMMAYRMAPPEFEELSKQLKELMDSGISGRLRHHLVRLFYSKKRRRHCVCVSISGPSTMLR >Solyc05g045810.1.1 pep chromosome:SL3.0:5:58444921:58445397:-1 gene:Solyc05g045810.1 transcript:Solyc05g045810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFLLFDSAAIACSCSYSLSFRIGSSLVLFTLHSRPPKSTAIFLFKHNFLKGKEKDLPWPYIGMGFGLQAGILFKGIGSAFISIYIKKKEGKIATDWLASEALKQPSGNQQLLTCLPGHKMPIK >Solyc08g006400.1.1.1 pep chromosome:SL3.0:8:1006782:1006937:-1 gene:Solyc08g006400.1 transcript:Solyc08g006400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSHKAHCLILPYPLQGHINPMLQFSKRLRSKRVEITIVTSKVVSIEAII >Solyc05g055470.3.1 pep chromosome:SL3.0:5:65951953:65961561:-1 gene:Solyc05g055470.3 transcript:Solyc05g055470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGSKGAVTVAPTSLAPGFRFHPTDEELVRYYLRRKVCGKPFRFQAVTEIDVYKSEPCDLAGYSSVKSRDMEWYFFSPVDKKYCNGSRLNRATGQGYWKATGKDRHISHKSQAIGMKKTLVFHSGRAPDGKRTNWVMHEYRLADKELEKAGIVQDSFVLCRIFQKSGLGPPTGDRYAPFIEEEWDDDSAVLPGGETEDDAVNGVDARVESNDLDQGRRNGWRRGSDFIRRMRSWSGTISGGRFDAISEIDIYKVEPWDLPGMSRLKTRDLEWYFFSVLDRKHGNGAKTNRATERGYWKTTGKDRAVHHKSKVVGMKKTLVFHSGRAPKGERTNWVMHEYKLIDEELQKAGIVQDALVLCRVFQKSGAGPKNGEKYGAPFVEEEWEDDELEIVPKEEAAEEVEFGDDIYLDGHDLEEILGADSPVDGAPHPLNCYSEGNASNSGETSNSFEEAQNWLQQPDEQESFDPAIQQINADTNPVKHEFMSEPSNSMSTEDVDYLLDEPVITATDNLQFSDGAFLEASDLSRPIEVDTSGFDMLEDYLAFFDADDNFQSMGFNPPMMTGDDDHVSDLASVEEKNIAEVNDQPIAPSEEPDDQKKNVASSSKLEPSKFGSDYKYPFMKQTSCMLGGIPAPPAFASEFPSKNAALRMNSSSEASSSIHVTGFIRITNMTVSSNGMDGLVGKHGNYNVILSLGLSQHGDSSAHLESEASFLPGKTISTVSWCWFYYLFLWVLLLSTTFKIGTFIRAA >Solyc06g008330.2.1 pep chromosome:SL3.0:6:2202248:2212082:-1 gene:Solyc06g008330.2 transcript:Solyc06g008330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4C3L3] MDSARGWFQKLSSTKKDPMAGDGKPPSAEEASNITKQRVAAAKQYIEKHYKEQMKNLQERKERRVLLEQKLADADVSQEDQTNLLKFLEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRICREKTSGQVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSDCIVKLYYSFQDDDYLYLVMEYLPGGDMMTLLMRKDILTEDEARFYVAETVLAIESIHKHNYVHRDIKPDNLLLDRYGHLKLSDFGLCKPLDCSTLEEKDFSVGDGANGGSKSESPTAPKRTQQEQLENWQKNRRMLAYSTVGTPDYIAPEVLQKKGYGMECDWWSLGAIMYEMLIGYPPFYSDDPMSTCRKIVNWKNHLKFPEEVKLSREAKDITSKLLCNVTERLGSNGTDEIKVHPWFKGIDWDKIYQMEAAFIPEVNDELDTQNFEKFEESESHSQSTSRSGPWRKMLSSKDINFVGYTYKNFKVVNDCQVPGMVDLEKTTGKPKKPTIKSLFGNESETSEENS >Solyc07g064570.3.1 pep chromosome:SL3.0:7:66783880:66788302:1 gene:Solyc07g064570.3 transcript:Solyc07g064570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFEPPSFSLGLDFEPPSFSLGLDFDLDSEPQSTVLPKPSVNLRTIKEVVDDDDDFEFPKLVTDPQVSDPTSSLKRLRRGSISKSEPVAQKLKLGETWCNVDDDIEDFSSQEDEPKDHPKCHSSVRSSSKIPLQGQRVISSQSVSRCTGRKKEASNVSSVHQSKETNPSNLVFPELTISPLRRFQLIDSDSDEPSKSEFVERESDHVDSPLNVNRQHSDADLSYQRKTGPSALKTKDLWEDFCSDTTFNIHTPALDEVCEEYFKSVKDGKRTQTTKGGLTESYMRPQGPLLPAHCYFFHKDPRIQKLVRDRLPNFFPLGADNLPGGNLDDASVIDYMGQFSHEGGSKRTAQKSADGTNSRKSRKNVKQPNNVEESQGSERWVNPKSSAGIPKDAGRRRVQAVGKSAGHWYTNGDGRKVYVDNNGQEFSGRSAYICYRKEKGGFNKSTKKTSGGKSKAASKKK >Solyc03g097220.1.1.1 pep chromosome:SL3.0:3:60966707:60966874:-1 gene:Solyc03g097220.1 transcript:Solyc03g097220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSLSNWTTQYSLFAFIFLLFGIRYLNIFNLYFVKFYFNKNIFYHIFCNNNQKI >Solyc07g062700.3.1 pep chromosome:SL3.0:7:65508462:65515692:-1 gene:Solyc07g062700.3 transcript:Solyc07g062700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSPNMFPKSFFTLLFIFILSTAVYGGRVIVDQSSSDLISDGVHGGGGRNSTSIGRERGIISLFAAPVEEACEQSYGFLPCTKTALGNVFLIVVYGYLMFTAATYLSSGSELLLEILGPGLIGGLFLPILGALPDAMLILVSGITGSAAEAQSQVSVGIGLLAGSTVMLLTAIWGTCCTVGKCDIVNSIAVDLKDTKGLSFTGSGVSTDIWTSYAAMIMAVSVLPFIVVQLPQIFHSNSGRHLSVLIALIISLSLLLSYCLYQVFQPWIQTRKLDYVKHKHVISGILKHLKKHALGRLFTDHGTPNIEVLEKLFNAIDENGDKHLSHSELRALVVGIRLEEINLDENDAIAKVMKDFDTSLDEQVDLHEFITGVEKWLYEAKSTNGSSAQAGPNTINYIDDFHEETRREHSLLGEDQSDEIVEGVENPRKVAIKAASLLLLGTIIAAVFADPLVDAVNNFSSATSIPSFFISFIALPLATNSSEAVSAIIFATRKKQRSASLTFSELYGAVTMNNLLCLSVFLAIVYARGLTWNFSSEVLVILIVCIVIGVLGSIRTTFPLWTSLIAFAFYPFSLVLVYVLDYVFGWS >Solyc10g076635.1.1 pep chromosome:SL3.0:10:59680129:59683153:1 gene:Solyc10g076635.1 transcript:Solyc10g076635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQDLVAIITELYNNVGGPGGYNHYCMAMGMLETIKAKSPNVVMEQQQQQPVPVAVAQNVVPPWARSRNNGRFLLVLTIEQDSSPPQPPLVRRRRTRVTRTGPQDGGETPAVGALRAIGGASGLTGQRERGETSAAGASRARHETSAHGPLGDQLRSSRVLRSATRGQS >Solyc04g008420.1.1 pep chromosome:SL3.0:4:2038174:2041258:1 gene:Solyc04g008420.1 transcript:Solyc04g008420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDLSGSKKRKRNNNERVSFKFKNFGEQGFPIEFIGCNFDQNVKLLLEFAQQENGSIWSFQLEVHRHPPMHVFLFVVEEQVELSLNPHCKHCQYIGWGNNLMCNKKYHFLLASKDTIGACVEGGNGQKYKYKTDVNNIIGGEIIKSKLNLIEIEGHMMHGVFHSNGFGHLICVNGSLETPTSSDLPGHSIMDFWDRLCIGLRARKVSLRDISTKKGMDLRLLNTLAYGEPWFGRWGYKFGRGSFGVTQETYQSAINALQNMPLALLAHHHHHIGIININEILIVLSRYQMLSGHSLVTLCDAFHFMLELKSRIPKENNNLTSCYPGLLVDTTCRWSPKRVEMAIRVVVEALKGAKSRWVSRQEVRDAARAYIGDTGLLDFVLKSLGNHIVGKYLVRRCLNPVTKVLEYCLEDISKAFPKQDQGFRVNDSKGKQQYKITLAQLMKDIHFLYNNILKEHKGLMSNYTGVFATIPTASRIILDTKYFLKEYREVSEPDTRIEPDKSKIYCAIMLAIKDGFGVEEKVMTPFECFLMRKDVTFDELKIEVEKAFGDIYLGLRNFTTRSINNLISPISGIELVFNVVKPGSKIVLGGVIMSNNDDINIINNGGIFEGIKNNNIIMDCICGTKDEDGERMICCDICEVWQHTRCVNIPNHEAIPDIFLCNKCEQDILQFPSLP >Solyc09g005010.3.1 pep chromosome:SL3.0:9:6114:14751:-1 gene:Solyc09g005010.3 transcript:Solyc09g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEITQFLLAAQSADAKIRTEAESNLSQFREQNLPGFFLSLAVELSNDGKPTESRRLAGIVLKNSLDAKETVRKQQLVQQWLTIDSSCKSQIKSLLLSCLGSSVREASHTASQVIAKIASIEVPQKQWPELIGSLLVNMTQQGSPASVKQATLETLGYVCEEISHHDLVQDEVNSVLTAVVQGMNVEEESVEVRLAATRALYNALDFAQTNFDNEMERNYIMKVICEAATAKEGQLRQAAFECLVSIASTYYELLEPYMQALFQLTAKAVKEDEEAVALQAIEFWSSICDEEIELQDYEVPDSGDSSVQHSRFIEKALEVLVPMLLETLLKQDEEQDQDDDIWNLAMAGGTCLGLVARTVGDAVVPLVMPFVEANIMKPDWRSREAAIYAFGSILEGPSIEKLSPMVHAGLKHLLDAMKDNNEHIRDTTAWTLSRIFELLHTPASGFSVITPANLQQIVEVLLESIKDVPHVAEKVCGAIYFLSQGYEDAGTSSSLLTPFITQIISSLITTADRTDSGSKLRTTAYETLNEVVRCSNLSETSQIINHLCPVIMDKLAQTFELQILSSDDREKQGDLQASLCGVLQVIIQKLSSADETKAIILQVADQIMTLFLKVFACRSSTVHEEAMLAIGALAYATGSDFLKYMPEFYKYIEMGLQNFEEYQVCSISVGVVGDICRALDDKILPYCDGIMTLLLKDLSSGELNRSVKPPIFSCFGDIALAIGEHFEKYLQYALPMMQSAAQMCAQLDNSDDEMLEYGNQLRRSIFEAYSGLLQGFKSTKANLMLPHAPHLLQFIELVAKDSPRDESVTKAAVAVLGDLADALGSSAKTIFKDPAFLEQLLGECLQSDDEQLKETATWTQGMIGRAFSVCG >Solyc06g066110.1.1.1 pep chromosome:SL3.0:6:41560362:41560814:1 gene:Solyc06g066110.1 transcript:Solyc06g066110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETVMSKEAAAVVLAGTEAKSATAKAKMNEVELVKCECCGLTEDCTAAYIGRVKERNEGRWICGLCAEAVKDEIMRSSERRIGREEALNRHMNFCSKFRGLTPSPNPKDELISAVKQLMFRSLDSPRSSPVRRRQGLIRSQSCFSIDS >Solyc12g005650.2.1 pep chromosome:SL3.0:12:346083:347715:-1 gene:Solyc12g005650.2 transcript:Solyc12g005650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGVIISVYVESSSTIDPHKFDELKRSQANEFLWRPKGTTRTKGYNRHAQLLAYAHELRHDNTKQKHKKKRWIRRVGSSFSRLFRRKNKEHRYERMETEENENKSTSNFCKKLKCFLKDISYSIWQFGNK >Solyc03g118905.1.1 pep chromosome:SL3.0:3:69166449:69168478:1 gene:Solyc03g118905.1 transcript:Solyc03g118905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIYIYIVLNVKSILPLTQPQRSIEINPNPSIQFWKFYVEAPQISHDITLPHSNNVINLQNHPYAFGSQGDCTRAHQQWLHDILFQYVCDLPLPHIDPCVDLALSVPVPQLSHNCNWVQPSILSQSIGNDFKGFSK >Solyc03g117680.3.1 pep chromosome:SL3.0:3:68234116:68235009:-1 gene:Solyc03g117680.3 transcript:Solyc03g117680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGARNDGSNKRNYQRLTQLLNNVPGIEHLLLAVHSDHRFRDPAALSYERFFAYFQQHPHLQASVSFQPANLWGNMQHQSLRAAIPASFHQLETHLAFEVFHQLETLLAFEAFPSSSHRLLGEAFP >Solyc03g120885.1.1 pep chromosome:SL3.0:3:70597444:70598505:-1 gene:Solyc03g120885.1 transcript:Solyc03g120885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFCCSIEMEPKTLSQGQLNLAREVAVDIVQNTQLDEASNLFQEGVKGVVQIKEGLVVIEEAESVVEEDCLGKTNVVIEASCQCAYPSAITDDSPDQSKFKEPLSAPF >Solyc01g009240.3.1 pep chromosome:SL3.0:1:3202575:3207281:1 gene:Solyc01g009240.3 transcript:Solyc01g009240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFVKIPFIGFMLFCVLAMVIEAENMKYKDPKQKLSVRIKDLLKRMTLEEKIGQMTQIERKVASAEVMSKYFIGSLLSGGGSVPKPNATAEDWVNMVNDFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNIGLGVTRQVSINRSLKCRCLVLFPGISLMICHAVLLVVDAIDA >Solyc07g038130.2.1 pep chromosome:SL3.0:7:45463655:45466763:-1 gene:Solyc07g038130.2 transcript:Solyc07g038130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPDLIQKAKDGGLDVIETYVFWNGHEPSPGKFNFEGRYDLVKFIKLVQQAGLYLNLRIGPYICAEWNFGGFPVWLKCVPGMEFRADNQPFKILPMVSTMKILNLINPTNLKFGQKSGLPGIQNLVVRRPAEDMAFTVARFIQNSGSFFNYYMYHGGTNFGRTTAGRFIATSYDYDAPLDEYGLLNEPKYGHMRDLHKAIKLLNQPWFHPMQKSLGSEKIKRLLM >Solyc08g023320.1.1.1 pep chromosome:SL3.0:8:29054706:29055083:1 gene:Solyc08g023320.1 transcript:Solyc08g023320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATLEEMRRVATGSSNIGSEAGSCQISENLLSTARGSINNFVNTQARQVTLNSKWKKEERKEVCQRIGRFSFSSGIPFNIANDPCYLPMFEGVANYGPGFVPPSMFELRTFILKDEVTNINKM >Solyc11g012475.1.1 pep chromosome:SL3.0:11:5312798:5315896:1 gene:Solyc11g012475.1 transcript:Solyc11g012475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSRMKSLLSPVLSRPTIMFVCRGYGVLSTFKNLNSAQTMNPMSKVSSFRCSTVQNHSQIRVLSSEASGSNSGSGSGEVHVIVGPMFAGKTTTLLKRIKSERSNGSFQANGVKNSLYLDETIKGLCFSGRVAEAVGILCCSGVQVEAETYSLVLQECIFRQAYKKGKRVHWQMITVGFVPNEYLTVKLLILYAKAGDLDTSHNIFDKLQFKSLVSWNAMIAGYVQKGMEEVGLSLYHDMKQKYVLPDQYTFSSVFRACASLAVLEQGKQAHALLIKSQISGNIVVNSALMDMYFKCSSPSDGYLVFSKSLERNVITWTALISGYGLNGRIKDVLESFHRMIDEGYRPNHVTFLAVLSACSHGGLVDRGKEYFSSMMRDYGLQPRGKHYAAIVDLLGRAGRLQEAHEFVKNSRCEEHPVLWGALLGACKIHGDIEMVKLAARNFFDLEPENAGKYVVLSNAYASFGLWNNVAEIRRLMKDSGVKKEPGYSMIEVQRQAHFFFMEHNAHEQTVEMYKLVKDMAGILKDAGDVQDLLS >Solyc04g082900.3.1 pep chromosome:SL3.0:4:66480309:66485212:-1 gene:Solyc04g082900.3 transcript:Solyc04g082900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGGDYVLDKEAEFLASKQETGHEWELFKENVRPLKRGRNVNLLNNALKSSTDYQLKKSLLDKRREFIEAIDKYKGEDPLHPWLQCIKWVQEAFPPGGDSSGLIVIYEQCVRTFWHDELYKNDLRYLKVWLEYAENCMDAEVIYSFLEANKIGLTHSSFYIAYALHMESQNKIKTANEIFNRGLSMNAEPEEKLKVSYKKFFSRSMGRPKAAEEEITEHQLPMRSFGTLLARGEARNQTTGTSELSRKKMKPDRAQGSLFSIYKDANAGMSSTLQSEIPKLENTSWHCLGARADRNKENQAIPSKWTSNKIPQRHGLRNRGATTTTTPCLEIFVDEECAKAQEKDSAAGVKASSLQLRRGDDKDIKKETELLRENPLRYFPPSSLPR >Solyc03g116420.1.1 pep chromosome:SL3.0:3:67352617:67353846:1 gene:Solyc03g116420.1 transcript:Solyc03g116420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPDNNLEISGKRNEYSGNAECESLKKPIEENSRMAQEGNIPIVPTIHDRQNICRQFKKWGTCPYGEKCCHLHSTDEGEPRESSAIILVNDSQDIGQPKAPVNCTKLKTKLCHQWEMKKRCFYGEKCIFAHGEAEMRSLDSSNPPESRSSADISSLSRNVLATNLESNEKQTIAQAKHRPKLDDLKRNSRIYGDWIE >Solyc01g066917.1.1 pep chromosome:SL3.0:1:75010995:75015171:-1 gene:Solyc01g066917.1 transcript:Solyc01g066917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNQYNRICTSSCFAQLTTMRRCHVQAQLFRCIMLRELEASSVNAILFYVNGTTLRFTIREFAINSSLNCSDNGADFYFDTDQPNRIIDEYFPGDSPVIKARLDEAFKAKVWGDNQEDAYKFGILYYIHEFIMSAEPTTTTIDRLDFDLVETGRFMDYPWGRKTFNELAKSINNKIKPCGQYYRIQGFPLPLQVWFYECCSYVDDKIAVKVSSHIPRIINWVTKNDYPWFDYFMKTFFNDADNPIKFRNIEPTAMEIKILQLPPSTDQSISQGLQTDHNKVTDPDDDFQNPPSIKSRKGKEKKKKQSVTVISINKSSTKAIKTYTRRSMTRKAIRSQSSININSVEKHNDAGTSHNNKHGEQKGVQDSTQMGQMKKSISITISRDEFEAFKKSVNVIDDDQESPIGDFHHQPTYTPHEPQSQSANLTDQEATFEDVMQEMHITRAHQSNTKSSHYYFLFKLGDNLKELNPNSALLDQVVLSDNLNDLSGTASQDQLVFYATVDAQQNAQRETESSSNSWVIYNIYNVASHERIVEAEESIIVAAPIQMVYMPDSNQETVVTESQDELPDHLLPSVNTLQNIVLQKQVEAEVTPMSVVRHRRPGSFNISPYMTSFGSDAATIDNKNWFYNIGFERQLIDNSKGLDIASHHRYQGHTVYDSFKIVYVEELPQQPIASL >Solyc05g008640.1.1.1 pep chromosome:SL3.0:5:2949984:2950499:1 gene:Solyc05g008640.1 transcript:Solyc05g008640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNQLNDISSESIPILIITLFANSLNYLRSLIYTSLQYFGISSSRFTPHQIDDSLFSEAVGSGLTGVIFLSDQLNLNRMLSYNHQQKNDEKTGAGSSTTCVVCLNRLGDGDRVRKLACRHVFHTECLDGWFNTLNFNCPLCRSTSVAGERVVRERRRVAGDLLAWFSLS >Solyc01g020300.3.1 pep chromosome:SL3.0:1:28916611:28916862:1 gene:Solyc01g020300.3 transcript:Solyc01g020300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKSNNLQISRRSHTTLSKEVTTMSNLSE >Solyc04g008665.1.1 pep chromosome:SL3.0:4:2301396:2306082:1 gene:Solyc04g008665.1 transcript:Solyc04g008665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSTLMDFTYSILGMKVFPEHSFAYAIYFLSYCQGSPSSHPSGVDNHSSVFGRFRTKYRSSSAGEENLGCRTVDLHTSTIKLDAEDTDLRLCFRIISPLKSYTLQAESEAERVDWMNKITGVIASLLNSHLQKFDASKNDIDGSKNTYAASLNVQGAVNDEKALASVRVNQPDSVSKILREVPGNDKCADCGASEPDWASLNLGILICIECSGIHRNLGVHISKVRSITLDVRVWEPTILDLFRTLGNSYCNSVWEELLQLPNDELTNVDAIQSASKPSPKDAFHEKEKYILAKYVEKQVVNKEAFAPYSNRATLIWEAVRSNKVKDVYQIIVVSDVNIINTIYDEVEGATMYHEIHENDSKLGLQDSQKKHQNPAACQGIKLCLQGCSLLHLACNGETPVMLELLLQFGSDINRRDFHGRTPLQHCIGNGRHHLAKFLLRSDKAQFMPKRRITSWYQIFQFTVYRVLEASRGARASIKDYGGLSALDRAMEMGAIKDEELFILLTKSE >Solyc03g045030.1.1 pep chromosome:SL3.0:3:11371552:11372403:-1 gene:Solyc03g045030.1 transcript:Solyc03g045030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSASNSSTHDNPLPHYISSSFHTSSPFLGFTGNQILLHQYYQNQFSSHYYLAKNNEDYCDNSLRSFPMKKKSKKRERSCGKILTAQGPRDRRIRLSINMARKFFDLQELLGFDKPSKTIDWLFTHSELALEELTNWSTHQTHRPKISGSLSKSNQQQGFRKKSQKSKRSNTKRVKEKGKSTS >Solyc02g092130.2.1 pep chromosome:SL3.0:2:53965653:53968301:1 gene:Solyc02g092130.2 transcript:Solyc02g092130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRFLSFPLVIGAVIIGVVSGKAIFAQPLDEYWKKKLQEEPAAKENDLSTS >Solyc04g076600.2.1 pep chromosome:SL3.0:4:61581744:61586086:1 gene:Solyc04g076600.2 transcript:Solyc04g076600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRILVPIYCSFSCSCTELTCSRRSWFLIYRWSVIASNRQLELLIYVIGNNQLGLRYNQINYSQILIASICIYSMLIFWCGKKTGMAFGSGNAVGHRIADAVFGPRVFKHEVQGADPAPSAASCAASMTAFQECLNINGGDLSKCQFYMNMV >Solyc06g065330.3.1 pep chromosome:SL3.0:6:40853771:40860163:-1 gene:Solyc06g065330.3 transcript:Solyc06g065330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFWVFLLICFVSLTASRATGSVILIGNNRTLSFEDIEANFAPSPKGSGKCGRLYIAEPLDACSTLTNKIEPTNNFTKEPFVLIIRGGCSFEDKVRKAQAAGFKAAIIYDSGYGDIIAMAGTSAGVKILAVFISKASGEALTQYAGSDTEVWLIPSLENSAWSIMATSFISLLSMSAVLAMCFFVRRHHIRRERPRASRVREFHGISSRLVKAMPSLIFTSVVEDNSTSVTCAICLEDYTAGDKLRILPCRHKFHTMCVDAWLTSWRTFCPVCKRDARTSTGDPPASESTPLLSSSLRSVSSVSSLRSSLASSEVIHIGTGASRSPSVSRPQSISSSRNQHSLWSYQSPHLAISRSSLDLQNASSQRSRAAYLISSNSLGYPCLSPLNSRYGSAYIPSPSNPSSSYMGSTSRQPNPLHHSESITSFSPFASANSLPGCES >Solyc10g078173.1.1 pep chromosome:SL3.0:10:60165796:60166816:-1 gene:Solyc10g078173.1 transcript:Solyc10g078173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQDFKFMSCRPNLNEFWTNYIYKFIGSLLNSVERSIVASIKRRSEGTNRMGSEIPMWLFDFCSLLYANLMTFYPRKNNSLRIHTLKKLPLACTFVVAVVFT >Solyc04g054340.1.1.1 pep chromosome:SL3.0:4:52281532:52281783:1 gene:Solyc04g054340.1 transcript:Solyc04g054340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIAMLVAEEYERRVKNSRKFGSEEEIQLFSYFSEILAQKFDGYSSKIKMSFGGTKNIEMKTGDFEPKSEVGLAAINGVFSA >Solyc05g013420.1.1.1 pep chromosome:SL3.0:5:6496887:6497444:1 gene:Solyc05g013420.1 transcript:Solyc05g013420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTIKLFGAEIHVNNVEESTSCCTSRRSSKGGRWSEDEQRAFLIGLDKVGKGNWTRIAKEFVPTRTPTQVASHAQKYFDRQKENKVNKHKKRSVFDIDLDEESSNTRLQLLSKRAYNKKDHKEILNEESPISAMPIRYILPPNGSYACYEFNFMSISSTNATPVVRGASSQSSSASTDDLDLSL >Solyc02g089295.1.1 pep chromosome:SL3.0:2:51797425:51798660:-1 gene:Solyc02g089295.1 transcript:Solyc02g089295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPSEGFLEKRKIDLVGLCSNKAIRGSFESLFSLDFKNLFTLSNEVLLPTVNMNNVPGVGVGVLYSIGGACCSLLAQFS >Solyc12g016213.1.1.1 pep chromosome:SL3.0:12:6359125:6359958:-1 gene:Solyc12g016213.1 transcript:Solyc12g016213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEQGLILPSPDRLSNLNIYLIDDILSRLSFRDVVRVSTLSKEWQYICWRIPHVKFDQTVWKTPEDLTSPTIGFIPILESFLRFHRGIILKVTLDITSLIVCPDVDRLIFSLDTDHLQHFVLKLPFTYPPYRLPNFFFNCSALRHLYLKECEIQLPCFFKGFNKLIRLILKSVTLSSDTFESLISNCPLLDDLVLKDIDNMYSMSINAPKLRSFVFRGDIQLIHLENVPVLSNVLYAPRELVLQDEDDFVNIFSSIPALECFSWDFFEVMLLHFT >Solyc04g006983.1.1 pep chromosome:SL3.0:4:697262:697970:1 gene:Solyc04g006983.1 transcript:Solyc04g006983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLTCILLIVALFFVGTIIPSVESETCYQIHPEILCDGGQVEPKCLPFCKQKFGPNAGGQCIDQIGFQGPFCACNYPC >Solyc06g073300.1.1.1 pep chromosome:SL3.0:6:45301522:45301929:-1 gene:Solyc06g073300.1 transcript:Solyc06g073300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:K4C949] MVKFLKPNKAVILLQGKYAGRKAVIVRAFDEGTRDRPYGHCLVAGISKYPKKVIRKDSAKKQAKKSRVKAFIKLVNYNHIMPTRYTLDVDLKDVVNADVLQARDKKVTAAKETKARLEERFKTGKNRWFFTKLRF >Solyc03g113610.3.1 pep chromosome:SL3.0:3:65161080:65172584:-1 gene:Solyc03g113610.3 transcript:Solyc03g113610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVDDEFQSANIFIPEEWSDAADSVAYDSNTSPPPVAFVCGPKNSGKTTFSRVLVNVLLQRYKKVAYLDTDVGQTEFTPPGLLSLTTIDKITSDLSIPCLKTPERCFFFGDISSKRDPKTYLAYIFALYDHYRRTYLLSNGGSPGNAGVPLVINTTGWVKGIGYDILVDIIKYISPTHVVKICISSVSKNLPAGAFWLDDDNSDVPTVVEVNSARRDSFNRSVLVQKDARLLRDLRVMAYFRQCFPSDMKITTIKELSRALAAHPPYEIPISSIKIKHLHCEVPKNEVLYSLNATIVGLAVDSENFPDCMGLGIVRAIDTLKHVLYVLTPVPKSSLQKVDLLLQGFVEIPTCLLQVQGCISPYMSADVLPSA >Solyc03g025925.1.1 pep chromosome:SL3.0:3:3366528:3370086:1 gene:Solyc03g025925.1 transcript:Solyc03g025925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDPRTLFGDGKQGHKIEVKNFTESIQKMQKSGVHGMPSWNKNKKASNMQGIRLGKDLESLTMPRSCDVLFALTLGLGSIFLETACRHIRKLPWDLMKFDCNNNNSRVH >Solyc07g062900.3.1 pep chromosome:SL3.0:7:65657160:65661978:1 gene:Solyc07g062900.3 transcript:Solyc07g062900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKSSSKEKSSRKKRLKVSSKDLEGKTFNCKFCKTKLARADQLITKALHCRSGRAYLINKV >Solyc11g062270.2.1 pep chromosome:SL3.0:11:49471433:49478650:1 gene:Solyc11g062270.2 transcript:Solyc11g062270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle subunit SRP72 [Source:UniProtKB/TrEMBL;Acc:K4D938] MAPKPKEKAKASAVATPAIAIEDLFTSLNRHIQRSEYEQAVKVSDQILAAAPGDEDAIRCKVVALVKADSIEDALVAIKDSSKKGSVDLSFFKAYCLYRQNKLEEALESLRGQEGSTESMLLESQILYRLGKMGASVDIYQKLPKSTIDSLEINLVAGLVSAGRSSEVQGTLDSLRVKATSSFELAYNTACSLIEREKYKDAEQLLLSARRIGQETLMEENLADDDVEIELAPIAVQIAYVQQILGNTQEAVASYTDLVKRNLADESSLAVAVNNLIALKGPKDVSDGLRKLDKLIEKSDGPEKFQLARGLDLKLSQKQREAIYTNRVLLLLHSNKMDQARELVSALPGMFPGSLMPVLLQAAVHVRENKAAKAEEILGQYVDKFPDRSKVILLARAQVAAAAGHPQIAADSLAKIPEIQHKPATVATIVSLKERAGDIDGADAVFDSAIKWWSNAMTEDNKLNTIMQEAAAFKLRYGRKDEAARLYEQLVKSHGSIEALVGLIQTAAHGDIEKAEAYEKQLKPLPGLKALDVDSLEKTSGAKHAEKGTNAGVTETYEAKSKDKAKKKRKRKPKYPKGFDPANPGPLPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVAKEAASNSDAKSNQPANPKGASQHAGNVQSKASSKSSRKKSRK >Solyc09g056280.1.1.1 pep chromosome:SL3.0:9:48767411:48767773:1 gene:Solyc09g056280.1 transcript:Solyc09g056280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGFFCCSSWQSRTNGKKEEREEMMHAWGQIAAGNRVKMGEEERVVLYFSSWCSGIQQMTRIQAVTSDVHVMGFCIISIETEFGSGLGGNGSGRGSKLGQGESEKGGGDVVELELDLD >Solyc08g044338.1.1.1 pep chromosome:SL3.0:8:22258800:22276948:-1 gene:Solyc08g044338.1 transcript:Solyc08g044338.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding V >Solyc10g052730.2.1 pep chromosome:SL3.0:10:53237175:53237808:-1 gene:Solyc10g052730.2 transcript:Solyc10g052730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRGNRSFIDGNKIYDTAIVGVLGNDFTAKDLTFRNNVGPIKHPEVMLRVEANLVLFYKCRCDWYQNTLYVKNKRQFYRDSEVYGTIDFICGDVTTLVPN >Solyc01g104720.3.1 pep chromosome:SL3.0:1:93012628:93013363:-1 gene:Solyc01g104720.3 transcript:Solyc01g104720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKMNSVAAMAMVFMILLSANIDTVGVAAQGVNCYDNCNTGCAGLPSKQYIKCDKKCHKRCGDDDKIDGNVG >Solyc07g006440.1.1.1 pep chromosome:SL3.0:7:1247805:1249253:1 gene:Solyc07g006440.1 transcript:Solyc07g006440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMEKILHFHLFTSIIFSILLVVLGNTNKNSLSPLNSTYIMQARKRFMSLDPTKLPDPPMPSYTFTVYHRDVFEKSKFKDYDSLLDHRLARSHARASYLASVLKSQNGAQRGEVLELVPKSTDTIYRNGEYVATFLIGTQMIKNYLLIDTGSDLVWWQCGPCEACYEQDQPLYDSTASKTFRILGCNKYGLRCRTVDPAFHCNQENFECRYDLVYGDHAQTKGFIADDLITFNLDDHRTIRITFGCSKDQNGEKNFSAFSAGVVGLGRGDGQYSLPSQFGGHIMSMCLPTFSSGKGSVLSFHTSKWPRATSAKLIFNYKFPSLYYVNIYKIFVNDREVPVNPSWWKFKSDMGGGMLVDTGTTFTFLPHDFYILLRYIFRDEVRDIPTAEDPSNTFDTCYQEDPSGRNLYFPVVKLYFGSVNSSTMLLLTQERVIVNHRGVYCLAFVGWDNDFSILGMTQLQGVGLTFDSSTSSLSFDIDACD >Solyc08g065980.3.1 pep chromosome:SL3.0:8:54476659:54500974:1 gene:Solyc08g065980.3 transcript:Solyc08g065980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLSFFATLHLTLLFLLFFVNPLAINGYSDEDIDSEFILFHQDYTPPAPPPPPPHPPSVSCEDDLGGVGSLDTTCKIVSSVNITKSVYIEGKGSFYVLPNVTFKCTFLGCEIGINVTGNFTLGENSVILAGTFQLVADNATFCNSSAVNTTGLAGSAPAQTSGTPQGVEGAGGGYGGRGAGCLTDKKKMPEDVWGGDAYGWSTLQTPWSYGSKGGTTSKTMDYGGGGGGRLMLLVDKFLEVNGSLLADGGDGGVKGGGGSGGSIQIRAYKMRGTTLLPPSTLYIKTGIGRISACGGDGFAGGGGGRVSVDIFSRHDEPEIFVYGGSSRGCAENAGAAGTFYDNVPRSLTVNNHNRSTSTDTLLLDLPQPLLTNVYIRNHAKAAVPLLWSRVQVQGQISLLCQGTLSFGLARYAMSEFELLAEELLMSDSVIKVFGALRMSVKMFLMWNSQMIIDGGGDQNVETSMVEASNLIVLKESSQIRSNANLGVHGQGLLNLSGPGDAIEAQRLVLSLFYSVNIGPGSVLRGPSRNATADAVKPKLNCDSPGCPFELLHPPEDCNVNSSLSFTLQICRVEDILVEGLIEGSVVHFHRARTIDVQPYGIISTSGMGCTGGVGQGKVLSNGLGSGAGHGGGGGYGYYNGSCIGGGITYGDPNLPCELGSGSGNSSLAGSTSGGGVLVIGSLEHPLMSLSVKGKVVSDGDSFEESFGKKGYLTRGQYIGPGGGSGGSILLFLKSLAVGESGIVSSIGGTSSSSGGGGGGGGRIHFHWSEIPTGDVYQPIATVNGSIYTRGGSGGEQGGIGGSGTLSGKPCPRGLYGIFCAECPLGTFKNVTGSDRNLCISCPNDELPHRAVYISVRGGVTERPCPYRCVSERYHMPHCYTALEELIYTFGGPWLFVFLLLGFLILLALVLSVARMKYVGVDESPGPAPTQQGSQIDHSFPFLESLNEVLETNRVEESQSHVYRLYFLGPNTFSEPWHLSHTPPQQIKEVVYEGAFNTFVDEINTIAAYQWWEGAVHSILCILVYPLAWSWQQWRRRMKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATPDLMLAYVDFFLGGDEKRSDLPPSLHQRFPMSLLFGGDGSYMAPLSLNNDNVITSLMSQSIPPTTWYRLVAGLNAQLRLVRRGCLSTMFRPVLRWLETFANPALRIYGIRVDLASFQATTDSYTQFGLLVCVIEEAGLLPFEDLDEGSRSEQLSCDSSIDGQNPAGYLRDESILRGVDKGTVKRNFYGGILDIDSLKMLKEKRDLFYVLSFLIHNTKPVGHQDLVGLVISILLLGDFSLVLLTLLQLYSISLADVFLVLFVLPLGMLLPFPAGINALFSQGQRRSAGLARVYALWNITSLINVIVAFVCGYVHYITQSSRKLPYFQPWNMDESEWWIFPFALVLCKCIQLQLLNWHVANLEIQDRSLYSNDFELFWQS >Solyc01g014450.1.1 pep chromosome:SL3.0:1:13580825:13581449:-1 gene:Solyc01g014450.1 transcript:Solyc01g014450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRVNKESRNKRVADVVEALIGAYLSLHRQSSSYIIYEIIGIDIKFIDAPLLSYFIVSAEKIVNQLEFLGDVVLDYVLTTHLYFKYSRLIPRLITNLRSILVNNECYAQSEVKGSMHEHIVHASLDLQRQICCTMQEFEKLDLVFLFTWESESTFPNMLGDVIEYFARV >Solyc09g061897.1.1 pep chromosome:SL3.0:9:60862112:60867265:-1 gene:Solyc09g061897.1 transcript:Solyc09g061897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLNQSFAAVVQPHSSSSTHLSITDSKSQTIALPGLPIKLDQENYYLWQSTVYSAFEAFDLEGQLDGTNAPSDTILTTTQNLPMDLFRSAINPHLDNLTTDSLLGLLLQEEEKLAEETKSLQLQANAISRQYSNRSPITGYPNEQSVTTSRKSSTRPTNTNLPRSSNRSSPRIICQICEKPNHHAHNCYNRNNMDTYPPNRSSNRPQANMVTPSTNSMMSPSAILIIHGWWTQNPQGKILLCGSIDDGLYRLKGGGLFIISSSTPRAFFISRASFQVWHERLDHPHEQILRRLVSNFYLPMTFNKMPTNYEDRKRGAPQQEGCYICGETTHAARYCLSLRKLSAMVAAEKQQEKAAVQAGSSIGEQRGQSSGSDKGKNVVVGMFNHMALINHISIVALASKPANVRPRESLFVDAKLNGKDVRIMVDTGATHNFVTEQKARELGLSYVASNTKMKTVNATPTTIHGIAPKGLTFGMKSMRSSHRVTTRCTSVTPVVLAWCTPYSDVESCTEAVQLPPCIEQVLRNNKDVMPTELPQWLPPRREVDHQIELQQHGRPCRALVQSVQDLARQRAVCEVEKMQLRPTDPIAAPLTNLLKKDRDWNWSEACQAAFERLKTATSLRHSKSTLMHRTSLSVAS >Solyc11g006560.2.1 pep chromosome:SL3.0:11:1204308:1207288:1 gene:Solyc11g006560.2 transcript:Solyc11g006560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSAERLDSGHPPPPSPGRTLPFIGKLKPFYLLSILVLILAISFSISKTNQFKRLFFLRSNLLNPTVQKQISRNPIYTAPYCVLWMAPFLSGGGYSSEAWSYILALHNYSMNKNPVFNLKIEQHGDLENVEFWEGLPLDMRNLAVELYHRECKLNETVVVCHSEPGAWYPPLFDTLPCPPINYGHFKAVVGRTMFETDRVNDEHVKRCNLLDFVWVPTDFHVKTFTESGVDPLKVVKIVQPVDLEFFDPVKYEGLDLGSLGNLVMGSFSNGEKFVFLSIFKWEYRKGWDVLLRSYLKEFTKGDDVVLYLLTNPYHSDKDFGNKIVEYVENSDLEEPKDGWARVYVIDEHIAQVDMPRLYKAANAFVLPSRGEGWGRPIVEAMAMTLPVIATNWSGPTEFMTEDNSYPLPVDRMSEVTEGPFKGHLWAEPSVNKLQTLLRHVMRNQEEAKARGKQARDDMMSRFSPEVVAGIYYRKDMSPMDREYQQRKEVAALCANLVTSNTDGPQVSTTERVKPHSQQLILKWSSREASKRAARCTKQQATALKSEM >Solyc11g007340.2.1 pep chromosome:SL3.0:11:1716379:1723220:1 gene:Solyc11g007340.2 transcript:Solyc11g007340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKKGSKVEVMNRKDSPVSWCPAEIVSGNGHTYSVRYDYYTCMESEARSERVSRREIRPCPLPSKGVENGQSGQIIEVFNDCCWKTSIIVKVFNRDYYLVHPTGCSQEIRVHRSNTRVRQCWQDEKWHLIGKGSGTCAKPDQLPAQRSYKKVSIVLSARNGFHVRDGDLAAQGNLKRKKCNASSLVLLKRVPDESSRNIQEVVEGERDFKRRKIVPAALEGNTHDITRWNGNWMDEKYVSASFNNWSDGYYGSNPAKRSGTNGYSPARIGESNDSDSDACSVESFQSSAEEHEEESCRVSSEKNIAGNIRDLELHAYRCTLEALYASGPLSWEQEALLTNLRIALHISNDEHLTELRTLISSGTGIHGDIGMHASFAS >Solyc05g054320.3.1 pep chromosome:SL3.0:5:65104907:65107418:-1 gene:Solyc05g054320.3 transcript:Solyc05g054320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYFVYSWRHQMVYLAERGYCAVAPDLRGYGDTTGAPLNDPSKFTIFHLVGDLVALLEAIAPNEDKVFVVAHDWGAFIAWHLCLFRPDKVKALVNLSVHYLPRNSNMNPVEGLKALYGEDYYICRFQEEGDIEAEFAPIGAKSVLKKMLTYRDPAPFCFPKGKGLEAIADAPSDLSTWLSEEELDYYANKFEHTGFTGALNYYRALSMNAELTAPWTGAQVNVPTKFIVGEFDLVYHMRGAKEYIHNGGFKKYVPLLEEVVVLEGAAHFVNQERPHEISKHIYDFIQKF >Solyc01g090640.3.1 pep chromosome:SL3.0:1:84158429:84174203:-1 gene:Solyc01g090640.3 transcript:Solyc01g090640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRKGVQSLLSLPEEKHLKAEAAKKKVEEEKMSKWETLGYHSLSVKDPVSAPDTDISSDSGAVHFVYGDCTRPSKVSSSEPTIIFSCVDTSGNWGHGGMFDALARLSTSVPAAYERASEFGDLHLGDLHLIEITEDLAKGSGSPHASQWVALAVVQSYNPRRKVPRGSISIPDLERCLSKASYSAAQKSASIHMPRIGYQDGSDRSEWYTIERLLRKYAALHGINIFVYYFRRASQS >Solyc11g069165.1.1 pep chromosome:SL3.0:11:54073507:54074464:-1 gene:Solyc11g069165.1 transcript:Solyc11g069165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVCQDLIYAAGWPSRPVRPIFKVKRAPKRAYPSFRQFSCAIANHFLGDPDFDVKNTKLFCGGGSSLAMHPVGPLGQSDQFSRSKKPRSAHTPNFDDFSCAIANHFLGYPDSDVKNAKFYYGCPSRPCLCIRLALTASPTNLKVRRAPK >Solyc09g091560.3.1 pep chromosome:SL3.0:9:71288909:71307450:1 gene:Solyc09g091560.3 transcript:Solyc09g091560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDVSKYAHSPVHKGIVLKDYASLRKIIAALPRLCDPAEIHTEAVSLAEEAKADAISAVIDRRDVPNRDSPLHLAVKLGDETATEMLMLAGADWSLQNEHGWSALQEAICNREERIAKIIVRHYQPLAWAKWCRRLPRLIGTMKRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVAPGSLCMISHKEKEIMNALDGAGAPATDAEVQQEVSAMSQTNIFRPGIDVTEAVLLPQTTWRRQEKTEMVGSWKAKVYDMHNVVVSIKSRRVPGAMTDDEFFNSCNENEAENEEFDDILTEEERRQLEVALKSDSSDLSNENGDGIIPHRHSCYEQRDIPIEELNGCRNDDNKQEKKRWFNSWRKRDIKPEGEKRVVPPRSSICVEEKVGNYLEGSSPLNETRPGRHSVDVRVTRDELRQGRDAKASTSSNAENGNRRKDGSRENEYKKGLRPVLWLSPDFPLRTEELLPLLDILANKVKAIRRLREMLTTKLPKGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPTASGRESPSGAQPSSSSWFQWIKAPYQRPVSSTGSPSNRIENVQDPFVVPQDYTWISAEAKRKKMQEKEKAKKGKSRKQ >Solyc11g042850.2.1 pep chromosome:SL3.0:11:34322324:34325008:1 gene:Solyc11g042850.2 transcript:Solyc11g042850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPAEIVCFGEMLIDFVPDSSGVSLAESTGFLKAPGGAPANVACAITKLEGTSAFIGKVGDDEFGRMLVDILKSNGVNSEGVLFDKHARTALAFVTLKKNGEREFMFYRNPSADMLLKDSELNLGLIKQAKIFHYGSISLITEPVRSAHMVAMKSAKDAGVLLSYDPNVRLPLWPSPEAAREGIKSIWNEADFIKVSDDEVNFLTQKDADKEETIMSLWHDRLKLLVVTDGEKGCRYFTKSFKGKVSGFSVKTVDTTGAGDAFVGSLLVSIAKDPSIFQDEEKLKKALKFSNACGAISTTQKGAIPALPSTADAQGLIAGSKAY >Solyc09g091160.2.1 pep chromosome:SL3.0:9:70965429:70969865:1 gene:Solyc09g091160.2 transcript:Solyc09g091160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETRTCEFGHIKKTTMSSEDGVSGLPCFGVEDDKGGILEDRFAEKGKKKRRICEMGWLSDPLVVLGTDVMLMILSCLDARSVALCLLVSRGWRAVASSDKIWSSKIELWDKYVEILGFSLSYNTACVGPSTQVMVVGLPWWLILASWTGCTIVKCEELWRGKTHLPRLAKVNDLSKLVVYSLSVMDGKRKRIRKEDLYDHVWEFHFTEAAPEYWRMLDPYRNGTGRPLRRYFLPDGSQTAEPDDKIWGGHESCYSIVTSLLADGNIREHYVRINRWPPMYVTRKEDWSWEISNNFCTYKSIPDADKKDGTGPLFLLH >Solyc03g119110.3.1 pep chromosome:SL3.0:3:69287264:69289931:-1 gene:Solyc03g119110.3 transcript:Solyc03g119110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYVCFFFTLLFLGCPPELLSMPVLPEPDPVEVRSQTFLPSSAPPATIPAFPEQSNVAGCPLDLPEDLYHSVKSACGSHGYSGQVHQTRCCPVLAAWLYSAYSRTALHRAVTKLPQSTSIDMPVLPDDSETCVDSIEKALANRGIELVKPNKTCDVFYCYCGIRLHPLSCPEAFSVDSKGKLVGDKSVKRLERDCLSNNGYTGLAGCSKCLNSLYQLSEARVGNKSRLEDRTRKMRSRDCQLMGLTWLLNKNRSSYIHTVSSVLRALMLTEGSSDPQSCTLNSDGMPLAVDSTEINDESAAVSIQASFNPYILTLVLVYINCVSLLFKY >Solyc06g024384.1.1 pep chromosome:SL3.0:6:11251623:11253097:1 gene:Solyc06g024384.1 transcript:Solyc06g024384.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHAARYCLSLRKLSAMVASKKQKEKAVTQIEDSAGEQRGQNSGTDKGKNVVVGMFNHMASFNHIVLLLWLLNRMVSGRAPFGAPVLFQKKKEGMLRLCIDYRALNKVTVKNKYPIPLIADMFDRFGQAKVFTKMDLRKGFYQVRIAEGDELKTTCVTHYAAFEWLFMPFGLTNAPATFCTLMNKLFHLYLDQFVVIYLDDIIVYSNSMEDHMEHLCKVFMSFPMSFDHLCYPKAMMACHAQSHSTVRAAQRQ >Solyc06g042953.1.1 pep chromosome:SL3.0:6:30665841:30675892:1 gene:Solyc06g042953.1 transcript:Solyc06g042953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKTLMKKIEDPDSIVKRSNIAMVMVSPNDEVTSYSSGELTTPNQDEEHLMQSFVQSKFERDKEKLTELQKIPSEAKEKRSSYEPQVGNINKVEEADACKEYLVGAMEQLSQSFTESTPTPLSRDEENVVLDVRGYYMNLIEQQEQEGTEQQNLEAIKNVVLHIVTDKVDHVVEFAVPRPTKMATKNTQTPTWNNSKIYELKNELCKSFCMKDLGHAKQILGMRITRLRNKRKICLSQKKYIERVLHRFNMKNAEPVRIPLAGHMKLSKKMCPIIREKKENMAKVPYSSIVGSLMYAMVFTRLDIAHAYIAATEAGKGMIWFKRFLQEPGLKQMEHIVYCDSHSAIELIKNSMYNARTKHIDVRYHWSREKVENESFHIHTSENPADMLTKMIPKDNFEVDAMLTVIQALESNKLGKSLTYQEGRGIYVVGGYTKSSTNLPVSKWYINASKQRVTAFCDVQWASCPITRTSVKSYMIQVGNTLVSWKAKKQTTGPKRSTKAKYRSLAYINFGCHNRQYTLSDSNKALEEIIVSHIISLPSLVAATYSASVVGSATHFWNFNFQDIDPPKKICIAFKIGFDAPKYKHSSELPLRYRVSTSQFLNALFLDF >Solyc07g054890.1.1.1 pep chromosome:SL3.0:7:63190706:63191110:-1 gene:Solyc07g054890.1 transcript:Solyc07g054890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNNFLINMLSILVQFLRNKTQIVNVFVKLGKILCMLKYTCDRLGLPKVLPNLVDKNCSFFKTIYNSSINSSIPDNPQIISRISSFTRIIIRDQLLFFFFIGFALKFSIYNLPFITLILFSHSYVYLLHHFDF >Solyc09g020000.3.1 pep chromosome:SL3.0:9:18097692:18121653:-1 gene:Solyc09g020000.3 transcript:Solyc09g020000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASANPPAAGNNSGQEGTGNGNGATTNGLSVPENSVVGPTQAALRHNPGLSVDWTPDEQSLLEELLAKYATESNISRYAKIAMQLKDKTVRDVALRCRWMSLVFLLILFKTTSRRWAKFKNNIGKKTKVEDTFGQLSNYIWNPVKRNMCIKKEIGKRRKDDHNSRKNKDKKEKVTDSMPKSSHVANRANGPPYAQSVMSMDSDDGISYKAIGGTTGQLLEQNAQALDQISANFTVFKIQENINLFTQARNNIFTILNDLNDMPEIMKQMPPLPVKLNDELASLILPPRPPLPNKS >Solyc10g075170.2.1 pep chromosome:SL3.0:10:58949229:58952338:1 gene:Solyc10g075170.2 transcript:Solyc10g075170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKMWSRNVRCLSTSVAKNRKNNMGWWDHVQQAPKDPITSVTQAFLSDPTPFKLNLGVGAYRDDKGKPVTLECVRRATEKISDCEILESTKATTKSKFVQDCVKLAYGNDSSVVREGRFAGVPALSGTGACRLFAEFQRRFYPDSQMFLPMPTWSNHHDIWRDSQVCTGTYRYYDPDSKGLRFQALVNDIKVW >Solyc02g070930.1.1.1 pep chromosome:SL3.0:2:41069299:41069460:1 gene:Solyc02g070930.1 transcript:Solyc02g070930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGVSSQLRYNRLIKDWVGVSTASRLDESSSFNLFSNCLRDEKKLECGEGL >Solyc08g074865.1.1 pep chromosome:SL3.0:8:59124937:59126109:1 gene:Solyc08g074865.1 transcript:Solyc08g074865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVMDPDRQCKYGSRDRIQGQLSSEQGIHLNYDFQGYDLPRRDFWLSMVSAAASAGGKMSSQVTSPEMFTGKMSNLHPPSLDDLGLNLDTNLTSDDILPLADVDAATILNPKSLGVSKQSQIDPNGFEVLCP >Solyc12g016140.2.1 pep chromosome:SL3.0:12:6142513:6148768:-1 gene:Solyc12g016140.2 transcript:Solyc12g016140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGYGMNNSSGSGAMAVDNSSVGSNGSHTDILNQQALVDPQFPTTGLVICDEWTIDLSRLTIGQAFAQGSFGKLYNRTYNGEDVAIKLLERPEHALEKVHFVEQQFQHEVRMLANLKHPNVLRFVGACRKTLVLYIVTEYASGGSVRQFHAKRQNRAVPLKLAVKQAFDVAKGMEYVHGLNLIHRDLKSENLLIAVDKSIKIADFGIARIEVLIEGMTPETGTYRWMAPEMLQLRSYTQKLDVYSFGIVLWELIAGLLPYPSMTAVQAAFGVVNKGARPIIPNDCLTVLSNIMTCCWDFYPDKRPTFSQVVKMLEAAEIEIMTNVRRARFRSCPRPTATGKD >Solyc06g043280.1.1.1 pep chromosome:SL3.0:2:40705307:40705462:-1 gene:Solyc06g043280.1 transcript:Solyc06g043280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQEKAKSKAVIKPELVSQLPIRTRGSKKTNKISIQHKQGMREALRGLFPT >Solyc10g011870.3.1 pep chromosome:SL3.0:10:4128484:4131456:-1 gene:Solyc10g011870.3 transcript:Solyc10g011870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4CYG5] MAEQVEQHSEHKEESFMEKIADKIHFDRSSSSSSSDSDSEVKPAAAPEASPSSVKAKIWRLFGREKPVHHVFGGGKPADVFLWRNKKISASVLGGATAIWVLFELLEYFLVGLVCHLLIFTLAVLFLWSNATTFINKKRPHIPEVQLPEEPILEIASALSIEINRALSMLREIATGKDPKKFLAVVTGLWILSVVGNCCNFLTLFYILFVLLHTVPVLYEKYEDKVDPLAEKAVQEIKKQYAIFDAKVLSKIPIGPLKDKKNA >Solyc04g014950.3.1 pep chromosome:SL3.0:4:5178310:5179388:1 gene:Solyc04g014950.3 transcript:Solyc04g014950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKNDVFELKELKFNSELVKTNDEDVNRGKRVKRRTLNDDARIGKRVVYDSDGDSAPRSKEEIRNGNMKVVEEMGLLSGLASPRVFIVWNSPAWMFTARYQRGLESVLNCHRDACVVVFSETIELNFFSGFVKDGFKVAVVMPNLDELLLGTPTHVFASFWYEWKQTRHYPFHYSELVRLAALYKLAIWKIYTSQCI >Solyc07g065510.3.1.1 pep chromosome:SL3.0:7:67363084:67368197:-1 gene:Solyc07g065510.3 transcript:Solyc07g065510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEGGDGVGGEPIEQFHRNEAISAVADDGFMVEEDDDYEDLYNDVNVGENFLQSFRKNEDLVSRNEEVERKPEQPVLPAPPPVVAALQVVDESGRDDFQGVGGERNPVKEEDVVSGIAARASVGPAVSGTSAGSGFRVELSHPSSKMGDLAERMVNSNVPNQVMAQQPHGGGVAVAAGNLGNVGNMGNDNLIRQGGVNMNGAGNIVAGVGVASGGGGGGGGGGATILFVGDLHWWTTDAELEAELSKYGHVKEVKFFDEKASGKSKGYCQVEFHDSSAATACKESMNGHMFNGRPCVVAYASSPYNVKRMGEAQVNRNQQVAQTAVPQARRGPGEAAGKIGNNNTPTGGNYQGGGGGGDGNRGGYGRGSWGRGGPQAMGNRGPVGPMRNRPGGIAGRGMMGNGGGGFGQGMGGAPPIMHPQTMMGQGFDPAFGGPMGRMGGYGGFPGGPAPPFPGMLSSFPPVGGVGMPGVAPHVNPAFFGRGMPMNGMGMMPGAGMEGPNMGMWSEPNAGGWAGDEHGGRAGESSYAEEAGSDHQYGETTHDRGAWPNNVKEKDRGSERDWSGSTDRKHREGREPSYDRDMAREKDRGHDQDWPEKRYRDDRDVARDRERDRDHERPRERGRDRERDRDRDRHRDDRDRYADHHRYKDREQEYDDEDRGRSSRGHSKSRLSHEEDHRSRSRDADYGKRQRITSE >Solyc04g057990.3.1 pep chromosome:SL3.0:4:55041376:55054128:-1 gene:Solyc04g057990.3 transcript:Solyc04g057990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHDYPHLVKKKREFPLGRESKEYPSLDKAAVAVAVAAGPFVSENPRYDYHQNRSPPRVSSNITLNQPPYQLPHPHPSSFHYNDHYHKPPPPPPPRFIVTDFTSSGLRENRASSVNDSQEPLRIRRDVNSKYDDDERYRLERRRMEIDIKPWRDFRKSPGNYDVRVDRYEGERWEYGDNVDEVLVGSSSRRVSSLDNGNDCTDVRFSNRLRVDKEEIHRSPQKKQVQKKSALLRIQCGKANNRSRNQDYDLSSGAVRGKQKDVFERLEKRVEEREGSQMELDVSFKSNALVAKAIMTPSSSAIDSDRSEAPRCKKIRKVNFSGSPTKRIGDDSGKGYGSANDSGFRPSSNQEFNCLADKITVSAVGSSSNCTLDSYKDSRHLADKSTDSIDGRTSNGTLNNNKESQLLPDEATVSVASRASNSTSLLSSTVTNDLTGTKESKESAENKVLNQGSNHVEKFRLPFFIIRKKKVPKKKVINPINVKLPSDEVVSKLEKPFKLSAVSKDESLEQSNSDGKKASPAKVLVSSSMDSEIYGVADYTSRSVQSVPSTMNFETCMIEVQNEPTSSDLDNTGHVGGHSEDELRVSEDGPIKESSESMACEERNGDAVLSSLDGREIHKDEVSSSTEDTYISADSGLGFSDGKKNAVAVIGSFGAGCVEPSSDSNVVPLLNNIENGLRESFFNANDSCSNSDETGRTAVDNDLQTADCLSNSDPRAGTFGGSFESCVDGLTLSPEMRHTKGSINAGVSVGDDVRIIVDDDCLPKVTRKRKIMDDESVMPTTKMSKTEENVVSSLLGQGNNFSCFREDRASEEEDGTVSGYGTDSLKGDHSYGGPSEVELSLQDCFKDDSESCSTKRAKKSEVSSPAKVKSAPCVTTYEEPSSRPITMPMINDVSVTELESPNTLTNVDDSPLARPSVNVLESSSIAKGVCQAEPFEHFSDDQQVVAHNSQLGAVGQETTTSVVSIETLKMADRVSDDQGSSVGIDQKLAPESHESCHYVLDRDDMPLLADNLSLFANKVSVKSMESVPDMSPLLSFPDLTNCSVSEEPIDKSSVSSEIVIEKALRVDENSRTAYDNISSSVKTSSDAFEFDRSSDHKVGGNPVVNINTVALSSQNTVKSSKNVSSQGWKPNLGANQQIPAGSRVLSVRPSSFITPRNVPVPKKPLTWHRTGNSFSSVVGRGSQMNSLPPQSHLSKDTAKVGSYIRKGNSLVRNPSPVGSLPKGYHASSSSTYRLNSSGVNDLRRKCENRAEITGSPSCRGTPEVNAPSERPKTPTQSESFSCVTLMSTSSPVVDHPGNGDIATNSDPMEVTDNILALKPSELPSTSSAVLECQIGLGGDSGSQNTLDEGSSRKVIVYVKQRSNQLVAASDKTQTSSDGYYKRRKNQLIRASGNNQMKQRVATTKNIVPFQRGMKRLNGLAKTSKLSKFSLVWKLGDTQSSRKYGGTVEYEKLWPFLFPWKRASYRRNFLSSSPSDNSSIIRRKLLLSKKRETIYTRSIHGLSLRRSKVLSVSGSSLKWSKSIEQRSKKAAEEAALAVAAVDKRRRGQYGSNADSMNGNNVSRKFYSVKLLPGRPVLYPGEKGYSALVVSGIRWTLLGRHYTEFQEVLRGLNLVRQCVVGDEEPSVSVPEAKKSYVPKRLLIGNDEYVRVGNGNKLVRNPKRRVRILASEKVRWSLHTARIRLARKKQYCQFFTRFGKCNKDSGKCPYIHDPSKIAVCSKFLNGSCSDTNCKLTHEVIPERMQDCSYFLQGICSNENCPYRHVNVNPNASICEGFLRGYCADGNECQKKHTYVCPVFEATGNCPKGSNCKLHHPKNRRKGAKRKALSELKNGRGRYFGSPHIHISECITAGSEKPSVKGNNDIFLEGKFVDFISLDGSDEEEQTIDQRSEEKPLCESGPAEMQLDDLDELIKPVRLINRNRSVGSSPYIDSPSDMTTSYVSE >Solyc06g061270.2.1 pep chromosome:SL3.0:6:39383086:39385226:-1 gene:Solyc06g061270.2 transcript:Solyc06g061270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSVESIETQILEPNKGTSFSRTCFNGINALSGIGIISIPYALSQGGWLCLMLFFLVAIICCYTGLLLQKCMSVSPSIKTYPDIGEFAFGNKGRILISIFLYLELYLVAIEFLILEGDNLQKLFPNAKIHVGWVKIVGREVFVLLVAVVILPTTWLKSLSLLAYVSIGGVLASIVLVFSIFWVGAIDGVGFKEKGAIWRWDGLISA >Solyc01g010835.1.1 pep chromosome:SL3.0:1:6178298:6180410:-1 gene:Solyc01g010835.1 transcript:Solyc01g010835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKLQMKSLNLAKLGTFNLVVSISRREGTMEAGTFLRALNPFKFRAKFSGSYTTRCSSSSSSIKIPTGAGKEMGRRAYDGLLLDAANTLLQLSKPVEETYAGIGKKYGIYYKKYSRRLFNNLWDDSKEERMPVLIVESYGVWVDLVDFLKAKGLIEGIETEDCEGEITRLIGGMKNKDCQGRVDVGGIEEGGSGGNEEIGGGED >Solyc07g056410.3.1 pep chromosome:SL3.0:7:64399436:64408112:-1 gene:Solyc07g056410.3 transcript:Solyc07g056410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSNSAIQQHLLFVLLIPLFFTGFAQSLNEEGLILLEFKKSLNDLDNNLSSWNSSDLNPCKWDGVKCSKNDQVISLNIDNRNLSGSLSSKICELPYLTVLNVSSNFISGQIPDDFALCRSLEKLNLCTNRFHGEFPVQLCNVTSLRQLYLCENYISGEIPQDIGNLPLLEELVVYSNNLTGRIPVSIGKLKRLRIIRAGRNYLSGPIPAEVSECDSLQVLGVAENRLEGSFPVELQRLKNLINLILWANSFSGAIPPEIGNFSKLELLALHENSFSGQIPKEIGKLTNLRRLYIYTNQLNGTIPWQMGNCLSAVEIDLSENQLRGNIPKSLGQLSNLRLLHLFENRLHGKIPKELGELKLLKNFDLSINNLTGRIPAVFQHLAFLENLQLFDNHLEGPIPRFIGLKSNLTVVDLSKNNLEGRIPSKLCQFQKLTFLSLGSNKLSGNIPYGLKTCKSLEQLMLGDNLLTGSFSVDLSKLENLSALELFHNRFSGLLPPEVGNLGRLERLLLSNNNFFGKIPPDIGKLVKLVAFNVSSNRLTGYIPHELGNCISLQRLDLSKNLFTGNLPDELGRLVNLELLKLSDNKFNGKIPGGLGRLARLTDLEMGGNFFSGSIPIELGYLGTLQISLNLSHNALNGSIPSDLGNLQMLETLYLNDNQLIGEIPTSIGQLISLIVCNLSNNNLVGSVPNTPAFKRMDSSNFAGNVGLCTSGSIHCDPPPAPLIATKSNWLKHGSSRQKIITTVSATVGVISLILIVVICRIIRGHKAAFVSVENQVKPDDLNGHYFPRKGFTYQDLVDATGNFSDSAIIGRGACGTVYKAHMADGEFVAVKKLKPQGETASVDSSFQAELCTLGKINHRNIVKLYGFCYHQDCNLLLYEYMGNGSLGEVLHGNKTTSLLNWNSRYKIALGAAEGLCYLHHDCKPHIIHRDIKSNNILLDELLEAHVGDFGLAKLIDFPYSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELITGRSPVQPLDQGGDLVTCVRRSIHEGVALTELFDKRLDVSVARTREEMSLVLKIAMFCTNTSPANRPTMREVIAMLIEARESVCTSPPSPSSETPLSEADANKGSN >Solyc08g067250.3.1 pep chromosome:SL3.0:8:56351936:56361827:1 gene:Solyc08g067250.3 transcript:Solyc08g067250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSCSFLSHFCPFSLIGVIFKFTLKLGPHKLNFGCSLHLLSALLLPNLNAPESLFLPSMASPSNPKTLSISSALTDDDDFQDPSPSQLHLPKPTSKIASRKPLRPYKNATPPTSKKPKQYSSHVGKENIAVVGKCTVDFDLGHGLDSSRPTKKPKQHPVSVEKDSLAPVVFEKSDENGKRLNSAHHKSESDFEDLDLGHGLDNIESTIDCCSGVKRATNEEELKRGYLFKSIEARLLNSNGGLEERKEEESEECSELDLLLKLCGEEDEVYCDALTADPHRQEECLELDEEYGLICCPLCGADISDLSGEMRLVHTNECLDKDETPADVVTANNDVSIQCPGQVLNDSPCPKEVVHMSPVVEWLRNLGLPKYEEIFVREEIDWDTLKSLTEEDLFSIGVTALGPRKKIVNSILELRKETAEEKVARQDVTKITPADAGTRPSKLITDYFICPVSERKKVCATDKAQMEVQRTCSSASHKRIQKKTPAKSAKSKDIPVWCSIPGTPFRVDAFKYLRRDCSHWFLSHFHLDHYQGLTKSFCHGKIYCSSITAKLVNLKIGIPWDMMQVLPINQKINIAGIDVTCFEANHCPGSLIILFEPANGKAVLHTGDFRFCEEMTRNAILQTCCIHSLILDTTYCDPQYDFPKQEAVIQFVIESIQAETFNSKTLFLIGSYTIGKERLFVEVARALQKKVYVTASKLSILECLGFTREEMQFFTLNEQESQIHVVPMWTLASFKRLKYVANQYARRYSLIVAFSPTGWSFGKGKKKSTGSRWQQGTIIRYEVPYSEHSSFTELKEFVKFVSPVNIIPSVNNRGPESSSAMVSRLLD >Solyc07g055610.2.1 pep chromosome:SL3.0:7:63718716:63721559:1 gene:Solyc07g055610.2 transcript:Solyc07g055610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKSSLCIYNTFKDDEKLEKGKFISPELESSIEESRIALIILSKNYANSTWCLDELTKIMECKNVKGQIVVPVFYDVDPSTVRKQKTMFGEAFSKHEARFQEDKVQKWRAALVEAANISGWDLPNTSNGHEARVIEKIAEDIMARLGSQRHASNARNLVGMESHMHQVYKMLGIGSGGVRFLEILGMSGVGKTTLARVIYDNLRSRFQGACFLHEVRDRSAKQGLEHLQEILLSEILVVKKLRINDSFEGANMQKQRLQYKKVLLVLDDVDHIDQLDALAGKREWFGDGSRIIITTKDKHLLVKYETEKIYRMKTLDKYESLRLFKQHAFKKNYPTKEFEDLISSSDRAYWWTPPSPESPWQFLVWERLGEWISQVERLKQIPENEILKKLELSFTVLHNTEQKIFLDIACFFSGKRKDSVTRILESFHFSPVIGIKVLMDKCLITILKGRVIIHQLIQEMGWHIVHREASYNPRICSRLWKRKDICPVLERNLGTDKIEGILLHLTNEEEVNFGGKAFMQMTSLRFLKFRNAYVCQGPEFLPDELRWLDWHGYPSKSLPNSFKGDQLVSLKLKKSRIIQL >Solyc12g010990.2.1 pep chromosome:SL3.0:12:3849814:3851140:-1 gene:Solyc12g010990.2 transcript:Solyc12g010990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVTPVMTLSTAVLSLMFDPWHEFRHTNYFDTSWHIARSCLLMLFGGTLAFFMVLTEYILVSITSAVTVTIAGVVKEAVTILVAVFYFHDDFTWMKGLGLVTIMFGVSLFNWYKYDKIHNKGSTSEDESGSALGNAATKYVILEEMEDQDHGP >Solyc09g092270.3.1 pep chromosome:SL3.0:9:71880995:71884911:-1 gene:Solyc09g092270.3 transcript:Solyc09g092270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKLEDGLIYNIKLSSVGPARVTGQDVVYEPSNMDLAMKLHYLRGIYYFDSQAFQGVTIYKIKEPIFIWFNYFYMTNGRFRRAESGRPYIKCNDCGARFIEAQCDKTLDEWLEMKDTSLEKLLVSNQVLGPELAFSPPVLIQHTKFKCGGISLGLSWAHVLGDIFSATEFWNFLGKVVGGYQPPRPINLAHSLTKANSTETLQKIVEDPLSIKRVDPVEDHWVANASYKLESFSIHVSASKLGQLQSRIGIQGPFESLCSIIWQSISRIRDGPGPKVVTICKKGEEKKEGGLIGNTQLIGVVKVDDSIRDANPSELARLIKNEIIDERLKIDEAIEKEHGVSDVIVYGANLTFVSLEGVDLYEFDWKGHKPKNVSYFIDGVGDAGTVLVLPDGPNYAEGRIVTMTLPVDEIMKLKNELNNEWSIA >Solyc08g080940.3.1 pep chromosome:SL3.0:8:64205434:64208560:1 gene:Solyc08g080940.3 transcript:Solyc08g080940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CP92] MLCSTARVLLIPKQNLSILRRFSSILRQTQFNSVFNLPVSSFSKPIRTVLPNSLVTSKGFELWGLRSDHTMASQSSNPQSVYDFTVKDAKGKDVDLSIYKGKVLIIVNVASQCGLTNSNYTDMTELYKKYKDQGLEILAFPCNQFGGQEPGNIEDIQQMVCTRFKAEYPIFDKVDVNGDNAAPLYRFLKSSKGGFFGDGIKWNFSKFLIDKEGHVVDRYSPTTSPASMEKDIKKLLGVA >Solyc10g006740.3.1 pep chromosome:SL3.0:10:1193422:1194292:1 gene:Solyc10g006740.3 transcript:Solyc10g006740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLHYYKTFLVRVFNSEFLTPPPAMISCMDAVDYEDPFGFEDYFPSMIDTLGVERFMEELCNGFCMLMDVSIGLITFESLKRNTILMGLSELKDEELLCMLIEGDLDGDGALNQMEFCSLMFRLSPGLMMDGFK >Solyc04g007870.3.1 pep chromosome:SL3.0:4:1544710:1548906:1 gene:Solyc04g007870.3 transcript:Solyc04g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANKFATMLHKNTNKITMILIYAVLEWTLISLLLLNSFFSYMIIKFANYFGLKPPCPLCSRIDHLFEHEKTKAFCKDLLCEAHAKEISQLGFCLNHQKLVESQDMCEDCLSSPHDDDNSRFECSCCDVKLEKKSTNESCEVDDLGDTQKGNLVIESTNDDLVKERSDFDEEKTDLDGLVIEKVMKDQGVQVCVIEDSSFEYSSQHLEFFVECSGHKLVPVELIDSTTEEDHCKNHETDENCEKNDELVVEINKIEEEDKFAVLDSMEMEEDENGFSFCVDECHSVKEFDEQFDQFHQESAIDNVQIEVESVREENDSDVTPEEVSEIQQIDEIEAEVSIGNEIPDMNLSDEIPCEVSLDSCTHEEHFTSSAHFHDINQHGPTEDHDKLVELKLLSLEFDDHVMNNESLISSKLDEIEEEKVPETPTSIDSFYQLHKKLLLLEKKDFGTESLDGSVVSELEGGDAVSSIEHLKSALKAERKALHALYTELEEERSASAVAANQTMAMINKLQEEKSAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKQELEKELEVYRKRLSEYEAKEKAMRMLKRSKDGSVARSGLFSSASCSNGEDSEELSIDLNQEPKEDVNFYCHQECDDHDNKVQVDAFLELEESFVDFEEERMSILEQLKMLEEKLISMDDEDAKEFEDVRPMDDSYRENGDHTVVNSRLDGEINEHANGFLSEMNGKLIINPKGKGLLPLFDAMSDENGDVMINGHENGFHPNDVDEENKKLDIEEELDLLHERLQALEADREFLKNCMSSLKKGDKGMDLLHEILQHLRDLKTVDLRVRSSSNGLIL >Solyc04g049807.1.1 pep chromosome:SL3.0:4:43525241:43525676:-1 gene:Solyc04g049807.1 transcript:Solyc04g049807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSMWLALVLVALFTYLVQELIWKKKKKFPPGPRGLPLIGKLHMARIFTMIFIKYLKKYGPIMSMRFGLVPTIVASSPYAAQQFLKNHDLVFASRTYSKVSQYIFYDQRNIMSSKYGPYWRNMLNCALYNCLAMPRFIHFSL >Solyc12g042160.1.1 pep chromosome:SL3.0:12:58115017:58115631:1 gene:Solyc12g042160.1 transcript:Solyc12g042160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTSDDMPEKEVNRAAKILMQMAKSITCTSFNHGERSKESRNVNSLEAIHPCNEEIRACFRKEEALRRGGKPLKRIRHHDILKSNRPTHFSLHCLVRDAAARLPGGVGTRDDVCVLARYSQFIVEEISDSQLSKDVKGGLNRLHYEDDPCVKYDRRRLQWIYPHRDRQESDFEGDST >Solyc12g008340.2.1 pep chromosome:SL3.0:12:1741136:1746108:1 gene:Solyc12g008340.2 transcript:Solyc12g008340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSKFQSVCQIDKRKWILVVVLVAVTHLFCQTLMLPYGNALHSLLSESNTQLSEKVSLLSKESSVVESTKVGEGFSGTLSSFDDVHMLAHRLKTVDNSDVSEDGEIDESVNEKDEVKPHSNHSVVKTMENDSDFVEDATIENDNLFDEMVDMDEETTMQKNNESKWDLSIEQVVKTTDELSADSDLDANRNTVLNDTKAANVTNSSSVEASNHLDNLPLVAIGEINFIRTTGNNSSTGNLTQLLPNNGNHSLVLSTVKKKMRCMLPPKTVTTISQMERLLVRHRARSRAMRPRWSSERDKEILAARLQIENAPLIRNDREIYAPAFRNMSMFKRSYELMERILRVYVYKEGEKPIFHQPIMKGLYASEGWFMKLMEGNNKFVVKDPRKAHLFYLPFSSRMLEHSLYVRNSHNRTNLRQYLKDYSEKIAAKYRFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTLGFKIGRDVSLAETYVRSARNPLRDLGGKPASQRKVLAFYAGNMHGYLRPILLEHWKDKDPDMEIFGPMPSGVASKMNYIQHMKSSKFCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFGVLNWDTFSLILAEKDIPNLKSILLSIPEKKYLDMQLAIRKVQRHFLWHAKPVKYDLFHMTLHSIWYNRVFQTKAR >Solyc07g021620.1.1.1 pep chromosome:SL3.0:7:20355008:20356408:1 gene:Solyc07g021620.1 transcript:Solyc07g021620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPFPGKANSIFSPNIHLKFRIPYSTLFFRPFCAAPHDRSSTTSPNNNRYSPQSRPRRNNQQDYRRISTPIKKKADPVTLSPILAADVDLMSLCNEGKIDQVIEYISQGVDTDFHIFETLLSYCIKIASLDVGEKVHELLLRSPWSNNIELNSKLVKMYVKNGSTRSARKVFDKMRERKLDLWHLMISGYAETGDGESGLLLFEQMRKLRRLEPNGDTFSVVLSACASKGYVKEGFTYFELMKNEYGIVPGVEHYLGIADVLGKSGHLNELLEFIEDMPIEPTKVVWEAVINFARIHGDIELEDRTEELLIMLDSSRNMADKPVAPFQKRHSEFNMLEGKDRVNEFKSTIPHRADAYEKLKGLSGQMRDSGYVPDTRYVLHDIDEAAKEQALMYHSERLAIAYGLISTPARTTLRIIKNLRICGDCHNAIKIMSKIVGRELIVRDNKRFHHFRDGKCSCNDYW >Solyc07g064070.2.1 pep chromosome:SL3.0:7:66490379:66491740:1 gene:Solyc07g064070.2 transcript:Solyc07g064070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVDSFIADLDELDVLSDDVHGKADMDDFDNISKLQKSRRLKFPELDSLVNHPVDYARVVKKIGNETDLTLVDLQELLPSAIIMVVSVTASTTSGKPLPEDVLQRTLEACDRTLALDSSKKKVVDFVESRMSTIAPNLCGVVGSAVAAKLMCCAGGLSLLANMPADNVRSLGGKRKNLAGFSTANALRFCYIEETDVYQSTPPSLRVKVCRILANKAILAARMDLSKAGMFNFKEEICKKMEKLQELPPARLPKPLPVPDFRSKKQRGGVRHRKRKDLYQVTEAQKLRNRVKFGLPEESSLGDGLGVGYGMLGQAGSGKLLVSARQNKKKAKIYKVKSYGGSGAAARSGLMSSSFAFTPAQGIELSNPQAYTDGTQSAYFSETGTFSKIKRQKSVFGV >Solyc08g065140.1.1.1 pep chromosome:SL3.0:8:52890766:52891560:-1 gene:Solyc08g065140.1 transcript:Solyc08g065140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDRVYPSAKPNGTAPTAANPHAAPVKNQMYNNPNRVPYRPTPTAYHRHNRRRCNGRRCFCMCCFWSILIICILLLLAAIAGAIFYVLYHPQRPTFAVSSLKISNFNLTTSTDDTTHLTTKFNLTLSTKNPNKKLIYNYNTISITALSNQVVLAKGSFPGFTSTTNNITIIHSTLSMASQVLDVDSVSSLKSDLKRKAGLPVTILMDTMVEVKMDKLKSKRVGIRVTCEGIHGSTPKGKAPAVASTNNAKCKVDLRIKILKWTF >Solyc08g083340.1.1 pep chromosome:SL3.0:8:65947206:65947992:-1 gene:Solyc08g083340.1 transcript:Solyc08g083340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CPX8] MFNYPCCFHLSMLDPTSINLGVVGPLLDRHRSKPCVYVGCMKSGPVLSQKGVNYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYILINGDILHRYANEAVSLGFWLIGVDHTQFNFFGLSV >Solyc09g059210.1.1.1 pep chromosome:SL3.0:9:53986400:53986603:-1 gene:Solyc09g059210.1 transcript:Solyc09g059210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSAFANTRVDWKETPEAHVFKSDLPGFKKEEVKMEIEEDKVLQISGERTMEKEDKNNVNSIEISD >Solyc11g013330.2.1 pep chromosome:SL3.0:11:6320619:6322821:1 gene:Solyc11g013330.2 transcript:Solyc11g013330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSTNSVNGFYTFLTRGIDDLERVFLSSNFMSIQFLQRVLSLLRSFHTQLTLLVQKLHLPVGEKWLDEYMDESSKLWEVCHVLKTGISGLENYYSSGFNVISSLENHQHLNPQLSRQVSRAITGCRREANGLEEENRALMETRIEPLSLRFDEIVSVESKLNGFNGFRGVLYAMRNVSSVLLMILLHGLVYCWPESSSSSSDFSTSETGGCLFFGSNFMISISRLQQRIGSQINQMNPGRRSILLYEFRRSKAAMDELRGELENKCENGIIRERVENLKAYFGVLKNGTENIIGQLDDFFDEIVEGRKKLLDFCSHR >Solyc02g080230.3.1 pep chromosome:SL3.0:2:45061654:45064263:1 gene:Solyc02g080230.3 transcript:Solyc02g080230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITFLLCLDYLKKDDGQCSSKLRKSSNPFISIRFYQERRRNWFFTFKCCCYFYLYSVSSLSRGCLLYWGFTYSSMGTKMKGIYKYISNIFVVKEREIEIGFPTDVKHVAHIGWDGQSGNAPSWMNEFKTGPDFAATSIGNSGSAHSPWASQDYTESMRQQQSSDLYRDVTPTVGPKKQKRRKAKSTSSPRSASSSSSRSSRAEKSKTKFVEGNAKPLNIEVA >Solyc10g018130.1.1.1 pep chromosome:SL3.0:10:6733866:6734030:1 gene:Solyc10g018130.1 transcript:Solyc10g018130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTWYYNFGLLNLNRTNVIYLNMHLKKFEEKMWTCGEEYNICVIKIYMTPLEF >Solyc11g056270.2.1 pep chromosome:SL3.0:11:45592951:45596632:1 gene:Solyc11g056270.2 transcript:Solyc11g056270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFFFRGVLSLTATFLGHFAVRVMPIRITGFHSVLRRIKEEWGTSRWFFEFDIRKCFHTIDRHRLIPIFKEEIDDPKFFYPIHKVFSAGRLIGGEKGPYSVPHSVLLSALPGNIYLHKLDQEIGRIRQKYEIPIVQRIRSVLLRTGRIDDQEKSSEEASFNAPQDNRAIIVGRFKSIQRKAAFHSLVSSWHTPPTSTPRLRGDQKTPFVFHPSSALAAFLNKPSSLLCAAFFIEAAGFTQKSKFYGRERCNNNWAMRDSFKYCKRKGPLIELGGEAIQVIRSERGLARKPAPLKTYYLIRTFYARYAYNLLLGIVGSVELLIEIQKRIAHFLQSGLNLWVDSAGSTTIAARSAVEFLGTVIREVPPRATPIQFLRELEKRLRAKNRIHITACHLRSAIHSKFRNLGNSIPIKELTKGMSGRGSLLDAVQLAETLGTAGLRSPQVSVLWGAVKHIRQGPREISLLHSSGRSKVPSDVQQVVSRSGTHAPTLSLYTPAGRKAAGEGGGHWARSISSEFPIQIEAPIKKILRRLRDRGLISRR >Solyc01g095960.3.1 pep chromosome:SL3.0:1:86950313:86953223:1 gene:Solyc01g095960.3 transcript:Solyc01g095960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPCGITIMEGKRKMSVKPLRIISNTSNLNGEKEAAMLLSPSSRMFHEPSYNVYVLAIMGWKVTMNIDSIKAELQSKMLKHPRFSSLQVMDESDGVGNMRWVPTTVNIDDHVIVPQFADNNMDNDELVENYISDLSTTNVDMSKPLWDFHILNVKTSHAEATSVFRIHHSIGDGAALMSFLLFCFRTTSDPTCLPKLPVFSSSNDKSNSSPNKNRKKNLWQYLVKLWFLIKLLFNTMVDVLLFIATALFLKDSQTPFTTTQAFNASARQRYIYRSVSLDDIKFIKDVTNAKLNDVVLGIVQAALSRYFHRTYGKAGKFSVEGMRCRANVIVNLRPALGVQAIAEMIEKNVAVIQGNCFGFVLVPLSIGQLDNPLDYVVKAKTLMDRKKHSLESQFSFYASQFFLNLFGYKGAAKLTQRVTSQTTLALSNVIGPREQISCAGHPVEFIAPTCSGYPTGIMVHVCSYVNKLTFTIAVDEGIIPDLNQFGDDFIKSFMLIKEAAHAHHTKLATKLD >Solyc05g041880.1.1.1 pep chromosome:SL3.0:5:54661967:54662203:-1 gene:Solyc05g041880.1 transcript:Solyc05g041880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVVKFARFFFKKKITHDEVIVKFPQENTYTIILIRDILLCYIPHANRNIAPVLQTFGHIQCVVSKNVLKCVEKWNH >Solyc05g009090.2.1 pep chromosome:SL3.0:5:3230630:3232902:1 gene:Solyc05g009090.2 transcript:Solyc05g009090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASWLFVFVLFHHTCFDSVQGQNTPYSNCPQEFQCGSSEILSFPFYKPDQPDCGLCKVDCDTYPNPVIELEGVNYQVVEKQNPFVKIKDPVLENLLKEKSCRSFERNLSLPISPIITYTVYPFLALFKCNTSNDISYEGFQSYNGCESFTLYYNHSSVSSVGSTLPTQCSMIHLPMVSDYKSKSNNSNLFDMLAADVSLGWSVSRTCNQCYSSGGRCQVDSNNKFVCSVSDQGKGKHHLRRPWIVVLATVAVLGCIGILILLFCFRKKIFWHKYLRFWESKAEDHQNIEAFLKNYGPYAPMRYSYSDIKRMTSSFKNKLGQGGYGYVYKGSLHNGNPVAVKVLNGLKGSGEEFINEVSSISRTSHVNIVSLVGFCFEGRKRALVYEFMPNGSLEKFIYQERSDTDRVRQLDWQVLYKIALGIARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKLCVKKESIVSMLGARGTIGYIAPEIVCRNLGGVSHKSDVYSYGMMVLEMVGGRKNVVDRTSEVYFPDLLYQRIELDEELQLIGIMNEEEKECARKMVIVSLWCIQTGPANRPSMTKVVEMLEGDLNSLQIPPTPYLYSSSSSEVKLISSVEESSSRSTLTISTR >Solyc02g020980.3.1 pep chromosome:SL3.0:2:21307944:21350328:-1 gene:Solyc02g020980.3 transcript:Solyc02g020980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDDFPLKYKYCKHSKAGTSVECGASREISVDVTIGESKFVVLSDGLMREMPWRGAGVSIPMFSVRSEADLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVNCMWWDSYPYSSLSVFALHPLYLRVEAISANIPEDIKQEIREARVQLDKKDVDYEACMATKLSIAKKIFAREKETILNSKSFQEFFSENQEWLKPYAAFCFLRNFFETSERSQWGRFSEFSKEKLEKLLSKESLHYEVVSFYYYIQFHLHLQLSEAAEYARKKGVVLKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYGWWRARLTQMGKYFTAYRIDHILGFFRIWELPEHAMTGLCGKFRPSIPISQEELESEGLWDFNRLTHPYIGQDLLQEKFGASWTIIASTFLNEYQKGFYEFKDKCNTEKKIASALKSVLETSMFLESEVKLRRKLFDLLQNVALINDPEDPRKFYPRFNVEDTTSFMDLDQHSQNVLKRLYYDYYFHRQEGLWRDNAFKTLPVLLNSSDMLACGEDLGLIPSCVHPVMQELGLVGLRIQRMPSEPDLEFDIPSQYNYMTVCAPSCHDCSTLRAWWEEDEERRHRFFQAVMGSDELPPDQCTPEIVHFVLRQHVEAPSMWSIFPLQDLLALKEDYTTRPAVEETINDPTNPKHYWRYRVHVTMESLLNDKDLTKTIKDLVHGSGRFYPQKDLESGHTIGEGSAKLQLQSEHPSQTQKNGLSNK >Solyc03g095260.3.1 pep chromosome:SL3.0:3:57667436:57674227:-1 gene:Solyc03g095260.3 transcript:Solyc03g095260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRISASPRPCCGRRVVAKKRSRGGVDGFVNSVKKLQRREICSKRDRSFSMSDAQERFRNIRLQEEYDTHDPKGHCAMVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRETNERICFLNISPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRTTRIEYIRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKASGHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILSGKCLAKIKATSGKATDDCNASSSVPGKKSYNSNKRVQDSRIRSTVAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >Solyc03g034310.2.1.1 pep chromosome:SL3.0:3:6093566:6093808:1 gene:Solyc03g034310.2 transcript:Solyc03g034310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNRDDEWSFGFYEQGTGVFSCPAGKNPMYSYRECIVLGNTNHFIFKVNQILRELSREWPGHSYEVREASDTDKQFAKEHK >Solyc06g005220.2.1 pep chromosome:SL3.0:6:241204:243338:-1 gene:Solyc06g005220.2 transcript:Solyc06g005220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDAVELFKKVVREKICEPDTVMYATVMNGLCKRGYTEKTLSLLRLMEQGNNTKPNINNYSIIIDALCKDGNLDVAINLMNEMKQKDIPPDIVTYNSIIDGMCKLGEWEKCKTFFSEMVNLNIYPNVQTFTILTDGLCKEGKVEAAMEVMGYMIEKGVEPNVFTYNSIMDGYCLTGQLDEARRLFDFMINRCIEPNIISYNILINGYCKKKKLPEAMQLFCEISQKGPKPSTSTYNTILQGLLGVGRIGSAKSIYAEMLSAGCIPNLYTHGILLNGYFKYGLVEEAISLFNKLEKKGENTDIGFYNVVINGLCKNGKLDEAHALFEKLSSMGLLPNVKTYTVMITGFCLQGLLDEAKYMLMKMVGNNCYPNNFTYNVMVQGFLRCSRISEMTIFMKEMTGRGLLI >Solyc02g063185.1.1 pep chromosome:SL3.0:2:35790418:35792732:1 gene:Solyc02g063185.1 transcript:Solyc02g063185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDSRNKLGLVKDLNEVITRASNSPCGNVLLDQDLTAHVADFGIAKMLAEEGNIAQTKTLGTISYIAPALKTRAPNCVRSEKAAMGKSVKFEGISEELQKIIDANMDKVEAKAYR >Solyc12g038040.2.1.1 pep chromosome:SL3.0:12:49399682:49400531:-1 gene:Solyc12g038040.2 transcript:Solyc12g038040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREDFNTIQKGNLSITVYLQEIKEIFTKPASVGVHISPDEVFLRLVHGLPSEYYSIASALRARETTITFQELHDKLTDFEAHLTRRSSHIVAPITVNFAAKPPASTNRNSNRGANNSGSNKRNFSPSNQNGNGGYQGGHSGGQNN >Solyc01g068320.2.1.1 pep chromosome:SL3.0:1:77343254:77345074:-1 gene:Solyc01g068320.2 transcript:Solyc01g068320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQRTRGRYERKHLGQSPLFLDVAGFRFSDSSAVEDSLINEEGTVWDLVPQRAKSTMYPHQRGGFEFMWKNVAGDTNLERLRQPLSDSKGGCIISHPPGTGKTRLTIVFLQSYLKLFPKSRPVIVAPSSLLLNWEAEFQKWEVDNPFHNLNSKDFSLQEDEATVSVFRCLSHAGKRNPHLIRMVKLGSWVKGNSVLGISYDLFRILTADDGDGYAKPIREILLKYPGLLVLEEGHTARNEQSLVWKALKKVETDKRIVLSGTPFQNNIKELYNTLCVVSPKFAADLEQKWASLSSSIDKNARALEELRDIISPLVHKCSENVKKVSLPGIRDTVIHLKPTDLQKELLRRIPENPSSFYEQNLVSLISVHPSLVANRKEFSDLESQLKERGCRLNPDTGVKMKFVVELIRLCGGRKERVIIFSQLLDPLNLIKEQLNSLFDWTLGREILYMDGKLDVKQRQISINSVNDPKSDVKVLLASIKACSEGISLIGASRVVLLDVLWNPSVEQQAISRAYRNGQTKFVHVYCPVTSKWEVDKIEQQTRKKYHSDVLLSKNNEAKMDSVSEDNILESMVEHEGLRHIFEKLSHAPRVVPPTTCLNSCPPKPSS >Solyc03g111797.1.1 pep chromosome:SL3.0:3:63911726:63915461:-1 gene:Solyc03g111797.1 transcript:Solyc03g111797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHALVPAAATTRGMKPTQQAMTKRERPVFSLSDDHAMSKKILDTHNPDGREVDVNVILHIVEDVFQHSYPAAMDGVLNGAGAHHLEGNIEALKLEEKASLAFDGILEGLAYIIHKVSCELTCKCSSGGHDAHSTTMSVLGMLSGYQWDAKLVISLAAFAVTYGEFWLVAQMFATHPLAKSVAILKQLPDIMEHSASLRSRFDAINELIKAILEVTKIIMEFKKLPSQYITEDQPPLSVAISHIPTAVYWTIKSIVACASQLTTLLGMSYDMIVATTADTWEMSSSTHKLKNISEHLRVELNRCYAHIQEKMHVEYFQMLVHLFEATQFDNMKIMRAMIYIKDDLLPLEVGTTHTRASVEVLRRKTVLLLLSDLDASHEEILVLSQIYLESRSRPEFQYEIVWLPIVDRSKGWNDAQEQKFKELQALMPWYTLHHPSLLEPAIVKFVKEKWHFTKKMMLVTLDPQQGKVACPNAIHMAWIWGNLAYPFTLSKEESLWNMESWRLELVVDGIDPNLIDWMASGKFICLYGGEDMDWIRNFTKSARSVAQRAGIDLQMLYVGKSNNKERVRRINSMITAENLSYCLMDLTSVWYFWTRIESMFYSKMQLGKTIQEDKVMQEVLTMLSFDGSDQGWALISRGSFEMARAKSQIITKTLDDYTVWEEDARVKGFVPALIEYFLQLHTPEHCNRLILPGLDGDIPEMIVCAECGRPMERFFMYRCCTD >Solyc01g110500.3.1 pep chromosome:SL3.0:1:97104099:97105230:1 gene:Solyc01g110500.3 transcript:Solyc01g110500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPLLHEFKRQASFFLKEKIKTARLALTDVTHTQLLAEEATTGDLIAPNMQTMRLISRAAFEVDDYWRIVDILHKRLSKFDRRNWRPSYKAVMLLEYLLTHGPESIAEEFQSDEDVIRQMESFQYVDEKGFNWGSSVRNMSERVIELLEDRSFLKEERQRARKVTVGIKGFGSFCNRPVSGQESMNDERYLRSNSECQNKENQIMDSETMFICKQRMANVLIIHKNVSSVIEDPISDAMEWNQHPFCDIRDNHSRASLLSTSG >Solyc06g066530.1.1.1 pep chromosome:SL3.0:6:41899219:41899794:1 gene:Solyc06g066530.1 transcript:Solyc06g066530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGETITKSSYVRTKNVTKPPRLSNDNLQRTMSDISFEWNAICPVDSSNLPPISEVENAKCECCGMSDEFTHEYIDRVRKKYSGKWICGLCAEAVNEEAGKNGGKNEEALCSHMNSHSKFNKFGRVYPVLYQAEAMREMLKKSSRDGRGILRAKSISPRDKIVTKKGTTGIARSTSCIPAITKEINGLN >Solyc02g080410.3.1 pep chromosome:SL3.0:2:45191881:45196141:-1 gene:Solyc02g080410.3 transcript:Solyc02g080410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSTFHPSTWNSFFTSPLLFPYQFIPENYVHWRETPESHIYSADLPGVKKEEIKVEVEDSSYLIIRTEAANETTEPIRSFMRKFRLPGMVDMDGISASYRDGVLTVTVPRTLVRRGFFIEPDDLTESIVNLGASAA >Solyc10g047065.1.1 pep chromosome:SL3.0:10:39382273:39392554:1 gene:Solyc10g047065.1 transcript:Solyc10g047065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTGWRVCMDYRKLNSWTKKDHFPMPFMDQMLDRLAGKGWYCFLDGHAGYNQISIAPEDQEKTTFTCPYGTFAFRRMSFGLCNAPATFQRCMMSIFSVMVEDTIEMLDRLAEKGWYCFLDGYSGYNQISIAPEDQEKTTFTCPYGTFTFKRMPFGLCNAPATIQRCMMSIFSDM >Solyc04g055140.1.1.1 pep chromosome:SL3.0:4:53708962:53709369:1 gene:Solyc04g055140.1 transcript:Solyc04g055140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQDSINMMKQGTIIFELRSRRYVPLLRKNIGGSQLLGKVEIPWRRVFESTRMEIEEWAIFMTTSKNINEDVKPPAVKIGMKVKVNETINKINKLRRSSWDESCNCKGYCECNSSIFSLDDYDIFALGVALDSL >Solyc03g082367.1.1 pep chromosome:SL3.0:3:53747171:53751587:-1 gene:Solyc03g082367.1 transcript:Solyc03g082367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRFSRSIYVGNLPADIKELEVEDLFYKYGRILDIELKIPPRPPCYCFVEFESSRDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSSSDRRGSYGSGGGGGGGRHGISRHSDYRVIIRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSEGKRIQGQPFKKS >Solyc07g020780.1.1.1 pep chromosome:SL3.0:7:13473616:13473828:1 gene:Solyc07g020780.1 transcript:Solyc07g020780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLFCVRCLGVEFVFHGVFHTKEISQMTINNREEILGEEIKKNRRPKNLKVYPTTALDPTKKMMAILKP >Solyc03g083147.1.1 pep chromosome:SL3.0:3:54411122:54413186:1 gene:Solyc03g083147.1 transcript:Solyc03g083147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLSILVHVQEIIALVHSVPIKMKIVTVVSKSLKSRTLSEYLGLETMLAVVCKTHDGLKALLTYDKKGPMNKCSDLHGDGASIRRPLDDRYLVICLENLRFEHFRPKKPRYVKEETPPDFLGFCVIRTGHGLRETLFYGLFSQLQVYKTREDMMQALPFITDGAISLDGGIIKSGGIFSLGRETLKNQLSTTKEVQIKFPKSSGRLYLRESVEDLEREQTLLTNAKNNFEIRKEEFFKFLSQSSSYL >Solyc12g035300.1.1 pep chromosome:SL3.0:12:39728315:39732629:-1 gene:Solyc12g035300.1 transcript:Solyc12g035300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLSLSRGPIPYVTRFKGHIVNGYRFHLKKYDQYLKTQHSGVVVVVDTAKEQNHINYHGPFESKKGVIVVGVTRGSKGNQTSLAGYDKAQKMTEVYFDAQRGPCEYDRTTKVDMNPSRTLKYEMGQASKIPKHEFIHLGAIAKGQGQSLKSMSTIRINAKQRTPIIKNRGYYTGTSKLNKLEDNSFHLHLCFEEKEDNATLNQEAEINQYTFTSDAKGQGQRIRINAEKRTMIGENKLTTPTSSRNKPVKKSIHVPPDFYPM >Solyc02g079273.1.1 pep chromosome:SL3.0:2:44428502:44434326:1 gene:Solyc02g079273.1 transcript:Solyc02g079273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHDRLFYFPSDTLDYPLSTELNRFFTLSLPSLIEMNRLFPSIPLVAEEDSSFLRSTNLVGAVVDVVANKATFRDEVTEDSVLKAIDRGGKDAYNFGPNPATYWVLDPIDGTRGFVKGVEALYVVALALVVEGQIVLGVMGCPNWDEDYFVICVTGVQETGNNFSRSGMIMVSHVGCGTWKQRLSHKLTIELSQSWTRCSVDGCQVLKEARFCTPESQKWESFPLSSSFNAKTDSEKIGKGDILLVPACCGSLCKYMMVASGRASVFLLRATTRKVMKKISGYAPAWDHAVGIICVHEAGGKVLDQGRMDIDENLRIGWEAKFFHTTEHNSCGLL >Solyc03g098630.3.1 pep chromosome:SL3.0:3:62362130:62374985:-1 gene:Solyc03g098630.3 transcript:Solyc03g098630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVLGRSGNDIKKDPDIDDSTLSRKSLEVLKFSSSPTVVYIRCCKSQCSTDVTFFALEPSGRWRVLVLPQQYLYREICWKSPQSNVNSLQVGSLLPLTSLLFGRQKIQRVMNVDYTLESIMSSSSLRHEGSLSNLNEWNMLSITSSGQNPITKCEFPGTITHGSDPINVEDMYPDDSKKEKSRDKYSKKKPRKKGKRNRNLKCSNGLNELQSAVGCSITQDVIQNIQHRSISSSANICNSLMNDAMTVSSISLGSSSDERCSQLICKPPHPIARTEVSSNRDILKNAKLDGPGQIEELRERKVCSKQHAGNLYGTEKRDKYIRRVPNDSNVYASSTRNQNSHLRKENYQCIWKRVQKNDAGVSNCDSEKLNLGFSQLDDRLKKNTSKKKFPNPVDSIILSQSVHENQEKLKAPKNPRRHKYPGSLQENESQCGKGSPVNGDCSNACLKTNMQSDGISGSPSQVASAKRSINVADSQTRTSSFRTRYKERNVQYVPLKPNPNPISCPRDLEAKENVPIVASSMDDEMVKHQFLLPRSEKFNGLTEQQGELLAADGEGDKMDKEVSPSGQINHEHDTVPRATSKSWMHQELKDSELPNCLSVEALVESERWTENATQGQLASKCLAHVFSSVNVRVKNAGQNVENIKASPGDTQFGKLRNHSMCIRESGCNNAAIETFFNPEAKSKTFHSLENDWRNIAQAVSDAHRAQLASKSIEIGKGYPAAEFEKLLHSAAPIICPSASIQTCQTCFPSRATNAPLCRHEIPKVTLKNLWQWYVKHGSYGLEVKAEDHGNVRQCGMDGFEFSAYFVPYLSAIQLFKDHRTHSIHNDNRNLGSMEVDCKMNKISESSPKVELRSIFSVLVPQPRAEDSSSLLQKGGLSQSGSSSECSNGDSHHLPDKFELSDDMELLFEYFESEQPQRRRPLFETIQELVSGDGPPTNCRSYGDPSILHTMSLHDLHPHSWFSVAWYPIYRIPDGNLRAAFLTYHSLGHFIHREQSFKDSSVDACMVSPIVGLQSYNAQGECWFQPRHCGDDLTEEFLEERLRTLEQTASIMSRAVRKIGSDKLVNIHPDYEFFLSRRR >Solyc12g095980.2.1.1 pep chromosome:SL3.0:12:66054082:66054921:1 gene:Solyc12g095980.2 transcript:Solyc12g095980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVHPSAKPNGNAANITGAAAAAVNPKAAAKPPQFPPPAAKNQMYNPNRIPYRPTPTAYHRHNRRRCTCRRCFCFCCFWSLLFICTVLLLATIAGAGFYFLFRPKPPAFSVSSLKIIQFKLTTTSDDTTHLTVKLNLTLSTKNPNKKLIYSYDPISLTVNSNSVLLANGSFTGFNSGPNNITIIHSTLSMASQVLDADSISSLKSDLKRKNGLPMKILLDTMVLAKMDKLKSKEVGIRVTCDGIHGRIPTGKTPAVASTTNAKCKADLRMKILKWTF >Solyc01g079150.3.1 pep chromosome:SL3.0:1:78098620:78102435:-1 gene:Solyc01g079150.3 transcript:Solyc01g079150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPFRGIKNDLHGRLLCYKQDWTSGIKAGFRILAPTTYIFFASAIPVISFGEQLERNTDGILTAVQTLASTAICGITHSIIGGQPLLILGVAEPTVIMYTFMFDFAKQRPDLGPGLFLPWTGWVCVWTAILLFLLAILGACSIINRFTRLAGELFGMLIAMLFMQQAIKGLVDEFRVPKRDNPHLTEFMPSWRFANGMFALVLSFGLLLTALKSRKARSWRYGTGWLRSLIADYGVPLMVVVWTAVSYIPSESVPERIPRRLLSPNPWSPGAYENWTVIKAYDMLNVPVIYILGAFVPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSFHYDLLLLGFLTLMCGLVGIPPSNGVIPQSPMHTKSLATLKHQLLRNRLVDTARKSMQKNSSLGQLYGNMQEAYQQMQTPLIYQESSARGLKELKESTIQLASSMGHINAPVDETIFDVEKEIDDLLPVEVKEQRVSNLLQATMVGGCVAAMPVLRMIPTSVLWGYFAYMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKSIVAFTIFQTLYLLACFGITWVPIAGLLFPLLIMLLVPVRQYILPRFFKGAHLQDLDAADYEESPAVPFNLPMEGEFGSRPSHAENGEILDEMITRSRGEVKRINSPKITSSTATPIRDTKLLQSPRISEKAYSPQINKLRGQQSPLSGGRGTFSPRTGEPKPSNLGTSPRTSTPND >Solyc04g074580.1.1.1 pep chromosome:SL3.0:4:60630405:60630815:-1 gene:Solyc04g074580.1 transcript:Solyc04g074580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:Q6LB28] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc03g096003.1.1 pep chromosome:SL3.0:3:59253540:59255010:-1 gene:Solyc03g096003.1 transcript:Solyc03g096003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTAVQHDVCSSEDEDVCPTCLEEYTPENPKIFTKCSHHYHLSCIYEWQERSETCPTALRYLYSARLDSSQQFCGHFDRTRVQGNQGKVLNKVSEVKDSPKIGDASIGNGSLKRKLL >Solyc07g041070.3.1 pep chromosome:SL3.0:7:51872074:51874293:1 gene:Solyc07g041070.3 transcript:Solyc07g041070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDGDSPPIWSQATTTLLRQRRRQPPSPPIINPVILILLIPILALLVLFFLVPPILTHTTHILRPNSVKKGWDSFNILLVVFAILCGIFARKNDDNSAAERNRNVSTTESSSNFNDHHMPPTVSNDRWFETSHDKTYNFGVPETSVNRLRRSSSSYPDLRQVPQWETGQNHSRFSDDFGVNLYRSTASEYDTHRQRRTERQREEQRREKQREEPDVKVIPVDTFESRSSPPEPLLPEEPPPITSSKASQANLKRRRSFQSVPRKDKAEMQRNEAEVDHNEKQEPPPPSPPIPPSLPTELSPPVEKPQKLQRRKSGTKELATAIASLYNQSKRNRRRTKKRDTFVSVSDSPPSADQVLPPATPPPPPPPPPPPPSKVFQNLFKKNRKSSKSKRFQQTSTSTPPPPPPPPPPSSILNNLFKHGTKSRRFKSSISTQTPPPPPPPPPQAHFSTSRRRKSSTQSEPPMQPSRSHSSNWSKPPLPTKPVASYYEDNLNSGSQSPLIPMPPPPPMPPFKMREMNFVPSGDFVRIRTAHSSRCSSPELEDVDVDVDEMPVRSSSETMDCEDSTGPSVSCPSPDVNMKADSFIARLRDEWRLEKMNSMREKSALG >Solyc11g007960.2.1 pep chromosome:SL3.0:11:2179978:2186020:1 gene:Solyc11g007960.2 transcript:Solyc11g007960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDGQNWYLGLSYDQWVPLSVAGPTPAARYKHAAVTVDGKLYIIGGSRNGRYLSDIQVFDLKSLTWSTIKLKSGVLPATSGHNMILWENKLLFLAGHSKDVSDTVTVRFIDLQSYECGVIETFGKLPVARGGQSVTLVGSKLIMFGGEDQHRRLLNDISVLDLETMTWSTVETMQTSPAPRFDHTAALHADRYLLILGGCSHSIFFNDLHVLDLETMEWSQPQLQGDLVSPRAGHAGVTIGDNWYIVGGGDNKSGVPEILMLNMSKLVVSVLATVKGRDPLASEGLSVSSASLDGENFLVAFGGYNGKYNNEVYVMRPKPRDVLHPKILQSPAAEAAAASVRAAYALIKPEKYLSEREDSSFKEVHVDNTQQSLLAEISALGEQKKALESSLADIRAENADLRNKIEDVNTTHADLSKELQSVQGQLISERSRCAKLEAQIAELQKTLESMQSIEEEVQALRKEKSKLDRDMDASSVQKQGSGGAWKWITG >Solyc09g008260.3.1 pep chromosome:SL3.0:9:1728238:1737612:1 gene:Solyc09g008260.3 transcript:Solyc09g008260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFHFPTSHKLFLPSQTLSPSICIRHNHHFFLICRSKSKIYASIKKSRASRKVKSNDDLCNDIREFLSSVGLPEDHVPTMKELSQNGRQDLANIVRRRGYKFVKELLLTSKQPAYECIGEDGLVEKSEDGSLDGKIADMAHDVSLPSEASAVDSYTNDASNDLILNSDEQNSGDQEFLGYSFLEEKVVNFIQNGELDSIEGSGFEIFQREGSIEGQNGAKILSGSPIAQSIQHDEHPSMQSSLMRNDSLSAEYLTMTEGISSADTEPHNMEIQAEINHLKFVLHQKELELTQLKQQIEEEKRLLSVLQIKAETEIREAERLISEKDAELNAAEDSLSGLKEVEIQYRAEGENVEVAGSFNGWHHKIKMDPQESPDPIGEDIQSSSDIVDPIGDDIQASSVIVNPIGMREPRLWKTVLWLYPGIYEIKFVVDDRWTTDPQRESVTRGSIHNNVLRVDR >Solyc09g009100.3.1 pep chromosome:SL3.0:9:2443668:2448447:-1 gene:Solyc09g009100.3 transcript:Solyc09g009100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat stress transcription factor A3 [Source:UniProtKB/TrEMBL;Acc:D1M7W9] MNPFDKKQESDTDTKTPFLTEMDSEFAAFSPISLPFADPSSPFINFGSFATPLSQHPVGEGEGEGEGGEIEQPAEGGLGVPQPMECLHGIQIPPFLSKTFDLVEDPLLDTIISWGRNGESFVVWDPVEFSRLVLPRNFKHSNFSSFVRQLNTYGFRKIDADRWEFANEGFSRGKRHLLKNIQRRRSHQGGSSSGSSAEAGKGTMDEIEKLRNEKSLMMQEVVELQQQQRGTVQQMESVNEKLQAAEQRQKQMVSFLAKVLQNPTFLARVRQMKEQGEITSPRTMRKFVKHQSHGPDGVGSSSMEGQIVKFRSDFQDLAGCFDSPDFNTVVDQQLPEIGLGAEAMPFEGVPVADELTVAHELLNCSDREIRGASFFNSEGSQFKGKNVASPQIEVMPEYFASFPEEMGKEKNVSGFSTPAIGSMVKDEELWGMGFEASAGMPSTGAELWDSRSSYVPDFGVSSGLSDLWDIDPLQVAGSSGVDKWPADGSPFGQSGSHANQPKNDSF >Solyc09g056290.2.1 pep chromosome:SL3.0:9:48832971:48833986:-1 gene:Solyc09g056290.2 transcript:Solyc09g056290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLPHLQSILSIKKDGTFNQEHLLQYLRFKRSKSLASSIVTSFLGLNTFLVSEEDFLTGQPLGYYGSWSLFSLSHQYIVSLETLKAYPLRSTLFVYYALLCDYILITNMKVVNQYSRLLDRLSVTISFSKSIVS >Solyc08g082280.3.1 pep chromosome:SL3.0:8:65234260:65258817:-1 gene:Solyc08g082280.3 transcript:Solyc08g082280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSITTRAKLLYQQQRVTPSFSYFHKDDDDRKSCPEPTFENLRITSFSQSRYMGSVSGVNSFIGSRSPYQDRRFCASGLMIPMSYGSSLTRNMSTDIGGEADKINYMTDVAEVLADKAVEAVVSQAPALNEVANAAADCYLPVKALMYLMDYVHIFTGFEWWGSIVVTSIMIRLVILPLMINQLKATSKLTLSSVLLRYFSFCPTVSQCNHDVIGTRYGVTPFTPLKGLLIQGPIFISFFMAIRNMVDAVPSLKTGGPLWFTDLTTPDDMYILPVLTALTFWITVELNAQEGLEGNPAGKTIKNVSRAFAVLTVPLTASFAKSLSMQTAYQKQRTPFLHVCTHSFKRDVLLILLEHVIKEIVSVIGHMCTLLLVSGWIFLRRKKKEEGSRMAPEKFIVEVEPAKPAKDGRPSMGPVYRSLFAKDGFPPPIPGLDSCWDIFRLSVEKYPNNRMLGHREIVDGKPGKYVWMSYKEVYDIVIKVGNSIRSCGVNKGDKCGIYGANCAEWIISMEACNAHGLYCVPLYDTLGAGAVEFIISHAEVTIAFVEEKKLPELLKTFPDASKYLKTIVSFGKVTPQQKEEVEKFGVVLYSWDEFLQLGSEKQFDLPVKKKEDICTIMYTSGTTGDPKGVLISNTSIVTLIAGVKRLLGSVNESLTVDDVYLSYLPLAHIFDRVIEECFINHGASIGFWRGDVKLLTEDIGELKPTIFCAVPRVLDRIYSGLQHKITSGGMLKSTLFNLAYAYKHRNLKKGQSHVEASPLSDKVVFSKVKEGLGGRVRLILSGAAPLASHVEAFLRVVGCCHVLQGYGLTETCAGTFVSLPNHYDMLGTVGPPVPNVDVCLESVPEMSYDALSSTPRGEVCVRGDTLFSGYFKREDLTKEVMIDGWFHTGDVGEWQPNGSLKIIDRKKNIFKLSQGEYVAVENLENIYGDNPVIDSIWIYGNSFESFLVAVINPNERAIEHWAEHNGISGDFASLCENAKVKEYIIGELAKTGKEKKLKGFEFIKAVHLDPLPFDMERDLLTPTFKKKRPQMLKFYKDVIDNMYKSTK >Solyc08g062935.1.1 pep chromosome:SL3.0:8:52418999:52420301:1 gene:Solyc08g062935.1 transcript:Solyc08g062935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNRADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRIHQPSEHDYHCLKRILRYIFGTLGRGLLIRTGDLELRGFLDSDWANDKNDRKSTSGFLVFLRPNLISWCTKKQPKVSRSSTEAEYRALALLAAETISRPNFQSKIGPRDLTRDSKPESKLRFDPDIRLKTQVLNWNPTSTLIPIDPDSRLYTRLSNRDSILILIRDLTSELGPNPDT >Solyc07g005000.3.1 pep chromosome:SL3.0:7:16549:35133:1 gene:Solyc07g005000.3 transcript:Solyc07g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIFPKVKSEGGSSESGGSESGADERGGDNDAFEYFGWVYHLGVNTIGHEYCHLRFLYIRGKYMEMYKRDPHENPGTKPIRRGVINHTLMVEEIGRRRVNHGDLYVLRFYNRLDETKRGEIACASPGEVRKWMEAFDRAKQQADYDLSRGQSARDKLKLESEINLAGHRPRVRRYAHGLKQLIRIGQGPEKLLRKSSKLGAKSESDVYFEADGTDVVEAHEWKCVRTLDGVRIFEDMANKKTGKGVLVKAVGVVEASADTVFDVLLSLDRHRRYEWDMLTGDVELIESLDGHCDVVYGTLDLRKLSWWQSKRDFVFSRRWFHGQDGTYTILHFPTEYKKRPPKSGYRRIKMIACSWEISNIGTSSSLKPARCLVTLILEIRSKAWFKWKNNEFCKFERTLPFGMLSQVAGLKEYIGANPALTFESSTVVVQSKTSGVSISSNELEDAMGSDEFYDAIGTDSSSSDEDSGDEVSSKQDKKVKLKNVSWAIASLALKKTSAPDTSKELNPNVPSLTLDPSQFHGTMRHAKGEGDSDCWSSPSGSGFMIRGKTYLKDSTKVTGGDPLLKLIAVDWFKVDECITNIALHPKCIVQSEAGKKIPFVLIINLQVPAKPNYSLVLYYAADRPVNKDSLLGKFIDGTDSFRDSRFKLIPSIREGYWMVKRAVGTKACLLGKAVTCKYLRQDNFLEIDVDIGSSSVARGVIGLVLGYVTSLVVDLAILVEAKEEAELPEYILGTVRLNRVKVDSAVPLEG >Solyc02g077015.1.1 pep chromosome:SL3.0:2:42671917:42672649:1 gene:Solyc02g077015.1 transcript:Solyc02g077015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKG >Solyc02g036440.3.1 pep chromosome:SL3.0:2:31243960:31249491:-1 gene:Solyc02g036440.3 transcript:Solyc02g036440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ALA-interacting subunit [Source:UniProtKB/TrEMBL;Acc:K4B5T7] MGEGASSSSKKSRKPDYSRFTQQELPACKPILTPQWVVTVFIFLGIVFIPIGLASLSASEKVVEIVHRYDEQCVPTNYTQSDLAYHDRIAFIKNNKSNKTCIVTLTVPKKMKHPIYVYYQLDNFYQNHRRYVKSRNDEQLRNPNFKGDLKKTCAPEDMNGNEPVIPCGLIAWSLFNDTYGFSIKNKGLPINRKNISWPSDKKHKFGSKVFPKNFQKGSLIGGGSLNESIPMSEQEDLQVWLRTAALPVFRKLYGKIEHDLEANEIITVVIQNNYNTYTSEGKKSLVLSTTTWIGGKNKFLGIAYLTVGGICLFVAIIFILMYVIKPRPFGDPAYLSWNLNPSGN >Solyc04g082400.3.1 pep chromosome:SL3.0:4:66149243:66161397:-1 gene:Solyc04g082400.3 transcript:Solyc04g082400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPIISMNSNSVYGYESASRTKICFPSQHSTGLKFGSEGRSWDISSTPKSRVRKDERMKHSSAISAVLTNDNSTMASREEDVKTENIGLLNLDPALEPYLDHFRYRMKRYVDQKMLIQKYEGGLEEFAQGYLKFGFNREDGCIVYREWAPAAQEAEVIGDFNGWNGSNHMMEKDQFGVWSIRIPDVDSKPAIPHNSRVKFRFKHGEGAWVDRIPAWIKYATVDATKFAAPYDGVYWDPPPSERYNFKYPRPSKPRAPRIYEAHVGMSSSEPRVNSYREFADDVLPRIKANNYNTVQLMAIMEHSYYGSFGYHVTNFFAVSSRSGNPEDLKYLIDKAHSLGLQVLVDVVHSHASNNVTDGLNGFDIGQGSQESYFHAGERGYHKLWDSRLFNYANWEVLRFLLSNLRWWLEEYNFDGFRFDGITSMLYVHHGINMGFTGNYNEYFSEATDVDAVVYLMLANNLIHKIFPDATVIAEDVSGMPGLGRHVSEGGIGFDYRLAMAIPDKWIDYVKNKNDEDWSMKEVTSSLTNRRYTEKCIAYAESHDQSIVGDKTIAFLLMDKEMYSGMSCLTDASPVVDRGIALHKMIHFFTMALGGEGYLNFMGNEFGHPEWIDFPREGNNWSYDKCRRQWNLADSQHLRYKFMNAFDRAMNSLDEKFSFLASGKQIVSSIDDDNKVVVFERGDLVFVFNFHPNNTYEGYKVGCDLPGKYRVALDSDAWEFGGHGRVGHDVDHFTSPEGIPGVPETNFNGRPNSFKLLSPAHTCVAYYRVDECMSETEVYQTDISNELLPTANIEESDEKLKDSLSTNISNIGQTVVASVEERDKELKDSPICKHH >Solyc02g069850.3.1 pep chromosome:SL3.0:2:40241060:40245169:1 gene:Solyc02g069850.3 transcript:Solyc02g069850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDKGTYDKLITEAPKYKLITPSVLSDRLRISGSLARKAIRELMAKGLIRMVSAHASQQIYTRATNT >Solyc08g076253.1.1.1 pep chromosome:SL3.0:8:60376011:60376418:1 gene:Solyc08g076253.1 transcript:Solyc08g076253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQVLKVHDLSFAARPKLVTSDIIFYRQKDIFFAKYGDYWKQMRKICISELLGAKMVKSFSLIRQDEVHDLVASIRSTPNVVVNMSEKVLRLTSSAICRSAFGKVWDDRDYLLMIMREVLALLGGFDVSFLDIT >Solyc04g047770.3.1 pep chromosome:SL3.0:4:37591360:37596345:1 gene:Solyc04g047770.3 transcript:Solyc04g047770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHSSIVKEVSEFILKRLQHKSPIVKQKALRVIKYAVGKSCPEFTREMQRNSVAIRQLIHYKGQPDPLKADALNKAVRETAQEALSALFSSQDTKPPTENLNLGGRIQGFGNTSYEIHDDKRSFLSDVVGATIKQGLNTLTQSPPLKKNDTGTYTSPNLQSSLTTQPDTSHNHTSRFSTNPASATWTHTETTTKPHSAEKTREDRLLETIATSGGVRLQPTRDALQVFLLEASKLDALALTHALESKLQSPSWQVRVKAICVLEAILRKKDDAHFGTMASYFTENRDVVVKCYESPQASLREKANKVLSLLNDGQTADAVAHVDRSANACNPVVQMPDLIDTDNSDYLFGTDNLTNMQSSEGIKIASTSATPLMDDLFGDKSGSSFSSSQKKNDDDPFADVSFHISNEKAPEADLFSGMTVDKSDATEIHSVNNRNGPELFDIFGSSVEVPREPNNSRKDVPDLMNSLSLNGNESSMEQNGSSGATPYQNIFQEPTIDPCHHASNDVMNSILSSQAGGVNANPMFPLGSMQYNLPPGFVLNPSFAPQALNYNAMGNIFAQQQLLATLSSYQQLGSMHSSTSASHAADSAEVYGSALPDIFNASISKQTPTSLMNTSKKEGTKAFDFISDHLAAARDPKRVI >Solyc12g036485.1.1 pep chromosome:SL3.0:12:46968516:46983179:1 gene:Solyc12g036485.1 transcript:Solyc12g036485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSSHIKDNYDQHFGISQTWNPYGYNGNRMPQSPYVYNQMDMDILHNMFMEVKDKWVKEQSSHLKKDNYPAPEIAAHSAGNINSFHVSSEHDRWIVDTGATNHMTPNLGMLHGAYEQQGQNVHLPNGSKGSDIVLVLVYVDDLLITGSSSTLILDTKNLLNQHFKIKDLGEMKYFLGLEIARSSTGISVCQRQFCLDLISDLGLTGSKPASTPLEANHKLTSVLYDESVASSSGKPLNDEFLKDPTSYQKLIGKLLYLTMTRPDISYAIQNLSQFMHSPKKSHMEAALRVVRYLKNAPGLGIILSSEVSHALNVYCDADWATCPMTRKSVSGFVVKLGDSLISWKSKKKNTISRSSAEAEYRSMASATAEVPT >Solyc02g067150.3.1 pep chromosome:SL3.0:2:37919962:37921657:1 gene:Solyc02g067150.3 transcript:Solyc02g067150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPKGHHHSDDVPEFDEYDETPYGGGYDIKVTYGNPIPPSEETCYPLSSESDDFDHDRPHYASSAEPSAYSDEALDNEYQNYSKPKTRPSRSRHEDGDEDENPRRKPGMNRPSGGGYGGESEYNEQSSEYNEPSSDYGSGYGRKNDDDEYGSRQRRKSEDEEENADYGSGYGRRQTDSQYGSGYGRNNEYEENSGYGRKTDTDEYGSGYGRNNEYEENSGYGRKTDTDEYGSGYRKKNSEYEEERQEYGSSGYGKTTNYGEEEDSGYGGKSTSYGRSNYETTEGEGYGTSFERPSYGRSEEEDYRKPSYGMRDDDDEGYGRKKYGDDESDEDEDKKQRHRSRQRHQNYDD >Solyc02g085025.1.1 pep chromosome:SL3.0:2:48691680:48698549:1 gene:Solyc02g085025.1 transcript:Solyc02g085025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILILLDSGRKHEGKLDYKPKKEAESLCFIYIPARAEEYEYLNFEISPSQLFLLSHGLQDMTFPELHDRSSVFWASGVMILFEFLSFFWELPVAALWSTLDRISTSSSTAAKASPIFFAFVAHSLTILNNLGVHSWPFSICSISMIPAPLGLVKCSFEEVDPIASALLVGSFPCSKISCPLQTELHPSLGSRLSRASFAATFLQVSRWSNLSVAAVDCLSSCDSILCSKPDSILTSSGDPQDGLSEFPFFAAMAGGGLDGGGNPDGLVLKLISETVKNGLSGFGHRHKQSFIDMLKLEKLRPAQAQLWPNGQRNWAEKLDDAFQVVDRATKT >Solyc01g105350.2.1 pep chromosome:SL3.0:1:93442897:93446106:1 gene:Solyc01g105350.2 transcript:Solyc01g105350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHYPQTQIQETKKKMGCHHEGKKSPKPHAVCIPFPSQGHINPMLKLSVLLHSKGFHITFVNSEYNHKRLLKSKTPFFVDNNFQDFIFETIPDGLPLIDADVTQHIPSLCLSTKENCLTPFRELLININNNNSSPVTCIIFDGIMTFALLAAEEIGVPSVSFRTTNACSFMCNKHLPLLVEKRILPLKDESDMTNGYLDTVIDFIPSMKNLRLREFASQIRTTNINDKMLNFIMGETERASKASAIIFHTFDSLEFNVLSDLSLICPPLYTIGPLQLLTNQLQEKTLKLLRANLWKEDEDCVNWLNSKEEKSVVYVNFGSITVLTKEQLVEFAWGLANSKKNFFWVIRSDAVIGDDSIILPSEFVAETKERGLISRWCCQEQVLQHSSIGAFLTHCGWNSIMESIGSGVPMICWPFFADQHINCRYACDEWGVGMEIDKNVKRDEVEKIIREMMDGDKGKEVKKKASEWKKLAEEATGIKGSSSLKLDKLVKDVLLSNYSVNQ >Solyc10g044740.2.1 pep chromosome:SL3.0:10:27609066:27619486:1 gene:Solyc10g044740.2 transcript:Solyc10g044740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPASFTATFTILCIIFTLVDYDVVSCLHFSDTSHRTAMVLPLFPPKDTSVRSSPSQLSGRLLQKSPVNAQMALHDDLLINGYYTTRLWIGTPPQRFALIVDTGSTVTYVPCSTCEQCGKHQDPRFQPEMSSTYQPVKCNIDCTCDNEREQCIYERQYAEMSSSSGVLGQDIVSFGNQSELAPQRAVFGCENRETGDLYSQHADGIMGLGRGDLSIVDQLVEKHVISDSFSLCYGGMDFGGGAMVLGGIKPPADMVFTNSDPGRSPYYNIELKEIHVAGKALSLNPRVFDGNHGTVLDSGTTYAYLPEAAFEAFKSAVMKAALSLKLIEGPDPNYKDICFSGAGSDISQLSKSFPPVDMVFSNGKKLSLSPENYLFRHSKVRGAYCLGIFQNGKDATTLLGGIIVRNTLVTYDRQHEMVGFWKTNCSDLWNRLNLSPPPPSPSGLVNTNSTGSMYPTLVPTGSPGYNASGEIKVGFITFYMSLSVNHLDLNPHMTELTHLIAQELDINFSQVHLMNLSTKGNDSLIKWAIYPAGSANYMTNAAAVEIIHRLAENRVRLPDTFGSYKLFEWGIEPPPKRSGWWRSYLIVVVALSVVLIVGLSAFVGWLIWRRGKESAVPYERVESVESVVREQELQPLK >Solyc08g014485.1.1 pep chromosome:SL3.0:8:4596626:4604754:-1 gene:Solyc08g014485.1 transcript:Solyc08g014485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTSQMVLPSSNGYKAWEDPYFFKWRKRDSHVPLHCHESVEGSLRYWNERNKVDLLVSKSAVWDDDAVSKALDCAAYWVKDLPFVKSLSGIWKFWLSPGPTNVPLNFYDSSFQDSSWETIPVSLSNFCVLLINAVPSNWQMHGHDRPIYTNTIYPFAFNPPKVPDDNPTGCYRTYFFLPEEWEGRRIFLHFEAVDSAFYAWVNGVPVGYRVEIEDGEENIMLEMVMVILSGGEEKEEELKVI >Solyc11g071490.2.1 pep chromosome:SL3.0:11:55240349:55243201:1 gene:Solyc11g071490.2 transcript:Solyc11g071490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTLRNSKGKLIIIANNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYYRVCCLSIIDPGDSDIIKSMPGDQ >Solyc05g042124.1.1 pep chromosome:SL3.0:5:55852164:55857655:-1 gene:Solyc05g042124.1 transcript:Solyc05g042124.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIASILDLRNKFVYVSFPLEELLGEETGNVVNTKVEAYLRDLFAIYVSVKSELDKYLLEAQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSTGDRILDPFRSSLTPKCVQCLICVQHWLRQETKPICVEEIIWSTSLNRGQLVNKTLFIIGYAIHIQLKGKSTQ >Solyc01g103310.3.1 pep chromosome:SL3.0:1:91797599:91821405:1 gene:Solyc01g103310.3 transcript:Solyc01g103310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCTQQKTKTQSKVIGSLEQNKLSSIWYSTAPKSQFAALIPENIKLVYLRQSLVMELIKQPESIKTKIIGSFVLVKLDPRRNSHQLVQITVFSGTKLGSSDTCNSESSIQVSNVATDVSLTMLSDKDILEEHCDVLRKNVKAGLQKKLTIVELQQRAKILHEDITKHRIARELKVLQGKIDRANEKGWRQELTEHLNRRYLLQQDSYLSSVLENIPRVTPEEIEPVSLDRNDNQIVTPIKKNITGVTPEKIDSEPLAENDDGKLSSDNGDFSGEGPPKKGDEKKTYTQQKTKMQRRDTSQKKEFVGWGSKSLIDFLQFIGHDTREKLSPYDVTSMVIKYVNENDLIHPIEKRKILCDIQLEALLGRKVVNKGIVLSLLISHFVENEERLQKNELAHDLEDNDTEMFVASKTEKKVEQNKRSSIWYSTAAQSQFAALIPENIKLVYLKRSLVLEMIKKPESFETKIIGSFVRVKLDSRDFELRNSHQLVQITGIQPVSSDNCCCKSSIQVSNIAKNVCLNTLSDDEFSNEECDEFRKKVKDGIFKKLTVVELEQRAKILHEDITNHRIARELELALQNIPKVIPEEVESLDRDDNQMHLCSDEATLQKKIILE >Solyc11g030945.1.1 pep chromosome:SL3.0:11:23945803:23946126:-1 gene:Solyc11g030945.1 transcript:Solyc11g030945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNLTYEEHVKEDDNHIKISEVSLPDVIFEPDMICMEVDMMKDLYGNILLSGVSTKFPGIVDRMSIEITALAPSSMKIKVFAPPHILSHFS >Solyc02g050187.1.1 pep chromosome:SL3.0:2:4147220:4152263:1 gene:Solyc02g050187.1 transcript:Solyc02g050187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSSQETTASDKREVEDEDSWSLFYSDSTDMAVQGHWRHYKPAASPGPRGANRGAPALKQRDPMGTTSLVDDKKTVGEESFSRIAPACAIVADIITVHNLFDVLASSSGHRLHFLIYDKYLRSLEKNSNKGKGKIIKAIDFDFPVHRPVTVTNSTRPLTSANDFSNWNQMIQLTMDYESAR >Solyc05g046133.1.1 pep chromosome:SL3.0:5:59123143:59126211:1 gene:Solyc05g046133.1 transcript:Solyc05g046133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVGGNCNVEESVRGSNMVQSELNTSNPSGPLTHRTYNKDRDRENFAKMVVVCGLPFSFGEHPGFIAYIRETYNPSFQGLSISMVKRDIFEFQEKHCQYLRAYFELMDCRVAITTDMGRSPNGFDYLTVTAHWIDYNWNLQKRIIGYKICQKKKTEIYIATTVLEILDFFGLCDKVVSITLDNASANLNAINLLEPRLCPISKYAFHVRCAAHILNLVVSDGVKLFENSCDKIDNACFYIFHMNSSSRINQFKELCNAFKLPFRKVPKHVKTRWNSFYDMLEVAYAYRQPITTLFNNHNAYPEFKINDSDWDENLDIQPEEEPDLVTYQNSIKYFAKEMYDKYSFLDNVENPQTSTNQVGAHGRVKHKLGLDSSNKCEFVKYLEQGTYDITNDNGIPELLNWWRNRGAQYPKLSRMVKDVLAIQGSSDLIEPILPNSPS >Solyc03g117980.3.1 pep chromosome:SL3.0:3:68456981:68462916:1 gene:Solyc03g117980.3 transcript:Solyc03g117980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSENHHPHHHHHHSDTEVIGNDRASYSGPLSGPLNKRGGKKSARFNIPESTDIGTSAGAGAKSNDDAYVEITLDVREDSVAVHSVKTAGGADVEDPELALLAKGLEKKSTLGASLVRNASSRIRQVSQELKRLASLNKRPIPTGRFDRNKSAAAHALKGLKFISKTDGGAGWAAVEKRFDEITASTTGLLPRAKFGECIGMNKESKEFAGELYDALARRRNITTDSINKAQLKEFWDQVADQSFDTRLQTFFDMVDKDADGRITEEEVREIIGLSASANRLSTIQKQSDEYAAMIMEELDPNNLGYIMIENLEMLLLQAPNQTVQRGGESRNLSQMLSQKLKHTQEPNPLVRWYKSFKYFLLDNWQRVWVLLLWIGIMAGLFTWKYIQYKQKAAYGVMGPCVCLAKGAAETIKLNMAIILLPVCRNTITWLRNKTRLGSAVPFDDNLNFHKVIAVAVALGVAIHGLAHLTCDFPRLLNASEEAYEPMIYYFGEQPESYWWFVKGVEGVTGIIMVILMAIAFTLATPWFRRGRVSFPKPFHKLTGFNAFWYSHHLFIIVYTLLIVHGEKLYITKTWYKRTTWMYLTVPLALYAGERLLRAFRSSIKAVKILKVAVYPGNVLALHMSKPQGYKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPPNGKSGLLRADYLQGENNPNFPRVLIDGPYGAPAQDYKQYEVVLLVGLGIGATPMISIVKDIVNNMKAMDEEENSLENGHGMSNAAQNASPNMAQKRGKSGSASGRNSFNTRRAYFYWVTREQGSFDWFKGIMNEAAEMDHKGVIEMHNYCTSVYEEGDARSALITMLQSLHHAKNGVDIVSGTRVKSHFAKPNWRNVYKRIALNHPEAKVGVFYCGAPALTKELKQHALDFSHKTSTKFDFHKENF >Solyc09g013110.3.1 pep chromosome:SL3.0:9:5512945:5517824:1 gene:Solyc09g013110.3 transcript:Solyc09g013110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4CRN7] MDSSDKLFNRQRSLHQILGGSFVADVVLWRRKDVTVGILVVTLFAWVVFERSGYTLLSLVSSVFFLLFAILFLWSKSAAILNRPAPPLPHLYLSEEMVNEAAYFIRNHINMILSVSEDIALGKDTNMYVKVSAGLMLVSVVGGLTDFLTLGYTSLVIVLTVPALYEKYEDQVDAYVLMAYRKLWLLYRKFDAVCVNKVSRLSLEKKKLS >Solyc02g085310.3.1 pep chromosome:SL3.0:2:48880289:48881487:-1 gene:Solyc02g085310.3 transcript:Solyc02g085310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFTNSSNSILPTYHDIIRANNCSSGVVVSTAQMLLEQHYIPDSIRRLANKSVDNLSIYSLHSSLLFRRMSNVDSLSRLLGSRVVLPKVLSIRNLGSDCVEESLDSSSQGILAQMARVPDEFEFLALLDGFPCHNQKRREQYP >Solyc11g012670.1.1.1 pep chromosome:SL3.0:11:5440926:5442995:-1 gene:Solyc11g012670.1 transcript:Solyc11g012670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPSSTLSPLYESNIFCNFNSPQKFTFPLKLHSKSCIRTTQVSLQEQSQVSNPNTSQYPDRKAVSSSKSKLWVNPKSPRASELKRKSYDFRYASLMKVAESLDSCKPVEEDVSNVLAELGDKIVEQDAVVTLNNMTNAETALLAMKYFQQRLKLSKEVIVYNVTLKVLRKNKDLDRAEKVFDEMLERGVKPDNVTFSTIISCARQCNLPEKAIEWFEKLPSFGCEPDDVTYSVMIDAYGKAGNVDMALSLYDRARTEKWRIDAVTFATLIRIYGAAGNFDGCLNVYEEMKALGVKPNMTVYNSLLDAMGRARRPWQAKNIYGEMLTNGFQPSWGTYASLIRAYGRARYGEDALKIYKEMKEKGLELSVVLYNTLLAMCADVGLTDEAVNIFEEMKSSASETCQPDSWTYSSLITIFSCSGKVSEAEFTLNEMIEAGFEPNIFVLTSLIQCYGKAGRTDDVVRTFDRLSDLGLSADERFTGCLLNVLTQTAKEDLHKLTICLEKANPKLGYVVKLLVDDEVEEEEGAFKKHAAELLDCATTDVRKAYCNCLIDICVNLNQLERACELLDVGLTLNIYTDIMSRTATQWSLHLKSLSLGAALTALHIWVNDLNKALESGEEFPSLLGINTGHGKHKYSEKGLAGVFESHLKELNAPFHEAPDKAGWFLTTKVAATSWLESRCAQEVVAA >Solyc04g055030.2.1 pep chromosome:SL3.0:4:53486583:53488417:-1 gene:Solyc04g055030.2 transcript:Solyc04g055030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4BSQ1] MSRNLIKEGITKVVGGWRKEFPILEGHPIGQQHGEEVVKSIENLKRGDDKCKEFRIYRWSPHNPNQKPYFQSFFLHLPSCGPMVLDALQKIKGEVDSSLTYRRSCREGICGSCAMNINGVNTVACLKPIDTDTSRPMTITPLPHLFVIKDLVVDLSDFYHQYKSIEPWLKTRNPPPEGKEYRQTPEERKKLDGLYECILCACCTTSCPSYWWNPEEFLGPAALINTYRWISDSRDDFANERLQAITEDERRLYRCRAIGNCTACCPKSLRPSEAISKMKTKHLTGIPVETLSNNRKTSH >Solyc03g119540.3.1 pep chromosome:SL3.0:3:69603307:69604885:-1 gene:Solyc03g119540.3 transcript:Solyc03g119540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSESKTANAIGGKTARACDSCLSKRARWFCPADDAFLCQSCDVSIHSANQLASRHERVRLETCSNKSTITKLVDKTHQPSWHQGFTRKARTPRNGKKAQIRQWKKNEENRVPEIGSEENSLDENEFENEEQLLYRVPIFDPFEAELCNVPDETGSIVDLDILLNTEDACHDLNLPEFLSSDIELAEFAADVETLLGGEEEQSTQLLNADFENNKAIKIEVEDEEMRAVVACHLDPELDMEREALNWNFDEYYEETVEQKVMAADAAVTEFIASAEEYSGSSTKSDEKNRLFLSLNHEAVISAWPNQSSPWTNGIRPHFNPDDYWPDFFSETSVGNYGGHVRCGDGGREARVSRYREKRQNRLFSKKIRYEVRKLNAEKRPRLKGRFIKRSSSLFSVPGFSYMMNKR >Solyc04g076110.3.1 pep chromosome:SL3.0:4:61150342:61154800:-1 gene:Solyc04g076110.3 transcript:Solyc04g076110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVEVTGTKPIQLVRAKSNQDRIKVKRKTLEAVLQECQRALELLSTTGSVEDDTDESNSSSDVDRDALEDSGQGSSTPSADVETDELRDLLKSRVQCSDFLQKLENAQVSVPQNLAEEGSSWDMVNENDLWEGGDPELDGEDYVLVRQEDIVDGIACFMAAYLLSLKQTKDLTPNQLQDALSKTFSLKKKKGKLRKAWDGSKVIYNVASWGATAIGIYQNPAILRAASAAFWTSCRVISKLL >Solyc10g009360.3.1 pep chromosome:SL3.0:10:3412655:3414531:-1 gene:Solyc10g009360.3 transcript:Solyc10g009360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPHKSQLTNNSKTFFSYFKLKDKAGDLFLFLRGHHLIIAFLLSLFMDTTTQWTKDIGLVTPNMATQIAPPNVTCSRTSTTMEKKVRPQKDQVVNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNVPVGGGSRKNRRCSISSSSLSSISSSQKLLDLNPNPSLSSLQNPNYNLNLGSNQDLNLGFPSFNIHNHNNYFRGMPQFLDFPKMDKGNNGINHFSTSTSNTSPVSALDLLQKGIASRGLTASISSSSSPSTPDLNALYTSSEVQENGAKMMLPFGCLNNHNNSESKGQENSSSVGFWNDGMLGGGGTW >Solyc07g008060.1.1.1 pep chromosome:SL3.0:7:2772203:2774047:1 gene:Solyc07g008060.1 transcript:Solyc07g008060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRKAIGAVKDQTSISLAKVTGNVAPDLEVLVVKATTHDNDPADEKYIREILHLTSNSRGYVSAFVFAVSKRLSKTHDWVVALKALVLVHRLLTDGDPVIGQEIMYASRKGMRVLNMSGFRDEAHSNSWDHSGFVRTYAIYLDQKLEFSTYGRKLNDVDGYGQYRSEGNGMDRRKRFSNEPDESAGREEKSGATPVREMKPEKVLERLNQLLQLLDRFLACRPTGAAKNSRMVLATLYSLVNESFKLYADICEVLQILLDRFAEMEYADCVKTFDAYVSAAKMIDELVGTYNWCKDVGIARSSEFPEVQVITDKLLGTLEGFLRERANRPRSPEINRVERASAVIEENAPDMNEIKALPPPENHTPPPPAPPQSVPQPRPHAQQVTEDLVNLKDDGVTADGEGNKMALALFSGPVAKGSGSWEAFPSDGETGQVTSAWQTPAAEIGKADWELALVETASNLSKQTADLAGGFDSLLLNGMYDQGTVRQHVSNTQVTGGSASSVALPGVGKTATPMLALPAPDGTVQPVRNQDPFAASLAVPPPSYVQMAEQERKQHLLMQEQQLWQQYASNGMQGQMGLSRLAGATPGYYGAGMQPSMPYGMGQPAGYYFTPL >Solyc01g099780.3.1 pep chromosome:SL3.0:1:89797798:89799877:1 gene:Solyc01g099780.3 transcript:Solyc01g099780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKELENGMLWEVQGKWVVQGAVDVNIGANPSAEGGGEDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTYMKRYIKNLTPKLEGETQEAFKKNIESATKFLLQKIKDLQFFVGESMHDDSALVFAYYKDGSADPTFLYLAPGLKEIKC >Solyc02g084400.3.1 pep chromosome:SL3.0:2:48070653:48076994:-1 gene:Solyc02g084400.3 transcript:Solyc02g084400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIFSVGKTKGLGQSLCRNPTTIRSEQARKLELIGDGVCSLRCRFYGQYVSTRGYDSSVARRMRNTFYKSYSLTSPGTTVRNHAEVAWKKLSRIYFDEGQTFNQLSRFAQALSLALSRSYVILPSFLALAYGRNIALAQASPDLEYGLPMTSFYRHAENGHFLVTSVLHFIFEGFVLLLRAFYLGILFSPSIVMAPFADAFGPSFRKLWLQVVRSTLERAGPAFIKWGQWAATRPDLFSKDLCTELSKLHTKAPQHSFAYTKKTIKKAFGREISEIFDEFEEKPLASGSIAQVHRASLLYPYHGRKIKPIVVAVKVRHPGVGESIRRDFEIINLVAKISKCIPKLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVETFEQGECVSYYVDELEGHERLKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRVTQSKSSRKRIFKSKPHVVFLDVGMTAELTNNDRIILLEFFKAIARRDGQTVAECTLQLSKKQNCPNPEAFIKEVKESFDFWGTPEGDLVHPADCIEQLLEKVRHHRVNIDGNVCTVMVTTLVLEGWQRKLDPDYDVMHTLQTLVLKSDWAESLTYTIEGLMAP >Solyc01g014805.1.1 pep chromosome:SL3.0:1:15207519:15208035:1 gene:Solyc01g014805.1 transcript:Solyc01g014805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTMRMSVDYRALNKATVQKNKYLVPLVIDLMDGRILEEHINHLSLVLSHFRKYKLYVKMEKCEFAQQEIKISGYLVSKNQVRNDPKKVQAIVD >Solyc01g057200.3.1 pep chromosome:SL3.0:1:59693301:59695973:-1 gene:Solyc01g057200.3 transcript:Solyc01g057200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGSFTLSSSSSLFPSPTSIGGCRSIITGVGLYRLCSAVAATTSSSRIAFERKKHWKEGEYPGFSEVSVSHLNNKKGRRTPINKKIDRKNTANPWVNTVPEALSDCIDKKQWQQALQVFEMLKKQPLYQPKEGTYMRLLVLLGRCGQTGQAQQLFDSMIEEGLKPTPELYTALIGAYCRSNILDKAFSLLNAMIDLPHCQPDVYTYSILIKACVDASRFDLVESLYEQMADRSIVPNTVTQNIVLSGYGRAGKYAEMEKVLVGMLESADSKPDVWTMNTILSIFCNKGLIEMMERWYEKFCNFGIEPETRTFNILIGAYGKRKMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFSDAGEAKHMEYTFDQMRAEGMKADTKTFCCLVRGYANAGLFHKVINTVQLAGKLEVPENTSLFNSVIYACAKAEDVMEMGRVFKRMKDKQCQPDLMTYSTMIDAYQKEGMTDKVYDLEQEKLLKVAIHSNGSHNDEKKLELLPT >Solyc04g026150.2.1 pep chromosome:SL3.0:4:18757615:18763424:-1 gene:Solyc04g026150.2 transcript:Solyc04g026150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAMKKIICAQCDCERARNLENLKAESQRLTNEILWYSILLGGEAF >Solyc07g041364.1.1 pep chromosome:SL3.0:7:52801611:52804118:-1 gene:Solyc07g041364.1 transcript:Solyc07g041364.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DASWNFDSGNLRSNLQLLSTDEEPVVADPTLGNFPGAPTSATTLDENSDEVIPQRRSTRERKPNPRYPNNVTSCQFALLISNPKYAEDLSEKFHMMNCEAAATPMNNNDKLQGADGTEKMNLSPTKQHLGATKRILCYVSGTENFGIWYSKVSNFVVVIGGCCELLAAKSKP >Solyc01g010570.3.1 pep chromosome:SL3.0:1:5525287:5530171:-1 gene:Solyc01g010570.3 transcript:Solyc01g010570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIRKLSSTFLKEPLINPTFFAAVRRRLSTKSGNDEWNDAWEAAWLPDDLSGKNRAPWEADVNFALPDDTSNTTEITQIEPRVSEVDAETKAFVEDMNENWHLRKGKQKNSSEGIVMNENGSSLYSLENIKKDYRLKKQRVHAGLWLKEIEKMEEAKLGDSIGGSGNGDDIEKLLDSCSEIFDSPNDDSNNSNTTSEFKNKPDGWETTSKTQDGNIWEMSQREEDILVQEFERRIAFNKFQIASFIKTHIFSRRRPIDGWKYMIEEIGPNARKGKGSVSRLPSIADASTRPFREEETSSNTTFPSNRGRTERR >Solyc11g017290.1.1.1 pep chromosome:SL3.0:11:8163626:8165428:-1 gene:Solyc11g017290.1 transcript:Solyc11g017290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRSIDTKTCFELLKTCKSITKLKQIHAQVIILNFHKHIGILHKLLAFTTHDDTDFNYAKKIFSCCENRTLFMYNVMIKGYVKTGQFKKPLYLFNELKIHGLFPDNFTYPFVFKAIGELKMVKGGEKIHGYVLKSGVLFDNYVGNSVMDMYGLFGYVESLNKVFDEMPNRDSVAWNILISGFVRCGRFQDAVVVYKKMREENAVKPDEATVVSTLSACTALKSLEIGREIHGYVVEELEFSLIIGNALVDMYCKCGCLIVAREIFDDMPMKNVICWTSMVLGYVNNGQLDEARKLFERSPVRDLVLWTTMINGYVQFNCVDDAMDLFRSMQIQGIKPDKYTLVALLTGCAQLGALQQGEWIHDYMKENRITVTAVVGTALIEMYAKCGCIEKSKEIFDELEEKDTASWTSIICALAMSGNTRKALELFSEMEQAGFHPDDITYIGVLSACSHGGLVEEGRKYFHAMSRIHAIQPKLEHYGCLIDLLGRAGLLSEAEVMISQIPNKDNKIIVPIYGALLSACRIYGNVDVGERVAELLMEIESYDSSTHTLLANTYASSGRWEDASKVRGTMRDLGVKKSPGCSSININGNVHEFIVGH >Solyc08g066250.3.1 pep chromosome:SL3.0:8:54811821:54812966:1 gene:Solyc08g066250.3 transcript:Solyc08g066250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMELQTINTLVNGEIDYEDLQSKLLVNKNKPAIININIGTTFKGAIDDLDFVIQTLENCGYSNDNYYIHCDAALCGLILPFIKHAKKITFKKPIGSISISGHKFLGCPMSCGVQITRRSYVSTLSKIEYINSADATISGSRNGFTPIFLWYCLSKKGHARLQQDSITCIENARYLKDRLLEAGISVMLNDFSITVVFERPCDHKFIRRWNLCCLRGMAHVVIMPGITRETIDSFFKDLMQERNYKWYQDVKALPPCLADDFGSKNCMCSNKKMHN >Solyc09g059450.3.1 pep chromosome:SL3.0:9:54695076:54702371:1 gene:Solyc09g059450.3 transcript:Solyc09g059450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQDRRAFPMRYTRNQSRRKTVLDVDLNVPPPSDNRDHEGTSSRVVPGDVPPAQRGASSTPAPIDVEALDDDVMIISSPRALAEANNSNMRTRGQVILVDEDSEDHLPMFNRRNMRRRVSTNQSTIMGDIYINLEANSSLQSLHRVQYHQSQLNLQHRQSQFNPHLAVQYAWEP >Solyc08g016010.3.1 pep chromosome:SL3.0:8:6571628:6576715:-1 gene:Solyc08g016010.3 transcript:Solyc08g016010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQEDPIQMEHEKMLKRNRQQHGDSISDKIHRYRGVILVISVPMLLICFVLFVMPTNYSDSMGSVNRKFSPKFGSRNYAVIFDAGSSGSRVHVFCFDQHLDLVPIGNDLELFLQKKPGLSAFASDPASAAKSLQSLLEKAEDVVPMDLRSNTPVRVGATAGLRQLGGNTSDKILQAVRDFLKSKSSLKSKGSWVTVLDGSQEGAYQWVTINYLLGNLGRKYSDTVGVVDLGGGSVQMAYAISELDAQKAPKLSVGEDTYVQEMYLKGAKYYLYVHSYLRYGLLAARAEILKVTKESGSPCILTGHHGSYKYGGKVYPASAMSEGSSMTNCRLVALKALKVNESTCTHMKCTFGGVWNGGGGDGQKNMFVASFFFDRAVEVGFVDPNVAVAKVRPIDFESAARRACDTRLDDAKATFPSVESDNLPYLCMDLVYQYTLLVDGFGLDARQEMTLVKKVKYKNSLVEAAWPLGSAIEVASSMN >Solyc04g005090.3.1 pep chromosome:SL3.0:4:72155:77702:-1 gene:Solyc04g005090.3 transcript:Solyc04g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGNLLRLNQPPQRHVHSRRFSHSRTLTLNVKGEIGYRGMKPRREWIADWVSNNDDLVRSMPIYVGGLSLLAVLFNRTLSGIAPVADASSSQSRADLLTLGLAVTNILNGLVWLSIRPKSISVVRAVNPKGVECQRIASHLPDFVISELLWAWNSLSDVTCCRSLVVVYDGKCILQTGFAAASLSNGSDAVAVDSNKFIEGSLYQGVLKSASQSYLANLSLYPGKSELPFLPSNTQAVILQPLGDKGIAIIGGDTIRGFTSSDQAWITLIGEKLDATLTKVI >Solyc02g014070.2.1 pep chromosome:SL3.0:2:15369810:15373977:-1 gene:Solyc02g014070.2 transcript:Solyc02g014070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSTNNFDPQLVIGSGGYGTVYKGNIDGGETTVAVKRLKPGSSQGEKELWTEINMLSMHRHENLLSLIGYCIEGHEMLLVYDYMPRGSLADNRYKMDRNSSSLSWERRLKIAIGAACGLDFLHTCQNRDIKSSNILLDENWESKISDFGLSKMGPGNESATHVSTQVKGTTWTKQCIKEGEINKLIDENLLGSISSTCLKAFIGISAKCFYGLPQERSAMFEVVKSLELALVFQKNEGEGIISFDDTSTSSHSRIEAESAATRKFSNATVLGQGSFGKVYKRCLAESPFSKDGRTLIAVHKLNSESSEGFKEWQSEVSILGRLSHPNLVELLGYFQEDKEVLLVYEFMQKGSLNNHLFGSKRLWVCRSAALSLPWNVRVQIVIAAGRGLAFLHASEKQVIYRNFKASIILLDGSYNAKISGFGLAIQGTSDSQPHVSTEIIVRDGYAAPEYVATGHLYVKSDVYPFGVFLVEMLTGLRALDTNRPSNQHYLVDWIKPHLSDKRKLKEKMDSPLGGKYPSRAAVQIA >Solyc07g017660.2.1 pep chromosome:SL3.0:7:7706501:7713228:1 gene:Solyc07g017660.2 transcript:Solyc07g017660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRIAVEGCMHGDLDNVYATLLHLQEVQNIKIDLLLCCGDFQAVRNEKDLESLNVPPKFRSMNSFWKYYSGEKVAPFPTIFIGGNHEASNYLWELYYGGWAAPQIYFLGFAGVIKFGNIRIGGLSGIYKSHHYNMGHYEKLPYSEQDIRSIYHVREYDVHKLLQIEEPIDIFLSHDWPVGITACGDLKALLRQKPFFEQEIQEGTLGSKPAAELLGKLRPSYWFSAHLHCKFAALVQHEKDGHSTKFLALDKCLPGRNFLQVIEIESGPGPHELQLDEEWLAITRKYNAVFPLTIKNANYNSVHLGTEQCLQFVRNKLQTRGSKPFEFVQTAPCYKPSQPVADGVFHGFYKNPQTEALLQFLELEYLLNKMPESRKPAANLAPLISGCSFDYLGEDIPIDDIDDTEDSKEVDPEYAQEG >Solyc04g078440.3.1 pep chromosome:SL3.0:4:63255840:63270567:1 gene:Solyc04g078440.3 transcript:Solyc04g078440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSLKDRQAKDKTLAIYNNQVAKLHGGQSNMSGRGGISRKVVGGNVTEIWDGFVPEECKKNHKIIRFNAAQKWEEAHEPLNYGIDCLSSCGLGPGMAFANEILKKDSNFGVIGLVPCARGGTGLYRWNRGSYAYDDLIKRAKLALKDGGIIRGLLWYHGEGDIRTNNGSSSYKIKFEKFVNDLRSDLNSPNLPILLAIVPYPKKPFEGPYIEVVRAAQLGINFSNVIKFDAEGLEIGSDGIHLTTSAQIQLGQSNMAGRGGVIKKIVGGNVTKVWNGFVPKECKPNHKIIRLNVAQKWEEAHEPLNYGIDCLTSCGLGPGMAFANEILKKDSNFGVIGLVPCARGGTSLDKWRIGTHPYDELVKRAKIAEKSGGIIRGLLWYHGESDVKGGNGYKDYKINLEKFIHDLRSDLNSSNLPIFQVIIPYPKKPFKGPYIEEVRAAQLAINISNVIKIDAKGLEGAEETHKVDTTNYKKSAGHEDEEPQKEDVKITHVPLTYQKRETGDSLAEKIESAKETIAGAGGKKD >Solyc11g065990.2.1 pep chromosome:SL3.0:11:51897203:51898870:-1 gene:Solyc11g065990.2 transcript:Solyc11g065990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILSLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNAPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDYEQEIETSKTSSNVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Solyc06g062390.3.1 pep chromosome:SL3.0:6:39474794:39476369:-1 gene:Solyc06g062390.3 transcript:Solyc06g062390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFTIILFFSSIVAVGLASDVEDQGIISQVVEIHRLRPRTGSAGYAVPQLDCLSWRLAVETNNVRDWKLVPNECSNYVGHYMLGKQYRRDCEAVADAAIEYAKGLKLSGDGKDVWVFDVDETTLSNLPYYARSDVAFGAKAFNSTRFNAWVMEGTAPAIPATLRLYKTVLSLGIKPVILTGTPEFTREGRVTNLKKAGYTSWLKLILKGENDSPKSVVYKSNKRTELVIAGYRIVGNTGDQWSDLIGKNAGARTFKVPDPMYYIG >Solyc08g067745.1.1 pep chromosome:SL3.0:8:56799982:56811382:1 gene:Solyc08g067745.1 transcript:Solyc08g067745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIATKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIKDRERRKLFLSQRSCIQKVLARFDMSSSKPIDTPSAANINLIAMFAPQSEEEKEYMSRVPYASAVGSLMYAMAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKTTLQPTVTLSTTEAEYMALTEAAKEGIWLKKLKNGRNPSDYRPDIAHQDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMYAMVWTRPDLAHAVSVVSKFMGQPGKEHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVSGYSDSDYAGDVDTRRSMNGYVFTLGGSVVSWKATLQPTVTSSTTEAEYMALTEAAKEGIWLKGLASLIVLRSWWIFRTTLVASTMTGTLSLWYGLVFRLSIEFCILHINDHECHGAQMEDFVD >Solyc05g026223.1.1 pep chromosome:SL3.0:5:40175881:40178662:-1 gene:Solyc05g026223.1 transcript:Solyc05g026223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILTEAASLFNLDRDCRQQVLLKKDLEVKPKKQKVSRGSDGTSKFNVISYESKHEDYGDQHISAALCP >Solyc02g064680.3.1 pep chromosome:SL3.0:2:36322659:36328948:1 gene:Solyc02g064680.3 transcript:Solyc02g064680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4B6Q4] MESYLNENFGDVKPKHSSEEVLKRWRSLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNHEKLRVAVLVSKAAFQFIQGMQPSDYSVPKEVEGAGFQIDADELASVVESHDLKKVKFHGGVDGIANKLSTSSTDGISTDNETALTRRQELFGINKFQESEARSFWLFVWEALQDMTLMILGACAFVSLIVGIVMEGWPVGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKISIQVTRNGYRQKMSIYDLVPGDIVHLAIGDQVPADGLFLSGFSVLIDESSLTGESEPVMVNAQNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLIATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLLQKMFGRKLLEGSHWSWSGEEAREVLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCFCMNVNDVSKPGDASALCSELEKSVVKTLLQSIFNNTSGEVVATKGKKREMLGTPTETAILEFGLALGGDFLAERQAGKLIKIEPFNSTKKRMSVVLELPEGGLRAHTKGASEIILAACDKVVNSDGDVVSLDETLRNNLNATIEQFATEALRTLCLAYIDLENGFSPNDAIPLSGFTCIGIVGIKDPVRPGVKESVALCRSAGVTVRMVTGDNINTAKAIARECGILTDDGIAIEGPVFREMSQEEMLKVIPKIQVMARSSPLDKHTLVKQLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALVVNFASACVTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDELMKRAPVGRKGNFISNVMWRNILGQSLYQFLVIWFLQVYGKTIFRLDGPDANLILNTIIFNSFVFCQLFNEVNSREMEKIEVWEGILDNYVFVTVIGVTLFFQIIIIEYLGTFANTTPLSFAQWFVSVFFGFLGMPIAVHLKKMQI >Solyc09g092110.3.1 pep chromosome:SL3.0:9:71737272:71738000:1 gene:Solyc09g092110.3 transcript:Solyc09g092110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAALFLTSIPLVKPPKPLTTSPLRCVSTHLKITHIKATPIDTSTVDYSSMNSSVFPAEACETIGGETCDVEMYPETKPKSTPSSKTLSMESVDREYLAYNEPKTVFLSEACDDLGGEFCEAKYQTGVY >Solyc05g051650.1.1 pep chromosome:SL3.0:5:62884873:62888311:-1 gene:Solyc05g051650.1 transcript:Solyc05g051650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKNRDHRNNIIPRSSANSPRLLRSKSGMSLPEIPTIDSVPPKLVNRSKSTTKLRSNSRGEENVSPLKMIKNNKKFQEKEDHHNHSTFAKFLQKRDNGSKSSSTTTTATTTCVSTNNNSRSAWALSPGRPLPIVPKSPSSRKLKMDTSKDVSDSGGGRGGVTGVLKYFKQKKVSPILEEDFHQYRLVNNRLIQWRFVNARVEASMAAIKRVAQKKMFNVWLRISIMRNFTAEKKIEVQKMKHDIKINKIMNSQNCLLREWQRLELKNSEAVGRVARKLSAISLCLPLVDGAEAKVMSIYDAMISAEEVMDGIQDFIMNMQWQVEQSCYFLTQLIVILKQEEEFLEELESHLKTVNSLEVEEETLRVHCIQLAKERMTRWEGEDQS >Solyc11g071865.1.1 pep chromosome:SL3.0:11:55503289:55504392:1 gene:Solyc11g071865.1 transcript:Solyc11g071865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQITLGVYVNDGAFLTAGVLTAGLISFKRGNSQLGQQLMRARVLVQGGTVALMVGSAYYYGDNFKRAG >Solyc06g076380.1.1.1 pep chromosome:SL3.0:6:47593689:47593976:1 gene:Solyc06g076380.1 transcript:Solyc06g076380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDGYKVPFNFMEEASSNCAIPLLSPLIFSTITSQDENVKCVDNVNGPDKNIIQGNGPLENSGNWQHPALGTYTQPSTIFALFQSQCTLANSGR >Solyc03g111150.3.1 pep chromosome:SL3.0:3:63287113:63290476:-1 gene:Solyc03g111150.3 transcript:Solyc03g111150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQTQGPFCQLKKTDESRILSTFQQKQLQLVQILHLFQQLTPVMAAAECRPPEPGADDDNSIHVSLIDAIQHFTDLDVSLNKLERFLRVLGFCQYSIFSAALSWLAFFVFSIVVPLLVVYYGYCSNCELYQINDFELEVLVCQSVAAFISLLCISHNLRKYRIRKLLFVDRYHGQLTHFSELYLKKIRVFYYLVVSWMAIFFLMKIAREVTRAVHIHDGSIWWSIGIVVASLVSWAYSTIIFIVGTALFHLVGNLQVIHLEHFGELLEMDMDVSVYINEHMRLTCYVCKISHRFRMFLLLEYLIVTVSQCVVLLQTTKNQKVVNFVNAGDFVVISIVQLVGLLICLNAAAKISHRAQGLGSVASRWHALVTCNSNDASVSESSSDGRNVETPKTGGQLSVNYSEGDLESADCMPPPANIQLTSTMTSYHKRQAFVTYVESNPGGFSIYGWRVDRLLINTLFFVEMTLVTFLLGMTLTVKV >Solyc07g065460.1.1.1 pep chromosome:SL3.0:7:67335703:67336047:-1 gene:Solyc07g065460.1 transcript:Solyc07g065460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKLLLKFKFHILIASSFTIFIFALIYLAPRFLDVVKYFWPLLLSTALFLIAVVLFGWISPPVSEVSGEKTGEGILEFVAGQPEEQLQTHLHDLHEHEGDGEDEEGESSKVE >Solyc08g081460.1.1.1 pep chromosome:SL3.0:8:64634835:64635047:-1 gene:Solyc08g081460.1 transcript:Solyc08g081460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLKKRTSPTIFKWALFANVQGPNNPSYMGAHIKAQPVPKNPLGPFELSRTFCKSFFFVPTTLELLTIF >Solyc03g007850.1.1.1 pep chromosome:SL3.0:3:2389595:2391616:-1 gene:Solyc03g007850.1 transcript:Solyc03g007850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPRATRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDQTELADYRLRKRKEFESLISRVGWNKSVWVKYAEWEESHKDLKRARSIWERALGIDALNRDHTIWLKYVHMEMKNKFVNHARNLWDRAVIRLPRVDQLWYKYIHMEEMIANVAGARGIFERWMEWMPDQQGWFSYIKFELRYNEIERAREIFERFVQCHPKVSAWIRFAKFEMKNGEIGRARNCYERAVDKLADDDEEAEQLFVAFAEFEDKCRETDRARCIYKFALDHIPKGRAEDLYSKFLAFEKQYGDREGIEDAIVGKRRFQYEDQVKKNPRNYDTWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAHDMERTRHVYRECLKLIPHHKFSFAKIWLLAAQFEIRQLRLKEARLLLGEAIGRAPKDKIFKKYIEIELHFGNIDRCRKLYEKYLECSPENCYAWSKFAELEKSLYETERARAVFELAIGQPALDFPELLWKAYIDFEISEGELEKTRALYERLLNRTKHVKVWLSYAKFEASAMCSDINQKKKCLQHTRDVFESAVSYLINSASELKEERVILLEEWIDMENSFGELGDANIVRAKLPKKLKKRRQIETEDGPAAYEEYIDYLFPEQITNNMKLLDSAYNWKKQRVASKD >Solyc12g021190.2.1 pep chromosome:SL3.0:12:14641136:14658563:1 gene:Solyc12g021190.2 transcript:Solyc12g021190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLVRDHLFIGNIGDAAEVLQHGSDEITHILSVLSSTSISFFSEWRSGISIPTKEIRKEYVGYSGNADAISDETKSSSTPKKLLYLLENAGKDLKFIRMAVPLRDMENENLLDSLDVCLDFIKESRKEGSVLVHCFAGVSRSAAIITAYLMKTEQLSQEDAIESLRQNCEFVCPNDGFLDQLKLFEQMGFKVDHASSVYKRFHLKVLGDCYNRGERIDTSKFGADPGLAAENISSDVDVSLSKETTSARTYRCKKCRRVVALQGNVVDHVPGEGETAFEWHKRRSGNPYNKPDDECSSVFVEPLKWMRTVEEGAMEGKLLCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDISSM >Solyc07g062190.3.1 pep chromosome:SL3.0:7:65121394:65125547:1 gene:Solyc07g062190.3 transcript:Solyc07g062190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FISLIHFIPLQPFSMAVQCSSSVIFASHSPAKTLSSSQNKTLFLGFSISSKPSVVVAKTHRATQISCQEKTALVPLEQRWMFEESEINGPDIWNETWYPKGADHVNTDKPWYIVDATDKILGRLASTIAIHIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRSQKLYRRHSGRPGGMTVETFDQLQQRIPERILEHAVRGMLPKGRLGRQLFNHLKVYEGPDHPHDAQKPIELPIRDKRIQKQR >Solyc09g011320.3.1 pep chromosome:SL3.0:9:4659522:4666911:1 gene:Solyc09g011320.3 transcript:Solyc09g011320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSMSRGGRSVVGDYVVGKQIGAGSFSTVWHARHRAHGTEVAIKEIVTARLNNKLQESLKSEIVILQKINHPNIIRLHDMIEEVGKIYIVLEYCRGGDLSMYIQQSQGRIPEATAKHFMQQLASGLKILRDNNLIHRDLKPQNLLLSSSNDRSTLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNILKSTELLFPPSAKNLSPSCIDLCKRLLRRYPVERLTFEEFFNHPFLAQKQPDELSWDTRPQRVIGGFPISEGYPARGSEETFQEDGLPFSLDDYSSGPSFTGRSPQRLSYGFSCDAKAERKEVTSSTQQKTDGIGSSHRHSEGNLKESINLKDHRQTTTRSKVVDSFELIDQDYVIVSGPPVDSYSSIGASRLCNMPFRSSCSLQASARVDPRPSDPVPISGPPLSRIGHLGSSESPSSAPGASQVCTDVINCLEQSPPDGMARIESLQRSASAIMELVNEKVEAGRHLEAFSIQLVILAIWKQALDICHTQAASAIEGSPSQETIRLKEMMKKGQVSLSIKERLDASNSLGPENVCSHIEKTFLGEVGNAEELAKHIEPGNTEVPDAMEMIFQSALALGRKGAVDEYMSRTENAVIFYSKAVRLLAFLQVEAPSLILNPPLSLTNSDRYRLQNYIDVLNNRQSISKSQMMALLKCEDQHCSP >Solyc03g083490.3.1 pep chromosome:SL3.0:3:54845869:54847267:1 gene:Solyc03g083490.3 transcript:Solyc03g083490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACWSAENATKAYLRAIKMGKSAKEPEMAEFISALAAGNNAQLMIVACAGAADSATVLALVAAAHQTGGRVICIIGLACQLIQSIESLGHNSRFVEFVTGDVNTLLMSEYREADFVLIDCNLNKCEGIIQTARMMGENVSVLGYNALCMDSWRCQSFNAHLLPIGEGLLVTSNKTAKKGGNLGVSGKKSKWIVKVDKCTGEEHVFRIRGKTVEA >Solyc09g059560.2.1 pep chromosome:SL3.0:9:55075862:55087144:1 gene:Solyc09g059560.2 transcript:Solyc09g059560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIIDELPFRFVEKEGFKRFMKVAQPCFHIPSRTTVTRDCFNLFDEEKHKLMVVFKGTKQRVSLTTDTWTSIQRINYMVVTAHWIDKNWTLHKRIINFCPITSHRGEDLGKSISKCLHEWGLHHIFTVTVDNAGSNSVAITELSKQMYLLNELGKQWKKFQECCEDENLAKKSLCLDVPTRWNSTYMMLKRYADRDIGLTLHLKFVDMVDKNSTGTLLSSDWEGVKRITKFLEMFFNLTLKISGSRYVTSNLHFVEICQVGVYLNQLISNEDHVLAKMAENMKEKFDKYWGDTEKINKMVFIPCVLGPRHKFITLGFALRKMFGEKGAALEISVRTYMESLFNEYTKLVDSDKNGQFSSTEVDTSDSRSVDSRSGGEFGNFFEELQKHTSEKGGASSKSELVKYLDEEIEVGKSDFDVLLWWKVNSPRFPILSEMARDVLSIPVSSVASECAFSTGGRILDSFRNNGPTILSSFNSALLEADAEIQEFDCFEDFEVYKIVFQENPIQFFHYTSPEESEKSLLDSSVQEKDSAIATATIDLENSGVVMEMDGFESKKIEALGTKVEKVVEKEGEMMMGNGSKEALKFSAGKINLGMGKPNLVKITKAIKGRAGATRSGANLEMVNLMIELLSGELRLILPERGKNMMIGAEEISGITVSTTKKANTNIIHKRALEQMELAANVVAVTKSLLALVVVSQILKLGNKNLQGNKSIQKGMQWRPKNRGGFIKNVCEMKECDEESDEAVGHVSMLTIREAIIYKKLTKSDLTRSANGLQLPGKEVREKLLPHISAPAALVEAEDLILVDHQGQEWNMLLVRQNEDLYFLKGHWYDYADVYNLAVSDTIVIERIMKQQVKERRSPLGHNLSSQFNMTD >Solyc01g056470.1.1 pep chromosome:SL3.0:1:54307232:54308000:1 gene:Solyc01g056470.1 transcript:Solyc01g056470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPWIPEEYFPFFGYILKDRNKMSIILSIHLFLLGTGEGWIVSVDDLEHIIGGHIWLGFICILGGIWSIVTQPFARVRRALMWFGEAYLFYSFGDLAFFGFIACWKMKRDLTNKSQGLAFHCCHFYVYGYNNLRSQCAYDVAPSGLLASMYHLTRIEDGVAQPEELCIKVFASKRNHRIPSVF >Solyc08g007620.2.1 pep chromosome:SL3.0:8:2149598:2185879:1 gene:Solyc08g007620.2 transcript:Solyc08g007620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYQTPLLLVQSSILPTNWQLAMKIFFVIFGILGCFTWPSMQSDLETYIVQVESPESQISTQSSRMDLENWYKSFLPKTIATSSSGEKPRLIYSYHNVISGFAARLSAKQVKEMEKKPGFISAWPQRILSLHTTHTPSFLGLQQNVGLWRDANYGKGVIIGVIDTGIDPDHPSFSDKGMPPPPAKWKGKCESNFTKKCNNKLIGARTFPLGSDSPIDEAGHGTHTASTAAGGFVKGANVNGNAKGTAVGVAPLAHLAMYKVCQSFGCDDSDVLAAMDAAIDDGVDILSISLGGSSRSFYQDPIALGAYSAAQRGILVSCSAANNGPYESTLSNDAPWIMTVGASTLDRKLKATVTLGNQKVFEGESAFHPNDHNSAFSPLFDPSLNATDFDSPYCGTGTLNDPEIKGKIVICMVGGGYSMTQQGQAVKDAGGVGMIVVSTADYGVTMFVDAHVLPALYITYKDGMEILDYMNKTSKPTAKILFQGTIIGDKDAPVVAAFSSRGPSLASPGILKPDIIGPGVNILAAWPTSVENKTNTKSTFNIISGTSMSCPHLSGVAALLKSSHPTWSPSAIKSAIMTTAYTVNFANDPILDERLLPANIFAIGAGHVNLSRANDPGLIYDTPFKDYLPYLCGLNYTNRQVGNLLQRKVDCREVKSIPEAQLNYPSFSITLGEVSQTYTRTVTNVGEAKSSYSVEVASPPGISVTVKPSTLKFSKLNQKLKYKVTFTKRVNRTNGGVIEGFLKWTSEKHSVRSPIAVVLDMQSDLETYIVQVESPESQISTQPSRMDLESWYKSFMPKTIETASSDEKPQLIYSYHNVIIGFAARLSAKQVKEMEKKPGFIFAWPQRILSLHTTHSPSFLELQQNVGLWSDDNYGEGVIIGLFDTGITPDHPSFSDEGMPPPPAKWKGKCDSNFTGKCNNKLIGAKTYPLNSESPKDEAGHGTHTSSTAAGNFVKGANVYGNAKGTAVGIAPLAHLAMYKVCDNDCSESAILAGMDEAIDDGVDIMSLSLGGSSRPFYYDVIALGAYSAAKRGILVSCSAGNGGPSHSTLSNEAPWILTVGASTIDRELKATVMLGDQKLFEGESVFSPKVPDSSFYPLIDPSLNATHIGSRFCEPGTLSDPKFKGKIVVCMAGGYVSTIGKGQVVKDAGGVGMILVSIPEYGFSKFADPHVLPALYITFNDGMEILQYINSTSEPTARIMFHGTIIGDKEAPVVAAFSSRGPSYASPGILKPDIIGPGNNILAAWHTSVDYEKHTKSAFNIIQGTSMSCPHLSGVAALLKSSHRNWSPSAIKSAIMTTANTLNLANVPILDERLLPADIFAIGAGHVNPLRANDPGLIYDISIEDYLPYLCGLNYTNQQVGSIVKHKVDCNKVKHIPEAQLNYPSFSITLGDISQTYTRTVTNVGEAKSSYTVEIDSPPRVTVIVKPSTLKFSQLDQKLKYQVTFTRRDDSTSSGIAQGFLKWSSKKYSVRSPIAVVLQPTIETRLCICMASEDIVLAHYPYSEFSWITLACGGYGKGSLDTGISPEHPYLCGLNYTNQQVRSIVKHKVDCNKVKHIPEAQLNYPSFSITLGDISQTYTRTVTNVGEVKSSYSVEIDSPPGVTVIVKPSTLKSSQLNQMLKYQVTFTRRDNSTNSVILQPTIGTMYIKTLLVSSTESISDLETYIVQVESLESQISTQPSRMDLESWYKSFMPKIIETAGSDEKPQLIYSYHNVIIGFAARLSAKQVKEMEKKPGFIFAWPQRILSLHTTHSPSFLKLQQNVGLWRDDNYGEGVIIGVFYTGVTPDHPSFSDEGMPPPPAKWKGKCDSNFTGKCSNKLIGAKTYPLKSESPKDEAGHGTHTSSTAAGSFVKGANVYGNAKGTAVGIAPLAHLAMYKVSPLFGCPDAEILAGMDEAIDDGVDIMSLSLGGSSRPFYNDVIALGAYSAAKRGILVSCSAGNGGPSHSTYQTKLRVGASTIDRELKVTVMLGNQKLFEGESAFSPKVPDSSFYPLIDPSLNATHIGSRFCELGTLSDPKIIGKIVVWMDGGYVSTIGKGQVIKDAGGIGMFLVCTPEYDFTKLADPHVLPALYVTFNDGTEIHQYINSTSEPTARIILATPGILKPDIIGPGNNILAAWHTSVDYEKYTKSAFNIIQGTSMSCPHLSGVAALLKSSHRNWSPSAIKSAIMTTANTLNLANVPILDERLLPADIFAIGAGHVNPLRANDPGLIYDIPIEDYLPYLCGLNYTNQQVGSIVKHKVDCNKVKHIPEAQLNYPSFSITLGDISQTYTRTVTNVGEVNSSYSVEIDSSPGVTVIVKPSTLKFSQLDQKLKYQVTFTRRDDSPLLLLKDSRNGVSDLETYIVQVESPESQISTQSSRVDLESWYNSFLPKTIAIAGSDEKSWLIYSYRNVIKGFAARLSAKQVKEMEKKPGFISAWPQRILSLHTTHTPSFLGLQQNVGLWRDSNYGKGVIIGVLDTGISPDHPSFSDEGMAPPPAKWKGKCESNFTTKCNNKLIGARTFPISSDSPIDEDGHGTHTASTAAGSFVKGANVYGNAEGTAVGIAPLAHLAIYKVCDSSGCADSDILAAMDAAIDDGVDILSLSLGGSSIPFYTDPTALGAYSAAQRGILVSCSAGNSGPYDSTLSNEAPWILTVGASTIDRKLKATVKLGNQQLFEGETAFNPKDHNSTFSPLFDPSLNATDFERPYCGPDTLSEPEIKGKIVVCKAGGGIPRIVKGKTVKDAGGVGMIIISTADDGFTIPADPHVLPALYITYKDGMEILDYMNTTSKPIARIAFQGTIIGDKHAPVVTAFSSRGPSIASPGILKPDIIGPGLNILAAWPTSIDNKTNTKSTFNIISGTSMSCPHLSGVAALLKSTHPTWSPAAIKSAIMTTATTVNLANDPILDERLLPANIFAIGAGHVNPSRANDPGLIYDTEFKDYLPYLCGLNYTNRQVGNLLQRKVECKEVKHIPEAQLNYPSFSIALGEISQTYTRTVTNVGEAKSSYSVEIASPPGVSVIVKPSTLEFSQLDQKLKYQVTFTKRNNSPNSGIAQGFLKWSTMKLLNILLVLFIVNCLSWPSMQSDLETYIVQVESPESQVSTQSMSMDLESWYNSFLPKTISNEEEGPRLVYSYRNVMKGFAARLSAEQVKEMEKKSGFVNAWPEKILSLHTTRTPSFLGLKQNTGLWRDSNYGKGVIIGVLDSGIFPDHPSFSDEGMPPPPAKWKGKCESNFTTKCNNKLIGARTFPKENGSPIDDDGHGTHTASTAVGGFVRGANVYGNANGTAVGVAPLAHLAIYKVCDSFGCSDSGILAAMDVAIDDGVDILSLSLGSSSSPFHSDSIALGAYSATQRGIFVSCSAGNFGPSEHTVANEAPWILTVGASSLDRKFKATVQLGNNKVFEGESAFHSKFFSTKFFPLFDPSLTAIDSDSSYCGPGMLTDLAVKGKIVLCMIGGGYTRISKGQAVKDAGGVGMILINRAEDGFTTSADAHVLPAMDVTYTDGMKIIDYVNSTKKHVARIAFHGTILGDKNAPVVAGFSSRGPSIATPGILKPDIIGPGVNILAAWPTSMENNTNTKSTFNIISGTSMSCPHLSGVAALLKSAHPTWSPAAIKSAIMTTAYTVNLANNPILDETLLPANIYAIGAGHVNPSRANDPGLIYDTPFKDYLRYLCGLNYTNREVGNLLQHKVDCSEVKSIPEAQLNYPSFSIILRENPQTYTRTVTNVGEAKSSYIVEIVSPQGVSVTVTPSTLKFSKMNQKKTYQVTFLKTANSSTSGIVHGFLKWTTMKLLNILLVLFIVCCLSRPSMQRSLDTYIVQVESPENRISTQSMSMDLESWYNSFLPKTISNEEEGPRLVYSYRNVMKGFAARLSAEQVKEMEKKSGFVNAWPERILSLHTTRTPSFLGLKQNIGLWKNSNYGKGVIIGVLDTGITPDHPSFSDQGMPPPPAKWKGKCELNFTTKCNNKIIGARTFPKENGLPIDDDGHGTHTASTAAGGFVRGANVYGNANGTAVGVAPLAHLAIYKACDSFGCIVSNVLAAMDAAIDDGVDIISLSLGGYTSPFHSDSIALGAYSATERGILVSCSAGNTGPSKSSVSNEAPWILTVGASTLDRKIKATVQLGNKKMFEGESAFHPKAVNTKFFPLFVPTLNASEFRRSYCGSGTLSDRDVKGKIVLCMIGGDYSRIEKGQTVEDAGGVGMILINGAEDGFTTSATAHVLPAMDVTYADGMKIIEYMNSTKKPVAQITFQGTILGDQNAPVVAAFSSRGPSIASRGILKPDIIGPGVNILAAWPTSMENNTNTKSTFNIISGTSMSCPHLSGVAALLKSAHPTWSPAAIKSAIMTTADTTNLANGPILDETLLPASIFAVGAGHVNPERANDPGLIYDTPVKDYVPYLCGLNYTNREVGNLLQHKVDCSGVKSIPEAQLNYPSFSITLRENPQTYTRTVTNVGEAKSSYIVEIVSPQGVSVSVKPSTLKFSNKNQKRTYRVTFSRTDNSSTSGVVQGFLKWTSNRHSGRSPIAIVL >Solyc01g079865.1.1 pep chromosome:SL3.0:1:78861952:78863234:-1 gene:Solyc01g079865.1 transcript:Solyc01g079865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCRFGQPVISGLFNYYLKAWQGKCYDVDLFNKSEISLVVTLRNPEARSTQRCFSNAALRKLRAKPDRRVRSGVRIGLRVSSLGSQVRSLDGLKIVGPSFSMPTMTRDLNSDPSDLGVDTRLDLDSYLETSDSIRDLRCRPLPWSDLRLYRLAQLQEIGPSNPTPTLTLTREPTFKQTLDPNSL >Solyc06g074650.3.1 pep chromosome:SL3.0:6:46331507:46345918:-1 gene:Solyc06g074650.3 transcript:Solyc06g074650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-2 complex subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4C9I3] MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNVRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSCLLNENHDFLRLAINTVRNDIIGRNETFQCLALTLVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLFRKNPDVVNVDGWSDRMAQLLDERDFGVLTSCMSLLVALVASNHEAYWSCLPKCVKVLERLARNQDIPQEYTYYGIPSPWLQVKTMRSLQYFPTIEDPSTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTAEFVMREELSLKIAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAALKSREYLDKPAIHETMVKVSSYILGEYSHLLARRPGCSPKEIFSLIHEKLPTVSTSTIPILLSTYAKILMHTQPPDPELQNQILAIFRKYESCIDAEIQQRAVEYLELSKKGAALMDVLAEMPKFPERQSSLIKKAEDTEADTAEQSAIRLRTQQQTSNALAVTDQPSANGTPPVSHLGLVKVPSMTNADRNLADQRASEPDGTLTVVDPQPPSVPSPDVLGDLLGPLAIEGPQPAATQPAHNLSSGVGIAPNAEDALALAPIEEQTATVQPIGNIAERFQALVLKDSGILYEDPYIQIGTKAEWRAHHGRLVLFLGNKNTAPLVSVQALILPPSHLRIELSLVPETIPPRAQVQCPLEVVNLRPSRDVAVLDFSYNFGAQLVNVKLRLPAILNKFLQPITVSAEEFFPQWRSLSGPPLKLQEVVRGVRPMSLLEMTNLFNSLRLMVCPGLDPNANNLVASTTFYSDSTRAMLCLVRIETDPADRTQLRMTVASGDPTLTFELKEFIKEQLIIIPTAATAAAQPVPQPTSSSPPVSDPGALLAGLL >Solyc02g080620.3.1 pep chromosome:SL3.0:2:45361171:45369023:1 gene:Solyc02g080620.3 transcript:Solyc02g080620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:K4B9T3] MALKLVPIWACCTNQQHCCTYPQSLKLGYGSRLSGSSFLNLRAHKKWECLVLLSETERAITPVEDEQPLAPPGDASVQEAQIIQSKGFHRDLQSLPKPLSATYLSSGQHDGSNVRVAYQGIPGAYSEAAALKAYPKCETVPCDQFEAAFKAVELWLVDKAVLPIENSVAGSIHRNYDLLLRHRLHIVGEVQLLVNHCLLGLPGIRKEELKRVVSHPQALEQCNIMLNELGVARLSSDDTSSAAQIVASEGKRDTGAVASARAAEIYGLSILAERIQDDPDNITRFLILAREPIISGTDRPYKTSIVFTLEEGPGVLFKALAVFALREINLTKIESRPQKKRPLRVVDDSNKGSAKYFDYLFYIDFEASMADPRAQYALEHLQEFARFIRVLGCYPMDKNL >Solyc01g006540.3.1 pep chromosome:SL3.0:1:1113465:1123469:1 gene:Solyc01g006540.3 transcript:Solyc01g006540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQFQQSTKTLIPSWNTNTLFLASFPINILNKNFILKKKNNFRVHHNYNGANTIKAVLNSTQKSIGVKAVVTVQKQVNLNLLRGLDGIGDLLGKSLILWIVAAELDHKTGLEKPSIRSYAHRGLDVDGDTYYEAVFEIPEDFGEVGAILVENEHHKEMYVKNIVIDGFVHGKVEITCNSWVHSKFANPDKRIFFTNKSYLPSQTPSGVIRLREEELVTLRGDGVGERKVFERIYDYDVYNDLGEVDSNNDDAKRPILGGKKLPYPRRCRTGRQRSKKDPLYETRSTFVYVPRDEAFSAVKSLTFSGNTVYSALHAVVPALESVVSDPDLGFPHFPAIDSLFNVGVDLSGLSDKKSSLFNIVPRLIKSISETGKDVLLFESPQLVQRDKFSWFRDVEFARQTLAGLNPYSIRLVTEWPLRSNLDPKVYGPPESEITKELIENEIGNNMTLFILDYHDLLLPYVNKVNELKGSVLYGSRTIFFLTPHGTLKPLAIELTRPPIDDKPQWKEVYSPNNWNATGAWLWKLAKAHVLSHDSGYHQLAKNSLLYRTIHYCNK >Solyc10g086640.2.1 pep chromosome:SL3.0:10:65538897:65544112:-1 gene:Solyc10g086640.2 transcript:Solyc10g086640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEGFEAYHVPQQSRRDKLRGDTNYFYNNSNSSFLLNPCDNNLTMDPQIISSSFQKINNNPFLYNTNHNHDMNVTYHDSNNITPGQGLFLSLSSNNHHHTTVPLELRSLFTTTTTTVDCCNNNEFLSSKSGGSTIIGPFTSILKGSRFLKPAQQLMQDISGIYAHKLLQDHHHSLGTMDEVSNHEHMRNNSKLISMLDEVHRRYKEYYEQLQGVVSSFESVAGVGNASPFANLSLKALSKHFRCLIKAICDQMKSCDGIGKGNYNNLERGGYVWRPQRGLPERAVTVLRAWLFEHFLHPYPTDSDKVMLAKQTGLSRNQVSNWFINARVRVWKPMVEEIHMLETNKSSSHINNNDPEMNLSSSGGVSLTLGLHHQNNNKALSFPRNAARRFGIDPNNIIA >Solyc06g050925.1.1 pep chromosome:SL3.0:6:33954330:33955747:1 gene:Solyc06g050925.1 transcript:Solyc06g050925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKSTSGFLIFLGPNLISWCTKKQPNVSRSSTEAEYRALALLPAETIVWDLVVIYDECYILNRHSATTISFVQNLISDEADRLLGMGFEKQINSIVSHYVTDLFSATQNEAVEELSKA >Solyc02g093870.3.1 pep chromosome:SL3.0:2:55199699:55209468:1 gene:Solyc02g093870.3 transcript:Solyc02g093870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCKLCKHPIDTRTEEEKAIDAWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMGPGVTVMVVSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGKSLQKVHDLVCKQEDGCANIKLSYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWGASVKKGVQPNVDYGYKAHSTAGTVFDFLSGLGEVAFAYAGHNVVLEIQATIPSTPEKPSKVPMWRGVVVAYIVVALCYFPVAFIGYWMFGNAVEDNILISLNKPTWLIVMANMFVVVHVIGSYQIYAMPVFDMIETVLVKKLRFRPTWYLRFVTRNIYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPRRWSLSWLANWICIIFGVLLMVLAPIGGLRSIIVDERSAEERKIDEWLPVTSSRNAKWWYSTFHNVTAMVGAGKRFDRYHELGQHAFGEKLGLWIVVPQQLIVEVGVDIVYMVTGGRSLMKIHDLVCKVDCYNMKLSYFIMIFASVHFVLSHLPNLDSISAVSLAAAVMSLSYSTIGWAASVHKGVQPDVDYSFTAKTDLGVVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYMVVAVCYFPVALIGYWVFGNSVEDNILISLEKPTWLIVMANLFVVIHVIGSYQIYAMPVFDMMETLLVKKLRFKPTFYLRAITRTIYVAFTMFVAIAIPFFGGLLGFFGGFAFAPTTYFLPCIMWLALYKPKRFSLSWIVNWPDGRTEEQKKIDEWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMGPGVAVMFISWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGKKLGLYIVVPQQLVVEVGLDIVYMVTGGKSFQKIHNLVCTPGNCIEIKLTYYIMIFASVHFVLSHLPNFNAISGVSLIAAIMSLSYCTIAWAASLEKGVQADVNYEYRAKNTGEAIFNFFGGLGEVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVVVAYIVVALCYFPVALIGYYTFGNSVSDNILISLNKPTWLIVLANAFVVIHIIGSYQLYAIPVFDMLETYLVKKRRFKPTWYLRFASRNLYVAFTMIVGIIFPFFGGLLGFFGGFAFAPTTYFLPCIMWLSIYKPKKWGLSWTTNWLKTATN >Solyc09g074110.3.1 pep chromosome:SL3.0:9:66223458:66230196:1 gene:Solyc09g074110.3 transcript:Solyc09g074110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILYNSHTLLIFVGFHFKGRVLLWFVFLFSVLAILLEVIFLIVWAILGPEWELADAWWIKLIGLMKLKSWRSPLVIYLLVLQLLAAGVALFEINGNRFRLGQLQDPRWEHFLSVLEHIGSRLRVSSCLFLPAVQLIVGISYPSWLSLPFFICSCVGLVDLSLTSNFLGLFRGWKLLWLYSGFNLSLLYFYQLRIPFPQMFYVVADYIGFYKISAHSDWQKNCSGLSLLAYYYLISFIEGDLEEMYLIMTMTDGNLTERLLPSRHSFFVREYRSGVRHTNVLLKRTVFRIFTINFFTYGFPVHVLKRL >Solyc02g032050.1.1.1 pep chromosome:SL3.0:2:27864080:27864655:1 gene:Solyc02g032050.1 transcript:Solyc02g032050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4B5F1] MEKFLLMAFFFLAITIILPSSHGLDQSPKGVDTWFKKLPHSKAKMTKLHFYFHDIVTAENPSAIQIAQANNTFQSPTFFGLVRMMDNPLTVNPEPNSKIIGRAQGIYGSTLFEDLGLLMTLNLVFTNGKYNGSTLSILGHNQIFQEYREMPINGGSGVFRLAKGIATAKTYQIDNTTQNAILEYHVVVLHY >Solyc07g056290.2.1 pep chromosome:SL3.0:7:64277150:64282244:1 gene:Solyc07g056290.2 transcript:Solyc07g056290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLAYGIFGLCFLVFFSIANAKYYHSQLKYFNVKDYGAVADDKRDNSEAFLRAWYDGCRWGGRSVIYIPYGTYKLSEVSFEGPCNDMMIFVIKGVLKAPTHISLFSTNTWIGFRYIDRLVVKGGGYLDGQGAVAWPYNDCSINPKCMPLPVTMRFDFVTNSRIHHLRSINSKNSHINLFACENVNISFVRLTAPDESPNTDGIHIGLSTNIKISRTVIQTGDDCIAMVTGSKDIDISNVTCGPGHGISIGSLGRTLGEVVTAINVRNCTFIGSQNGARIKTWAPSLSSVASNIFFGDIYMENVHNPILIDQQYCPTPSCSDQLGQDNSKVQISNVTFSNIWGTSSSKVAVTLKCSKLVPCKNVQLDNINLKYHGRGGPATSSCLNVIGDSYGRQSPPSCL >Solyc01g065847.1.1 pep chromosome:SL3.0:1:72332931:72333474:-1 gene:Solyc01g065847.1 transcript:Solyc01g065847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYTKYYYKYPKMASESNNLKQLILILYTDFDIRNQSINVGSTQVEAKWDSVSSYCKIQWVNRGPIQCQRRWSNLFGDFMKIKEWESQIKEEKESFWMMCNNFKREKNLSGFIIEKCLIFLIMEMAIKKVWT >Solyc06g060440.2.1 pep chromosome:SL3.0:6:38586486:38589728:1 gene:Solyc06g060440.2 transcript:Solyc06g060440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMNVLKLLVVATMAMTAAEAIWLELPSSGAKCVYEEIRNNVVVLVNYAVIVDNEPDKPKFIPDISLKVISPFGNKLHHEENVTRGKFGFTTTEPGYYMTCFFMNSQAPNGKSVHIGLDWKTGIAAKDWDSIARKEKIQDIELVLMKFQAWVQAIHEKIVYLEKREEEMSEVSERTNAAVAVFSGMSLSLCILAAATQIWYLKRFFRKKKLI >Solyc06g059880.3.1 pep chromosome:SL3.0:6:37897925:37900508:-1 gene:Solyc06g059880.3 transcript:Solyc06g059880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:K4C6H4] MAAFSQNPSTTLINNNRFTSSSILSSTSHVFPFPHTQTIIPHKSLIISINVSKTPNGAPAPSMETTFTSRFLPDEPRKGCDILVEALERQGVKNVFAYPGGTTLEIHQALTRSPTIRNILPRHEQGGVFAAEGYARATGFPGVCMATSGPGATNLISGLADAMADSIPIIAITGQVPRRMIGSDAFQEIPIVEVTRSITKHNYLVMDVHDIPRIVREAFFLARSGRPGPVLIDVPKDVQQQMDIPNWDQPMKLPGYISRLPLPPKKTLLEQIVRLISESKKPVLYVGGGCIQSSNELRRFAQLTGIPVASTLMGLGAFPAGDELSLQMLGMHGTVYSNYAVDRSDLLLAFGVRFDDRVTGKLETFASRAKIVHIDIDSTEIGKNKQPHVSICTDIKLALQGLNSILMDTENALKLNFSPWRKELTEQKLKYPLKYKFYGDSIPPQYAIEVLDELTNGNAIITSGVGQHQMWCAQYYKYKNPMQCLTSSGFGAMGFGLPAAIGAAIAIPDAIVVDIDGDGSFMMNVQELATVRAENLPVKMMILNNQHLGMATQWEDRFYKANRAHSYLGNPSNKARIFPNMLKFAEACDIPCAQVIHRNDVRDAIRKMLHTPGPYLLDVIVPHQEHVLPMIPSNGAFKDVITEGDGRCSY >Solyc11g007760.2.1 pep chromosome:SL3.0:11:1974794:1984133:-1 gene:Solyc11g007760.2 transcript:Solyc11g007760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALVGGEGDMEQEFDAKLKIQNNSANTQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRAKKKDTANVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGVVRLFFTFQDTFSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLLTSDGHIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPNYFSNEARDIIDQLLDVDPSRRPGAGPDGYASLKNHPFFSGIDWENLRLQTPPRLAMEPKAPSTHSSGDEQDPSWNPSHIGDGSVRPNDGNGAAASVSEADRTGFQKQLDAPKKNFLSNTTQFYSFTLSSRNFHLSLDEAHSCLHKSHLYVYRLVVIFFMAATKLLTISIALAALSASAATLGVVFWRRKTRADEEKIRELERSLKAALQNCGAERQGRIRAQQALRKVANSNDSNNSYPLAPIAITRSCFSTRNGTPRQPLVVPLAKACLVFDPTRVPPASLEGLEGYSHCWIIYVFHLNTDLDKLWKHPSRSKFKAKVRVPRLKGERMGVFATRSPHRPCPIGLTVAKVDIVDGNKVFISGVDLVDGTPVLDIKPYLPYCDSMPGAMVPDWVKTDNMLAITSVNFSHDFSASLSHCWSRKLQKKKKTEILLYTSPTEFQKLIHQVLSWDIRSVSQRIRPHKTENSSMNNATLEDDDSQEEVHFSDDIVYHLILEGLDTSYKIDYDGNVHVEKIEPCTPN >Solyc11g012660.2.1 pep chromosome:SL3.0:11:5432871:5437900:1 gene:Solyc11g012660.2 transcript:Solyc11g012660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDEFRDEEHYFVGHHSDVVIFELNSLQNQLKEKDRELGAAQSEIKALKATDTLKDKALEELGSKVQKLEEKMKISENLLEQKNLDIKNLSNEKKEALAAQYAAESTLRRVYADQKDDDSPPIESIIAPLEADIKMYKNEIAALQEDIRALERQNKSKEAALLEAERILKSALERALIVEEVQNHNFELRRQIEICQEEYKILDKTNRQKILEVERLTQTIKELEETILAGGAAANKIRDCQRQISELQEEKRTLERELARLKISANRVATVVANEWKDENDKVMPVKQWLEERKLLQAEMQRLRNKLVKSERTAKAEAQIKDKLKLRLRTLEEGLKQSTSVFVTPNGSPKPQKTNHLFSILSSNAGLKKRSTSQPRASTINRSSENRRDDAWKQFNKKGSGDSLVKKSLWAYRNKDAEKENAEIKENSNGHIYSKEMTDTQKIKNRVGDHEDNKNTGSNESNDNDMVSGLLYDMLQKEVLCLRKFCETKESALNAKDEEIKMLMKKIDTLNKAIEVESRKMKRESAIRERDSVSSIKTDDNVRSRNSLTSSVRVKTY >Solyc03g053065.1.1 pep chromosome:SL3.0:3:23995842:23996274:1 gene:Solyc03g053065.1 transcript:Solyc03g053065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFDFHYFLGLEVKQGEGEVFVSQKKYAFDLHKRSGLVNFKSAAKPININEKLQQQDGTGQANARTFRSLIGGLIYLAHTRPNISYSVGVVSRLLGFTDKDWGGS >Solyc08g083280.3.1 pep chromosome:SL3.0:8:65904475:65906877:1 gene:Solyc08g083280.3 transcript:Solyc08g083280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKGKVISCRAAVAYGPGQPLVVEQVQVDPPQKMEVRIKILFTSICHTDLSAWKGESEAQRAYPRILGHEASGVVESVGEGVIDMKEGDQVVAIFNGECGECIYCKSSKKTNLCGKFRVNPFKSVMESDGKCRFRNKDGIPIYHFLSTSTFTEYTVVDSACLVKIDPHASLDKMTLLSCGVSTGLGAAWNTADVQTGETVAVFGLGAVGLAVVEGARTRGASKIIGVDINPEKRIKGEAIGITDFINPKEIDVPVHEKIREMSEGGVDYSFECAGNMQVLREAFLSTHDGWGMAIVLGIHPTPKLLSLHPMELFDGRRIVASVFGDFKGKSQLPFLAEQCMAGVVKLDEFITHRLPFEKINEAFQLLLDGKSLRCLLHLS >Solyc08g007090.2.1 pep chromosome:SL3.0:8:1657305:1658587:-1 gene:Solyc08g007090.2 transcript:Solyc08g007090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFINRVSCSYPNKNITFKIDESSDNPYYLAFVIWYQQGKTDISAVQLCETENFVCKLLDRTRGAVWTSSSPPKGQLQIRMLLSSDDGDEKWIIPLNNIPQNWKGGETYDSGIQVD >Solyc04g050735.1.1 pep chromosome:SL3.0:4:48005747:48006456:-1 gene:Solyc04g050735.1 transcript:Solyc04g050735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVKNTSKIDELKKELYKSFSMKDLGHAKKILGMRITRLRDKRKIYLSQKKYIERVLERFNMKNAKPISIPLAGHMKLSKKMCPTAREKKENMAKVPYSSVIGSLMKSALGSCEVDTQYLGGSSDECLCFGASNPILKGYKNSDMAGDLDNRKSTTGYLFTFSRGAISWQSKLQKCVALSTTKAEYIVATEAGKEMIWLNRFLQELGLN >Solyc04g008695.1.1 pep chromosome:SL3.0:4:2355793:2360201:1 gene:Solyc04g008695.1 transcript:Solyc04g008695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCPNSSAKSPDPSHCVSSLAQRALRCRISAPSIQPIAQFLSLSVFTVFKGQFGQYSE >Solyc06g065335.1.1 pep chromosome:SL3.0:6:40854109:40858183:1 gene:Solyc06g065335.1 transcript:Solyc06g065335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAVVFLRPDVKATNTNQLYCLHVNLRDDTEDTDRRLEESNGVDSDAGGSPVLVLASRLQTGQKVEQASKGSAIYNLPHFPEPFGEGAEIISKVELVH >Solyc02g084940.1.1.1 pep chromosome:SL3.0:2:48619202:48619522:-1 gene:Solyc02g084940.1 transcript:Solyc02g084940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEKIEIDGAKGTLSVTGNADPYEVIVRSRKAGKFAEVISIGPPPAPPKPDGPKKPEEKKPEPKGPKPHMYGPPSPLICPCCQRYSHVVHVTRREEPTPQCSIL >Solyc01g096550.3.1 pep chromosome:SL3.0:1:87506118:87526249:1 gene:Solyc01g096550.3 transcript:Solyc01g096550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEELNTVYMEVEDDNSDDDKDQNWDDWENGDEEDEEEAMSSKLLCLFCDSTYDSSNALFEHCASEHRFHFNTLKNSLALDFYGCFKLINYVRSKVAGNKCWSCGIVCRSKEDLLNHLHEVASFDNGKLPWNDDEFLKPFLNEDALLYSFDEDDEGEDDMDNMPIDKEELIKDLEQISIDEDDFTLETEENKPTAFSLSGGKSTSLTNTTLSNGVVSAEGGVSSYKNNHDLDSSLYIAKVAANKIKDVNKNYFGGYSSYGIHRDMISDKVRTDAYRQAILENPSLLKGAVVMDVGCGTGILRLEEFCSCYAKLKKGELSLNALARSMRKYVETGHLLAVLVYLRTPQAGASRVIAVEASEKMAAVAAKIAKDNNLLRIGSKNEGSDQGNGVMEVVQGMVEELKSAQKVQPHSVDVLVSEWMGYCLLYESMLSSVLYARDQFLKPGGAVLPDMATMFAAGFGRGGTSIPFWENVYGFNMSCIGEEIVKDASRIPIVDVVDSRDIITNSKVLQNFDLVTMKLEEMDFTGMVELELKGETSANGSTGSKPVTNWCYGVVVWFETGFTERFCKEKPTNLSTSPHTTSTHWSQTILTFSEPIAMVSPGTLNVDKMAAVGSDACPAVKIQCRISVVRAAQHRSIDISMELSGIGPFGRKRNWPAQMFNEVLRASEWIISVSLLMHDEDVDHSEFLDEESERISVKHSFHHAYRGFCALLTKQEATLLSGYEEVVSVFPDPILELHTTRSWDFLASYDSTNNYNYNASSNYDVIIGVIDTGIWPESPSFDDQHIGEVPSRWKGVCMEGFDFHKSNCNRKLIGARFYDIKDEDSTKLPETTRKPNGTPRDRVGHGTNTASIAAGAFVPNATYYGLASGIARGGSPFSRIATYKACSEGYCQGSAILKAIDDAIKDGVDIISISIGRSFVFQTDYKDDPLAIGAFHAAERGIGVVCSGGNEGPDPYTLTNFAPWIFTVAASTIDRKFQTNVALGNNVTLKGTGISFNTSPHAETYPLVFGENVYFHPSLTSQARNCMPGSLDAKKVAGKIIVCMNDNWSISRLIKKLVVQDANAKGLILIDEQDKSSPFDSGNFPFAEFGKIAGAKILQYINSSQNPCAIIFPANEIRRFKPAPVVADSSSRGPASLTENILKPDITAPGVGILSATIPNTEKGSSLFGITSGTSMACPHVSGAMAFIKSIHPTWTFSMIKSAIMTTATISNNLRMPLTNTSNLDASPHEIGAEKLDQNYGVSRVKRVAMNVGSPNSTYTSSIIGPPGLIVRYLSDGLVLYPWTADTMHTNCEASVRCLPSAGYPHNLHYSRTAVKVLPEPSDDTYIHPGGDSLDCTLTGEPIESSKESPSHTVYQHGFGLWSAFYPNSNMHLRSLNAIESQPYPYSVENHYHYSLLNMFPQNYQCDYRFQDFQYFVVIDFEATCDKEKNPHPQEIIEFPSVIVSSTTGQLEACFQTYVRPTCNQQLSDFCKDLTGIQQIQIGRVSQLRQQFKESRRHAPNQKLVYQQLLKKNKVDRGVTLSEALLRHDKWLEKKGIKNTNFAVVTWSSWDCRVMLESECRYKKIRKPPYFNRWINLKVPFGEVFGGARCNLKDAVQMAGLAWQGRAHCGLDDAKNTARLLAFLMHKGFRFSITDSLMYQSNDEPLSWKLPPDHPSFPTYQPQKMRDVPCPVLQNQPYCFCGVRSSKGMFRKPGPKQGNLFFGCGNWTAARGACCQYFEWALS >Solyc09g059805.1.1 pep chromosome:SL3.0:9:56283706:56284425:1 gene:Solyc09g059805.1 transcript:Solyc09g059805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKSDHVCSDSDFGGLGMLVKQLTDKACNHIHLFISSLALADLVKMYKSPDNAKKYIADESILADLSHELELSMEPIDFAGFSLMSTVCDFTGAVLKEIGRSAEIDR >Solyc10g047260.1.1.1 pep chromosome:SL3.0:10:40229862:40230053:1 gene:Solyc10g047260.1 transcript:Solyc10g047260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFVGGFGDFRWWFGMVWKLVGEGTGLKAVFSQLFGGFGVGLVTIWRWCSSMEGCTLIVLRQ >Solyc05g012530.1.1.1 pep chromosome:SL3.0:5:5772780:5773061:-1 gene:Solyc05g012530.1 transcript:Solyc05g012530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKAVEEMVDSGEFFGIDSKLQKVNEDDLLDHIFSSNWELKPLQRRKGRSNKLALYIYAPFFNSKKMEKRGVLMAISEFLQAKQRNRVKLRW >Solyc09g074120.2.1 pep chromosome:SL3.0:9:66288643:66290533:1 gene:Solyc09g074120.2 transcript:Solyc09g074120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDNISDDADEKSLAISDKEKGSRNNTKFESKIPLGTSFDSHGSSLTEFLRYDLLEKPRKGVTLFEIDPLTSGCPHYDAEQEVETAPNIDSEDMSACCSFVLDKKLDLSSSGSTKKSSHPKMKNLVKFNQPLTKESFDSQCLSSPIAPASDTSKVPPIKDNINEKDMDSLSMEPKFSKKVPDNTTISSAVQDYYVGIPYNESLGKYVPRNERDENILFLTSHLKTLQEELQRWSDWANEKVMQATRRLGKDQADLKRMRQEKEDAENVHQEKHMLEETTMRKIMEMEQAFVNTYSMGKTINSLLNTLEMDNVGLKKDLEAVMLSTGKHDMNVNNALAQEQEALKKCQAADMEKRSFEEDLFAIKREKTSLQHSCFRDLFKHEESVKQRFQQQADCLKNEREQLRVKGKVQRHNFREKVERNKQKYKEEIQKCESEISRLRFQSERSITEALKRGIPQLTKGLSTYAESSDSNFVKMERECVMCMHEHISVVFLPCAHQVICEYCNVLHQNKGMDECPSCRTPIKERISVHFPDSEKLATSE >Solyc01g087840.3.1.1 pep chromosome:SL3.0:1:82589627:82592284:1 gene:Solyc01g087840.3 transcript:Solyc01g087840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISISFSYRFIPLYEDAISIASFGAMMKGVLVSASAGNRGPSWGSLGNGSPWILCVASGYTDRTFAGTLNLGNGLKIRGWSLFPARAFVRDSLVIYSKTLATCMSDELLSQVPDPESTIIICDYNADEDGFGFSSQISHVEEARFKAGIFISEDPGVFRDASFSHPGVVIDKKEGKKVINYVKNSVAPTVTITFQETYVDGERPAPVLAGSSSRGPSRSYLGIAKPDIMAPGVLILAAVPPNLFSQSIQNIALATDYELKSGTSMAAPHAAGIAAMLKGAHPEWSPSAIRSAMMTTANHLNSAQKPIREDDNFVATPLDMGAGHVDPNRALDPGLVYDATPQDHINLICSMNFTEEQFKTFARSSASYDNCSNPSADLNYPSFIALYPFSLEENFTWLEQKFRRTLTNVGKGGATYKVQTETPKNSIVSVSPRTLVFKEKNDKQSYTLSIRSIGDSDQSRNVGSITWVEENGNHSVRSPIVISRIIDVWGSDD >Solyc04g016295.1.1 pep chromosome:SL3.0:4:7081677:7085628:-1 gene:Solyc04g016295.1 transcript:Solyc04g016295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNNLEESLKPFYQRASEAEERLARLEISVASKTDLKNEELERTVAGLQSKLKDVTAELEAEQEKGHKQVEQLTSENAKLKYRIKHLVRSLEEVLSKLASK >Solyc02g089220.3.1 pep chromosome:SL3.0:2:51731035:51736306:-1 gene:Solyc02g089220.3 transcript:Solyc02g089220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDEILGVSRPVSLTNKSAIYVWGYNQSGQTGRRGKERSLRIPKQLPPELFGCPAGGNSRWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTENARKHPKKVKQLQSEFVISVSCGAHCTVAIAEPRENDGSMSARRLWVWGQNQGSNYPRLFWGAFSPSTVIRQVSCGAVHVVALSEEGLLQAWGYNEYGQLGRGVTSEGLQGPCVINGYARFLDEAPELVKITQVSCGEYHTAAISEKGEVLTYRSIIVTNYSYTWGLGSVGQLGHCSLQSGDKELLPRRVVSLDGICIKEVACGGVHTCAVTAKGALYAWGGGQAGQLGVGPLNGFFSCKLNESEMMLRNIPVLVVPDGVQLVACGHSHTLTSAKDGRIHGWGYNCYGQAANEKSTYAWCPSPVDWCVGAVRKLAAGGGHSAVLTDACSLKELCEFRLAETVNPSNASVVEDVASRTGADALARLCERLREHYYNDDEFGL >Solyc12g098990.2.1 pep chromosome:SL3.0:12:67294274:67297642:1 gene:Solyc12g098990.2 transcript:Solyc12g098990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSISLSSSSSLFLSPLIHPAGGNIRRLFDFRRPLIAVICCRASSSVAFPKKKHWKQGEYPGFTEQSGFKNNKRTPIKNIKKKLDRKSNAKAWVNTITEALSEYIEKKQWVQALEVFEMLKEQPFYQSKESTYMKLLVLLGRCGQPGKAQQLFDTMIEEGLDPTPEFYTALVGAYCRSNIIDKAFTILQRMIELPYCQPNVYTYSILIKACVDASRFDLVDSLYEQMAERSIVPNTVTQNIVLSGYGRAGKYEEMEKVLSGMLESTDSRPDIWTMNTILSLFGNKGQVEMMERWYEKFCSFGIEPETRTFNILISAYGKKRMYDKMSSVMEYMRKLSFPWTTSTYNNVIEAFSDIGDAKHMEYTFDQMRAEGVKGDTKTFCCLIRGYANAGLFPKVINAVQLAGKLEIPENNSFFSSVIYACAKAEDLLEMERVFKRMKDKGFRPDDTTYTVMAEAYRKEGMTDKVYDLEQEKRMMSASDSCDSQDKLELMPT >Solyc07g062530.3.1 pep chromosome:SL3.0:7:65357379:65364220:1 gene:Solyc07g062530.3 transcript:Solyc07g062530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNLEKFASIDAQMRLLVPTKVSEDDMLVEYDALLLDRFLDILEDLHGEGIKTTVQECYELSAEYENTHDKKKLEELGSVLTTLDPGDSIVVAKSISHMLNMANLAEEVQIANSGRAKSKKGELSDESNLMTESDIEETLKRLVVNLNKSPREVFNALKNQTVDLVFTAHPTQAIRRSLLQKHARIRNCLSQLYAKDITPDDKEELDEALEREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWNGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIDDLMFELSMWRCNDELRDRADELHRSLKRDEKHYIEFWKQVPPSEPYRVIIGDVRDKLYHTRERTRQLLSNGFSEIPEEATYTNIKQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITQHLEIGSYREWSEERKQEWLLSELSGKRPLFGPDLPKTEEIDDVLNTFHVISELPSDCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLDDLDAAPAAIARLFSIEWYKNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIKVAKQYDVKLIMFHGRGGTVGRGGGPSHLAILSQPPDTIQGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTSATLEHGMYPPFPPKPEWRALLDEISVVATEKYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKRNGGIETLRAIPWIFAWTQTRFHLPVWLGFGAAFKYALNKDKKNLNMLRDMHKKWPFFRVTADLIEMVFAKGDPGIAALYDKLLVSEDLWSFGELLRSDYEETKSLLLKVAGHKELLENDPSLKQRLRLRDSYITTLNVCQAYTLKRIRDPTYKVTPRPHITKEYIESKPAAELVSLNPQSEYAPGLEDTLILTMKGIAAGMQNTG >Solyc06g009693.1.1 pep chromosome:SL3.0:6:3640577:3643337:1 gene:Solyc06g009693.1 transcript:Solyc06g009693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAVMLYFNGRWDPSNKYINYLADGVLIHTESTFATLVSVIATQLSIDTSTNTSGDMRVIHNSYFSNTFNGIDETIGLIGFGSCEEVYKNKYVLTSALKRHSILNHFQFKTTRSSAIRSKATFSGFHLGKKYENILRRNKTALEKLKETNKYVYTVIDGITQFTKLIDSTNLDHVRLGNLGDA >Solyc03g111595.1.1 pep chromosome:SL3.0:3:63722086:63723687:-1 gene:Solyc03g111595.1 transcript:Solyc03g111595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTIYIHLESTSMFTENLPCSQSLKSTSKVFRNSVIQPISESFRMVYPTVLPEGFFSSSSYLETGSIENNRTSSHREISSVIPGCPTFLLSIKIPTSPGVFSSQSFPSRGNFFSWYVKKRRTVSTFHAKQDCGQPGFTLAERTRFGAILKEYVNLFKLLNRKVVITS >Solyc07g061820.1.1.1 pep chromosome:SL3.0:7:64884327:64884752:1 gene:Solyc07g061820.1 transcript:Solyc07g061820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEQNTPINCLYSCTQLVEKVYIFSMNLSVILLIFFSFVFPFLLFLCCIYCIEKQDKEEIGETTNHSEGGGGLKDGNMIVLAVAGGAAAATAVNSNSGGCGAAAAGASTTTDAGTYQGCCCGDGIGGDGGGCGGCGGCGR >Solyc09g018260.1.1.1 pep chromosome:SL3.0:9:14008737:14009087:1 gene:Solyc09g018260.1 transcript:Solyc09g018260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGLTYALIVTILTCAFSLLPFHHTSVAAAIPEEFKIRQVTDGRNPTTTAHGGSNHHLLGTPTEHRFKSFIQEYNKEYSTREEYIHRLGVFVKNLLRAAEHQTLDPTVGNGRV >Solyc05g015047.1.1 pep chromosome:SL3.0:5:9738993:9744098:1 gene:Solyc05g015047.1 transcript:Solyc05g015047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIRIMLFLIISKSSEKKRRHTPSNLPDGFGLGDHIQLLQIYEQWYQTDYNIDWCKENNLQVRGMLFVRDVRKQLSQIMQKITEGSLDVQTSNRRRGGQQEYKNLRKALCIGYANQLAERMIRHNGYRPLGFKSELVQMYIGNGGLVGTMVVWTVLWRRQWGFVICGTVSFRVESWAGLNRNVPSPDYACRSDDSKRLLVRRSNSLGSLVNLLIKSNLSYHIGTSSMSAALLMYQYYGFILELVHPSSVLKSDEDGMLPNYVVYHELIVTSRPFMRNVCAVEMRWVAPILAKLEKLNVFKLSGGSSQPDNQIQEVALTVEKKEIAAVQPPEDRASMIQAARERFLARKGQK >Solyc12g038605.1.1 pep chromosome:SL3.0:12:51566128:51567955:-1 gene:Solyc12g038605.1 transcript:Solyc12g038605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLGLPMKFMPWIMECVNTVNYSIVVNGEFIEPFDAEKGFKQGGLNVVNLHLWNKASQTKVFWDLTHKEDKLWIQGKYWKLCPFQGATRTSYAHSISSMLDYKENIGI >Solyc05g008920.3.1 pep chromosome:SL3.0:5:3124015:3131132:-1 gene:Solyc05g008920.3 transcript:Solyc05g008920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSGLQFNRSFNGEDRFYSAAKARRNVNRSFNGEDNLRRAKSDVAVSNFPTKTKMKVAAVDESTKELPVAAAVPASEVLPLCNLQRFLKSVTPSVPAQYLSKTTIRGWRTCDVEFLPYYVLGDLWESFKEWSAYGAGVPLVLDEGDSAVQYYVPYLSGIQLYGDSSKASVKTRRPGEESDSDYFRDSSSDGSSDSEHERRCLNYSREQRMYHSQASESSLSIDGLSLRDSNATFQEGFSSDEGESGSPEGALLFEYFAHDQPYGREPLADKISDLAQRFPELKTMRSCDLLPSSWISVAWYPIYRIPTGPTLKALDACFLTFHSLHTPMPGNQSGHTAVVACPTDTDAAPKIPLPAFGLASYKFKASLWTPNGGSGRQLMSSLLQAADNWLTLLQVNHPDFSFFCQR >Solyc09g014820.3.1 pep chromosome:SL3.0:9:7021165:7026317:-1 gene:Solyc09g014820.3 transcript:Solyc09g014820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSYAIMANDPVAIIGSEYCTPNEVDVVISRKVKTLRYGEFVVSDMNGNFMFKVKGKTFGWHDKRVILDAADNPLITLKQKILTEHSRWNAFKGESTDEKDFLFTIKTTSIIQWKTKLAVFLANNNSKEKNCDYLIKGNWFDRSCVIYAGDSSTIVAQMHKKITAKSLLIGKENFMVTMYPNIDQAFIVSLIVFLDAINAFNDAVVAGALAGAGASIGAISF >Solyc12g035270.2.1 pep chromosome:SL3.0:12:39660311:39663369:-1 gene:Solyc12g035270.2 transcript:Solyc12g035270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRNDFHDEASQDGFQRNDFHDEASLARKLGFGGGVAFPTIEAFI >Solyc02g068830.2.1 pep chromosome:SL3.0:2:39310776:39314317:-1 gene:Solyc02g068830.2 transcript:Solyc02g068830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSCNPLFALLIFILLDISLSNVPNISTDEAALLELKSHISFSPNNILATNWSSSTPVCSWIGITCTSRHHRVTALDISSMQLHGTIPPHLGNLSFLVSLNIGKNRFHGNLPQELAHLQRLRLIDIANNNFTGAIPSFLSLLVDLRILHLWSNQFSGKIPSSLSNLTKLEVLRIAGNFLEGEIPRELGDLHYMTALNLESNHLTGSIPPSIYNITTLRIIALSNNNLTGELPTTICDHLPNLEGLFLSKNILGGIIPPNFEKCKKLKFLSLSENKFTGTIPRELGNITNLAGLYLGLLHFKGEIPVELGNLKKLQILSLSGCKLTGSIPTSIFNMSALRAVVLDENMFSGNLPADLGSGIPSLETLICANNHLSGFISATISNASRLGMIDLSINSFTGPIPQSLGNLENLEVLNLQMNNFVSDSALSFLSSLTHCRKLKELKFAKNPLEGFFPASVRNFSDSLQIFEGWTCKLKGSIPQEIGNLTGVIRINFSQNNLTGHIPKTIQGMLNLQEFYLESNKIEGIIPDVICNLKKLGALYLSGNQFSGRVPPCLGKVTSMRYLYIADNMLNSSLPESLGSLTDLIEFNFSSNLLHGKIPIEIGNLKAATLIDLSKNDFLGMIPRTLEGLNRLMKLSLAHNKLDGPIPDSFGKMLALEYLDLSSNSLSGEIPKSLEALVYLKYLNFSFNELSGEIPTGGPFAKATDQSFLSNYGLCGDSKFHVSPCVTKSPKRSKKKKAILVLGVGMLFLALAFTYVFLRLRKKKKNAGQADVSLIKCHERISYYELEQATEGFSESNLLGNGSFSKVYKGILKDGTLLAAKVFNVQLEGAFKSFDTECEMLRNLRHRNLTKVITSCSNLDFKALVLEYMSNGTLDKWLYSHNLFLNLFQRLDIMIDVASAMVYLHNGCSNPVVHCDLKPSNVLLDQDMVGHVSDFGIAKLLGAGETFVQTRTIATIGYIAPEYGQDGIVSTSCDVYSFGILMMETFTRIRPGDERFTGDLSIRRWVSDSFPNEIQKVVDGNLVQLGDERIDAKMQCLLSVIELALSCTLATPDARISMEDSLSTLEKIRLQFVNSRH >Solyc10g019160.2.1 pep chromosome:SL3.0:10:12045476:12045963:1 gene:Solyc10g019160.2 transcript:Solyc10g019160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFTDLLASDDYPTKGLVDTIVERTGSGVPKSKSLPPPSLPLSPPPFSPSSYFAIAPCLIPTELLDSPVLLSSSNLLPSPTTGSFPALLIGREVVIKM >Solyc04g007290.3.1 pep chromosome:SL3.0:4:1002416:1007947:-1 gene:Solyc04g007290.3 transcript:Solyc04g007290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKHLSTIANDVICRCAKKLDTSVDELVHEFEAGCDPNMEGYSRKLVEFCCSKALTDMCSKLQEMIDNDDFIRFTFDMMLAWEMPSSTEEETHEESLAKEKEEKKVVAEMSKEQDDIPLFYSDLLPFLVDHKPSVGEHAFLWLATLVNLVGDFVNGRCTFETLTAPTENRLHFPAYNIFLKETIKCIKHLQKQATPTGVEMRDDEFIIHVEGTASSQRIVRHIGGTSWPGRLTLTNYALYFEESGVISYKDALTLNLSEDFEQSIKPAATGPWGAPLFDKAIVYSSSELEEAVLLEFPEMTSSTRRNHWLALIKEIILLHRFLRKFKVDSPSGSWEMHARTILGIIRLHAAREMLRISPPVPKKFLIFDLLDELPKGDYVLEELAGSLKKVDVGHPCSASSILRSLNISLMPVPAEVVKEINDSNRIPGQTDSVSSLGNAIDQAREEAKEIEKAKATVEELKGEGVGNSVQVLMGLIKQLGRLMPYIQEVLTWERPLCTSIFVLTTLVVIYAECIGKAIAALLLGTVATMIWTRRRRDELDKASKIVIYTGSDHTTMENIVSAQHGLRNVYDLIQSMNIVLLKIWSIFVSKAPKHADLVMAVMVVAAVILVVVPFKFIVMALTLCIFVQTSKIGKYMENEKVNRRIKEWWDSIPVVPVEILGKNADS >Solyc03g025690.3.1 pep chromosome:SL3.0:3:3141506:3143092:-1 gene:Solyc03g025690.3 transcript:Solyc03g025690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIKKSSTYHKVKQSGEMIPPLLRTLATGSIVLLGGAVSISALTTSVLRHKALINKAKFGKLCLYCRGIGFYKCKLCNANGTIKWSPLYDPLFINPCVCPTCEGNKIQRCLNCLGDGRV >Solyc11g039930.2.1 pep chromosome:SL3.0:11:41166078:41169871:-1 gene:Solyc11g039930.2 transcript:Solyc11g039930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDSSDCTVQALFDELKALEEHLKAHGPYVNGQNVYSVDMSLAPKLYHLEVALEHFKKWSVTECLSHVRNYMKLDPFLLPVVQGSILVIQLNT >Solyc03g095845.1.1 pep chromosome:SL3.0:3:58962899:58964382:1 gene:Solyc03g095845.1 transcript:Solyc03g095845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVSFSTRRTGDPWESFVQNIEHEVQVFALECSILGTLSVSGTPKLIFQIANVIGSIYKCRIHRNGMIVGMDHLTYKKDSPN >Solyc01g017160.1.1.1 pep chromosome:SL3.0:1:23803779:23803934:-1 gene:Solyc01g017160.1 transcript:Solyc01g017160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRPIFLCFNRTSYKHCSMYYYLKIIKLLMIGRNQHIAPHVRNYRRSPLR >Solyc11g068730.2.1 pep chromosome:SL3.0:11:53656972:53662152:-1 gene:Solyc11g068730.2 transcript:Solyc11g068730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPNPVVNEGPLFAEVEMGGDSSSSTVRATVVQASTVFYDTPATLDKAERLLAEAASYGAQLVVFPEAFIGGYPRGSTFGVSIGNRTDKGKEEFRKYHASAIDVPGPEVDLLAAMAGKYKVYLVMGVIERDGYTLYCTVLFFDSQGHYLGKHRKIMPTALERIIWGFGDGSTIPVYDTPVGKIGAAICWENRMPLLRTAMYAKGIEIYCAPTADAREVWQASMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFSGTEEDLTPDSVVCAGGSVIISPSGAVLAGPNYDGEALISADLDLGEIVRAKFDFDVVGHYARPEILSLIVKDHPVSPVSFTSTSSTSKTESSST >Solyc12g009020.2.1 pep chromosome:SL3.0:12:2319943:2326029:-1 gene:Solyc12g009020.2 transcript:Solyc12g009020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP kinase kinase [Source:UniProtKB/TrEMBL;Acc:O48616] MKKGSFAPNLKLSLPPPDEVALSKFLTESGTFKDGDLLVNRDGVRIVSQSEVAAPSVIQPSDNQLCLADFEAVKVIGKGNGGIVRLVQHKWTGQFFALKVIQMNIDESMRKHIAQELRINQSSQCPYVVICYQSFFDNGAISLILEYMDGGSLADFLKKVKTIPERFLAVICKQVLKGLWYLHHEKHIIHRDLKPSNLLINHRGDVKITDFGVSAVLASTSGLANTFVGTYNYMSPERISGGAYDYKSDIWSLGLVLLECATGHFPYKPPEGDEGWVNVYELMETIVDQPEPCAPPDQFSPQFCSFISACVQKHQKDRLSANDLMSHPFITMYDDQDIDLGSYFTSAGPPLATLTEL >Solyc02g082070.3.1 pep chromosome:SL3.0:2:46357172:46359064:1 gene:Solyc02g082070.3 transcript:Solyc02g082070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLFLATFFSILLLIFTVNLLSKKRKSTLNLPPGPWKLPFIGSIHHLIASQLPHHTLRDLAKKHGPLMHLQLGEIPTIVISSPRVAQEVLKTHDLAFTNRPGLLSVQILTYNYSDIAFAPYGNYWRQMRKLCTLELLSAKNVVSFASIREEEAFDLLQDVESKSGSVINLTEKIYALTNAVICRAAFGKRRKEESTYFMSLIKELSLMITGLDISEVFPSLKFLQVITGTKEKLLKLHKKFDKVLDMIIEEHKHEYDDEESSRKTDLVNLLLMLQESGTLDFSFTRDNIKAVILDMFLAGTETSATVLDWAMVEMMRSPNVMEKAQTELRRILKGKNRVTENDLKEVSYLKLVIKETLRLHPPLPLLLPRECREECVIDGYDIPIKTKVIVNAWAINRDAEFWEDSESFTPERFINSASNNLEFIGQNYEYLPFGGGRRMCPGISFGLSNVELPLAQLIYHFNWKLPNGMKPEDVDVTETPGSSCSRKYNLCVIATSNDHGI >Solyc11g010670.1.1.1 pep chromosome:SL3.0:11:3714263:3714493:1 gene:Solyc11g010670.1 transcript:Solyc11g010670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISILFITNDIVIEHNPTNNYGYNMNRKTSPKSKKWIKLINKPKNNGRIQEPYSKSQQALLKRLIFRLNVSICR >Solyc11g065195.1.1 pep chromosome:SL3.0:11:50843696:50844864:-1 gene:Solyc11g065195.1 transcript:Solyc11g065195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTVRSRLVTEQCHDEEVRPYIQQLMKTQSCSQVQTSEDTNSIDVAELNNEPCPSKTSKKKFSIPICGEEFVKKSIGKKWRDYKCDLKAMYVTKYKTKDALMKNRPAHIPRDQWNSLVSYWLSEKHRFFIVLLLVLSKNLSVVILAGTDVLFLILEA >Solyc10g005190.1.1.1 pep chromosome:SL3.0:10:146044:147057:1 gene:Solyc10g005190.1 transcript:Solyc10g005190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTISMPSFLPLKYLKSIVDGHLHSHSTFKLQTSNMPSFINVQDSNESEFPISLKESKKSDILTIPLPMPPSKKFLSNSLPNSTTSSPRFASKKKSKNQPSPLSNNPLARQHSVALANLERLKEDHLRRSKSCGEGRSSAPPDKHVVGLITKVMNNSSVKHVEESKVDKAESIDQKFKCGAMCLFIPGLGKAKQVRARRVEIETEIVHSVSRKVSLEKFECGSWTSSAIINGEENENDDSNLFFDLPIELIQCRDDKDMTCSPVTTGFVFDKEPKGVLKNITTAKTSESTARHVRFSTSSPDPDLDSDSGLPTSCMTPRMRKAREDFNALLEAQCA >Solyc03g044510.3.1 pep chromosome:SL3.0:3:9568521:9573023:1 gene:Solyc03g044510.3 transcript:Solyc03g044510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BG70] MAEEICFFTKDALIIKPPKKSPALLRMIVIVFAMVCGVYICSTCLKQTNTETTRKLLNIEVIERPCHEYDTDRSQIPYLHYPKPKTFSRAECSCNPVRLFAVLSAQRSGSGWFETLLNSHINITSNGEIFSVKERRENASSILRTLDMVYNLDLFTSASKNHCSAAVGFKWMLNQGLIQYHKEIVEYFNNKGVSVIFLFRRNLLRRMVSVLANSYDRYARLLNGTHKSHVHSIEEASTLAKYKPEIDTTLLITDLKRMEASTKQALEYFNSTRNIFLYYEDLIRDHTKLGDVVEFLRLPQMNLTSRQVKIHSGPLQEHIKNWDDVNKTLKGTAYENFLDY >Solyc01g006665.1.1 pep chromosome:SL3.0:1:1252913:1254447:1 gene:Solyc01g006665.1 transcript:Solyc01g006665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKGICVSMFLSIVVAATVKIQGATLTTDSGEGPSFPPEATTKMPFCIAWKAPMAIGFFENSNSAPAGFYNNNLKQELLSSRGLRANTLDRLLRTLNNTVIPVTAG >Solyc06g051680.1.1.1 pep chromosome:SL3.0:6:35302322:35302693:-1 gene:Solyc06g051680.1 transcript:Solyc06g051680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSNFNRHRQTITKSNSRKINNNRHRVRDNSTVDFNMEEGDSSEMWNNFSNNFRQVQSVLDRNRLLIQQVNENHQSRTHDSMVQNVSLIQELNGNISKVSSIYSDFNTDFTNMIHQRKNDV >Solyc08g065870.3.1 pep chromosome:SL3.0:8:54274186:54279936:1 gene:Solyc08g065870.3 transcript:Solyc08g065870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGEEKVMGPMFPRLHVNDTDKGGPRAPPRNKMALYEQLSIPSQRFNSGVLPLDPNNTSKMAPPSSSQGSGHDRSGYLPIQHPPSRRLADKPPGHSSDPSTLLQQYELKKRTEEDDFTVPIFVNSKLGQAHGSHNVNMEKLSPSGQLFCPNKELEGVTHLTLRQQRNSQNKENLKCTLARREKTTSNSASKECRLDPQVGCSSIPEPVKGTYDGSSYPRKEFVSEEQLTANDLVNDTESQEDRAHKSLQTGNLDRGDDLSETSRVESISGTDISPDDIVGIIGLKRFWKARRAIVKCQWYPIAGLDNAKLRLSLVSRFSCVGAELILEGNPLKDFSGGSPSYIAPAKSVCNPSVRVASTNKGKATPVQRLIAGSPNSSLEDPAYLGKPLKSSSIKRLPLDCIVRESQSVLKRKHDSEKPHFRMEHTAESNVGKASLSTVQNGSQLSSHKPFSGTPLPTPVTNDSNAGPWCFQQPPGHQWLIPVMSPSEGLVYKPFPGPGFTSPICGSGPPGSSPTMGNFFAPTYGVPAPNPHYQGMGVPFAPPTGHGYFRQYGMPAMNPPISSTASEESNQYTMPGLQHQFSGVVDDVNIQHQDSSNVLNQKKENVPDVVRYQSTKDNEVQASSASSPIETAGRNMLSLFPTSPVTDNRDGSPQACVPDNPARVIKVVPHNARSATESVARIFQSIQQERNNMT >Solyc02g090550.1.1 pep chromosome:SL3.0:2:52722969:52723394:1 gene:Solyc02g090550.1 transcript:Solyc02g090550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVVQLVKTGTKAGIRFRNQQGDLFKHIYLFIYL >Solyc03g113800.3.1 pep chromosome:SL3.0:3:65326138:65333677:-1 gene:Solyc03g113800.3 transcript:Solyc03g113800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPNIRIPCRQLFIDGEWREPLKKNRLPIINPANEEIIGYIPAATEEDVDMAVKAARSALRRDDWGSTTGAQRAKYLRAIAAKVLEKKPELATLETIDNGKPWFEAASDIDDVVACFEYYADLAEALDSKKQTEVKLHLDSFKTHVLREPLGVVGLITPWNYPLLMTTWKVAPALAAGCAAILKPSELASITSLELGEICREVGLPPGALSILTGLGHEAGSPLVSHPDVDKIAFTGSGPTGVKIMTAAAQLVKPVTLELGGKSPIVVFDDIHNLDTAVEWTLFGCFWTNGQICSATSRLIIQETIAPQFLARLLEWTKNIKISDPLEEDCKLGPVISRGQYEKILKFISTAKDEGATILYGGDRPEHLKKGYYIQPTIITDVDTSMEIWKEEVFGPVLCVKTFKIEEEAIELANDTKFGLGAAILSKDLERCERFTKAFQSGIVWINCSQPCFWQPPWGGKKRSGFGRELGEWSLENYLNIKQVTQYVTPDEPWAFYKSPSKL >Solyc04g082480.3.1 pep chromosome:SL3.0:4:66205510:66210941:1 gene:Solyc04g082480.3 transcript:Solyc04g082480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERVIPSNPLLTTPSDYLMDLDYMDGLFLEGCWLETTDGNEFLQHSPGIFNAPFDSSFMWPTTIDANNVEFNGISSKDAQQEKQRPSLTENLSINHCQELNYAKVQSFGENMNNAMCTSSLSENHLVEAHELNKRWWIGPKASSSVMDRLIWALGYIRDCSRDKDILLQLWVPINRDGRRVLSTTNQPFLLDLNCPQLANYREVSVNYQFPANEDSKEIVGLPGRVFADKVPEWTPDVRFFRSEEYPRVEHAQQYDVRGTLAVPVFEQGSRNCLGVIEVVMTTQKIKYRSELESVCKALEAVDLSTSEVSTTQDAKVCDLSYQAALPEVLEVLKSACETHGLPLAQTWVPCIQQGKGGCRHSQENLIHCVSTEDSACYVADPRVQGFHDACSEHHLLKGQGVVGRAFNTNQPCFSADLTSYSKSEYPLSHYAKMFGLQAAVAIRLRSISTGSSDFVLEFFLPSDCRNPEDHRKMLTSLSIIIQNVCRTLRVVTDKELQEETISVGEMANHTVEQHKEHTETSQERTSWTSCDAEFQESSVMSTFQDEKPDEMLRKDSVEFRHRKNSAYEEGVSRNLGKTGDRRRAKAEKTITLQVLQQYFAGSLKDAAKSIGVCPTTLKRICRQHGIKRWPSRKIKKVGHSLQKIQRVIDSVQGASGTLQIESFYSNFPELASPNASRMSPFADSKSNEHPTALNTQQERCITSPNPDASKSPSSSSSRSSSSSQCCSSGTKPQSPQSHPLSIVGDEDLIVQEESVDNAVKRVKSEPELHLSSEALKTIPRSQSHLCVAENPISENLVLKRSPSTSQEEAPRVKVTHGEEKIRFRMQNSWRYNDLLREITRRFGIDDPSGLQLKYLDDDSEWVLLTCDADLEECIDVCMSSQIQMIKLILVQDSQHHFGSSFGSSSPILVQ >Solyc01g016600.1.1 pep chromosome:SL3.0:1:20525130:20525670:1 gene:Solyc01g016600.1 transcript:Solyc01g016600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQLKKNKLDKRLKYIHARKKVSIIIYVATCTAVLIFSIVAASIATPRVASGADRLTGNRDWLLLKKFEFSIDEDVVTVSIKEIKKKMDVFMKNVQLLGMKDDVCSRDIRLARTVDLQRIIKPPNH >Solyc10g055085.1.1 pep chromosome:SL3.0:10:56278039:56278572:1 gene:Solyc10g055085.1 transcript:Solyc10g055085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMAQGVELGSKAVEKWFKKLPHAKQKLGSNSTIVDRAQGIYGSADQDSGALLMTLNFCYNGSTLSIIGRNAFQNYREMPIVGVFQLAQGITNGKTYWFNRTSGMLLLSIMLWFYTTHINLII >Solyc02g085630.3.1 pep chromosome:SL3.0:2:49100264:49102335:1 gene:Solyc02g085630.3 transcript:Solyc02g085630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQVIEDDNMVLISQYYPDIYTQLVPQQGESKPRRRRKKNKLGEGSGNDQMMMMRKRKLSEEQVNLLEQSFGDEHKLESERKDKLAAELGLDPRQVAVWFQNRRARWKSKKLEEEYSKLKSEHDNNVVEKCRLETEVLKLKEQLNESEKEIQRLLLERCDGVSSNYTSPSASSFSMEAPFLGEFGMDGLDNVFYAQENNYVQGLDWVNLYI >Solyc05g040055.1.1 pep chromosome:SL3.0:5:49870247:49876261:-1 gene:Solyc05g040055.1 transcript:Solyc05g040055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELFEAHRYTLFNTGDEQEENCPNIEETFWREPNDDIGSSKRLVDVDVRWSREDLPVDIIDASSIAQHSQDEAMETSEEEDYFDDTDWDWMECEKGHLLKLIGGQQSVAPQSEAKRQSAEELPFENQPVEELPVVHPVEELPLEQPIQELSLEQHGKDFPFEDQVQMKSVTPQTNDQPEEQDDVVIELSSFLGTLARNATLCPFDILDWRSMDTKKDLWDYTKGKYIIPEVAYHWAMVTIRDAWRRHRSDLKLNYYDPYENDAVRMAKKPGHIPECQFRELLKYWNSEKFKEKDKETVSSKDLFVVTRTRKPGRSYKASNEDTTSKIAEMEQIEKQISINGEYVDAFSSVMGPEHPGRLRLYGAGVTKTTLKKKVGNWESTLSATTDGMQQMQERMQKMEKQMEEQKKIVRQEVIADVIAQLKHAGLIDPNILAALLTPSPRESTSVQGAKQGWCR >Solyc07g020960.3.1 pep chromosome:SL3.0:7:15030868:15035148:1 gene:Solyc07g020960.3 transcript:Solyc07g020960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGDVMEKSPVQQIMGGSPKWWNMMNNMRPPISSSQQAAVAATTTHHVPNSLLPPNILFPHFSSSLVPMSSTTNSWNDSNQSQLPESWSQLLLGGLVEEEDKSVHMVKKLENNWDEQSFLSQHDSVIDVNQKDLRNSYNMYEDGNNVEFHHQTAELAAKPTWSAQMIPVSSPKSCVTTLSSNMLDFSNKNTHPTPDHSSECNSRAPNKKARVQPSSTQSTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYVRFLQSQIEALSLPYLGSGSGNMRRQQSVHERNNLFPEDPGQLSNDNSLKRKANSEQDYQEDKKKDLRSRGLCLVPLSCTLQVGSDNGADYWAPAFGGGFR >Solyc10g084557.1.1 pep chromosome:SL3.0:10:64150885:64152001:-1 gene:Solyc10g084557.1 transcript:Solyc10g084557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEKRKQSQQNVSTRANAILEVVKTMTVSNDYFSFKASRNQLHSCMTIRANAKVFHHTNDSCFISSNKSESNSHFLTMEEQSLFLFFLFNVEKYLSGACNVACPL >Solyc11g030550.2.1 pep chromosome:SL3.0:11:21987080:21988991:1 gene:Solyc11g030550.2 transcript:Solyc11g030550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMLSVELESKKKKLDSWGRELNKREALTEREKKKLEEEKKQQVHDKLELKQETLFLAVNSLDRFLEKQVAKNKLQLVCLVTFLIASKYEEILPLVHGL >Solyc01g044376.1.1 pep chromosome:SL3.0:1:43814162:43828454:-1 gene:Solyc01g044376.1 transcript:Solyc01g044376.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIVVAFEVVAWEKKTRSSAKKLGGSTLPPGARDIERFSGMESVSGEDKHYFDILRQVLRDIQGACGRWRGGVNGVPAKGKGGGRNRGSSMREINNLKTRLSATFEMKDLGPAKQILGMKISQDRSAGTLNLYQELYIEKVLSRFRVDDAKPRTTPLANHFKLSKEQSPKTAEECDHMTLVPYASTVGSLMYIMVCSRPDIAHAVGVVSRYMANPGKEHWEAVKWLLRYLRGTSSTSLCFGKGNVTLHMDADLGGDVDSSKSTSGRPSLQLAY >Solyc05g054290.1.1 pep chromosome:SL3.0:5:65087278:65088812:1 gene:Solyc05g054290.1 transcript:Solyc05g054290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISFPNDLNSAPLCTVCEHKVVDDGTRSITKLICGHYFHTDCIAVEFNFMGQMKCPNCEVVEEDGLWMKVANSEDGESSDEDEDEDEDKQNSEVDQNNEHHDMNPLHATNNAQNGGASYMRRQQLHVPPPPPAPEMIDKRISVSGLNVGLNPVNRNNAFPCLELTLATTSSSVSDGCPQPACQCVTCRASSSKTH >Solyc12g021263.1.1 pep chromosome:SL3.0:12:15113920:15116315:-1 gene:Solyc12g021263.1 transcript:Solyc12g021263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNKGDVVFVPWKFDQEECRNALCRMVIIDELRFRFVEKEGFKQFMKVAQPCFHIPFRTTKTRDCFNLFDEEKHKLMAVFKGTKQRVSLTTDIWTSIQRINYMVITAHWIDKNWTLHKKIINFCPIISHRGEDLGKSISKCLHEWGLHHIFTVTVDNVGPNSVAITELSKQLTKWGTNLMGGSHLHIRCMANIVNLIVQDSTKEANVSIERVRQAVRYIRQSSARWKKFQECCEDENLAKKSLCLDVPTRWNSTYMMLKRVIEYEGAIVEYADRDIGLTLHLKFVDMVDKNSTGTLLSSDWEGVKRTTKFLEMFFNLTLKISGSRYVTSNLHFLEICQVGVYLNQLISNEDHVLAKMVENMKEKFDKYWGDTEKMNKMVFIPCVLDPRHKFITLGFALRKMFGEKGAALEIGVRTYMESLFIEYTKPVDSDKNGQFSSTKVDTSDSRSGGEFGNFFEELQKHTSEKGGASSKSKLVNWKSDFDVLLWWKVNSPRFQILSEMARDVLSIPVSSVASECAFSTGGRILDSFRSSLTPKLVQALVCLQDWLRSEQQPISIEEDLDFLEQLEEDFIMPELHGSNARSPIWNHYEKLEEKEDGSWTVKCIHCGRVAYYHSHYNGTASLRNHVKRCLETRNQNR >Solyc07g039630.1.1 pep chromosome:SL3.0:7:48954411:48954947:-1 gene:Solyc07g039630.1 transcript:Solyc07g039630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGNLTRPRYLIKRNPERSQRLPHKNIRRDSSVKLFLNVALIALRSCCCRTSGRYYCTIPSICCRPASYVASGKRSSLGVSVCRSCSPLLLSHPRWSSTPASLLLAGAVASDCSPITAVMLAGGAGEKGRREAFV >Solyc04g015370.3.1 pep chromosome:SL3.0:4:5576971:5582196:-1 gene:Solyc04g015370.3 transcript:Solyc04g015370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:K4BQ40] MAARIALLKYLRVEARPVQLRNPRLIGGSVSQLFKRHFSEEVRGSFLDKDEVRDRVINVVKNFQKVDPCKVVPNAHFQNDLGLDSLDTVEVVMALEEEFGFEIPDNEADKINSINLAVDFIASHPQAK >Solyc07g061800.3.1 pep chromosome:SL3.0:7:64874539:64875454:-1 gene:Solyc07g061800.3 transcript:Solyc07g061800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLMIKLLFFLVLISNGYSTKLDSYPPTCKKIECPNYDVIESGKDYEIRRYNSPMWMSTEPIDDTSFVSATRIGFTRLFMYIQGKNDQHEKMDMTAPVMTQVKPSDGPLCSTSFVVSFNVPKKNQPNPPSAEGLHPQKWNQSSYAAVRQFSGFVTDGDIPKQAAALTASIADTKWAAAIEKSRSKDNSTIYTVAQYNSPFEFRARVNEMWFTFVMDTAIDI >Solyc07g066450.3.1 pep chromosome:SL3.0:7:68011436:68014728:1 gene:Solyc07g066450.3 transcript:Solyc07g066450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGLPALGRVKLSDLVPLEGLPSDSYKLSVSTLSQSLAQYSAAIIQLSTSDGALLRSSLESARLYFQHKPSYPAADVIHSDDSQEWCKTSGYHADPQQWQETYDFRPGLTPSEPTTEIEFPPAGLADIFSLLGRAARDILDAISFYLNLRSSPFTEILDNVPLRNRETSSSVLSVCCHSRPSFQGAQHHGLTTQEDGHLGMFSDHEHQVDRSLVTIVKSDRPGLHVRDFHGHWVLVDGDLGPQEAIVYPGLALYQATAGYISPALHRTDIGNQQGSIYGRCSLSFKLMPKSMTNLNCSEMRAAGHGVEAQFQLPVPVDDFMQRSTDQLLNRSNFPTFNFPTVQDGSMKPMMRRRKSNSRSKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCTLKDCESHIQSLDSPCTNIRMEIGWPPGVPFVHPHDLPNKAKIGFLETYEPGWSATHDMELSLIDPGQPSQHTSNCNYHSPNYPCFSASVSLFKQ >Solyc03g117730.3.1 pep chromosome:SL3.0:3:68263736:68267351:-1 gene:Solyc03g117730.3 transcript:Solyc03g117730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKKTTNPLRSSTSYNSLYDNPLNELGQNRSSSTVGEALGVHLLQNQLVISDNKENSAPHKPEKSNLCDKEYDWTSKVVNNEFTRVGADVKDSVLRPSSLQLCIQKNEPDSKIGLKIWEHADDTETPNSANIWDYSDSEAAPASSWSTLPNRSLLYRPLPIDIGRCTCVIVKEASQESRDGGSFYSLYTNEGQGRQNRKLAIAHHRRRNGKSEFVVAQNTKGLWGKSDDSLIGHVTANLLGSKYQIWDQGRPNSTTKQSKLLGAVTFMPTIATWTGSYRRIKAYIPKHQSMQLKCTAQHINGLPADWEEHMDKVNQLFSKIPHYNKVSRQYELDFRDRGRAGLRIQSSVKNFQLTMEKNGRQTILQLGRVGKAKYVMDYRYPLTGYQAFCICLASIDSKLCCTL >Solyc02g021277.1.1 pep chromosome:SL3.0:2:22886722:22890390:-1 gene:Solyc02g021277.1 transcript:Solyc02g021277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSGCLLGLGLPRNRGKAFVNGKMDLVLVNLAETKLMSRFSRLPTTKETLPPCKQPWGPSFVISLTYGSRKGFARMIAMRQTNTTT >Solyc06g062570.1.1.1 pep chromosome:SL3.0:6:39595999:39596262:-1 gene:Solyc06g062570.1 transcript:Solyc06g062570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAAVIRPVPPHQDKTMSENGFRLYDMVDVFANDGWWFGFISGKIGEEYYVYFPTTADNIAYPRHVLRYHQEWANGKWIYLPKTRN >Solyc03g096450.2.1 pep chromosome:SL3.0:3:59973766:59976471:1 gene:Solyc03g096450.2 transcript:Solyc03g096450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTCYIGVNIFLSLPSSSSSSFFLFLSESSHLSQIKLCRKIQINKPNNYWMLHRFKDDQAEVQGSVFWVSAKRGATISPIGFYLVFKQYLS >Solyc05g046240.1.1.1 pep chromosome:SL3.0:5:59276846:59277253:1 gene:Solyc05g046240.1 transcript:Solyc05g046240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKYIPSFFLLLFVTLFLTSSYVIQAEARNLLEVTIPELPKPELPHLPEISTLPKLEFPEILKPELPTLQKPEFSKIPKPEFSPFPKAELPTLPKLEIPIIPKPELPTFSKPEMPQVPKKPLSTRHVKNVLCIV >Solyc12g056660.2.1 pep chromosome:SL3.0:12:63609676:63615516:-1 gene:Solyc12g056660.2 transcript:Solyc12g056660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINSETEFPEDRLNFEALKSHHKSKAIASISKQQNPFDLLRILYEGAVSGAAAGVVVEAALYPIDTIKTRLQAVRGGGQIILKGLYSGLAGNLVGVLPVLCRASAIFVGVYEPTKRKLLDSFPENLSALAHLTAGAIGGAASSIVRVPTEVVKQRMQTGQFSSAPDAVRLIVAKEGFRGLYAGYGSFLLRDLPFDAIQFCLYEQLRMGYKLAAKRDLKDSENAMIGAFAGAITGAITTPLDVIKTRLMVQGSAKQYEGILHCVKTIARDEGASTLFKGIGPRVLWIGIGGSIFFGVLERTKKLVAAKQPVD >Solyc07g041850.2.1 pep chromosome:SL3.0:7:54354287:54358096:-1 gene:Solyc07g041850.2 transcript:Solyc07g041850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKPNPISIESETISIKEIMQLRTDDEVNSKSEYNDNNVDEQDGKGDEHTNKKMCNRRHTKQQIAEMEALFKECPKPDKKKIKELSDKIELEPLQIVFWFQNRRTQLKNQDQHSKNLSLRDEYDKLRTEYAWLSEVVNNGCPNCSDHGFHLGEIPDNEQHLSLKNARQEEEVVHISRQHIAEVIRAAMYELLQMSQMGEPLWLPNNDLNIEEYKRKFPRSNDPKPNGIKTSASRESSLVTMNHINLVKIFMDTIYAEFQVPSPQIPNRHCYFVRSCNKIVDGLWVIVDVSLDHTPITRCWKRPSGCVIQQISNDISKVTWIEHIEAHDTLIYTFYKTFVNSSLAFGAKRWISILDRQCERLASVEATNLPQNNITHTLSIDKERRKSVLKLGERMIINYISGVSGTKTHKWTTFTGSGYNINDLQVKTRRSINDPGRPRGLVLCASTSIWLPVLPKLLFDFLRNENTRGKWDILINGGTIQEVTHIANGMEIGNSISILRVNCPNQAPNGMLIIQESISDPTGSFIVYAPIDIRAIDMILCGGNPDVVPLLPSGFAILPDGPSGSTNHEISDYSGSFLTIAFQILVDNVPTANISPQSVAAVDKLMFCTINKIKNALFLNF >Solyc08g044332.1.1 pep chromosome:SL3.0:8:21595760:21601005:1 gene:Solyc08g044332.1 transcript:Solyc08g044332.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVWFYECCSYVDDKIAVKVSSHIPRIINWVTKNDHPRFDYFMKTIFNDADNPIKFRNIEPTAMEIKILKLPQSTEQSMSQGLQTDHNKVTDPDDDFQNPPSITSIKGKEKVIECSSPIRKKKKQSVTVISSTKAVKTYTRRSMARKATRLQSININSVAKHSAAGTSHNNENVEQKSVQDRTQMGQIKKSTSITISRDEFEAFKKSVKDEFADLRKMLEDKFKIVLEAMNSKGSHGNVVDDDQELPIGDVHHKPTYTPHEPQSQAANVTEQEATFEDVMQETHITRVHQLNTKSSQLGAQKKPIGHHSALKDRELGDNLQELNQNSPLLDHVVLGDNLNDVSGTASQDQLVLYVDVDAQQNVQRETESSSNSRVIYNIYNAASHERIAEAEESILVAAPIQMVYMPDSNQETVVTESQVELPEHLLPSVNTLQNIVLQKQVEAEVTPMPVVRHRRPGPFNISPYMTLFGSDSATIDNKNWFYNIGFERQLIDNSMLAYAPWHTVDDVFIPVNLEGRLHWILIVISFNDRCIKVYDSINNSLHHSFVVNHIKKYAQLIPMYLVKSHFYQKKGLDIASHHRYQGHTVYDSFEIVYVEDLPQQPTASLDCGVYVASYAEFLSERKDIPAVLDPEEIRLRYGALLWNYGNQKIQAGAASDIEAPLKPVRNRTQNNSSERITIQ >Solyc03g093530.2.1 pep chromosome:SL3.0:3:56331671:56332815:-1 gene:Solyc03g093530.2 transcript:Solyc03g093530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKEEEKLTSVGSNPDRKFSGSGNGSSPADEGTKKQYRGVRRRPWGKYAAEIRDPTWKGSRVWLGTYETDVDAARAYDCLRGRKAILKFPFDAGNLIPQVTIGRKRRIDQ >Solyc08g077685.1.1 pep chromosome:SL3.0:8:61719036:61722920:1 gene:Solyc08g077685.1 transcript:Solyc08g077685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFVVDSMINVDDHDELTLPEEKEQKEQVPVKETLPLIFRFEDEEPLPPEKEEWEKGVDDLFVEMDMCILESRIGFTNPSDSPIQSEKTSGCQMGNHQLFLDEQIGLICKVCSHVHLESKYIFPPFRTRGRHERKYFGESPSLWDVDGFKFYDSSAVHDAAIYGEGTVWDLVPLSAKTTMYPHQREGFEFMWKNIVGDMYLEKLKEPISGNRGGCIISHPPGTGKTRLTIVFLQAFLKQFPKCRPVIIAPSNLLLNWEAEFQKWEVDIPFHNLNSKDFSSQEDEATVSVFHCLSRAGKNNPHLIRMVKLRSWAKSKSVLGISYDLFRILTGEDGDSYATEIKEILLKLPGLLVLEEGHTARNDQSLVWKALNKIETEKRILLSGTPFQNNIKELYNTLCVVSPKFAADLEHKWAYLSSSIDKNVRALEELRDMIAPLVHKCSENVKKVSLPGIRDIVIHLKPTHLQKELLKRIPEFPGSFYEQNMVSLISVHPSLVATRKEFADLESQLKERGCRLDPDNGVKMKFVVELIRLCGGLKERVIIFSQLLDPLNLIKEQLHSLFGWTLGREILYMDGKLDVKQRQISINSLNDPKSDVKVLLASIKACSEGISLIGASRVVLLDVLWNPSVEQQAISRAYRNGQTKFVHVYCPVSSKWEVDKIEQQTKKKYHSDVIMSRNKVNTFKMDPACSVSEDTILESMVKHECLRHIFEKLSHAPRVVPPTTCFNSCNRPSKLSYRLELFWGCE >Solyc11g007820.1.1.1 pep chromosome:SL3.0:11:2042640:2044007:1 gene:Solyc11g007820.1 transcript:Solyc11g007820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQTKTIVPSRYITFTIPNPSIHHHYNASHLRIAVLDSSSPSGRTKFGVIVVPVGLESDWVYSTFEGHLQILLCHTDFYRLVLIGNSPNPINPTSHSPVINPKDQSVIQRAFIRLLNDELKPSNQSSTVWFSTRVDMVIRSLVLGRFFGKIIGEMLIEDVEWKLENGVGTEFRRRMRFRKSPYLFETYMKIQPNKTDFVNMEDVEFEIVDDGVLRPSYLTPMVAGLRVNHLLLNRKIQKGIKPTALCLGVGTGALVAFLNSQLGFKVLGIETDSIVLDAAKNYFGFESSNNSRLCTGHPLKMAEKFATQVETDGFHGYILDDGECLNEFDDKFDVVISDLEAANPSVVMKSPSAEILQKSMLMNIKKLLGVNGVLILDVTIPRERYYDEVITPVMEVFGEMYEIDVENEKYSVVIAASETEFGAFDPSESEFLKTLKKVTGSFPEAVKPVSKKR >Solyc01g080340.3.1.1 pep chromosome:SL3.0:1:79414730:79416384:-1 gene:Solyc01g080340.3 transcript:Solyc01g080340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGIFPYYIFRNDLIVSSVCFNKDKINPSEIIACTSPPPLRIFRRRFRPYSVCSTAIYNKSKLNFSISHLMDFFKSVFADDPEFSDTEDAPTSPSNSQSQPESPNPNPNHDVPAITNAWTFGSTLFRTIASKSESVVQNYRRDFEEFSSGLKIETATIREVASRAVKDLPARFESGAAVAQESLESVGQAIDNIGSTVSEIIAHGKESILDNDSDSELFENSSRRSLGRSSSLEQNLNLNAKPYSRIDATIRATQCDAKTYCDEPEDMIDYNEWKLGFVLVEMNGEIEGLIKENGVINEIYSELVPSRIDHETFWSRYFYKVYRIRKADEARVRLVNRAISGEEEEELSWDVDDEDDENTEGSNGVASENVAKEEVVKKMDSSVSREDEKETALEITSDDGGDVKGILAGRVDDKGSSEGKNDNSDFSVISSQVSSHEGDDLGWDEIEDIASGDEIKVPERTSPNKADLRKRMSAAEEELSWDSEVDDEEAAKS >Solyc10g017490.2.1 pep chromosome:SL3.0:10:5428988:5435306:1 gene:Solyc10g017490.2 transcript:Solyc10g017490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNELHLSPPAQMLPQRMESGGPYRLLHCSGLGDKEGVMQELEKGVEPNLVDYDKRTALHLAASEGCEEIVVLLLEKGADVNSTDRWGRTPLSDARNFGHENVCKILEAHDGYDPLGDSDTPCFMIGHGEVDMKDATLIGEGAYGEVYLVKWRGTEVAAKTIRASIASNPMVKNAFMKELALWQKLRHPNIVQFLGVLKQSDRLIFLTEYLRNGSLYDQLRKKGRLDPLTAVAYALDIARGMNYLHQHKPHSIIHRDLTPRNVLQDEAGHLKVTDFGLSKIAQQKDSYGYKMTGGTGSCTEYY >Solyc01g006020.3.1 pep chromosome:SL3.0:1:678843:681793:-1 gene:Solyc01g006020.3 transcript:Solyc01g006020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQTTINSFTFSSQPISRNSLFFKNLTFFTSPLLPKSFLPSLDYRFYLGNKRSSLSVSSAKTGSKTIHATLLEAPVLWAGRVCVFYALLKAGLAGSPSNPLVSDLENDGNAELGFAKWFEELQSKPEKEATNRRKLVSKWHPTTKGTLRRNYRVPSKPEGKRLLKSIASLLSDDDHFRDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLIVEITPFPAGPLTDTDYAKAEKLERVLRSGPSV >Solyc03g082725.1.1 pep chromosome:SL3.0:3:54059460:54060215:-1 gene:Solyc03g082725.1 transcript:Solyc03g082725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGDSDGSESSFLSDSALAAAMATVIRAPHKDFVVVKQEWAALRIQAAFRDFLLRKSSWDTSNNTQATYSIISYMEKQHTPPTTIDRNSKKIRPLEISEMEVTETTTAAGSSNATLKFEPPAPTKKL >Solyc09g055880.1.1.1 pep chromosome:SL3.0:9:46240082:46240534:-1 gene:Solyc09g055880.1 transcript:Solyc09g055880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKEIRRVFLMFDRNGDGKITRKELSDSLQNMGIYIPEMEFVQMIDKIDINRDGFVDMEEFGTLYQSIMDDKDEEEDMREAFNVFDQNDDGFITVEELRSVLSSLGLKQGKTLEDCKRMIIKVDVDGDGMVDYKEFRQMMKRGGFSSLS >Solyc05g055605.1.1 pep chromosome:SL3.0:5:66018631:66021281:1 gene:Solyc05g055605.1 transcript:Solyc05g055605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFPLLKLEEYGHGLKKGSCTAVIIITASPVQSFLTSTLISDKYSPNDISYVFSGYAPLSIRLVQHAIRSGWRPIEEILKLLPGPHSDIKRGGFSSSPSLDSLNGSLHNSDKVVDGRRSLVLVVFIGGVTSAEISALRFLSAQEGMAYDIIVATTKIVNGSTLTETFVEKLG >Solyc08g077575.1.1 pep chromosome:SL3.0:8:61672311:61675890:1 gene:Solyc08g077575.1 transcript:Solyc08g077575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKLDVNQRQISINSLNDPKSDVKVLLASTKACSEGISLIGASRVVLLDIHWNPSVEQQAISRAYRKGQTKFVHVYYQVTSKCEAFIDARSFLTSLLGSLREFIDICRCSASSVPSIYRISLSKVQPKREMSCSLISFRGSSNWARRTQGRYERKCFGEFSSVLDVDCFRVPDSSAAEDSPFLEKEPCRI >Solyc07g017420.1.1.1 pep chromosome:SL3.0:7:6904505:6904732:1 gene:Solyc07g017420.1 transcript:Solyc07g017420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGVGVGGLICKSFHPLLPVSFIFIFLLSSYGHYDPFPLILLFLSPSSNTISAQYLFGQEKLGRVAVLSFKHV >Solyc09g031940.1.1.1 pep chromosome:SL3.0:9:27445454:27446527:-1 gene:Solyc09g031940.1 transcript:Solyc09g031940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVQLRCSSLPAHYHYQTLYFSAVKPSFSDNSCVRNLSFNPLTTSHVGSKQLLIKYQVPCAGWGGGDGGSIGIGGGGGGDGDSGDGGHSDDSLNSFGPIGAFVNGWRSRVAADPQFPFKVLMEELVGVTSAVIGDMASRPNFGLNELDFVFSTLVVGSILNFVLMYILAPTASVSSRALPSIFASCPPSHMFEPGAYSLFSRVGTLVYKGTLFAAVGFAAGLVGTAISNGLIKIRNNMDPNFETPNKPPPTLLNAGTWATHMGVSSNLRYQTLNGIEFLLDKALPPVVFKTSVVVLRCLNNVLGGMSFVMLARLTGSQSVDKGELVTVKDDGIKVEKERLLNQSDNIQTGHSVLK >Solyc05g007490.3.1 pep chromosome:SL3.0:5:2047121:2053443:-1 gene:Solyc05g007490.3 transcript:Solyc05g007490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKNSGDNRTRTSVSIFIIAGLCCFFYLLGAWQRSGFGKGDSIAVAVTKTAGENCDVLPNLNFETRHAGEAGGTDESEEVEELKPCDPQYTDYTPCQDQKRAMTFPRENMNYRERHCPPQEEKLHCLIPAPKGYVTPFPWPKSRDYVPYANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVVPIENGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKMPYPSKAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPINWKVNFKAWQRPKEDLEEEQRKIEEAAKLLCWEKISEKGETAIWQKRKDSASCRSAQENSAAKVCKPSDPDSVWYNKMEMCITPNNGNGGDESLKPFPERLYAVPPRIANGLVSGVSVEKYQEDSKKWKKHVSAYKKINKLLDTGRYRNIMDMNAGLGGFAAALHDPKFWVMNVMPTIAEKNTLGVVFERGLIGIYHDWCEAFSTYPRTYDLIHANGLFSLYKDKCDFQDILLEMDRILRPEGAVILRDNVDVLIKVKKIIGGMRWNFKLMDHEDGPLVPEKILVAVKQYWTLGDTNSTSSQ >Solyc05g008460.3.1 pep chromosome:SL3.0:5:2806121:2809949:-1 gene:Solyc05g008460.3 transcript:Solyc05g008460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:K4BX20] MASRRLMASLLRSTAQRGGAISRSPLANSIPKATSRASPAGFLLNRAVKYATSAAAPADKSATPPKSSGNEPTGKITDEFTGAGAVGKVCQVIGAVVDVRFDEGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVPVGRSTLGRIMNVIGEPIDERGDITTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKMTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESINSFQGVLDGKYDDLSEQSFYMVGGIEEVIAKAEKIAKESAA >Solyc02g089440.3.1 pep chromosome:SL3.0:2:51902316:51905242:1 gene:Solyc02g089440.3 transcript:Solyc02g089440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BC95] MAVAVRGGRGGGSALRNFFSYRIFVSAMFTLLFLATLSVLFSSHHDSTIGNAYLHRSLVSINSDPLKTRLDLIHKQANDHIALVNAYTAYARKLKLEIAKQLKMFEDLAQNFSDLQSKQNYRSNLFDTDGPLDDDSLKQFEKEVKDKVKFARLLIADSKESYDNQLKIQKLKDTIFAVNELFVKAKKNGAFASSIAAKSTPKSLHCLAMRLMEERISHPEKYRDEDPEPEYEDPTLYHYAIFSDNVIAVSVVVNSVIKNAEEPWKHVFHVVTDRMNLAAMKVWFKMRPIQQAHIEIKSVEDFTFLTSSYVPVLKQLESAKLQNFYFQNSAENATQDVNNMKFKNPKYLSMLNHLRFYLPEMYPTLHRILFLDDDVVVQKDLTALWTIDLDGKVNGAVETCFGSFHRYSQYLNFSHPLVREKFNPKACAWAFGMNIFDLDAWRREKCTEQYHYWQNLNEDRTLWKLGTLPAGLMTFFSKTKSLDKSWHVLGLGFNPSVSMDEIHKAAVIHYNGDMKPWLDIALNQYKELWTKYIDSEMEFVQMCNFGV >Solyc03g013373.1.1.1 pep chromosome:SL3.0:3:46772407:46772757:-1 gene:Solyc03g013373.1 transcript:Solyc03g013373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLYYSDGYYVFLFANLANEEDILNARPYTYHYMPFILKNWEIDFVFHNEYMITILLQVYLPGLLVGYWSMEALSKPTSENSTLLYTDRFIVDRNRISYTSVLVEVDLYPSPIG >Solyc12g019146.1.1 pep chromosome:SL3.0:12:9348749:9349700:1 gene:Solyc12g019146.1 transcript:Solyc12g019146.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMDKAKVVITSLAMHFKLSTKQCPSSDDEKEDMNYALQIEHEQCPSSDDEKEDMNLSLCFGTGKPILCDYTDSDMAGDVDIDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAELIVVVEACKEFLWMKRFLGELGCA >Solyc07g017680.2.1 pep chromosome:SL3.0:7:7721211:7723520:1 gene:Solyc07g017680.2 transcript:Solyc07g017680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCKSPFEVTCLRMEEKLAYSKTEQVVLIITFYANLLHAGLPLISLVSDIMLLHHEVVVDYPDDHAETHRSTLLAMGRTENGKTAMSMALTVGIPAATGALLLLANKIKANGKLRPIDPEVYEPASRRKPMVKRNNHNAAQGPQHFTSSVVSKNQNQGLFDDYKTECDESTNDDSKEVFQDRSIFENSNLGDILDIVGAADKQVDCDNSPVRTLITVDAGFSLSKSITPSIPQPSFVFDKPKKIRSLVFEDNMIVQIKMTMMKRISSDLLPLFSL >Solyc12g015985.1.1 pep chromosome:SL3.0:12:6056408:6059885:-1 gene:Solyc12g015985.1 transcript:Solyc12g015985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPKSVGLTDLNQNLSHNFYYKLGEGSNMSMESYGMSNAGGSVTMSVDNSSIGSNDSHTHILNHQYNHIHNNYSVVASVVRGRVSRLSDNALAQALVDPQFPTIGLEIYDELKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLS >Solyc02g076880.3.1 pep chromosome:SL3.0:2:42562067:42565054:-1 gene:Solyc02g076880.3 transcript:Solyc02g076880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSPIVELRVHLDCQGCESKIRKALKKLRGVDNIDIDMNMQKVTVTGWADQKKILKTVRKTGKRAELWPYPYNPEYHNYMHHYYYDTFYNRPGTYYAPPSSYNYRVHGYSGHAHGSYAELPYNTIFDERTRHMFSDDNATGCSIM >Solyc11g066330.1.1.1 pep chromosome:SL3.0:11:52371985:52373652:1 gene:Solyc11g066330.1 transcript:Solyc11g066330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMPMSKIHEILQFTNHVIQGRWFSLFASFLIMAGAGATYLFGTYSKEIKSSLGYDQTTLNLLGFFKDLGANVGVFSGLLAEITPTWFVLLVGASMNFIGYFMIWLSVAGKIQKPKVWLMSMYICIGANSQNFANTGALVTSVRNFPQSRGNMIGLLKGFTGLSGAILTQLYLALYGNDATSLVLLIAWLPAAISLIFVYTIREMRVVNQPNQLKVFNYCLAIAIVLALFLMVMTLVERAVSFTHAAYVATATIACALLFTPLLVFVREELLSVFRNPPEVVVVVEKEDTNNLKCLSFNDIFLNKPERGEDYSILQALLSTDMLILFVATFCGLGTSLTAVDNLGQIGESLGYPTTTIKSFVSLLSIWNFFGRIFSGFVSEALLVKYKFPRTLMMTLVLLLSCIGLLLIAFPFNGSVYVASVIIGFSFGAQLPLLFSIISELFGLKYYSTLFNCGQLASPLGSYILNVKVTGPLYDREALKDLARKGLTRSSVKELTCIGNQCYRQAFIILASVAFFGALASLVLVARTRKFYKSDIYKKFREQAEAEMASTKQ >Solyc05g007040.1.1.1 pep chromosome:SL3.0:5:1629610:1629849:-1 gene:Solyc05g007040.1 transcript:Solyc05g007040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKEELESLLVAYETNYSFETLSFHFHRNISEMILKIVYKRLHILEMVLKIVYKRLHLLNSSSTIFSLKKHSQEQEIM >Solyc02g043967.1.1 pep chromosome:SL3.0:2:380209:382695:1 gene:Solyc02g043967.1 transcript:Solyc02g043967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYQLIDLVERVETIEEVELEHDNIRIEREDIDGASVDAPLNNEEEADLKKRKGRGKTTGLSTQKKRKENDSGKLKAIVQMT >Solyc01g108210.3.1 pep chromosome:SL3.0:1:95464623:95468856:1 gene:Solyc01g108210.3 transcript:Solyc01g108210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISCSIYVLFFLIALLLYYHSSLKKSKWTNLQKAKLPPGSMGWPYIGETLQLYSQDPSVLFANKQKRYGDIFKTHILGYPCVMLASPEAARFVLLTYAHLFKPTFPKSKERLIGPSALFFYQGNYHSRLRKVVQSSLAPEALRKLIPEIEDLAISALELWAEKNQTINTFRVMKKFSFEVGILALFGQLDAKYKEELNKNYSIVEKGYNSFPTNLPGTAYYKAMVARRKLNQILSEIISERKEKKTVEKDLLCHLLNFKDEKGKNLTEYQIADNVIGVLFAAQDTTASALTWILKYISDDQKLLETVKAEQRTIYESNGGKKPLTWAQTRNMSLTYRVILESLRMSSIISFTFREAVVDVEYDGYLIPKGWKVMPLFRNIHHNSEFFADPQNFDASRFEVAPKPNTYMPFGNGAHACPGNELAKLEMLILIHHLVTKFRFDNDIIFASKFITYIILSGKSKFPKEYNIAHSQYLSMDSLLGSGRKQEAKETPRKQMPPDKN >Solyc03g112050.3.1 pep chromosome:SL3.0:3:64070728:64074837:1 gene:Solyc03g112050.3 transcript:Solyc03g112050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METERVTGFPLTNLDRRPRKRARLGWDVLPEPPKVWESLSLYVFYVVSRIDLLLFIHDMVFFLAGFLYFRAQLGLFCGQDVGNVTSYASSRQLTDLTSAPFTIKGVAQNGSPPRREDDKDGHFVFELGENLTPRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGMKKYRDAAMIEVEMLQQLGKHDNGNRCVQIWNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLDCVAFMHDLRLIHTDLKPENILLISPEYVKVPDYKVSSRSPKDGSYYKRIPKSSAIKVIDFGSTTYDRQNQTYVVSTRHYRAPEVILGLGWTYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMEKVLGPLPQHMLKRVDRQAEKYVRRGRLDWPEGATSRDSIRAVLKLARLQNIIMQHVDHSAGDLINLLQGLLRYDPSERLTAREALRHPFFTRDHLRR >Solyc07g007010.1.1.1 pep chromosome:SL3.0:7:1801489:1803063:-1 gene:Solyc07g007010.1 transcript:Solyc07g007010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDQIIPSNSSSRRWTDRISNALQKEVDIDLNLLPPVCVLQVPKTLTHEKPEAYTPQLIGMGPYHHLRPDLYQMERYKLAAIKDILEPAQIINFEHLLIDKLRENDLVVRVCYNRFMDIDEETLAWIVAIDGLFLINIFRSAYSSNLHNDPENNVDDSIFTRDFMMLENQIPFVVLRQIRKFLHLSSPEDREDAELISMFRRFCEMHSPLPLPANNEYHGNNIKETQPLHLLDLMYRLILDDHVDFASVPIQTSHIINIKHDDKDEAHDQDTHGDIIHKFETMLKVLEPIGPRKVQKVVKPINQILSNVPWSKISGLFREAMERNEDQESKNIITIPSASQLWCYASVKCIPIREGICKIKFEQASSALYLPVITMDAGSEVILRNLMIYEAAMSKSKLEFATYINLMSGTANTTEDVRVLRQAGVIKGDLTDKEIATLFSTMQRSFVRSSEISNVEIVMKKVNEYYDQRLIVRARRGFKKSIYVSLKLLPVALSIFFVLLLIFKTVCSLYDCHNVWGYKDGN >Solyc05g054650.1.1.1 pep chromosome:SL3.0:5:65369546:65370004:1 gene:Solyc05g054650.1 transcript:Solyc05g054650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLMLFSRQENHFNTMVNNSPSRVFECKTCNRQFSSFQALGGHRASHKKPRLMGEMNFHLPISPPKPKTHECSICGLEFPIGQALGGHMRRHRGMLNENNNNNNQVPNHDVMKKSSNNPRVLCLDLNLTPLENDLEFKLKKANPIVDCFL >Solyc05g048780.1.1.1 pep chromosome:SL3.0:5:60290012:60290572:1 gene:Solyc05g048780.1 transcript:Solyc05g048780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNTSPKVSILIILTLISCAIAQPSSCNPSGKIEGIKPPPGKCKIGYQSECCKPGKSYTTYKCSPSISGKTKAVLTINSFQKGGDGGGKSECDNKYHSDNTPVVALSTGWFSGEKRCMQNITIYGNGRKTNAMVVDECDSTMGCDHVHDYQPPCANNIVDASKAVWKALGVPKKQWGELDIFWSD >Solyc04g017840.1.1 pep chromosome:SL3.0:4:8444648:8445797:1 gene:Solyc04g017840.1 transcript:Solyc04g017840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKDYEHEAFLSLCLSRFVFPCIVGSPIFSIDVNMARGMRLVLSPGVLPSIYRDLGLLRMVMIETGRRNKDIVEIHKLNLWLSLFFVQVWAWERISLQLEHFQEPYRPNRVAMQFGYDQDFPKWIPSSPSSSELAWYNYSTPIASDLRLYYPSRLFEPDVTTRYLKWWRNKLIDKWRIALMFLLKHIAEKTVHTSIDGSGSSSARSMERSKAFVLTTTCTKISEGNMAMGNHEKESGAYDMIDILKL >Solyc09g055590.3.1 pep chromosome:SL3.0:9:42490932:42506195:-1 gene:Solyc09g055590.3 transcript:Solyc09g055590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLSESKQGIWGVLARKAKSIIDDNNTDQPHLSEVSTRMQHMPDNATIGQQYDRKQLPESRRKAEGHKLQKGLDALASSLNYIGGTIGNAFEEGLAVMENRTADIIQETKKLQIRKKDSSSIPQNNASMSGTHQQPLLRAHMQPQTQADLETQLKASRDVAMAMAAKAKLILRELKTVKADLAFAKERCAQLEEENRILRESSEKGGSPEDDDLIRLQLETLLAEKARLGQENSVLTRENRFLREIVEYHQLTMQDVVYLNENSEEVTEVYPIKVVSSQVTKDNSSPVSSLETKYVSCDITSLSSFIQTPAEDELGRH >Solyc10g076185.1.1 pep chromosome:SL3.0:10:59119627:59120001:-1 gene:Solyc10g076185.1 transcript:Solyc10g076185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSTHNFIQPRAAKYLQLSTIPHLAVMVGSGQRLKCEGLARQITLSIQGSKLVEDFYVLSFEGSDVVLGVSWLSKLGHVLTDYATREFEFTLNGNKVKWKGDPPMDVQPIQLQLEKNACN >Solyc04g011860.1.1.1 pep chromosome:SL3.0:4:4274684:4274992:1 gene:Solyc04g011860.1 transcript:Solyc04g011860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGATSGVVIFTKSSCCISHSIETLIRSFGANPIIYELDTHPNGKQMEKALMELGCQPSVPAIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWI >Solyc12g005020.2.1 pep chromosome:SL3.0:12:10447:13598:-1 gene:Solyc12g005020.2 transcript:Solyc12g005020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHLFTCIYTLLVKSKECIFTWVFFGFSSGLLLMVTKNAIWALFMCILALGGATVGIVTGAIKGQTTETGLLRGAGVGAVTGAVTTVQLVELILNGESFSKVALVCSLVNGKVFMEWVSPAVLKAYQWQVTTHVESSLREISDIFDINATKGLSQEVIKKLPKYYFCSVSTSQQVTCAICLQDLKDGESARVLPSCKHSFHTQCIDEWLTRHGSCPICRVEI >Solyc10g075020.2.1 pep chromosome:SL3.0:10:58769920:58775237:-1 gene:Solyc10g075020.2 transcript:Solyc10g075020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLTNLKLSLFPNSCSLSSLSSNPFLVSTSIFRRTSHCFPKIFAFSSNDIKVGSNIEVDGSPCKVIEFLHVKPGKGAAFVRTTLRNYVTGNSVEKTFRAGSKAFTFGVYKKHNLYIQPVDQSLRCSGAFGEQMALACVHDDADGSMSRDKLGFKCRDEIEEANIYKETKQFTYKDGAQYVFMDLTSYEEYRLNEKEVGDKAKFLKEGMDCSLLFWNGKVIDFELPITVKLTVVDVDPGVKGDTAQGGSKPATLDTGAIVSVPLFINRGEEIMVDTRNGQYMSRA >Solyc01g112070.3.1 pep chromosome:SL3.0:1:98093585:98097506:-1 gene:Solyc01g112070.3 transcript:Solyc01g112070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKHETLFLVILHTLLFRRRKQRIHRFLTLASALFAAILCLTLFFSPPIHQHHLINPISFINGTGFHMSLQQDHIFRVPMGGGSLSGDVWISKQSKFYHGCSDSSNSFPTAEVNTHPNRYLMIATSGGLNQQRTGVNIFFYPNSRAQSLIENNLYPLVVIVDAVVAAHILNAILVVPKLDQKSYWKDSSNFSEIFDVDWFISRISKDVKIIRDLPRIGDKIITPYTTRVPRKCNAKCYQTRILPILKKKHAVQLTKFDYRLSNRLTTDMQKLRCRVNYHALKFTDPITEMSRKLVERIRMKSRHFVALHLRFEPDMLAFSGCYYGGGDKERKELGKIRKRWKTLHARNPDKEQRNGKCPLTPEEIGLMLRALGFGNDVHIYVASGEIYGGEETLAPLKALFPNFYSKDTIASKEELAPFSSFSSRMAALDFMVCDESDVFVSNNNGNMARMLAGRRRYFDHKPTIRPNAKKLNNLLLHRNNMTWEEFASQIRTSQIGFMGEPMEVKPGRGEFHENPTACICADSEMDSGAATISGMGEASDGQVGEEDQEWSDTEYTENELETQ >Solyc04g056620.2.1 pep chromosome:SL3.0:4:54537264:54541280:-1 gene:Solyc04g056620.2 transcript:Solyc04g056620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux facilitator SlPIN10 [Source:UniProtKB/TrEMBL;Acc:E5KGD7] MIEWLDIYKVIEAMMPLYLALGLGYGSVKWWHKLSAEHCDAINRLNYFFVLPFFTFDFISQVNPYKMNYLFICGDLIAKAIIGFFLTLWANFYSKGNLSWSITTFSFCSLTNALVMGIPVMNAVSPQVGVDLVIQSLAIQFLIWSIIIQFMMEFKNAKDEIMACEGANQDLEGNNNASKNTTPSLGSVMTIVWTKLSKNPNFYACFLGIMWSLVADRWHFGLPNIVKECISIMSKAGSGIGMFTIGVFVAMQQKIMAGGTGVIIFGLFLRFFIGPATMTIGSFLVGLHGNVFRASILQAALPPGIASFVLAKEYGVHPEIVSAVVIIGILVSLPIMIAYYAISELTH >Solyc06g008020.3.1 pep chromosome:SL3.0:6:1859157:1865217:1 gene:Solyc06g008020.3 transcript:Solyc06g008020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKCQVCDEAQSRYKCPNCFIPFCSLVCFKKHKEIPCGKPEPEPESEPLSEEKLAPAPALHVEKPIYVDEPGEAVNQSQLESIASSSEILEAIRNKDLQKLIYNLDSSLDAENELDKAMEKEEFRIISEKILSIIS >Solyc02g088460.3.1 pep chromosome:SL3.0:2:51182609:51185432:-1 gene:Solyc02g088460.3 transcript:Solyc02g088460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDVSCSFPREMKRIDETESYTLDGIRNSLIRQEDSIIFSLVERAQYCFNAETYDPNVFVMDGFHGSLVEYIVKETEKLHAKVGRYTSPDEHPFFPKTLPEPMLPPMQYPKVLHSAADSININVTIWEMYFKKLLPRLVKEGNDGNSGSTAVCDTICLQALSKRIHYGKFVAEAKFRASPDVYKAAIKAQDRDGLMDLLTYPTVEEAIKNRVEMKTKTYGQELNNGPEHVGDPVYKIKPSLVAELYGDWIMPLTKDVQVEYLLRRLD >Solyc01g079760.3.1 pep chromosome:SL3.0:1:78766078:78777397:1 gene:Solyc01g079760.3 transcript:Solyc01g079760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNMESLAEATSGAIGSLLSTTILYPLDTCKTKYQAELRAHGLAKYRNTLDVLLEAISSRQIPSLCQGLGTKNVQSFVSSFIYFYGYDFFKKLYLKKSGFKSIGTRANLVIAVAAGAFTVIITQPLDTAASRMQTSEFGKSKGFWKTLSESTWSEAFDGLGISIILTSNPAIQYTAFDQFKQRMLKGKMKGNREVESSPESLSVFSAFVLGAVSKCFATCITYPLIRCKVMIQAAESEGDAEDEAELKARKTISGSLHAIWKKEGLMGFFKGLQPQILKTVLSSALLLMIKEKISKTTWVLLLAVRRYLFLTRTRLKSS >Solyc12g010600.2.1 pep chromosome:SL3.0:12:3579600:3587930:1 gene:Solyc12g010600.2 transcript:Solyc12g010600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIVDETADIEIRPESQHNPSRQPDFVETIYKIKFTQIYLSRPMMTESDGETNTLFPKAARLRNLTYSSPLYVDVKKEVIKKGHDYEEVTENQEFTKVFIGKVPIMLRSSYCSLYNLSEKDLTELGECPYDQGGYFIVNGSEKVLIAQEKMSSNHVYVFKKRQPNKYAYVAEVRSISEGQNKAPSGMFVRMLSRSNSKGAYGQYIRATLPYIRTEIPIIVVFRALGFVADKDILAHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGSTVGFTREKRIKYAKEILQREMLPHVGTREYCETKKAYYFGYIIHRLLLCVLGRRPEDDRDHYANKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDGGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTFASTLSHLRRLNSPIGREGKLAKPRQLHNSHWGMMCPAETPEGQACGLVKNLALMVYITVGSAANPILEFLEEWSTENFEEISPAVIPQSTKIFVNGCWVGIHRNPDLLVRTLRQLRRQVDVNTEVGVVRNINLKELRLYTDYGRCSRPLFIVDKKRLRIKKNDIIALQMRESSEDSGWHDLVAKGFIEYVDTEEEETTMIAMTINDLTNSRVNPDEAYAETYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAITCYSGYNQEDSVIMNQSSIDRGLFRSLFFRSYRDEEKKMGTLVKEDFGRPDREHTMGMRHGNYDKLEDDGFAPPGTRVSGDDVIIGKTTPISQDEAQGQSARYTRKDHSTSLRHSETGIVDQVLLTTNADGLRFVKIRMRSVRIPQIGDKFSSRHGQKGTIGMTYTQEDMPWSAEGITPDIIVNPHAIPSRMTIGQLVECIMGKVAAHIGKEGDATPFTDVTVDSISRTLHNCGYQMRGFERMYNGHTGRVLPAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCQQCGLMAIANLKKMSFECRSCRNKTDNVQVYIPYACKLLIQELMSMAIAPRMLTKELKPSNRKYNNRFTQLYTINNAPRILRRKRYIFRNFFILIIYINIQQRLFISFINILHHRIISINFICFQYLDISRHTSHHIIRKQLKLVFNCISQLFSFHSRRFMFL >Solyc01g111700.2.1 pep chromosome:SL3.0:1:97798954:97803306:-1 gene:Solyc01g111700.2 transcript:Solyc01g111700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLIVIVSVFYIAMVVVWVSQVLFKMDDQEVKVQHQSPPSVYDCSVDPSVLQDLKEVASLIEGGAYTSEVQKIYRAMRLTMKLRSKLKASVLSAFLNYVLISGSEFHKRLSSYLPRDRHDSQCDVGTPATESKHLLPELEMFCYLLVLIFLIDQKKYNEAKACSSESIVLLKSKNSITADVLASRLYFYYSLSFELAGDLAEIRGNLLALYRSATIHHNKFSQETLLNLLLRNYLHYNLYDQAEKIRSKAHRFEALSNQQFCRYLFYQGKIKTIQLEYTDAKDCLLQAAQKGPTTAVGFKIQCNKWAVIVHLLLGEIPERTIFTQKRMEKALRPYFVLTNAFRVGDLELFRTVAEKFSSTFSQDKTSNLINRVRHNVIRTGIKNVSVSYTRISLADIAKKLNLDLENPIGDAENIVAKAIRDGAIDATLDHANGWLVSKATEDVYSTNEPQIAFDSRINFCLSMHNEALRALRFPQGLINKVV >Solyc10g078200.1.1.1 pep chromosome:SL3.0:10:60200581:60201198:1 gene:Solyc10g078200.1 transcript:Solyc10g078200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIEVEDNRYKLYDVFFFSDRILTTVTSNPDVVTNWISGIEYVHRSRLNRLIVGLDVEWRPNFTRHQNNPVATLQLCVGRRGLIFQVIYCEYIPESLKEFLLNPRYAFVGVGIANDIEKLEEDYTLRVNNSVDLRELADCSLRNAGLKRLCQAVLGKEMEKPKHVAIGRWDNECLDNDQVQYACVDAFVSFEIGRCMIATAYS >Solyc01g060280.3.1 pep chromosome:SL3.0:1:69919772:69932593:1 gene:Solyc01g060280.3 transcript:Solyc01g060280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPATMNIDAMARKVDVDNRIPLRNYYRIANNLLRQASIHRGEKNIIDLYIILLRYSSLVTETIPSHRDYQALHPKERALSKKMLLTVLDELEGLKPEFQRQFQAIGEVQVTAQPYQVNNLENHRYRPVGNSFGQPSTSNKASSYYDNQWAITNAPSSTWKQNNEYSHISSPSSFDKQFQKLSFNFSVPKQETLSRHSFFGPNGLRGQWPGPSSEIKVNYPVHDDLAPNEIWSLNPVEDRPLVSKDTSLIVDKSSMESVLSLDDGRWLHPTEDSNFQFLDDVRSDNIPLSNLRQPSPPPVLAQLQQEFRPISPSKVADPRPGPAKCIQDVPSSSNSFQHLHVPVRLMEDFLRLARENTKKNLETCGVLAGSLKNRVFHITTLIVPKQESTSDSCQTLNEEEIFDVQDKRSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDTASPHGIFHLSDPAGVSVIRKCQQRGFHPHEEPEDGSPIYEHCSHVYMNANMKFDIVDLR >Solyc11g010210.1.1.1 pep chromosome:SL3.0:11:3280303:3282048:-1 gene:Solyc11g010210.1 transcript:Solyc11g010210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQMGLVEEELRETKEQLDFVEGEKNRAILEIREMKKLANEGLSPKKAGELIAELKVLREFHSKTQEDLKIKDKNIESLKVELERVKKYEIKLAEKDVVLGGLREEIGRVKASEVKATEQLFVFKKKVEELEVELENRKLSESKMYDSFELQTRQFERAKIELEESKVEMASLRKKVESLESASASASVSKRSNSGRLNGYNSNGEIANSVKKELESLNSELALGKEDVANAQEREKIALSKLKNLNDDINLLKNEVKFASEAEEKSRKAMDDLALALKEVATEASEAKEKLGATQLELEQVKDEEGKLKEMIRNTEAKYQKLLDEAKKEADLYRNTAERLRLENEESLLAWNDKEMGFITCIKRADEERSLAQRETERLAESLKAAEDTSKAAKDEKFKLRDILKQAINEANAAKAAAGLARDENSQLKDRLSEKEEALHFITKENERLKINGHAASPDNVNVPSEMVEEREDEDHKGRKSFRADLTELKLERNEDDEKDETLKGSIFDPTSVTPKSEPRTPHSLSHCRRASSPALADEEGKPNANLFEDSDSDRNGSSRRKALFRKVGDLIMRKSFHV >Solyc08g077640.2.1 pep chromosome:SL3.0:8:61698559:61699098:1 gene:Solyc08g077640.2 transcript:Solyc08g077640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLQARRTQGRYERKCFGESPSVLDVDDFRVPDSLVAEDSPIFGKGTLWDLVPQSSKATMYPHQRGGFVFMWNNIAGESPYRRVRKVANRKEFSELESQLKERRYRMDPDIGVKMKFVIELIRLCGGLKERVIIFSQLLDPLNLIKEQLNSLFS >Solyc08g083330.2.1 pep chromosome:SL3.0:8:65940867:65946073:-1 gene:Solyc08g083330.2 transcript:Solyc08g083330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVAALLEILQRPTFGEVILELGMFMAPIWIAVLVGVLVGWSWKPNWANLAIDSVPTTHVPSLQNLSSGFSTLKLQIPSWIKETHSPPSISSSTSSSLHLEAEKLVVTDADLRYLDGLVEEKDGGPAWIQMMDRSTPNMSYQAWRRDPETGPPQYRSRTVYEDATPEMLRDFFWEDEFRLKWDDMLIHAETLEECPTTGTMVVQWVRKFPFFCSDREYIIGRRIWESGRTYYCVTKGVPCPSVPRRNKPRRVDLYYSSWFIRAAESKRDGRMTACEVLLFHYEDMGIPWEIAKLGVRQGMWGAVRKIDPGLRAYQRHRASGDPISRCAFMAQVNTKVDIENLRSMGSETYLSEIEREDSPEKPAGRIIPRFLVVGGAIALACSLDRGLLTKAVIFGVARRFAGIGKRL >Solyc01g104090.3.1.1 pep chromosome:SL3.0:1:92453302:92455179:-1 gene:Solyc01g104090.3 transcript:Solyc01g104090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIILQPLYQNSFPPSTYASIFQFLVGKNFVKLGQQVHAHMAVRGVSPNGLVAAKMVAMYASSGEIDSASYIFDSATEPSSLLYNAMIRALTLYGITKRTIEIFFQMHSLGFRGDNFTFPFVFKSCADLSDVWCGKCVHSLILRSGFVFDMYVGTSLVDMYVKCGDLIDARKLFDEMPVRDVSAWNVLIAGYMKDGLFKDAEELFEEMPIRNIVSWTAMISGYAQNGLADESLQLFDKMLDPDSEVRPNWVTVMSVLPACAHSAALDRGKKIHSFAREAGLEKNPSVQTALIAMYAKCGSLVDARLCFDQINPREKKLVAWNTMITAYASHGFGREAVSTFEDMLRAGIQPDKITFTGLLSGCSHSGLVDVGLRYFDCMSLVYFVEKGHDHYACVVDLLGRAGRLVEAYNLISQMPMAAGPSIWGSLLAAGRSHRNLEIAELAAKKLFILEPDNSGNYIVLSNMYAEAGMWEEVTHLRIQQKSRRIMKSPGCSWIEFDGKAHLFLGGDTSHPQAEQIYLFLEALPAKIKAAGYMPDTTFALHDVSEEEKEQNLSSHSERLAIAFGILNTSPGTVLRVTKNLRICGDCHTAIKLVSKIYEREIIVRDVNRFHHFKDGSCSCRDYW >Solyc01g006950.3.1 pep chromosome:SL3.0:1:1515155:1517487:-1 gene:Solyc01g006950.3 transcript:Solyc01g006950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSGSFSRYRENDHDQDSHGIEMGDTGGVNLDKFFEDVEAIKDELKNLEKIYAQLQSSNEKSKTLHNAKAVKDLRSKMDDDVSLALKKAKFIKVRLEALDRSNASNRSLPGCGPGSSSDRTRTSVVNGLRKKLQESMNQFNELRQKMASEYRETVQRRYYTVTGENPDEAVLDTLISTGQSETFLQKAIQEQGRGQVMDTIMEIQERHEAVKEIERNLKELHQVFLDMAVLVESQGEQLDDIESQVNRANSFVRGGAQQLQVARKHQKNTRKWTCFAIILLLIIILIVVLSIQPWKK >Solyc01g088360.3.1 pep chromosome:SL3.0:1:83006475:83008603:-1 gene:Solyc01g088360.3 transcript:Solyc01g088360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNISASASSTTNLLSQRLLSFPPSQFYKNILTKPYQQQCLLITSSNKKNRAAMVVNSSRKLINLDQHYLDDDKPKEECGVVGIYGDPEASRLCYLALHALQHRGQEGAGMVTVENKAIKSITGIGLVSDVFNELKLDQLPGDMAIGHVRYSTAAGSSSMLKNVQPFVASSKFGSFGVAHNGNFVNYQLLRAELEGKGSIFSTTSDTEVVLHLMAKSKARIFALRVLEACEKLQGAYSMVFVTEDGKLVAVRDPFGFRPLVMGRRKNGAIVFASETCALDMVEATYEREVHPGEMVLVDDTSNEPQSMYLLKPHPPEPKSCIFEHIYFAQPNSIVFGKSVYESRHAFGEILATESPVEGCDVVIAVPDSGVVAALGYAAKTGVPYQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKKVVVVDDSIVRGTTSSKIVRMLKEAGAKEVHMRIASPPIIASCYYGIDTPCTEELISNRMNVEEIRKFIGADSLAFLPTDSFRKLLGSDHTNFCYACFSGKYPLYPSVDMEESIDDTRKSTYNLLKIVGPGIPRSF >Solyc03g116510.1.1.1 pep chromosome:SL3.0:3:67391192:67391473:1 gene:Solyc03g116510.1 transcript:Solyc03g116510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLNPRYYRTAKVEQIEKKTERPMEYFSREIQRPNSTNMRKPAAAPKKLESKPSEDINESAENFIKKFKQQLLLQRLESIENYEQMLKRGT >Solyc02g078090.1.1.1 pep chromosome:SL3.0:2:43448301:43448618:-1 gene:Solyc02g078090.1 transcript:Solyc02g078090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISAKCVFVVSVLVVSLFLEITNGDQLTDDQILHESLRFRIGHRHGHHHQPPTPPAEEPPPIEEPPVFPPSGEGQPMPPPTGDEPPCRCDAPSPLPLLVDDQP >Solyc06g005340.3.1 pep chromosome:SL3.0:6:361360:370282:-1 gene:Solyc06g005340.3 transcript:Solyc06g005340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTNFITTIGIDFKIRTIELKGKRIKLQIWDTAGQERFRTITTDITNWIRNIELHASNNVNKILVGNKTDMDESKRSAKTNLNVEQVFMSIAKDIKQMLSDTDSKAKPSTIKINQPDAGAGGGQPGQKSSCCGS >Solyc04g079430.3.1 pep chromosome:SL3.0:4:64014080:64025540:-1 gene:Solyc04g079430.3 transcript:Solyc04g079430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETHNHEHNHQPPPQQAAAPPAMPLGSARGPMFPPAEQLLQLHYCIHSNPSWPQTVLLAFQHYIVMLGTTVMIATVLVPQMGGGPGDKAQVIQSLLFTSGVNTLLQTLFGTRLPTVMGPSFAYIISALSVINDLSDSNFRSEHERFKHTMRAIQGSLIVSSFINIILGYGQAWGNLTRFFSPVVITPLVCVVGLGLFGRGFPQVGDCIEIGLPMLILLVISQQYMQRVHPVAQSILERFALLLCIGFIWAFAAILTVAGAYNHVKEQTKMNCRIDHSFLLSSAPWIKVPYPFLWGTPIFRASNVFGMMGAALVSAAESTATFYAASRLAGATTPPAHVVSRSIGLQGIGQLFDGFFGAIVGTTASVENVGLLGLTRVGSRRVVQISTAFMIFFSIFVTNVSCYYLGKFGAFFAQIPLPIFAAIYCILYGIVAAIGISFIQFANKNSMRNIYVLGVSLFLGISIPQYFVMNTDIAGHGPVRTPAGWFNDILNTIFSSPPTVATIVGTVVDNTLEARHSYDDRGIPWLVPFQRRKGDSRNEEFYSYPLRINEYIPSRFL >Solyc05g045920.1.1 pep chromosome:SL3.0:5:58470250:58470808:1 gene:Solyc05g045920.1 transcript:Solyc05g045920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGVEGIRICCSGGLEGVEIARTECGKYGKTSRNVFNQKIYYAHKRMEYSKYRKGRRSMGCKPDGTQLGFGKYDTKSCRARCVSYRAIEAVCRAIIRHFHRAMRGQFQTNGKIWVRVLADIHIAGKPTDVRMERGKGNPTVGLLVCPGDKFYLKWMV >Solyc04g079730.1.1.1 pep chromosome:SL3.0:4:64179832:64181436:-1 gene:Solyc04g079730.1 transcript:Solyc04g079730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AOS1 description:Allene oxide synthase 1, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:K4BV52] MASTSLSLPSLKLQFPSHTSSSSRKNSSSYRVSIRPIQASVSEIPPYISSPSQSPSSSSSPPVKQAKLPAQKVPGDYGLPLVGPWKDRLDYFYNQGKNEFFKSRIQKHQSTVFRTNMPPGPFISFNPNVVVLLDGKSFPVLFDVSKVEKKDLFTGTFMPSTDLTGGYRVLSYLDPSEPNHAKLKKLMFYLLSSRRNEVIPEFHNSYSELFETLENELSTKGKAGLNAANDQAAFNFLARSLYGINPQDTELGTDGPKLIGKWVLFQLHPLLILGLPKVLEDLVMHTFRLPPALVKKDYQRLYNFFYENSTSVLDEAEKIGISREEACHNLLFATCFNSFGGIKIFFPNMLKWIGRAGAKLHSQLAQEIRSVISSNSGKVTMAAMEKMPLMKSVVYESLRIEPPVASQYGRAKHDMVIESHDASFEIKEGELLYGYQPFATKDPKIFDRSEEFVADRFIGEEGEKLLKHVLWSNGSETENASINNKQCAGKDFVVLVSRLLLVELFLRYDSFEIEVGASPLGAAITLTSLRRASF >Solyc12g015630.2.1 pep chromosome:SL3.0:12:5639923:5641547:-1 gene:Solyc12g015630.2 transcript:Solyc12g015630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLAPLHGFNSPAIPLNKQTQLPILMKRNKQLSKPINAVAATDIITSDPTQVEITWQIVAGSLAGVTPFVVAGVEFSKRIVKQRKCEVCGGSGLVLKNKFYKRCPNCGGFLPWQSWRRFFTG >Solyc07g053260.3.1 pep chromosome:SL3.0:7:61862151:61866389:1 gene:Solyc07g053260.3 transcript:Solyc07g053260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TFT9 description:14-3-3 protein 9 [Source:UniProtKB/Swiss-Prot;Acc:P93214] MASSKERENFVYVAKLAEQAERYDEMVEAMKNVANMDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEESRGNEQNVKRIKEYLQKVESELTNICNDIMVVIDQHLIPSCSAGESTVFYHKMKGDYYRYLAEFKAGNDKKEVAELSLKAYQAATTAAEAELAPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDSLNEDSYKDSTLIMQLLRDNLTLWTSDLPEDAEDAQKGDATNKAGGGEDAE >Solyc01g067300.3.1 pep chromosome:SL3.0:1:75531346:75539137:-1 gene:Solyc01g067300.3 transcript:Solyc01g067300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4AWX9] MASEPAKVVPPTEAPVVEPEKAAPPPTTWPTDYFAVADVVLRLLVFASAVVSVVVMSTSKETKMLPNPRPAKFTHSPALIYFVAALSVAGFFSLITTLGSLYSLIKPGCCAKIISHFIVIDVLLLGIVASATGAAGSVTYVGLKGNTHVRWGKVCTLYGKFCRYVGASIGVSLFASVMLVLLVLLSVYSLSKKIPK >Solyc02g069200.2.1 pep chromosome:SL3.0:2:39726725:39731826:-1 gene:Solyc02g069200.2 transcript:Solyc02g069200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNSKIIFIFFILISIFTPQTFSQSDYSSCESQIHNTCNNKSKALTLKIIAIVSILVTSMIGVCLPLVTRSISALSPDRNVFVIVKAFAAGIILGTGFMHVLPDSFEMLLSNCLKENPWHKFPFTGFVAMLSAIVTLAIDSMATSLYSKKHNNARVQVQNVINGTADQELGNMVNNNVHFHSHHHGSLKDGTKLLRYRVIAMVLELGIIVHSIVIGISLGSSNNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKMLKKTAMAFFFSVTTPFGIALGIALSNTYQENSPRALITVGLLNASSAGLLIYMALVDLLAADFMGDKLQGSIKLQIKAFIAYIAIFLLLISILAPRVLSVVEDCGAEEDNSCVNKSKALPLKIIAIVSILITSMIGVCLPLVTRSIPALSPERNLFVIVKAFAAGIILATGFMHVLPDSFDMLSSSCLKEHPWHKFPFTGFVAMLSAIVTMAIDSIATSLYSKKHNGGVVNPEGDQEMAVAGNHVHSHHHHGSLSTKDGLDGKKLLRYRVIAMVLELGIIVHSIVIGLSLGASSNTCTIKGLVAALCFHQMFEGMGLGGCILQASSYSAEYKFMKKAIMAFFFAVTTPFGIALGIALSTTYEENSPRALITVGLLNASSAGLLIYMALVDLLAADFMGDKLQGSVKLQIKSYMAVLLGAGGMSVMAIWA >Solyc05g041570.2.1 pep chromosome:SL3.0:5:53158540:53172873:1 gene:Solyc05g041570.2 transcript:Solyc05g041570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPKSNKETIHLSLVNSASFVLIKLCGESNYIPWRTQIVCLLQSHDLFKFVDGIIQEEEKEEEEDYNLQMKRNDELVKACIFGSLGDQLLQDKAIHELDTARQVWLVLEQRYTPKPKFEGWFACCIHWINKL >Solyc03g005260.3.1 pep chromosome:SL3.0:3:148772:153939:-1 gene:Solyc03g005260.3 transcript:Solyc03g005260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISSLSLKTPTKIQSLTKTHYSIPLNLPFSCRSKSKRTGSIGSRTRIRCGLLIEPDGGKLVELFVEESQRELKREDALKLPKIKLTKIDLEWVHVLSEGWASPLKGFMREDEFLQTLHFNSIRLEDGSVVNMSVPIVLAIDDSQKNLIGGSTSVALVDDENNPTAILSDIEIYKHNKEERIARTWGTTAPGLPYVDEAITHSGDWLIGGDLKVIDPVKYNDGLDRFRLSPAELRDEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPVLLLHPLGGYTKADDVPLHWRMKQHEKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPLEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTKNAMAFFDPSRPQDFIFISGTKMRTLAKNKESPPDGFMCPGGWKVLVEYYDSLAAAENGRVAEPVAS >Solyc04g072020.3.1 pep chromosome:SL3.0:4:59157728:59182499:1 gene:Solyc04g072020.3 transcript:Solyc04g072020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHMLFHLRFRQPQPSIELSEEAKFRRVVNGSFAASARHLFADIKVSLAEQLQLKRLEELAKDYMSNSDLENALMSLNSRCSGISRVYSIGKSVQGVPLWVMEISDKPGKEEAEPAFKYIGNVHGDEPVGRELLILLANWLCDNYMKDPLSQNSLSRLALQATLIVDNVHLHILPSMNPDGFSLRRRGNANNIDLNRDFPDQFFHMNDDPGAHQPETKAIMRWLDEIRFTASASLHGGALVANYPWDGTENKKKYYYGCPDDETFKYMATIYSRSHHNMSLSEEFPGGITNGAYWYPIYGGMQDWNYLHAGCFELTLEISDDKWPNASELPTLFEYNKMSMLNLVASLVKTGIHGRIFSSDEGKPLPASIAIKGLNSTIHARETLADYHRLLVPDRKYEVIATMPGYKPRSTSIMLGEEAMTVDFVMDPVITPINSFLRRGWSCSLPGEAFSLVVFLSVMAVKTNGVVDGILPEELMKLLMSLATKWGDVLDLKNLKVHHLSGAMTNEVYRISWPTKNENVPRRVLVRMYGEGVDRFFNRDEEIRTFECLSKKGQGPKLLGQFANGRVEEFIHARTLSAEDLRDSEISSLIAAKLREFHNLDMPGSRNVLLWDRLRKWLNEAKGLCSPEHLKEFSLGNLDEEISLLEKELPTESQNIAFCHNDMQYGNIMIDDKTKAVTIIDYEYGGYNPIAYDFANHFCEMTANYHTDTPHIMHFNTYPDFEERQRFVRAYLSSSGNQPSDEEVKKLVDESEKYTLANHLFWGLWGVISAYVNNIEFDYMEYARGRFKQYWLRKPELLGASDDLLHVDDSAEPVHILRSKDLC >Solyc11g005180.1.1 pep chromosome:SL3.0:11:158790:160226:1 gene:Solyc11g005180.1 transcript:Solyc11g005180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLKKISPHLSDLPVGPNSKAKVVIYGLGSIEFNYNSQVQLALFLLLKDRVDWMGDIEIYDPVMSTVDMKVFGIFGLKALHNDENGRRKAQGPTMFYMPTPSYFLLGNILEANWSSSSLEQIFLLTNSLEAMEEVLPSYDQFTVATRIRLSITHLFRKEIPIPESSDCQMYPSLFLGFGWHFFKGVKNLPVCIWLYRQRYFEMVIKHDLKSKKISKEFKENLAFIRYPRDFRMCALPHQVGWFKLNIYGIGRKEGELGRYGGVFKDEGGNCLTKYCYKFESNFEDDVIAELASLKYGLTLLKPERLIVESDNIMLVHYVNGRLKPNEIVKVKLEEIFELLTGITYVVYYVYEEANKVAREWGL >Solyc10g048050.1.1.1 pep chromosome:SL3.0:10:43764543:43764866:-1 gene:Solyc10g048050.1 transcript:Solyc10g048050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNWEYQGHQPDFTDPVVLSVHRGGCTVEDFCNHIHRSLVKDLKYVLLWGTSARHSPSIAASRKCLRIKMWCRLLRKMRRNMEEVEVGSNHILMVLLVYLTVKRRLH >Solyc05g010490.1.1.1 pep chromosome:SL3.0:5:4712746:4713384:-1 gene:Solyc05g010490.1 transcript:Solyc05g010490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGSCNGFVCLLKGWVYELYHSVYISNPLLGEYFEVEFPKQESRICSAYAFCFSEASRQYKVLRSAVRQSKVSELEVYTLGVDKKWRYVGEAPKPLSVSFSEVNVNGVVHWMNWEKNDTIYSFNSGTEEVKCMLAPRGLKSPCYNFTLVELGNCLCLCNVDPIEYVDIWWMKEYGITESWTKTRILKDTIQPNIRCDRFIPISTWKMEKY >Solyc10g086220.2.1 pep chromosome:SL3.0:10:65243936:65245324:-1 gene:Solyc10g086220.2 transcript:Solyc10g086220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:OPR1 description:12-oxophytodienoate reductase 1 [Source:UniProtKB/Swiss-Prot;Acc:Q9XG54] MENKVVEEKQVDKIPLMSPCKMGKFELCHRVVLAPLTRQRSYGYIPQPHAILHYSQRSTNGGLLIGEATVISETGIGYKDVPGIWTKEQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNKDFQPNGEDPISCTDRGLTPQIRSNGIDIAHFTRPRRLTTDEIPQIVNEFRVAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRSDKYGGSLENRCRFALEIVEAVANEIGSDRVGIRISPFAHYNEAGDTNPTALGLYMVESLNKYDLAYCHVVEPRMKTAWEKIECTESLVPMRKAYKGTFIVAGGYDREDGNRALIEDRADLVAYGRLFISNPDLPKRFELNAPLNKYNRDTFYTSDPIVGYTDYPFLETMT >Solyc06g034205.1.1 pep chromosome:SL3.0:6:23980861:23992761:1 gene:Solyc06g034205.1 transcript:Solyc06g034205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVIGWRVCMDYRKLNAWTEKDHFPMPFMDQMLDRLARNGWYCFLDGYSGYNQISIATEDQEKTTFTCPYGTFAFKRMSFGLCNAPATFQRYPSDDLLTVPFLFLSQKHELFTTSVDITDGCAEGQGKLKTGNWCLRSRGTENRELKTGGTELNGCLEVLKVTEGTEVLCGCTEVVM >Solyc09g031547.1.1 pep chromosome:SL3.0:9:30728556:30740835:-1 gene:Solyc09g031547.1 transcript:Solyc09g031547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRMSTISIIVIHRGCDRMCLMARTRLSNDRATIVFLRQLMSLHPGSLLSQLGGGTKLAEFVEGRVRQKYATQFERLIQTLDIDVETYNTTFCKLSRFAPLLVPTEAYIVQAELPRKIEDKVQNEHATYNVHKKAKVGESYNGDLSENNKIGNLGRQQHDSQTGLATFSQSTYKPPHIHDIQGQSSSQGHYSSGQMYSTTLSCQTYGKSHAVSVAVWPEGSFGVDFADSTVINQKIYTLSKLLNSVNHDLCDLKLRCNVSAVLGLNQFEVSSEIRGANKFLMLSDVPILGTLQFFSIFSCNKFLHVDFSKCICSLAYLQLLSIEATLTFLQLQILSYLQPQVQIVSFLMITSTVDHSIILLTLALILSEIFIQRMTPE >Solyc11g043025.1.1 pep chromosome:SL3.0:11:33996917:34039855:-1 gene:Solyc11g043025.1 transcript:Solyc11g043025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYVLDRGCAFERAKMNPLFFELHLEALFSSSVSMVVPPKDVIDTMALGLHGDTEPNTMQLHALSFRSELQLKELAPKKTDNEVLSQAFLCCLPMFNTPIKLTTLGVRNKVSQSGSICSQYAAREQVHDAELDAKFSPHDVIDTIALYVLDRGFAFERAKINPLFFELHLEALFSSSGLAQKGDIVEPYNEPE >Solyc04g039905.1.1 pep chromosome:SL3.0:4:12453706:12454851:-1 gene:Solyc04g039905.1 transcript:Solyc04g039905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFRNFAGWEYKLFPPLKFHLENNPIIDSDLYLLHLQEHKLRGKGPHNWQKADALVVGFRRWLIKYGGAQVDWGTKFTGDLYWTHTINCSSCSKAYKSLNALEIILQIISIASIGIAAVAKECHVNCCKIFFGLLGITMLHGFKMVIQIYIQKFSFP >Solyc04g072410.3.1 pep chromosome:SL3.0:4:59511637:59517716:-1 gene:Solyc04g072410.3 transcript:Solyc04g072410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKLLTQGVKEVEVEEMGREVSESCVESLLTEIVSSYCNGFYADKPELAARRIEAIGFQVGHQLSERYTMDRPRFTDHLEAIKFICKDFWSEVFKKQIDNLKTNHRGTFVLQDNRFRWLSRMSVDPSIETLGSIQDPSAMAENKAAQAIGMHLYFPCGIIRGALSNLGIPCAVSADISNLPACSFVIRIKA >Solyc08g061497.1.1 pep chromosome:SL3.0:8:49025723:49036975:1 gene:Solyc08g061497.1 transcript:Solyc08g061497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVSTAQIDSSQSQTSPASTMTLIDGGHGSERQFQPQIDYKKGRQIQIQKRPNKIQREKQREFKFESWRPSSCLLLSKSNLMDVDFSGLKMQILRGINCCFKHPNSADFNLEKCSVSSSNSAMF >Solyc05g023970.1.1 pep chromosome:SL3.0:5:30159748:30160127:1 gene:Solyc05g023970.1 transcript:Solyc05g023970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSTNSLMIKLQPKIYDHIMLTVHILFIPSVCSHVPVIVISLPKPRGLSIETSTNYQHFLWFFCFSHLLFPHLRISELVIFVASIVQVCEVWTSGMRENDSIDKKEDEPP >Solyc01g110000.3.1 pep chromosome:SL3.0:1:96740692:96746954:1 gene:Solyc01g110000.3 transcript:Solyc01g110000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4B3L3] MGINTKWFLIFFVLIFGSKICYCSVSYDKKSLIINGQRKILFSGSVHYPRSTPDMWEGIIQKAKDGGLDVIETYVFWNLHEPSPGNYNFEGRNDLVRFIKLIQKAGLYMHLRIGPYICGEWNFGGFPVWLKYVPGISFRTNNEPFKREMQRFTTKIVQMMKNEKLFQTQGGPIILSQIENEYGLEIKQYGAPGHAYMTWAAKMAVEMGTGVPWVMCKEDDAPDPVINTCNGFYCDYFSPNKPNKPTIWTEAWSGWFDDFGGPVHHRPVEDLAFAVARFIQKGGSLVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYDHLKELHKAVKLCEPALISADPTVTVLGNYEQAHVFSSGSGHCAAFLANYHLSSTARVTFRHQHYDLPPWSISILPDCKNVVYNTARVGVKTSTAQMLPTNVQLRTWETFSEDVSTIDADSKFTVVGLLEQLNVTRDMSDYLWYTTSVEINSAESFLHRGQHPTLAVQSAGHALHVYVNGRLSGSVYGNRENRRVTFTGGVNLHAGINRISLLSVAVGLPNNGARYETWSAGVLGPVVLHGLDKGQRDLSWQKWSYQVGLRGEAMNLASNAISAAEWVGGSLIARQRQPLTWYKVYFNAPGGSDPLALDMGSMGKGQVWINGQSIGRYWTAYATGNCSPCTYAATYRQGKCQSGCGQPTQRWYHVPRSWLKPTGNLLVVFEEIGGDASKISLVKRSITHV >Solyc04g045470.3.1 pep chromosome:SL3.0:4:33465250:33487564:1 gene:Solyc04g045470.3 transcript:Solyc04g045470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLSRLGNGVSPPSSPRFRHARNKNTDVSGNFHSGNCQVKLQNFAEKLGFMIVSAVYRRRGVLLFAPLLYISGMLLYMGALGFDASRTSGGGTGLDPIGSVYRSPQVFEKLWPLMDAENNGSSNLLTNVWNLKLHQVWKPCIAHTVSQEGFAELPKSNGYLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPIFHLNSVWRDSSKFCDIFDEDFFIYALRNHVKVVRQLPEDMLLKFDNNISRIVNLRVKAWSSPTYYLLKVLPKLKELGAVRIAPFSNRLAHSVPPNIQGLRCLCNFEALRFSEPIRMLAAKMVDRMVKKSSKTSGRYVSVHIRFEEDMVAFSCCIYDGGEEEKHEMDIARERSWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFENNTSLYVAAGKIYKAEKYMTPLKQMFPRLESKDTLASTEELAPFEGHSSRLAALDYTVCLYSEAFVTTQGGNFPHFLVGHRRYLYEGHAKTIKPDKRKLALLFDSPDIS >Solyc01g101040.3.1 pep chromosome:SL3.0:1:90777137:90781979:1 gene:Solyc01g101040.3 transcript:Solyc01g101040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLREHLKRLAGIDLQICSAQVTESTDFTELTNKEPWLSSTKLVVKPDMLFGKRGKSGLVALNLDLAGVAEFVKTRLGVEVEMGGCKAPITTFIVEPFVPHDQEYYLSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEVRGKIGNFLMGVFDVFQDLDFSFIEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGSIEFPLPFGRVLSPTESFIHSLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVLDCATANPDGRKRALIVGGGIANFTDVAATFNGIIRALREKEAKLKAARMHIYVRRGGPNYQTGLAKMRALGEELGVPLEVYGPEATMTGICKGAIDCIMSEA >Solyc01g107961.1.1 pep chromosome:SL3.0:1:95237267:95239463:1 gene:Solyc01g107961.1 transcript:Solyc01g107961.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADKSKIVYEAANHIRNLQNTFNKLESQKLERLEENNIMLVGSQKVGNSWEKYGGDQGSICNSKAITPANHGPTGFMTWSSPNVVLNVAGEDAHISVCCPKKPGLFTTICYVLEKHKIDTVSAKISSDQFRSMFMIQAHAKGGSGVAQFSEGFTVEDMYKQAANEIMLMTTPK >Solyc12g005980.2.1 pep chromosome:SL3.0:12:600661:607296:-1 gene:Solyc12g005980.2 transcript:Solyc12g005980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQETCRLSVLWRGTKFSLDMDPSATLKSLGDELLNLTNVREDTMRLIVPTNKSSRLLYPFSEEHSYLKLEAASILEGKSIRMLGVPNDEVDDILQSAKADLRIVGFDEEEKRLRRRISNGLQSSLKLPQGPYVFGDFRTLHLPGIELNPPASKALKLMHKLAADPGIVAIMNKHRWHVGIMTEMAPEGYVGVSPECILGFNKNHGEEISLRLRTDDLKGFRKYDSIKKTLLHELSHMVHSEHDMNFYALLKQLNEEADKLDWTKSRGHSLSGHSLQHYEEDEDSDNIIGLSHKLGGQTSVFNARASSVVAAYSRLAQASTNPSEATVMRQASNAGDSVQSNHVDVLVENQLNGVKVASVDVPSDDQRKSEPDPDDCETGSPVKDEPRMDLDSDDNKMRSLPPPMEAKLSEEPDPDDCSAEKHNTATPTSLEPDPDEWTMGIEKKVTDGQNSHVSLGHHVNEEERGSFTHTNQDDFPEGTNMIEPCTNDKDIIPDGVSSIVIDEPDPDDQELQRIQDPVAIICSRLQKAIGALRSQATPFEVSRVVQTILKIIRNAIEHQNEVKFRKLRKANPLIQRDVVNYSAAMEILSVIGFNEDAIIDETGRTEAYLVLKRNDPGLLWLAKSSLEASIA >Solyc08g006490.2.1 pep chromosome:SL3.0:8:1082345:1086167:1 gene:Solyc08g006490.2 transcript:Solyc08g006490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPPKKPHFFKPIQPGFKNGLKIPIGFLKYLKGNDNVEHAILKRGGYKWSMKVNGHRFEAGWVEFVEQHGLKLGNILMFRHEGNMEFEFSIFDSSHCDREYVEYMEEVGDHTREEISKKFKFKEDATERPRQKIKSSRKTSSHIIKVANHHKSFGHSHVECTIREYNISRGYLHLPRQFAYANGLANKKCDLIIRDERQRSWNVKLSSSKTRSYISGCGRTKFFADNCLNKGDCIMFEVVTNGETPIWKFQVTYREVPLQMFQGKFSHSDILTEDSRIRPQFEFVFRHNIMKKTSNTSLLNAQVVTSTSGDDHHPCFISTVKPYCFTRALLANILKRLQREKNSNEAS >Solyc06g059805.1.1 pep chromosome:SL3.0:6:37829554:37831300:1 gene:Solyc06g059805.1 transcript:Solyc06g059805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCCSCTIKDEDLYIADDTMTLHWSFRQEMQCCGFNLSRMTTNTEIEIYSRHEIVTDHILSSESNRLRLLIIKFGLNYQKVPCVRPFDTYLLPDKIFSGCVPAHKLIYHVGDIWCIYGPIDGLLLLEKGNYIDDGRFGWWNPATKECRLIPHVFFEVEEGLEDNDRRIGIGIDLATHDYKIIWVRTYWNDYESDIFPKVYAAVYSTKNNSWKHLDPNFSHECQICSSHNCTYKNGTYYWISTSKKCIAEGINVYFIRTFDFSTDLFGRFEGPPIPGEHWVTLFLRGGSIATMSSKDVTQAMVAFYDIWVNIQGNHWIKVYTVNPPITDHTCIGMWEYDKFIYELTQSCKVLFYDQTSKQVTSLGFDFVDLGFGSCWALSYKESLFPIRKEKPGEKDNIDYFMVDY >Solyc01g056310.3.1.1 pep chromosome:SL3.0:1:53286167:53287831:1 gene:Solyc01g056310.3 transcript:Solyc01g056310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKVTVVALLLCLSVGVIAEDPYLYFNWNVTYGTVSPLGVPQQGILINGQFPGPRINCTSNNNIVVNVFNNLDEPFLFTWNGVQHRKNSWQDGTPGTMCPIMPGQNFTYRFQVKDQIGSYYYFPTTALHRAAGGYGAINVHSRALIPVPFDNPADEYNVFVGDWYNKGHKTLKKVLDGGHTVGRPDGIIINGKSAKVGEAKEPLFTMEAGKTYRYRFCNLGMRSSVNVRLQGHPMKLVELEGSHTVQNIYDSLDIHVGQCLSVLVTANQEPKDYYLVVSSRFLKQELSSVAIIRYANGKGPASPELPASPPDNTEGIAWSMNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKIVNSMGQVNGKLRYGLNGISHSDTETPLKLVEYFGAADKSFKYDLMADEAPADPSKLTVAPNVKNTTYRNFVEIIFENHEKSIRTYHLDGYSFFAVAVEPGRWSAEKRKNYNLVDATSRNNIQVYPNSWAAIMLTFDNAGMWNLRSEMWEKTYLGEQMYFSVLSPSRSLRDEYNLPDNHPLCGVVKGMPLPAPYKA >Solyc12g036430.2.1 pep chromosome:SL3.0:12:46739234:46740734:-1 gene:Solyc12g036430.2 transcript:Solyc12g036430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGDENNHDFPWETNDFWSYLNLNDNHVGSGETFDGDKLPDLTRSDTCQPLIVVKEVVQTTIGVGKKRSPPNRKRNGKEIAESNSDADRAETKRKSEHEIHIWMERERRKKMQTLFETLHSLVPNLPAKFLIEEIIQ >Solyc12g009440.2.1 pep chromosome:SL3.0:12:2711179:2714275:1 gene:Solyc12g009440.2 transcript:Solyc12g009440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLCFTPIASLNSFNKPGLINRNGNCAGRKIQLIKDVTFNSKSNLRVVEVKAADSDKETKVKSIVCQKCDGNGAVACSQCKGAGVNSVDHFNGRFKAGGLCWLCRGKKDILCGDCNGAGFLGGFMSTFDE >Solyc11g044870.2.1 pep chromosome:SL3.0:11:32368247:32376897:-1 gene:Solyc11g044870.2 transcript:Solyc11g044870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKSVRSISQQAFDELVKENIEDLGMDSTEALEDAIQTLTLQGVDLSGIVTSILGSGEENPVIQSLEKLKELDRDCKQGDGDETDVKEIIEWLDKLNDACHVDGSGNAAIATKNGAIELVCSICGKLGSEGLVSALKTLVSLLHDLQSTEIFREIKGPKMVMNILNNRKENISILNSGFAVVSAAATGNEVLKEAFMNLKIDELILQCLREFSRGSIPCLYDAVHVLLTSDDNRVVASEVYGYARRFAKIGIVEALVDSLHDGIKASSLVSASVALKAIAVNDEICRAVAENGGIDAILRCIDDSSEQGEKVVAITCCSLLSKLAGSDINKSAIVDKDGMNKLMKLATRFSNDPAVLHEVMSMITVLSLRSPHNAACAIEAGAGDIVIQAMQRFPESELLQRSCCFMIRNLVVRNPENRTILLGNGIEKLIRKAKMNYKSCKNAATDALRDLGLDNYNL >Solyc08g078330.3.1 pep chromosome:SL3.0:8:62262629:62265930:1 gene:Solyc08g078330.3 transcript:Solyc08g078330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGALQVPIIDLSSIDLSDSLKKACIENGYFYLINHEVEGDLIRRVFDGSRGFFDLSIGEKMKVLRKNHRGYTPFYDEKLDLVDNPKGDPKESIYFGAPEDISPYGNLNQWPTEEILPCWRSTMEEYYRVILNLGKRVLSLIALALNVNEDFFEKVGAFNPPGALLRPMHYPDEINYCDQYMHGCGAHTDYGMITILITDGVPGLQVCRDILQKPQIWEDVPQLNGGFIVNVGDMMERWTNCLFKSIYHRVTKPEQERYSVAMFFDPSPDCVVECLKSCCSESPPPRFPPVRVADYLKEHYIWLPVNMCNKNITEEEMRTG >Solyc08g028850.1.1.1 pep chromosome:SL3.0:8:39319557:39319883:-1 gene:Solyc08g028850.1 transcript:Solyc08g028850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIIENLKEGFRFSPTDTEAVTFLLRLIAGKFMNVSGFITTHVDTYSKQEPWDICSHGVPYCNYNGDNDCSQYPFFITKLKKKGKSRYSGDVGNKGCWKHQGKSKPV >Solyc09g082580.3.1 pep chromosome:SL3.0:9:68747356:68751225:1 gene:Solyc09g082580.3 transcript:Solyc09g082580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQVQPMYRPPPPETPKEPMEFLSRSWSLSAFEVSKALAPPQVLPKSLFGGTPSYIGSGSGTIPEDIAAELDESAIVSGNPFSFASSETSQLIMERIMSVSDVSPRTSGRLSHSSGPLNGGSLTDSPPVSPSEMDDSKYCRVNNVPVNNQYRSSATATSSAVTGGGGGGGGGRTVGRWLKDRREKKKEEMRAHNAQLHAAVSVAGVAAAVAAIAAATAASSGSGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLASVVSSAVNVRSAGDIMTLTAAAATALRGAATLKARTLKEVWNIAAVIPVDKGMGSGNGGGSNGSSSGELIPEENFLGICSRELLARGGELLKRTRKGDLHWKIVSVYINRTGQVMLKMKSRHVAGTITKKKKNLVLEVMKDIPAWPGRHLLPGSDNFRYFALKTVLRGVVEFECRDQREYDLWTQGVSRLLSIAAEKNSRHKF >Solyc07g008205.1.1 pep chromosome:SL3.0:7:2955682:2957139:-1 gene:Solyc07g008205.1 transcript:Solyc07g008205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTINIYSKRLIIVILIYSFTLLAFISGRNERKQMRMVMLGSSPPKCVNRCKGCRPCIATLVIPPHTNKGLKTITSREDEGYYLLSWKCKCGSKYYHP >Solyc02g083610.3.1 pep chromosome:SL3.0:2:47511057:47514187:-1 gene:Solyc02g083610.3 transcript:Solyc02g083610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCWYSRLEREELVSRCKARKRYMKQLVKSRQAFSASHSMYLRSLRNTGSALLQFATGETDLHPQNHHLPPLPPSPPRRLTTPHPPPPPPPPPPPMSPTSWTTSTTTSSALPPPPPPPPPPPPPATSNWDFWDPFVPPPSSSRSVTEEEWDETTITSEAAVTTTVGAASVAAPPSAVSQFSKETTSTSELAVVVSTKGKDLVEIIKELDEYFLNAADAGAQLSLLLEVPNCAFSEQRSSDKLMSPFSWSFGGSSRWNGIGRFCDDPMNKSINGVNGAKAASHCSTVERLYAWEKKLYLEVKHAEALKLEHEKRGGHARKLEMKRADYVKTEKAKKEVEKLESQMMVAAQAIETTSAEIIKLRESELYPQLVDLVKGLMYMWRSMYECHHVQTHIVQQLKYLNTVPSTYPTSELHRQSTLQLELELEQWHLSFCNLVKFHRDYIQSLTGWLRLSLFQLGNNPVHKTSQDNAVYSLCEEWHLAVNNAPDKVASEGINSLLTVIHAIVVQQSEEIKQKKKSEMAFKELDKKSSELRSLESKYGPYSIPDSSSSSTRNKNPVTDKRAKVDAIRAKAEDEKAKYDKAVSVTRAMTLNNLQMGLPHVFQAVTGFANVWTHAFESVYNQAKNTDQVHEMKRILP >Solyc03g121820.3.1 pep chromosome:SL3.0:3:71343546:71350055:1 gene:Solyc03g121820.3 transcript:Solyc03g121820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNVQTNEEVAIKLENVKTKHPQLLYESKLYKILQGGTGIPNLKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHAKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKFRDSTTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYERISEKKVSTSIETLCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPSRALGGTAGPSSGMPHALANAERPSGGEEGRPAGWSGNLARNRNTGLQFNSGSLAKQKGTVANDLSMGKELSSSSFFRTSGSTRRPAVSSSRDPVITGVEPDPSHIRKTDASPGQLRKTSSVAQRSSPVMSSDQKRSSSTRMDKNFEAALKGIEGLSVKNDERLHY >Solyc10g050063.1.1 pep chromosome:SL3.0:10:47614504:47617303:1 gene:Solyc10g050063.1 transcript:Solyc10g050063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSLAEEEQWKIEVVELSISRTMEDGKFFMKWRFYSSTVGGSLLQSLRLQLKKLQRQTLSFATILARNYCEIEGNRGLKTQSLATILSRNCCEIEGTGGLKKCGGGSLDCKWIYGWIEQMDFSREESFLTKYTN >Solyc06g062990.2.1 pep chromosome:SL3.0:6:39872237:39874274:-1 gene:Solyc06g062990.2 transcript:Solyc06g062990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGNDVVAFESAEKIILRWDSTASEDAREKMIFAGDRYEIDRYLQAVDEIQRSMEFATLSDDQNKANSAIQIAMARLEDEFRNILIAHTNPVEAESLTDSSPLPEEDYEDDSPLTKDLEHQESNGSCSYRPTNSIREIDLMPSDAIYDLRCIAERMILAGYLRECIQVYGSVRKSAVDSSFRKLGIEKLSIGDIQRLEWEILETKIRRWIRAAKVCVRILFASEKKLCEQIFEGLGTAMDDACFMETIKGPAIQLFNFAEAISISRRSPEKLFKILDLHDALSDLLVDIEIVFDSKSSESIRVQTVEILSRLAEAARGILSEFENAVLREPSKVPVPGGTIHPLTRYVMNYISLISDYKQTMSELIVSKPSTGSRYSSDPNTPDMDFAELESQTPLALHLIWIAVILQFNLEGSPELREMVGDDCLRKLTGKFRQAATNYQRSTWVTVLHCLRDEGLHVKGSFSSGVSKSALRERFKTFNAMFEEVHRTQSTWLIPDTQLREELRISVSEKLIPAYRSFLGRFRSHIESGRHPENYIKYSGEDIETAVLDFFEGYQVSQHIRRRSQ >Solyc10g079550.2.1 pep chromosome:SL3.0:10:61193900:61196853:-1 gene:Solyc10g079550.2 transcript:Solyc10g079550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKINRLFGPIVTFINGGDQLPWTSPDIVVGCEREVADANKGASDEGQNESNMRLSWALVHSKQPEDVQRGIAMLEASLANASSPLQQREKLYLLAVGYYRSGEYSRSRELTVQCLEIAPDWRQALSLKKAIEDRITKDGVIGIGITVTTIGLIAGGIIASLVRRK >Solyc01g016684.1.1 pep chromosome:SL3.0:1:21199760:21202028:-1 gene:Solyc01g016684.1 transcript:Solyc01g016684.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRGLMRGILIRDAQINHVEIKISFSSQDTTFSYTVKLGNNRRMRVIRKGTVKLYLHGVFYSISNMYRIPELTNNLLRIGHLQEKGLHVLFTDGACNVYHPQRGKMVESTISANWMIILLDEASSKYIEARCLQINNTDQSTICHYLYVHLSYKGLRTLKYKNMVKGLPQIVALSATSDGCLNGKQHQTPICKRDLGSPITPASSSQKRIDRGGEFDSAEFNDFCKQHGVKRQLSTTYTPLKNGAAERKNCIILEEPNLKKNVKYATEVLNQFGIQNYNPVSNPIVPGQKIRLDEDDIKVDANNTSR >Solyc10g049240.1.1 pep chromosome:SL3.0:10:44631606:44632001:1 gene:Solyc10g049240.1 transcript:Solyc10g049240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVILFSVLLLLVFDVASSHFIVETLPRFDGQLPFTLKTCAAVFFIESDRDPQNDPLMFWLTGGPGCSGLSTILYEIGMSILSHVLISVQYVGYVARIDGK >Solyc11g005800.2.1 pep chromosome:SL3.0:11:647475:653783:-1 gene:Solyc11g005800.2 transcript:Solyc11g005800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGTVQINWHDSKPVLCLDFHHLTGVIATGGADYDIKMWVIDSEENEKKAPSVTYQASLSYHSGAVNALRFSPSGEHLASGADGGELIIWKLHSSDDSKTWKVLKTLSFHRKDVLDLQWSADGSFLISGSVDNSCIVWDVNKGSVHQILDAHLHYVQGVAWDPLSKYTASLSSDRSCRIYSNRPSKTKGVEKLNFVCQHVIMKVEPQLPDESKSTKNHLFLDETLPSFFRRLSWSPDGSFLLVPAGCYKFTPASEPANTAYVFSRNDLTRPALMLPGASKPVIAVRFCPMTFSLRGSSNSSFFKLPYRLIFAVATLNSLYIYDTESVQPIAIVAGLHYAAITDIAWSATGKYLALSSQDGYCTLLEFDNEELGSTFCRPEKEAAGDDKNSVLKQEETVPEIISSDKCMDIDSAKQEEKTEVKQESTISIPQIPIKAARKRITPMAID >Solyc01g098250.3.1 pep chromosome:SL3.0:1:88705534:88710295:1 gene:Solyc01g098250.3 transcript:Solyc01g098250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKDEAMRAKEVAEKKMLNNDFEGAKKVAVKAEQLYPQLENISQLLAVCNVHCSAQNNRVGSERDWYGILQIDRLSDEATVKKQYRRLALMLHPDKNKLPGAEAAFKLIVEANMVLSDQVKRSLYDSKYRVMSGAGIAKPPPHMVNQNSFVRKTNMQNGFNVQFSNLNHHKYTQPTSSAMQETFWTQCPSCKIRYQYYSTYVNSTLRCQKCSKSFTAYNLGFQGAPCGPKWSKPGGQDVPLKSNLNQSSEQKELPNQGASKMTSGIAGFPPTQTGYRPGCSSRTAGSEPEKCRGKTAPVFEDVRTKQKDEKHEKLKRGMREGCSRPKVDRKSRKRGRKQTVESSESDDTSTSVEIEDVDIDNGNGPPAAQGNGGDGYGARRSSRRRQHISYSEGVSDDENELASRLKKARSNQPAEDSKTQPKEAVGGDDQHRADFTIPRSNSVERLNQNGAGLPEGDVQNNNSKFETVKDQYSRPPSGGAKKVELIVDSDSESDTVPDSNIPEVYDYPDPEFSDFDKLKEQSCFAPDQVWACYDTADGMPRFYALIRKVHSPEFKVMFCWLEASPEDQKGKTWVSAELPVGCGKFRLGTTESTSDRLTFSHQVQCEMVKRGMYIVYPRKGETWALFKDWDIGWGFDPDNHRKYKYEIVEILSEYVVDEGVQVGYLDKVTRFVSLFQRTRLTGVGTFYVKPSELFKFSHRIPSFKMTGTERDGVHAGSFELDPASLPLNPDDIWYPEKVMEGSKGANSQPVENVSPAVASGTRDKSRMSENVTISLKSVELNSIHTTNGESAKVRRSPRGFQVDQVWALYGRNNMPRTYAQIKKIVPAPFKLHVVLLEACAGTKNAQVVCGTFKVQSEKCQVYDPSSFSHVVKAVSINRNRFEIYPRDGDIWALYKNWKKLSLHPDTSAYEIVEVIENSKDRIKVSSMVRVNGFKSVFRSPRIQRSNPAILEIPKDEFGRFSHQIPAFQLTGEKGGVLRGCWELDPASAPCLQ >Solyc02g082480.3.1 pep chromosome:SL3.0:2:46737099:46741904:-1 gene:Solyc02g082480.3 transcript:Solyc02g082480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:G5EKP3] MKYGSFQTLVSRLHIFVPKFTMRLFWTIILVVLYNGCSSEGVNSTLSARPKVVNIGCMVSFNTLVGKVTKVAAEAAVEDINSNPDVLGGTKLNMITLDSNASGFLGIVEAIRFMETDTMAIVGPQSSVIAHVVSNIANELQVPLLSFAATDPSLSSLQYPFFVRTSPSDKYQMEAIAEMVEYYEWREVIAIYIDDDFGRNGIAALADQLAKRRCSISYKAAMRPGATLDDARDALVQVALRESRIMVVHTYPTKGLEIFSMARYLGMIDKGYVWIATNWLSTILDAGSPLPSDEKENLEGAITLRIHTPGSELKQKFVSRWSNLTRKAGLAGSSRMSTYALYAYDTVWLLARAINEFFNQGGKVSFSKDPRLTELNSGSMNLDSMSIFNGGKLLRDNIFKVNMTGVTGPFSFTSEKELFRPTFEVINVVGTGFRKVGYWSEYSGLSIVPPETLYSKPPNRSSSNQQLQSIIWPGQITEKPRGWVFPNNGRQLKIGVPNRASFREFVGKVPGVDSFRGYCIEVFTTAIDLLPYALPYKLVAFGDGHNNPDDTELIRLITAGVYDAAIGDIAITTNRTKMVDFTQPYIESGLVVVAPVKEQNSNAWAFLSPFTPKMWCVTGVFFLIVGTVIWILEHRLNDEFRGPPSKQIVTVLWFSFSTLFTAQRENTVSTFGRIVLLIWLFVVLIINSSYTASLTSILTVQKLSSPITGIESLVNTKEPIGYQWGSFARNYLIQELRIDESRLVPLNLPEDYAKALKDGPSRGGVAAVVDERAYMELFLSSRCQFSILGQEFTKNGWGFAFPRDSPLAVDMSTAILKLSENGELQRIHDKWLSGIACTSQSTKLEVDRLQLKSFSGLFFLCGLACFLALLIYFVMLACQYCQYYPNSEVASESSRSGRLQTFLSFADEKEESVRSRSKRRQLEVTSVRSIDQDASVNGSRTDRSEIYSNRVVSFGESV >Solyc09g059960.3.1 pep chromosome:SL3.0:9:57178985:57183557:-1 gene:Solyc09g059960.3 transcript:Solyc09g059960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLRSILLFLLLFKSFGSIIAEEEDQIEQFSEALLLRPLPDRKVLAHFHFESKVPPTRTHGSHHHLFPKSIYQLVHKFRVQEMELSFTQGRWNYARWGGYDPISSRNAKPPGVELWATFDVPQHQVDASWRNLTHALSGLFCASINFLESSTAYSSPQWSFKSFATNIRYGTLPREAVCTENLTPWLKLLPCRDKAGLSALMDRPSIYRGFYHSQRLHLISNEFDLAAASSGMVLEQTLTVVLQPVTLGSGMTSSVGSIQQPSWSLSTLFGRKVSGRCVLSNASNVYVQLEPDLVSELKTTSETQQQSDVGNLISEAWSDMSFEMSESAVRVIKEANGFLKESSLLYEFSLENYSDSRPFDLEFRWKRPVTWSSQLAPLQARRFLMGSGNERGAIAISLKSVGRSEYAKSSVNEEGRCLLRVDVFQVVPWYVKVYYHTLKVFLDERLHSLADVVERTNVSPSEDKVSPGLMEIALRLPCDVHSVILTLEFDKGFLHIDEYPPDANQGFDIPSALIRFPGIKTKMDLFEDKSACKSPILSKLQEESPVLWYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNALRRRVDEEERLLKNKAAKPSGPIGVLLSKLSAKLRGKPLEPPPPSSSSKVSYKLILRVILVAGIAAAWQYFYG >Solyc10g084240.2.1 pep chromosome:SL3.0:10:63987702:63990780:1 gene:Solyc10g084240.2 transcript:Solyc10g084240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIYVLIIDLMTAKSDLRLNYYSESCPRAEEIIKEQVNKLYHKHGNTAVSWIRNLFHDCMVKSCDASILLDKTKEQTSEKTSQRNFGMRNFKYIETIKEALENECPNIVSCADIVALAARDGIVMLGGPQIEMKTGRWDSKDSYLAEVESFIPNHNDSMSLVLSRFNSVGVDTQGTVALLGAHTVGRVHCVNIVHRLYPTVDPTLDPDFAKYLKTRCPSAEPDPKAVEYARNDHVNPMVWDNLYYKNIMSNKGLLIVDQQLVSDPTTYPFVEKFAANNSYFNDQFAKSFIILSENNPLSGDQGEIRKVCRHVNK >Solyc02g082020.3.1 pep chromosome:SL3.0:2:46308505:46311352:-1 gene:Solyc02g082020.3 transcript:Solyc02g082020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMRSKREKRLRAIRRDIVATEPSVLKKEAAKLAAQEAALAAPKLIVKSPFSRTTMDTSASTSNDESKMDVEMADGNQSSKSLKPLGKKMKKKLKIAKKKNHGKGKIRRKNV >Solyc10g045457.1.1 pep chromosome:SL3.0:10:33935419:33936105:-1 gene:Solyc10g045457.1 transcript:Solyc10g045457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSLTRFWNWHFGNIKFLGDYQWRERERHAGPFFAILGAMLACFSTEWEAATNEGVWGTPRKWRWEIPEDGRTLADYNIEQESTLHLRLHGGIIELLWWFLLGSTIRRFVASAMKCEHNNQLRPKKKISRW >Solyc02g014680.1.1.1 pep chromosome:SL3.0:2:18151747:18151932:1 gene:Solyc02g014680.1 transcript:Solyc02g014680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLPKVVWTIRKRRKARSPLILSPDKEIWIHQLKEEKYILSYLINLLAYIKASCKSPRSC >Solyc02g062620.3.1 pep chromosome:SL3.0:2:34895133:34912181:-1 gene:Solyc02g062620.3 transcript:Solyc02g062620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear cap-binding protein subunit 2 [Source:UniProtKB/TrEMBL;Acc:K4B6F0] MASLFKDLAKISAYRDKRFPGTQEEFEDALLRSITVYVGNMSFYTTEEQVYELFSRAGEIKKIVMGLDKNSKTPCGFCFIMYYSRDDAEDSVKYISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPAIRRGGYGKLVQKELEAQRQLVDYGTGSLGAYPPVMPPPHYGRHNGGNHGRGGSYRHGRDYHRKRHREDDHHRPDYPKRTYERESRRNSDHESRPEKNPRFRESGDSDEEDDDDRKRRT >Solyc07g063165.1.1 pep chromosome:SL3.0:7:65857674:65861639:1 gene:Solyc07g063165.1 transcript:Solyc07g063165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSRFQSLTNKTSLQNIIHRQLLRPGATTAAASVGSSIISPQFQPKSILTGHFSDSKKLSVSNADEFLLNWNFPIGIGSGLPVFDLSLMELRGSRSTILVRTLSNPSGFRAGSSELLQRAWEQAKLAATLDHVGTERCNEAFQKLGKKCNIVVNIQGDEPLIEPEIIDEGDKKLTMRLMVLTPRKM >Solyc10g006950.1.1.1 pep chromosome:SL3.0:10:1373671:1373829:1 gene:Solyc10g006950.1 transcript:Solyc10g006950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLMIQLTFEVHFKEMDGVALEKRLVGHQHSCLRFLHGQYLFLIRKETVSKW >Solyc02g071180.3.1 pep chromosome:SL3.0:2:41230467:41238804:-1 gene:Solyc02g071180.3 transcript:Solyc02g071180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNNGGVGVQSIPAGSRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLTQDTFHEVKSKREKRKESKDTTESRPRGAISNSGRGSRGGAERYVGRGGSAESTKLIPGYRKDNGSKTSNLTSTLGVSGISRRATTISDIAANESKKSAPAAVDGVSSVSQHETSSGYQPTWGGVPGQVSMADIVKMGRPQSKVPSAPSVSHHNVNAEQNHIQGLPSGASHQNTQWSDDHTTKISEVHREPQHLSTDEEWPLIEPPSVASQTSISEPPADSELHPDPTNMSYDRINHQNEIDEVQGTDNCTIENLGSPSSRRLQEDNAGGASIYENDLYGYQNQNHTFDHQQAEDVNNSVSSVSANLQQLNVQDDGGVPPEGDGPSVVIPDHLQVQTADCSHLSFGSFGSGIGGSFSGPLASAPVTSTLEDAPKEVDGSSVGHLGSRASEYYGDESLRHASESNLYHRTNASSVNYDSPASQPEPLKSETNEQGNQYSYPSSAAGYTYESAQQLTAAFSQPQTSSQMQNLTPFSNVMAFTNSLPSTLLAANAHAGRETDLSYLPFSATQAMAMKYGSSVSSIGGSTISMPESLKGAGFPSAQPTQQPLSGTSVTTGPTVPQHLAVHPYNQPPLGPFANMIGYPFLPQSYTYMPSAFQQPFAGNSNYHQSLAAVLPQYKNSVSVSSLPQPASVASAYGGFGNTASIPGNFPMNPPAAPSGTNLSYDDVLSSQYKDTNHLMSLQQSENSAMWHGPGSRTMSAVPANTYYGFQGQNQQSSGFRQAQQPLQNHGSLGYPNFYHSQAGISLEHQQQNPRDGSLGGGSQGQPKQFQQLWQSGGY >Solyc12g098880.2.1 pep chromosome:SL3.0:12:67237046:67237513:1 gene:Solyc12g098880.2 transcript:Solyc12g098880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYHRDRKSTSWVRTITSPFRKARTLFNQESSRDKKSHQEGPENHMVNLQVEVMACSYDDVQVMWSILDKSNTRT >Solyc10g050180.1.1.1 pep chromosome:SL3.0:10:47995437:47995592:1 gene:Solyc10g050180.1 transcript:Solyc10g050180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKVKSSISPSKFYKQLKTQKVGQNRAIQHKRNKVDQNQYSYQYLNSVES >Solyc03g118755.1.1 pep chromosome:SL3.0:3:69068699:69070551:1 gene:Solyc03g118755.1 transcript:Solyc03g118755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLSRLYLLSFFCFTVIVVRTYAECALETTKEFVAMLDLQRGQKVLDVGCGIGGGNFYMAEKYDVHVVGIDLSINMISFALERAIGLKCAVEFEVADCTKKTYPDCTFDVIYSRDTILHIQDKPALFRSFYKWLRPGGKVLISDYCKRAGPASKEFEGYIKQRGYDLHDVEAYGQMLRDAGFHEVVAEDRTEQFIKVLQKELDTVEKERESFIHEFSEQDYNEIVGGWKSKLIRSSSGEQRWGLFIAKKK >Solyc01g017080.1.1 pep chromosome:SL3.0:1:23790420:23791343:-1 gene:Solyc01g017080.1 transcript:Solyc01g017080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIKIPKALATLTFPTMIFFGISLRSMIELRNCIFVGIKNIVNKTISGFLRFYDYFLNVSFGTLHIHSFSYSKISPMASCLHIENYLPMTRCQRDFLFPKIDPPTFLYKHRFIKNTQEKHFKMLINRHRCYQYLSKLFLSNGTLLNQMPKTLCGRVVKTLVSSIFWTLALWKNNLLLKHRRIEILDQNTMYGWYELPKKEFLNNKQPVQIFTTKKYWILFQIGHERKKKAGMPIGVYYIEFTR >Solyc11g013870.2.1 pep chromosome:SL3.0:11:7296205:7300823:1 gene:Solyc11g013870.2 transcript:Solyc11g013870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSQKAKTLIILSLFLSSFLCVCNCQCKFNDQSTKIKGMFIFGSSLVDNGNNNFIEKCKAKANYLPYGIDYVKGPTGRFTNGKNVIDLLGELVKLGPIPTFKDPTTKGNVILQGVDFASGGSGILDETGLVSGEVMGMNEQIRNLESVTIPDLEKQLGCKSNEALSNYLFVVGTGGNDFSLNYFLNFPFNNSTLPGFISQLITGLSQQLQKLYDLGGRKFVVMSLYPNGCSPTVRARLPIPTPFDCVQTVNLALGLYNTNLRSLLDYMMQKMPDSKFVYVDVFNIIRNIITSPSQFGIDNVRDPCCEILTIEEGGNSTLCKIGGQACSNRNKFVWFDGLHPTEAVNIVLANKAFNSELDSEVYPTNVKKLIEG >Solyc04g008820.3.1 pep chromosome:SL3.0:4:2435404:2440370:1 gene:Solyc04g008820.3 transcript:Solyc04g008820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKDFFSLSLFSISRAFSVLRVVIKIWKKMAGGGASTKASNGGPTRVRKRVEVESAASAASLKRAKDGSAFARCEECSKDVPIALISFHNCSLDAKIKMNLEAQVVENQTEVKKPAAKSKEKSTEPKAKREKKPKNPNAPKRPPSAFFVFMNDFRQEFKAANPDCKSVSMVAKEGGEKWKSMTDEEKKPYQEKAAELKAAYEKSFKSNTDADNSNDDDEEPEKEVKEEIAVDEEAKEEIEVDEE >Solyc03g119880.3.1 pep chromosome:SL3.0:3:69907786:69908757:1 gene:Solyc03g119880.3 transcript:Solyc03g119880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKAFLYLVFFSSFHFFTVFSTQFTVGGEKGWIIPKDDQLYNEWAAKNRFKVNDTLTFAYKKDSVLAVTQEEYEKCKSVHPIYFSNNGKSEFKLDRPGLFYFISGVSGHCERGLKMIVKVLEPASPPNQVADHTTGPSTSGAAQLINVVGVLVVSLFGAIFI >Solyc06g069360.3.1 pep chromosome:SL3.0:6:43223838:43230588:1 gene:Solyc06g069360.3 transcript:Solyc06g069360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEEDIGVPEMHPNKRVKTLTLSSPESSPSSSDKGKSKLELSNLETEQDCCGICLTETGHGTTIIRGVIDCCNHYFCFVCIIEWSKVESRCPMCKRRFSIIRRPARPPIFPSERVVNVPVRDQVYHCHGNATTGPRDLYAEVQCNVCHSTADDVLLLLCDLCDTAYHTYCVGLGATVPEGDWFCADCALLKAEQAQSDIKTDCCTQTSFGEPQSAESTRQVSIYDIVRESRVDGVESTPTGALDASDSSSRIESRRQSTSRSSSIRLGSVGNNGVAGRTTKFNARTLGHCRNVHDRIRILREHWNGFRSGSLRFPSSKVTNASSAKLKPVRGSNSAERPLVCCSSQPRTSQPSNQNRPDSKGPTEVKKAWKMMNMAKAIEQNSVGNKSSVQASKHSVQKNTSYKEASESSSTSIKMKIEQHRPPYIQNVGPGSHSENDVCKLDIGSKGASGVYKGSSMSCRPLYSELVSSRESQNSLQPYVCDANNGLPWKNKSCAGATHLSSSIRLTSISTTVGHEKEISSSSNSIVNPQEKLKVEKSVTKRRVEVKSDAKTEIQSLVKLNLKILCGDKKLEVDTFKQVARVATHSILAACGLEHKTHGISSLPECVCVHSEEDKELSRSTLMPKSCRECFFLFVKNVVNTVLLDKR >Solyc01g067290.2.1.1 pep chromosome:SL3.0:1:75531415:75533022:1 gene:Solyc01g067290.2 transcript:Solyc01g067290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFAPSSGLTLLQSTKDQNFRQLFSSHFINHVQVRTVPYATPFLSVNVSGRPFRVSSRKLKPIIASAASTVETPKISFRGKNPKDINVLVVGSTGYIGKFVVKELTSRGFNVIAVAREKSGIKGKNSKDDTLEQLNGANVCFSDVTNLETLEKSVQGLGVSIDVVVSCLASRNGGVKDSWNIDYEATKNSLVAGRKFGASHFVLLSAICVQKPLLEFQRAKLKFEAELMKEAEEDNGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGKLCACKPISEQDLASFMADCVLKEDKINQVLPIGGPGKALTPLEQGEMLFKLVGKEPKFLKVPIEIMDFAIGFLDFLVKIFPSLEDAAEFGKIGRYYAAESMLIWDPDTGEYNAEATPSYGNDTLEDFFQRVLKEGMAGQELGEQTIF >Solyc06g075490.1.1 pep chromosome:SL3.0:6:46996080:46998698:-1 gene:Solyc06g075490.1 transcript:Solyc06g075490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPSVAQLPGRTGGWITFPFIIATTVGLALSFVGWTSNLIVYLIKEFDVGSIDAAQISNLVNGAGSLTPVIAAIIADSFLGCFTTIWISSIISLLGTILLALTATVDSLRPKPCEVGSTSCTPKRIGQYVVLYTAIVLATLGSGGIRSTLATIGANQLADKPKDQGIFFNWFFFFWYFASVIGSTAIVYVQDNVSWKAGFFICAASNIVALVIFLLGSKFYTKFKPQGSPFTSLARVIVACITKRQLALASIPEDFFQGCNVVPKALPVVPSRTFRFLNHAAIISEGDVKSDGSISNRWRLCSVQEIEDFKTLIKILPLWSTSFFLATTIGVQASLSILQALAMNRHIGPNFQIPAGSVLVFVLLSTTLFLALFDKFILPTWKNLTGKSLTPLQRIGIGHVLNFLSMGVSALVESKRLNVAKSNEGSNIVPMSVLWLVPQLVIVGIAEALYFPGQVSLYYQEFPITLKNLAIAMISVLVGISFYLATALIDVVRRTSRWLPGDINNGRVDNVYWILVIGGVVNFGYYVICAWYYKYQNLKQVDSPYDE >Solyc12g042230.2.1 pep chromosome:SL3.0:12:58304246:58305357:1 gene:Solyc12g042230.2 transcript:Solyc12g042230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGLINKENIAESKMALVCSHMRTARNSYEFWFRCTNYGGMFLRLSNMYFYLLLIPSIFIQAGSESAFRYGLSTDPKYRNGFFIRKNYFYQRMVHNLYLSNDLTDPTLAMTFAHLDASTVLLRVLATKGIYPAVDLLDSTSTMLQPQIVGEEYYETAQRVNQTLQHYKEIQYIIAIIGLEELSEEDCLLVARAQKIECYINNLFQLILFVALDGLPEHAFYLVRTIDEATAKAMNSELESKLEEIVVSTNSDLINILPNHTPIATTVDMGILRILGLLLKDDKNLLIVVSQEIILILIHLLDFKSSLEMNEINIISIAKGWEMSLDSVG >Solyc02g087160.3.1 pep chromosome:SL3.0:2:50284198:50290450:-1 gene:Solyc02g087160.3 transcript:Solyc02g087160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKTLSSLRMRDKGEEIFQPTEECTLLPSTTLLKDVST >Solyc02g083550.2.1 pep chromosome:SL3.0:2:47472527:47473357:1 gene:Solyc02g083550.2 transcript:Solyc02g083550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLDHLSELCEFHRGTSRRKLSKLWRNQLETVEIRVKMDCEGCERRVRKSVQGMRGVTKVEVEPKKHKLTVIGYVDPDKVLRRVRHRTGKKAEFWPYVPYDLVDHPYVRGVYDKKAPPGYVRNVYDNPQVSNLARASSTEVNYITAFSDENPQACIIM >Solyc05g013160.3.1 pep chromosome:SL3.0:5:6245116:6249939:1 gene:Solyc05g013160.3 transcript:Solyc05g013160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSLPSSSFLCPLKTTRFRTKIQSLHSSPKSLLINSLQLQELDPNIPEPVQTFWKWLCEEGVVSAKTPVKPGIVPEGLGLVAKRDIAKGETVLEVPRRFWINPDAVADTEIGNVCTGLKPWISVALFLLREKWRDDSKWKYYMAVLPESTDSTIYWSEEELSEIQGTQLLSTTLSVKDYVQNEFRKVEEEVILPNKQLFPFPITLDDFFWAFGMLRSRAFSRLRNQNLILVPFADLTNHNARVTTEDHAHEVRGPAGLFSWDLLFSLRSPLKLKAGDQLFIQYDLNKSNADMALDYGFIEPSTSARDAFTLTLEISESDDFYEDKLDIAESNGLGGTAYFDIKLGQSLPPFLIPYLRLVALSGTDAFLLESIFRNAVWGHLELPVSRANEELICKVVRDACKSALSAYHTTIEEDEKLMEEGNLSSRLQIALGIRAGEKKVLQQIDDIFRERELELDELEYYQERRLKDLGLVGEQGDIIFWEPK >Solyc04g039950.3.1 pep chromosome:SL3.0:4:12348641:12371147:1 gene:Solyc04g039950.3 transcript:Solyc04g039950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTNVFKIGGLHQISWFQFLPHESDVNSLVDKRVKPDKKDVATWLVLSSHLQLQKEGFLSTWTNSFVGPWDPSQGLYNPDEKIKLWLFFPGQHSSVVEKAQSAISKLRVLASGLWVAPGDSEEVAAALSQALRNCMERTLRGLSYVRYGDVFTKYRPFSQNEELFRKGQPVVEFVFAATEEAIFVHVIISAKHIRALSSGDIDKISENTSNVSVEGLPVIVSPHGMRGRLTGCCPADLVKQVYLSSGKFSASNGIVGLPCNVSQSSYQLKGHNCYVEVNLGSTAPGNNNIQESLNIQNNSSRPTMTEASAVANFVQSKIPDNCGRVLIYPPEAVLVPVVQTACARSSLKRFWLQNWIGPSLSFTSSFMHCFDFHCDAKVNSVDGSWLDANVIRSNRRYNSSSNSNSSSVSSISTSSSDSDYKTSGTGDLEADADSLMCRQSGLSSLDRSQNDNLKTGFKRSRAGISESFSQGGAVINPSTSDYASMDANNSAITEGSDQIGLQWGWDDDDRNAGMDIQALLSEFGDFGDFFENDALPFGELPILKPPGTAEAQALMFPAADSVDVSSSPCPSMMDVQDQILLPVGFSSFDSFNQPPPPAILDDSLSKHQEVIKSAAVTNQVNSASASIADEFNHLIKAEALMSFAPEYGAVETPTGESSHSIFRNPYVPKSREVETANSSSNSYFYSATPPLSPCFDACEEKSSVTVNLKTGTGRHDTSSIVQSKKYYTHIESGKEKNDDKVSVYVRSCATRETQVAESPFSGFNSTNSVKYIHNKTDKASEGLLKAGSSGQSIKPVLATEVECLMCQAFMCKIRHTLLSSSGCLPVGMSRMSGSTNRNQSQGEAVVTVDNMSSKSEMKKEIIPVRIAGDIDGGLLDGTLNAPVGVWRTVGVSKGTKQPTTGLESCHSVQHNSFIEDSMLAYGLRQPLQELLDGMALLVQQATSFVDVALDADNNDGSYGWLALQEQWRRGFSCRPSMVHAGCGGVLASCHSLDIAGVELIDPLSADVQASFTLTLLQNDIKAALKSAFSTMEGPLSVVDWCKGRSQSNDGGISGDGFSAESTASASECRDSSSTISLSVGEPISPSQSSAGGSSSLRDGIRVDEASERRLSQDTCLSESEQLPGSRLRATLAAVPYPAILVGYQDDWLKTSPSSLQFWEKAPFEPYAMPKHMTYHVVCPDINALTTAATDFFQQLGTVNVETCKLGTHSPQFMGNEMEIDSGKNASSGFVLIDCPQSMKIDSSSASMLGSISDYFLSLSNGWDLESYLKSLSKVLRNLKLSSCMTMNPKEGSTGPCTVVYVVCPFPEPLAVLQTVIESSIAVGSGVLSSDKERRSTLHNQVGKALSYSAAVDEALSNVLTLSGFCIPKLVLQIVTVDAIFRVTSPALSELVILKEIAFTVYNKARRISRGSPSDMVQSSSMPGRSHPVLMQMNSPVPGMWKDCVGPRGIGTSLQREADLDANLRPGSWDNWQASRGGGLGCEPNRIGDFSFQDEIRYLFEPLYILAEPGSLDRGLSFPMSGNPMTESSKLLLDDGTSGSFMQSSASSGGGDTGLNTQSETSVPDGFGSAHQKSLPSLHCCYGWTEDWRWLVCIWTDSRGELLDNHIYPFGGISSRQDTKGLQSLFVQILQQGCQILQSCPPEAAIAKPRDFVIARIGCFLELECQEWQKALYSIGGSEVKKWSLQLRRSVPDGMTASSNGTSLQQQEIGGLGQPSTRKQLIGGQGVVDNSRGLLQLVQSISFVSLSIDHSLQLMIQADSTSHGTSQSSGIMSQPGYLEGYTPVKSLGSTSTSYILIPSPSMRFLPPVSLQLPTCLTAESPPLAHLLHSKGCAIPLSTGFVVSKAVPTMRRDVRSISKEEWPSVLSVSLVDYYGGSNVIHEKFLKGVGKVGGRGTGSETRDVEIAAHLILENIAAELHALSWMTVSPAYLERRSALPLHCDMVLRLRRLLHFADKEVSRQPVKSQV >Solyc12g088320.2.1 pep chromosome:SL3.0:12:64738478:64740516:-1 gene:Solyc12g088320.2 transcript:Solyc12g088320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACEKTVYLVDKLTADNRVFHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPEKDEKPHAAKVSSMFVGTREKCFGCKNTVYPTEKVSVNGTPYHKSCFKCSHGGCVISPSNYIAHEGRLYCKHHHVQLIKEKGNLSQLEGDHDKNSSVRTTES >Solyc09g090790.3.1 pep chromosome:SL3.0:9:70677950:70680826:-1 gene:Solyc09g090790.3 transcript:Solyc09g090790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEIFSEQMGSNWGFIEEVGWRKGPWTSDEDRLLIEYVKLHGEGRWNSVARLTGLRRNGKSCRLRWVNYLRPDLKRGQITPHEERIILELHSRWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKTKNSSDNSEKSRARLWKKQQFQQQQQQQQQINNQIDIKNVMSLPTMPQGKQEMTILYPNNMIDQQDQADFFYSSLNNSTSISQSEPSSSNEDIMWDDLWNLDDFYGHFMINTTTYNNKTNTIPCLQQMATTPTFY >Solyc11g013780.1.1 pep chromosome:SL3.0:11:7158173:7159825:1 gene:Solyc11g013780.1 transcript:Solyc11g013780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLNSGGRTDRNGIPKNPIGQGSFSNGMPLGVSGTFNFMIVFQAEHNILMHSFHMLGVARVFGGSLFSAI >Solyc09g014935.1.1 pep chromosome:SL3.0:9:7251969:7252633:1 gene:Solyc09g014935.1 transcript:Solyc09g014935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHWVAVNRILRYLQHTQYHRFNIHCSSSLLLQALTDSDWAGCIDDCKSTGCYAIYLGAALVSWSLKKQRTVSRSSTEFECKTLANAAAELTWILKDTTFDSHILFPLPISLHLVLGND >Solyc04g082620.3.1 pep chromosome:SL3.0:4:66323886:66335827:-1 gene:Solyc04g082620.3 transcript:Solyc04g082620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFFLIIFFLAIVFIVVVYGAPSNSEGPEPEAEIIPSSEVPTSSPLLPLKPKRDTAIVAAPDGTVHLLDLKSGEDIWAFRSGAPIYSSYQSLSDYQGDGNNATIEDDNFYIDCGEDWKLYMHGNGLEKVELQFSVEEFLKQTPYVSAGGIMLGSKKTTVFIVDAKTGKLIQTYRSDVFPLEGDTDVGQNPIVPMEDVEGWAAAQDTDSEAVNPLYIMRTDYALKYTSSKTGKVLWYLMFADFEASQQCKQIGSFLGDFSDQEDQLNSGYGVCSSKPVVHRVRNLKSLESLFASGRPHNALSGDVELSIYINPALKPVSELMGLPPNKRTDIILSSLPSMTKEFGLMGLPGGDKVTKSDALVHSYKWNSVVLNTFILLIPVLSSLTYLWKWWKSHKQATDPKLQAVTSKKKKSRKSGFSKSSTRNEKNQKNSHNDDTEASGFVGVIGKSEKVLELNLCKYDSLVYHRKIGKLLVSNTEIAKGSNGTIVLEGIYDGRPVAVKRLIQTHHEVALKEIQNLIASDQHPNIVRWYGVEYDQDFVYLALERCTCSLYEFISSVTCSYQKQFSGDDQDAGCLSDCTVKVQWKSGDKDDFPLWKPSGYPSAHLLKLMRDMVHGLAHLHELGIVHRDLKPQNILIVKERSVSAKLSDMGISKHLAGDMSSLTKNSTGSGSSGWQAPEQLRHERQTRAVDLFSLGCVLFFCITGGKHPYGDSFERDVNIVNDQKDLFLIENIPEATDLISALLHPNPELRPKAVEVLHHPFFWNSEMRLSFLRDASDRVELEDREDGSELLGALESVKTVALGGLWNDKMDSAFINDIGRYRRYKYDSVRDLLRVIRNKLNHYRELSKEIQGILGQVPEGFESYFSTRFPRLVIEVYKVLHTYCLEEDIFQKYFKGNQN >Solyc07g005410.3.1 pep chromosome:SL3.0:7:317534:319184:-1 gene:Solyc07g005410.3 transcript:Solyc07g005410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGYTSQISDEIHTDVLSKARISCYQARDAFYSCLVNKSDKKPTEIGTVGLLYPVDCNKTRQEYVNQCRPTWVKHFDRQYCSKKKVQRHLDDNESRRGPLSLPQPYIFKPPCSC >Solyc03g119305.1.1 pep chromosome:SL3.0:3:69432802:69440607:1 gene:Solyc03g119305.1 transcript:Solyc03g119305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQASLVWQFLCSIPVNMMKKFLPWLSSSISPDEHKDMQKCLSMIIPKEKLLQQVIFSWMEGGKCVTAVGGHDVDADPPGSVDFNSVTETYASGNEKCVCESSSPGKRKFRLKGDSFDTDSGNPIDEVLHWHNAIKRELDEIAAEARRIELAGELSSLTAFYARLQFIAQVCIFHSIAEDKVIFPAVDGGLSFFQEHAEEEIQFNELRCLIESIQCTEVNSTSAAEFFSKLYSQADLIIETIKQHFHNEEVQVLPLARKHFTRDRQRKVLYQSLCLMPLKLMEQVLPWLVGALSEDEARSFLKNLQLAAPEADTALVTLLSGWACKGRTDGVCLSSSVTGCCAVKRFADIEEYYTGAPCPCFLSVHSDDSKRPFKRNLNSLCSKDDTLDLSKGVNACNISCNDQSCRVPGLGVSDNNLVLTTISTPKSLRSLTFSSAAPSLESSLFVWETDCTSSQPNHKVHPIDTIFKFHKAIQKDLEYLDVESGKLSDCPETFLRQFIGRFRLLWGLYRAHSNAEDEIVFPELESKEALHNVSHSYMLDHKQEEKLFEDISSALTNLSELHKGLKEAYQKESGSSILESTGLYDRDCKRKYNELATKVQGMCKSIRVSLDQHIFREEHELWPLFGKHFSMEEQDIIVGRIIGSTGAEVLQSMLPWVTSALTQDEQNKMMDTLKQATRNTMFSEWLNDCWRRNPEVSSQSEALQNSYTNRGVDSHEGLDQSDHMFKPGWKDIFRMNQTELESEIRKVHWDSTLDPRRKSYLIQNLMTSRWIASQQKSQASTEEISRSEDVVGYSPSFRDKEKQIFGCEHYKRNCKLLAACCGKLFACRFCHDEVSDHSMDRKATLEMMCMRCLKVQPIRPSCTTPSCNGFSMAKYYCSICKFFDDERPIYHCPSCNLCRVGHGLGIDFYHCMKCNCCLGKGLVDHKCLEKALETNCPICCEFLFTSSATVRPLPCGHYMHSACFQFNHALSARNMPPVTTFVRYAANPWVIWRIFFAMIVNRNAGHPSIGCITSAGSADLTTPESLHCLQQLLTALPEMTEELGFSTPFDEILNL >Solyc02g005300.1.1.1 pep chromosome:SL3.0:2:8022436:8022600:1 gene:Solyc02g005300.1 transcript:Solyc02g005300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTINTFSMYLDFYLENNQIALLSKYKNKIKLKIQNTNSKLTKIFSKYKCAQCP >Solyc02g082130.2.1.1 pep chromosome:SL3.0:2:46407955:46409028:-1 gene:Solyc02g082130.2 transcript:Solyc02g082130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKQKSTTISAEDTADATAVTASTTIDLKALIRDNRLFFDKLIDLIPPRFYLPKDEPDTWYRGLPKAAKASLKKQSRENLKLARRNRLDPEKKEQSSTVGLLEQSLQKKQKTDEEDDSGEEDHGEPTPINLEENDNPNNDNYSGTYEELRRRLHRKIEMLRGNRGDGESSERNKFNQRKRSEKDASLEKSDGKKRKRGEDDNGEDTSMEKDIEFGKVKLGDDYDKKKKKKKVSKPKELERLKRLEEVKRENKTLADKEAWKAAANKAMGLKVFDNPKLLKESLKKDKRKKEKSSEKWKERIQTTEKMKNERQQKRRDNIAGKAKEKKMRKIAKREKKLMRPGFEGRKEGYITQDKS >Solyc07g064500.3.1 pep chromosome:SL3.0:7:66745716:66756035:-1 gene:Solyc07g064500.3 transcript:Solyc07g064500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPQSGVAQPAMAPMSMDQYQQQAPPTQQQQQWMMQPPQAQQPQFQPSWGQQQQQPSQTMSQQYVATNSSPSSNVNPNEVRSLWIGDLQYWMDESYLSTCFYHTGELVSAKVIRNKQSGQSEGYGFLEFRSHAAAETVLQTYNGALMPNVEQNFRMNWASLGAGERRDDSPEYTIFVGDLAADVTDYVLQETFKPVYSSVKGAKVVTDRITGRTKGYGFVKFSDESEQLRAMTEMNGVLCSSRPMRIGPAANKKPMATYQNPQATQGESDPNNTTIFVGGLDPSVAEEHLRQVFSPYGELVHVKIVAGKRCGFVQFGSRASAEQALSSLNGTQLGGQSIRLSWGRSPSNKQSDQAQWGGSAGAGAGAYYGYAQGYEAYGYAPPAQDPNMYYGNYPGYGNYQQPQQADLSRRCDWLYNEFDKLSDYSVKFFLTISDLQYSIAFGLLVSSI >Solyc03g051950.3.1 pep chromosome:SL3.0:3:23454736:23474081:1 gene:Solyc03g051950.3 transcript:Solyc03g051950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLRRRKALLSIYSWSNGYATESKRRGLTSVPPIEVGFTESAGRGVFATRRIGAGELIHTDKPILSHPSLSSLHSVCYFCLKNVASNLPFCSDECRLQSKIFYDTEKQADWSRFHEYCRTQGLKYPLLVKRLACTIISGAATPETLDILQPATLSSEMILLMEKAYQLLRSTFEDAGFTDEQIAFLSKKWYIDVLARIRINSFRIELALGSYEDILLSAAASVEAEAAVGNAIYMLTSFYNHDCDPNAHILWIESVNAKLKALRDIEAGEELRICYIDASMDHDARRATLSEGFGFDCRCARCMSND >Solyc01g058390.3.1 pep chromosome:SL3.0:1:66347646:66355320:-1 gene:Solyc01g058390.3 transcript:Solyc01g058390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARHEELPIPIFSDLEPVYGSGSQLEEAQLRFKNLKAKFIDLFGQSPHVYARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIVAIRKHDSSEPQKLLRIANVNSDKYSLCTYPADPLQEVDLKNHRWGHYFICGYKGFYEYAKLKGIDVGKPVGLDVIVDGTVPTGSGLSSSAAFVCSSFIAIMASIGVNMPKKEIAQLTCECERHIGTQSGGMDQAISVMAKSGFAELIDFNPIRATDVQLPDGGTFVIAHSLAESQKAVTAAVNYNNRVVECRLAAIVLGIKLGMEPKEAISSVKTLSDVEGLCVSFAGTHSSSDPVLAVKELLHEEPYTSEDVENITKEKVETIFAASPTSLDVLRAAKCYKLHQRAAHVYSEAKRVHAFKDTVSSELSDEDMLKKLGDLMNDSHHSCSVLYECSCPELEELVKICRDNGALGARLTGAGWGGCAVALVKESLVPQFILNLKEQFYQSRIDKGTISKNDLGLFIFASKPSSGAAILKF >Solyc09g063130.3.1 pep chromosome:SL3.0:9:61417968:61423498:1 gene:Solyc09g063130.3 transcript:Solyc09g063130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSMASAASGFMVATPNVTSNSAPKSSMLSFSSKNTTTNIPRLVVRAAEEAAPPAPTATAEGEAPPAKAVKPPPIGPKRGTKVRILRKESYWYKGTGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEVEEVK >Solyc03g119170.3.1 pep chromosome:SL3.0:3:69336534:69340586:1 gene:Solyc03g119170.3 transcript:Solyc03g119170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRALSLCSRNFHLSQNFKSNPNYFNSSSSSSKIIHRTVVPSKIRFFSSSENDSSSNHNTPEPSPQPETSLNESNEKETSLNGSTEKEVSLNVEDVNNKELKLRIEEYFNKGNEEALPSILEAILKRKLTGKHEHTDDELLEEMQMKPLDGVNDEEFESDFEEGHSTDEDIEDLYDATDIVKRKMVTDKFFNMDDRKWDDMIKEATEHGHLKDTRECEEILQEMLSWDKILPDEIKKKVEVKFDEIGERVEKGEITPEEGYALFKEFEDGVVVECAKLMEKDAPQFDATTLPDDKKDLDDPPGEGPVLRWLTRVVFAPGGDAWHPKNRKVKLAVTVKELGMSKHQFRRLRELVGKRYHPGRDELTITSERFEHREENRKDCLRTLFALIEEAGKANKIVEDVRTSYVKQRLKANPAFMERLHAKTMKLKESSLLHA >Solyc04g016270.2.1.1 pep chromosome:SL3.0:4:7066662:7067107:1 gene:Solyc04g016270.2 transcript:Solyc04g016270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKYKMQTKKQLISPSNHQVALEISLSILVSLSEQVFDGKALLSFWFVKRIFLIRPDFETLAFVTECSASVYKMEQLISEECPNLIITFGLLRDSCWLIFRCHRFTVIFLQTSTLFELLFALFIVHLQTFSNTLLLCHST >Solyc02g087360.3.1 pep chromosome:SL3.0:2:50421975:50430475:-1 gene:Solyc02g087360.3 transcript:Solyc02g087360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDGYDSPSFKRPFGSNRGESYNPSQVPGSGQGGVGGGSSAGGASASNSKLTTGDALSYLKEVKDMFQSQRDKYDMFLDVMKDFKAQRIDTVGVIARVKDLFKGHPRLILGFNTFLPKGYEITLNDEDEAPPKKVEFEEAISFVNKIKTRFQNDDHVYKSFLDILNMYRKEHKGIDEVYREVAVLFSDHPDLLDEFTRFLPDNSGTASAAQTSVGRPSFHRYDERSSAMPILRQSHMDKRFRRDRIIGPYAERDLSIERPDLDDEKTMMKLHKEQKRRTEKESRDRRTHDQDYKEPDNENNEDLSIQRHTDKKKSARKVEEFGGPHEDKDALKNMYSQEFSFCEKVKERLRSPTDYQAFLKCLHIYSTEIITRTELQSLVRLSCSSQVADLLGKHPDLLEGFGEFLERCEQDDGFLEGFMRKSRWNDGHASKSAKDDGKEKEPKRETDGTKEKDRYKEKYSGKSIQELDLSNCKRCTPSYRLLPDDYPIPTASQKSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSTAKRVEELLNAINDNSIGGAFRVEDHFTVLNLRCIERIYGDHGLDTVDILRKNPSHALPVILTRLKQKQEEWTKCRTDFNKVWSEIYAKNHYKSLDHRSFYFKQQDSKNLGSKSLLAEIKEIKENKQKEDDMILSISAGSRYSITPNLEFDYTDSELHEDLYKLIKYSCEEVCSSKEQLDKVLGLWTNFVEQILGVPCRPRDSEATENDVLLKPHGPKADGASIGESDGSPSADASTRNCKQSKVISNRDANAPPLRVNPSRTSFASADALPKEDGLPVTGEHLTSSDAAPAMGADTVHGRVELTSGRGARQGNGASDDGQVSKSNIDNVPASESDTSRSIPLGNGGFAEGSTMNGYNDDSADPCKNEKEEGELSPNGDFEEDNFVAFRSGASHNESVQYQTRGAEEIGSQDAAGENDADADDEDSENVSEAEEDVSGSESAADECSREEHEEEDDGEHDELDGKAESEGEAEGTNEAHYAGGDGNVLQMSDRVLLTSKPLTKYAASPVCEGVVKYPRVFYGNETFYVLFRLQQILYERLLSAKMNSALSESKWRTGKDTGSIPYDRFMSALHSLLDGSAENSKFEDDCRSIIGNQSYVLFTLDKLIYKLVKQLQTVSSDELDCKLLQLYEYERLRKPEKFVDSAYYENAHVLLQEDSIYRFECMSSPTRLSIQLMDDRSDKSEVVAVAVDPNFAGYLHNDYLSVKHGKKESSAVLLKRNKRKRADNDESTALCMAMEHVILVNGLECKMASNSSKISYVLDTEDFFFRQGGKRRKVSAGRLSCLYQARVERFHRVLLSSL >Solyc02g084910.3.1 pep chromosome:SL3.0:2:48594297:48605023:-1 gene:Solyc02g084910.3 transcript:Solyc02g084910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSSMPSAATMFQVYASISTAITMFKTMIHQLVPQQVQCYIETKIRRYFRPNSSDVTLVIEERDGMGINDVFTCAEIYLSARISPEFQRFKITKRPKDTGVNVKFGNCGKITDSFEEIELVWKFVNEAKKSISRFQDDDEGDFSEKHTFELSFSKQHKERILNTYIPFVLNTAKTMRNEKKIIQLHSLSGNCYSSINWDSVNLEHPSTFETLALEPALKKTIIQDLDRFLKRKEFYRRVGKAWKRGYLLYGPPGTGKSSLVAAIANYLKFDIYDLEFSNIKRDADLRRLLLSTKNRSILVIEDIDCSVTMPERNVARTNHNIRRDRDQEITLGGLLNFIDGLWSSCGDERIVIFTTNHKEKIDPALLRPGRMDMHIHMSYLTSESFTVLANNYLEISDPLYNTFKEAKELIEGAQVTPAEVAEQFMKSEDPDVCLESLNTASTLFSAYASLAASMMLVRTMANDIIPKSLLTYIQSAISYLFTPLSTQLTIIVDEQCGMTRNQVYEAAEIYLRTKIGPNADKVRAHKTPKQKNINVSIEKDEEITDVYGVVQMKWRLVSVEPQDRHGYTPEKRFFELSFNKRFKESVLNEYLPFVLTKAKEIQDNDRAVKLYTRDCPCGSDDDGYGYGGGGGGVWGSINLDHPATFDTLAMEPEMKKMIIEDLDRFVKRRDFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSLYSNSELRRILISTSNRSIIVIEDIDCSVEMHDRNLGHQPSDTKITLSGLLNFIDGLWSNCGDERIIVFTTNHKEKLDPALLRPGRMDMHIHMSYCTNQSFKILAFNYLGVSDHRLFGEIEGLIKNVEVTPAEVAEELMRSEDAEVVLEGVLNLLKRKADEANEIKEEKSPSTPEDDEKEEIEDKKVDEGEIQEAKRLRTEVL >Solyc07g052170.1.1.1 pep chromosome:SL3.0:7:60824239:60824772:1 gene:Solyc07g052170.1 transcript:Solyc07g052170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4CFB6] MASNSIKLSLIFLLTIFITSKAILHDQIKETNMTLYFQDWSGGPNATVLQITGHQDHGLLSFAKFGSVFVTDDPITQAFDENSAEIARAQGIYVTSALDGKISHVLISIIFTNDDCKGSTLEIQGASPQFERVREVAIVGGTGKFRLASGYATFETIHFDLAIHYVVIQCNVTILHY >Solyc03g077960.2.1 pep chromosome:SL3.0:3:50072921:50087546:-1 gene:Solyc03g077960.2 transcript:Solyc03g077960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWQVILTRPLSFHISTFTAFGGIQANSAIFMMKNFLLKP >Solyc06g076280.2.1 pep chromosome:SL3.0:6:47541025:47545353:1 gene:Solyc06g076280.2 transcript:Solyc06g076280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFIPLSDPVNTFEFEDQINLSSYEGSLNPPHNYNDDYVAFGVPYTAPSVDIGNFAPSSNVSSEVDSPDDHDSDFLFKYLNQILMEENIEDKPSMFHDPLALKAAEKSLYEALGKSYPPSPYHVDHQLESPSPDSIFQTSSDHSTSSSNAHSNSMDPHWIVDPGESSLSLPVESHPSEYSIQPLMQSNSERSHGSLNNINNLNVHMDSFLNPNALSNMFTDRESILQFKRGVEEANKFLPNVSQFVVDLDKYTFPPKVEEVTKEAVVKVEKDERNHSPNGTKGRKHQYPEDSDFEDERSNKQSAIYVEEEAELSEMFDRVLLCTDKGETICGDVKCEMPVDNSLDQNGQAHGSNGGNTRAKKQGTKNEAVDLRTLLVSCAQSVAADDRRTAYEQLKQIRQHCSSIGDAYQRLASVFADGLEARLAGTGTQIYAALAPKKITAAEKLKAYQVYLSACPFKKISIFFANKMIFHTASNARTLHLIDFGILYGFQWPILIQLLSEIPDGPPKLRITGIDLPQPGFRPAESLEQTGSRLAKYCERFKVPFEYNAIATQNWENIKLEDLKLASGETVAVNCLFRFKNLLDETVMLDSPRDAVLGLIRKMNPDIFVQAVINGSYSAPFFVTRFREALFHYSTLFDMFDATLPRGDQKRLHFEQEFYRREAMNVIACEGSERVERPETYKQWQVRNMRAGFKILPLNQQLVQKLRCKVKAGYLRDFVFDEDGKWMLQGWKGRSNNHSSLACDYFDGVLNQMLMEEEEDLENRPSFAKRRISAADILKAFQVYVTASPFRMMSNIIANKSIGMLTREATSIHIIDFGILPSGLQELIFHKLDSDQQRELRRQGVD >Solyc12g019140.2.1 pep chromosome:SL3.0:12:9292977:9296067:1 gene:Solyc12g019140.2 transcript:Solyc12g019140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFKTMTCTIVSLLLLFCLNITIPCCLAYNVVSFGARGDGRTDSTSAFLRAWSAACRSTSQPNVYIPRGTFLVRTLNLNGPCKRRIEFRIDGTLVAPVNYNAIGHSEFWIMFYKVSGLNVYGGTINAKGHGYWSCRNGGKSCPQGARSIQFMWCNNVLLKGLTSLNSQRVHIGIGYSSNVRVENVKITAPSGSPNTDGIHVQNSRGVTIKDSIIKTGDDCISIGPGSMNMWIEQIGCGPGHGISVGSLGSSNNEQGVVNITVTNSVFTKTQNGVRVKSWARPSGGYARNLMFSNLIMRNVGYPILIDQNYCPDNNCPRQNSGVKVSQVTYKNVKGTSSTQEAIKFDCSKSNPCTGIRLQDIKLTHNDRLRRSAVSYCRNARGRRGGTVIPRSCF >Solyc02g061970.1.1.1 pep chromosome:SL3.0:2:34054341:34054682:-1 gene:Solyc02g061970.1 transcript:Solyc02g061970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAWRCDTRGLWDGGLGVRCLEAGESSGRDTSRFGVRGPRGKMPLAKGGGLGVQHLEALGGTLRCGASRLGGGLRGRRLDDRVGRAWGARLDIGEVVALGAMPGGRREGDLEA >Solyc10g062090.1.1 pep chromosome:SL3.0:10:24447268:24447780:1 gene:Solyc10g062090.1 transcript:Solyc10g062090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLEQAMNGQQEEFEAALRKLRGKDADISYERSTIQEYLDILETLPKATFINLFDTSNYRAVIISVGLMAFQQFVGINGIIFYSTEIFKSAGNYYNNVNLSKVIFISGCTLNII >Solyc09g057520.2.1 pep chromosome:SL3.0:9:49722578:49726421:-1 gene:Solyc09g057520.2 transcript:Solyc09g057520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFPTIVTKAKTIEVLDSGTWGGSMQLMYEKLHILSPLVEAREFFFIRGCRQLDATTWIMVDISYDIFNDIHSGVPSYSWKFPSGCAIQDMGNGQSKEMLVLEETSINEMGAFLVYAPIDLRVINSIVNGGDATKVPILPSGIIISPDGRLSSNRDNTANAQNDSILIVTFQIMICGNNNLTSREQKMKVVGSVHGVLSATILRIKEALGCSYF >Solyc11g028208.1.1 pep chromosome:SL3.0:11:20869085:20874702:1 gene:Solyc11g028208.1 transcript:Solyc11g028208.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDVERICGQCLECKQAKSTTKPQDAKKREKEMMHIQAKVTESIEKTIQRWPFERIEGERK >Solyc04g078650.3.1 pep chromosome:SL3.0:4:63430751:63433231:-1 gene:Solyc04g078650.3 transcript:Solyc04g078650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGSSSGSLSMKVHQFTRGFLEHEAASPSLTLGCKRLRPLAPKLNTTNNDTTTTIVTPPFDLKSFIRPESSNSPPKLAYNEDKKDSSQVESHPGGTRWNPTQEQIGILEMLYRGGMRTPNAQQIEQITAQLGKYGKIEGKNVFYWFQNHKARERQKQKRNSLGLSQRRSSEG >Solyc03g113530.3.1 pep chromosome:SL3.0:3:65129018:65132025:1 gene:Solyc03g113530.3 transcript:Solyc03g113530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCNDKVHNRKCNWIEEDANTSTFVSINKHGIGNWATMSKKSGHGRCGGRNQKQRWNNRLKPDLKQDSFTPQEEELIIKLHATIGSRWSIIAQQLAGRTDNDVKNLWNTKLKKKLSAMGIDPVTHKPFSQILTDYGNIGGFPKARTRFVSLNRELKGAFMSRPEQLQHSLQNFQNFNSLCVKLPKTEASEECFFSNNQDSSNINQPPVDLFSELQAIKFVTEASNYNSPKAVFSHNPNPITDCSTSSPLSSSSSSSASHSLADNQVNWCDYLLDDEFLPSNFKAQEDTLTIEEKLVCGGAEDGSNNVPSTKDFENSLSTKGTSSSSFVEAMLECENDMFLNFPGLSEDPFY >Solyc02g005420.1.1 pep chromosome:SL3.0:2:8625710:8626660:1 gene:Solyc02g005420.1 transcript:Solyc02g005420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFKQDAAILDGPTPTTTVYLEDQFEHGNIRQKILHIET >Solyc09g072900.3.1 pep chromosome:SL3.0:9:66013070:66018311:1 gene:Solyc09g072900.3 transcript:Solyc09g072900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPQCCENPPALSSSSGHGSVLELGGLKTYVSDSSNSKYAILLISDVYGYEAPNLRKLADKVAAGGYYVVVPDFLYGDPYNPENKEKPIQVWIQSHGTDKGFEDAKQVIAALKDKGISPIGAAGFCWGGKVVVELAKSDNVQAAVLLHPSFVSVDDIKAEVKAPIAILGAEIDQLSPPELVKQFEEILSSKPEVDCFVKIYPGVSHGWTVRYNVEDEKAVQSAEEAHQDMLDWFTKHVK >Solyc02g072000.3.1 pep chromosome:SL3.0:2:41892313:41894979:1 gene:Solyc02g072000.3 transcript:Solyc02g072000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASCSTNALPPFIAKTYEMVDDPSCDAIVSWSSNNKSFIVWNPPDFARDLLPRYFKHNNFSSFIRQLNTYGFRKIDPEKWEFANEGNFIRGQPNLLKNIHRRKPVHSHSAQNLHGLSSPLTESERQGYKEDIQKLMHENGSLHLDLQRHKQDHQGLELQMQVLTERVQHAEHRQKTMISALAQTLDRPVMDLSHMPQLQVNDRKRRFPGNSCLYNESDLEDMRGISSRALSRENMNPSSLLTMNAELLDQLESSLTFWEDVLQDVDQAGMRPNCLLQLDESTSCADSPAISYTQLNIDVGPKASGIDMNSEPNANIMPEVAEPEDKAAVAGTSTNVPTGVNDLFWEQFLTENPGSVDAPEVQSERKDIGSKKNESKPVDSGKYWWNMKSVNSLAEQMGHLTPAEKT >Solyc02g050283.1.1 pep chromosome:SL3.0:2:4944015:4960616:-1 gene:Solyc02g050283.1 transcript:Solyc02g050283.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVPTDAATGYEVRYVANTSYGVATVKASQQHLDSLGDKLSELDQERSLNPQILDFANDDDIITIWFKRSVEINGQQMWHQKWSRDFKPEEDLPIASVWALLPKLQMHLTQLITSVVGTPLEMDKTTRGNTSPIMAKRSLHSDNLVLWVTKPFGLEGYLTLFHGLSYRQTQHKFVAGRGKKVNSLPVAHDGRIREVAESLRGDSSV >Solyc10g018050.2.1 pep chromosome:SL3.0:10:6568203:6569928:-1 gene:Solyc10g018050.2 transcript:Solyc10g018050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLYKDDENCGSVKIGLTFTREERDEYDEDY >Solyc04g024380.1.1.1 pep chromosome:SL3.0:4:31687504:31687710:1 gene:Solyc04g024380.1 transcript:Solyc04g024380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGRAAVCILILSYWNRCSIACVVHALPLGVAIVALAVDALSFCFGGVPFWEFSRTEEKGLGLLFGD >Solyc05g023980.2.1 pep chromosome:SL3.0:5:30161197:30162188:-1 gene:Solyc05g023980.2 transcript:Solyc05g023980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEQVRKASNHCRSSQSIRKEAHSEANSDYYFRVLKINGIDHTYGQKKEIPSTLVPSLTDWLKWNSVQ >Solyc12g099800.2.1 pep chromosome:SL3.0:12:67774653:67779125:-1 gene:Solyc12g099800.2 transcript:Solyc12g099800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRISSSSSSLHVPSICGAPVSFILSFLNPSSRKVSNFTRLALLHSTTLFPNSRNFQFPTFRRVSTMMGRTHSCSRVVTASYSAASGPGGSSGGDREILVQHLLVKEDDQKLLLDLQKKTAEGEDLSDLAVEYSMCPSKEDGGMLGWVRKGQMVPEFEEAAFGAPLNKVVKCKTKFGWHLLQVLSEREESVLEHIQPNEFHVRLQDPSFLEEAQLIDVREPEEVAQASVPGFQILPLRQFGVWGPEITTKFDPQKDTYVLCHHGVRSLQVAKWLQTQGFRKVFNVAGGIHEYAVKVDPSIPTY >Solyc03g110980.3.1.1 pep chromosome:SL3.0:3:63164360:63172013:-1 gene:Solyc03g110980.3 transcript:Solyc03g110980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDVENPKDGDARKSPDASSPPPSLQSTWYDGLLQQASVYGIAAGYCLSASLLSIINKWAVMKFPYPGTLTALQYFTSAAGVLMCGWLKVLEHDKLDLKTMWRFLPASIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPAIKTWASLGTIFAGSVLYVTTDYQFTLTAYSWAVAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALMLFPIELLIMGELKKIKHEIQDESDWYSFQVVLPVALSCLFGLAISFFGFSCRRTISATGFTVLGIVNKLLTVVINLVIWDKHSTLVGTVGLLICMLGGVMYQQSTSNKPKALNDVNPQAADEEQEKLLEMQSSIQSAENEKQATQSEDEKQ >Solyc03g046592.1.1 pep chromosome:SL3.0:3:13217083:13218901:1 gene:Solyc03g046592.1 transcript:Solyc03g046592.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHEFNNLLLEKEIVSQRSCPYTPQQNGIAKRKNCHLLDVTHPPPESVPQVDSEIFQGLLLLSLLGDLLECLKLLNGYSQASKPECRQKAMEEELLALKENDSWGIVSYSSSVDTPLELNVKYRREEDDLLPNQTMFRQLVGSLNYLTITRLDISFAVQQVSQFMQAPHHLHLVVVRCIIRYLLGTSTRGLTFPNGSPIRLNAFIDSDWARCLVQSLVGACFLESR >Solyc07g051880.1.1.1 pep chromosome:SL3.0:7:60534791:60535771:-1 gene:Solyc07g051880.1 transcript:Solyc07g051880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIRGNTIGHGSTAAVSAAKSRFSGEVFAVKSVELSKSQLLQKEQKILSELISPYIVRYKGYDVTKEKDKLMFNLRMEYMPDGTLSNEIRKQGGRMNEPLIGYYTKQIVQGLEYLHSRGVAHCDIKGQNILLGETGAKLADFGCSRWIDPAERDGGANSIGGTPMFMAPEVARGEEQGFAADIWGVGCTMIEMATGGSPWNNVTNAASLLYKIAFSEQSPEIPKFLSSQARDFLNKCLRRDCEERWTAKQLLKHPFLESNSTIIQNFVTSSPTSILDQDIWNSETTILETVICPVQRVRELISDSGELNCRWTDDERWMTVRIKS >Solyc09g055340.3.1 pep chromosome:SL3.0:9:39982537:39983458:-1 gene:Solyc09g055340.3 transcript:Solyc09g055340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQLGEKGSEMENLDYQTKKVEDLQKRISTACLSSHHVELIAKVKAEAYQLSETDIKRAISGPSMRVDLDIPESIGLSVTSPMASSSRLMDFDMGRLRKRKA >Solyc02g014450.2.1 pep chromosome:SL3.0:2:17096515:17099034:1 gene:Solyc02g014450.2 transcript:Solyc02g014450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTLPQEARRFGDLRGVQWRIDLGILPSSPDSSIHDLRRSTADCRRRYASLRRQLLIDLHVPKDGSNSLDLVMDNPLSQNPDSMWSRFFRNAELERMVDQDLSRLYPEHGNYFQTPGCQATLRRILLLWCLRHPEFGYRQVTGGKENKRDKDENLKCKVAQEKK >Solyc11g067100.2.1 pep chromosome:SL3.0:11:53136315:53140931:-1 gene:Solyc11g067100.2 transcript:Solyc11g067100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIASYLLAVLGGNTSPSAADLKKILASVGAEADDDRIELLLSQVKGKDITELIAAGREKLASVPSGGGGGVAVAVSGGGGAAAPAAEEKKEEKKVEEKEESDDDMGFSLFD >Solyc07g056110.3.1 pep chromosome:SL3.0:7:64104894:64111229:-1 gene:Solyc07g056110.3 transcript:Solyc07g056110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger [Source:UniProtKB/TrEMBL;Acc:K4CGE4] MARDSQFSGGKSHLEMGSLEGRAVLQLEDETLADSKHNDRRTSSMDAAPQISVFDTDTDDVSCKCTWKSILRQIHIVLFSKKLNLLIPCGPLAMLVDMLTDHHGWIFFLSLLGIIPLAERLGWATEQLAFYTGPTVGGLLNATFGNATELIISMYALRRGMIRVVQQSLLGSILSNTLLVLGCAFFAGGIAHSTKDQLFDKGNAVMNSGLLLMAVMGLLFPAVLHFTHTELHFGKSELALSRFSSCVMLVAYGAYLFYQLTSQNSFYMPLAEEEGENDESLEEEEAPEISKWGSIIWLSILTLWIAVLSEYLVNAIEGASVAMSIPVAFISVILLPIVGNAAEHAGAVMFAVKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIMGRPMDLNFQLFETATLFMSVLVVAFMLQDGTSNYFKGLMLLLCYLIVAASFFVHIDPEAIQDKPKKT >Solyc09g065100.2.1 pep chromosome:SL3.0:9:63177096:63185459:1 gene:Solyc09g065100.2 transcript:Solyc09g065100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIIQPNSLQLQNMLQNSVQSVKWTYSIFWQFCPKQGVLVWRDGYYNGAIKTRKTVQPMEVTAEEASLHRSQQLRELYDSLSAGDSNPPARRPSAALSPEDLTESEWFYLMCVSFSFPPPIGLPGKAYSKKHHIWIMGANDVDSKVFCRAILAKTVVCIPLLDGVVELGTIEKVQEDIGFIHRVKSFFNEPQQAQPPKPALSEHSTSDPAAFSEPHFYFSNTPSSAGICPADQDGRITGEEENEDEDEDEAEDDEDENDEAELDSDGIAIQSGAGAANPMAAEASELMQLDMSEAIRLGSPDDGSNNMDTDLYLDGISQAGNTADSFKAETAISWANFQDLQHLPGIPSYDELSQEDTHYSQTVSAVLEHLSNTSSKFASSATIMGSISPDSAQSAFTLWPVTCSPNLSHCRRHDIGDGSGTTSQWLLKSILFTVPFLHSTKKLSEALSPKSRDAAAADSSAAASRFRKGCTINSCTQQEETSGNHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLRKKVQDLEARDRHTEITKKSDEKSGSPIVKAFPVKGKRRMKSTVEGSIVGAPAKMTGSPPMEEEVLQVEVSIIENDALVELRCPYKEGLLLDVMQVLRELKVEVVAIQSSLSTGLLLAELRAKVKENIYGRKASILEVKKSINQIIPRVN >Solyc05g054010.3.1 pep chromosome:SL3.0:5:64834011:64839530:-1 gene:Solyc05g054010.3 transcript:Solyc05g054010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGKENEGEIERLGSNSLVSSALLREDIVDLKDFIQGLKNEQDQVEELKFLLACLQLCYYISDAEMSCISYEVHDLVQSLFHQSSGDDMMVKLTDHVVPCLLENITSFKISDHHHSAAMNEDQLDELLDVLLVNLHNLPKVRAELISPSITQYELLKNVFGNLRDFHRLKVNGCVHEFETIEYVLPQFQLMAERVGHFCFVLLSYHIDKTDEVNSMLVHLLLKIIPVSLDAMHLCCTNLKASKSEEVGFFIKHLLEASPDILRESLLHLQEHMVINALTPRASTCNIHVMIEFLLIILTDGLKAVIRHDKLFVLLARVIQLTKEVFVFFRNLEENMNEASGSNLNLLENIELLKVDLKNDFLKARADSSQLPFPMSDGPLFMTLLLTNLKDLAYSNAYSVSLIKEEIKQVKQDLEIIRSLFAYAEQELHKDLWTRVLDVAHEAEHVFNSILARDNGLVQLFFMLPDTVEKIKLVKKEVQEKLPKSSSIIVANAPKNPVERNSSSTVGKIIVGYEEETEWIIRKLTSGPAELDVISIVGMPGIGKTTLAYRLYNHKSIVDHYDVCAWCTVDQERNEKKLLQKIFNQVKGLEERSSENDIDDDVADKLRRRLCGQRYLIVLDDMWDTATLDELMRPFPEFHKGSRVILTTEESWELSEKKVFGEGRCPDELEDVEKRIARKCDGLPLVVDLIGGVISRKEKKEALWAEVLNDLSSSIFKDEEKVLKVIQLSYDHLSDHVKPCLVYLATYPKDKDIRMSELKDLWISQGLEMRSAEEVVDELISSSLVIPFDDSIFKIHDLVHDFCYIKSRKEKLFHFIGSSKPPYSSSSLDLMPRGVIIRGDTDLFDFDECFAPFDPEMKNPYVKHLLSLKVDDLDLCGIKLPDSLLNEIGMLVHLKYLKIMMKAKVLPPSFSNLCNLETLMVNNGDLSCMMLSPCFFSLAMLRVVQMNLDAVFDPVITVLNEDLRLENLTTLHNLYLPGSEDMEDIFKRFPNLKNLQVCIREQIPEKIRFPRLDVLNELEQLIFSNSSRNPFAEYTHGFPLSLKILKLQGLTVTSDTLSRLPNLEELTLEHGIIEEGKEWNMEDHVAFQNLKSLKLSCLVFSKWNMEDHVIFQDLKYLKLEFLNFSEWKVDAEKSFSVLEKLFICGCDKLMEIPDSFGDIASLKFINVRYNPQLKESLFKIKEYVEEINGEDKLDVEFYDWGY >Solyc04g081470.3.1 pep chromosome:SL3.0:4:65516840:65519529:1 gene:Solyc04g081470.3 transcript:Solyc04g081470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDSKPVRKVESDDGESIGSLFNSKKKPNNNAASKSQPLKKAKIKKEEKDDDFEEPISKKSPKIVKPKKEEPSPKVVKKEEEDKKKGTKNGDTVVKKKEKKVYDLPGQKRDAPEERDPLRIFYESLYQQVPESELAAIWMMESGLLPKDLAKKVFEKKQKKAQQLKLGSPLKTVVTVKKTTESVTIKKTTSSSTTPSTQKKKTPDSGKTESMQRSKKRKLKDDSSEEDSDDGFIATLKSTKRQKAS >Solyc02g091820.2.1 pep chromosome:SL3.0:2:53679016:53681666:1 gene:Solyc02g091820.2 transcript:Solyc02g091820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISSATWWSEMDVMNMNELQYIDQTFDDFAFSDNIQVSQIGEIFEEKPALCSSITQNTSTSPPCSSPSVISFSNSNSPSATPTTTNAQNYFKNLNTSSLKTEVPSGTTINFSSSNTSSDSDYDDSKQLFQAMGFGAGQSKKMNYSRTPLQAQDHVLAERKRRERLTQYFVTLSTLIPNLKKVLLLLFLNLFSHARPFLELDKASILGDAITYIKQLEEQVKRLDEEANKQPVKRSRLHSNYDNFSTCNENSNKSVVPEIDVRVSDGNVLIRVCCKKQAGIIKEIFSQVEMFQLTITSSSVIPFGYDTTHITIVAQMDHQLNMATEQVANNIRLSIMKLINSHK >Solyc04g049190.1.1 pep chromosome:SL3.0:4:40013570:40015427:-1 gene:Solyc04g049190.1 transcript:Solyc04g049190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCGSAIERRGHFDVLKEQQGQEELELRLGLGLGLGLGSGDDDDMKMVVRNNHLGSLSSSSSSSSLVVCTNTSIPHYSPPGIWFSLRSSVNRKGEFLPQLPKTFIRVKREGDSLHA >Solyc11g022530.2.1 pep chromosome:SL3.0:11:14423392:14425995:-1 gene:Solyc11g022530.2 transcript:Solyc11g022530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINILAISLVLSTLVTAGFLTPNKKEDVVLVVEFDHNQAEEASSVLPNIGQGIASPYVNQNSARDVVCDAYGKCKEKFTSVFGKTKDKVEEGVTEASEKVKEKAKDVIDTTKSKKNEMEQKMEQVKGEISEKAEEGKEDMKDIIVRGRDFFRDVFTYRFSFGNLRHVMRLMHLLGFSVAYGMCIWVTFISSYVLARVLPRQQFAVVQSKIYPVYFKAMAYCVGLAFVGHFLSQKRWFYTNLGEAVQGFNLLASISMLLVNSLYLEPRATKVMFERLKLEKEEGRGTRIFNVEPSGTMGVESVLDPTKTTATKPAEKSQEQSAPEVVKPQVVKLSQKLKKLNSYSSFLNVLTLMALTHHHVHLTQLLDAPT >Solyc08g066650.3.1 pep chromosome:SL3.0:8:55474564:55477906:-1 gene:Solyc08g066650.3 transcript:Solyc08g066650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASSTTKIYCNKILPDMFDHGKHESHLGSKLKNNEKNKKKLDLKLVTKVASQLPVIVPPPDQEVISKEKKLAAWTSVRQERWEGELVVEGELPLWLNGTYLRNGPGQWHIGDYNFRHLFDGYATLVRLHFENGRLIMGHRQIESDAYKAAKISKKICYREFSEVPKVDNFLSYIGDMAKLLSGASLTDNANTGVVKLGDGRVVCLTETIKGSIVIDPNTLDTIGKFEYSDSLGGLIHSAHPVVTDSEFITLIPDLMNPGYTVVRMEAGTNERKYIGRVSCRGGPAPGWVHSFPVTENYVIVPEMSLRYCAKNLLKAEPTPLYKFEWHPDSKAFVHVMCKASGNIVASVEVPLYVTFHFINGYEEKDEDGRVTAVIADCCEHSADTTILDKLRLENLRSFNGKDVLPDARVGRFRIPLDGSPYGELEAALDPNEHGKGMDMCSMNPAYLGKKYRYAYACGAKRPCNFPNTLTKIDLFDKKAKNWYDEGAVPSEPFFVARPGATEEDDGVVISMISDKNGEGYALILDGSTFEEIARAKFPYGLPYGLHGCWVPKI >Solyc11g042421.1.1 pep chromosome:SL3.0:11:37137622:37138064:1 gene:Solyc11g042421.1 transcript:Solyc11g042421.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDSPDTLKTSARLATNPTVEFNKSNGSYDESNVLNGPSSPKTPSGFKRELYLRKDYSKMDAYYFTPSWMKLQSFSVVGTFLQQNPEFSELNYQFSSSLAP >Solyc01g081400.3.1 pep chromosome:SL3.0:1:80463732:80465026:1 gene:Solyc01g081400.3 transcript:Solyc01g081400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGSSFGRVSNHSHSYSTMKQPEIESSGITEIDNGEYWPLSGKPYVDLILTKTGVKPSYSMYLPKKMRSELPSAGARAVPAVLTCGQKKWDMSYGGVKSGHKFCIEWRKFVDDNNLKEGDGLVFELVECSASKIEFRVQILSGDFPAELKPEDEEGANSDNPILLG >Solyc06g034060.1.1 pep chromosome:SL3.0:6:23659681:23660817:-1 gene:Solyc06g034060.1 transcript:Solyc06g034060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGKLQLPIAALPKAGVDNEFMSCKDEIYQPSSSTTKDGKPKKPEDKAEKDEWINVVQETRKELSRQISLSALRNIATLKQYGYLKRYIYT >Solyc11g017370.2.1 pep chromosome:SL3.0:11:8244936:8249156:-1 gene:Solyc11g017370.2 transcript:Solyc11g017370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILEFINDFLPLFNLRDKRTHKLSLTLPLLPLTSLPLSDLSPLKILFKKSSSSLPNFSSLPLTDQQCTLTDSKPRTIRFRLSELCRQGQPHLARQLFDTIPQPSTVLWNTIIIGFVCNNMPHEAISFYSRLKHVGSSVCDQYTYSSVLKACAETKLIRVGKAVHCHILRSGIHPSRIVSNSLLNMYSATCLTLNNGSECDLVERVFRTMRKRNVVAWNTIFSWYVKRKTFSEAVRCFVMMMKLGIKPTVVSFINVFPAVSEIGDVRVADVLYGLLVKLGNAYVNDMFVVSAAIVMYAELGCVDFATRIFENTCERNTEIWNSMISGYIQNNFPLKAVDLFLEAVEAEDAVTTDDVTFVSALMATSQLQHLEFAQQLHACLIKKYRDSQVISLNAMIATYSRCNHVGDSFKVFNGMKERDIVSWNTMVSALVQNGLDDEALMLVYEMQKLGVAIDDITITILLSAASNLRDREIGKQTHAYLLRHNIQFEGMESYLIDMYAKSNMIREAQAIFQSNFTNDKDQATWNAMIAGNTQNGLIEQSFVVFKDMLEQNVKPNAVTLASILPSCSQSGSIAIGKQLHCFAIRNLFENNVYVVSALVDMYSKSGIIDYAESVFLKSTEKNSVTYTNMILGYGQHGMGRKALTLFYSLRQNGLEPDAVTFVAVLSACSYTGLVDEGLQIFELMGKEYGIQPSAEHYACVVDMLGRVGRLNEAHNFAKQLGVEGNVLGIWGSLLAACRVHRNFELGKIVSSKLLELEGSDEISGYHVLLSNIYAEEGNWQSVDDVRRGMRKMGLSKEIGCSWIDTSGYPHCFVSKDKKHPQFCMIYDMLEYLTINMKDVGYKPKLELIEEWIYGIEE >Solyc01g065540.3.1 pep chromosome:SL3.0:1:71619262:71627549:-1 gene:Solyc01g065540.3 transcript:Solyc01g065540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Two-component response regulator [Source:UniProtKB/TrEMBL;Acc:K4AWF8] MNIGSGSVLSSTGSWKSGDVVPDQFPVGLRVLVVDDDPTCLKILEKMLKNCHYEVTKCNRAEVALSHLRENKNGFDIVISDVHMPDMDGFKLLEHIGLEMDLPVIMMSADDSKDVVMKGVTHGAYDYLIKPVRIEALKNIWQHVVRKKKHEWRDNNFDQSGSVEEGDRQQKQSEDVDYSSSANEGNWKNSKKRKEEDDEGEERDDTSSLKKPRVVWSVELHQQFVQAVHQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGLNNSFMGRPDATFGTISSLNGLDLQAIAAAGQIPAQSLATLQAAALGRSASKSAISMPLVDQRNLFSFENSQVRFPEGQQQLNNSNKQIDLLHGIPTTMEPKQLANLHHPSQSFMGMNMQVNNSMAQHNNSVIMRMSQSQPRAQMLSGANNGSQISRLPFSRQQSLSSEGIPGVVLAQSRTVDNARASVYNPVSQASSMVDFSVNQSKDLQNYNFSLGSNSAGMSTLTNRGMLQEEVNSDIKGSRGFPSNYDIFNDLHQQPKPQNWGLQNVGSSFDSSHHPSIQGSQGVPSQLLMQQGISSTHNNGQNRNGPIGKPMYTNGEESGHTNLMGGPQLNSVSRNTLAVKAERFLDADYQSTNFPEQFGQDDLMSAFLKQQGSVGPVETEFGFDGYTLDNLPV >Solyc09g030390.3.1 pep chromosome:SL3.0:9:32031817:32041227:-1 gene:Solyc09g030390.3 transcript:Solyc09g030390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFFRGTSAEQDTRFSNKQAKLLKSQKFAPELEHLVDMTKVKMDVIKPWIAKRVTELIGFEDEVLINFIYSLLERKVANGKELQISLTGFMERNTAKFMKELWALLLSAQNNASGVPQQFLEAKEEEMRNNKAEMDRIANEIQKKKERDNRELNQEKRKKMDDYGSDLRQKNTSREPTPKQQLQVRLMDNLDPVERNGSSVRNRVSKSPRSADHSLSPRKSRPISKSFSNSRSYSGERHRSRSTSGSPEERRRRSLSSERAYRSAPKCSVSPRRKHSPRQSHSPPRHRRRSTSRVRRRSPSPARYRRHSPFQRRSRSPLRRRSRSPLRRRSRSPLQRRSRSPLRRRSRSPLRRRSRSPIRRRSRSSHRHRSRSPIWRRSRSPIWCRSRSPSRHSPVRYRSRSPFKCQSPLRRRTPSPTQRRSPSPVSRGYHRSPLSPRQRSPFLAQRKTSIFGRKRSLTPARRSLSSQESLSPSPIYRRSPSPIRKRISKNERSPFQSPRGRIRSREKYSSIRYASPVKTEAHKGSRSLERRRSSSGSPQKRIYDRKDSREKDLPLPPQLSRSPSVPESPHRSRSDSESPPTKRGRSPSEDRGPRSTSNPREIKTIDFDSLSPSKLREQKVSSDISEKIADQKEMNHSREAFEHKPRSSRKIPTTPNPYKDLGKRFVQKEFSDIHSHSDQLESRKRNEAIKSEKFSGTMQHSKELDKQKSPSTHRHSHSVKRLKESYDGESVKADKENLSHTNDTKGKELYVESEAPLTSSKKVEQNDLSSKEGSGFEESEKRRAKIKDKRKHKRSDRYESTSDDSFDSDVEDRKEAKKRRKEERRLKKEEKRRRREERRHRKEERRSQKRKSKSVNAISSPSDTERNPNNRASDDEHLREEYQHGCKIEESESLQKRLEIELREKALESLRAKKGVSH >Solyc03g123700.3.1 pep chromosome:SL3.0:3:71959302:71966524:1 gene:Solyc03g123700.3 transcript:Solyc03g123700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSADIATTQPCFRNNVCSISSHNVFATRFLYNNEIRFVSTWNSFRIPQKAPNSRIKLFRSGLMIRAIATLEKGPTKNTKTNEEQNNFGDVRMGKYAASSTSVVVEQQSPSEEAELNEREKLRRMRISKANKGNTPWNKGRKHSPETLQRIRERTRLAMQDPKVKMKLVNLGHAQRWVLQIILEFRSYALLIGEETRLKIGVAVRMGWERRRGMLRLQETCHYEWQNLIAEASRRGLLGEEELQWDSYEILSKQLEQEWIQSVQERKNRPRLKGNKRAPKSAEQRRKISEAIAAKWADPDYRSRVQSALSKYHGIPDGVERRPRRKPASDEQTRKRSPPKKKANELDNPVKPEPKSQVQRVRLRRKNTPMYKDPLASSKLEMIKNIRAQRAGIDQKKIEAVMRAKALIAEAEKAAEALEMAAHNSPVAQASLIETRKLISEAIRSIESIEKEVSLSDEDLSPPSTELGSNTADEGDSEFGALADPSERRINGWHSATPMDRDIYHLDDGRHALRGLPNGKSTTLLSSSSDYDLLGDRQEVYQMISSSLSLEKEVNVTQSTNSTQRFDEKDEANESPGDEQKQLLNRDEANASPGDEQKPLPNGLISGSKTEATTTTTSTKKWVRGRLVEVSEGC >Solyc04g014920.2.1.1 pep chromosome:SL3.0:4:5173548:5173649:-1 gene:Solyc04g014920.2 transcript:Solyc04g014920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTVMAKMKVVRSEIAAKQVVVIEENEEIHWYAS >Solyc10g082065.1.1 pep chromosome:SL3.0:10:63079671:63085154:1 gene:Solyc10g082065.1 transcript:Solyc10g082065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIFVDVRQDLVYAYGWPSRFVRPIWRVKRALKQAYASFRRFSCAIAHHFLGDPDSDVKNTKKILWTSVKTMHTVGHNGLSGPFGGSNETRSEHTPHFDDFRVLEHSTFWVIRIPTSKMPNLFADVRQDLVYAYGWPSRLVRPIWRVKRAPKRAYASFRRFSCAIAHHFLGDPDSNVKNAKFFRGRPSRPFLCIRMAMQTVAQPSAQVVGNTFVEQYYQIQHHSPESVYRFYQDSSVLSRPDANGVMTSVTTMKVPPSILVHPKVVRVEGKQYQNNHKHYKAARHEK >Solyc03g119520.3.1 pep chromosome:SL3.0:3:69585905:69587384:1 gene:Solyc03g119520.3 transcript:Solyc03g119520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCGYQQKALMGGCDGVGEIRRRDISVSDGLVCPKPRRNGLSNEPIKPSRFLQINNQQPEGCDLKAGTELLDIILSKGNFDMETPNFDMASSPPFFFGSPPSRASNPLIQDSQFSNKNFVPILAIPEAAPSPPQSSASTRKSGGGCAPVKFRIKPATVRIEGFNCRSSISAFA >Solyc01g086850.3.1 pep chromosome:SL3.0:1:81646975:81652797:-1 gene:Solyc01g086850.3 transcript:Solyc01g086850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLYGGDFNQKIDYVFKAVLIGDSAVGKSQLLARFSRNEFSLDSKATIGVEFQTRTLEIDNKTIKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHIARWLEELRGHADKNIVIMLVGNKTDLGSLRAVPTEDAKEFAEKENLFFIETSALESTNVDTAFVNVLTEIYRVVSKKSLVANEAESAAGSSALLRGKEIVVPGQGPVPSGSSYSCCRS >Solyc09g090060.3.1 pep chromosome:SL3.0:9:70083042:70088257:1 gene:Solyc09g090060.3 transcript:Solyc09g090060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CW32] MSRKKVMDSFALDDIINRLLEVRNRPGKQVQLSEAEIRYLCLESKEIFLKQPNLLELDAPIKICGDIHGQYSDLLRLFEYGGLPPRSNYLFLGDYVDRGKQSLETICLMFAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTECFNCLPVAALVDEKILCMHGGLSPDLHHLDQIRNLHRPTDVPETGLLCDLLWSDPCRDIRGWGMNDRGVSYTFGPDKVTEYLQKLDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAVMSVDETLMCSFQILKPAEKKPKFGFGSTIKNGTSLTKTKSFLGKIG >Solyc10g074440.2.1 pep chromosome:SL3.0:10:57974219:57975521:-1 gene:Solyc10g074440.2 transcript:Solyc10g074440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTSKLTTFSLLFSLVLLSAALAQNCGSQGGGKVCASGQCCSKFGWCGNTNDHCGSGNCQSQCPGGGPGPGPVTGGDLGSVISNSMFDQMLKHRNENSCQGKNNFYSYNAFITAARSFPGFGTSGDINARKREIAAFFAQTSHETTGGWPSAPDGPFAWGYCFLRERGNPGDYCSPSSQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGVDLLNNPDLVATDPVISFKTAIWFWMTPQSPKPSCHDVIIGRWNPSAGDRSANRLPGFGVITNIINGGLECGRGNDNRVQDRIGFYRRYCGILGVSTGDNLDCGNQRPFGS >Solyc02g087790.2.1 pep chromosome:SL3.0:2:50718149:50726539:1 gene:Solyc02g087790.2 transcript:Solyc02g087790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSKPEELIMSSKIISVLICLFIFHLLAAGSVAGCEIGVYEIKNGDFSVKITNYGARIISVFLPDKNGKIDDVVLGYYTVKEYINETRYFGALLGRVVNRISGAQFTLNGTLYKLVPNEGNNTIHGGPKGFSFVVWKVSEYVQDGPCPHITLTYHSTDGEEGFPGDVLVSVTYALKDPYKLSVEFKAKSLNKATPINLSHHPYWNLRGHNSGDVLSQVVQIYASHITPVDEQLIPTGEISPVKNTPYNFLKPRKVGSRIDEVQIGYDRNYVLDSNKKMKPVAIVYDKKSGRVMNIQATAPCVHFYTANWIINVKGKGGFVYQPRSALSLETQGYPDAVNHPNFPSTIVNPGKTYAHSVVYFLHQKIDLARIYELKKGDFAVKITDYGARIISVLLPDKNGKIDDVVLGYDTIDEYLNDTSYFGATVGRVANRIGGAQFTLNGTHYKLVPNEGKNMLHGGTKGFSKVVWKVSKYVRYGPSPYITLTYFSADGEEGFPGAVLASVTFALKDPYKLSVVFKAKALNKATPINLSHHPYWNIGGHTSGNILSNVIQIFGSHITLVDKELIPTGEIAPVKNTPYDFLKPHTVGSRIKKLQNGYDINYALDSSAKMKPVGRVYDKKSGRVMSVKASAPGVQFYTSNWDKSKKGKGGFMYPPHAALALETLVFPDAVNHPNFPSSIADQFGRQVFFCSHAGKIGDVVLGYDTIKEYKNDTSYFGAALGRFANRIGGAQFTLNGTHYKLVANEGVNMLHGGLKGFSKVVWKVSKYVQDGPSPYITLTYHSADGEEGFPGDVVVSVTYALKDHYKLSVVFKAKALNKATPINLSHHPYWNIGGHNSGDVLSQVVQIFASHITPLNTQHIPTGIISPVKNTPYDFLKPRKVGSRIDKIQNGYDINYALDSTEKMKHVAIVYDKKSGRVMDIKATAPGVQFYTANFVINTKGKGGYVYQPHSALCLETQGFPDAVNHPNFPSTIVTPGKSYVHSVLYTFSIKKY >Solyc10g011690.3.1 pep chromosome:SL3.0:10:3954339:3962180:1 gene:Solyc10g011690.3 transcript:Solyc10g011690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKEEADANDVGANVLSRTRECDSGEPSMGKCTSTSHELPEGSTSASSGMLESDGMNRNVTSMKGPQLHGTSSYSLNSSRLTLEKLCSYKISEPASLRCSNSQKTIQKPQIQWQRFYQLGSGSRSLKGDGDPSSTDKAVQQLSSKELPRINLLALKMLKQASDKDIKGGSNAESSQSTEDHNLIIPRNRLLPGSSQSKLLSTSSFSHFFANRSLKTKDVLPKGPALHKEVHIASTLQNKNDFEQASTGMVSSDVFLKQGANSNQASFSRSDHQRPTSTYNGFTLREWLNSTGSQINKAERIHIFRQIVKLIDIAHSEGNAFQDIRPSCFILLSPNGVKYIGSSVQIDSMYAVSRNTNGKRPSDMEMHANSNLGIKLQKVNVDVGLIRQQPESNARSCSRDEGTSFQAGCLLESDINQLEKKWYTCPEELHHESLASSNIYSLGVLFFELLCCFESPAAHSTAMLNLQSRILPPNFLCQNPKEVGFCFLLLHPVPSSRPTTREILQSELIIGAEEVCKIDGVPSFIEKDDDPDSDVLLYFLVSLQEEKQNDTSKLLQRIECLEADIKDVEKREVLRHSDWVETDFNNMRQGSYLKHLNSADSISRSFSIPNMRNEKLMKNISQLESAYFCMRSQIQLAENDTIGRTDTDLLTSRDRLFQVSAKEAEPILKSVDRVGAFFEGICKYARYCKFEEYGTLRNGDLLNSINVICSLCFDYEEDYIAAAGVSKKIKIFEFASLLNESADLQYPVAEMSNISKLSCVSWNKYMRNYLASTDYDGVVKMWDASTGQEFSQHTEHQKRAWSVDFSQVNPTKFATGSDDCSVKVWNINERSSVDTIWNPANICCVQFSAYSSHLLAFGSADYKIYCYDLRHTRIPWCTLTGHEKAVSFVKFLDYGTLVSASTDNTLKLWDLKRTSLEGLSSNACSLTFKGHTNEKNFVGLSVLDGYIACGSESNEVYAYHRSLPMPITSYKFGSVDPSSGNDGESNGKFVSSVCWRRKSNMVVAANSTGCIKLLRLV >Solyc01g073725.1.1 pep chromosome:SL3.0:1:80980178:80982609:-1 gene:Solyc01g073725.1 transcript:Solyc01g073725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLWRFKKMEMNFGKRSLSQNMVKKIFGYMGRLLGHSSVYSGRVLYKFWVAAEFQEKLNDWEMDCKELNECLLEIYFWCSPYGCCDVILVKYTFIVSPLLFPLLFCAITREKRKRDTWYSVAVLVNNENIRRLYCKQFYPPINVLIDVCTGHVCLKYRLFSRKGKAL >Solyc02g060580.2.1 pep chromosome:SL3.0:2:33581940:33584741:-1 gene:Solyc02g060580.2 transcript:Solyc02g060580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTTQTVKDNSGDIAGGGETTLSYMFKSASIPPSDYSSLEADHTVHLIRGSAATTSASATNVVNPNANQDAPRVAVPTTGGLFVRVGGGPLFSELGSRGGLFGAGLPDFEQDLVNDPEIICNFIVNSPQMREYVNLNPELPHIFNDPAIFLQIWEAARNELMHETIRTIQWSLSHTESSPDEFNMLRHMYENVEEPFLNATSMAVDTRNDSGTNPFEQGRNRSTNPPATGSDTTANPPGPDPNPVSDPWASADFGGAQMNTAPRSNASRNIWGPSLGGLDDIADLQRMLGGIPGATSEN >Solyc02g014430.3.1 pep chromosome:SL3.0:2:17036617:17039008:-1 gene:Solyc02g014430.3 transcript:Solyc02g014430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVYTRAPVRLEGKYGAMLVCWVLGNGCLFSWNSMLTIQDYYVALFPNYHSSRVLTLIYQPFALGTLAMLAYNEAKINTRKRNLFGYSLFFIATFIVLLLDLATSAKGGLGSFIGICVISGAFGVADAHVQGGMIGDLSFMLPEFLQSFLAGLAASGALTSSLRLITKAAFENSQDGLRKGAILFFAISTLFELLCVLLYAFVFPKLPIVKYYRAKAASEGSKTVASDLAAAGVYKQGPDTKNEHDPQQVERLNNKELLLQNIDYAIDLFLIYALTLSIFPGFLSEDTGSHSLGSWYALVLIAMYNVWDLIGRYIPLIKCLKLESRKGLMVVILLRSLFVPAFYFTAKYGDQGWMIMLTSLLGLSNGHLTVCVLTCAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >Solyc11g072250.2.1 pep chromosome:SL3.0:11:55753870:55757872:-1 gene:Solyc11g072250.2 transcript:Solyc11g072250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPGLRNYDNHYEARRGNRKRAKYSGEVKLSDNRRQKISRLENVDADYVEFVKLLYNYDINSQSYTNEHGNDVSYDEVEDEDDTDPQYKIFLANAKRDGRSYILNLNRKDEFPVSIKYEKESACNNGCTCFCCQKQKDMETQKDAMDEAISLNICSKDELKNRRFPRTDPRYDSNRSMGGMDVIFEPSDPTSQRNNENMSRKLSTGQCGASKRQGKCETKIKSCKEKMVEKGSKLVHVRDKEKASDVGEDYALLLENLQCEKWGMKASLSGCNIKYEASDDDLEILYDSNDMLKKKREPSEFRKKVTDLLKKPYNPKEYKELWTYVNDQKPVERNMESRRGGVKSYKTKKMGKSYLEYYTDLKERLEVVGNNERKKLKIMRGFSFWLQNLTNAGAFKPWNDTEFLALVAGSS >Solyc11g062360.2.1 pep chromosome:SL3.0:11:49615039:49621451:-1 gene:Solyc11g062360.2 transcript:Solyc11g062360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKKTLKNFIPLFILLSLSVIFLFSYHPTNLNSTKSFSFNPNTPQTHQNFTFLVKVLTFNRLESLSRCLNSLSKAHYDNHVVHLHVYIDHFQDSPNGYVEIDQKLNLSKSILDFVDGFSWKYGEKSVHYRASNAGLQAQWLEAWWPSSDDEFAFVVEDDLELSPLYFRFLKSLIDNYYYNESNFSPMIYGASLQRPRFVPGKHGNKMQIDDRTQLFLYQLVGTWGQLLFPRPWKEFRIWYDTHKAKGLKPFLDGMVTTGWYKKMGEKIWTPWFIKFIHARGYFNIYTNLLHERAFSVSHRDAGVNYGKSAGPDSYLVDEKSFDINLLKMQSLHSLKWYDFCFKEVSPGRIVLSADDLVTVLHSVQKSRTILFLNLQQVSESIIRNLLCHFERLNIQNYVLLGPQSSFLLDLARRGHPVIDTDQFFDSIRLQNSINFDESHEKLGKEIVVKAHVVRKSLELKYNTWVVDSDVIPLSSDSFLHSYDLAHDFLLGKNFKLVFIRNSASALKIWVDNVLDKVVASVASLKTRGSIATEGNFVNLVEKLLEQKKATFNRVDETDFSLNISFMDANETSSRNGKKFAFWSPEMGSEQIQKRLEEFAMWVVDSDLSCNAVVCHPS >Solyc04g049730.1.1.1 pep chromosome:SL3.0:4:43182799:43183218:1 gene:Solyc04g049730.1 transcript:Solyc04g049730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVEKLRQSIEIWYATSEYLRQEMNQNFRMTDPFNLVHIISFSGARVNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGISLTEYIISCYGARKGTPRVLDESSRREKKRKEKTRGKKREDQAFIDILASCCKLLP >Solyc02g091000.3.1 pep chromosome:SL3.0:2:53084704:53086975:-1 gene:Solyc02g091000.3 transcript:Solyc02g091000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTHHAKTDSEVTSLAPSSPNRPVYYVQSPSRDSHDGEKTTNSFHSTPILSPMGSPGRQSRDSSSTRYSGSLKPGSQKSSNGSRSNSGRHHNHRHRKGDKQQWKEFDAIEEEGLLDDDAYSKGVPRRCYFLAFVVGFFLLFTFFSLILWGASRNQKPVVTMKSISYNEFGVQSGMDFSGVATVMVSMNCTVKLIVRNTGTFFGVHVTSTPLVLSFSQLTLATGTIKKFYQRRKSQRTVTVSLIGNKIPLYGGGVDLMSKEGKPVAPVSLTLDFKVRARAYVLGRLVQPKFYKRVQCNVVMDPKKMNVPISLKKFCTYI >Solyc01g007265.1.1 pep chromosome:SL3.0:1:1837605:1840823:1 gene:Solyc01g007265.1 transcript:Solyc01g007265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDLLVFVLKVEKGIDLVYGGGSVGLMGLVSQAVHDGGRHVLGFITVDCCSKTRMKQAYITSDPVVQYILGCRLLANVP >Solyc07g008750.3.1 pep chromosome:SL3.0:7:3718431:3719920:1 gene:Solyc07g008750.3 transcript:Solyc07g008750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVTQKEIGSSSTSSSSSNRKQIPDSVMEAVNRTSKNMDDLSSNVDEFLSLYGAGVFPDLGPLQQAQSLILLAKATTTLFALRLRCKGINPDDRPVKLEFERLSLFQEKLQELMDLNKAPLRPSARINPQGAARFIEHSLPDLTPEQRKSMREISKADGPRIKYLERVVHKKRKYSDQQSVRTATQEFLEKAARELLGENQSGFKGPLQLPEGDDEDIPMS >Solyc08g080180.1.1.1 pep chromosome:SL3.0:8:63627057:63628898:-1 gene:Solyc08g080180.1 transcript:Solyc08g080180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPCFSCICCQNSHGDQNPSSRISIIITCHREFIDAIASSIHPNSQFTNHECLPSYEELFANLQEAYPHYSQTNLADEIRANEYHHLTLSKHVCLDYTGNGLFSYYQQQKMIKSHPIHDSIASSSSSAPPPPTHDEPFFNISYKSVSLTTQLLYGGQESVTERKMRKRIMKYMNVSKHDYSMVFTANQSSAFNLLADSYPFESNPNLLTVYDHENEAVEGMIDNARRKGAKVAAAEFSWPNLRINSRKLGKTLSVKKKQGLFVFPLQSKVTGTRYSYQWMNIAQENGWHVVFDASALGPKDMETLGLSIFQPDFLICSFYKVFGENPSGFCCLFVKNPTISQLNKSITSLGIIRLVPVDTKSFEHDSSSSSSSSTSSVYNQENSVSEFQEIEQVSDHDQEPKKITTLFEILKWGNKSNEKTLSTTTTSLECRGLDHADKLGLILTSSRARYLINWLINALTRLQHPHTEDIHIPLVKIYGSTIHFNRGPAVAFNVFDWKGQKIDPTLVQKLADRHNISLSCAFLKHIWFSKMYDDEKNTTLDSCDDDNYKNKNKKKGKLSFGVSVISVSIGMMTNFEDLYKLWSFIARFLDADFVEKEKWRYKALNQTTIEV >Solyc06g083110.2.1.1 pep chromosome:SL3.0:6:48705130:48707840:-1 gene:Solyc06g083110.2 transcript:Solyc06g083110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQTLILQNPNLPRTTILSPPSTLICSKPRTISLFARPNSLAAPSSLILKSKKFTVSASSSTVLENPSTKSDPLITSSTRTITTYFAVTLAVSKLIFQKLSFKGLGQSLAYSAGPMFFAALRNQSTTGGLNTPFTVVAAGMAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSILNSSRGSY >Solyc02g072230.3.1 pep chromosome:SL3.0:2:42107707:42115539:1 gene:Solyc02g072230.3 transcript:Solyc02g072230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPRKLKGHKASTTCCIASQNRPGLIATADEDGCVCWFDLRCKDKIFTMDVGNGNPVSSICFKPGNEDVVYVSLGSEVKCFDVNMVTASELLHSYNYNKDEINQIACSSKSSFLAAADDSGDVKIIDIRQNRMYKTLRDGHTSICSSVQFIPWRPWEVITGGLDSKFVLWDFSKGRPRKIWDFGTLDAGNKGNTGQCLNPAFVHALALPEVDVVDRFVKVCAVARGDGVVSIINVESELNTVKSKSSAKPKKGSKSTPKVGGSSADPEIENQNGGDLHLDHSLGGHTAAVSCVTFSTFGDKGKFIISGGNDRQVKVWDWSKFFMAGETSSGTDFLCSSLSLSRKVNWLCTTPTDSENLIVCDTSKIVKVYNIG >Solyc07g063510.3.1 pep chromosome:SL3.0:7:66106731:66119195:1 gene:Solyc07g063510.3 transcript:Solyc07g063510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLCCATSAYPTHTLRVTSAKAAVRSSSRVSVPQLHHSDSPFVPEVNKAVDSLSKEFREVDNLVARNTARVLRAFQRVKVGSHHFGGSTGYGHEEAGGREALDQAFAEIVGAESAIVRSQFFSGTHAITCALFAFLRPGDELLAIAGAPYDTLEEVIGKRDSGGFGSLKDFGVEYREVPLAEDGGLDWDALKTSIRPHTKCALIQRSCGYSWRRSLSVTEIGRAIDIIKMQNPGCMVMVDNCYGEFVDDIEPPMVGADLIAGSLIKNPGGTIAPCGGYVAGRKKWVEAAAARLSAPGLGVDCGSTPGDIMRTLFQGLFLSPQMVGEAIKGSFLIAEVMAAKGYKVQPLCRIKRHDTVQAVQLGNRENLLSFCEAVQRSSPVSSFIRPVAGATAGYASEVIFADGTFIDGSTSELSCDGPLREPFSVFCQGGTHWTQWGLVLGEIDWLTELYPIIDPKWDGLGTLSASEGIQLVTEHFTSWKKPKEQKAIIIIVLMAPLYAIVSFIGLVDFMGSKPFFTFLESVKECYEAIVMAKFLGLMYTYLNISISKNIVPDEIKGRQIHHSFPMTLFQPHTAHLNHHTLKLLKNWTWQFVVIRPVCSILMIVLQMFGVYPSWVSWTFTIILNISVSLALYSLVVFYHVFAKELAPHKPLAKFLCVKGIVFFVFWQGILLSVLVSLGIIKSHYFWLEVERLQEGMQNELVILEMVFFAILMRHAYSAAPYRAEAVTTTSEDATSGDKKNE >Solyc03g046490.2.1 pep chromosome:SL3.0:3:12938817:12943988:1 gene:Solyc03g046490.2 transcript:Solyc03g046490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFSDITHFRSLIGAPQYLAITRPDIQFAVNRVAQRMHQPCEHDYHCLKRILRYIFGTLGRGLLIRPRDLELRGFSDSDWANDKNDRKSTSGFLVFLGPNLISCDDSELQFRKMCIGFGYHERTDDFKVVRIGFIDHVWLNEEYNYEEVGGYDFECRAEIFSLNTKVWKALDLSHDFCYNRVDLFSGVVVNEYMHWKAIKSNTYENKMVILTFHMGDETFQDIECPIFYDGEEINQSIYLGEFRGKLGFLRSYPVKLGQPCYIWTMEEYGEMNSWTCQTIIVPSLPIQYPLAFTKNGQIIIRDKYENIFSYDYNTNQLLDLHIQDDEHAMNFIDYTESLVLVDLNDDPMEESIAEFDEDINMEH >Solyc01g066610.3.1 pep chromosome:SL3.0:1:74508962:74516552:-1 gene:Solyc01g066610.3 transcript:Solyc01g066610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTASKNPSAVRYHQSPPLPPSLTDADIVQLAQLYHPQSSTPLPAFLLSEDSHQTLVSYLHTRASSPNPSLAVSEYLSALLSLTQLHTSLSPLIPLLLSSYISLFTSHKIPHDKSSLSIFQLFVTHIVTVQVQELPAIVDLIISYLPRIIDSEDTHILAIFAKCLELIRFSNEIGKPLAYVDKVFDEMLSCDWSKVLLLKLVEIVKDLNFIGKGKRKEFLERVFSGMKNVDLQDLPGLVYQLLVLGSKGFDKKEVIEGIVMYFGGVKSGGSIMRQVEGTVLLHVNFAVKQNPSLGQEVLGLVRSDYRVFNHFTVAMLLSVARVKRLTESSIGVLKSSLLAAYKDLKFARSCKWLSCNLKEHYLHTYKDMENAVLRAVGESNCGREHVVPSIVQLGFVLLEGIEEGSNFFDKSDDVMGPDELGTQVLKSLFEVHDMARSEIIEQCKLRILSLKPEQGFPVIRLLGCLIHHCTYPMLEHISHLKELLDYFTFMNDKVSSHLVAVLLPLNRLSRDLQDYTILVLRKAMFRQEDSIRLAATSSIVNLILAEKQSMKDGPFSCQDSSSQASSSQQAEVFRALGSSLFQELNGLLQRCLFQQAKVREILYLGLLKLVLVDPLTSGAVFDFLFPHLLRFYREDADVLLDVNQCTKSESGKVYIQEPLDCLLSCISWMLLLQPHGKADHPSDSWTCFGFSLTQENEQAGKAWSKGSLSNALLKIRNYLRNADMEGLLSKTQDTDSSHLEGEKRRCYSSILLGIIEVMLNIVGTEFGKTTDGKKLELEKELFDFIGIYESLEPNICRQGGGSTQRGSIRTTASNAPEELEFRGSKLCPEQVPLLATSIIYQLLQNTVESWRCDGFNKNVVSQKHSQSSSGKAPTQYYKILSFTLNICLRQLKASSVMRQQDPLKMLIYGEIKQLGSPLLKMIWCLLSEPKSMFDSKKKDANMKKDLDDRKEYIHLGFLSLKELLAVMLHESDYSVLIDDLATVSGPGDEGGNAMDGHRDTECEKADDIPYKYTSEELFIKNSIKPLISMLLTRSFFREVEVLCDVIMLISNKLPEEQRNLVGNWAKCICKTSKTSNPKAAKSIVSIAILLTSPPNDLIIAEDMATELLKVLGSESERGDSQVTLDAYSIINRSTSAPLASLLLDLVESVIHETEWVIMKLKIYSLPSVRAVLVNQNGEKDTRLALEETLYSRAEAVVKVLSSFVKMNLKDPQAEQLVKLAARFYKNLARMSKLLIASKGVQQPLPSLKYQKLVEITCRQLTAPLYNFVPLMQMKQLDSTKSKALVSKIKRENRCIPDLIYQIEDCEKYLIQISKATKINLLRHAKRSTARDFKIIEPQNFPVEEDADNNGAARGERESSENLRDEGHGVEHDSVASAHDDDEGNKAEEAYNSPRGVEDDSVAGAFDDDEGNEVEEAYNSPHGVEDDSVASAFDDDEGNEVEKAYNSPHGVEDDSVAGACDDDGGNEVEEAYNSPYEVEDDSVAGAADDDEGNEVEEACNSPLAIEASESESEAEAAADLPKAKRAKMRRVVEDSDDEA >Solyc06g059965.1.1 pep chromosome:SL3.0:6:37991013:38006401:-1 gene:Solyc06g059965.1 transcript:Solyc06g059965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQISRADNVCDFGQSTYAMDPNCSTENLSVSLQSPQPFEIRSADVSQRAATLDVSGTDVLENVSDSISITELSQKEDDKGKDTVETDNASESEYPDNACIAPRRRSGRNSKLSQSLATVPARKGRRIAIKKTSIDFSSLQITRKRRSYFSKQARSSAWGLLENTVQYLEHNVRLEIALGKQKNLRIAKKGGSRNEKHGKKQIDRKPRKSKGKSSIPRGPISLKVKFGSHCLMDGIPVIDNDTNKNSNAREELKEMTKVASEVDNRIGEEVLSVQFHGCNGNLDNDHVSLSEGCQPGKSAVQDLAAKTLVCHVESPSQDGRSINNRFSDPGTSPDSEVINLIPDTPIDVPEEFHDLTLSKPCAVPVDASILRMHEKSCKKGRKKERLPKIPNSGVKDLPTPESMSNTEVFGDLMHGEKQRNGLFCSDTSVLTTAGNGTGNMFSTVIFSGELLRCSGVSSLGMSCASSNPESDPEGNHCASVGTESPESGLSEKLVSSHDEQNVSKEGRPKESGKCRPEVPNLSKGRGSKKKGNKEKEDIMHDMKHKSDPVKCLGEGIQHSVTENGIASELGQVVSEKRSLDGGISNMDILQSEIGERLLPPRNAWVQCDDCLKWRRIPSLLADQIEETNCRWICKDNLDRAFADCSFPQEKSNSEINAELEISDVSGEEDVSRAHLSLNGSGQKNLLGAHQSSWNRIKSNLFLHRHRKNQPIDEIMVCLCKPPADGRMGCGDGCLNRILNIECAKGTCPCGEFCSNQQFQKRNYAKLKCFKYGKKGYGLQLLENVSEGQFLIEYVGEVLDMHVYEARQKEYALKCHKHFYFMTLNGSEVIDACAKGNLGRFINHSCDPNCRTEKWIVNGEVCIGLFAIRDIKKGEEVTFDYNFVRIFGAAVKKCVCGSPNCRGYIGGDPLDAEVIVQEDSDDEYPEPVLLPKYAKMDQKEDNITCATSSIKCAKIKIQRKRPNKKNTLDGLIAENQETSCQTDINSFVGQEKVNLGNSVAVVSLNVREESENFPGVSPASALKAETCATFKASECLSHSSTEPVETSLSLKDTCETVSGVRKGFTVAGDVAKYSISSAQALDITSPDAVVSKSLKKSKSSNGKETPESCLFVKTSRESSLVKKGKQRNYAVNSRSSPDVDSKLQVPQPKLKKPPDGSLHGHFEAVEEKLNELLDHDGGISKRKDASRCYLKLLLLTAASGDGCNGEAIQSNRDLSMILDAILKTKSRTVLMDIINKNGLQMLHNIMKRYRREFNKIPILRKLLKKHKSNS >Solyc05g018457.1.1 pep chromosome:SL3.0:5:21855720:21856832:-1 gene:Solyc05g018457.1 transcript:Solyc05g018457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDDTEEQNNEPQLGRGHRIKPHHKIKYHSDCSVERHKARLIFLAVAAAKQWELHPMDVHNDFLHGDLQEEFYMKMPPGFKVQYPDMACRLQKSLYGSQDSDYSLLTLQRNDIQLNVLVYVDELIIFGNDHCAIQNFKSYLSYCFHMKDLGALNFLCQHKNALDIISEVGLQGVKPANVATESNHKLVLLCFTGPEFSYCVHVLSQFMHFPQEEHWEATL >Solyc11g065130.1.1.1 pep chromosome:SL3.0:11:50769499:50769669:-1 gene:Solyc11g065130.1 transcript:Solyc11g065130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPAKMDAAVKMKTTQWLHHFSGINEILYLVPTSLYCYPCRKIENAILGFTIEF >Solyc01g008450.1.1.1 pep chromosome:SL3.0:1:2472104:2472937:-1 gene:Solyc01g008450.1 transcript:Solyc01g008450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTFEMIFMVSSNSHIIFCFCNFIIVILLVSGFQSSSQDDNTTNVLGHDMSKRKQAQGSSKATQFQKYMNDLDYQVREMDTCVTSQEMQIFLISSNTSTIKVLRDINQDNRSEAYNVDVRSKYHESANFKCENVEDVSDREMDTHVTSKEMHTFSASSDISTTEVLKEVNHDNKNEAYDDAAHFQHHESANCEQSLHQDTNFKCENTEETNANITIDTKMYLAKTRKETNDYNFERSDGKEDDELRKRIEDFIEKINKGWRAEKLGTCYQKSISL >Solyc05g010770.3.1 pep chromosome:SL3.0:5:4973364:4973933:-1 gene:Solyc05g010770.3 transcript:Solyc05g010770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQLLKLEALATAQDGETTAQDGDQDGDVNEENIDQDGATLYIEQYLGLVLITCIHCLTQIPFRYFCKNTHSTQIP >Solyc01g057210.3.1 pep chromosome:SL3.0:1:59818211:59822256:1 gene:Solyc01g057210.3 transcript:Solyc01g057210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKLYESFSKELIEEVHRWGSMKQTGVSLKYMMEFGSKPTPRNLLISSQFLHKELPMRIARRVIDLQTLPYGLSLKPAVLKVRDWYLDSFRDLRSFPDIKDENDELEFTKMINLVKVRHNNVVPMMALGVQQLKKDLHPKIDYKDLDEIHQFLDRFYMSRIGIRMLIGQHVALHDPNPPPDCVGYIHTKMSPLEVARNATEDARSICLREYGSAPKVNIYGDPNFTFPYVPTHLHLMVFELVKNSLRAVEERFVDSDKVAPPVRIIVADGLEDVTIKISDEGGGIPRSGLPKIFTYLYSTARNPLDEHSDLDTIDLATVSTLAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Solyc07g054010.1.1.1 pep chromosome:SL3.0:7:62568000:62568293:-1 gene:Solyc07g054010.1 transcript:Solyc07g054010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGTKHASGGNGGGEEWPRGMKRASGWGEGGEPRGMECFHRRGEEVKERGFGARNVPRGKDGVRGASRCLEARIGKEGPRGASRRGAGRGCLEAG >Solyc09g005870.1.1.1 pep chromosome:SL3.0:9:606463:607308:1 gene:Solyc09g005870.1 transcript:Solyc09g005870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSSRLASRLASHFLRSAVTGAAATTTSALSKSHPLISVFHHQSQPLVFPHFNTNQTVFTLRSFSTLSRPARSHRSARPDIAAKARQLQTRRLWTYAITFSCIAGFIVIVLNQFQDQLVFYLTPTDALEKHAENPTRSKFRLGGLVLENSVTPIPNSPEMEFVITDLITDILVKYDGSLPDLFREGHSVVVEGFIKPFTEEMKKKENEILSEKKLQLTEKARSGDCYFAATEVLAKHDEKYMPPEVAAALEKNKQLLSQMEGKEEVSDDATPPATARA >Solyc10g079030.2.1 pep chromosome:SL3.0:10:60799848:60802004:-1 gene:Solyc10g079030.2 transcript:Solyc10g079030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLYADHYNQKIDYVFKIVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLLVDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDLTKRQSFDHMARWLEELRGHADKNIVIMLIANKCDLGSLRAVPVEDAQEFAERENLFFMETSALQSTNVEGAFMTILTEIYKIISKNTLTAAPGADYGKSQSLKGTRIIVPGQDSDSGGNSGGCCMSS >Solyc09g061930.3.1 pep chromosome:SL3.0:9:60935010:60939017:-1 gene:Solyc09g061930.3 transcript:Solyc09g061930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLRGSQQSVSFPKKKKPQETSSSTSFTHHIFHLHSMALLLLSFYIVFFIVLTTTSAASDVQLLLQNIKPSLQGNTDNLLLSSWNISVPLCQWRGLKWVFTNGTSLLCSDLSSPQWTSLSLYRNPSLHLVSLQLPSANLSGTLPRELGELSTLKSLYLGVNGLHGSIPLELGYSSSLSDIELSDNSLTGTLPTSIWNLCEKMVSFKIHGNSLSGSLPNPALPDATCKNLQFLDFGQNRFSGDFPVFITRFNGLKELDLGDNNFSGPVPETLAALKLEKLNLSHNNFSGVLPNFGESKFGVDVFEGNNPTLCGHPLGPCSGSSGMSPGAIAGIVIGLMAALVVVASLLIGYFQGKKKRHADEEEEFEEVEDEEAGCGEGKLILFQGGEHLTLEDVLNATGQVMEKTSYGTIYKAKLADGGTIALRLLREGSCKDGGTCLTVIRQLGRVRHENVIPLRAFYQGKRGEKLLIYDYLPNRNLHELLHESRVGKPVLNWARRHKIALGIARGLAHLHGLETPITHGNVRSKNVLVDEFFVARLTEFGLDKIMIPAVADEIISVAKAEGYKAPELQRMKKCNSRSDVYAFGILLLEILLGKKPGKNGRNGDNADLPALVKVAVLEETTMEVFDMELLKGIRNPMEEGLVQALRLAMGCCAPVATVRPSMDEVVRQLEENRPRNRSALYSPAETRSGSGTPF >Solyc07g064050.3.1 pep chromosome:SL3.0:7:66473743:66476525:-1 gene:Solyc07g064050.3 transcript:Solyc07g064050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CH88] MQLHISPSLRHVTVLPAKGFKEFIKVKVGSKRLSYRMVFYCLLFLTFLLRFVFVLTAIDTIDGERKCSTLGCLGKKIGPRILGKRLESTVPEVIYRVLEEPSDQTDTQTRPESPQTLEEFVAEMKDGRPDAKTFAVKLKAMVTQLEERTRTAKIQEYLYRHVASSSIPKQLHCLALKLAHEHSTNSNARLQLPSPELVPALVDNSYFHFVLASDNILAASVVASSLVQNFIRPEKIVLHIITDRKTYAPMQAWFSLHPLTPAVIEVKGLHHFDWFTKGRVPVMEAMEKDQQARSQFRGGSSAIVANKTEKPKIIAAKLQALSPKYNSLMNHIRIHLPELFPSLDKIVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCTGEDKYVMSKRFKSYLNFSHPLIAEHFDPSECAWAYGMNIFDLEEWRKTNISHTYYYWLQQNLKSDLSLWQLGTLPPGLIAFHGHVHTIDPFWHMLGLGYQDNTTLAEAQSAGVIHFNGRAKPWLDIAFPQLRPLWTKYVNFSDKLIKSCHIRAS >Solyc02g087425.1.1 pep chromosome:SL3.0:2:50517294:50517751:-1 gene:Solyc02g087425.1 transcript:Solyc02g087425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITKSWTNSQIFWFGDLNYRLYLEDNLARELIKRKNWSALQEFDQLGKELEDGGVFQGWQEGDIEFAPTYKYSSSNCNRYSGGLPSRAGEKQRTPAWYSHHFTFLSRTLVI >Solyc11g044950.2.1 pep chromosome:SL3.0:11:32171660:32175843:1 gene:Solyc11g044950.2 transcript:Solyc11g044950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVPSSFLILTRISLTGGLKSNPPGAAGSSLALETILQHASLDSRPHRYDIVTNVLVCSRGGGGLLIERMELLAELWEENIRAEFVPLCDPSLTEQYEYANEHDIKCLVIITDTGVSQKDSVKVRHLELKKEKEVERGNLVKFLLEAMSSQFRNPSIWN >Solyc01g111550.3.1 pep chromosome:SL3.0:1:97693841:97697794:1 gene:Solyc01g111550.3 transcript:Solyc01g111550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNHQEDLDLLLSLPDRVLETPPASPSSRFPDYLSDDGLPKRMGEADMSVFRDAVQDCLDYDTEIAKKAAKSKHTKGSSDAEVEKFSGLRIRNQVVSPVELSNHFADIRFIRLSAIRNSLLGDTLSGCWATVGVLTEKGQPRTSSTGKTYAIWKIGSLDEKTLSLFVFGDAYQKNCNEKAGAVFALFNCSVRKDKSEHGFSLSLYSSSHILKIGTSVDYGVCKGKRKDGMACTVIINKRRGTYCSYHKQKTSEKYSSSMRAELKGGNLRTGFRDHLKSEGIYVVNPLADKTNFTKSKAPLKLLSVDGLKKALSNAGKVTTNVHSQGIRFLSQVTGKLDSNNTKESITGRDQTRNMTTNERASSTKRKNPSETRPSHSCDQKKPKMEQQNSGQKPQQVKEKMIELDLVSSDDEF >Solyc05g026157.1.1 pep chromosome:SL3.0:5:39948207:39963794:1 gene:Solyc05g026157.1 transcript:Solyc05g026157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLNKPIIQVISTIVEARLVYSASAELPEIVDCFLDFQDIGESPYLIRNQLFLPIISLLLLLGNIVSVLILVCMKMMMRSRNHQAAKQHASLDRWYLSKEGLCWQGHSQKVFAIQFNIVLDRAKQSTKSAILMNLESRMVASEDIGRQLLTYGERYALVSG >Solyc01g008600.3.1 pep chromosome:SL3.0:1:2617090:2623380:1 gene:Solyc01g008600.3 transcript:Solyc01g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYEATRTVLSRIENLDPENASKIMCYLLTQDQGDKEMIRLAFGPENMLISTINQTKTRLGLLSNSSSAPSTPSSSSHFGSSKLNPFPQSSPRIMIPNNGFQSSPVSSPWSGGSPPVFSRSPRPVSYAAVVNGSGYEEQLFDPVMSPSGRSDSMGMGFCEENQEKQLHRRSCSVNDVYLGGGGGCDENGGFGWRPCMYYAKGFCKNGNSCKFMHGGFGDSTDESSGNVDCLDEIMRVKALQQQRFAAASQFMASGGRHPFGFNRCMSVYNDNQRSAAAALMMSDEFHKHGRSLPDRNEFSAMALVGNSSSCLRQIYLTFPADSTFKEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFIYPETVKQILAKGNPHFVCDSRVLVKPYKEKGKIPDKKQFHLQQQPIDREELSACLSPSGMESRELYDIPFGGRMFYNPHEMMLRRKMEQEAELQQAIEFQGRRLMNLQLMKNHHHNSHFHPGVVSPGVPSASHMQTQFQNHQGFVCSSNGINQEALAENNGVHEPINPLGNAANENQEMPHTNNRNGSCSKEQTSNTDDSDPQDSFDHNLPDNLFSSPTKSAAEQHVAFPTDSSAADFSSAITMPAAAATTTTTTMNNIPMLPTTSAFNMASLKSCYFQVPRFTSGQEATET >Solyc02g094590.3.1 pep chromosome:SL3.0:2:55763408:55781260:1 gene:Solyc02g094590.3 transcript:Solyc02g094590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKASLFFSPSECFLTTRLCKLFSTTQKPSFPSPSSSSITLTNVLNADAVHTKLPPRLRNARQEQERDAISLLNERIRREHAKRDHSPLRPAMDSEEADKYIQLVKEQQQRGLQKLKSDRARQGAPHDAAQPTFSYKVDPYTLRSGDYVVHRKVGIGRFVGIKFDVPKDSKEPIEYVFIEYADGMAKLPVKQASRLLYRYNLPNETKRPRTLSKLSDTSAWERRRMKGKVAVQKMVVDLMELYLHRLKQKRPPYPKTPAMAEFASQFPFEPTPDQKQAFSDVERDLTESENPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLAKQHFDVISERFSRYPNIRVGLLSRFQTKSEKEEYLSMIKDGHVDIIVGTHSLLGNRVEYNNLGLLVVDEEQRFGVKQKERIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIRTHLSAYSKDKVISAIKHELDRGGRVFYVLPRIKGLEDVMEFLELAFPHVEIAIAHGKQYSKQLEETMERFARGDIRILICTNIVESGLDIQNANTIIIQDVQQFGLAQLYQLRGRVGRADKEAHAHLFYPDKSLLSDHALERLAALEECCELGQGFQLAERDMAIRGFGNIFGEQQTGDVGNVGIDLFFEMLFESLSKVDEHRVISVPYPAMELDININPHLPSEYINHLENPMQIINSAEKAAEKDIFNLMQFTENLRRQYGKEPYSMEILLKKLYVRRMAADLGITSIYASGKMVGMKTNMSKKVFKLITDSATSDIHQNSLIFEDGQIKAELLLELPKEQLLNWIFQCLAELYSSLPTLIKY >Solyc04g005000.1.1.1 pep chromosome:SL3.0:4:2660:2884:-1 gene:Solyc04g005000.1 transcript:Solyc04g005000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTAMFVGCLGILIVVVVAQYGDDPGSTTDMPYMPGMAGGPSPSTNLAYVNSSPLALITILPFLFFFFFVDKV >Solyc07g039300.1.1.1 pep chromosome:SL3.0:7:47013169:47013351:-1 gene:Solyc07g039300.1 transcript:Solyc07g039300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQCRQGNSARGSHSLTRRLSMDCSSCFYSENESPRAGRGTNWEWFLLAPSQGIEKPTQY >Solyc11g070170.2.1 pep chromosome:SL3.0:11:54980502:54985497:-1 gene:Solyc11g070170.2 transcript:Solyc11g070170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMRQLRSSVRKGREPIVPNVTHAPSPGKDEPDAPTRRLRRRGTGNRAGGGRKKKNDVVNSDDVVKEITLPRRTGEEVKAEEVEKMDEHESGGGSGNKGLAAAEEEGSTPPLPERVQVGGSPAYRIEKKLGKGGFGQVYVGRRVNTTNPLERTGPGAVEVALKFEHRSSKGCNYGPPYEWQVYNVLGGSHGVPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNSHMMSTEMVACIAIEAISILEKLHSRGYVHGDVKPENFLLGPPGTADEKKLFLVDLGLATKWRDTSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRAKLPWQGYQGENKGFLVCKKKMATSPETLCLLCPVPFRHFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDNRPINTDGAQKLIYQVGQKRGRLTVQDDDDEQPKKKVRMGMPATQWISVYNGRRPMKQRYHYNVADERLAQHIDKGNEDGLFISSVACCSTLWALIMDAGTGFSDQVYKLSPCFLHKEWIMEQWEMNYYISALAGSSNGSSLVVMSKGTQYLQQSYKVSESFPFKWINKKWKEGFYVTAMATSGNKWAIVMSRGSGFSDQTVELDFLYPSEGIHKRWDAGYRITATAATWDQAAFVLSIPRRKPPDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Solyc07g049515.1.1 pep chromosome:SL3.0:7:59914552:59917206:1 gene:Solyc07g049515.1 transcript:Solyc07g049515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGARNPPRAPSLTDEQHDHIQHMLDGDTSTANVMANMADDMLVTCSNLGLIEHTKAILHKAFKIKDLGELKFFLGMEFSRSAKGILMNQRKYALEIISDLGLGNAKPTWTPLEANVRLTIQELDHLTGEVGDELFEDKE >Solyc02g032600.1.1 pep chromosome:SL3.0:2:29308795:29310114:1 gene:Solyc02g032600.1 transcript:Solyc02g032600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQRGANFANSSKGFITVLDEVFLRVVFWFAVNCLGWSFIPIRIKTIVTSLLQSLGGIHSGCAISSIVWRIYALIQTLNDKGNASPEIVGVAFAILSLLFLSSLAAFPLVRHLHHNFFKRIHHFVEWIALALLWIFITLTVSYDPKTKSYNNAKICSKLIEQQEFLFTLAGVKAGILGRISPSPLSEWHAFGIISNGKAEHMMLAGAVGDFTKTLVSNPPSHLWVRQVHFAEMSVDAARKWGAEVVIVTSNPEGRRDVVNACKKSGVPAFGPIWDS >Solyc04g077470.3.1 pep chromosome:SL3.0:4:62470433:62474280:1 gene:Solyc04g077470.3 transcript:Solyc04g077470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSFTWWGKETHRGTPVVVKMENPNNWSMVELEGPSEDDFLLPNDDVSSMSPYKREKVRNKNAKQLTWVLLLKAHKAAGCLTSIASALFSLASVVRRRVAAGRTDSTDNSSTENPAVKNRFYTCIKIFLWLSVILLGFEITAYFKGWYFSAPDLQLQYLYSLDFHKFANPLAVQSVFDWFYSKWVLIRVEYLAPPLQFLANACIVLFLIQSVDRLVLCLGCFWVKMKKIKPIAKQGAMDLESGDGSGYYPMVLVQIPMCNEKEVYQQSIGAVCCLEWPKSRLLIQVLDDSDDPVTQTLINEEVRKWQKEGANIIYRHRVIREGYKAGNLKSAMNCSYVKDYEFVTIFDADFQPMPDFLKRTVPYFKDNDDIGLVQARWSFVNKDENLLTRLQHINLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPAIIKSKISIWKKGNLIFLFFLLRKLVLPFYSFTLFCIILPMTMFIPEATLPSWVVSYVPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLASLAEEKPKHQRVASEEWTATKKSGRSSEGDLTLLVDGKPKHQRGVSVPDLEELREEIKEKEKKASRKKKHNRIYTKELALAFLLLTASLRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >Solyc05g023700.1.1 pep chromosome:SL3.0:5:29014908:29015678:1 gene:Solyc05g023700.1 transcript:Solyc05g023700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRTNSDVYGLPCYYFAGKIWEFVAVGITTSWPEFVGGCLLSLLVVVARRKREVKEEKRRRRGGKGRGKGRGRVKGGWRWRGESSKGLRCLTPVAAGCVQLLLLRHRCWAEQSSLPLSRPSTSMKSRAAVREEREGRREEEGCWEEKEREHWEERGLRLGGEREERGLRLPSFSFLKISSPPPLFDLVNKLNKTLNGLQ >Solyc09g089840.2.1 pep chromosome:SL3.0:9:69956220:69956679:1 gene:Solyc09g089840.2 transcript:Solyc09g089840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLVSRKAYVEYLKHVIKLGETLSEGLGLKRDHLKAMECADKHTDISFFTILLQDQSGGLQVVHYNQWLDVEPIKHRLVVNIADFLQVCNKTYLCSLKYLQFCYFSQSDFGLFEPPK >Solyc12g099450.2.1 pep chromosome:SL3.0:12:67582593:67585457:1 gene:Solyc12g099450.2 transcript:Solyc12g099450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLKKGSNSNVNVNMASSGSDSGIVFKRSKSTTTPRNHLHFLEANESEDYGPHRKGFWSFLHYSSSKHYSSTGSSMNSSKTSREKKKEEVVVVEENESPNETSFNNKVARSRSVGCGSRSFSGDLFEKISTGFGDCTLRRIESQRESKPRFSSVHHKERVNCGGILSYLVSSEVNNLNGKSHHIANGRSKNWGWALASPMRAFSKTSSSVKREDSNSNDNKNATPNLDAIPSLLTVSS >Solyc03g114180.3.1 pep chromosome:SL3.0:3:65668423:65674098:-1 gene:Solyc03g114180.3 transcript:Solyc03g114180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BKS0] MGKTLASPHFIIFPFMSHGHTIPLLHLATLLRHRFITVTIFTTPVNAPSIRDFLRDASISVIELPFPKDVHDIPPNVENTEKLPSMSYFYPFARATKLMQPLFEQALSALQNPPTCIISDAFLGWTQQSAQKIGIPRYFFFGMSVFATTMYQLLGIERPHAQTISLEECFTFSSFPWLKLTRNDFEPPFGDLEPKGPAVEFMMEQGISFSKSRGMITNSFYELEPRFADYFNKHLWPKSWCVGPLCLAKRAMISQTDETWMQWLNNKLTEKQPVLYVAFGTQAEVSAEQMQEIAKGLESSNTCFIWVTRQNAMEHLQGFENRALIVKEWVDQNAVLNHKSIKGFLSHCGWNSVLESICAKVPILALPFMAEQHLNARMVTEEIGVGLRIMPRNGSVRGFVGAEEVEKMVIELMEGEKGEMVRKKVKELGEKAEEAMKEGGSSWSTLGLLIDDACARKL >Solyc07g062970.3.1 pep chromosome:SL3.0:7:65727082:65730518:1 gene:Solyc07g062970.3 transcript:Solyc07g062970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase 2C [Source:UniProtKB/TrEMBL;Acc:Q6QLU0] MTGGKEIIDKIKEKVGLSSSDTGRGKSKMSKNITHGYHMVEGKSHHTMEDYVFAQFKQVDENELGLFAIFDGHLSHEIPEYLRSHLFNNILNEPNFWAEPESAIRKAYRITDTTILDKAADLGKGGSTAVTAILINGQKLVVANVGDSRAVIYKNGVAKQLSIDHEPGREREIIEGKGGFVSNFPGDVPRVDGQLAVARAFGDKSLKMHLSSEPDVVVELIDDDTEFLILASDGVWKVLSNQEAADCIKDIKDARSAAKHLNEHALARGSTDDISCVVVRFQ >Solyc12g099080.2.1 pep chromosome:SL3.0:12:67335291:67340937:-1 gene:Solyc12g099080.2 transcript:Solyc12g099080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYKMTTQLKILFFRATAEESAVNDSSISRSTYSNLRSPIAGSRPFFSYTEMGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELREAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISNKA >Solyc03g006370.3.1 pep chromosome:SL3.0:3:964701:969110:-1 gene:Solyc03g006370.3 transcript:Solyc03g006370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVDIGHVLFGGTMALCESTYSFQRIVDLMSFQPQMIERQLSDTCSKIQEEQMAKQFENRNLQKIRPVLEAQSS >Solyc10g005880.3.1 pep chromosome:SL3.0:10:668162:676473:1 gene:Solyc10g005880.3 transcript:Solyc10g005880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAVEDLIQASSGVHYSGFHLEEPHTSEIEQPATSIDEHVKQPFIIGVAGGAASGKTTVCDLIIDQLHDQRVVLVNQDSFYQNLTPEELTKVHEYNFDHPDAFDTEQLLCVMEKLKHGQAVDIPKYDFKSYKNDAFPLRRVNPSDVIILEGILIFHDPLVRDLMSMKIFVDTDADVRLARRIRRDTVEKNRDIATVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYSSLYVIQSTFQIRGMHTLIRDAQTTKHDFIFYADRLIRLVVEHGLGHLPFTEKQVITPTGSVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPEDIAERHVLLLDPILGTGNSAVQAISLLLKKGVPESNILFLNLISAPQGVHVVCKHFPRIKIVTSEIENGLNDEYRVIPGMGEFGDRYFGTDDD >Solyc11g040150.2.1 pep chromosome:SL3.0:11:40019245:40026296:1 gene:Solyc11g040150.2 transcript:Solyc11g040150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYQLIREKKDARLRWDPQQLQLGDIIVEGEGKNLSPRIEVITSDATSNLEKSPISPLSTLPKSIGDHGGPHSHRSKTGGGSGRLLSLDIFRGITVALMIFVEYAGGIYPAINHSPWDGITLADFVMPFFLFIVGVSLALGYKNIPCRLTATGKAIHRALKLLILGLFLQGGYFHGIKNLTYGVDVERIRWMGILQRIAISFLLAAMCEIWLKGDNKVNSGQSLLKRYHQQWAMAIMVTALYLSLFYGLYVSDWEYQMPMDISSSEAKIFTVKCGLRGDSGPACNAVGMIDRKILGIQHLYARAIYGRSKECSVNSPNYGPLPVDAPSWCQAPFDPEGLLSSLMAIVTCFIGLHYGHIIVHFKDHKVRIQQWLVPSSCLVLLGVTCDCLGMHANKVLYSFSYMCITAGSAGFLFTAVYMMVDVWGYRHWTTILKWMGTNALLIYILVSCNILPVLLQGFYWRRPENNILTVIGVGPKK >Solyc01g080490.2.1.1 pep chromosome:SL3.0:1:79576420:79577256:-1 gene:Solyc01g080490.2 transcript:Solyc01g080490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPNYTLKDKTLNGLGNLIRLLPTGTVFIYQLLNPILTNDGHCTIINKYLSGILIALCGLSCGFSCFTDSYTDNEGSTHYGIATMKGLWPTSKSMDTSSYKIGVGDFVHAFFTMVVFGVVTILDRNTVDCFFPAFESTEKTLIMVLPPVVGAISSVVFMVFPNKRHGIGYPSN >Solyc08g075165.1.1 pep chromosome:SL3.0:8:59432099:59433864:-1 gene:Solyc08g075165.1 transcript:Solyc08g075165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQLGHVSTILVSTPETAKQVMKTQDIVFASRFQPLAAKIISYDSANIGFLPYGDYWRQMRLARFLASHAGSPVNLTQLLHSTMLTEAAWLQHITGLESKLEKLHHEIDRLLDEIIDDHIVVDNNTTSMHEDLVDVLLRVQNDEKYEITRKNIKAVILDMFSAGTDTSATTVDWTMAEMLTNPSVLEKAQEEVRRVFGEKGYVDESKFDQLKYLKAVIKETLRLHPPAPLLLPRLSREKCEIDECFKAERFLDSSIDFNGNKFEYIPFGAGRRMCPGMSFGLANVEHPLALFQYHFDWKLPNGMKHQELDMSQLYLGVTVRRQLDMHVIPIITNPV >Solyc05g013985.1.1 pep chromosome:SL3.0:5:7483199:7489458:1 gene:Solyc05g013985.1 transcript:Solyc05g013985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDTSSKVELLSELRSTQEKNKLNDENKSLDDRLFTLEDGMKEIINMKEFFAAQQSHNLHMTSLVSTEWPLTFFADQELIMIIDVPDVMVSLLHVVMQSVQVDYPPSQSIHFEI >Solyc05g026200.1.1.1 pep chromosome:SL3.0:5:40074384:40074545:1 gene:Solyc05g026200.1 transcript:Solyc05g026200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLDPEGDWEQRGARAVPTGSRAKASLKNAVKATMSRKGLLGSIEQHLHLLA >Solyc04g057980.3.1 pep chromosome:SL3.0:4:55039653:55040592:-1 gene:Solyc04g057980.3 transcript:Solyc04g057980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSTYMASTHFSMLSWSTKGKNKQLKRRNFFSVSAQQAEVEELKLKKEEEKKEEEKQEEGAMKKPRPVEPQINVQSKNMTREHGGQWLSSATRHVRIYAAYIDPETFAFDQTQMDKLTLLLDPTDEFVWTDDTCTKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYNGEIQYNMNARVLNFSMGKPRVGFNYNGQMEDVNQ >Solyc01g099483.1.1 pep chromosome:SL3.0:1:89606326:89608201:1 gene:Solyc01g099483.1 transcript:Solyc01g099483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMYSIIYVCPETILRLIKPTQSPAESRGIALFAVDEVHCASKWGHYFRPDYRMDTMKFLKFDIPIMALAATATTRSLHMSEATRIVLTSFFRPNLQFLFSHLLQSLGNLSSLRCKIV >Solyc03g007910.2.1 pep chromosome:SL3.0:3:2424900:2426116:1 gene:Solyc03g007910.2 transcript:Solyc03g007910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGEVEVLLGLRHKNLVKLIGYCFEGNYRIIVEEYVDNGNLGQWLHDCTSEVSPLTWNIRMNIVLGIAKGLAYLHEDTEPAAIIHQHLKSSSILLNRHWNPKISDFGITNFLDSDERSNHPITTPPGMAGYLAPEYLSTGIFDEKSDVYNFGILIMEIVSGKPSIEYTSTEDEECLIDWMKSMVERQQYDQIVDPKIAERPNMKEVKIFLLIALRCVDPDVNNRPKMGEVIHMLQPRDLLIERCKHDSSASS >Solyc02g078310.1.1.1 pep chromosome:SL3.0:2:43617031:43617189:-1 gene:Solyc02g078310.1 transcript:Solyc02g078310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPIYYALLYLVLGRPHTIIVLALSYFLFLFFWNNHKDFLLSWIYYQKFNT >Solyc01g094233.1.1 pep chromosome:SL3.0:1:85662423:85664773:1 gene:Solyc01g094233.1 transcript:Solyc01g094233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRDLGLGGAGGPRGTTPRDLGDDDREDRKAGQGGGQILLSFDRGNNELHDFTVLLRRTNLNKLLIIFDGHGGPEAAAHIVGDKGE >Solyc01g073850.1.1.1 pep chromosome:SL3.0:1:81071196:81071531:-1 gene:Solyc01g073850.1 transcript:Solyc01g073850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPFTNHASNCQIKYCMYFGCNACGEPKSFVYECLECNFSLHAKCATSWPENLIHVDHQHSLVLQYEWPYAIEDCVNIFCKVCDGLCNDSKWLYYCAECKLGTHLQCATV >Solyc01g102265.1.1 pep chromosome:SL3.0:1:90971002:90976362:1 gene:Solyc01g102265.1 transcript:Solyc01g102265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSSYFCYFLVHCRWHAVSSWTWDAQDETCGICRMAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQSAQAHCPMCRREWQFKE >Solyc12g009860.2.1 pep chromosome:SL3.0:12:3039150:3042406:-1 gene:Solyc12g009860.2 transcript:Solyc12g009860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCSLRSDVAVFGRMVVGSVLEAVVAEILLAAQRSAASLLIVAGIMLKDADSLPDLIGTDKRFPFEELHKMDKAGPENKDANGDGEGAEMLQ >Solyc09g010645.1.1 pep chromosome:SL3.0:9:3977120:3977788:1 gene:Solyc09g010645.1 transcript:Solyc09g010645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQHHYRELEINPVIVADPPEQKKMCSICLGEFLEGDEVRVMSQCILIEIDPNDHLYGPQTPM >Solyc11g066990.2.1 pep chromosome:SL3.0:11:53054673:53059871:1 gene:Solyc11g066990.2 transcript:Solyc11g066990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQERKNSPPRRNRDRSPPVRRRNQEYSPPGRNRNMEISPPARTRNWEQHSPGRNRNREISPPVRTRNWEQRSPRGRSRERHLSPSGQMISEQRGEYFHELERSRRMGIDGGGDFGELQNARPMNYVGGDRDYGPSQSLRISDRDRGNTDILGEHSHRLHEIKEGSGNEDSTSNKYPWSHLLDKPRKFDTVNGSREYGVSGSRVSSERENRGRPYPGFGDGIISSEADKRALYSSYESHLPDVGAQPRISGIGNSGGYSLASRYLDADPIKDEEIHLQEGFHSHKAAGRGVPTSMDPYMEDSLKTRRYSEFNTEYAISSQSNMLPGVNKDDIASSYGIEGQIHSHGGRLDSGKAIESIGHDGYDKSPRTISSLNPEKQVSGFRNYTESYFGRAEERREAYSYSDVQRGEVGSPNALSSEFYGKRVGSGEVHLQNLPRNMGLGKYDEFSHREILMEDKPRESLFSSQRRSPPDYFESRRALDQRKPDLDILDYGANRLQYENESHRGYESSRKRELHKYQMDDDLLERSDALYREYDPHLEKIEAGSRERFISRDLPGSSNFSLREKHGTMSNHGAGIMISSDIRTAHITHDRGDADKMRISRDMDSVVMSRKPNVPRSKYAKNGRPHKATATISSTRNLSVSMLRRTSKFSKSGGSDIKKRLGPRYQNVDVERPLGTKYKSSLKKRLGPRIVKNHAPPPWVKKFNSHELSRNQDTLDESVVEPGDDPKEGITTTAKPEPPENSKDFKQLVQNAFFRFVRHLNETPAKRRKFSEQAGSLKCLVCGRDSEEFVDSESLVRHALTSSKAGLRSQHLGLHKALCALMGWKSADSHEDSWVCEKLSNAETVALKEDLIIWPPVVIIHNSSITSSNPDQRVVVSAEELESKLRDMGFGEKAKVSRGKPANQSILMVKFSATLSGLEEAVRLCDIYAHRKHGRADFQRISSGQSGNNDDETKEPLTDKVGKILYGYLGIAEDLDKVDFETKKRSSVRSKKQIKVIAVAP >Solyc03g112520.3.1 pep chromosome:SL3.0:3:64387614:64399015:-1 gene:Solyc03g112520.3 transcript:Solyc03g112520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNHMDDDLDFGGDFAATGRSGTKRSFGDLDDDEDDIFGSKKGNLLKVEETAPGAATGMILSLRESLQDCKDNLASTQTELESAKSEILKWRSAFEKEPFIPPGMTPEPKFVVSYLQNLRSSEEALREQLERAKKKEAAFIVTFAKREQEIAELKSAVRDLRAQLKPPSMQARKLLLDPAIHEEFTRLKNLVEEKDKKVKELHDNIAAVNFTPQSKMGKMLMAKCRTLQEENEEIGNQANEGKIHELTMKLALQRSQNAELKSHFEGLCKQIEGLTNDVERSNEMVLILQDRVAEKDEEISKLNEELNEKNTIVEKTELTPDETTNDEEMTTTEAQAENE >Solyc12g038240.2.1.1 pep chromosome:SL3.0:12:50222290:50223317:1 gene:Solyc12g038240.2 transcript:Solyc12g038240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLKVPLMPKEEYKASSLPKNDFSQKPSVTKFNYKKVSSISKYNNYKEPLVSKEEYTMPSLPKNDYKKPTVPEIPSVPKLEYKVPSLRKKDYYEKASVPEDNYKKVSYVPEVPLMPKKEHKVPYLSKNDYYKKPSEDNYRKVPTIPEVPSMPKH >Solyc03g120160.1.1.1 pep chromosome:SL3.0:3:70117331:70117576:-1 gene:Solyc03g120160.1 transcript:Solyc03g120160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSGIPYKRERYVHIQANTAESTGSRGVVAGSAVMAKQCICSPTIHPGSFRCRHHHADYKWVVPLGNKQNHFGASVTKAL >Solyc01g058170.3.1 pep chromosome:SL3.0:1:65493262:65494478:1 gene:Solyc01g058170.3 transcript:Solyc01g058170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEVDAWYNEGWWVGLICKILDGLKYMVYFWTTNEELVFDHFTLRPHQEWIDGKWVIAFMKKSKLQLKPKLNLKRRKGGVASHTNFCVGAKVEVRSDEEGYQGSWYPATIVRPLGNRKYLLQYQTLETDDETDLLTEEVDALFIRPSPPVIQQADQFRPLDEVDAWHNGGWWAGQVCKVLIGSNYMVYFRTTNEILEFQHSDLRPRQNWLDGEWIAAKRV >Solyc06g071890.3.1 pep chromosome:SL3.0:6:44429879:44432585:-1 gene:Solyc06g071890.3 transcript:Solyc06g071890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:K4C8R1] MSYFRAFLNSPVGPKTTHFWGPMANWGFVISGVMDSQKPPDAISGNMTSVLCVYSLLCMRFAWMVRPRNYLLLACHASNESVQLYQLSRWLKHQRYLSQKEESVSS >Solyc03g096210.2.1 pep chromosome:SL3.0:3:59573639:59581989:1 gene:Solyc03g096210.2 transcript:Solyc03g096210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLPLLLSSPSPHILFLSFSPSSRSNRGQQQLVQDGIAAPTTPTPSEPPTGKNSATATPRSEQSSSR >Solyc10g045170.1.1.1 pep chromosome:SL3.0:10:31826766:31826990:-1 gene:Solyc10g045170.1 transcript:Solyc10g045170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDIWCKWEKVWNIIVMCKEKSDVCVFYRKRKKRYGKEEEEEDSGPYRSLPSFGLLACCSLNSQNIQMYSFVQ >Solyc06g008160.3.1 pep chromosome:SL3.0:6:2041383:2049504:-1 gene:Solyc06g008160.3 transcript:Solyc06g008160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMMKQGLLWSPCPHSISPKIQSFKQPIFQLTNKWRKEGEAMLINSVTQEKSKKKGIAIDFKEILQFRNSAATIIVANALMMTSPLDALAETCEVDNSPFNMLFNMPILLLVALIGATVGGLVARQRKAELQRLNEQLRQINAALRRQAKIESYAPNLSYAPAGGRVAETEVIVDPRKEELISRLKNGKKFLRNQAPDKAQLEFKAALDLAQNLKEPLREKKAARGLGASLQRQGNYREAIKYHSLVLELSARYGEDSGSTEAYGAIADCYTELGDLEQAAKYYDQYIARLETD >Solyc12g077544.1.1.1 pep chromosome:SL3.0:12:19725210:19725701:1 gene:Solyc12g077544.1 transcript:Solyc12g077544.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCKPSPSPADTTFQLSKQGETFDDPSLFRSIVGALQYATVTRPEISFSVSRVCQYMQNPTLDHWKAVKRILRYLKGSLTHGISITPSTSSTIHVYCDAGWAANPDDRRSHHGFAVYYGPNLISWSSRKQKVVARSSTKAEYRAIAFAASEVSWIASLIKEL >Solyc12g096370.2.1.1 pep chromosome:SL3.0:12:66299839:66302913:-1 gene:Solyc12g096370.2 transcript:Solyc12g096370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4DHB0] MTQMDKGIENLMSARKCLRANFEKSKALGLSIEKAGPRFYEIIQRLPALEAAIRPIRAQKDALGAVGGHINRAVVPATAVLKVFDAIHGLEKSLSDPQSDLPGYLGVLKRLEEALRFLGENCEMAIQWLADIVEYLEDHAVADDRFTLSMKEALTSLRELHSGEEKGRLDGGLLEVALDRLESEFRRLLVENTIPLPMSDPALPGEQACIAPSPLPVIVIQKLQAILGRLIANNRLDKCISIYVEVRSSNVRTSLQALNLDYLEISVSEFNDVQSIEGHIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVWMSCFAKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGTACLEIQNLTRDLIKSVIEGASEIFWELLVQVELQRQMPPPPDGTVPKLIIFITDYCNKLLGDDYKSILTQVLIIERSWKQEKFQERLLITELLNIMRAVDVNLETWSKAYQDVILSYVFLMNNHWHLYKHLKGTKLGGLLGDSWLKEHEQYKEYYSAFFLKESWGKLPALLSREGLILFSGGRATARDLVKKRLKAFNEAFDEMYKKQSNWVMLDKDLRDKTCQSIIQAIVPVYRSYMQNYGPLVEQEGSGKYVKYTAQSLEKVLNGLFHSKPVKHGSFKVRHPSGKFSNVVTDQNQTSPTVK >Solyc10g086430.2.1 pep chromosome:SL3.0:10:65375847:65380342:1 gene:Solyc10g086430.2 transcript:Solyc10g086430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRTLTINWDGIGEDDDDDHFFESHDRLSTVVPQDLASSGSDDEVEFEDSRRSFSASVSIKNNTFPGLEMGTTTKTKTVSISDPPPPIVMEDYGMWMAEPGDVKERRKRLLQGMGLTSSKELLKLTSAKVVRAISRKVDTCPDDSTPKDEITSSKEEIIKQEHEPEASHSPPILLLRSRSDGDIEFFSVNTKKRKQELLGDVSKQRLARTFSGVLGPATRICQYTNSAAVVVPPKKGTCKSTSPQNGDETPSSTLPNGNADSGFASFFLIKNLDTGNEFIVKESNEEGMWNKLSDLQTGKQLSMEEFEKSVGYSPVVKELMRRANVSTNDGRKLNVNAYLSKSFRYSKKTGVALLKNIKGVANSMSMSKVDKDIEIPALVEQKQKQNSSQWIKARQQGKIHKEFTALQLCQEIQAHEGSIWTLRFTADGRYLATAGEDRVIHVWEVQECDVMSTKQADDPNSVSDTPTAGSNSDRPPLPVMTNMQSEKRKKGKTSNKKKGNSLPEYVNVPEIVFALSEKPVCTFSGHQDDVLDLSWSSSQQLLSSSMDKTVRLWDIETQSCLKMFAHNDYVTCIHFNPVDDDHFISGSLDGKVRIWNISDRKVVDWTDLHEMVTATCYSPDGEGALIGSHKGSCRLYSTSECKLEQKDSFELEPKKKSPAKKVTGFQEIYLRPELVYVQFAPGNPAEVLITSADSRVRIFDGSEMTHKFKGFRNTSSQISATFSQDGKYIVSASEDSQVYIWKREEPKSATSKTKSVVNVQSYEHFPCKDVSVAIVWPGSIKNQPPLADQIHSRRDSKRSPPPPLPMSGSPTGEDNSAGANSKRNLPPLPAKKNSAVEKIQTCQDEDSTQESPTDPGVGASESFSSIRDGDSPSMSSSSRFDGSNNQGNNIIQSTAWGMVIVTASSGGEIRVYQNFGMPLKASRPNNLF >Solyc12g098190.2.1 pep chromosome:SL3.0:12:66791961:66794141:-1 gene:Solyc12g098190.2 transcript:Solyc12g098190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFGLMPEDCLSEILSFTSPEDTARLSTSSRGFNFAAESDVVWEKFLPSDYQNIISKSKSLMASPSMKQLYFSLCDSPILTDGGKMSFSLDKKTGKKCFMVSARELAISWSDTPHYWSWSSHPDSRFSEVANLRFVCWLDMRGKIETRLLSKRTNYVAYLVFKLKSGYYGLETANTFVRFVDRESDNEAEERASVVSLSTQEEHGENRSKRRDNGWLEIEMGKFFNDAGEDGDVEARLMEVRRLSAKGGLIVQGIEFRPE >Solyc10g050200.1.1.1 pep chromosome:SL3.0:10:48032875:48033510:1 gene:Solyc10g050200.1 transcript:Solyc10g050200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFLYQHLSESDEPDESDFYLPDYDNQEEDDSNFIYIINTILSGTARLNVLLPTATILAFTIFAPILTNDGQCNKFEQWMTGIFLILSAASCVFFSFTDSFKSATGRLHYGVATFSGIWTFNGRRIKPCVPRDYRLRWSDIFHASLSLIALLTFAASHTDVLQCYRFVVPRKIINTVPLVIGFVISLLFVIFPSRRRGIGYPFLLQSDI >Solyc04g064763.1.1 pep chromosome:SL3.0:4:55922768:55925367:-1 gene:Solyc04g064763.1 transcript:Solyc04g064763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVQNRSRSPHNSKRDPYASADRRTGLHMYSKVCRNFAVGNCRRGSQCWFFHPDAAIHRDGGNLEDNLSERLGSRPERGHISRYNDSEGLGYQSRDKLPYLHNLEDELHRNQSRGRITCRNFVKGDCRWGASCRFSHDSPSGDNYEKGTQSESFNYVQGNQATRTGKLLCEYFAAGKCYKDNCKFSHDATSRNHEIRPSNDNSGHRFDGTNIWLDGPKWDNETRPSELVKASGWDESVVRTDTSIIVLTDGTNEKPDHHFENKNKAWEIEPQFINSDTERGVSPHRGSASHLNALNITESSVTQSFANAQGLHLISQASDLNLESASTHVLGHSSNQGASEIVLSTTTTQPYGSAESFVQPHGLTKENIARTLGSNVVNEFMNDRDGVHHVHLPGQSFSGTGFGMSSEHSAVLNETHQEENVFLPVPSTGHNKIEALGTPEMLDSKVPQYLTVSGEQVHQMETSPASMTKKVEEGQRETQLQSVLNPCDSSGMLPSNPISALVHALYGQIIPEMRVPDNYHPPDGLELNTSGNIKLPPDNSFYLYGDSNKVSMDQMNQTSTVDPELGNNDQIDEVKKQKNELVDVDEKDKLALEESKNVEENDHPGAMNLHGKVEEGSGNNNEKVMRLFKNALIEFVKEILKPIWKEGKMSREVHKTIVKKVVDKVIGSIQGEQVAKTQEDIEEYLSRSKPKITKLVQAYVEWFMKNEV >Solyc04g053120.3.1 pep chromosome:SL3.0:4:51652441:51659834:-1 gene:Solyc04g053120.3 transcript:Solyc04g053120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-alpha-glucanotransferase [Source:UniProtKB/TrEMBL;Acc:K4BSG9] MAIHTCFTLIPSSLSSPKLPFPKNTIFPKLSKPTFMFDRKSSFQNGTAAVPAVGEDFPIDYANWLPKRDPNDRRRAGILLHPTSFPGPYGIGDLGPEAFKFLDWLHLAGCSLWQVLPLVPPGKRGNEDGSPYSGQDANCGNTLLISLEELVDDGLLKKEELPEPLPTDRVNYSTISEIKDPLITKAAKRLLSSEGELKDQLEKFRRDPNISSWLEDAAYFAAIDNSVNTISWYDWPEPLKNRHLGALEEVYQREKDFIDIFIAQQFLFQRQWKKVRDYARSKGISIMGDMPIYVGYHSADVWANKKQFLLNRKGFPLIVSGVPPDAFSETGQLWGSPLYDWKAMEKDGFSWWVRRIQRAMDLFDEFRIDHFRGFAGFWAVPSEEKIAILGRWKVGPGKPLFDAILQAVGKINIIAEDLGVITEDVVQLRKSIEAPGMAVLQFAFGSDAENPHLPHNHEQNQVVYTGTHDNDTIRGWWDNLPQEEKSNVLKYLSNIEEEDISWGLIEGAVSSVARIAIIPMQDVLGLGSDSRMNIPATQFGNWSWRIPSSTSFDSLDAEAKKLRDILATYGRL >Solyc10g006890.3.1 pep chromosome:SL3.0:10:1316274:1326876:1 gene:Solyc10g006890.3 transcript:Solyc10g006890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIGSEFPNSPFDEVGVDWRRRMSKAREGEDENECFHDSLDRLLSSANTSCSSSSSPSEDEGEEDRDCISNWGLPNYAMGVLNNYDVWISEPSSIEERRLRLFRQMGLSRGAGRSVSSVHLECQAVCAEGIPNCSSSQASSVKCSVSKNIPSKLNGDSNCNSSSVHKSSDILCVNSISSSSVSVSVANGGECIVVNNDGNSGQCRGDDQLHEGNGSVLTIRTDSRDNESCNLLPIVENGELEDDLESNRDVEIEESVCTIKNLDNGKEFVVNEVREDGMWNKLKEVSTGKQLTIEEFDMCVGTSPIVQELMRRQNVEDGNNNNLDCNTHGIGGTGPKNKKRGSWLKSIRNVASSVAGHKERRSSDERDTSSEKGGRRSSSATDDSQDASPHGPERVRVRQYGKSSKELTALYKSQEIQAHTGSIWTIQFSLDGKYLASAGEDRIIHVREVTSSERKGDLLLDKPEDGNLNLLISANASPEPTTMSPNFDVHLEEKKRRGRSSVSRKSMCFDHILVPETVFALSEKPICSFEGHLDDVLDLSWSKSQQLLSSSMDKTVRLWELSSKTCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPERQVVDWNDLHEMVTAACYTPDGQGALVGSYKGSCHLYNILDNKLQQKAQINLQNKKKRTHQRKITGFQFVPGSMSQVLITSADSRIRVVDDADLFHKFKGFRNTSRQISASMTADGRYVVCASEDSHVYIWKHEGHSRPSRNKGVTVTQSYEHFHSQDVSVAIPWPGMLDTWRYHTSSSGELSGHDGLDEVSTANHPPSPVEEIDNECSPLTSGCGNSPLHGTISSAANNYFFDRISATWPEEKLLLATKYRSPRVSTDASIDFSNGLTQTKFCWGLVIVTASHRGEIRTFQNFGLPFRI >Solyc11g012570.2.1 pep chromosome:SL3.0:11:5376590:5378392:-1 gene:Solyc11g012570.2 transcript:Solyc11g012570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFDKYVCIKHLTPYFSLTKKSQFSSLTPSYQSKALEFLDSCQSLAQLFQIQAHLIITGLLQVQNPSYSCRFLKLCTQHCDDIEYTALVFRCIHFPDTFSVNTVIKSYACSTLPDNAVVFYYQRLKNGFLPNSFTFPPLMSACAKTGSLDSGQKCHGQVMKNGVDGVLQVQNSIVHFYSCCGFIDLARKVFDEMHQRDVVSWNSIMNGYVKVGELVVARQLFDAMPECNLVGWNVMMTGYLNSNNPGKCLKLFREMVQRGMNGNDTTIVIAVTACARSARMKEGKSVHGCLVKASKDLNLIISTTLIHMYSRCGRAEIGRLIFDRISIKNIVCWNAMILGYCIHGSPKDGLNLYSDLLSNRLESTDKNHVKSRVLPDEITFVGVLCACAREGLLTEGRKHFGNMSDVFGIKPSFAHYWCMANLLGNVGLMQEAIETLKNIPVEMIKTPRTFGIIYCW >Solyc12g036505.1.1 pep chromosome:SL3.0:12:47136878:47137484:1 gene:Solyc12g036505.1 transcript:Solyc12g036505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLNNLNLLRHFKHYLHCCPYLPTNYDREGLHIYISDASVIATTYVLYRIALAQGVGLKVFHHIPDTHVLHHLFSTIPHYHALEATRAIKSLL >Solyc08g007890.3.1 pep chromosome:SL3.0:8:2393782:2396949:-1 gene:Solyc08g007890.3 transcript:Solyc08g007890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLAELVEKHNDQIATFETWDTEKPYEQAVKIGVRWLARQIKFLI >Solyc04g025370.2.1 pep chromosome:SL3.0:4:23964490:23966224:-1 gene:Solyc04g025370.2 transcript:Solyc04g025370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFTVILQWIVFLIYYHYRRDVYDLHHAILGILYSVLVIAVITDIIKYAVGRLRPKIFYRCFPDRFEAFQPNGDVNCHGDPKVVKEGYKSFTSGLYACQTLGSFAAELCIVLLALLFAALVGISRIDDYWHHWTDLFTGSIIGWAPQNERTY >Solyc01g007020.3.1.1 pep chromosome:SL3.0:1:1576500:1577252:-1 gene:Solyc01g007020.3 transcript:Solyc01g007020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKDEALSILYQLKLSENGLKSLISIGNGEFIESLTRVMQHGSYESRAYAVMLMKNMFEVSTPTPLLSLKKEFFIQVVQVLKDEISQKAKKASLQVLVNVCPFGRNRVKAAEVGTIRVLVDLLLDSYEKRVCELMLILLDQLCQSAEGRAELLNHPGGLAIVSKKILRVSKVGSERAIKILHSISKFSSTPSVVQEMLSLGVVAKLCLVLQVDCGSKAKERAREILKFHAKAWRNSPCIPNYLLSSYPF >Solyc08g067767.1.1 pep chromosome:SL3.0:8:56840245:56843316:-1 gene:Solyc08g067767.1 transcript:Solyc08g067767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSSLSEAGLTGAKPSTTPIESNLRLTSVEYDQANGYTEDVILQDVTAYQRMVGKLLYATITRPDISYAVQVLSQFMHAPKRSHWDAAMRDMWLSLENYLFPGNQRNSRLSPEVQVRIPHDNPKRLLNLQQELHYKCITAKLRYTNSGFASVSKNWLCYCPMSCTLGLR >Solyc06g019165.1.1.1 pep chromosome:SL3.0:6:17678626:17679018:-1 gene:Solyc06g019165.1 transcript:Solyc06g019165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNINIHVNLNVKGNVNVNINITLNDNVNNNVNVKVNINVYMNVNVIIKISINVNFKINVNVNVNVNMNVNVNLKAYVNMKVKLNLNVNVNVSVNVNVHLYININKNVNIKENVDVNVNINVNRYMNVN >Solyc10g081990.1.1.1 pep chromosome:SL3.0:10:63028301:63028609:-1 gene:Solyc10g081990.1 transcript:Solyc10g081990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSVYVGNLHEGVNNRVLYDILIQAGYVIDLYIPYDMETNKHKGFAFVKYETKEIADYAVKLFSDIVILYEKTLKFAISRPMTTPNIPSRPSSNRRVYGK >Solyc07g055780.3.1 pep chromosome:SL3.0:7:63844457:63858170:1 gene:Solyc07g055780.3 transcript:Solyc07g055780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLLLFYILLSNYNVYGKLINDFNQLEINRCDLFKGNWVYDDDYPLYNSSICPFIEKQFDCLKNGRVDKDYLKYRWQPNECNLPSLNQWQSLTCMLHAADPQAKYISKRIGGTSIFTFPKYNTSYLMYRNAFLVDIKTENNGARVLELDSLSSATQWKEMDVLIFDSWHWWLHTGRKQPWDFVQDVNSTYKDAPRLTLYEKALNTWAKWVDTEVDTTKTKIFFQGISPDHDNNPGISGSKRCQGVTQPLKSTIGVHEEELVLEKVLKGMNKSVYLLNITNLSQYRADGHPSVFGHGGHRDLDCTHWCLAGVVDTWNLLLNRDYLKYRWQPHGCNLPRFNAKHFQHKLKGKKVMFVGDSLSVDQWQSLTCMLYAADPQAKYISKRNGSTSFFTFPKYNTSYLVSRNVFLVDVVKMENGTRVLQLDSLSAAAQWEEMDVLIFDTWHWWFHTGRKQPWGFVQDGNSTYKDANRVTLYEKALNSWAKWVDTKVDTTKTKIFFQGVSPDHDNCTGATRPSESTQGPHPGEIVLEKVLRGMNKSVHLLNVTKLSQYRVDGHPSVYGFGGHRNVDCTHWCIAGVADTWNMATIWIFNGTELVLKYKGKRIMFVGDSLGQNQWVSLACMLHVSLPQAKYSMDKIGKIYTFTLLEYNIWLLFLGNPLIVDTITQKGSRVLKIDSISSGNIWKQMDVLIFNTWHWWDRKGQKQTMELYKKGLNTWAKWADSNLNSTKTRIFFQGVSPDHEGCKGKTGPAQSPGIIHPGEIELEKVLKNISKLFIRLINITRLSQYRSDGHQSIYTNSMDCIHWCLPGVPDAWNQLLYHFLIS >Solyc11g010690.2.1 pep chromosome:SL3.0:11:3726915:3736326:1 gene:Solyc11g010690.2 transcript:Solyc11g010690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSNSDMKERMERQKGVNPKIEPFVPKKGYDPRELKSWAKRTGFVSTTFSGETERGGGHSRRDFDNGRDLNVSSNENVGFDLERGVNKTETVSPKIELDPILGRARNRGVEIEPISGSNNEGLRNGRGRGRGKGENLGRRTEMEPILRGHNEERKDARNENGNDGDSANGAVNGNGNGHIAQPATPVTEVPRKDDGNVDEEVGMGIYPNGEDPSYGGWHQSPRMKCGLRENPGVGTIRSSNNVPSENTRVPLMFYGLQHYLSLAGSLIFIPLITVPTMGGSDKDTADVVSTVLLLSGLTTILHSYFGTRLPLVQGSSFVYLAPALVIMNSEEYRNLADHYLGGISIFGYRVFRIYAVPVSVMIIWAYAFFLTAGGAYNFKGCSPDIPNSNILIDACQKHANTMRHCRTDVSNAMRTAAWVRIPYPFQWGIPTFRLRTSIIMVIVSLVASIDSIGSYHSAAIRINLKPPTPGIVSRGIGLEGFCSVLAGLWGTGTGATTLTENVHTINATKVANRRAVQLGAVLLILFSFVGKIGAILASIPQALAAAVLCFTWALIVALGLSTLQYTQNASSRNIIIVGVSLFFGLSVPAYFQQYAPETGLILPGYLIPYAAASNGPVHTGNSQFNFAMNALLSLNMVVTLLVAFVLDNTVPGSRQERGVYAWSKAEDIMTDPSSLLDYSLPSRVARCFHWAKCVGT >Solyc01g079210.3.1 pep chromosome:SL3.0:1:78157615:78165081:1 gene:Solyc01g079210.3 transcript:Solyc01g079210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKDILGLPKNGPLMSQEKKSRPQKESQRKPDGISREVYALTGGIAPLMPSIDINQLKKRALSESEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVIEYTDEEYEKFLSNPSWTKEETDQLFDLCKRFDLRFVIIADRFPSNRTIEELKDRYYSVSRAITIARAPSPADVAGHPLVKPYNASQEVERKRALSMVLSQTKHQERRDAEVLAAAKRISESRKAAKAAEETELPDVSDAAPEGAEKAGIDVISTSPNAQLPSGIAAPPVSETASTLASLRMLRVYLRTYGLEQMVQAASSSAGLRTIKRVEQTLQDLGVNLKPKVPTKLVCAEHLELRKEILTLLNLQKQVQYKESEGSSYREGSYSETPGTPPKRAQRATDQDRTFIPDSTSFGGERAGKKDQKRAWTIIRSTIFTSTVKTASQVKNFRWMNWKD >Solyc12g044770.1.1.1 pep chromosome:SL3.0:12:60983640:60983867:-1 gene:Solyc12g044770.1 transcript:Solyc12g044770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVTVTPKIESPQTRPFFVVIADMLFGSGGGFRGLGSEGVHTVVLVAVVNIVALVAVNTVALMMVNKMSTVAL >Solyc10g007250.2.1 pep chromosome:SL3.0:10:1640535:1658835:1 gene:Solyc10g007250.2 transcript:Solyc10g007250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSKKKSDSEKGSSSSADQMRFEEGDPRILKLGSPVSTLRSGMSSSNTTSTSGSSASGSGRNVSSSSSSGSNRIKIVPPGNICPSGNVITGMRCKPERTVLNDSKMIPGIYGYGSIMPGGAMQRTRCAEELNTQANEIYRKGNFAEALSLYDKAIAISPRDGRFHCNRAAALMGLKRIIEAVKECEEAIRLSPAYVENAREYLHCLDQKPDQATLEKLQAVEEHIGKCAVARRSEDWTTMLKKAVAATTSGADASPQLFACQAEAHLKLHQLKDAELWIYKARTYELSATECRSKIFGMLSEAYIFFVQAQIDSSLGKFDDACTAIERAARIDLQSAEVTGKLKNMRLVGKARTHGNEHFNAKRYAQACTAYGEGILLDSSNSVLYYNRANCWFKLGEWEKSLDDCTHALLIRPQYTKALFRKAASNIKLERWADAVRDYEILRQELPSNEEVAENLSHARAELRKSRREGNGKVELVSDLDKFRAAIASGRLSSIGSSGQSVSSSGTGNSGSGSSSSSSRVEIVPAGNICPSGKVITGMRSKPVRTVLSDNEMIPGMYGYGSIMPAGATRRTCGVSSSDTPESSLKINSEELKAKGNENYKKGNFLEALYFYDKAIAISPSDGRLRCNRAAALMGLKRMPEALKECEEAIRLAPAYVRAHQRLGSLLLSLGHVENARKCLFSLNQIPDEAELHKLETVENHINKCTEARRLGEWTSVLKEAEAATSRGAEASAQLFACQAEAHLKLHQLTDAELWMYKAKMYEASARAYKSKNFGMISEAYILFVQAQIENTLGKFDNACAAIERAAQVDLQSSEVIGLLKNMRLVGRARSLGNELFKSGRYIEACSAYGEGLSCDSLDPILYCNRAACWYKLKKWEKSLDDCNRALLIRPQHTKALLRKAASNIKLGRWADAVRDYEILWQELPSSEEVAENLSHARAELTKSHREGNGMVELVSGSDTPESNWKINSEELKSKDCIVIELRSLMGLNPIPEALKECEELAPRAHQRIGSLLVFLGFAENARKCLFSVDQTPDGAELDKLIMNLCRFDNVYAAIERDAQIDLQCIEACSAYGDGLSRDSLNSILYCDRSSLLELPHDREVADFLFHSRIESNKSRGEDVQNRTFVGKVLDLDKFRAAITLYGRLSCIANILISVISFLLYNSTQATSAILLKEASNEQCEQMSLVMDTLSIKYSSVDVEQSPAIAAAENIKTLPTFKMYKYDRLVMVSLVPNRESNI >Solyc10g038152.1.1 pep chromosome:SL3.0:10:20253545:20261890:1 gene:Solyc10g038152.1 transcript:Solyc10g038152.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKNTCPLVEFASLPESSPLPATANKGHLGQLMKAYLKVLSLWDTIKSEDDHLPLRSNPTIVQIKIYEDAKSRKPKAPACFYSALSDVIFTRILDCETPKEKLKEMFDGSDRVKTIKVLTLKRQFEMLRIKEGDIVKKYSAKVVEIVKNIRFNGGGEDDYKLTSKTLFVTKLIGKLQAKEQISSVRDEEVEEVAYQAQQKPYEGQ >Solyc09g014750.1.1.1 pep chromosome:SL3.0:9:6841113:6841418:1 gene:Solyc09g014750.1 transcript:Solyc09g014750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQEERSQLDARARQGETVVPGGTGGKSLEAQEHLAQGRSRGGQTRREQLGTEGYQELGQKGGQTRKEQIGKEGYKEMGRKGGLSNANTDKSQKGVNDV >Solyc10g084140.2.1 pep chromosome:SL3.0:10:63906655:63919573:-1 gene:Solyc10g084140.2 transcript:Solyc10g084140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:K4D3K0] METGTISRLLQPTKVPSSRFASFTSKSSICCTRTRLMPACHSSHGGREMNSDEREITVLSSELTLKRSSVGGGLSLHRPLHKRDVVGKTFCSVGLSTYPGSIVESSSQTAEEKIGVLLLNLGGPDTLHDVQPFLFNLFADPDIIRLPRLFLFLQRPLAQLISVLRASKSKEGYAAIGGGSPLRKITDEQASALKMVLETKEVPANVYIAMRYWHPFTEEAVHQIKRDGITKLVVLPLYPQYSISTTGSSVRALQNIFKEDSYLSRLPVAVIESWYQRQGYIKSMANLIEQELHNFTKPEEVMIFFSAHGVPVSYVENAGDPYRDQMEECIFLIMKELKAREINNDHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALNCTSSFITDLADAVIEALPSTVAMSTSTGTEEEVDNDPMQYFMKMLFGALLAFVFLFSPKMVSAFKNIL >Solyc10g045095.1.1 pep chromosome:SL3.0:10:30393801:30408227:1 gene:Solyc10g045095.1 transcript:Solyc10g045095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRNVSFRFQSVLRYLTFLDGSTFQIMNTSKIDELKKELCKSFSMKDLGHAKKILGIRITRLRDKRKIYLSQKKYIEHVLEHFNMKNAKPVSIPLAGHLKLSKKMCPTAREEKENMPKVPYYSIVESLTYAMVCTRPDIAHAVGVGGLYHGRQSCRSVLNYLQLKLSILRLLKPARR >Solyc08g014360.2.1 pep chromosome:SL3.0:8:4213434:4219618:-1 gene:Solyc08g014360.2 transcript:Solyc08g014360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSPSILKSLTSPTISPMAKSTPKLTQSALGWAAQDSSGKLTPFMFKRRENGLDDVTIKILYCGMCRTDVHFAKNDWGNTTYPIVPGYEIIGIVIKVGSNVSKFKVGERVGVGYVAATCLDCELCNSFQENYCDQMKPVYNSIHWDDTPTYGGYSTIMVADHRYVVHIPDSLPMDRAAPLMGAGLTVYTAMKNSNLFESPGKHMAVIGLGGVGHMAIKFGKAFGHHVTVISTSPSKEKDAKEKLDADGFILSTDLKQMQRNRRSLDFIIDTVSAKHSLGPYLELLKVNGTISIVGEPAQPIEVLATPLIYGKKVVRGSIIGSVKELQEMMNFCGKHNILCEIELVTIDKINEAFDRLSKNDVKFRFVLNVAAHQYSSRL >Solyc09g009810.1.1.1 pep chromosome:SL3.0:9:3297135:3297710:-1 gene:Solyc09g009810.1 transcript:Solyc09g009810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIVLQEKVVKVMKTDGKILEYKAPIKVHQILSQFSNHVISNSHQVIENQHLQPNDELLGGQIYYLLPSLPKPKSAKKKVKFANDQVKKKVKFANDDQLVNDEKVKCANDHQVELLEANHDHHHHQKKEVIRVKIVISKKELQELLSSSEGGIIKVDDMIKSTTIVEESTIANGKGWKPILDIIAEINT >Solyc01g017735.1.1 pep chromosome:SL3.0:1:24938778:24945469:1 gene:Solyc01g017735.1 transcript:Solyc01g017735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNQNWKRKKKGLVTTNVKENTFVDFVIVPSTGVENLYTIKLIKNNVGTMEETQNLTRKKRGLVTTKVIENTFVDFVIVPSTGVENLYTIKLKKNEDEATDPYGLNCKYNDMLHGWRAERRQRQNGKCETFYFHERKHTMCRSTGDVRRHIFHGIENLKVEVQQETNAVIKSIVGVGEKKSKKRERDSSISEGQSATKKHTGTSETKNFLNDAWNNLMSWDDIHKK >Solyc09g057845.1.1 pep chromosome:SL3.0:9:51327246:51328211:1 gene:Solyc09g057845.1 transcript:Solyc09g057845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKTTRRILTNRLAQSIEGGVMVKPRRSVAVYKSKRPKSCYSFDLKSAIDRWTLINSSLGLKTFLVSKVAFLTGEPTLFGYYVLLGDDILITAKKVANQYSRLLDRLGVTISFAK >Solyc01g017083.1.1 pep chromosome:SL3.0:1:23791405:23791817:1 gene:Solyc01g017083.1 transcript:Solyc01g017083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLFNDKNLTGIKIKHRYRDRKKRDFRCLWITRINAVIRERGVSYIYSRLINDLYKRQFLLYSKRLAQIAISNRNCLYMISNEII >Solyc07g025380.3.1 pep chromosome:SL3.0:7:27590480:27596236:-1 gene:Solyc07g025380.3 transcript:Solyc07g025380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDDDYQSFYMPEEVRRPRFKRLKKASKNQHPHFFDFPKVDFSKVEALEQDEMKVGSVSEEKGGDSTEDSAQLLSREIDIRFSDEKHSEDFDDKHVQHCKEVKRSLEFGQDHEQSHGKQHREIGEDLVSDDVSKEIHEEIGGIDQVNIEKLGLQLDDKKKMKKKKKRSKGDFDRELKSKELASNKRREEKENKAFLQQLHVDTQRLLRESKDATFKPVPVVHKPISSVLEKIRKRKLEITKKTTMLISNSSIHKFSAAREVTMEVDAKSAYSEEPRVDKLEIEMDGEVDAHGTETGRTTGASKIDGISVPSIKRSSEIVLDEMALDERPNAVFRAPVDDTQDLFDDSEPTGSKDEILDDLASSPSEEVMAPSLLALNLKFDSVPPDERSSSDEEDNDKENISPYIIGGSGSNSPKGDPVKAFVDDEAEEEDDSDNDLIRFGDDEDDDDIDDSAERHDIIATDYKEKVIDNEKRNELHQKWLEQQDAAGTENLLQRLKCGVQQKETMSVDDEPECEECEEEVNGIADMDAVPKSSTRLSSKKAKQIIFQMFVDKDDIFLSDEDEETEKRVVKQRILYHPEVTTVASPIEDESSSEIFGLIKKLNTVPDKRKPKASSFFDTVLGDQKKKSSLKSSFLGRVTNHLPSSHKQSSTVVRSFIFGRDDSNSRSSVSMSEDSSDMVVKENLRNRNSTTKFGSFQAKSISQGKNAAAGTTSAGAPLFEILKRSSAPSNVCSRDVLLDLPKPLLADLRVSKRSKAEEKI >Solyc07g049140.3.1 pep chromosome:SL3.0:7:59495183:59496455:-1 gene:Solyc07g049140.3 transcript:Solyc07g049140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:2A11 description:Fruit-specific protein [Source:UniProtKB/Swiss-Prot;Acc:P14903] MAAKNSEMKFAIFFVVLLTTTLVDMSGISKMQVMALRDIPPQETLLKMKLLPTNILGLCNEPCSSNSDCIGITLCQFCKEKTDQYGLTYRTCNLLP >Solyc03g096980.1.1.1 pep chromosome:SL3.0:3:60779639:60781165:-1 gene:Solyc03g096980.1 transcript:Solyc03g096980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENWIFILLFSLCISFLLKSFVFFISANSKSKKKLPPGPYIFPVIGSLLWSNAKLEPKLRVLKAKYGPLITLNIGSRPTIYIGSHSLAYQALVRQGSIFSDRPTAESSNQCVISSAPYGSIWRLLRRNLTSEILHHSRFKSYSKARSWVLGILLQQIRHAQVDSVKLIDHFQYAMFCLLVLMCFGDKLEETQIKQIQNMQNNLVLGFRRYRDVLKFFPGVGKIMFRNRWKKLIEVQREQRNILIPLIEARRRAKEEKTEPADELVVAYVDTLLNLELPEEKRNLNVGEIVALCSEFLSAGTDTTSTALQWIMSNLVKSPSIQEKLYRQIASVMGEKQSKLSTDEEVVKEEDLQKIPYLKAVILEGVRRNPPGHFVLPHTVTEEVELNGYVIPKNATINFMVGEMGLDQNVWEDPMEFRPERFLVEGSDKVVDFDITGSKEIKMMPFGAGRRICPAFALAMLHLEYFVANLIWQFQWNPVEGDDDVDLSEKQEFASPLRARISPRVKSL >Solyc11g071390.1.1.1 pep chromosome:SL3.0:11:55176357:55177622:1 gene:Solyc11g071390.1 transcript:Solyc11g071390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIREVTEEFYGSLSSKKPFNCLEELEFSDMPEWKQWHLLGSGEFPTLEKLDIINCPELSLETRTPVQLSSLKSFQVIRSPKVGFVYEGMKQIEELYIGNCNSVTSFPFSILPTTLKRLEISGCPKLKLDPPFVEMFLEELSVVECDCIDDISAELLPTARELSIRNCHNLTRFSIPTATETLFIQNCENVEKLSGGGTQMSSLTIWNCKKLKWLPLPQLLPFLKHLYVDNCPEIESFPQGGLQRLVIWNCKTLVSRREEWTLPCLTELWISHDEEIVGGENWELPTSIQILAISNLKTLSSQHLKSLTSLRHLCVKGNLPQIQSMLEQGQFSHLTSLQCLRIRDFRNLQSLAESALPSSLSHLDISHCPNLQSLGMPSSLSELSISNCPLLTPLLEFDKGEYWPQIAHISTIQIDEECL >Solyc04g081920.3.1 pep chromosome:SL3.0:4:65857927:65866390:1 gene:Solyc04g081920.3 transcript:Solyc04g081920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCFTKECCCPHYRDVPISSSPEYNPIPVSSQKYPQNSIPEPTPAPAPASTFMPNQIGPILGKPYVDIKTLYDLDKELGRGQFGITYLCSDKSSGLKYACKSISRRKLVTQKDIEDVRREVTILQYLSGQPNIVEFKGAYEDKNNLHLVMELCSGGELFDRITAKGNYSEKEAARIGRQIVNVVHVCHFMGVMHRDLKPENFLMVSKDDDSPLKATDFGLSVFIEEGKIYKDIVGSAYYVAPEVLKRKYGKEIDVWSAGVILYILLSGFPPFWAETEKGIFEEIVKGQLDFESSPWPSISTSAKDLVRKMLTMDPKKRITADEALQHPWLMKDGEASDKPIDSAVLSRLKQFRAMNKMKKLALKVIAENLSEDEIKGLKQMFNNMDTDGSGTITYEELKTGLSRLGSKLTESEIKQLMDAADVDNSGTIDYIEFITATMHRHKLEREENLYKAFQFFDKDSSGFITRDELRHAMEEYGMGDEATIDEILDDVDTNKDGLINYDEFVAMMRRGTVDENNANPFHPHGTLAMVALNTTSFSLLPQNPKPISLSRVSSFICRAFTKFPGDSDSDDSPDEIPGRRSNYSGVKLEETVDSKTGKLRLDSWVSSRIDGVSRARVQSSIRSGLVSVNGRVTDKVSHMVKGGDKVDCTIADLKPLRAEPEDIPLDIVYEDEHVLVVNKPAHMVVHPAPGNATGTLVSGILHHCSLPTVSFPGEEVLSDGEDASDDELNIFSPNRSPNYDDSSVMCESSVRPGIVHRLDKGTSGLLVVAKNEHSLADLAEQFKKHTIKRVYVSITCGVPASVAGRVDIPIGRDSNNRIRMAAIPGTPKSGKSRHAVSRCWKLFHALFSLQCVNQYLNVKFHSSSSTTHTPRTITPNKCVSPYGKGLLASLQSSNIFRYKVIEKLAGGGSALVEWRLETGRTHQIRAHAKHLGIPLMGDEVYGGTKNMALSLLQPKTPPMFHGELSQLVSQIDRPCLHALTLGFRHPFTGENIHFTRLPPPDFAEILSELRAIKTEKVL >Solyc03g112800.2.1 pep chromosome:SL3.0:3:64609526:64610215:1 gene:Solyc03g112800.2 transcript:Solyc03g112800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BKD3] MASSKSIINTILALRIFTLLFCAASLVLIILTMLRGSIKSEFRGIKSYRYVLGAAAGGILYSLIQLPFAMYHAVKEKRLIRGKFLPMFDFYGDKVIAFLLVWDLE >Solyc12g038990.1.1 pep chromosome:SL3.0:12:52690166:52690622:-1 gene:Solyc12g038990.1 transcript:Solyc12g038990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRPGKPSLSAGASCALPCSPIRWMLSDYLSILTIWPLLLPQSHLVWSSTIVFEQRSLHSFTLVHPAMPWDFCSSNVKGGCPFVKAQESAGHLSGGIRYPHPIKVAFYCSLNIKGGFFYVGC >Solyc01g111350.3.1 pep chromosome:SL3.0:1:97535337:97539668:-1 gene:Solyc01g111350.3 transcript:Solyc01g111350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVFSYNSPVGKWLGFVAAVWVQAISGNNYTFSNYSDALKSLMALTQLQLNNLSVAKDVGKAFGILAGLASDRLSTPVILFIGGIEGFIGYGVQWLVVSGTIKPLPYWVMCIFLCMGGNSTTWMNTAVLVTCIRNFRKNRGPVTGILKGYVGLSTAIFTDICSALFSSDPATFLLILAVVPFSVCLAAIVFLREIPPSSTSAEEKEEVKYFGVINIIAVIIAVYLLVFDISGTHGKVFSQFFAAILLVLIASPLFIPIHLMVKNFIRENSENFDVEGNNNVAEPLLCVEETVTEEVEKKETVVVEENKVPVAVEKRQPVIGEDHTIFEAIRTLDFWILFVSFLCGVGTGLTVMNNLGQMGLALGYVDVSIFVSLTSIWGFFGRIISGSVSEYFIKKAAVPRPIWNAASQILMAVGYICLAMAMPGSLYIGSIVVGICYGVRLAISVPAASELFGLKYYGLIYNVLILNLPLGSFLFSGLLAGLLYDAQATTTADGGNTCVGAHCYRLVFIVMAIACIIGFGLDILLSIRTRSLYGKIYASKKAKKTSTSILS >Solyc12g044840.2.1 pep chromosome:SL3.0:12:61130333:61137175:1 gene:Solyc12g044840.2 transcript:Solyc12g044840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEVKKGKQEGKSSCDVAEKVMVAVKASNEIPKNALVWALTHVVQPGDYITLLVVVPSQNSGRKIWGFPMFAGDCANGPRKSHSSEQKSDLTYSYSQMILQLQDVYDPTKINLKIKIVSGSPHGAVAAEAKKSQANWVVLDKHLKHEKKCCMQELHCNLVVMRRSQPKVLRLNLVGSPKKEPDVSGSLSSEKAQSCGPELEKNYSLASSRGPLVTPTSSPEIFSVTEAGTSSVSSSDPGTSPFFVTEVNRDLHKAELLALKEDQDVDDSSSESESENLSCSSSRFQPWVVDSVSSHCQLSQHQQISSMRSLDRPQTSTTNSLSEKFTKLDKEGDSGSLSYRDDMDYNRNMRGSGTLTRHAPLGPPPLCSICQHKAPVFGKPPRWFTFTELELATGGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRNREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTCVETRVLGTFGYLAPEYTQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWALPLLEECAIVELIDARLGSSYKEHEVYCMVHAASLCIRQDPQTRPRMSQVLRILEGDLMMDSGKMSTTQPRYDVGSQSGRILYERYSGSIRKDELEGLSPKLSFDKRSPSIIRDRDSSHRTAFSDHL >Solyc08g061907.1.1 pep chromosome:SL3.0:8:49871121:49872802:-1 gene:Solyc08g061907.1 transcript:Solyc08g061907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNSPTLDEATPVPTIGSGGGSSTDILKLGSDSSHPFFLHSSDAPGMMLVHSPFTDFKFTKSKKFGTTARSNAALSTEEGDSQSNNSGDKAMTQDQYLNLCQLLQHVKIGSQGELVTSDNVIANCAGPFNEEATGSW >Solyc08g076715.1.1 pep chromosome:SL3.0:8:60770498:60771472:-1 gene:Solyc08g076715.1 transcript:Solyc08g076715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIPVVVEYQGWLRINIKSWLSPRFGLGRVSSQNRDRNRDVVESRVPVQECGFGLCLGSMLGEVCIETGVRVRFLSVCLDYI >Solyc11g044250.2.1 pep chromosome:SL3.0:11:33447641:33466604:1 gene:Solyc11g044250.2 transcript:Solyc11g044250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIAVVPLLVILPVLETQNLIEESIWPMLAQESLKALGGLGLLSFGGKYIWRRVFEVVAETRSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDMQLLFREWPNVLSLLAGLIVIKTLIITAIGPRVGLSLQESVRIGFLLSQGGEFGFVVFSLANRLGVLPLELNKLLIIVVVLSMALTPLLNEIGRRASEFVGEKFDNEDRTAEMENFDLSEPVVILGFGQMGQVLANLLSTPLASSDGEELQYVAFDLDPSVVKASTKLGFPVIYGDGSRPAVLQSAGISSPKAVMVMYRGKERTTEAVQRIRLAFPAERYQYMHELRM >Solyc05g050408.1.1 pep chromosome:SL3.0:5:61424129:61425257:1 gene:Solyc05g050408.1 transcript:Solyc05g050408.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPYFRTPGRALFCSSVALSFLALERAGFAVSIFSSFIFLVLSTGDRFLDEDLCRHGKKFGGWLQCLWVDMWDVTDGNVTKKYERGRIWIRKVGSWWKGPII >Solyc04g081070.3.1 pep chromosome:SL3.0:4:65201744:65207267:-1 gene:Solyc04g081070.3 transcript:Solyc04g081070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI044 [Source:UniProtKB/TrEMBL;Acc:G8Z271] MEALTHLSFGICTARLSPPYQLAGGVGKKPPRLNAVTGGASSVTGGTSSVPTNFSASKWADRLLADFQFLPSTTTTSDSSDFQNSTSTTSVTTIPPPVAPSDRHISMPIDFYRVLGAEAHFLGDGIRRCYDARITKPPQYGYSQEALIGRRQILQAACETLADSTSRREYNQGLAQHEFDTILTPVPWDKVPGALCVLQEAGETGVVLQIGESLLKERLPKSFKQDVVLAMALAYVDHSRDAMALSPPDFVQGCELLERALKLLQEEGASNLALDLQSQIDETLEEINPRYVLELLAFPLGDEYRMKRVEALQGVRNILWAVGGGGAAAISGGFTREDFMNEAFLRMTAAEQVDLFVATPSNIPAESFEVYGVALALVAQAFVGKKPHLIQDADNLFQQLQQTKVTAYGSSVSVYTVRENREIDFALERGLCSLLVGEVDGCRSWLGLDSEDSPYRDPSIVTFVAEHSKDDNENDLLPGLCKLLETWLMEVVFPRFRETEDVTFKLGDYYDDPTVLRYLERLEGGGASPLAAAAAIARIGAEATAVLDSVKASAIQALQKVFPAGDGEGSVRRYGDNEMNEFDIAKPFEDLEELRDQNNFITTVGDPERKSSNYQEQDVITDRIKDASLKIMCAGVAVGFFTLVGLKLSSFRHGSSVQHCASATGSAIASDVINVDTSASPVENPLEVPRMDARLAESIVRKWQNIKSQSLGTDHCLNRLSEVLDGQMLKIWTDRAREIAQHGWFWEYKLLNLAIDSVTVSADGRRATVEATLEESASLTDVAHPEHNDSYSTTYTTRYDMSWANSGWKIVEGAVLKSR >Solyc06g007910.3.1 pep chromosome:SL3.0:6:1794510:1795843:1 gene:Solyc06g007910.3 transcript:Solyc06g007910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIILIFLVLLITVSVISAKPLIGSTTCPVPRSKCGQACIKRCSHKGHKKRCLFYCNHCCGWCQCVPPGYVGQNKGCCSCYNNWKTQIGGPKCP >Solyc11g017210.2.1 pep chromosome:SL3.0:11:8034036:8036532:-1 gene:Solyc11g017210.2 transcript:Solyc11g017210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFKCIKEKEGRKQITLCKPTRMSSTPFSKGNTSSSRCYFIAISFHCFHGASVTIICHIVLYTCHKLVQTKWISLKFHRSISRISPLRSSSKCIVWLRVSDLLLLLLSASSAANPESIPFSWLNNLWSYIVASPQPLDVEAIPRATFSLISRSPSLSLSKFWEIIIDLYFINSSAPISGKTLIKWDCVTFRVLPVRYTRRNLPILLILHRRGGECITGTGGEPDALVLVMILDKLGNKIVVVTIYNFLRVFGLSRNRIVPDVTETFEISNLEEQNAEGIEDSLEDIESNMNAVVSFVPQLGEQELREPYIGMEFQSLDTGFKFYLDYAHRNGFSVRKNRISRSRKDKFIIGQEFVCSKEGFRLKKCNQACNCD >Solyc10g083460.1.1.1 pep chromosome:SL3.0:10:63377213:63377692:1 gene:Solyc10g083460.1 transcript:Solyc10g083460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHGFEAPEGHILCANNCGFFGSPTTQNFCSKCYNEVYIKGGQQKPIDSLFPPSQLPIPSTSSILVLQESTAAEEEPEVVTAAVTVAVQPISAQPNRCSACRKKVGLTGFKCRCGTTFCGTHRYPEIHGCSFDFKSIGREAIAKANPVVKAEKLGKI >Solyc01g112210.3.1 pep chromosome:SL3.0:1:98173798:98179399:-1 gene:Solyc01g112210.3 transcript:Solyc01g112210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B482] MEARFRGSFISPTLLIFFFLVAPSRVELSNVSSLHGEPKGLFPSYDCPQCVNRKEHGRNSAARPDKKNIDILVTYTDVNGAVRTRSINSKDLSTSWVWRYPSDEDGDHKKSSKEVEGKSQKPDKLEGTIDHSYGNANQYGIVMEHKLASELHPIKLKRQRLRNDIRERRTAELIQQDKEIENQIQDAAIERAKELDTTSKGIYNIWRKEYENPNSDSTLKLMRDQIIMARAYATIAKVKNEDSLYDSLINHSRESQLAIGEATADSELQPSALDRAKDMGHVLAAAKDQLYDCITLARKLRVMLQSAETGLNMLKKRSAFLIQLAAKTVPRPLHCLPLLLTTDFFIRRYEEREFPNKEKLEDPSLFHYAIFSDNVLATSVVVNSTILHAKEPEKHVFHIVTDKLNFPAMKMWFLVNPPAAATIHVENVDDFTWLNSTYCPVLRQLESARMIEYYFKAHQSNSLTSGTDNLKYRNPKYLSMLNHLRFYLPEVYPKLEKILFLDDDIVVQKDLTPLWSVNLQGMVNGAVETCKESFHRFDKYLNFSNPKISENFDPNACGWAFGMNIFDLKEWRRRNITGIYHYWQERNEDRTLWKLGTLPPGLITFYNLTHPLDRSWHVLGLGYDPALNKTEIQNGAVVHYNGNYKPWLDLAIAKYKAYWSRYVMFNNSYLQLCNIKE >Solyc06g007970.3.1 pep chromosome:SL3.0:6:1820822:1824193:-1 gene:Solyc06g007970.3 transcript:Solyc06g007970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEEEQFHVFYKVPSGDGPYVRAKHAQLIMKDPEGSILWFMKAINEGDRVDSALKDMAVVMKQLDRSEEAIVAIKSFRYLCSKQAQESLDNVLLDLFKKCGKVEEQIVLLKQKLRQIYEGKLFNGKPIKIARSHGKKIQVTITQETARVLGNLGWAYMQKGSFMAAEVVYKKAQMIYADSNKACNLAQCLIKQARYDEARYILEDVWRGNYLGSDDTKTKNRVEELLLELDLKQPPPFLQNIPGLDLDDDFVNGLDHLINEWAQPKSRRLPIFEEISTFRDQLAC >Solyc04g055250.3.1 pep chromosome:SL3.0:4:53821173:53823834:-1 gene:Solyc04g055250.3 transcript:Solyc04g055250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSSQEQSQDSLNFVKLPDEGTKGPLCESHLDMLNLKTQISMDALSNGGNHANLKNDSLDAYGSYCLAIDIDKRKFDAPKSTEEMNGNVKMDDYVSVSASYEFNDFNIIMWRMLILEGSYEYVSERNFITDGRKVHATDDE >Solyc10g019090.1.1 pep chromosome:SL3.0:10:11609814:11610117:-1 gene:Solyc10g019090.1 transcript:Solyc10g019090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKTFQSTRTAPTGPSPIHHGGTSNSGSYNFCTI >Solyc08g082260.2.1 pep chromosome:SL3.0:8:65220174:65222133:-1 gene:Solyc08g082260.2 transcript:Solyc08g082260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDPGNNKPRDVFHKRILKSYHKRQQLQQRIMTSTNSSDENDAISAYRDQDKDYNPKKRFCLRENEPIDKSSSNSTTTNYTIELRSMGSSSTVVEIPGDNIELKYGCISMIGRRRVMEDAIKASIKMANNEFIFFGAYDGHGGSRVSHACRDRLDYVLEEELLNAKRKLMNNDDDGSDNSNYVDWEKVMVKCFSKIDNEVMREGNWIAGYEEGRYEDVKKTVGSTAVVVMVGKEEIVVANCGDCRAILCSRGVAIPLSNDHKPDRPDEKKRVEAAGGKILNWNGSRVQGILATSRSIGDHCLKPFVIPEPEVTVYKRNELDEFIVIATDGLWDVVSNEVTCDVARKCLRQIRRKFPERDSAADAAALLAELAIARGSKDNISVIVVELDKMS >Solyc01g010940.3.1 pep chromosome:SL3.0:1:6437379:6442989:1 gene:Solyc01g010940.3 transcript:Solyc01g010940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIWRSKTLFLLVILGFFLTQIESLRFELESGHTKCIAEEIKGHSMTVGKYHIVNTNEGYPLPDTHKVTVRVTSAQGNSHTHHYAENVHEGHFSFETAEGADYHTCFTAAVHKPPVKLTIDFDWKSGVAAKDWTNVAKKASVEAMELELKHMLEIVQGVHDEMFYLREREEEMQELNRDTSYKLAWMTGLSILICLSVAGLQMWHLKTFFEKKKLI >Solyc07g008367.1.1 pep chromosome:SL3.0:7:3160800:3161269:1 gene:Solyc07g008367.1 transcript:Solyc07g008367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSVSVLLFTLLILFIVDAAGKCCMDHKNISPCIAGKDDDPENDGKCWKYCIDSCERGGVCKKVGQKTLCHCTCS >Solyc04g058110.3.1 pep chromosome:SL3.0:4:55165166:55168818:1 gene:Solyc04g058110.3 transcript:Solyc04g058110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BT03] MSNSSSRRNLQYFVHIILVILRFVDTGLCSEVDNITSIQSLRDPGILSSPGGVFKLGFFSPQNSSNRYVGIWYNFSVTTVIWVANRDKPLRDSSGVVKISRDGNIVITNGEEEILWSSNVSTSQVIIPIGLLQDSGNFVLVDHRDMSTIWQSFEHPSDSTIPRMRISENTRTGEMVEATSWRSPSDPNIGDFSLRMNSGVIPQVYIWKGRRPYWRTGQWNGQIFIGVQNMYSVVSDGFNVVDDREGTVYFTGPTRDNFLRILVLDWRGNLVQSYWDVNETKWKIIWSAPNNDCEVYGTCGPFGSCNHLESPVCSCLKGFEPKHMEEWEKGNWTSGCVRRSALQCEVKNNTTDSSKEDGFLKMELMKLPDFAERSSTTEDVCRSRCLGNCSCIGYAFDSSIGCMSWSIMIDIQQFQSSGKDLYIHVAHSELVFSADHRKEYIKKIVIPVIVGSLTLCVCLFLCYTMMVRRRGVKREEVLLGNKSPVNMEELPVFSLDTLVNATSQFNEDNKLGQGGFGPVYKGILEDGKEIAVKRLSKASKQGLEEFMNEVLVISKVQHRNLVRLCGCCVDEEEKMLIYEYMPKKSLDVFLFDEGHRDILDWTKRSIIIEGVGRGLLYLHRDSRLKIIHRDLKPSNILLDNNFNPKISDFGMARIFGSDQDQADTMRVVGTYGYMAPEYAMEGRFSEKSDVFSFGVLVLEIISGRKSTSSWTETSSLSLMGYAWKLWKEQDLSTFIDPFILNTSSEMEIRKCIQIGLLCVQEFAEDRPNISSVLVMLTSETTSLPAPSQPAFTERRHFRMCNENRETKFTLNKMSITNLTGR >Solyc06g005560.3.1 pep chromosome:SL3.0:6:586970:595641:-1 gene:Solyc06g005560.3 transcript:Solyc06g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKKLKMEILKFFLIIILVILVGVEGRIPGVYSGGSWQNAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTALFNNGLSCGACFEIKCDNQPQWCHPGSPSILITATNFCPPNYALPNDNGGWCNPPRTHFDLAMPMFLKIAEYRAGIVPVVYRRVPCRKQGGIRFTINGFSYFNLILVTNVAGAGDITKVMVKGTRTNWITLSRNWGQNWQTNSVLVGQSLSFRVTASDKRKSTSWNIAPSHWQFGQTFVGKNFRV >Solyc12g042510.1.1 pep chromosome:SL3.0:12:58780693:58785230:-1 gene:Solyc12g042510.1 transcript:Solyc12g042510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTIHSLPPKDQTLSTPTITKGVTMNNIPQIPSYMAQHPLIFATEPVHPLHQSRSWLETALQNHAAIFSMDINGNHTPKPQLSSTTNNRGNENSNATSCQPHVDDKYARPPCSPPCSRLRANNTNTMESTSPPIPIPKHAQPSANSILHPSGNDQCEPSSLPLAAHASPTRASSVCSYDDTRNVTYELYSSPKNEDKKYIHNFPLALKACSFDLRPALNKDLAKHVVIMAPTVRTSQIPSEEDGEILNPQITHNPMTQQTSFMVWNTVGVNNDNFKRNFKELIHNHNPCFVALLETKMDDHFNLKNEFNFDDYLEVPAQGRAGGMVLMWLTNMVNVALKRMDDQELHATIQTISCSLLEQTITPSTLWLNELLNLILMTLFSKDHRKEGTGGVIRDHKGHSVMGFYHSINAQNHTRAKLEALLDNLKIAAE >Solyc12g035260.1.1.1 pep chromosome:SL3.0:12:39608029:39608259:-1 gene:Solyc12g035260.1 transcript:Solyc12g035260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVISCYDWRSSFQLCMFSFVNNYHLIGDIIAVREDGYRFISYIWSFLCIIFCYLRLDSTCPLPNRIGLLIPNLYS >Solyc08g041920.1.1.1 pep chromosome:SL3.0:8:25149240:25149401:1 gene:Solyc08g041920.1 transcript:Solyc08g041920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADGSCRRLLVAGCFCWSYRLDSYPRGGSLLGTAVLVSSYFRLLELTARSSD >Solyc01g047593.1.1.1 pep chromosome:SL3.0:1:44889887:44890108:-1 gene:Solyc01g047593.1 transcript:Solyc01g047593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLESQILYRLGKMGASVNIYQKLPKSTIDSLEINLVAGLVSAGRSSEVQGTLDLLRVKQLAVLNWLTILPAL >Solyc04g050905.1.1 pep chromosome:SL3.0:4:48906058:48906790:1 gene:Solyc04g050905.1 transcript:Solyc04g050905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPNAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVSTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKG >Solyc03g025933.1.1 pep chromosome:SL3.0:3:3388638:3389193:1 gene:Solyc03g025933.1 transcript:Solyc03g025933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKRRCSCKRWEDLDINILVKILLSLDIFQLMYPLLWKTLDLSVLQSNFVIISTKPYVFCGHSSREKLETLIFHHNFYVDDNQLTYTANRYFPDKLLSFYNTI >Solyc04g082210.3.1 pep chromosome:SL3.0:4:66049293:66052824:1 gene:Solyc04g082210.3 transcript:Solyc04g082210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRSNLAEQLREYQIRSKHDWASVSFFSSTSNLTSTASRADVVMFVICELVILALLVFSVVSLYFTHLKLAFILVSTSLLLLVCVTIAKQVTEARKKKRRMLLPLSM >Solyc06g061190.1.1.1 pep chromosome:SL3.0:6:39309883:39310989:-1 gene:Solyc06g061190.1 transcript:Solyc06g061190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNRSYNRNADHLGVNKFGKNINKSPIHQPNFNNANSARQQPQPQVYNINKNDFRSVVQQLTGSPSQEPPSKPPQNPSKPPSMRLQKIRPPPLTPINRPQIPMHPPAQILAPARPAGGVPYQNNIARPPPHYGQPSPPMLPPTPGDVWTNTTESPISVYMRYLQNSIIDSGPKQTQFQGPGHFQAHPASSGLLPNPSMPPFPSPRMNGPPPFPLSRMNGPPPPLLSPRMNGPPPLPFARLNGPPPRLLSPRMNGPPLLPSPRMNGPPPPLPSPGINGPPPLPSPTSQYLLPSPTGFLNLLSPRSPYPLLSPGMQHPPPLTPNFFFSPMAQPGGFGTGPQFPPSPGYGFPLSPSGFFAMSSPRWRGQ >Solyc02g094490.3.1 pep chromosome:SL3.0:2:55640372:55642544:1 gene:Solyc02g094490.3 transcript:Solyc02g094490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVDFRCLDEGFGGKTYKRKRAEKEQGNGIEAMEVEEIPRKRQAVPSEEDPNKPVLGRPTYDGVIAGRVSGRNWKQPRKHRSSAAKVSVKGKSLEQRMKEKEIKKAYKERINELKEVIRHNKVEKRKQREEREKKKQENILKSGTKVQKITNPKTLKKIAKSKQRKLLKDSSKPQIHSEKNKSNPNNKIRSNNEEKEHNRTLPFCVTRIDSETIDVNNVAHGKC >Solyc12g036520.2.1 pep chromosome:SL3.0:12:47167677:47168911:1 gene:Solyc12g036520.2 transcript:Solyc12g036520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPTFFNKHQVVFFRLKKQDNDISSDKTEQNKNRVSFSKFPFTIGDIKKFIPPHCFQRSLVRSFSYLVQDLIPVSIFYYIATIYFHLLTSPCCYLAWPIYSIELGHQTYHRHHSNTSSLEYDEVYVPRIKSQVTWLTKYLNKNPLGRVSGLATTLNLGWPLYLNFNASGRPYPCFASHYHPHGPSYYDRDRLQIYISNGGVIATTYVLYHIALAQGLTWLVCIYGVPLQIMNIFIVLITLLKNTYSSLPHYDSSERDWLRGALATIDRDFCVLNKVFHHIPDAHVLHHLFLKIPHYHSPEANIAIKTLLGEYYQFNSTPFYKAFCRDYKECIYVEKDESSKESGIFWYKNEMN >Solyc07g042550.3.1 pep chromosome:SL3.0:7:56098858:56104538:-1 gene:Solyc07g042550.3 transcript:Solyc07g042550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:E0Z1D0] MAQRVLTRVHSLRERLDATLDAHRNEILLFLSRIESHGKGILKPHQLLAEFESIQKEDKDKLNDHAFEEVLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVNALSVEELTVPEFLQFKEELVNGTSSDNFVLELDFEPFTASFPKPTLTKSIGNGVEFLNRHLSAKMFHDKESMTPLLEFLRVHHYNGKSMMLNDRIQNLYTLQKVLRKAEEYLTTLSPETSYSSFEHKFQEIGLERGWGDTAERVLEMICMLLDLLEAPDSCTLEKFLSRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDIKPRILIVTRLLPDAVGTTCGQRLEKVFGTEHSHILRVPFRTEKGIVRKWISRFEVWPYMETFIEDVGKEITAELQAKPDLIIGNYSEGNLAASLLAHKLGVTQCTIAHALEKTKYPDSDIYLNKFDEKYHFSAQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHMAFTMPGLYRVVHGIDVFDPKFNIVSPGADVNLYFPYSEKEKRLTTFHPEIEDLLFSDVENEEHLCVLKDRNKPIIFTMARLDRVKNLTGLVEWYAKNPRLRELVNLVVVGGDRRKESKDLEEQAEMKKMYELIKTHNLNGQFRWISSQMNRVRNGELYRYIADTRGAFVQPAFYEAFGLTVVEAMSCGLPTFATNQGGPAEIIVHGKSGFQIDPYHGEQAADLLAEFFEKCKVDPSHWEAISKGGLKRIQEKYTWQIYSDRLLTLAAVYGFWKHVSKLDRLEIRRYLEMFYALKFRKLAELVPLAVE >Solyc12g099570.2.1 pep chromosome:SL3.0:12:67656897:67659013:1 gene:Solyc12g099570.2 transcript:Solyc12g099570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISTSQFSPFLGFPVSSLLESELQQIALSIIRILNENGWA >Solyc01g105897.1.1 pep chromosome:SL3.0:1:93834415:93844213:1 gene:Solyc01g105897.1 transcript:Solyc01g105897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLGRLNYISRFIAQSTVICEPIFKLLKKDAAVKWTSECQQAFDKIKDYLSNPPVLVPPESALGEQQHLLRPAADREGHHCWQKLPLAKTTRPVALHSPLRMATLEQRIWPAAARRWRNSVWLIISCLYTTLNSTVYVAVYVDDIVLKGTDVDEITDLKVYLHNKFKIKDLGLLHYFLGMEVLHTAQGIIISQRKFVLDLLKEYDCLNMTSLSSPLDPNTKLRAKEGSALNDSTYYRKLVGKLNFLTNTRLDIAFSVQHLSQFMQDPREPHLQVAFHLQTGLHVQIPEDL >Solyc07g005050.3.1 pep chromosome:SL3.0:7:101122:103597:-1 gene:Solyc07g005050.3 transcript:Solyc07g005050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKSFMIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Solyc10g047060.2.1 pep chromosome:SL3.0:10:39245567:39248244:1 gene:Solyc10g047060.2 transcript:Solyc10g047060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNNNNNNNNNNNNHVKLPPGFWFCPSDEELIVHFLHRKISLLPFHPDVIPDLHLHSYDPWDLDGKAMSGGNKWYFYSRRTHETTRITSNGYWKSLGVDETILSTSNHNLGMKKYYTFYMGQPPQGHKTNWLMQEYSHISHSSPSSSSSRRRRSESKIVCPLSFHDYSKWVICRVYESNSCDSDENELSCLDEVFLSLDDLDDDEISLPH >Solyc12g098790.1.1.1 pep chromosome:SL3.0:12:67160355:67160582:-1 gene:Solyc12g098790.1 transcript:Solyc12g098790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVVIYNSIPVQVLVMVEVEICNGKQVVVETYTRMSGLGEVVTCKHILEMEGVVTYKHKSVLEVEEIYNSMEQV >Solyc08g074705.1.1 pep chromosome:SL3.0:8:58977897:58980691:-1 gene:Solyc08g074705.1 transcript:Solyc08g074705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNATDEIVSFISSMCKTTNKSKEYTGYVNLERLKESLSESKGERHAEHSYPKLGAGPEQKWVSISSSIDKNARALKELRDVTSSVVHTYSLLIPSYDEDTRLSRAIPIDYF >Solyc10g005220.3.1 pep chromosome:SL3.0:10:173558:187508:-1 gene:Solyc10g005220.3 transcript:Solyc10g005220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQVEIASSSPFGYVLNNRCCGTSTRDSNSFNQNFKNLVQSHLHSCKAFPSDSCENSQNNVDLFECKKTEFGGKNQESCGGGVSSLVRKWKDIETDSKSLNLVHNYENLGFVCDESCSIVMKSECGWESDDKTDISKDSDSDVKESERLGVADIIKKLKFDNGANVVAAAAVNGGSPLPRVRTSSIKDHSEGQRCNFSPVLSSPRFIRGRQAFSDLLLQMDRDRKRELEGLVERKAVSKFQQRGRIQALLRVRLIRHGAEIRGGHSVKNSASESNKSKHSAIMHLREKFNTVGQHGLAVSRNTSKEVAESIHESGSLPSTPNRQHKENSHRDFKSLRSHQRELGRSNSAKIGTGFSLHQQKEEDPLKGLVKERARLQPGVLADSICTSITLGDNTRDPIPEQVSPRNMAPSIQIGNRFSSHQQREGDRHKELVRERAEVQPGTASGEKAQDPCTAKEESHHKPRNNHKEVVDNNPKVGTFCISNQPREENHYEELCKKLNSRKMATSIQITNLSENQKEMAKKSEGSCILNQHDMNLTSLPSYTCQAQSLHDIPEKASRGNTSSEASHSNLLDFVEAGRPPKHRDENKQLPGTSDCTNPQSDLEEEATNQCLVESYSGSVSDYSLTTSGWDELQSNYQQDNEDWISYISRPRKEWEGLRQERYQEMLDPFSRNHDIQQLLHRKSVSVFLTSGLREQIDRIMVSRSQQLPNARISHVEKPVSAQVAEDEEEEVEQNGKEEVEVEWGYNDDSEDDSIPSRPQYDEPEALILQNTSSQSWNTNPYQEVTDDSYHFPSPSSLQSQSSNIYSQPCSSSTGHPSTEMELIYEMRGHMEQLHQEIFEIRRSMKSCMNMQMKLQHSIKQDVAAAISQLGQKSIGNSDKKGSNKGKCCICYEEPVDSLLYRCGHMCTCFLCAHELISGIGKCPICQAPIMDVVRAYAHS >Solyc03g120100.3.1 pep chromosome:SL3.0:3:70073649:70074749:-1 gene:Solyc03g120100.3 transcript:Solyc03g120100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGKEFVAGGFGGIAGLISGYPLDSIRVRQQNSKSGSAFNVFRHVVAKEGPLSLYRGMAAPLASVTFQNAMVFQIYATLSRTFDRKVPVTDPPSYKGVALGGIAAGAIQSLIISPVELVKIQLQLQSKTNQCNNQVTRLKGPRDVTRRIVSQAGLRGIYRGLTVTVLRDAHSHGLYFWVYDYTKEQLHPGCRKYGQESFQTMLVAGGLAGSVSWISCYPLDVVKTRLQAQSQSKSAKYHGIIDCFRQSVRTEGYGVLWRGVGTTVGRAFLVNGTIFTAYETALRFLCKPQ >Solyc09g055450.2.1.1 pep chromosome:SL3.0:9:41337037:41337108:1 gene:Solyc09g055450.2 transcript:Solyc09g055450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMNSSVNPAIYVHPNMFTRPSA >Solyc06g051600.2.1 pep chromosome:SL3.0:6:35216542:35219018:-1 gene:Solyc06g051600.2 transcript:Solyc06g051600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYTNVIACRVCDKIFSHPLPLLYHFNQVHGREGYVYTNAIACRVCDKIFSHPLPLLYHFDQVHAREGYVLEKQRSGYPVSRTTHFKLNSKQGHYQFASRANGRSMSEESHSRGQVHKIKELNLFDFTNALIKKLDKPFIFENVEANEDQNVDLELKL >Solyc02g032720.2.1 pep chromosome:SL3.0:2:29502195:29504007:-1 gene:Solyc02g032720.2 transcript:Solyc02g032720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQMEYWRQRNLLPPMETEMEWRQHNYPFSVTKTYSLPMESGVVCRRQQNYPFSVTRMSSLPRDTEIEWRQRLEAEMAWRRRMDTEIEWRKRTASLPPMETGEEWMHKYDPFLTMRTPSLPPMETGIDWKERRDLQIQTHGDVQQNRWDKLKNVINEGNGTSSLPSPGGSGSVGSVGSAGTSFETQQHLPNQGASGSSNAIPPINGILEQMQHLIVEPIEATNEQSPHLSGKEALKNFLLKMPGVSTQGDGPNGKKIEGFFYAYKRGGEVKIVCICHGHFLTPAQFVKHAGGGDVENPLRLINVDPN >Solyc04g049740.1.1 pep chromosome:SL3.0:4:43235546:43235938:1 gene:Solyc04g049740.1 transcript:Solyc04g049740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMKKKCMIKRRWNLEADELLQNLVEKHGAKNWSLIGQLIPGRSKKSYDTIIKSHAKFGNQWAMIAILLIGRTDNAMKNHWSSFLKRKRPLMSKDLTFKNPQPPLIV >Solyc06g068250.1.1.1 pep chromosome:SL3.0:6:42419841:42420047:-1 gene:Solyc06g068250.1 transcript:Solyc06g068250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGIFKGLVHRISVAMFYTPELGKEIGPEDDLVNMDRPRIYKNVTDYAETHWKFYQRGLRALHTAHI >Solyc01g109700.3.1 pep chromosome:SL3.0:1:96509269:96512434:1 gene:Solyc01g109700.3 transcript:Solyc01g109700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIALPEMLHNITSNGSSELSVLDRTKWQVQQQEMSYFNGQNDQLMNSFHQTAEAQQFHGLINVNDQSLNELVTRAIKPDPCMENSWGGFGTTGTNGFDYVPVGVGHGGMSHPSEMNYAISRTTSCPPTMADNVVKPKDTRLSSNRGRESFKKRKADKNQHLKEVAEEETKDKKLKECIEEEDDSSKVTTEKKSNKRSATNSSNSKENSDTSKEKSKITDDKKLDYIHVRARRGQATDSHSLAERVRREKISERMRFLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDIDADNFFNKDIFATSTSTFSAVGAGTSSEMLSMAQRQFNSLQQIMSSSGLEMGIVNLNEMALRRTTSAPVPIPEMFLDSSSINQVQSFQTWNTDLDNMYAMELQQGRSAQFLPHPCTGFAEAGHDLKMEM >Solyc03g113750.2.1 pep chromosome:SL3.0:3:65295472:65299240:1 gene:Solyc03g113750.2 transcript:Solyc03g113750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHRTLIVPLNPISTTLSHFATLPPPSQPLPPSQLPPDPVVISTLILQSSPESLSDTLHTLTQWTPDLVQSVLKRLWNHGPKALQFFNLLDHHRSYTHSTIAFDHAIDIAARMRDYKTMWKLVARMQSRRLGPNPKTFAIITERYVSAGKADKAVNVFLSMHKHGCPQDLSSFNAFLDVLCKSKRAEMALKLFKMFRSRFKADTISYNTLANGFCLVKRTPKAQEILKEMVERGLNPTITTYNIMLNGFFRAGQIKEAWEFFLQMKKRKCDIDVVTYTTLVHGFGVAGEVEKAQKLFNEMVGAGILPSIATYNALIQVMCKKDSTENAILVFNEMLRKGYLPNATTYNAIIRGLCHVGKMDNAMEYMDKMNEDGCEPNVQTYNVVIRYYCDEGEIEKSLRVFERMSTGHCLPNLDTYNILISAMFVRKKSDDLLVAGKLLTEMVDRGFLPRKFTFNRVLNGLLLTGNQDFAKEILRHDEHEEFEMNMTLKACHYLYAAAHEHGTLTVAHDSKEVHLKVFPF >Solyc03g117530.1.1.1 pep chromosome:SL3.0:3:68145619:68146527:1 gene:Solyc03g117530.1 transcript:Solyc03g117530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Checkpoint protein [Source:UniProtKB/TrEMBL;Acc:K4BLQ5] MGKICHLYLTRDHVYFLHNLLNGDGIQCIAQFRKEALFDEYRLSSQNDDRISFAIDLSLLHRALHSIISIYTEFSAGDSGVPGGNSAPNHIQIKLVKKLPPHSQQPMPFLTFETKGYKSAVIQDVPISKPLSRSDVLELQAALDMAQDLPQTLIQVPHMHQLQNFVEKMKNVGDVLNVSISKYGDLHLQVSTSLITLGAEFRKLSVIGDQAQSPTDDQNLSAQSRTRIALQRGDAMTVQVSVKHFFKSLQCHAAKPDCAFYGITQQGACLTVVFQFFIPGTHQTDKAISLHCRLPVLDPGAS >Solyc10g081450.2.1 pep chromosome:SL3.0:10:62631780:62635419:1 gene:Solyc10g081450.2 transcript:Solyc10g081450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKISVNFSEKWLQITSEILISSASAVVFLFLDLLDAIFCVFFKLIDEFFEGESSGCYCSIENEEESDCDNELSNTLHKRRNLFRGIGFRRNFSSRRKLNENVRWSDCSCENCISWMGNIAGELKLHVVVKEIQPVNLEDFKGKKAENIVFLHGFLSSSTFWTETIFPYVSEDAMQKCRLLAVDLLGFGKSPKPNNCLYTVKDHVEMIESSVIQPFELNSFHIVAHSMGCVVALALAAKHSHSVRSITLIAPPYVTSTKEDISLTALNKLAARRLWPPLLFGSSFMSWYEHLGRCVCYIICKNHRIWEGILKLLTWNRNLHFMAIDLTRHTHQSAWHTMHNVICGGAKFMDKYLETLKIAKVKINVIQGSRDQVVPVECSKNIKMKVPNAEVKIVDNADHTSIIIGREKELCNDLEKLWGSIC >Solyc11g044565.1.1 pep chromosome:SL3.0:11:32701742:32710653:-1 gene:Solyc11g044565.1 transcript:Solyc11g044565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAAQKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSDLGFTVS >Solyc04g007090.1.1 pep chromosome:SL3.0:4:801135:804562:-1 gene:Solyc04g007090.1 transcript:Solyc04g007090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFDSFPLVITKLFHLRYLHVERLSMNIPESISELLNLQTLISSCSPLFRMTLPKKIWLMKNLKYISLGRNTYLPSPRINKNLVTVMPNLEKFFGLCYSSCTNEVFSSIPNLKILTIHVPLTFKEEIIPYRFLDMSSLIKLEAFKLFWDKNWENPIKTFVFPTSLRRLTLTFCSKFIWEEISSTFIMLPNLEKLKLKYCGADDDAWIMSDKDIFKSLKLLLLSHLNLKRWEASSDNFPNLKRLVLKKCPDLQEIPTDFGEICTLESIELHDCSATSEVSARNIEQEQEDMENNILKGIEASFETCWILFYVFSHKRNKASCLLLTSKRILKEFPLIKMVTLLIRSILRATSEYFLNVLEKSSYKSGIDIEKIKSLEEKIIVVASEAEYVVETNISEIIKGDSWTFGILQHQDMLPVVEKMDNTKKQVMEILSHDDADQILELTGDFLIGASSMSDPMLSDQLKDDIVQGLDGDLKIIDK >Solyc03g098150.3.1 pep chromosome:SL3.0:3:61911288:61922171:1 gene:Solyc03g098150.3 transcript:Solyc03g098150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPISVDVILEYLRKNKLTRAEAAFRGELNDHPDLNGVLQKLTIEDKELSQSTEGTSRGKATSETPVTTLRNSEEVYKETSSRSSGEISKELIVKEIECGTGRNGADCNWKNVQEQKKVNESAGTSDKNFSFANSSEDTIDLYSWKYPPVNSPVTYQHDGGATIDLSSLVHSGKSKFNSSEVFDSGKAHAKCEEDVSFSGEKRTSWPGSTSKDTVEPKHDIGRNVDLKEVDQQIKLSGTCSKDVIINHPWSKSDEFTHLSSESWRDCTVKTVFPFPKGDVSTSYDHDIGSTDRKEGKRKTEVSDVRAAIKEQVDEVGRALYLGKTQGSEPKEFSGLGFSFVSESQKEGFPRLPPVRLKSEEKSFSIPWEEKFERDGPASKTNNADNAFFIGSFLDVPIGQDLTSSGGKRPAGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESIDYPNEYWDSDEYDDDDDVGYTRQPIEDETWFLAHEIDYPSDNEKGTGHGSVPDPQREQNREEDDEQSFAEEDSCFSVSEMYRRNDQSNLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELVMLGDGKVLNECGRPRPDDICMDDDQHGSVRSIGVGINSDTADFGSEVRESLIGGSSEGDLEYFHDHDTSIGGSRHLPPISDKPYSERSKREKKAAKHSSDKFVTVADKGSYVQKMNHLDGGFSFPPPRDGELVQTSSSKSLWSNKCNTVVSDEADDSLMASDDMLAPWRRKSSESSPVKSSRDESNAHVAGSENSSPSSLSNYGYAEREHVKKEETKIASAREEDVGASLEDEEATAVQEQVRQIKAQEEEFETFDLKIVHRKNRTGFEEDKNFHVVLNSVLAGRYQVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQDLLVKGRDTYKYFTKNHMLYERNQETNRLECLIPKKTSLRHRLPMGDQGFIDFVAHLLEVNPKKRPSALEALKHPWLSYPYEPISS >Solyc11g019995.1.1 pep chromosome:SL3.0:11:9951906:9952671:1 gene:Solyc11g019995.1 transcript:Solyc11g019995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSQEQENPIMKNTHYGPNSVLNSEDHHSEKEHNFVLNMAKGATNMNANFQQYQGSNSCVMENCDVYFSLNNVDYVFQSLGPLGANLPNEQDSEFDQVYSDDQNLGPPDANLPNEQGSEFDQVYSHD >Solyc01g057960.2.1 pep chromosome:SL3.0:1:64632624:64634422:1 gene:Solyc01g057960.2 transcript:Solyc01g057960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETTLLALEDLMLDKIVDEAGRKVLLSEFSKIMQQELYSRAKAQQEEILYLREQIALARNHSS >Solyc12g026470.2.1 pep chromosome:SL3.0:12:23284908:23287122:1 gene:Solyc12g026470.2 transcript:Solyc12g026470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLMCSTATRQQTPSSSHLDSRFSSSPALNFARWWSLSLLPRYFSECNYYSPQGRCRVTSVGVHAMQQMLHFVHAL >Solyc02g093590.3.1 pep chromosome:SL3.0:2:55035226:55039242:1 gene:Solyc02g093590.3 transcript:Solyc02g093590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSDAGCRSKNGKSKSRNKVKRRKPKFLSLSVELSEKKPQKSDDRMVSSSNGSTHDHQQLNLFPLHPENLLDDKDSTSTAQDDNVALFFAGAENSATTLNEVLVSSKDEIDSNISTSVPNYMAVSSSEASLTFADTYRGQEGELVRTALRNKERENREEEKWVVYSDVVDLDQHSESTRKDEEVSSCPWNKRQQQLSLKLDYDEILNAWSDKGPLYLQSQSPQIVPDIHDDFLAFDMPFSINGQLMSSSSVVHRVPEVIGNEQREVEDEEMKAGRREASVMRYKEKRQNRLFSKTIRYQVRKLNAEKRPRVKGRFVKRD >Solyc05g047700.2.1 pep chromosome:SL3.0:5:60034512:60039871:1 gene:Solyc05g047700.2 transcript:Solyc05g047700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKENEGQTEGEDNKSLVSSVVLREDIVNLIDFIERLSNGYVLTSLDIDQIEDLTLELTFLSAFCHLYYSFFSEGCNDEISCISNEIHDLVQSLLHRHGEDMVVNMNYHDLFENIKSYIIYAEPSRVTTTEDRLVELLDAIFMYLQYLPKLCSEFIFTSMTQYELLQNVFGNLRDFHRLKVNGCVEYETIEYVIPHLQLMAQRVVSF >Solyc07g045080.3.1 pep chromosome:SL3.0:7:58321570:58324113:1 gene:Solyc07g045080.3 transcript:Solyc07g045080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEVQSREWYVASYAPIGVPNSDHIKLRTLTLSLQADSIPHGNVAFQILYVSIDPYVRTQLSGLNDGLYLPQISLGQVITAFGIGKVIRSKDTNFSEGEIVMSRICPVAEFGVLPSNLLQKINHAHGVALPDYLSCLGMPGITAWVGIEKIGNAKEGSNVYISAAAGGVGIIAGQLAKVKGCRVVGSVGSDHKVKLLKEECGYDEAFNYRIETDYDAALTKYFPNGIDVYFDNVGGKMLEAVLSHVNHGARIALCGMISEYNKVWTEREGVRNLLNMVGKEVMMKGFMVGSYYNTLRNL >Solyc08g081680.2.1 pep chromosome:SL3.0:8:64768597:64770068:-1 gene:Solyc08g081680.2 transcript:Solyc08g081680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFLKSISSRSFNHQDFKNNNSSCKELTTTSSSSLSSSSSCISLTVWRKSLIFSCKGFTVIGSDGNLVYRVDNYSGRRDQTILMDASGKPILTICRHKKLRIVDNNWFIYEGEVLGDHDYKASSLRKKPIFCVKKQMKILHSNINVLAHVYYHGTSSNKTYSYIIEGSYANRTCKVLDAKSRNVVAEIRKKQAVIGGVTFGLEVFALIVMPGFDSGFAMAMVVLLDQMFS >Solyc03g033990.1.1.1 pep chromosome:SL3.0:3:5721228:5722073:1 gene:Solyc03g033990.1 transcript:Solyc03g033990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSSRLVSRLASHCLRSAVTGATATTTAALSRSHPLISVFHHQSQPLVFPHFNTNQTVFTLRSFSTLSRPARSHRSARPDIAAKARQLQTRRLWTYAITFSCIAGFIVIVLNQFQDQLVFYLTPTDALAKHAENPTRSKFRLGGLVLENSVTPIPNSPEMEFVITDLITDILVKYDGSLPDLFREGHSVVVEGFIKPFTEEMKKKENEILSEKKLQLTEKARGGDCYFAATEVLAKHDEKYMPPEVAAALEKNKQLLSQMEGNEKEGDDAAPPATARA >Solyc06g062380.3.1 pep chromosome:SL3.0:6:39460073:39461451:-1 gene:Solyc06g062380.3 transcript:Solyc06g062380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFTILLFFSSIVAVGSASDVEDEGMISQVVEIHRLRPQTGSAGYTVPQLDCLSWRLAVETNNLQNWRLVPKECENYVGHYMLGKQYRRDCEYVAKQAIEYAKALKLGGDGKDVWVFDIDETTLSNLPYYARSDVAFGAIAYNNTKFNAWIAEGKAPAIPSILGVYKTVLSLGIKPVFITGTRENFKQVRIVNLKKVGYSNWAALILKGENDSGSAVQFKSSKRTELVKAGYRIVGNIGDQWTDLIGENVGARTFKVPDPMYYIS >Solyc03g111990.3.1 pep chromosome:SL3.0:3:64032850:64038146:-1 gene:Solyc03g111990.3 transcript:Solyc03g111990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPWYSVVVPLFVFLFFLHNCLFAIFNNNKRLPPSPRKLPIIGNLHQLGLHPHRSLHKLSEKYGPVMLLHLGSKPVVVASSVDAARDILKTHDLVWSTRPKSSIGDGLFYGSKGVASSNYSEYWRQVRSVMVLQLLSNKRVQSFRDIREEEVSNMIDEIRKRCTSSSNSVIDMRDVLSCMTSNIISRVTIGRTYNEGETGIAVKGLIEELLALFGTFNIGDYIPWLIWLNKINGLDNREKKVAKDLDAFLDSVIEERLVRNNKEKYRTGEAKDFVDVLLEIQNGKETGFPLQRDSLKAILLDSFIGGVDSMYTTLEWIMIELLRNPRAMEKLQNEVRGLVQGKAEITEDDLGNMQYLKAVIKETLRLNPPFPIPIPRESMEDVKLLNYDIPAKTQVLINVWAIGRDPLLWDEPEEYQPERFLNSDIDFRGLNFELIPFGAGRRGCPGIPFSIVIIELALARLVHKFNFTLPQGMKKEDLDMSECTGISIRRKLPLLAVATPKPVLIASSVDAARDIMKTHDLVWSNRPKFSITDKLFYGSKDVAFSPYGRRGCPGIAFAVVVIELALARLVHKFNFSLPKPEELDTNY >Solyc07g018435.1.1 pep chromosome:SL3.0:7:10895377:10902128:1 gene:Solyc07g018435.1 transcript:Solyc07g018435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFHFLLEIIFKWIRTWFHAMLFHHFMVVLLPRLVGLIKDRLDLFSRGIDIQAANVVINFDFPKNSETYLHRVRQSERYVKLGLAVSQALDITGCSVKAVKATQ >Solyc06g050220.3.1 pep chromosome:SL3.0:6:32809939:32817966:-1 gene:Solyc06g050220.3 transcript:Solyc06g050220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTQKKKDKAHPTPESGLFLYFLFSSFSRLVQTKVPKMGDLKISSKPEKNLGELALSGSKFEDAADLFDKDVNCFKLADSWDNAGAVYVKFG >Solyc11g018715.1.1 pep chromosome:SL3.0:11:9314412:9316209:-1 gene:Solyc11g018715.1 transcript:Solyc11g018715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEVAQSRSGIVISQHKYLVGKLNNLIVTRPDISFPISVASVVSQFMTSPLSSHWEAIVRILRYIKSAPGKGLLYEDQGHEHITRYTDVDWAGSLSDRRSTFGYCVVVGGNLVSWKSKKKGVVTRSSAESEYRAMVAATCELNYSLFNKVWLNTYSVARKKSGEPTHCNVFEADSYATNIEKPMI >Solyc02g081470.3.1 pep chromosome:SL3.0:2:45981857:45983154:-1 gene:Solyc02g081470.3 transcript:Solyc02g081470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWPNIKNGNNISLYDLHQAFIEGFELTYHLKLEIIETIHSSKDDIVLGAKCVIGLPFIIEFLVYISTSQEGSPLLSWQRKYDIVVGVARGIGYLHRGCDVRILHFDIKPHNILLDENFIPKISDFGLAKLYPTDISIVNLTAARGTIGYVAPELISRSIGVISYKADVYSFGMLLMEMLDLKRHEGANEENSSQYFPYYIYDKFNKGKEIVVDEEANDDEKKMARKLTLVTFMVHTNKSDTTPFDE >Solyc11g013790.2.1 pep chromosome:SL3.0:11:7160589:7161813:1 gene:Solyc11g013790.2 transcript:Solyc11g013790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLYLTLIFIYEPRRTFQASPLRFVCFPFLTLKERRKPKRATQEGMLELSQASQRVGLLSGRSSCYCDHICCSHIRNDQSSSLRLAFSKGSFVLSARTELFVSLTHTCYNGWDKGS >Solyc01g010508.1.1 pep chromosome:SL3.0:1:5450978:5453992:1 gene:Solyc01g010508.1 transcript:Solyc01g010508.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRFLAINDNSEVFMHIAKWLSIFYRSCNVLSSYHLPFNLITYKFIAFTLEIFHWGTSRVVVDWKFTKDKLKALKGCSPNLCSSSFFTCSPNSTLPWTLHAASNARHAVASPSMGKSFISSRAVSSNPARPKISIKHP >Solyc07g021760.2.1 pep chromosome:SL3.0:7:21305435:21309848:1 gene:Solyc07g021760.2 transcript:Solyc07g021760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWCCRGFQVYTEQPNPLIVQNFGGNEIIRRLDLIDTFNDKVWIDNNDDVIKIAILYFIHMFVYSGKKRSLRIPRIHFDLIESDRYMHYPWGRKAFEWLLQSINKDNKNNDIAGQGSQSFTSSILSKNQNQGLIDDENTKCDESSNDGSKKVFQSIIMHIQTTSNNNSNMRKHASMESQNKISLVHIPLPTHRIRRPGPFNPFSYLTLFDSSAGKYIRYIYNFYVLSQNCIIAIMLYSMGIGGTLS >Solyc07g065060.2.1 pep chromosome:SL3.0:7:67113049:67116212:-1 gene:Solyc07g065060.2 transcript:Solyc07g065060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNYICFSIFPSLCYCYFISAKIPKGIPRLISLLPIFYLFTILPLYFSSAFLTAITTFSITWLAIFKLVLFAFDQGPLIHNASNPKIISLPVFISIAALPLRTTFTPNPNRKNPMSSAVQLVIFAVLMEIVLHHKSVIHPKLVLIFYSVMIFLMIDILIALPSLIVKNFLCLDIEIESPSDEPYCSTSLQDFWGRRWNLTVTHTLRFTVYNPVRLVLLNVIGRKWAQHSASLATFLVSGLMHELIFYYVNNGVRPSGEITGFFMLHGLCLSVEIEVKKALKDTLRLPGLVSGPLAVGFVVVTAFGLFFPPIIRNGVEKSILEEVGFCFDFLKDKMLQFSKKMSSINICLSIILSLCYSYFISSKIPKGIPRLISLLPIFYLFTILPLYFSSSFLIALTTFFITWLANFKLLLFAFNQGPLSSFTQKNATNLPIFIFMASLPLRSKQNMKNPTKKIPLNLGLEFVLFAIFLELTFHHKSQLHPKLILICYCFLVFLMIDILVALSSNIVKIILVGFELELESPSNEPYLSTSLQDFWGNRWNLTVTNTLRLTVYNPVRSVLSEVVGRMCALRVAVLATFVVSGLMHELLFYYVNRVSPSWEMTGFFVLHGLCVMVEIGVKRALKDTWRLPGFVSGLLTVGFVVVTAFGLFFPPIIRNGADERVLQEVGFCFDFIKAKMVQFVGYFR >Solyc05g014845.1.1 pep chromosome:SL3.0:5:9056468:9057962:-1 gene:Solyc05g014845.1 transcript:Solyc05g014845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVIHTLDGQFWLLSLVFSQKTLSKEVVSLEYLEFQVSTFVLRQGRQSIEIPVIFNYNALVDESEATVIFKLVELIISLPLFF >Solyc10g009020.3.1 pep chromosome:SL3.0:10:3039172:3043251:1 gene:Solyc10g009020.3 transcript:Solyc10g009020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGGLGGPMRKSFKDSIKVLEADIQHANTLASDFSGEYDGACLQMRMSYSPAAHIFLFLVQWTDCHLAGALGLLRILIYKVHVDGTTTMSTHERKASIREFYAVIYPSLVQLERGVTDSDDKKQNAVCQERYKRRDSEDFRKAYDLDIEREDECGICMEVNSKIILPNCNHIMCLKCYREWRSRSQSCPFCRDSLKRVSSGDLWVYMDSKDAVDMTTITKENLRRLFMYIEKLPLIMPDNVFDTYDDTHLR >Solyc03g043930.3.1 pep chromosome:SL3.0:3:7664751:7672611:-1 gene:Solyc03g043930.3 transcript:Solyc03g043930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQWKMGSDVEKLEEALNGSGSSETQPFRDSISKKEKQKRLWKKVNNQLVEYHSLPGYLKDNEFILGHYRCEWPVKQALLSVFTIHNETLNIWTHLIGFFLFLVLTIYTAKKVPDIVDLQTLQNLPEKLSKIDLHKLPADLLPCIPSLPNMPDLHKLRENLLQLLSNCLPDRFSHGNHTDVGVLHSVKDVANVIAPLMVKPITRWPFYAFLGGAMFCLLASSTCHLLCCHSERLSYVMLRFDYAGIAALISTSFYPPVYYSFMCYPFFLNLYLGFITVLGVGAMLASLLPVFQKPKFRSLRAGLFFGMGMSGVAPILHKLILYWNQPEALHTTGYEVLMGVLYGIGALIYALRVPERWMPGKFDIAGHSHNLFHVLVVAGAYTHYRAGLIYLQWRDQQGC >Solyc01g104355.1.1 pep chromosome:SL3.0:1:92648577:92650543:-1 gene:Solyc01g104355.1 transcript:Solyc01g104355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHRCLHSKEQSVLSITSGTFTACPCLAAALSGGITILYSDISCDTTSCDPAGILPRLRAELPKTLHGRSEFKSPVLENIPFSLVTLSSLGSITISRMLVQVIISIDLVRADGYRIKKLKDNEGKYYQRKRTRDDETYKLLYPMYLQFFFSSFSSQHYLLNYYKRMKVTLNQNLPMEHFQFEASSGGSHPAFVSHTSDFETEADEVSIQSTCIAA >Solyc02g072393.1.1 pep chromosome:SL3.0:2:42181064:42187199:-1 gene:Solyc02g072393.1 transcript:Solyc02g072393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYPSFQLLTILFLLLHVLATCLAMNITTDQTSLLALKYQITSDPYQIISTNWSSSVSVCNWIGVTCGSRHQRVTVLNISDMGFSGTIPSQLGELSFLVSLDLSYNSFHGELPPEFSRLRKLRAINLSFNNFTGNIPRFLGDFQDLQIFNIENNSFSGFIPSSISNMTNLGFLNLRYNNLEGNIPAGIAVLRSLKWLSFGFSKLNGSNVLTMFNISILEYLDLRNAGLTGDFPSDLCRRLPRLQKLGLNFNRLSGEIPRRISECSQLQVLLLMENNLIGTIPGELGNLQLLQQLALGNNKLEGTIPNEIGHLYNLKQLGLEQNALTGSIPVSIFSISSLQVLSMWDNKLEGPLPREVGNLTMVNVLDLGMNSLMGVLPDEIGNLQELLMLKLDFNDFSGSIPVGIFNGSTLVSITLTQNRISGNLPNTIGRGSPNLERIFLGANNIDGLLPSSISNLSKLTVLELSANALTGSIPDFLGNLGLIEILNLQGNFFTSDSSMLSFITPLANCKHLRELILSINPLNAILPKSIGNLSSLQTFEAIGCNLKGHIPNEIGNLRNLSYLKLDKNDFTGIVPTTISSLEKLQQFSLGTNRISGPFPIVVCELPNLGLLNLSQNQMWGNIPSCLGNVTSLREIYLDSNKFTASIPSSLWNLKDILKLNLSSNFFNGSLPLEVGNLKAAIILDLSRNQISGNIPSTLGGLQKLIQLSLAQNRIEGFIPETFGELISLEALDLSNNNISGVIPKSLEALKQLHSFNVSFNRLHGEIPSGGPFLNLPYQSFLSNEGLCGNPQKHVPACRSNSKNHSNSKKRRIIWIVVVSSVISIIGLASAIIFVLMRRQGKVIKAEDEWSPEVAPQRFSYYELQRATQGFDENNLLGSGGFGSVFKGTLADGMILAVKVFNVQMEGTFQTFDRECEILRNLRHRNLTKIISSCCNLDFKALVLEYMPNGSLDKLLYSREYSLNIMQRLNILVDVASALEYLHHGYSVPVIHCDLKPSNVLLDKDMVGHLTDFGIAKLLTKEESIAHTTTFATIGYIAPEYGLEGLISKRSDVFSYGIMLLETFTKKKPNDEMFTGDLDLKSWVHSSLPNKLDEIIDADLLTVDEQKLNENLAMNISTDQSSLLASKSHISSDPFHILSTNWSSSTSVCDWIGITCSSRHQRVIALNISNMGFSGTIPPQLGNLSFLVSLDLSKNNFRTVTGILRELPSEFSHLRRLRVINLSYTNFRRNSIGIATLPSLIRFSMGYNKLLNGSNVLSKFSISTLEYLDLRNSGLTGDFPSDLCHRLPRLRNLALGNNMLSGEVPRNISECQSFNS >Solyc06g050360.3.1.1 pep chromosome:SL3.0:6:33058620:33059140:1 gene:Solyc06g050360.3 transcript:Solyc06g050360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTKLNSIKPSMQQINDSNVSLTPFTNQSMPAFCL >Solyc01g098690.2.1.1 pep chromosome:SL3.0:1:88989482:88992582:1 gene:Solyc01g098690.2 transcript:Solyc01g098690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEMFSQLLLILLLSICCKMSDVVAANSSKCLQDQKILLLQLRNNLTYDSEISTKLVKWNHRIDCCQWEGVTCNGEGQVIGLDLSAESFSGSITPLADLKFLSIVRLDGNNLSAPIPEFFAEFTNLTVLSLSSCNLIGEAPQKIFQVPTLQTIDLSVNEMLGGSLPEFPSKGSLQNLVLSNTIFSGSLPESVANLRNLSRVELRACNFTGPIPSSMENLTQLVLLDFNLNSFTGSFPSFKLSKNLTDLNSARNRLTGISSDWEGFENLKYLDLSNNSISGLIPESLFYLPSLSALDLSNNKFSGQITDLQNVVSPLESLELSSNKLEGPIPEFLFELHGLYDLSLSFNKFNGTVQLKKFTNLSKLVDLDLSHNNLSVDTTISESELALLPQLNSFMLASCNLQNISFLKNQSIVSMLDLSKNHLTGEIPNWLVEINDGLLRFLNLSFNQFTHLQEPYKFGFLNFLDLHSNLLTGVIPLPPRAAAYIDFSDNNFTTFPPDFGNYLVTARFLSIADNKVIGSIPSSICNSSYLEVLDLSNNSLNGIIPPCLAEKSSTLNVLNLGKNNLIGNIPEKFSYNCELQSLDLSQNHLTGVLPRSLSNCTKLKVLNIGKNKIKDTFPCWLMNMSDLRVLVLRFNGFHGNIDCSRVISNWTALQIMDLASNNLGGVLPRGLFLELKAMMADPSLTHSRSDILHFESESVRSVYYQDRVTLSLKGRDVTQTKIFLFFTSIDFSSNNFVGNIPEIVGDLRSLNLLNISHNNLTGQIPPAIGNLKQLESLDLSFNKLGGNIPEKLASLTFLSFLNLSSNELVGMIPQGSQIRTFGESSFEGNKGLCGLPLNRTCKNNSSDAPSEPEVEEDEFISRTEIYVSTILGFVVGIGIIFLPLLVSKRWNQSYNRIMDRLILSIFQQQVEERRTSTSSVASWKKAAGKSRGRH >Solyc03g058510.3.1 pep chromosome:SL3.0:3:26984733:26985334:-1 gene:Solyc03g058510.3 transcript:Solyc03g058510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QPQNLVQRKGKNKNKRRTIRVNTRIGISLLMANLLFHRSPFFGALYFCSYFTETS >Solyc10g012400.3.1 pep chromosome:SL3.0:10:5048925:5052458:1 gene:Solyc10g012400.3 transcript:Solyc10g012400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQIQNASTIIICSLLLLINTEIGNFYRFVDGAQRTIQVSDDLDDVVDDEEDEAWREWGRKKSTSSDFDPPPTDFDTMSPSEIQAEMMKRQLGPVFGFVKLRLGTRRTQEKVTEIAMRWTKLARTGAIEAKFMSVDVSTIMFTMEKGQDSIELKEFLLDQPEAYEIKVGDQLFRRPGDPPFEEVFEKIQREKSKVDHTSSKKNRHHEL >Solyc08g078100.1.1.1 pep chromosome:SL3.0:8:62071466:62072872:1 gene:Solyc08g078100.1 transcript:Solyc08g078100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFDDGEYAGINEVTSPRENNARKVSVLPLLFLIFYEVSGGPFGVEDTVRAAGPLLALLGFLVFPFIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQLGWMKWLSGVIDNALYPVLFLDYLKSAIPALGGGLPRVLAVLVLTVVLTYMNYRGLTIVGWVAVSLGILSILPFVVMGLISIPKLRPSRWLVVDVQSVDWNLYLNTLFWNLNYWDSISTLAGEVHNPKKTLPKALFYAVILVVLSYFFPLLIGTGAIPLEHDLWTDGYFSDIAKILGGVWLRVWIQGAAAASNMGMFVAEMSSDSFQLLGMAERGLLPEFFSKRSRYGTPLFGILFSASGVILLSWLSFQEIVAAENFLYCFGMILEFIAFVLLRMKYPHAPRPFKIHGGTVGAILLCIPPTILICVVLALSSFKVMVVSLAAVAIGLVMQPCLKLIENKRWLKFSISSDLPDDITTHEPLLR >Solyc09g055230.3.1 pep chromosome:SL3.0:9:37982189:37994382:1 gene:Solyc09g055230.3 transcript:Solyc09g055230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVSTAKQCLTEEAARALGDAVAVARRRSHAQTTSLHAVSALLALPSAILRDACARARSCAYSPRLQFRALELSVSVSLDRLPTAKTLDEPPISNSLMAAIKRSQANQRRHPDTFHIYQQLQQQNSSNFSISTLKVELKHFILSILDDPIVSRVLGEAGFRSCDIKLALLNPPAISRFSKARCPPMFLCNLTDSELDKRGFNFPFSGVSGKGDIDENCRRIGEILVKKSCRNPLLIGNCATDALYSFTECVQKGKGGVLPDEIKGLTVISIEKEISDGSEEMISLKFKEVTDAVERCTGDGIVVNYGELKVFIDDGSVSYIVSKITKLVQLNCGKLWLVGAAASYDIYLKFLARFPTIQKDWDIHVLPITSSTLPIGGLSSRSSLMGSFVPFGGFFTTSSESENSWINKNEYTARCNLCNEKYEQEVSSVLRGATGSVTDQHATHLSSWLQKAECGPSRGLVGVEADEGCSLLNARLVGLQKKWNDICQRLHHIHSFQPDALQARSHISSLGIFQSTSAGGESRNKDLLLDARLTNQNSMSPDLQNTCWIKNTMSKSVVSEGESNSQPEVPAQSLETQHQKMENIWTPYQNALCGSSLPLDRTSLASRASVSTDLGLGTVHISTVRDLWEPSFSENQDCLPYFSGSVSSSVPQLDKDLILEDFKNLYKALSEHVYWQEEAIYAISHTVTRCRSGNGRSHVSSKGNIWLSFLGPDKVGKQKIAKALAENVFGSHNSLLSVDLGSSDWISCSNSLLIHQNIRNNHMKLRGKTVIDYIAEELSKKSCSTVLLENIEKADFPVQNSLSRAIRTGKFLNLHGKEISINNMIFVITSQSAKVTKDFFSSKKFLEFSEEKILAAKNLQMQIAIGSGCRNRIEVKNTNLWITSGDRTLESFPSYKRKQTDNSDSNNDKLLQMPKRLCTVPKCSLDLNLPVEDMEENAECDSDCGSEGSKAWLEEILEQMDNNVVFKPFDFGALAEIILNEININLKKIVGVDIKMEIDSEVMEQILAAAWLSDKKEAVEDWVENVLCRSFMDVRNRFQHITDSVIRLVHCQGIAVEDQAPGIYFPAKITIE >Solyc11g062040.1.1.1 pep chromosome:SL3.0:11:49163075:49163344:1 gene:Solyc11g062040.1 transcript:Solyc11g062040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPAYGVKSIRSKKKDWNINLIEIIDLIPNPINQITFSRNTRYLSHTSKEIYSLIRKRKNVNGDWIDDQETTRPRTSATVIMVLLKLA >Solyc09g063060.3.1 pep chromosome:SL3.0:9:61287775:61291149:-1 gene:Solyc09g063060.3 transcript:Solyc09g063060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPDFAITLVICVAIVITTTFVTYSEGAPRAFFVFGDSLVDNGNNNFLATTARADSPPYGVDYPTHRPTGRFSNGLNIADLISKQLGADLTLPYLDPALDGDKLLVGANFASAGIGILNDTGIQFVNILRIYDQLNLFQQYQQRVSGLIGAEQTKRLVNGALVLITLGGNDFVNNYFLTPISVRQLEYNIQDYSRIVINEYSKILTRLHDLGARRVLVTGTGPLGCVPAELALWSSNGECANELQQATKIFNPLLIQMVTRLNQQLSSDIFVAVNAMELQNDFINKPKEFGFVTSKIACCGQGPYNGLGLCTTASNLCTNRDEYAFWDAFHPTEKANKIIVKTIFTGSDEYMSPMNLSTIMEIDSL >Solyc05g056110.3.1 pep chromosome:SL3.0:5:66320229:66359701:-1 gene:Solyc05g056110.3 transcript:Solyc05g056110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNRSSSAPSKNGLPPKELIDDLCSRFILNVPKEDQQSFERILFLVECAHWFYEDNTVDNNPSLKSITLKEFTSLMFNNCDVLKPYVPHIDDIFKDFTSYKVRVPVTGAIILDETFERCLLVKGWKGSSWSFPRGKKNKDEEDHSCAVREVLEETGFDVSKLLQKEQYLEMTFGQQRVRLYIIAGVKEDASFAPQTKKEISEIAWQRLDELQPATNEIISRGMTGLKLYMVSPFLSSLRSWISAHQPPVAPRFDRPSRGLSVWNAKNSSTGSSSVLAEIQLNKPAVDARPQDTGPGKSFRNFRFDTASIYRAMEAGFSS >Solyc05g018235.1.1 pep chromosome:SL3.0:5:20275012:20276073:-1 gene:Solyc05g018235.1 transcript:Solyc05g018235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICHVSFPEPMILPKQVYFLYGNLLCLGQEEEDEAAHDEYQAREQQKDSIFERDTRKHCAMRAVKIILTQTTMLCPADLVSRGNSSLGTSQPKGPQDLPYATTNMQIMTTRKMPIPFGSSSPSPNFKARVTATATCETNFQSRRISKQKITKTHIISTPASRKKALLPNLSTKAIEMNVARTSAPLVIADEYNDAPEPNPKL >Solyc04g082150.1.1.1 pep chromosome:SL3.0:4:66014094:66014363:1 gene:Solyc04g082150.1 transcript:Solyc04g082150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVQIPTLQAPEIFQTSREVSSTHEQILSKQLRGESGFNSHSTQREATIHNHPPILSLMYNLCKAVNLKMNIHIGELMLLHTNLLHSTF >Solyc08g005540.2.1 pep chromosome:SL3.0:8:395812:402577:-1 gene:Solyc08g005540.2 transcript:Solyc08g005540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKSETKSSIEVPNAQQQVAVAEKKTSALSSPTTAQNDKFVQNGDDQKVRDLPQKEAAIPMGECNNAEYIEINEVVSSSRANNDRKLSLLPLVFLIFYEVSGGPFGVEDTVHAAGPLLALVGFLVFPIIWSVPEALITAELGTMFPENSGYVVWVSSALGPYWGFQQGWVKWLSGVIDNALYPVLFLDYLKSGVPALGGGLPRVLAVIGITLVLTYMNYRGLTIVGWVAVLLGVLSILPFVVMGLISIPKLKPTRWLATDVHSVDWNLYLNTLFWNLNYWDSISTLVGEVRNPKKTLPKALFYAVILVVLSYFFPLLVGTGAVPLERDLWTDGYFSDIAKILGGVWLRWWIQGAAALSNMGTFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLVGILLSASGVLLLSWMSFQEIVAAENFLYCFGMILEFIAFVRLRIKFPNASRPFKIPGGTVGAIVLCIPPTILVGIVLAFSTVKVMIISLAAIAIGLVMQPCLKHIEKKKWLKFSISPDLPDIHRDNGTLVH >Solyc10g007200.3.1 pep chromosome:SL3.0:10:1621046:1626364:1 gene:Solyc10g007200.3 transcript:Solyc10g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CXQ0] MPSNPKIFTARSSYSHFYHSRRSKILILCCLIGFAGFLFGFIAISRRGFGDSCKYAEPRSVSVAWDRNVMSREENGIGVTGGGYKRHKVMGFVGIQTGFGSVARRRSLRRTWFPSDHNGLQKLEEATGLAFRFVIGRTSDKSKMSALKKEVAEYDDFVLLDIEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCMKKGPVFTDPKLKWYEPLSSILGKEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGAWMLAMNVDHENNKQLCQPECTESSIAVWDIPKCSGLCNPEKKMLELHANDVCSKSPTLPSEDD >Solyc09g090960.3.1 pep chromosome:SL3.0:9:70818588:70820512:-1 gene:Solyc09g090960.3 transcript:Solyc09g090960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGSDGSSESEGVNTKLYFGNLPYLCDSAQLAGIVQDYASPELVEVLYDRDTGKSRGFAFVTMSTLEDCKTVVENLDGREYGGRTLRVNFSDKPKPKEPLYPETEHKLFVGNLAWSVSSESLAQAFQEYGTVVGARVLYDGETGRSRGYGFVSFETREEMENALNNLNGVELDGRALRVSLAQGKKQ >Solyc12g088430.1.1.1 pep chromosome:SL3.0:12:64792001:64792351:1 gene:Solyc12g088430.1 transcript:Solyc12g088430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDKVTANLTTLYLAIIGAMKAYGLIIGRNFTGCFVLILSTAAVALVLILTLTWDIYIKARNVRVRHNRINHRNQQQQHNNTSNEFCRGGICWHGVAVSSPASHFRFRLPQNRI >Solyc11g044810.2.1 pep chromosome:SL3.0:11:32502956:32504499:-1 gene:Solyc11g044810.2 transcript:Solyc11g044810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKFESNDDILDFIIKKANGIKGLVDKSLEIIPNQCIQPKEHRLEKSQIDNQESIPTIDLSNFDDLNVKKSIQEAARKWGFFQIINHGIPIEVLEDLKDAAHKFFELPTEEKVKYYKESFSAGESVLMFWSAIGDKNEKSLEWRDSIKHGCNPENDSNLWPSQTRNQVLEYQKWATPLAKKLLEVLLKGLNVNDFDESLEPLLMGTMAININYYPPCPDPSITIGARRHCDVSCITLLFQDDMGGLYV >Solyc01g090050.3.1 pep chromosome:SL3.0:1:83608413:83615868:-1 gene:Solyc01g090050.3 transcript:Solyc01g090050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLELKLHAMNAVKWYFNRNWGREDLMKSGIVKLETLDVLNAVYLTFYNVMFCSTVGSYLHLFWEVGGLFTVLCSVVSLLWLYFTPPWRLKLRRSLLMIYAFSFGASVGLLTKYLFEMHQNYVASFFAGTTVGIAYFKFEVTITRERSHIYHGGLLYCCLVTLAVLLVIGSLIRDSYTVLAILKVTASVILFLGYFVVYSQEIFYDVSFGDIDFIHRALTVFFNLPAIVLHAARVCLPFGAKSSIVYGERLVADWYILVIPLSLKIVRSTRNPEKIVEEYFEQYLTSESIPFFLSSLALGLLVGSTIGIGTFWLVALITMERTEIYLGTLLYSNAVIIYGVCLYALDILDSHIAHWILVVYTLHAFFMGYLVVYSQEILYNARFGDIDFVNCTFTVFLHLPAIVVHAVRLCLGAKIQQHRRN >Solyc03g112590.3.1 pep chromosome:SL3.0:3:64460806:64464738:-1 gene:Solyc03g112590.3 transcript:Solyc03g112590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPKSSTSDSKNNKKDFATAILERKRSPNRLIVDEAINDDNSVVSMHPAKMEELQLFRGDTVLLKGKKRKDTVCVVLADEQCEEHKVRLNKVVRANLRIRLGDVVSVHQCPDVKYAKRVHILPIDDTIEGMTGNLFDAYLKRKGLHFSIKYLFAFAMVDIPAYFLESYRPVRKGDLFVVRGGMRSVEFKVVETEPGEYCVVAPDTEIFCEGEPIKREEEERLNEVGYDDVGGMRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIGRAVANETGAFFFLINGPEIMSKLAGESEGNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRSHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEIGRLEILRIHTKNMKLDDNVDLERVARDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEVLNSMAVTNEHFQTALGASNPSALRETVVEVPNTSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGNSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVAKDVDLSALARYTHGFSGADITEICQRACKYAIRENIEMDIERERKRSLNPEAMDEDDTDEVAEIKAAHFEESMKYARRSVSDADIRKYQLFAQTLQQSRGLGTEFKFAEHAANATPTGATADPFASVNAAGDEDDLYS >Solyc02g067280.1.1.1 pep chromosome:SL3.0:2:38026143:38026442:-1 gene:Solyc02g067280.1 transcript:Solyc02g067280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILGDGIDCSICLSNYEIGEEAKKIPCKHHFHSICIDKWLGINGSCPRTNNVRGKMKKVMKMKYVTMVAVIWKKIELMFIFNVAIMAETTHLEVSIT >Solyc03g116540.1.1.1 pep chromosome:SL3.0:3:67402635:67404128:1 gene:Solyc03g116540.1 transcript:Solyc03g116540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTDAETKKEPEKNPDLVNEVSRILSDYRSPHHDIESALNPFSGSVSNDVVEQVLKRCKNLGFSAHRFFIWAQKLSGFCHSRESFRILVDILGSSKQFPLIWDFLVEMRTNRSCEITPEIFWLVFRAYSRAGLPADAIRAFNKMVDFGIKPCLADLDKLLLALCKRKHAKQAQEFFDKVKDDFMPSVKTYSILIRGWGDLGEVAEAQKLFDEMLERGCSVDLLAYNSILDSLCKAGKMDEAFNFFMKMRSIGLIPDAFSYSIFIHGYCAGNDIHSVFRVLDQMRRYKLVPNVFTYNCIIKKLCKTKKVDEAYQLIDEMINEGVRPDCWSYNTILASHCDQNEVNLAHRLVSRMEQNNCLPDKHTYNMLLKMLIRVGRFDRVEKVWKSMEGRNFYPSVSTYAVMVHGFCQKKGKLEEACKYFEMMIDEGIPPYTETCEKLRNRLIGHGFAEQTEILADKMERSTSSLIQELAGVMRGNKARLKLKHDEEYSDENFE >Solyc02g090873.1.1 pep chromosome:SL3.0:2:53004624:53004910:-1 gene:Solyc02g090873.1 transcript:Solyc02g090873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPKKSHWDAVTRVVRYLKGTIVKPANMITCWCDSDWASCPNIRRSITGNVVKFGESLVSWKSKKQQTVSKSSAEAWLQLFQKSLGC >Solyc02g068130.3.1 pep chromosome:SL3.0:2:38734053:38744057:1 gene:Solyc02g068130.3 transcript:Solyc02g068130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMQSEFDRLLFFEHARKSAETAYAQNPLDADNLTRWGGALLELSQFQPVAESKQMISDATSKLEEALTVNPEKHDALWCLGNAHTSHAFLTPDMDEAKVYFEKATQCFQQAFDADPSNDLYRKSLEVTAKSSKKKKSSDLKYDIFGWVILAVGIVAWVGFAKSNMPPPPPPHPQ >Solyc05g054915.1.1 pep chromosome:SL3.0:5:65547244:65548126:-1 gene:Solyc05g054915.1 transcript:Solyc05g054915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDPMLGQCCCSRYKGKVNSVLGIHTGTRQETAIYIEIEGQIISNSYQYCSLDPEFDVRNKM >Solyc12g049210.1.1.1 pep chromosome:SL3.0:12:61562659:61562982:-1 gene:Solyc12g049210.1 transcript:Solyc12g049210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTREGGRMQKLSPTSMQDLRSYSTNSHHIPNNNNNKEVKIKKSNINKSKVMSTCSKSWKLDDLELQRKRRVVGYKAYAMEGKMKGSLRKSFRWIKDTCNNVVHGWW >Solyc06g060650.1.1.1 pep chromosome:SL3.0:6:38795656:38795931:-1 gene:Solyc06g060650.1 transcript:Solyc06g060650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQGKTSKEILHNFADIAQDYATKDAYSRKLIEVIAANSMYQMSQIILQDSEGIDDQTEAHLFDHISTMIASLLGAICLMLLLKNVLPMP >Solyc02g086683.1.1 pep chromosome:SL3.0:2:49978443:49979105:1 gene:Solyc02g086683.1 transcript:Solyc02g086683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRTCDSQDVEVRKGPWTMEEDLILINYIANHGEGVWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITPEEQLLIMELHAKWGNR >Solyc08g006680.3.1 pep chromosome:SL3.0:8:1251518:1257202:1 gene:Solyc08g006680.3 transcript:Solyc08g006680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEEKLQIIHSEGEEAKLQNIHSEDEEVQESKHNNGICSSICAPVNWFKMLTMELHWNFVFSVVIVYGVSQGLGGAFSRISTEYYMKDVQKVQPSESQVYSGITSIPWMVKPLWGLFTDAVPILGYRRRPYFLFAGSLGVFSMLFLSLHKNLHIVLALLSLTAGSAAVAIADVTVDACVAQNSGSHPSLAADMQSLCALSSSIGALVGFSLSGILVHLLGPMGVYGLLSIPAGLVILVGVLLKESPTHSFAYQQVNQNLTDAAKAMWNTLKCPEVWRPCLYMYLSFAVSVDIQEGMFYWATDAKGGPHFSKEYIGYISAVASIGSLLGAILYQYGLKDHSFRDLLFWTQLLFGLSGMLDLVLVLRLNLKIGIPDYFFMVMDASVTQLVGRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNTGLLTSTWFGGLLLHIFKITRTKFHNLWLAILIRNILRITPLFILFLIPRSDPNSSILPDEMSDSKETTGTSTTQNENIELVALVHNMDTR >Solyc07g022890.1.1 pep chromosome:SL3.0:7:23193085:23193659:1 gene:Solyc07g022890.1 transcript:Solyc07g022890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSPLSISLLSSPNKDGSKLLAYLFKRHLLTVLRRLEISIVVTLVSMRIQRTALAHFSARIYSFDQSFRISILNDNHIQLRSAVKNGSGEIAKGGLMEQALNSLTS >Solyc06g054020.3.1 pep chromosome:SL3.0:6:36983681:36990458:1 gene:Solyc06g054020.3 transcript:Solyc06g054020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTISYKSDDEYSTITDKGDIGFVDFDKYKSAGSYNPNEESDIVVISVPFPLVAGKPKSGFVGETVVDSVTIENTTNETQELWSIKIYNSKPEDSFTLSLMKPPTDSSDLQYVEEFMESFSLEDRMLRPGQTLTVWLTCKPKEIGLHTSAVHFNVGDDTIERLVFVLAEDKVSQSLASRRPFHRDRKKKVPAVDVFAANAFVVGSRPTRAPNRGFRYRLPSYPIPGDIREMIENKQFPDVIGEGLRRDNYIAYFRTLLAIEEIKMEEDMRDYDMLSVTMKRKGLQFLSLDVPGLAERRPSLVYGDFIFARLASGDASEITPYQGYIHRVEAEEVYLKFDEEFHINHVPGNLYNVQFSFNRTGVRRLHQAIEATESLNGEILFPSGISRTRNIQAARLAPNSCMLNKEQTTAVEKILGCKGGAPYVIHGPPGTGKTRTLIEAIIQVRIMRKDARVLVCAPSNSAADHILEKLVSQQNVEVQDNEILRLNALTRPLDDVNPSYLRFCNAEDNSFKCPLLRDLKRYTVIISTYASACLLYSEGIKRGHFSHIFLDEAGQASEPDTMVPLSHLLKKETVVVLAGDPQQLGPIVFSKDAENYGLATSYMERLFECQLYGDLNENYATRLVRNYRCHPVILQLPSEMFYEGELIPCKEDKTFTQTWVDLLPNKEFPLVFIGIQGCDEREGSNPSWFNRIEASKVVEIIRDLIGNKGLKEEDIGVITPYRQQVLKIRTALESFEWANIKVGSVEQFQGQEREVIIISTVRSTIQHNDFDRIHYLGFLSNPRRFNVAATRARSLLVVVGNPHIICKDPFWNKLLWYCADNDSYKGCFLPEKLEIPQEDFGQANNWFQEYSGQANNWDDVGAQVNNWDCEGAEANDWDQDQVGKVNNWDQDQGGQVNNWDQDEGELAKNWNEEGTCVNEEKQSFQPSPDVEGTTHQTDYIPDPVMDEAEWSDGWK >Solyc01g097460.3.1.1 pep chromosome:SL3.0:1:88188034:88189222:1 gene:Solyc01g097460.3 transcript:Solyc01g097460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSLISPHSPSSSSSSTLRHSSIIGTRLPSIFLRNPTIRIRSTTPSIKAFSAPVPSPLTQDELKKLAADKAVEYVKSGMVLGLGTGSTAAFVVAKLGELLSSGQLTNIVGVPTSKRTEEQALSLNIPLSTLDDHPHIDLAIDGADEVDPNLDLVKGRGGALLREKMVEAASDKFVVVVDDSKLVSGLGGSGLAMPVEVVQFCWKYNLVRLQELFKEEGVDAKLRLDGNGKPYVTDNSNYIVDLYFKTPIRDSAAAGKEIASFEGVVEHGLFLDMTTAVIIAGKEGVSVKSK >Solyc12g049060.1.1.1 pep chromosome:SL3.0:12:61417085:61417369:1 gene:Solyc12g049060.1 transcript:Solyc12g049060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRVITLAPEDKYKTVPYVPEVCTEPKPEYKVPSLPKNDYYKKPTILEDNYKKVSYVRKVTSVPKVEYKVPSLPKNDFYKKLLSSPSPPPPYY >Solyc09g056060.1.1 pep chromosome:SL3.0:9:47272683:47273918:-1 gene:Solyc09g056060.1 transcript:Solyc09g056060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSPATLTITCNRGKGKVTLSIFVDSDLMIFSSSSQDPSDLPFGMLITHISESHFMFLEDFSLVLIKQRYNSSAFRSMGFTHFVYSWGLNSDSDDVVATPVKYKDYFSTYVSTTKLNITWENLIEMHNNLDILDITTARVPDLITKLSAMSEKVDSLKDLLLSAHFKMDSVKNVIKETCVDVASNHLKLY >Solyc03g124030.3.1 pep chromosome:SL3.0:3:72228219:72250276:-1 gene:Solyc03g124030.3 transcript:Solyc03g124030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERNGIPYLMAVAGTHFTVVQQPQVLFAPKSCSIYSRTFSFPSGKSQSNHQFQFQYHTKSKYGVLNCICCSTDSNSSRGFGPSDGNTNKGKNSATARRQAKGTVRPQRNSSTRQSDDLLNQAPRLNSTNDVRKSKSVGSDLQFEERLDVVKRSALQQKKTEEEKAYGAIDYDAPVESRSTTIGLGTKIGVGAAVIVFGLLFALGDFLPSGSVSPTEEIASKGKRIAEDERANLLKTLQQFEATLASSPEDPISLEGAAVTLSELGEYNRASSLLEELIKKKPSDPDVYRLLGEVKYGLMDYEGSAAAFRMSAMVSGTIDFEVQRGLTNALIAARKPDEAVQMLLASRKSLKEEKTSGSSDGTKNSAAKSKSEVDPIQVDLLLGKAYSEWGHISDAVSVYNQLISTYPDDFRGYLAKGIILKENGNVGDAERMFIQARFFAPENAKALVDKYSK >Solyc03g116840.2.1 pep chromosome:SL3.0:3:67586839:67589761:1 gene:Solyc03g116840.2 transcript:Solyc03g116840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSMQGVTMDTLTTLNEIADSEAQPNKFRRKKSIVWEHFTIERIGADCTRACCKKCKKSFAYISGSKLAGTSHLKRHIALGICPVGRTNQDKNQLTSFNSAAPTNGSAGATGKSRKRYRANPGPTSVPFDQARCYHDIAKMIIQHDYPLEMVEHSGFNKFVQNLQPLFSSVSVDTIQEHIFNIYLGEKQNLLNIIGAIPGRVSLTLNLRTSDQNLGYVFITGYFVDSDWKLRCRLLNVIMVPFPDSDVAFNHAVAACLTDWCLETKLFTLTLDQSVANVNVRKNLGHLLSIKGVNILNGQLIIGSCCARVLSDLAQYALHYMRAIVEKVRQSVKFVKTADAHEEKFLELKRQLQVPSAKELIVDDQTKWDTTYQMLMTASELKEVFSCLDTSDPDYKVTPTMDEWKQAEILCEYLKLFFDAANLLTSPTYSTADVLFHEVWKIQLDLMQAARSQDRFIRDLTRPLQEKFNEYWNDCNLVLAVAVVMDPRFKMKLVEFTFNKIYGEEAETWIKIVDEGVHEVFCDYIVQSLPPPPASFVEEANDNFVIKSEFSQEDSFLATNGDAFPDFEVYLDIINNQQMKTELDQYLEESLMPRSQDFDVLGWWRINRCKYPTLSKMASDILSIPVCTVTPDSVFDTVSRDLDRHRSSLRPITIEALSCSKDWLQYESWEPPYGTPDATVKVEY >Solyc07g054910.2.1 pep chromosome:SL3.0:7:63195739:63198080:1 gene:Solyc07g054910.2 transcript:Solyc07g054910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHGAWSWYKIIRSSGHNVTALDLEFMTSLPADEKIVLVCNSIGGLSISKSMETFPGKIAVAVFLSGPNISASSFHVRVRHCLTCFPAAYLSPEENACCQLLFSIPIRVNLSEKTYPSTVPTFKQTYTNENEINMSILISPNSVYS >Solyc09g007750.3.1.1 pep chromosome:SL3.0:9:1282913:1285129:-1 gene:Solyc09g007750.3 transcript:Solyc09g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPISAAFGKNGFFCAIDASGKQDVICWGNKTSNPSRPDVLNYPTDVPSMAALSGGDGFLCGILSNTSQAFCFDSMGSRSDLVPNVYKSNAYSHIAAGRNHVCAIRGPYYSESDWGSVDCWDIIRRSNGTFVSRESSLFYSQYISSYVFKKIVSGDGFSCGGVKDGGVLCWGPNSSGLGVSGLSENIHALTAGIDSVCGVLEESSEVKCWGSNVSFGVPPVGVPFVSLAAGEEHFCGIRKDNHGIMCWGNYNSSVIPKGAGFLAIASSDFITCGIREGDLVLDCWYTNISSEVDYDPPLQLCSPGLCTPVSCGEGKFSFNASLINEADLINLCVRKDLKICSPCGVNCSEGFFPSSSCTENADRVCTTCSLCQNTSCSDVCKLQISAELKQQHQHQMRQLIIIVGSTAFGFLFCLAGLCLIIRMISSKSKEGGKNQFASCIRKPEKDTDANTDPHPPVSVALCPGEAQVFRLSELKDATNGFKEFNELGRGSYGFVYKAVLPDGRQVAVKRANAATIIHTNSREFEMELEILCSVRHSNIVNLLGYCAEMGERILVYELMPHGTLNDHLHGGLSPVSWNLRLKIAMQAAKGIEYLHKEVSPPIVHRDVKSSNILLDADWGARIADFGLLTPNEKDLNGDVHMDVYNFGIVLLEILSGRKAYDRDCTPPSIVDWALPLIRQGKAAVIIDRYVALPRNVEPLLKLAEIAEQALRENPAERPDMSQLALLLEQLVKDVMIS >Solyc08g075390.3.1 pep chromosome:SL3.0:8:59641887:59662651:-1 gene:Solyc08g075390.3 transcript:Solyc08g075390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEFDQTTVKEEEHFDVLTKTGEKTGYSKPRLMECKDLAEGDVHRDGDYHRAVHVWIFAESTQELLLQRRADCKDSWAGQWDISSAGHISAGDSSLISAMRELQEELGVTLPKDAFELIFVFLQDTINDGKFINNEYNDVYLVTTIDPIPLEAFTLQESEVSAVKYLSLEEYRRVLAQEHPEYVPYDVNGEYGQLFTIIEKRYKENAEARSLSLQKQLNRYASTSLSAELTGLTAADKEALNLLVKAATIMDKIFYVQVWYSNPSLRDWLKENADKSQLDKLKWMSCLDENEAFLTTADSAVKLLPNATKPVPGWKGFEYRTAFPAVKPPGANFYPPDMDKMEFNLWKDSLQQDKQEEAMGFFNVIRRHSESLSEDSVSQKMGNVTSSPQDLYVVPYSQEYNSLLAEAATLLRKAGDKTSSSSLKRLLHSKADAFLSNDYYDSDIAWMELDSKLDVTIGPYETYEDALFGYKATFEAFIGVRDDEATAQLKLFGDQLQVLEKNLPLDDIYKSENVTAAPIRVIQLLYNAGGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKLILKPIADVCIMEEQRELVDFDSFFTHTICHECCHGIGPHTITLPNGQKSTVRLELQELHSSLEEAKADIVGLWALRFLMDKDLLPKNLAKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNYLFEKGAFILHPDKTFGVDFGKVEDSVASLSREILTIQARGDKEAAKTLLQKYGVMTSPLKHALEKLETVQVPVDIVPDFSIANQILRDIN >Solyc09g055150.2.1.1 pep chromosome:SL3.0:9:37008838:37009065:-1 gene:Solyc09g055150.2 transcript:Solyc09g055150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDIFPHGQGKYKGMYVCLCVGDWFGGKTTGKGMFCWPWRAMYEGNFNIGFMDGDGTYSGISGTAWSSTINRSQSI >Solyc12g062540.2.1 pep chromosome:SL3.0:12:33926679:33927431:1 gene:Solyc12g062540.2 transcript:Solyc12g062540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASFVFKAGVKEYKLTYYNPEYQTKDTDILAAFEVTPEPRVPPEKIGAVLAAKSSTGTWTIVWTDVLTNLDPYPLDLFEKVSIINKVFGFKPLPALRLEDLRIPPSYVKTFQGPPHEIQVERDKLKNGLDFTKDDKNVKSQPYMRWRDRFLFCAEALFKAQAEIGEIKGHYFNATACTCEEMIKRVVFA >Solyc02g094430.3.1 pep chromosome:SL3.0:2:55589405:55594195:-1 gene:Solyc02g094430.3 transcript:Solyc02g094430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANFYRRGRHLDFVRDFVPPSTSEFDSVYQPYRWMEVAFNPVMISTLENGNIVRGLTGIPSEGPVLLVGYHMMLGLELVPLVSRLWNEHQIVLRGIAHPLMFKKRREGKMPVLSMYDDYRFMGAVPVSATNFYKLLSSKSHVLLYPGGMREALHRKGEEYKLFWPEQSEFVRMAARFGAKIIPFGTVGEDDIGQMLLDYDDMMKVPYLKALIEELTGEVEKLRYDTEGEVSNQDVHLPIILPKVPGRFYFYFGKPIETAGRKEELKSKEKAHELYLEVKSEVERCIDYLKEKRESDSYRNIMARLPYQASHGFDSEVPTFDL >Solyc01g079540.3.1 pep chromosome:SL3.0:1:78471581:78477634:1 gene:Solyc01g079540.3 transcript:Solyc01g079540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGKVNLADSSVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >Solyc01g112060.3.1 pep chromosome:SL3.0:1:98088188:98092695:-1 gene:Solyc01g112060.3 transcript:Solyc01g112060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLYGHLATPTLPSRKLSSLSCPTMFHSALSFSIATTKAKHGSAPKVVCNAQSAPAALNLAPGTPVRPTSILVVGATGTLGRQVVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKFIFFSIHNCDKHPEVPLMEIKHCTEKFLRDSGLNHVVIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTFIALRNENINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRFTRQLTRLFEWTNDVADRLAFSEVLTSDTVFSVPMAETYNLLGVDAKDVSSLEKYLQDYFTNILKKLKDLKAQSKQTDIFF >Solyc01g099730.3.1 pep chromosome:SL3.0:1:89764274:89770061:1 gene:Solyc01g099730.3 transcript:Solyc01g099730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTREVYEEKLKRGNLYHDPTIKPGLGSARCPRCLSLLNSNSKSGEWVITPVLHDFTAVAGSGIGGLLSAIHGFNTGIPFVQRHVKGPKWLPFVIGLPPLLMFSAASATFGGYALPRFTQLTMTSYYTASSASHYGISLLTRRIEEAHTSGVQPKRLS >Solyc12g035521.1.1 pep chromosome:SL3.0:12:41509052:41525670:-1 gene:Solyc12g035521.1 transcript:Solyc12g035521.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVLKSENVELNAITIRDRFPIPTIDDLFDELNGSSMREINNLKTRLSAAFEMKDLGPAKQILGLKISRDRSAGTLNLSQELYIEKVLSIFRVNDSKPRTTPLANHFKFSKEQSPKTAEERDHMALVPYASAVGSLMYDMVCTRPDIAHAVGFVSRYMTNPGKEHWEAVKWLLRYLRGTSSTSLCFGKGKRFSNGHQKLKAFKTLKAKLDSTPLLALPYFNQEFQVGIDTSGKGIGVILSQKGHPGSSLATIIAYPPQTDG >Solyc01g098065.1.1 pep chromosome:SL3.0:1:88537912:88538248:-1 gene:Solyc01g098065.1 transcript:Solyc01g098065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSRMVTFSKRRKGLFKKFSSIRCMELLISGPNYDFDSDSGLKLLSNSNS >Solyc05g053020.3.1 pep chromosome:SL3.0:5:64031698:64033380:1 gene:Solyc05g053020.3 transcript:Solyc05g053020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNLHLLLFLCLSCYLSISNGTELIIVNNCKESIWPGILGTAGHETPNNGGFHLNIGQQVVIQLPNLWSGRIWGRQGCCFDVNGKGSCQTGDCSGLLNCSGAGGIPPATLVEMTLGTSNNPKHYYDVSLVDGFNIPVSMIPISGSIGCGVAACEADLNQSCPEYLALKCQGKIVGCKSACLATNSPKYCCTGEFASKNTCKPTAFSQFFKTICPRAYSYAFDESTGLKSCKASRYLITFCPPT >Solyc07g007580.2.1 pep chromosome:SL3.0:7:2237421:2239184:-1 gene:Solyc07g007580.2 transcript:Solyc07g007580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRLKFLRIKLFLSVYLILGNSRMQENVASIACDIELAHVPDWNLYQNTVTPKCMFRQTSTHRVLGVQTCWAQVFILPKRIMKLIMVYVEPSSGLVMLWYLKEPLCSRKSVFPKQQGAKGHTSDLLEQSCNPETFVGYSRNTVHCVSGRVKEACYCC >Solyc01g011020.2.1.1 pep chromosome:SL3.0:1:6894249:6898990:-1 gene:Solyc01g011020.2 transcript:Solyc01g011020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATSTRTGMIIPARWVYILTARYRSARSPLLLLPFKKKLCRIITSYEVFLY >Solyc02g068850.1.1 pep chromosome:SL3.0:2:39320371:39321278:-1 gene:Solyc02g068850.1 transcript:Solyc02g068850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKASKSYMDSDNLYSSTIPWANEFDYGEEVELSKILDMPQEHHANTSELPNVVPTNTTNISSHSSMDKNSSRISPITLDDDDDYSISYIYSMRLKNEENPKNFIPIPIVMEKQGDQTTNDMILQQPLPKIPIMERLERESSLKSKFTTNHPMRTSTDSCKEQEKNKTNDMSHILTLEKLKSHLIKSKLMVGQENGTSSSNVGPIRRSPNRESARYQPFGKEIDQNNQGSSYRIGQNNSESIFKRPQNSNEYMQGWNTQDSHFNNTTNWPLGPFH >Solyc05g041535.1.1 pep chromosome:SL3.0:5:53011993:53012313:-1 gene:Solyc05g041535.1 transcript:Solyc05g041535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVTGLRVCMDYQKLNAWTEKDHFPMPFMDHMLDRLTLKGIKIRPLLLSLMGHSHSKGCRLGCIMHRPLSKVMYDVDILRYGRRHY >Solyc03g118587.1.1 pep chromosome:SL3.0:3:68947441:68947651:1 gene:Solyc03g118587.1 transcript:Solyc03g118587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTIAGAEAEEDQITEMNLDLRFIKGEVEMKRALIGLFGLVVNPVREWASISLSPRSVL >Solyc12g100300.2.1 pep chromosome:SL3.0:12:68052959:68057909:1 gene:Solyc12g100300.2 transcript:Solyc12g100300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELESTKMPLLEGWKLTGSGLRHDFLLRLPDKVIKSCVIDSEASSIINYNISGLTKGEKEYYERQFETLKSFEEVDIAVTSDEIDEDDLEEEAQHERAMTVSNGANIILLALKIYATVKSGSLAIAASTLDSLLDLMAGGILWFTHLSMKNINVYKYPIGKLRVQPVGIIVFAAIMATLGFQVLIQAVEQLVENKPPEKMALNQLAWLYSVMLTATVVKLALWLYCRSSGNDIVRAYAKDHYFDVVTNVVGLIAAVLGDKLYWWIDPVGALILAIYTITNWSGAVIENAVSLVGQSAPPEVLQKLTYLVMRHPQVKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHVIGEGLQIKLEKLPQVERAFVHIDFECEHKPEHSVPSRIPNSEP >Solyc06g060080.2.1 pep chromosome:SL3.0:6:38106829:38112011:1 gene:Solyc06g060080.2 transcript:Solyc06g060080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVAVIHTISRKIQPNQRDNTQALILEMRKFDPWPIFFRREWSRNWPFLVGFAVTGTIITKMSLGFTEEDAKNSPFVQKHKK >Solyc03g019940.3.1 pep chromosome:SL3.0:3:62738667:62747492:-1 gene:Solyc03g019940.3 transcript:Solyc03g019940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALSPATTLRLKPFYAVRFFSHYRRPIKVLNPNFYSSYPPQLPPAPYLSRRRSFCSVVSAAISSGEAVERPKFENIEAKEGVKTEKVGEFRKRLRVADIKGGPEEGLDRLGETLVVRGWVRTVRAQSSVTFIDINDGSCLSNMQCVMGSDAEGYDQVENGLISTGASVWIEGTVVSSQGSKQKIELKVGKSDPSFPIQKKRVSREFLRTKAHLRPRTNTFGAVSRVRNALSYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPNSNEGGDSPVSAIPTTESGSVDWSQDFFGKRAYLTVSGQLNGETYATALSDIYTFGPTFRAENSNTSRHLAEFWVQHVLENCKEDMDFFDTWIEKGIINRLTDVVEKNFVQLSYTDAVELLLKAKKKFDFPVKWGCDLQSEHERYITEEAFGGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPRMRHGILHLKDGRGYHLPTLTHYHEMGIHAKPNNIIHSMKCSCMNLNPEQSQCLTGDLEVGELIGGSQREERLEYLEERLDHMNLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRTPGSAEF >Solyc01g006870.3.1 pep chromosome:SL3.0:1:1422507:1438520:-1 gene:Solyc01g006870.3 transcript:Solyc01g006870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDTGNISQYRAKLDQTLSSHDLVNDDLLKNLVKNQMLRSSECDLQECSDNLVERRTKEVANFLSMLRSASVADNEKAKSSEAAHPVWKVKQDTEDYRVMYREGPEGTPFHTLLVEGYVDGPSDVCLCISWGAEFYKKWWPQTTIPTFKIAASECVQKVREGEQICLVRMKLSWPLSAREALVHFFVFEYIQDGLIVVLLNSISDVDTVDRSTHGYSKDGIPLPQDVVRIDVVGGFAIQKVTDNRSYFRTIANMDIKLDFVPPSFINFISRQLVGAGFKLYKKEVASVAKGDEDFSNALKDPLYDRIRKALYSDSLPDDNGALELQDLKEETHASLDEGARDSNGASGLQKDFKKDACLGVDERTGDGNGALELQDSIKDAHVHPDHVTRKNVEDKKGHSEIEEINEDTSEMIESLDENDEKVRDSPDNRLVDVPANNKMVVIRSEVRQALGTLEKAISIIRDFGYNLEIRSVSGNTTVKSLGVAEDGRKDSKSSETDQIHGTRIACAESPGKELSEATRYEHRNSSASHGSRRISSSLCTREANHNTKIAPASPDDYVEIILGETQHVAVHVDQMKEENVASIHGKKNDQSVDYFQIFGLEKKYEIEGENLERKYKDWQRKLHPDLVHTKSQKEREYAAEQSARVIDAYRTLTDPLSRAIYILKLEGVHVDEEEKIDDVELLTEMLEIRETVDEANDSQELKQIQGQIQGKFEQSSNSFADALQSRKYEEALAAIRRMTYYKRANEEIVRKL >Solyc04g072320.2.1 pep chromosome:SL3.0:4:59434275:59437453:-1 gene:Solyc04g072320.2 transcript:Solyc04g072320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFEEMSLPELKCKCLTLDLRITKFYFYGAIILLKASPHVESLNITMTTTLMDHRRCAFELGYLAKERDIYFLSSFGFPNLKNVKVFSSSKMCLKGNIEWDNDDLLKLSEFILMKATVLEKFTIISKRKTCKICSMKCASRYSLRLAEKLAGCSRSSTTSVIICQEGASHD >Solyc08g068165.1.1 pep chromosome:SL3.0:8:57349861:57351375:-1 gene:Solyc08g068165.1 transcript:Solyc08g068165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHIVNKFSRLFPSSFTSCQFRNIPDVVENSFIISKNSKFVHNNAVGVSSRTNSSIKTQESKSNKNTLLKSSSSNSGWFSSEGENDETEDAFFSLSSGYFSDSFRRKPDESCRKMYNQKSSEMGRCYSELSVNSVSRVGSNLGESKWVKSRNGTSKTEQNGGKVSRTLRETEQNRGKTSRTARKTANQNDSEMSPSSSALWRTNERVHSNLDESKWVKSINELDFTTSKTEQNRGKAESKWVKSINELDFASSNTEQNRGKPIRTARKTANQNDSEMSHSSSELSMINEQVNSNLDELKRVKSINELDFTISKTEQNRVNTSRKTPPRSSRRTLRKTTPVEKFDYYSDFTSNTRRKTTKCRRKIKKSSSTSSDEMGRIKIVIEGRIEESIAVEKNTNDPHNDFRTSMLEMIVEKQIFGLKDLQRLLHCFLSLNSPSFHKIIFEVFAEIFETLFH >Solyc01g056730.2.1 pep chromosome:SL3.0:1:56439898:56470226:1 gene:Solyc01g056730.2 transcript:Solyc01g056730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKERIEEEEAVADGWKEDDKQKDGAEIIVDVMASTVTEQCACSPEGGEDLSVDMGCSSAQLTSQSEPPLAEEKRSEERETIVHAETNAKQLMVLETELFEQKGSVVLQRLLRPPRYFNFKGSIWKAFQICGEENHSAAGCSPLEKKKRRCFICGHYRHNGKYCKKVTACFICKRRGHLAKDCLNAKTQILQAQSCEFCLKCGDKGHDMFTCKDGYSSTDLEKIQCGVCKAFGHLSCVDYKDYGPKQVSCYNCGMLCHLSSDCLNLRRNIQLASPSCKKGGTGPSCSEGNNSADHTLQDEKKGDKYLLCERQRICKGPGSSSANGESAFSTTSDTISNLYSTSDVNAYVGNFQE >Solyc11g006020.2.1 pep chromosome:SL3.0:11:822773:824468:-1 gene:Solyc11g006020.2 transcript:Solyc11g006020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAAFSHTSFIYSSSFSQTRKNRINYSPFVIKAENSSSEPQENNEKPKSQAAAATTTSSSTTATATKPKKPVYSMKKGQIVRVEKEKYLNSINYLSVDHPPYYKGLDYIYEDRGEVLDIRIFETGEYALIGWIGIPTAPAWLPTEMLIKSDKLDYERI >Solyc11g068460.2.1 pep chromosome:SL3.0:11:53474900:53478584:-1 gene:Solyc11g068460.2 transcript:Solyc11g068460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYGSRPSYSPSAPPLFDPNEPPIAHPYRPSSSTSYANYSNYPHQAQPQTAGYGPGSGYYQNPPNYGSGFPPGTDPQVIQSFQMVDRDCSGFVEEKELQQALSSGYQRFSLRTIRLLIFLFKNPSEYFPRIGPKEFAALWSCLGQWRAIFERFDRDRSGKIDATELRDALYSLGYMVPPSVLEVLISRYTDGSGRRPELCFDSFVECGMVVKGLTEKFKEKDTRYTGSAKLTYDEFMAMILPFLVSY >Solyc07g040740.3.1 pep chromosome:SL3.0:7:49585099:49598259:-1 gene:Solyc07g040740.3 transcript:Solyc07g040740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:O-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CDV1] MPIMELPENQGATATATATASGIKSDLIRRRRGGNGFEPATAINGDDVCSSDAGFERMNEPSKSVGESNPREEVEAVANGQEESNTTEETTAYKFSYRASAPAHRRIRESPLSSDAIFRQSHAGLFNLCVVVLIAVNSRLIIENLMKYGLLIRAGFWFSSKSLRDWPLLMCCLILQLLPVTAFLVEKMAQKRHLTERAVVILHITITTVAILYPVLVILKCDSAFLSGVILMLVACIVWMKLVSYAHTNHDMRVLAKSMDKVETSDADYSYDVSFKSLAYFMVAPTLCYQLSYPRTPCIRKGWVARQFIKLVIFTGLMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCIFHLWLNILAELLCFGDREFYKDWWNAKTIDEYWRMWNMPVHKWMVRHIYFPCLRNGIPKAVALLIAFLVSAVFHELCIAVPCRLFKFWAFIGIMFQVPLVILTNFLQNQFQSSMVGNMMFWCFFCILGQPMCVLLYYHDVMNRKGSAH >Solyc06g010145.1.1 pep chromosome:SL3.0:6:5019394:5020299:-1 gene:Solyc06g010145.1 transcript:Solyc06g010145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKHTRSDDVGCGKAIIEPRMHTRSDDVWHARMAFRKHRWLDDVEHGVPLSPLDSTYGPMTLGVTCHHRHWATHAGGLRLASHVIGLGRALHDIMELGKHTRWDDVCHGNAIIIPGIHTRSDDIKCGMS >Solyc04g009300.3.1 pep chromosome:SL3.0:4:2739368:2743952:-1 gene:Solyc04g009300.3 transcript:Solyc04g009300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKRRDNDENYGCICPGCGIFMQDEDPNHPGYYKKKKVEESLEDFVDDEDVVVGEGGDDEEEEEFGDFIEGELEEGGSYGNITQEVLEKGKKKRVSKSERKRMAREAAKGEKEEVTVCARCHSLRNYGQVKNELAENLIPDFDFDQLITKQSQDGLKQSKKLPKLVLVATKVDLLPSQISPARLDKWVRHRAKANGAPKLSGVYMVSSRKDLGIRNLLAFIKELAGPRGNVWVIGAQNAGKSTLINAFAKKGGVKATKLTEAPVPGTTLGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNREEQKMHGQTVHIGGLVRLDLVQASVETIYVTVWASPSVSLHLGKTENADELKNNHAGVRLQPPISMERVSELGQWQKREVKARGTSWDVKSMDVAVAGLGWFSLGLKGEADLALWTYDGIQITLREPLVLDRAASIERPGFWLPKAISEAIANSSKLEGQEAREKNPSEETMQLS >Solyc07g061980.2.1 pep chromosome:SL3.0:7:64971543:64978807:1 gene:Solyc07g061980.2 transcript:Solyc07g061980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLICFSALLVTMIWMWRMVNWVWIRPRKIEKCFRKQGLNGHPYRTLYGDTKEMAKLTKQAKLKPMKLTDDILTRVLPFYHYTLNKYGNNCFIWIGPEPRIFIMEPELIRDIVMNNNIFKKPKPTPLVQLLVSGISSYEDQKWAKHRKILNTAFYTEKLKYMVPAMHKSCEDMMNKWEILICNKNKSCELDVHPYFEDLTSDVISRTAFGSSYQEGMKIFHLQKELAELTRQAFQSVYIPGWRFLPTRRNRRMKGIDNELKDTLRKIVNKRERSMNLGETQEDLLGILLKSNVNEIQQNGTKFGMTTDEVIEECKLFYFAGQETSSNLLVWTMVLLSVHQNWQTRAREEVQQVFHNNKPDFEGLKRLKIVTMILNEVLRLYPPAPYFLRKANQETKLGNMNIPSEVILMIPTIFIHHNEELWGDDVKEFKPDRFSQGIAKATKDRLCFLPFSWGPRICIGHNFAMMETKIALAMILQRFAFELSPSYTHAPTYVVTLQPQCGAHLILNKL >Solyc07g018180.2.1 pep chromosome:SL3.0:7:9633666:9637510:1 gene:Solyc07g018180.2 transcript:Solyc07g018180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNISHALKLHNGNETDMQALLAIKEKITQDPHGIFTSWNNSVHFCSWEGVICGHPPQRVTQLHLTSLDLAGTLSPFIGNLTFLTSIKLELNNFHGKIPPQIGGFFRLQHLSLTNNSFSGEIPINLSRCLNLVKLGVGWNQLSGKIPFEFGSLQKLERLEVHNNNLTGSIPETLGNLSAIKSLSLSVSGVPPGDSDDLSSLGIFTVVIITCMDFAIRLCVSFVKLKVIKIGHKWCTEPHPKSLSNASNLVELDAYGEVDDMRFVNSLSRCRNLQVMDLSDCRFGGIGNLVNLKSLRLHKNHFSGSIPEVVGNLRRLQLVDLSENKFSGSIPSSISNMTRLYSLHLENNELTGNIPLSFGDFRYLQDLDLSQNHLSGTIPDGFMSLSSLKTSLNLADNQLSGPLSVEIGGLNNLERLDISNNMLSGKIPSSIGRCVALASLVLSGNFFEGIIPSSISSLKGLEELDVSRNNLSGKIPTSLQLISLKKVNLSFNQLEGQLPTEGVFRNATAISVSGNRKLCGGIPELELPICPNAEPDGRDKSRSIKLMIPLLSGLVALVFIMSLVIIIRLRKARGDPSLTSSPVTYESLYRATNGFSSANLIGNGSFISVYKGVLDPGECMVAVKVINIEQQGATSKNFMAQCEALRNIRHQNLVKIYAACSTSDFEGNPFIALVYEYMPNGSLENWLHPIPGADTSTNEVRILGLVERLTISMDVACALEYLHHHCHNPIVYCDLKPDNIVFDNNMTAHVADFGLTMFFSDAMSICSSLDMLHQYSMGGKASEFGDVYSYGILLLEMFTGKRPTDRMFENGQTLHSFAKTALLDEIIEPMLLPSNSRERREADEEGVLINQDDTSIKQAQECLVSIIQIGVACSAESPRERMDIGDVVKELQLIRDILLASHTIHSSTSGSLRFEGSSSRSVTSNWQNFTSFRLP >Solyc03g058450.3.1 pep chromosome:SL3.0:3:26763853:26765769:-1 gene:Solyc03g058450.3 transcript:Solyc03g058450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLVNVFMVTLLVCLGHNVEGLGVNWGDISSHKLPPKDVVKMLQENGIKKVKLFNNDETILNALAGTGIEVMIGISNQLLKDLVNPDVAKKWVKENVTRYEPKSPKGVNITLVGVGNEPFLRDYKDTLTNVTGPALENIQNALNDAGLGDTTKATVPLNADVYLSPSYNPVPSGGFFRADIVDPLNYILKVLNKNKAPFMVNIYPFLSLFYGNGAFPFDYAFFDGVSNPLKDKDGVEYTNCFDANLDTCAAALAGAGYGNMTIMVGEMGWPTDGNNYANVTLAEKFYKGFVSYLAKGKGSPRRPGNVEAYIFALFDEDRKSTLPGNFETHWGLYYDDGTPKFPLDLHGNKKNLVPVPNVEKLSKKWCVIKPDVKNFTDVMTYACDRADCTPLTNGSSCQNLNDAAKASYAVNAYFQNSQQKDDSCNFEGKATVTTKDPSQGTCNFTIGFKSL >Solyc03g111920.2.1 pep chromosome:SL3.0:3:63978762:63981275:1 gene:Solyc03g111920.2 transcript:Solyc03g111920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLLVPLLLLTYFLHQWFFSPSNTQQRLSPPSPTKLPIIGNLHQLGSVPHRSLRKLSKKYGPVMLLQFGSKPVIVASSVDAARDIMKTNDLVWSGRPKSSMTDGLFYGSKDVAFSPYGEYWRQIRSITVLHLLSNKRVQSYRRVREEEISNMIDNIRQKCDSVIDLRDVFSCLTNNIISRVNIGRTYNEGECGIAVKSLIEELLILIGTFNIGDYIPWLKWLNKINGLDSRVKKVAKDLDAFIESLIEERVNRNKKVECSAGEAKDFLGVLLEIQDGNETGFPLQRDSLKALVLDAFVAATESTYSALEWIMTELLRHPRVMKKLEEEVRELGQGITEDDLRNMQYLKAVIKESLRLHPPAPLLIPRESMEDVKLLDYHIPAKTQVIINAWAIGRDPLLWDDPEEYRPERFLNSDIDVKGLNFELIPFGAGRRGCPGTAFAIVVIELALARIVHKFDFALPNEEELDMTECNGLTVCRKSPLLAVATPWSI >Solyc02g093210.3.1 pep chromosome:SL3.0:2:54790164:54794647:1 gene:Solyc02g093210.3 transcript:Solyc02g093210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCFSEKHSMWRRHSTGGGGANGYFILVLCHLALHCLLITRSTACSNGNCQLLDSCASATDCGPSLYCGDCPELGKSQPFCIRGQAIEPTSIISGLPFNKYSWLVTHNAFSMVNAPLLTGPQRITFYNQEDTVTNQLRNGVRGLMLDMYDFENDIWLCHSFRGQCYNFTAFQPAINTLKEVEAFLSANPTEIVTIIIEDYVHSPKGLTRVFADAGLDKYWFPVSKMPRKGDDWPTVNDMVKKNYRLLVFTSDSSKEAAEGIAYQWRYMVENEPGDPGVVPGSCSSRKESKALNSRSASLFLMNYFPTVAVQDGACKEHSTQFVDTIGACYKAAGNMMPNYVAVNFYMRSDGGGVFDVLDQMNGRTLCGCPTVTACQVGVHFGVCKNTTAANAAPTATNTAGMFSGSVQLTGHASTIHFSTTLYLFSLSSVMVLFLL >Solyc01g010230.2.1.1 pep chromosome:SL3.0:1:4944037:4944699:-1 gene:Solyc01g010230.2 transcript:Solyc01g010230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEEVKNYAIEKLKELFLLLNNFSGQFLSWFDKVFPPDTRKDKINHWFHVALPFLIITIFIALISYCCCCCCCRGRGRGRMMKAPGRNCRMPRSTFESNPRDYFRNLRSYPGDQLV >Solyc07g054480.2.1 pep chromosome:SL3.0:7:62919509:62924205:-1 gene:Solyc07g054480.2 transcript:Solyc07g054480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQTSTSMVIGTSYETPLHSQPISSPQNLNSHFNFRVFLGFNLHSFTQKHICKSQPSSKTDHPLHINIKVLQPHKLKLKGDDKDRVLIGFKLQCHSKAEALPSRTVINGKKKGYGGILPSILRSLRTESDVEKTLNLYYGKLSPKEQTVILKEQSNWEKALRVFEWMKSQKDYVPNVIHYNVILRALGRAKKWDELRLCWIEMAKNGVFPTNNTYGMLVDVYGKAGLVKEALLWIKHMKLRGIFPDEVTMNTVVKVLKDAGEYDRADRFYKDWCTGKIELDDFDLDSIDNSEPFSLKQFLLTELFRTGGRNPSRVLEMEKTCRKPQMTATYNTLIDLYGKAGRLKDAANVFNEMLKSGVALDAVTFNTMIFICGSHGYLEEAEALLNKMEERGISPDTKTYNIFLSLYANAAKIDRALQWYRKIRRTGLFPDAVTCRAIIRTLCKQNMVQEVENVISEIESLGMYIDEHSLPVIMRMYINEGLIDRAKTIYEKCQLNGGFSSPAYAAIIDAYANKGLWEEAEDVFFGRRDKVIQKKAIAEYNVMIKAYGIAKLYDKAFSLFKGMKSQGTWPDECTYNSLIQMFCGGDLVDQAKELLAEMQGLRFKPSCSTFSALIASYVRMSRLSDAVDVFDEMSKAGVKPNEVVYGTLIDGFAEAGKFEEAMHYFRFMNDSGIQANQIILTSMIKAYSKLGSVEGAKKLYEQMKNLHGGPDIIASNCMLNLYADFGMVSEAKMLFNHLREKGQADGVTFATLIYAYKNMGMLDEAIEIAEEMKQSGLLRDCMTFNKVMACYATNGQLVECGELLHEMINRKLLPDGGTFKVLFTILKKGGFSVEAVRQLELSYREGKPYARQAVISAVYSAVGLHTFAIESCSVITQPGLGLHLFAYNVAIYVYGASSQIDEALKIFMRIQDEGLEPDIVTFINLVGCYGKAGMVEGIKRIYGQLKYGHIEPNESLYNAIIDAYSDAGRFDLADLVSQEMELDLDVKKLTESESEGVVDEVSEGGGLACYIIRVEPFGLSQKNWKNEHDHDHVWCEGGRRKRRQKWTTIYLSCLSTSNI >Solyc06g083250.3.1 pep chromosome:SL3.0:6:48789433:48791567:1 gene:Solyc06g083250.3 transcript:Solyc06g083250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSSVGAKPWSHHPLHHHHHHFQIVHNCPLHSYMLQRNKHVPPCPLFTPFPLPQNPEQITPSLQPEVMNMQISEPNVSFNDSGNRCKVNSDFCAYVVCQRKLKRGAYFCPYR >Solyc03g006540.3.1 pep chromosome:SL3.0:3:1111449:1112459:-1 gene:Solyc03g006540.3 transcript:Solyc03g006540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLNHRILFQCNNSQCLPYLLPCYFPNHNSNSIQTIFSLSQSSSLLTSHKSTVKRSLSIVICHARRRIIYDNDDDEKEDNNNSELAMLEFYSQTMRNEALLVKALVDEEDVEVLIFKGFSSCLSCRTSPDPSKSVLPARAVIKCIDRIKGPFDPSNIVYLEKGLTLEAFKDRILPRAK >Solyc01g068300.2.1.1 pep chromosome:SL3.0:1:77311998:77313140:-1 gene:Solyc01g068300.2 transcript:Solyc01g068300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EGHTARNEQSLVWKALKKVETEKRIVLSGTPFQNNIKELYNTLCVVSPKFAADLEQKWASLSSSIDKNARALEELRDIISPLVHKCSENVKKVSLPGIRDTVVHLKPTDLQKELLRRIPENPSSFYEQNLVSLISVHPSLVANRKEFSDLESQLKERGCRSDPDTGVKMKFVVELIRLCGGMKERVIIFSQLLDPLNLIKEQLSSLFVWTLGREILYMDGKLDVKQRQISINSLNDRKSDVKVLLASIKACSEGISLIGASRVVLLDVLWNPSVEEQAISRAYRNGQTKFVHVYCPVTSKWEVDKIEQQTRKKYHSDVLLSRNHEAKMDPSCCESEDTILESMFEHEGLRHIFEKLSHAPRVLPPTTCLDSCNQPPKPSS >Solyc08g060950.2.1 pep chromosome:SL3.0:8:46129684:46131934:-1 gene:Solyc08g060950.2 transcript:Solyc08g060950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIYRNLTCWGSVTAANGTLNLTPDQPHNNSNKVRRVLFNHSIPVWPASFSTLFTIRISTHQSITGDGMPFLIAQDNKPSPPDSYGSFIGILDPSTQGGTLDELAVEFDTYRNEHEIDGNHVAVVTTSMESPVAVKSLSDAGIDLRSGRNITIKIDYDGQPLVNFLRQEIIMQEAVPRNAYVGLSASTAYFLEVHHVLNWNFTLLELPEESLKYGVDPNKENIALLWLCRLFIARKDGNERFQRKEDIEMLTGTAASGPQVFTYQKLSKATKGFSKDNLLGTGGFGSVYKGVFYDSATTVAVKQINVTSKQGWCYDGEKLLLVYEYMPNGSLDKYIGKIFLDWDTRFKILSGLESSLVYLHEECGNPIVYRDIKPNNVMLDTKYNAHLGDFGLARLLQNENFVTTMVAGTPGYLAPEVSYTGRATPESDVYSFGMVVLEVVCGRRSKGIMDENSLVDKIYIASEDQEKPPLLVLMGPSCSRECRLGYVMHLLYFRDV >Solyc02g071770.3.1 pep chromosome:SL3.0:2:41694439:41696040:-1 gene:Solyc02g071770.3 transcript:Solyc02g071770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDEAPGAMPPGDGSVPPGKSMTIGQHVIDKGAQLMQSLKPIKQMSQHVCTFAMYSHDITRQIETHHYVSRVNQDFLQCAVYDSDDSKGRLIGIEYIISDRIFETLPAEEQKLWHSHEYEIIAGLWVNPKVPEMVQKQELKNLAPTYGKFWCTWQLDRGDRLPLGAPALMMSPQGVNLGMVAPELVKKRDEKYGISSKDLEKTRADIAGPKTTMNPYANYWMQTGKGFAIDVELTDMKKTAPFP >Solyc09g015783.1.1 pep chromosome:SL3.0:9:11028255:11028793:1 gene:Solyc09g015783.1 transcript:Solyc09g015783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCRIAGKYILMYYEKYLLKKPCRRSKRSGYIFIQEILQGNETRCYENFRLKKVVFVNLSKDLEEKYGLKPTRGMSINEMLGMFLMTCAHGAGNRMIQDIFQHSGETVHRHFHSILKAVSKLARDIIKPHLNYNDGVGAHKPCNERYLPFFKVIQLSQLI >Solyc01g079270.1.1.1 pep chromosome:SL3.0:1:78220044:78220427:-1 gene:Solyc01g079270.1 transcript:Solyc01g079270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISQQPLLSSPEFLNKCDLSDPLWTKVLEKGVYIRRRSCSWLCKKHNGARIMKRRVRVERSRRSSNEAEKNVKMLEKLIPNCEPLSSSMGLERLFRETADYIWALEMKVKVMKMIVNVLSTPDIN >Solyc03g112030.2.1 pep chromosome:SL3.0:3:64056218:64059705:-1 gene:Solyc03g112030.2 transcript:Solyc03g112030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFAVFPFLIFLGFILLSFFQLSSKKSKKNLPPSPPKLPLIGNFHQLGQQPHRSLQKLTNEYGPMMMLQFGSVPVLIASSAEAASHIMKTQDLGFANKPKSIIPSKLFFGPKDVAFTPYGEYWRNARSVCMLQLLNNKRVQSFSKIREEETSLLLRKINHSIGNSQVVDLTDLFVSMTNDVLCRVALGRKYCDGEEGKKFKSLLLEFVELLGVFNIGDYMPWLAWVNRFNGLNAKVDKVAEEFSAFLEGVIEEHKEKIKTDEKEEGSADFVDILLQVQKENKSGFNVEMDSIKAIIMDMFSAGTDTTSTLLEWTMNELIRNPNALRKLRDEVRKVTQGKSDVTEDDLEHMPYLNAVMKESLRLHSPVPLLPREAIKDTKVLGYDVAAGTQVFVCPWAISRDPTIWENPEEFQPERFLDSCVDYKGLHFELIPFGAGRRGCPGITFAKVVNELALARMLFHFEFSLPNGAKAEDLDVDEALGITVRRKFPLLDIFAAGTDTTYSTLEWIMTELLRHPRAMETLQNEA >Solyc09g008400.3.1 pep chromosome:SL3.0:9:1854271:1860096:-1 gene:Solyc09g008400.3 transcript:Solyc09g008400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4CQM8] MLNKIIKRGQKKVPKSDPSDFVVAASGNRSSGSVSTSNVVVNHASRGAGAGVGVVNNSTQQQIVMSAAVPAPGTMEALPLLKDVPMAERQSLFLRKLQVCCYQFDFNDTMKMVREKEIKRQTLAELVDCVQSNSLKISEINQEEMLKMISVNIFRCLPPASHENTGSENVEPEEEEQYLEASWPHLQLVYELLLRYIVSADTDTKVAKRFIDHSFVLKLLDSFESEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPIAMYHQQLSYCIVQFVEKDYKLCDTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATQAAEFQRCMVPLFRQVARCLNSPHFQVAERALFLWNNEHVVSLIAQNRNVILPIIFEALEKNIRSHWNQAVHGLTVNVRKMFLEMDADLFEDCQKQYTEKAARASDLEKQRDLRWQRLAAAAGQGG >Solyc03g083140.3.1 pep chromosome:SL3.0:3:54397236:54407918:1 gene:Solyc03g083140.3 transcript:Solyc03g083140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIFICMSIYQGSNYSMCSYSRTIAAYPAASCRFLQKSIGMHQDTNTNKGALTNPRDSRNLGMILQIWPMHQTM >Solyc11g022600.2.1 pep chromosome:SL3.0:11:14707615:14715342:-1 gene:Solyc11g022600.2 transcript:Solyc11g022600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKSIFFPAFIPQLQRRRRHLLMYWRSSWHPRFLLNRPEWFERAVHRLVNDFHMPLTSGMFLHGVEVLVLLDESKLERKLDIFRSFGWSDSDICLMVQKLPYCLASSEAKIKTTLKFFMNELGYEPNYLASRAPLLKFSMEKRIVPRNEILKFLKENQLLKGRLCLYTAVSFSESDFQKKCVLPFREKMPEMYDLYMKSRS >Solyc08g044240.1.1.1 pep chromosome:SL3.0:8:22479681:22479995:-1 gene:Solyc08g044240.1 transcript:Solyc08g044240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSGSNIISYRGADYKYPYFSGNSFKNSSGQDDTPDLSMGMEEHITNSSGMDAVKSDASDTKYPPGVGSPNKVRFELPSESKHTEETNKLLEGLGPRFTVVRL >Solyc05g024373.1.1 pep chromosome:SL3.0:5:31371126:31373486:1 gene:Solyc05g024373.1 transcript:Solyc05g024373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILESLYSGVSIVLSVLIEKSLLFISSGTNKLHDLIQDMSKYSVKMHKDDPGEYRRLWDAKDFEEVRVNNIVLHSILQADGISLNHYILGATRFTIMIGIFMEKKHTTDKLEPSMGRE >Solyc10g080070.2.1 pep chromosome:SL3.0:10:61595253:61605728:1 gene:Solyc10g080070.2 transcript:Solyc10g080070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKSGGSINWYPGHMAAATRAIRQRLKLSDFVIEVRDARIPLSSANEDLQPMLSGKRRVIALNKQDLANRNLMHKWISYFNSRKQECLPINAHSRSSVHKLLDLVEFKLKEVMTREPTLLVMVLGVPNVGKSALINSIHQIALSRFPVQEKMKKARVGPLPGVTQDIAGFKIAHRPSVYVLDSPGVLVPSIPDIETGLKLALAGSVKDSVVGEERIAQYLLAVLNTRGTPLQWKHLVDRETKDPHHELENKCGVIKDLQNKGKKSMNKSNAYRVQDMVSEVQRTLCITLSEFSGSLEDESDLEILIEHQFEALQKALKIPHKASEARIMVSKKFLTLFRTGKLGPVILDDVPDASDSVS >Solyc03g065230.1.1 pep chromosome:SL3.0:3:42978672:42980349:-1 gene:Solyc03g065230.1 transcript:Solyc03g065230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRVHPLKDIKEDHLYQMWDVVTMFNGTCEILKDKRSCDDKNDKKEHVLQQLRWLLNNWRGSLHKIMKTKPFGDVVRDLPRGVVKSDWEWLVKKYFLCHKFMDEIQKSVQSDSSFKKIEVVEKCFGPQCKSHVVGFGGGIMAKEFKYGNSSKTALFDRFNACEKENDSLKRRMDELENKCERMDELESKYEQLAKVRLGQPFDTCFFK >Solyc02g061710.2.1 pep chromosome:SL3.0:2:33775124:33776442:-1 gene:Solyc02g061710.2 transcript:Solyc02g061710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IWEVARNELMHETIRTIQWSLSHTESSPEEFNILRHMYENVQEPFLNATSMAGDTRNDSGTNPFVALLGAQEQGRNESTNPPATGSDTTSNPASPNSNPLLDPWASADFGGAQMNTAPRSNASRNIWGPSPGGLDDIADLQRMLGGIPDASSENQLIGYPSISQIMQHINQIMGLDPNSHPGDMMPNPKLIHQLMSSERMQVNNFCMLSLSFACWNCKMCKWGMQCGKSRKSGITLFLIHRG >Solyc01g010493.1.1 pep chromosome:SL3.0:1:5352434:5355987:1 gene:Solyc01g010493.1 transcript:Solyc01g010493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGINWIKIDYLQIFGKHMSRYMGMKELGGPVHHFETTAFQLFASKTFSPYHRILSVQLCVTIKIATPFRQCLTDLLQIIMYNNHTLKNLPSQVGLSHAFTKEFSIFYHQRKGHKIIELSALRMYLSYKTLPDYLHFGDYFKSKGLL >Solyc01g060455.1.1 pep chromosome:SL3.0:1:70964189:70968456:-1 gene:Solyc01g060455.1 transcript:Solyc01g060455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNEADDVISSTSSMCRTMGPSESRKSEEQVDIEKSEELVDPLKSQQEFSDTTDSSESESEASSDEDNDRPEDKDYRVHESSTSISSESDDIRSDFDDDNDGVKASEKDYDDMRSGSDDDYDGRKESEDDDLSCSTKQKSKEEGKKESNGRLVPQLAVANEKHRRRPYLLRPRSLSKSKKKMLNRGSCSRPVVLSDDEGSISSSSEEAAVRIIEDGSVLDIVHVKNRGNAAGSMPKEFADRTRGRYGRKYFGDSSLLLDAGGFRYYDSSAVDDSAIYVEGTVWDLVPMNAKATMYPHQREGFEFMWKNIAGDIILENLREPLSGSRGGCIISHPPGTGKTRLTIVFLQAFLKQFPKCRPVIIAPANLLLNWEAEFKKWEVDIPFHNLNNKDFSFEEDEATVSVFHCLSRAGKRDPQLIRMVKLRSWAKSKSVLGISYDLFRILTGEDGDGYAKEIREILLKLPGLLVLEEGHTARNDQSLMWQALSKVETEKRILLSGTPFQNNIKELYNTLSVVSPKFAADLELKWTSLSSCIDKNVHALEELRDMIAPLVHRCGENVKKESLPGIRDTVIHLKPTDLQKELLKRIPENPGSFYKQNAVSLISVHPSLVANRSEFSDLESQLKERGCRLDPNAGVKMKFAVELIRLCDGLNERVIIFSQLLDPLKLIKEQLNSLFNWTIGREILYMDGKLDVKQRQISINSLNDPKSDVKVLLASIKACSEGISLVGASRVVLLDVLWNPSVEQQAISRAYRNGQTRVVHVYCPVISKWEVDKIQQQTRKKYHSDVLLSRNEVNTCQVNPSYSLSDDNILEAMVQHENLCHIFEKLSHAPRVVPMTCFPAQ >Solyc06g076450.3.1 pep chromosome:SL3.0:6:47639904:47641971:-1 gene:Solyc06g076450.3 transcript:Solyc06g076450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKMDHEYDYLFKIVVIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVLRWLRELRDHADSNIVIIMAGNKSDLRHLRAVSEQDGQALAEKEGLSFLETSALEAFNVDKAFHTILTDIYQIISKKALAAQEADSTALPGQGTTINVSDTSANVKRGCCST >Solyc04g007650.3.1 pep chromosome:SL3.0:4:1324680:1334179:-1 gene:Solyc04g007650.3 transcript:Solyc04g007650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFESSVFYLIEKVKSWISRGMSGLNMDVDSGKICWECKMRFTDSCNKYNCQICNGVFCGDCCTHSNGCFDVVASGLKGEVVGIKSCKFCSELRTWNNGVGKYRDKTCPFESPRESTESTSTNFNSDRFDGYSSHTPVKSSFTTFSGHPFPISLRHSPSRSDEDEGGDSTRQFFSPSSSYFHDTSDIDSSSVSTRHEFYSLRSAGSSPSDSPSRIRFTSNRAGHSVQQDQNEIPRSQNNGPFDQEASYVLRRLEKGTKDPETADASVENLSVYHNQLEKQQKPFDLRNSDFIWFPPPLDEDDEDENNFFTYDDEDDEIGESAAIFSSSANLTTMDKEHVDHKEPMKAVVQGHFRALVLQLLQGEGVKSGKESGSDDWIDIVTSLAWQAANFVKPDTSEGGSMDPGYYVKVKCVASGSPRESTLVKGVVCTKNIKHKRMNSHCKNARLLLLGGALEYQKIPNQLASFNTLLQQEREHLKMIVSKIEAHHPNVLLVEKSVSSHAQEYLLKKEISLVLNVKRPLLERIARCTGALITPSIDNIAMARLGYCELFHLEKVSEEHEPPNQFNKKPSKTLMFFDGCPTRLGCTVLLRGLCCEELKKVKNVFQYAVFAAYHLSLETSFLADEGASLPKVSVAIPEMTSADNAISVISHTASSARHHRVGNGPHNLVGSASCNADVGLPVSLVKHHYPPFKDPTTLDDTIEGSLVTLGQGEFQPSESPDLSKFEISDEFEPSNESYSAADSRQSILVSFSSRCILNGNVCERSRLLRIKFYGSFDKPLGRFLLDDLFGQIPSCQSCKEPAEDHVICYTHQQGNLTIHIRRQHSVKLPGEWDNKIWMWNRCLKCARIEGVPPATPRVVMSDAAWGLSFGKFLDLSFSNNATANRVAGCGHSLQRDCLRFYGCGSMIAFFHYSPIDILSVCLPPSTLMFSSYEEQEWLRKETDELLCKAKALYAEISSAIRRIEEKRSSLEHDLSDKPELDDCIMELKDLLMKEKSDYHDLLQTADAETSEQAQAVVDILELNRLRHSLVIASHVWDRRLLSVESLFQETSGSEYTGSCSELIDWRNNVFLKNGPLEHVYEETEPEFSNLDEYPQKPFQSEEEETHGSPYRLEESMFTSCEFKKTQDKHMEGENAVNGTPLERAPSAGSVLSDQIDSAWTGTDRSPKKALLDMKLQRNGSEAASFRQLSQLDYPPIARVKSPARVNSFDSALRLQERIRKGLPPSSLHLSAIRSFHASGDYRNMIRDPVISVQRTYSLMSPNEAQKFNLLMNSSPSFISYASLIHDGPRLMVPHNGFNDIVIAVYDNEPTSIISYALASKQYKERVTDKPNVSERGWNTNDIRKENGVACNVSRWQSFGSLDMDYIHHGSHGSEDASSTISSIFADSKTSPHLRISFEDESSNAGGKVKFSVTCYFAKQFDALRKRYCPDELDFIRSLSRCKRWSAQGGKSNAYFAKSLDERFIIKQVQKTELESFEEFGPNYFKYLTDSVSSRSPTCLAKVLGIYQVSVKHLTGGRETKMDLIVMENLFFGRKISKVYDLKGSLRSRYNADKTGANSVLLDLNLLEILRTKPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNALPTIVSPIQYKKRFRKAMTSYFLTLPDQWSS >Solyc02g014860.3.1 pep chromosome:SL3.0:2:19702359:19706608:1 gene:Solyc02g014860.3 transcript:Solyc02g014860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSTLPIQTVPTKSINKFLNQRAHFPNSWKFHNKNTYKNSIVCRANTSSITDFDLYDLFGVESSSNQAQIKLAYRMLQKRCHPDIAGPSGHEMAIILNQAYALLSDPVARMAYDKEVTKVADLKGYTGKPLYSAWCGEDNEERAVFVDEVKCVGCLKCALLAEKTFAVECVYGRARVVAQWADTEAKIQEAIDACPVDCISVVERSNLAALEFLMSKKPRGNVRIGTGNTVGARTSNIFDDLDKFQSRYQGAFNKTNPKASEEARILAFQAIRAISNFFYWQSPIGGDAEDIHALVPVRRRLIEPNVKKLRHVVEAMKQVKKSTVNQSSNDEYWSPSTPTLADTSLVVGEVDSFPKLPMEFYDEAFSPREKHQGSPRLGIVPFTTATAAAITVWIKLGEETSVRLKDHIGGSLALDIVNSPWLKVILAGITWYMIGMALVELTGTIHSLFNQDRKL >Solyc03g111740.3.1 pep chromosome:SL3.0:3:63869419:63875197:-1 gene:Solyc03g111740.3 transcript:Solyc03g111740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHQDLKAQLEAMTLRANNAEAENKTLVDRWMLLKMQDAERLNEANALYGDMLDKVKAASIEKLARQQVDGVVRQSEDGAEFYVESSIPSTCKQRIPAHDGGCASIVFEYNSSKLISGGQDRAIKMWDTTSGSLTNSLYGCLGSVLDLSVTHDNKFIIAASSSNNLYVWDANSGRIRHTLTGHMDKVCAVDVSKFSSRHVVSAAYDRTIKVWDLQKGYCNNTIIFHSNCNSLAFSMDALTICSGHVDGNLRLWDVQTGKLLSEVAAHSQAVTSVSLSRNGNMILTSGRDNLHNLFDIRTLEICGTFRSNANRVASNWSRSCISADDGYVTAGSVDGSVHIWSVSNGKMVSTLKEHTSSVLCCSWSGLGNPLATSDKSGTVCIWS >Solyc07g018020.1.1.1 pep chromosome:SL3.0:7:8852133:8852351:-1 gene:Solyc07g018020.1 transcript:Solyc07g018020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRTRCGSNSHLCSLYLLQGMVCPVHDWLMMILNSICKILRLTRRTLSPFFLLPSSPTSTNPKIISRSTCY >Solyc12g094700.2.1 pep chromosome:SL3.0:12:65826064:65829087:1 gene:Solyc12g094700.2 transcript:Solyc12g094700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFSFLILFPILLLSLIMSRATFARDFSIVGYSPDDLNSIDNIINLFETWMERHNKIYKSIEEKLHRFEIFRDNLKHINERNNIVSNYSLGLNDFADLSHDEFKKMYLGLKVQNERRSNDEFIYSDFVDLPKSVDWRKKGAVTDVKNQGSCGSCWAFSTVAAVEGINQIRTGNLTSLSEQELIDCDTKYNSGCNGGLMDYAFQFIVSQGGLHKEDDYPYLMEEGTCDEKRDESEVVTIDGYHDVPVNDEQSLLKALANQPLSVAIEASGRDFQFYKGGVFDGHCGTALDHGVAAVGYGSTKGLDYIIVKNSWGAKWGEKGYIKMKRNTGKASGLCGINKMASFPTKNK >Solyc03g095210.2.1 pep chromosome:SL3.0:3:57598097:57603639:-1 gene:Solyc03g095210.2 transcript:Solyc03g095210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDNSLTKIQPDWYSPTRLHDTPLDYDFPGDRFIPNRSLMDLHQAHTLLTNRTNNKLQKPNFNDEYRRKMEESLKLDVEGRPFRMLVFRGSPKWSRNSTRLIDEMRRSDKEIPLQINENRRYRKFPLKAIRALDAPLLSDDYYSNVMDWGKSNILAVVLGSILYIWNAQVQKAAVLMEVKREDDCPTSVAWSDDGKIVAVGCDSSKLQLWDAETSRLVRDLQGHQSRVGCVAWKGHVLTSGSKDRAIINHDVRARSNLVSVTRAHRGEVCGVKWSSTGNALASGGNDNLVYIWDSFKMSSRHYTHRFNEHQAAVKALAWCPYSSDVLASGGGIDDGCLKIWNTQKGTCISTNETGAQICGLQWNRHHKEILSGHGFGRGESHCQLCLWSYPSMARIGEPLRHASSSRILHLSQSPDGLTVVSAGADETLRFWEIFGPPQESSENVTHLDNLLSFKASAVR >Solyc12g019800.1.1.1 pep chromosome:SL3.0:12:11837988:11838143:1 gene:Solyc12g019800.1 transcript:Solyc12g019800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIHEDDFINMICKTNYYIFLSKDGYAYLMRPMLYDTRIPVAEETTQAMA >Solyc10g012250.1.1.1 pep chromosome:SL3.0:10:4775348:4775524:1 gene:Solyc10g012250.1 transcript:Solyc10g012250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVHIFTWQKQYLKHMKRMYPLPNKVNGIYLNTLLLKLCCHQNTSDIREAKETREII >Solyc01g091640.3.1 pep chromosome:SL3.0:1:85108404:85118345:-1 gene:Solyc01g091640.3 transcript:Solyc01g091640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLNLPSSKRNVRQWRLLDLVSAAFFAAVLIFFLLLCTPLGDSLAASGRQTLLRSASGDPRQRSRLIAQVESGRHNTAIDACSADYVDYMPCEDPRINSQLSREMNFYRERHCPLPKDTPLCLIPPTQGYRVPVQWPESLHKIWHENMPYNKIADRKGHQGWMKKEGPYFIFPGGGTMFPDGAEQYIEKLKQYIPIAGGVLRTALDMGCGVASFGGYLLSEEILTLSFAPRDSHKSQIQFALERGIPAFVAMLGTRRLPFPAFSFDLVHCSRCLIPFTAYNASYFIEVDRLLRPGGHLVISGPPVQWPKQDKEWADLQTVARSLCYELIVVDGNTAIWKKPQGDSCVPIQNEFGLELCDESVDPSAAWYFKLKKCVTRTSSSKGEFAIGKIPKWPARLMKAPSRAIVTKNGVDVFEADSRRWARRVAHYKSSLNLKLGTSSVRNVMDMNAFFGGFAAALSSDPIWVMNVVPAQKPLTLDVIYDRGLIGVYHDWCEPFSTYPRTYDLIHVGAIESLIKDPVSGKIRCSLVDLMVEIDRILRPEGTVIIRDSPEVIDKVERIAPAVRWTASIHEKEPESHGREKILVATKNFWKLPSSSY >Solyc02g091027.1.1 pep chromosome:SL3.0:2:53101997:53103516:1 gene:Solyc02g091027.1 transcript:Solyc02g091027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLILLGTSYLTKENDVITLEDCTFLWLSLRKMLNNNLGSLYDCHSDFEITDHFITLESCTILQLVLLKMLKNKLILGLLSGNDEEEPWIDHIYKNFIWTMIDQLGCLAPIYSANNKTFSSRNPVNGKIKGRFFINDEHILELVLINMEVATTSKLMPSNSLGGGGKKEKGVTTWCSCYLKALLP >Solyc12g038735.1.1 pep chromosome:SL3.0:12:51763220:51766380:-1 gene:Solyc12g038735.1 transcript:Solyc12g038735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVGLLFQATDELPQTLTTINLQNTTDDTFYVDSGVKYFEGGIHLNQSRYVTELLANTEMTLAKANDSSVVNLARQFMLSPYIEHLQGVKRILGYIKGTLHFGLRIISQSLCRLYGYSDADWGGSTTTRISTTGYSIYLGASCISWTSKKHTTVVRSSAEAEYRALASGAAETT >Solyc06g074100.1.1 pep chromosome:SL3.0:6:45947191:45949586:1 gene:Solyc06g074100.1 transcript:Solyc06g074100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVVENQAEVAECGCFLNAWLWFKQLVKTFMVKVVDNAISAKNLGKEDPRIIVHSLKVGFAITLVSLFYYFEPKFEYQGFGVSAMWAVLTVVVVFEFTVGATLGRAINRAIATCLGASVAGGIHRLACFSGSKTIEPILLGFFLFLIVAIVTYLRFLPKLKARYDYGVLIFILTFSLVSVSGYHDKQVLEMAETRITTILIGCDIAFLVCVLICPVWAGQQLHDKISSNFEKIGSFLEVFGTDYLNTSSEEASEQKTSDLEGYKSVLNTKSAEEVLANFARWEPRHGKFRYRHPWGRYLEIGGIARECAFRIHALNDYLNYETQVEPEIRTKIQEPCKKVSTECGHVLKELALAMKTMTYPHTITIHIDNAKIAAENLKTLLHTNSSWEGINFSDVIPMATVASLLIEIVSSSVKMVESFDKLATSARFKKTNQLAPVRVRTNSWKTRVTPDQPSTETVHHDVAGE >Solyc10g052873.1.1 pep chromosome:SL3.0:10:53967868:53969562:-1 gene:Solyc10g052873.1 transcript:Solyc10g052873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLRIENEVDSNMKNTIKYTFITPSAIEKGQGRGLKSLDEKRNLPSKSIFPQSSDLFKKHIKEIETNYKQKKIFFPAFCILCFIIEEKNIGIIRDVSNYNDKKVLQGRNKNKDLQTLPCPLVKEYERRIRTSRILRKRMFKLILHLLHLLIQ >Solyc05g032680.3.1 pep chromosome:SL3.0:5:46026042:46028092:1 gene:Solyc05g032680.3 transcript:Solyc05g032680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPIHIESMENELCQVLSQNASSKSVHESDILRIINEFVRNPLLKEGSILKRLDAINRCFGKDTVEEILFALEKEAITIDDKWMSNAIKSMKLASPTSLKITLRSIREGRKQTLRQCLIREYNISGHILLRSFNYNDFYEGGKAIFFTKDRKFKWEPSNLEKVHDAIVMQFSEVVHDDRWGYLELPQRQVFKTSKL >Solyc01g073880.2.1.1 pep chromosome:SL3.0:1:81097311:81097919:-1 gene:Solyc01g073880.2 transcript:Solyc01g073880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNYNQMEIPPTEEFSHFTHRHPLIKISDILDEEDQVICSGCEHDLSSGPAYTCTKLNCNFILHDSCFDLPRQIKHKSHPKHALSLRFFPPYNDGEFTCDACGNSGHAFTFHCDKCKFDLHVECASLPEIEEREDHQHPLTLCYNSSNLFIGKEVEVDVMCYVCKNGVGKSCWFYCCLACKCGAHLDCVSTQEIQVLDI >Solyc04g050621.1.1 pep chromosome:SL3.0:4:47674024:47683488:1 gene:Solyc04g050621.1 transcript:Solyc04g050621.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIWLVSLALVAKIFLVKEFIKRKKLPPGPIRLPLIGNLHMFGENLHQDLYKIAKKYGPIMTIYFGLVPTIIASSPHAAQLFLKNHDQIFASRPHHAACYYIFYKQRNLIMGKYGPYWRHMRKLCTLQLLNNVKINSFQSMRKQEVQILVNFLEKAASHRDVVDLSAKLTSLSANMACLMVIGKKYNNVDGDFKDMVQEMSRMAATPNLADLFPFLRLILRMKQIAKSCDEFLENVIDEHTGQYLSDQSKTSIDMVDTLMEIMQSGETEFEFDRRHVKAILLDLLVASMDTSSTSIEWILSELFKHPNVMKKLQSELDNVVGQKGIVEEKDLENLDYLNMVIKEGFRLHPVAPLLLPHESIEDCTLDGFQIPKGSRVLVNVWAIGRDPDVWHEPEKFIPERFVESTIDVRGQNFQLLPFGSSRRSCPGLQLGLTIVRLVVAQLVHSFDWELPNGTMPNDINMTEKFGLDLLVAFMDTLTTTIDWILSELLRHPNVMENL >Solyc02g067620.2.1 pep chromosome:SL3.0:2:38319223:38321925:-1 gene:Solyc02g067620.2 transcript:Solyc02g067620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQQHHRHHRPNRLSLPPRAAVPITTSTPTTTRTPYPSFNYPPSTPTPTPSKHRVSYLPTKSSPAKTSSSSSSRDPFHQKNRYGFFSFTRSQYKPLLAKSFLLAIVFLLRFQALRYCGAAAMILAEISGNIASRFISEGKSRDLFDRNRIRSYELSLWGLLICGLLLWVSVRNLDPVDPNYLELGLETSDWFTTAVMKPLRHILGDRKSRKIALFLLINTAYMVVEFAAGLMSNSLGLISDACHMLFDCAALAIGLYASYISRLPANGQFNYGRGRFEVLSGYANAVLLVLVGALIVLESFERILDPQEVSTNSLLSVSIGGLLVNIVGLIFFHEEHHHAHGGSCSHSHSHSHSKSDTDCHSVTEKHHHQHRHIDHNMEGIFLHVLADTLGSVGVVISTLLIKYKGWLVADPACSIFISVLIISSVIPLLRNSAEILLQRVPRAHEHDVKEAVNDVMKIKGLSGIQKLHVWSFTNTDVIGTLHLLVSSETDKSSAKTQVSEIFRHAGVKDLTMQVECIQS >Solyc06g008540.1.1.1 pep chromosome:SL3.0:6:2441328:2441636:1 gene:Solyc06g008540.1 transcript:Solyc06g008540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNYSNIIFATSYCVIFILFLFLSMQTSEATRNLQHKEDQDFMGKDNLLLPSLQWRPVRSPKSNPGTNVPTNIASQVSERNFVGRKELIAHPPSLSNSFQ >Solyc11g010750.1.1.1 pep chromosome:SL3.0:11:3791373:3791750:1 gene:Solyc11g010750.1 transcript:Solyc11g010750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGDENNTKKLHIVMFPWLAFGHIIPFLELFKFIARKDHKISFISTLKNIDRLPKIPSEFSNSITFVKIPLAKIDGLPKDAEATIDIITSEEMTYLKKAMDGMEKDVTNFLENNCPDWIIQDFA >Solyc06g035910.1.1.1 pep chromosome:SL3.0:6:25345996:25346214:-1 gene:Solyc06g035910.1 transcript:Solyc06g035910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGEQEQLLKKKAEYIEKLKNKIALVHKSAEEKRATTGAKRGEYLLTAEQMATKCQATGSSPKKPFLGCL >Solyc03g083720.1.1.1 pep chromosome:SL3.0:3:55083696:55084325:-1 gene:Solyc03g083720.1 transcript:Solyc03g083720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTSNCHSLSTFHISFFYFIVITSTLLCSSTATRELTNKSKLNTSDFIKTSCGTTLYPKLCIETLSPYSNSIQTSPMELANSALTVSLKGAKSTTNKIAKMSKEESLGPAEAHALTDCVENMEDSVDELQKSLLEMKNLNGPDFEEKMGNVMTWVSAALTDEDTCMDGFQDNSAMNSKVKATIRNYIVNVAQLTSNALALTKNLSSS >Solyc04g049330.3.1 pep chromosome:SL3.0:4:41437747:41442259:1 gene:Solyc04g049330.3 transcript:Solyc04g049330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:K4BRR1] MESSRGGQNGIQLLLAAEQEAQRIVNVARTAKQARLKQAKEEAEKEIAEFRAYMEAEFQRKLEQTSGDSGANVKRLEIETNEKIEHLKTEASRVSADVVQMLLRHVTTVKN >Solyc07g040805.1.1 pep chromosome:SL3.0:7:50095771:50109670:1 gene:Solyc07g040805.1 transcript:Solyc07g040805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSTTFEMNNLGPAKQILGMKISRDRSASTLNLCQEFYIDKVVSRFRVNDAKPRTTPLANHFKLSKEQSPKTVEECDHMTLVPYASAVGSLMYVMVCTRPDIAHAVGVVSTYMANPGKEHWEAMNTSHCFGKGKVTLQAFVDADLGGDVDSSKSTSGPETQIPAALISRYQVNQSKVICKSAHFKDPSSKSFIQSPRPIYNFLLSFHSFLKHMESRNRVKQQTLLIQYDRDEGTRNCLSRDPLIIPYPFVRRTEWLDLVPPSSFTSNPAAFIGAGFLRGIFLQEAATEKSRCCGLLTLISNSKSFSGSMESRRMISFMTLSIRNVFYCLSEIRWRELPPTKTIKEVMSFLERLNYISRLIAQSTVVYEPIFKLLKKDAPTKCTKESQTTFDAIKNYLSNPLVLVPLREGVHFVVFVYLG >Solyc09g074510.3.1 pep chromosome:SL3.0:9:66732712:66738900:1 gene:Solyc09g074510.3 transcript:Solyc09g074510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSMIQDMKDEFGSISRKGFRSRSHRVVQDCTVTIDALRQSCWANMPPELLRDVLMRIEESDSDWRPRKNVVACAGVCRSWREIMKEIVKTPEVSGKLTFPISLKQPGPRDKLVQCFIRRNRSSQTYHLFLNLNEVANDDGKFLLAARKCKRPTYTDYIISLNAEVASRGSSTYIGKLRSNFLGTKFTVYDAQPSNAGAKVSKCRSTRFVGMKQVSPRIPTGNYPVAHISYELNVLGARGPRRMLCIMDAIPASSIEPGGLAPTQTEFVPVNVDSFPSLHFFRSKSTRVDNFSSGPLPSPKDEVLTLKNKAPRWHEQLQCWCLNFNGRVTVASVKNFQLVASLGDGAGQENENVILQFGKVGEDLFTMDYQYPISAFQAFAICLSSFDTKIACE >Solyc11g065880.2.1 pep chromosome:SL3.0:11:51751534:51758477:-1 gene:Solyc11g065880.2 transcript:Solyc11g065880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQQPYHQPATLEEVRTLWIGDLPYWADESYLHSWFAHTAEVLSIKVIRNKITGQPEGYGFVEFGAHAVAERILQSYNGTQIPGTELTFRLNWASFGIGERRDAGPEHSIFVGDLAPDVTDYLLQETFRTHYPSVRGAKVVTDPNTGRTKGYGFVKFADETERNRAMSEMNGMYCSTRPMRISAATPKKSNTIQQQYAVAKAVYPPAVYTPTVQTIPVDNDLTNTTVYVGNLDPNLTEEELRQVFLQFGEIVYVKIPAAKGCGFVQFSARPSAEEAIQRMQGAVVGQQIVRVSWGRSPTAKQDAGLWGQPADPSQWNAYYGYGQGYDAYAYGATQDPSLYAYGAYAGYTQYPQQAEGAQDLASMTGAPPIIEQREEQHDPLAVPDVDRLNNAYLSVHASTILGRPLWQRTSSFSQV >Solyc12g062930.2.1 pep chromosome:SL3.0:12:35997300:36000273:1 gene:Solyc12g062930.2 transcript:Solyc12g062930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHACFIAFRRVSAFDQQEVVIWNNCLRNLAEGNESTPESMKASVVDQDEEGFPSPPLGDIAFFSAQTISIELSQFFDGMDDDGNPRNSGGFNVNHGNGRKPSLENKGEQQKKQEVVIKKKTTVAKPTKPSGGESGPGRPTKPALEQKLKKLRRSDEDVVQVKLEATKRKLQERYEEAEKAKRHRTIQVMELHDIPKKGPNQGVGLKNAHMRPGNNNRHWTNGRR >Solyc09g065290.3.1 pep chromosome:SL3.0:9:63586766:63588722:1 gene:Solyc09g065290.3 transcript:Solyc09g065290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSPVCLRIVQLSGNGCQEILRYQVSKNWLLWCHSSRILRAGFIDILVRAITKASIAIENQMTSLLSPLKQISDLTKPKFKSNHLEVSRIVNSRLAAAQPLQHISNTNYITNKCKILQIEQWFQVSLYILASDAFYLTSYYCNCATEMTINTNSRSQYLQA >Solyc06g075900.1.1 pep chromosome:SL3.0:6:47279562:47280884:1 gene:Solyc06g075900.1 transcript:Solyc06g075900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYFYCGGCGARIAFVQDYAHPGDDIENSRFFTKVFNVEVAEDEQYNPVLNGMNLATTFCGKCRTPLGWRVIAVSDLSDSHKVGGFYMRVKWLHEMNHDKYRDRTEGYGDSTEEELGANERNLDQDGSTTEQYEDSTEPEVGANEQNFDQDGGDNEPNFDQDGGFNELNFDQDGGVNEQNFYQDGGDNEQNFDQDGGVNELFFDQDGDANELNFDEDGGVNEQNFDQDGGDNEQNFDEDGGVNDQNFDQDGGDNEPNYDQDGGVNEQNFDQDGGADERNFDLDGGVNEKNVDQHGGSNEQNVCQDGGGNEQNADEHGSSSERNVGQDGGPPMKRPKI >Solyc06g007990.3.1 pep chromosome:SL3.0:6:1844569:1848252:-1 gene:Solyc06g007990.3 transcript:Solyc06g007990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDAIPRPIARICVTVVGAAFALFALKSFLSTVFFALAMMGVIYFGFIALNKDDGPKGGGGNASVDDSLEEARRIMEKYK >Solyc06g053850.3.1.1 pep chromosome:SL3.0:6:36810064:36812070:-1 gene:Solyc06g053850.3 transcript:Solyc06g053850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVSRLLQTCKTLHSLKSVHAHLLVCGSIASSDLVLNKIIRLYTRFGATNYARKVFDEIPERNPFLWTSMIHGYVENSQHTQAFSLFLDMHIGDVTPLNFTISSILKALGRLKWSRHSEGMLGIIWKCGFGFDLLVQNSVIDCFMRCGEVDCARRVFDGMEEKDVVSWNSMLSGYVTNDKLEIARELFDSMDEKNVVSWTSVICGYARKGDMEEARNLFDTMPTKDMAAWNVMISGYTDVGDMQTANSLFQAMPVRDTGTWNLMISGYCKVTELERARDYFEQMPYRNVVSWTMMIDGYVKSGKFHEARCLFDEMPEKNLVTWSTMISGYAKNGKPSAALELFRNFKKQNLEVDETFILSIISACSQLGIVDAVESVMSGDVGSRYFSDTRVVNSLVDLYAKCGNIEKASQVFEMADKKDFYCYSTMIAAFANHGLVEKALHLFEDMQRENIEPDEVTFLAVLTACNHGGLIDEGRRYFKQMTEEFRIQPSEKHYACMVDILGRGGFFEEAHEMILSMHVAPTSAVWGAMLAACNVHRNVQMAEVAASELFKIEPDNSGNYILLSNIYAAAGRWHDVARVRALIREHHVKKNRGSSWIELDSAVHEFVMGDVSHVEVDRICFILSLLNEDMKLSGYTKDTDLHPISTRYPSYLSLSSDTEMDEELF >Solyc03g114340.3.1 pep chromosome:SL3.0:3:65831785:65837330:1 gene:Solyc03g114340.3 transcript:Solyc03g114340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLLSPAEIKSISFLDNSKSSYNLSHLKFTGGLSIRRKECSGAFAKRVQCSAQPPPPPAWPGRAVAEPGRQSWDGPKPISIVGSTGSIGTQTLDIVAENPDKFRVVALAAGSNVTLLADQVKTFRPKLVAVRNESLVEELKDALADMEDKPEIIPGEQGVIEVARHPDAVTVVTGIVGCAGLKPTVAAIEAGKDIALANKETLIAGGPFVLPLAHKHKVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGAEYDNIEIVIHPQSIIHSMVETQDSSVLAQLGWPDMRLPILYTLSWPDRVYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYSAGRAGGTMTGVLSAANEKAVELFISERISYLDIFKIVELTCAKHREELVSSPSLEEIIHYDLWARDYAASLEPSSGLSPALV >Solyc08g062545.1.1 pep chromosome:SL3.0:8:51408833:51413864:1 gene:Solyc08g062545.1 transcript:Solyc08g062545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATEGVKEAIWLKEEGSIKVEKFLSTWMTLSIWGRSCETLFSEFKSFMMKEFEMSYLGVLTYFLGLQVKQVEDGSFLSQTKYAKDLLFKYGMHNCKAAATPMNARKISP >Solyc08g008380.3.1 pep chromosome:SL3.0:8:2803340:2808738:1 gene:Solyc08g008380.3 transcript:Solyc08g008380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQGSFMSNQQHQQHNFLAEVSGEDELCQELWRLCAGPLVDVPKNEERVYYFPQGHMEQLEASTNQELNQSIPLFNLQPKILCRVLHIQLLAEQDSDEVYAQIALLPEADQVEPTSPDLSLPEPPRPKVHFFCKVLTASDTSTHGGFSILRKHANECLPPLDMTQATPAQELVAKDLHGFEWHFKHIFRGQPRRHLLTTGWSTFVSSKRLVTGDSFVFLRSGKGEVRIGIRRLARQPSSMPQSVISSQSMHLGVLATASHAVTTQTMFVVYYKPRTSQFIIGLNKYLEAVKHRYSVGMRFKMKFEGEEIPEKRFTGTIVGVEDSSSQWKDSKWRSLKVQWDEPASVPRPDRVSPWDIEPFVASVATPLVPPMGVKNKRHRAHNEPKSSEPVPAAALAAWIPSAQFNPVIEGQSSDNPFSLHTSQTNSTATNSTFKARVDGIWSASKVNASLNMLLDETEASKSASPRPAFPSFASSQFGKQNDLLLPSLDDERKCGTITSCRLFGIDLKCPSFGSVNENPPLEPANNSDGSAEGCSGNLTSAGDSEDNSGLSRDSEDQKQEQLNPPPKEVHIKQVSSTRTRTKVQMQGVAVGRAVDLTKLNGYDELLRELEELFDIQEELHARNKWEIVFTDDEGDMMLMGDYPWSEFCNIAKRIFICSSQDMKSFSAGTKSPSCLESETTAST >Solyc02g090263.1.1 pep chromosome:SL3.0:2:52510413:52511397:1 gene:Solyc02g090263.1 transcript:Solyc02g090263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGIIDKFGGNLNLIGYSDCDWAGSINDMKSTSGYAFLFGSSICSWLSKKQGVVAQSTAEAEYVSASKATSQAIWLRRIFEDIGPGNTAVLAVVLDFQSPELLGSFHKKEIIVDNRDQTWTGRLRWNRLNKK >Solyc12g089090.2.1 pep chromosome:SL3.0:12:65195283:65201221:-1 gene:Solyc12g089090.2 transcript:Solyc12g089090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNYLFRLSRAARQGLQKSISGKESGLQDSVEILVAQGRLLFGNSKCFYSVPFARATDLNVLVRPGTLAAAQANLHVANQKKNISVVGAISRAVSIPSVSGPAFHICGYHIDRVILDPAESLSDSDSHKAPMEICGSRTCPPGCSSSKMTSRHLNGVLSVNNPITSYSNKSFDNCRKASMSLRNKNQPNNFLLYGYFAYNVAKRRGNSNLYEGFGLMGFHTSSTASSSTGTAHDVSFGSSTCGVQLSSSADSSEQNIRIDRTLKLNSGSCYLPHPDKEDTGGEDAHFICSDEQAIGVADGVGGWADLGIDAGKYARELMSNSVAAIQDEPKGSVDPARVLDKAYTCTKAKGSSTACIIALTDQGLHAINLGDSGFIVVRDGSTVFRSPVQQHDFNFTYQLESGNAGDLPSSGEVFKIPVAQGDVIIAGTDGLFDNLYNNDINAIVVHATRAGLGPQVTAQKIAALARQRAQDKNRQTPFSSAAQEAGFRYYGGKLDDITVVVSYISSDRNERSNSLKM >Solyc05g017780.1.1.1 pep chromosome:SL3.0:5:18355602:18356189:-1 gene:Solyc05g017780.1 transcript:Solyc05g017780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAFMLLVLPMLAMSIETTNMMNNNMAKPGCQTKYGSLTVPYPFGIGLDVGCSIHPILDIRCNTSSNPRIAYLNTEATNVLNITTKPMEFIDISTSQIRIRNVLASKCYEENGELSAQQLVFLNTRVSFFTLSENDNKLILIVCDDSTMVFGFSLYEGKNFITGCMITCSRGGCELMGHVVGLVVVKPRSLRG >Solyc05g010470.3.1.1 pep chromosome:SL3.0:5:4706292:4711829:-1 gene:Solyc05g010470.3 transcript:Solyc05g010470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQSIARTFPVKPKLKPTSANSSTPTLESKYWKSFKTPKEIQTPQTLVSSINSIVFSPTSPHDFAAAHSATVSIFSGKTLEPKTTISSAFRDTVTSASFRSDGRLIAAGDLSGAVQVLDPKSRVPLRRLRGHTRPVRVVRYPRVDKLHLFSGGDDAIVKYWDITTESKIYDLLGHKDYVRCGDASPVSDDMFVSGSYDHTVKVWDVRVMNSGAVMEFDHGKPVEDVIYLPSGGLVATAGGNSVKIWDILGGGKLLCTMESHNKTVTSICVGKIGKESGEEAQQYRILSVALDGYMKVFDYAKFKITHSMRFPNPLMSVGFSPDCSTRVIGASNGTLYIGRRKVKESESMELGDVGGFGPVEVPRRRVLRPSYYRYFQRGQNEKPSEWDYLIKKPKKIKVTEHDKLLKKFMHKEALLAALNGKNPENVVAVMEELVARKKLMRCVSNLETEELGLLLKFLQRYSTMPRFSRFLMGLTKKVVEMRAEDIKSSDELRGDIRNLKRDVEEEIRLQQTLLQIQGIVTPLLKIAGRR >Solyc04g009870.1.1.1 pep chromosome:SL3.0:4:3184880:3185035:1 gene:Solyc04g009870.1 transcript:Solyc04g009870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPQSNKESHMRHSPMKPPPPTPPPPSPPSPQLQPPSPPPPARPSTPIC >Solyc01g011460.2.1 pep chromosome:SL3.0:1:9227431:9256936:-1 gene:Solyc01g011460.2 transcript:Solyc01g011460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKELHLLAANRILRYLKCIIDFLFYKKGDMADLIAFCNSDYAEDIDDHKEYIGLCIILITLAKNVKDSALVRASNKSNKPTVLNWMVAILYSGSFNLDDINNGRMLVLVTRKDFRHKRLVQMDNMAELITSNKPKKTEDYEIDSDLLTIRMKIHVDRISLQLLQNTTLLRRDYKKTYALLSYPKSTYLVTAIFCTMHYAFGLHRCPKREKSKKINSEKFIKVKTQREDVTEERHLAFLNMWLCKFVFCVGSSMVVKEYNRQAIALASCRKHILVNKLALNYIWSRFLIPRELQYGLAVGNSSVGKSGVEYYCPNQFAAVWIDTYSSATCSSITQCFTGRKKLVKFHPNPKCTPSFESWWSTYIRRTRNESDGQILERIISEEAIKIRRNKGFVYEIVSSMYNTFKLLIVLVDSILRQEKDGRPSKNAKRELVLPDVEHAGVPGLSHLTVNHHENSIADENVEPLSSDVKSPAIKAALPIYVESPDLSVEKAHDLEELRRNLPFLQANFQEAKKQMDEYYKESARKVTLVNDLIKKQELHTELKDLVGMDASISNLKEIEALEMNLTTKLSHLEAKLEELRDEFPSLKKDVADSLATQAEKSWADYQNRICVS >Solyc01g094070.3.1 pep chromosome:SL3.0:1:85533286:85535054:1 gene:Solyc01g094070.3 transcript:Solyc01g094070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEMGRVCVTGFVGSWLIMRLLQRGYSVNTTIRSHPDRNRDIKYLTKLEGASERLQIFNADMNKPQSFTAAIEGCVGVFHVAQPMDFEKEEVDEIKVKSVITATLGILQACVDSKTVKRVVYTSSVASVIFNDKGLDESSWSDVDFIKFAKPFLLCYSTCKTLTEKAAIEFAAKNRLHLVSVAASWIHGPFITPHCPFTVQIFLDIIFRNGEEGVLEQQKYIPFVHVDDVVNAHIFLFEHSNANGRYICSSGETTIFELSKFLSARYPQYEIPIIEGSMEGLKYPKLSVKKLLDTGFEFKYYGQLEEMYDGAIECCKTRGLL >Solyc05g043410.1.1 pep chromosome:SL3.0:5:57156769:57157436:-1 gene:Solyc05g043410.1 transcript:Solyc05g043410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKALKALDVSIIPHNVSCLYLGTYTIWILEIIAKTSICSSSLSLWFPV >Solyc02g083725.1.1 pep chromosome:SL3.0:2:47578138:47580168:-1 gene:Solyc02g083725.1 transcript:Solyc02g083725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLEGASFAETPTWAVATVVAVLVSIGFLIHGSLKKFGKWLHRTKREPLYAALEKIKEELMVFGLLSLLMGHWIVYIAKICVKSSAVSSHFYPCSPPRNKMKSAITRFALSGSSYSNFSTSRLLLSSGHEDFCPEGLQSFASKESLEQLHRFLLVLGVSHVSYSFFAIALAMIKVGYLLHD >Solyc04g015510.1.1.1 pep chromosome:SL3.0:4:5720051:5720590:-1 gene:Solyc04g015510.1 transcript:Solyc04g015510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFTPYFFLLVLVSNIFNFQTSLCDLRADEILIENICKQVQDLQFCLSTFKQILHTHPYVPNEITRASLSQSLQNANDNHAFVQKAKAEAKDKELQDLYAICVTSYELLTSLLQDSIQSLANKDYNGLENSLFKCPRFVSACQNALGSKTTPEMLDRSRKQFDLVLMAKIAEGLIPK >Solyc08g044270.3.1 pep chromosome:SL3.0:8:22396634:22397643:-1 gene:Solyc08g044270.3 transcript:Solyc08g044270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDSLGVFGGELPGHKVIGDIAVTRNSTAGVGLISPPHHHNIYSIEDLAQLIHDLKNANPGARVSVKLVSEAGVGVIDSSVVKGHADRVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLSAEEFGFSTAPS >Solyc05g016020.1.1.1 pep chromosome:SL3.0:5:13461521:13461874:-1 gene:Solyc05g016020.1 transcript:Solyc05g016020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGFCCEISDSIVFTRVVKRTAWGFGDQIWLFACRLLEWQRRWSGVLVSCCVVVGRAIVGCGLVLWFIGGWISSRIAMSEGRKEDGVVILGLIAALSSFSYRISLKLGSHYEFFYR >Solyc10g079670.2.1 pep chromosome:SL3.0:10:61295200:61299410:1 gene:Solyc10g079670.2 transcript:Solyc10g079670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNKDQNTQAYVQILGTGMDTQETSPSVLLCFDHERFIFNAGEGLQRFCTEYKIKLSQVDHICLTRVCSETTGGLPGLLLTLAGIKNGSFESDDHVRIWGPPNLDLLVNAMKTYVPHAVMTKKNIIPQSGSALAPPLYVEELRDVDKFKAVNISAFLLSPAQFSPNDTSIVYICKLHDIRGKVDIVKAKACGLEDKRKLGQLQKGISVKSDLLDIEVHPDDVIGPPIPGPIVLIVDCPTEPHAQELLSAQALDAYYSDSQSNFTNVVNCIIHLSPATVVNSPVYEKWMRKFDSAQHIMARATRLLFPDPSFPYVQNDDDNVAAPNIKVPVENSVCGISAENLLKFALRPPRKLGLDRSCVQNTMTSSVFIEELLSEIPEIADAAKNIRNFWHKPEDDEVELSDRQDSNDVVIEEPSKFSVPKCLENVQRDDLEIVFLGTGSSIPSKYRNVSSIYVNLFSKGGLLLDCGEGTLAQLKRRYGISGADTVVRNLRCIWISHIHADHHAGLARILALRRDLLKGVEHESILVIGPEKVGEFLKEYIKLEDLDMLFLDCWSTTRSKWDNTEAEDKSSQPCLKKLKPSTPLDDITLLKRLRKVLGEAGLMRLISFPVVHCDDAFGVVLESADRMNHGEVVPGWKVVYSGDTRPCSEVIDASLGATILIHEATFEDGLVEEAIARNHSTIKEALEVGDSAGAYRVILTHFSQRYPKVPALDEVSMRRTSIAFDLMSVNLADLPVLPKVLPYLKLLFRNNG >Solyc09g015040.1.1.1 pep chromosome:SL3.0:9:7854816:7856348:1 gene:Solyc09g015040.1 transcript:Solyc09g015040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSTGKPGFFRNVLVRLCLFCVVIVCCRFAYVVTLKGETCDLGDFCFFSLPENVNVIAGVGKLTESVSAVMTTENAVKSAPAKPKLPDLWANKEFQKSAQFYSSVFEDLVAEGFLSPNSKTLCVETPMGSDVFALREIGVADSVGIYKKGSKPLVITGKAVKQPFEDDTFDLIFSGAGMIDKSLKPGDFAAEICRTLKPEGYLVVHTGSNDTYSFNSFLHLFNCCTLIKSRNIDGFDSTIREIIMKKDIFVEEKVSDSKNNCGHVPDYKMKLIRKAEPLIKEEPKKPWITLKKNAQSIKYLSSMADISFKQRYVYVDVGSRSYGSSIVSWFKKQYPKQNKTFDIYAVEADKTFHGQYKEKKGVTLLPYAAWVRNETLSFEINQDPGHKDVSKGRGMGRIQPVESSSEGASEVDLIQGFDFAEWLMSAVSEKDYVVMKMDVEGTEFDLIPRLIETGAICLIDEVFLECHYNRWQKCCPGERSSKYPNTYSQCLDLFTSLRESGVLVHQWW >Solyc01g073800.2.1.1 pep chromosome:SL3.0:1:81041445:81042361:-1 gene:Solyc01g073800.2 transcript:Solyc01g073800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LITDFKKVRKKNQMGRQNKSSNASCEGKQHFSHPHILKPIVNRHETLTCNACEQPNNNKPNFRGCNSCQYFLHDNCFDAPRFLNHASHPSHPLTLHQIPSYSSRTYTCKACNSAGNAFCFSCAACEFDIHLQCASCPSSILVDKHPHQLGLHFGSPYEDKNMEYVCDICNEIMNKNDWLYYCAGCDFGSHLRCAITSPEVGVFPEQHRPSPNPYSNSNLNPNPNAAVEMINSVNAAHERLIAAQIRAQFAARGQEAALDLI >Solyc06g036350.3.1 pep chromosome:SL3.0:6:26005747:26011202:1 gene:Solyc06g036350.3 transcript:Solyc06g036350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRIARPLMMMAKVKETTGIVGLEVVPNAREVLINLYRKTLEEIKEVPEDEGYRKAVESFTRHRLNVCVEEHESEMIEKRLGCGQVEELIEEAQDELKLIGHMNEWKPWGIPDDYECEVIENDAPVPKHVPLHRPGPLPEEFYNTMEAVTSGKLDAGSKKDEPAISSGDTQSK >Solyc01g089940.1.1 pep chromosome:SL3.0:1:83518338:83521680:-1 gene:Solyc01g089940.1 transcript:Solyc01g089940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVKAYFNRNWTREDLMNTGEVSAYAYTSLTTVYLNLFCAMLNFTFGSFLHLIIWEVGGLFTVLSSLASLLWLYFASPLRVRLRLSLLMYAACTLGASFGLFTKYLFKIYPPLIVNLLEASTFSIGIIWYGSTYTRERRAIYMTCLNFSFALMCSSIFVYGIDMLDIHTVYWAFKNLKAGGRGRGGLGAGRLEVGGKGGLGAGNLKAGGGGRGGLGQDTSRPGGDGDWSTGRAPRVRVWGVASGKGASRP >Solyc01g109750.2.1.1 pep chromosome:SL3.0:1:96531948:96532998:-1 gene:Solyc01g109750.2 transcript:Solyc01g109750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSFLSYVELKKQAMMDVEAGPDIEMGQLDPTDERNLSKFFEEVAVIKSDMEEINNLLVNLQDLNRKTKSAPSAKILQGHRDQINSDIITVLRKAKMIKTRLELLDKSNLDNRGVSGSPVDRTRISVTNGLRIKLRDMMNDFQCLRENIVAEHKEGLRKQYSNANGKEPSEEAIEKMMQERVIEKGVVENQDRHEAVKEIQKSLVELHQVFLDMAVMVETQGDQMNNIEQNVVNAGGYVNGGMKELDRANRMKRTRTWACWIGALVLVFLLICLIAILF >Solyc01g011530.1.1 pep chromosome:SL3.0:1:9506319:9507265:1 gene:Solyc01g011530.1 transcript:Solyc01g011530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQKFHSESLGAYLSSCGEVATLPFMNWIGLHIYFVDSEKLVNVCYFEIMLACTSTLFMTREICKDRYVVLLRIFEKSDHVSTFRWESETCLEMLLGPLLVRYLNTFPGTVYYTSNYKLQPIRELSELCA >Solyc02g032333.1.1 pep chromosome:SL3.0:2:28724620:28733060:1 gene:Solyc02g032333.1 transcript:Solyc02g032333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSLMVCVHSSMQNYYVIFNFFFLIDNTIVERSIMIECLSSASFFRQVCRKEFGILNDTSKLKKSNFYKILLSSAFNTHFLKNYLGKNVSNLYKKKYFFLLIFYIYVYKLDTCAARLVMGVVESVKNNRVINSIYFKLLLKSLLHLVSLLLPSLRSHIISLFGLSKKSFDTSTSLKIRNKTWLRDLHNLKSTLLYFLTCKIFSFIFEGTLKDKETTF >Solyc06g072260.2.1.1 pep chromosome:SL3.0:6:44662081:44662500:-1 gene:Solyc06g072260.2 transcript:Solyc06g072260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGNTGVGEMAGSSALNYFCYGCQSTVSLTNSELSCPNCNGTFVEESPSPDPVTRANPDSSFCFPTDSGNRSDDEVSALIESGFGQSPVEVDPVTFINRDGDGTIQLLLENNPENEVPIIFGDFTVGRHFLQLIRQLA >Solyc01g091380.3.1 pep chromosome:SL3.0:1:84883079:84898148:-1 gene:Solyc01g091380.3 transcript:Solyc01g091380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCYFEEYKLLAYGLSVVKVLPCELHPTSAQSVVTWSQASVRRGATLDASFLNLDASRGSLEGFVREKAQQVETTSVSHFVSQSEKPLHMETTCGSLLSEMQRIWDEMGEPDIERDKMLFELQQECLEAYRRKVNQASRCRAQLRQTVADSEAEIAKICAALGEQSSYARQISRSLKEELEAIKPKLEEMKRRKGERMNQFAAVVDQIQTFSKELCLHFQENAQMSVIDENDLSVRRLEEMQNYLLALQKEKSDRLKLLVDHLTTINSLCVVLGVDYKQTISEVDPTLEDSRVLKNISKDMIFKLSAMINRLEELKKQRLLRLQDLATTLIQLWSLMDTPLEEQQKFYDFTRHIAASENEINEPNVLSADSLQHAEAEVSRLQAMKSTKMKEVLLRKRLELEEICRKAHLVIETQNSVDFSVEAIESGTIDPSYLLEQIEVQIAKVKEETFSRREILEKLEKWLAACDEECWLEEYNRDENRYHGGKGTHRNLKRAEKARVLVNKIPAMVETLRLRTSAWQKERGHEFLYDGVAVLYMLEQYCVLKQERELERQRQRDQKKLQGQLMVEQEALFGSIPSPSKSAKKNFRPSMGGLTNKRLSLGGSMLQIPYAGKPAPSSRLSSSLKQQTPQSGRRDSILAPRKNQGNLYPPCTPRTELVQTRKAPLNPPRTKSAQIRKGPLNPPKNATTQTRKPLSPLSSLLSSNASTINIQNQTLKSGVVQEALYLNRTPVMTPTKITTAEGRLKETVSFNKTPIITPTKIASAVEGSITPKTLPIPMPATPSSVSTAMQTAMTPSSRHVSACADNIEYSFEERRTGYVPLPHANVITKCLI >Solyc07g054260.1.1.1 pep chromosome:SL3.0:7:62725547:62725882:-1 gene:Solyc07g054260.1 transcript:Solyc07g054260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVSNRSLRFSKMIDGYEPLDQSQINGITWSSLSYQTERARKRHIFLQSYKLETYDENSRRTKMKKIVVKMNSVMVSVLSFMWSHTLRTDCNCQSSIHVVPPTRIIRYR >Solyc11g062410.2.1 pep chromosome:SL3.0:11:49729284:49737814:-1 gene:Solyc11g062410.2 transcript:Solyc11g062410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAKIKRRVGKYEMGRTIGEGTFAKVKFARNSETGEAVAIKILDKDKVLKHKMAEQIKREIATMKLIRHPHVVQLYEVLASKTKIFIVLEFVTGGELFDKIVNHGRMHEKEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDVNGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDHGYDGTTADLWSCGVILFVLLAGYLPFDDSNLINLYKKISAAEFTCPPWMSFGAMKLITRILDPNPMTRITISEILEDEWFKKDYKSPIFNEKGDANLDDVEAVFKDSEEHHVTEKKEEQPTPMNAFELISMSKGLNLGNLFDEQEFKRETRFTSKCSANEIISKIEEAAKPLGFDVHKKNYKVMRLENVKAGRKGNLNVATEVFQVAPSLHMVEVRKAKGDTLEFHKFYKNLSTSLEDVVWKTEEDMQAR >Solyc10g018100.2.1 pep chromosome:SL3.0:10:6666395:6667205:1 gene:Solyc10g018100.2 transcript:Solyc10g018100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFPLSPMAANKCMNFLQQQQLQSAESPRAAAALMMGDDMHKLSRSRFERGDFGLNGGVGITNPRSRQIYLTFPADSTFKEEDVSNNFSTYGPVQDVRIPYPQKRMFGFVTFVYPETVKTILAKGNPHFVCDARVLVKPYKEKGKVPEKFKY >Solyc02g085490.2.1 pep chromosome:SL3.0:2:48996246:48998280:-1 gene:Solyc02g085490.2 transcript:Solyc02g085490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAC19.5 [Source:UniProtKB/TrEMBL;Acc:Q9FYX1] MRILCPNVDKEDGLETVLEVPIPDEMFKSMGSNAALRWQNMATWMKAQTSDKWSSPIVAARYNELSFLLYMVGSPLIPFQIQLGQSVNRPVKHSSIEASTAKYILQQYIAATGGQPALNAVNSMCVIGHVKISGSDFHQGDQSVKVRKSDENGGFVLWQKNPDLWCLELLISGCKVISGSNGKISWRQSSNQIRPISKGPPRPLRRFLQGLDPRSISNLFANAVCIGEKIINEEDCFILKLDTNQAALEAQSGPNYEILHHTIWGYFSQRSGLMIKFEDSRLLTVKTSRDDEGVFWETSTESVMEDYKHVEGVNVAHSGKTFVTVFRYGEHSANHKRQLEERWKIEEVDFNVGRLTTDFFMPPSDFDKERSRV >Solyc10g084310.2.1 pep chromosome:SL3.0:10:64035625:64037561:1 gene:Solyc10g084310.2 transcript:Solyc10g084310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Solyc02g065560.1.1.1 pep chromosome:SL3.0:2:37287761:37289926:1 gene:Solyc02g065560.1 transcript:Solyc02g065560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGSISIALESSSSLFTKILHYTRCKNLPKGQSLHSHLIKTGSSSSCIYIANSIVNLYAKCHRLSDAHLAFQEIQTKDVVSWNSLINGYSQLGRRDSSLSALNLFKLMRQENTLPNPHTFAGIFTSLSTLGDSFTGKQAHCLAFKLGYLSDVFVGSSLLNVYCKAGHHLGDARNMFDEMPERNSVSCTTMISGYALQRMVKEAVGVFSVMLLKRGEDVNEFVFTSVLSAIALPEFVYVGKQIHCLSLKNGFLSAVSVANATVTMYAKCGRLDDACRAFELSSEKNSITWSALITGYAQNGDCEKALKLFSEMHYRGMIPSEYTLVGVLNACSDFDALREGKQVHGYLVKLGFEPQMYILTALVDMYAKCGNISDARRGFEYLKEPDIVLWTSMIAGYVKNGDNESAKGMYCRMLMEGVMPNELTMASVLKACSSLAALEQGKQIHAHIVKHGFSLEVPIGSALSTMYAKSGSLHDGNLVFRRMPARDLVSWNSMMSGLSQNGCGTEALELFEEMLHEGTRPDYVTFVNILSACSHMGLVKRGWSIFRMMSDEFGIEPRLEHFACMVDMLGRAGELYKAKEFIESAASHVDHGLCLWRILLSACRNYRNYELGAYAGEKLMELGSQESSAYVLLSNIYSSLGRLEDVERVRRLMNLRGVSKEPGCSWIELKSQFHVFVVGDQLHPQIIHIRDELWKLTKLMKDEGYKPDFDPCLELEGVMD >Solyc01g008030.3.1 pep chromosome:SL3.0:1:2129602:2131692:1 gene:Solyc01g008030.3 transcript:Solyc01g008030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGNNIIKNIIRTLIMAPVYTTAGYFAYRTGRWFYNEEMRRLDIISSNEARRRREQRIKDKPPKRFFWFW >Solyc10g080450.2.1 pep chromosome:SL3.0:10:61850914:61853488:-1 gene:Solyc10g080450.2 transcript:Solyc10g080450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKGKKQSVREDLGSGEEEKIPIRRRGRPLKPSKDEIGEEEEEEEAMKVKEEGDDSENTKGSVINKDVKNQGVVNGKKRKRTSQVKEVDSTKGENGVGNKTNSNELIKSVGFRQNGSRRKNKFSHGKLTNDKWRERVDVMSVNRSISEGN >Solyc01g104170.3.1 pep chromosome:SL3.0:1:92527209:92531770:-1 gene:Solyc01g104170.3 transcript:Solyc01g104170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEKALPTASKDEKSGAAESKKSPESSTVEAPSGEGRTASAAAGAGVQNPFDFSAMSGLLNDPSIKELAEQIAKDPAFNQMAEQLQKTFQGAAVEESVPNFDSQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSGMLESLSNPAQKEQLEERMARIKEDPSLKPILEEIESGGPAAMMRYWNDQDVLKKIGEAMGFAAGGEGATSSEIPGPDETEEANEDESVVHQCASVGDAEGLKAALAAGADKDEEDSEGRTALHFACGYGEEKCAQLLVEAGAKVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNLDGKTPIDVAKLNNQQDVLKLLEKDAFL >Solyc04g005910.3.1 pep chromosome:SL3.0:4:585891:590327:-1 gene:Solyc04g005910.3 transcript:Solyc04g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAILGYFYLFNLLAYYAHAMLTPAGVNYEVQALMEIKKNLNDPYNVLNWDGDAVDPCSWNMITCSNDKFVTSLESPSQNLSGKISPYIHNLTHLELILLQSNNISGSIPMELGMLKKLKTIDLSDNKLTGEIPASLAQLKNLQYLRLNNNSLSGAIPLDLANMTQLSLMDLSFNNLSGPVPRLLAKTFNVLGNPMICATGKEKECNGTTPMPLSFSSNNPQNVQSSGKPKTHKVALAFGTSLGCIFLLIVGFGFFLWWRQKHNKQIFFDSNEHHIEEVCLGNLRRFQFKELQSATNNFSSKNILGKGGFGNVYKGRLSDGVIVAVKRLKDGNAVGGNQQFQTEVALISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKAKPTLDWGTRKGIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHHDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGERALEFGKAANQKGAMLDWVRKIQQEKRLDMLVDKDMKNEYDTIELEEMVQVALLCTQYHPSHRPKMSEVVRMLEGDGLAEKWEASQTAEPTRYSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >Solyc01g060318.1.1 pep chromosome:SL3.0:1:70195268:70210041:1 gene:Solyc01g060318.1 transcript:Solyc01g060318.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVRVADMVHQGSNQSTSFVHFFLFLSWENYSSLVRMVYPLYRFSTNFTFKENDDKYFCFRNIYFEPRVYRKSSL >Solyc10g080990.2.1 pep chromosome:SL3.0:10:62302550:62304740:1 gene:Solyc10g080990.2 transcript:Solyc10g080990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D2Y6] MDGNIKGKCNCNFFQRSKPYIAMISLQFGYAGMNIITKVSLNKGMSHYVLVVYRNAFATLVIAPFALILERKIRPKMTLMMFLQIFVLSLLGPVIDQNFYYAGLKLTSPTFSCAMSNMLPAMIFVMAVLCRMEKVDIKKIRCQAKVLGTIVTMGGAMLMTLYKGHVVNLIWSNNINPNVTQITNENYDKDWVKGSILLIFATFAWASFFILQYIIMRKYTAPISLTALVCFMGTLQSIAVTFVMEHKNSIWTIGFDMNFLAVAYAGIMSSSLAYYVQGLVMEKIGPVFVTAFSPLMMIIVAIMGFFILDEKIYIGGIIGAVLIVAGLYSVLWGKYKEYKEKEIEELIIHEQVKGINGNNKMISLENINNDIELQKNYENKIPIPEIAVSVSKF >Solyc11g072290.2.1 pep chromosome:SL3.0:11:55776736:55782353:-1 gene:Solyc11g072290.2 transcript:Solyc11g072290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILKAHALITNPFPLFHDGMKQWSCCKKKSHDFSLFLEIPGCKTGKHTTEKPVIATPSATKNKAVPVPVRVPAPMTNASPKEACSRCHQGFFCSDHGSRPREAIPKASNTVTSLPSESNTVVQQDHPAPVKKKIDINEPQICKNKSCGKTFTEKENHDTACNYHPGPAIFHDRMKGWKCCDVHVKEFDEFMTIPPCTKGWHNSDPVS >Solyc02g021340.3.1 pep chromosome:SL3.0:2:22981083:22988096:1 gene:Solyc02g021340.3 transcript:Solyc02g021340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPSIWRKKEKGMCIAVFIWKAHPLYPFLLFLNRDEYHNRPTKPLSWWEDTDILGGRDEVAGGTWLACTRTGRLAFLTNVREINSNSHTKSRGDLPLRFLKSVKSPRDFSEQLLIEAGEYNGFNLIVADLCSMTMLYITNRPKHTGMSVTEVSPGIHVLSNASLDSPWPKSQRLECSFKQLLDEYGESEIPIGQAAERIMRDVAKEDSNLPGIYSPECEYQLSSIFVDTEMSMGRFCTRSTSSLAVRSSGDATFYEVYLEKDIWKEQQITFVIEKMIDGVVSTS >Solyc08g007790.3.1 pep chromosome:SL3.0:8:2294232:2299405:-1 gene:Solyc08g007790.3 transcript:Solyc08g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:UniProtKB/TrEMBL;Acc:A9LRT6] MAAQPKNVGILAVEIYFPPTCLQQEALEAHDGASKGKYTIGLGQDCMGFCTEVEDVISMSLTAVTSLLEKYAIDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKSGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGAARPTGGAAAIAMLVGPDAPIVFESKIRASHMAHVYDFYKPILDSEYPVVDGKLSQTCYLMALDSCYKSLCNKYEKLEGKQFSIDDAAYFVFHSPYNKLVQKSTARLMFNDFTRNASSIDESTKEKLAPFSSLTGDESYQSRDLEKTSWQVAKPFYDEKVKPATLIPKQVGNMYTASLYAAFASLLHNKHSSLAGQRVVLFSYGSGLTATMFSLKLHEGQHPFNLSNIATVMNIEEKLKSRHEFTPENFIETMKIMEHRYGGKDFVTSKDCSLLAPGTYYLTEVDSKYRRFYAKKCAENGLANGH >Solyc10g005900.3.1 pep chromosome:SL3.0:10:686573:695833:1 gene:Solyc10g005900.3 transcript:Solyc10g005900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSKYWSSMADGKVVKRAKYKTSVKVPGVPGVLKLTKERFYFMPNDPTLTTKLNVEFKLIKGHKSSKECSSKQALLNLTQAQGGNYLFEFDSFPDRDQCRDFVASAIAACGEIGKATSEKPAVPHDEQLSATEMGRRIKLLQENSELQNLHRELVIAGILSETEFWAARKKLLEQSENKKPKQRVALKNDMWSVKPLSDGQTNRVTFNLTPEVIHQIFAEKPAVRQAYLKFVPGKMSEKEFWTKYSRAEYLHSTKNIVAAAAEAAEDEELAVFLKQDDMLVSEARRKIRRVDPTLDMEADEGDDYMHLPDHGLPQGETKEILEPQYEPFKRSFSQYLNQHAAVVLQGRVIDVELGDTRSVAEAFTRTNQAEQATEVSDENAYRERVKKVSRVAEIEDLQGPRDPPVALLSIKDPRDYFDSQQANAIKALGDAETGIRQLKFRVSKEEAFCSLKDSISEINSQGLIEPIISPEVALKVFNLLSQNISSTKYHLGKNPHESVLDQLPSATKNELLLHWTSIQELLKHFWSSYPITTKYFYAKVTRLKDAMSQIYPKLQEIKESVQSDVRHQVSLLVQPMLQALDAAFAHYDADLQKRSARSGERPNGFV >Solyc09g011900.1.1 pep chromosome:SL3.0:9:5170905:5171744:-1 gene:Solyc09g011900.1 transcript:Solyc09g011900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTSWKPPKLVEIIELQNDGFISENTCLSNKALMYRGSKDEFANQHDKVSSRYTAHLHLSASSTCDLGPLSKLMMIMSKRPSASSMLPTQQSIFQSGPLSKLMMMMYVKEAFNKFNASNSPTLTVCSSTSLTGTFSSWSKQIVDEQDRGLTSKLHI >Solyc10g005120.2.1 pep chromosome:SL3.0:10:102974:108908:1 gene:Solyc10g005120.2 transcript:Solyc10g005120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLRVQLLAEKSEISVPSQYVQPPETRPQIEKTNVKSAVPVIDLNSRTNLLDELKKACKEWGVFQVINHKVPISLLDDIMRVGRTFFGGCEMEEKLKYSCDSASPASEGYGSRMLVASNETVLDWRDYFDHHTLPLSRRNTSHWPDSPADYRKVVAEYSDHMKALAQELLGLVSESLDLTCQCIENVVGEFYQNITISYYPPCPQPELTLGLQSHSDFGVITLLIQDDVGGLEVFNNGEWVSVNPMSNAICVILADQTEIITNGEYKSAQHRAVTNAGRSRLSIATFHDPAKTRQISPVFHPPRHRPVIYGDHVSSWYTKGPEGKRNLDALLL >Solyc05g012680.3.1 pep chromosome:SL3.0:5:5878836:5881444:1 gene:Solyc05g012680.3 transcript:Solyc05g012680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALTKVGSVKVGSFWLTKKAKAEFNNISQDINSISNTVEEKAKWIFNKLKGTPMKSLTDLLRERNLPLGLFPQNITSYELDESKSKLTVHLPSPCEITFKDGSVIRYATRVKCILLRDKLIGIEGMKTKVLVWVKITNVSVEGNRSEKVSFTAGVKKSRPREAYDIPRDAVAVKEF >Solyc01g103070.2.1.1 pep chromosome:SL3.0:1:91621916:91622865:1 gene:Solyc01g103070.2 transcript:Solyc01g103070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKAMKKLKFWSKKKKKKRVPLLDRPPSPPPPLLPCYYHCPYNYPVQPSAPPLPPWYEYVQPYDDSIFTTEQGSGSGSTADNSRQTHASTNSQHIDNVGEINQPKPTPSDNTLPQQYMVQNQVYGVPVIAEARTERGAGAFGCVINFGAHLFRCFFPCFHIRELK >Solyc07g022840.1.1 pep chromosome:SL3.0:7:22792769:22794177:1 gene:Solyc07g022840.1 transcript:Solyc07g022840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNFDKKNGLETVLEIPIPEEMFEKFSSNGNGALQWKNMSNLMSTQITDKYSSQHAALDQFMFLFKIVGSALVPFQVQFDPTVKLPLKEDSTAKYIVQQYVAACGGQAALNSVNSMCAVGKVKMSTLDMHKSGSNNNSICHCEVGGFVLWQKNLDQWVLELVVSGCKVIAGSNGKVAWSQSSSNSCASKGPPRPLRRFFQGLDPRSTANLFSNAICFGEKTIKDEECFILKFESSIEMLKAQSTTNTEVVHHTIWGYFSQRTGLLVQFEDTKLVRLKTTKGDSNVFWETSMESFLEDYRYIEGINVAHSGKTAATIFRYGKNIDYRAKIEETWFIEEIDFNIFGLSMECFLPPSDVNKETEFEDQLISC >Solyc04g015660.2.1 pep chromosome:SL3.0:4:5944433:5949764:1 gene:Solyc04g015660.2 transcript:Solyc04g015660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERWRPETHCFHLPFGEVSITLQDVQVLFGLRIDGDVVLVILWGILFPNTSGNLISLQHLAFLDPIYNVGKYSWGSAVHFVEHLSIMWLIFADLFLSLRPLWMTCVPMFCLDIVEVHTPDRVMRQFGHSQHVSVSPSWGTNHHVHDQRRRLGPEVLEMMDKYFRDWGNRYQSLAFEVNDGTSGAGYRLWYMRHGRLLIVWHVRHHEFIHMSFDPYSRPTRDVEGIERISYESTIDVGDYIPDIAQVLSIVTPKILLFIKLKILLKDCLMIRLSRIHITGPSSTVEESPTTIIEDIVPTVNEDPDVTQIHTTGPSSTVEESPTMIIEDVVPTVNEDPDVTHMLLSSCQWMICRKHP >Solyc09g009260.3.1 pep chromosome:SL3.0:9:2642598:2645905:-1 gene:Solyc09g009260.3 transcript:Solyc09g009260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:K4CQV5] MSCYKGKYADELIKNATYIGTPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFCTPGCLQYLSGVILFEETLYQKTAAGKPFVEVMKEAGVLPGIKVDKGTVELPGTDGETTTQGLDGLAERCQKYYEAGARFAKWRAVLKIGANEPSQLAINDNANGLARYAIICQQNGLVPIVEPEILVDGAHDIKKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSESPKVAPEVIAEYTVRALQRTMPPAVPAVVFLSGGQSEEEATVNLNAMNKLQTKKPWSLSFSFGRALQQSTLKAWGGKEENVQKAQAAFLTRCKANSEATLGKYVGASNLSEGASESLHVKDYKY >Solyc04g077120.3.1 pep chromosome:SL3.0:4:62156255:62164026:1 gene:Solyc04g077120.3 transcript:Solyc04g077120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDEIVGYKEEENYKKEEEREMVGGGLVESKKFVVVGYALTSKKVKSFLQPKLEGLARSKGILFVAIDQSKPLSDQGPFDIVLHKLSGSKWRRILEEYRLTHPDVTVLDPPEAIQHIYNRQYMLEDVADLNLSDTYEVGRGPNAGQLGEVGVPRQLVIEKDSSSIPDAVGKAELRLPIVAKPLAAKSHELSLAYDKFSLQMLEPPLVLQEFINHGGILFKVYIVGEAVKVVRRFSLPDISKRELSTNPGVFRFPRVSCAAASADEADLDPCVGELPPRPLLEKLAKELRRRLGLHLFNLDIIRELGTKDRYYVIDINYFPGYGKMPEYEHIFTDFLLSLVKQKQ >Solyc04g081020.3.1 pep chromosome:SL3.0:4:65169953:65171323:1 gene:Solyc04g081020.3 transcript:Solyc04g081020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNNNEASVFCVADEAALCDSCDHRVHHANKLASKHQRFSLIQPSPKQIPVCDICQERRAFLFCQQDRAILCRECDVSIHKANEHTQKHNRFLLTGVKISANSSLYTSSESVSAASCSANQDSVTNLNKPQICTKKTSPVSGSVPQQQVSVAANIGENSYTSSISEYLEMLPGWHVEELLNASTIPTNGFCKIGDNDVFPIWDSEIESSMNSFSPENIGIWVPQAPPALTPQKNQNQVFPRNINFGGQIEFKNMKEVTSKKSSRKWRDDNSFAVPQISPSSSSISFKRSRTLW >Solyc06g073970.2.1 pep chromosome:SL3.0:6:45861984:45864537:-1 gene:Solyc06g073970.2 transcript:Solyc06g073970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYYILVPSLTLLISIPIIFYLAPSILPQRQIPISLPDELDDLSLFHKAIAADATFLKKPSRKHPSNKFRLGSTTVRRPKIAFLFLTNSDLHFEPLWHKFFYASDPHLYNIYIHADPTVKINPPVGVFADRFIHAKRTQRSSPTLVSATRRLLAHALLDDPDNVYFALISQHCIPLHSFNYFYNFLLDTQKLSRKMEFPSYIEILTESPSLLDRYNSVLGHSFLLLQENIH >Solyc03g025570.2.1 pep chromosome:SL3.0:3:2990584:2992052:-1 gene:Solyc03g025570.2 transcript:Solyc03g025570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEDVFQAVLIVQQNLTPFARTCISEISTKFHLEVFQEAKMLVNIKKHVLIPEHQTFTLEEKKTLLERYTARFVFLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Solyc07g064373.1.1 pep chromosome:SL3.0:7:66693915:66697767:-1 gene:Solyc07g064373.1 transcript:Solyc07g064373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVRCLRVSELVGLDCQEPYRPNRVAMQFGYDQDFPKWIPRSPSSPELAWYNYNRPIASDLRLYYPSRLFEPDVTTRYLKWWRNETDHQMENYPDVPPEHIAEETVHTSIDGNGSSNAGSMERSKASVETATCTQITECNMVIGIVLWMRVIGHFIRLLFNESQVDVGKQLNINIVDDVRLGIIDTVEKGINNKSEKDGFLQIDSKIADDVHSSGNKVGATETLEKNLNENQLSLTTNPTLVHINDEGQNNAATTVASTIDDE >Solyc06g034395.1.1 pep chromosome:SL3.0:6:24346331:24351204:-1 gene:Solyc06g034395.1 transcript:Solyc06g034395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKDKVLDDYGKYQRLIARLLYLTITRPDIAFVVQVLNQYMHSPKTSHMEAALRVVRYIKGTSRLGLFMSSNNMSELVAYCDSDWGTYIESRKLVTGYIVKLGSALVSWKAKKQNTVSRSSAEVEFRIMATIVAEIIWMKGLFNELGVEVKLPSVLELRIAVQLELRLVEKSNVLPRHI >Solyc01g111730.3.1 pep chromosome:SL3.0:1:97830142:97836106:1 gene:Solyc01g111730.3 transcript:Solyc01g111730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLMNFLRACFQPGADGLVHTSSSDSGGRQDGLLWYKDTGQHVNGEFSMAVVQANNLLEDQSQIESGCLSMQDSGPYGTFIGIYDGHGGPETSRFINEHLFQNLKRFTSEHQSMSVEVIRKAFQATEEGFLSVVTRQWPTKPQIAAVGSCCLVGVICSGTLYVANLGDSRAVLGRLVRSTGEVLAIQLSAEHNVSIESVRQEMQSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKSEFNREPLYAKFRLREPFGKPILSADPAISVHHLQPDDQFIIFASDGLWEHLSNQGAVDIVQNHPRNGIARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDISVAVVFLDSNLVSRASSVKSPNISVKGGGISLPTKTTPT >Solyc11g069750.2.1 pep chromosome:SL3.0:11:54641006:54653069:1 gene:Solyc11g069750.2 transcript:Solyc11g069750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIEGSPGSSMHGVTGREPVLAFSVASPIVPTDTSANFKVPVDSEHKAKVFKFYSFSKPHGLTFQLSWISFCTCFVSTFAAAPLVPIIRDNLNLTKMDVGNAGVASVSGSILSRLAMGAICDMLGPRYGCAFLIMLSAPTVFCILWNLWILQTLGGVFCLLLGRATTLPLAITWMIIFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSTKYSTGTGLTYMGMMIIACTLPVMLVHFPQWGSMFLPPSKDPIKGTEEHYFGSEYTEDEKQKGMHQNSIKFAENSRTERGKKRVGREQTFAFSITSQNVCNNSQSNKFDLPVDSEHKAKSIKIFSFAQPHMRSFHLAWISFFTCFISTFAAAPLVPIIRDNLNLTRADIGNAGVASVSGSIFSRLIMGVLCDLIGPRYGCAFLNLLTAPIVFSVAFISNAEGYIAMRFLVGFSLATFVSCQYWTSVMFNSQIIGLVNGVAGGWGDMGGGVTQLLMPFLFHIIKLTGATPYTAWRIAFFIPGWLHIIIGLMVLILGQDLPDGNLSTLQKKGDVTKDQFSKVLRNAVTNYRTWVFFLLYGFSMGVELCINNVIAEYFYDKFNLKLHTAGMIAATFGMANFVARPFGGYASDLAARKFGMRGRLWVLWIVQTTGGAFCIWLGRAESLPIAIVSMILFSIGAQAACGATYGIIPFVSRRSLGLISGLTGAGGNFGGGLTQLLFFSTTRFSTGMGLTWMGLMAIACTVPVAFVHFPQWGSMFFPASNDGKYNEENYYESEWTENEKQKGLHLASVKFAENSRSERGKKQVVTSIATSTYSSSPEH >Solyc04g076370.3.1.1 pep chromosome:SL3.0:4:61362977:61365095:-1 gene:Solyc04g076370.3 transcript:Solyc04g076370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVIRHHFVHFWSKSTLLCIKDSSFSKITCLNTLYVYPFRYSFRNLYSWTQMYCPSNSRLLFSQTQFGVLAIKPSLYVFLHQWLPVSFYSSSAPGWLRGPPSRSLRKRMNRRARIAAMPVLDESQFQNAVSQLPPRFTPEELRDVMVLQRDPLVCLELFNWASKQHRFRHNVSTYHVAVQRLGEANMYKEMDDIVNQVLAIPSIGTEELFNSMIYYFTEARKLTRAVMIYKHMLNIRKFDCRPSIKTYNILFAALLSRRNNSYINHMYMDAIRSLFKQMVDNGIEPDIISLNTLIKGYVLSLHVNDALRIFHQMGVVYQCEPNSFSYDYLIHGLCAQGRTKNAKEIYDKMKCKRLIPSTKSYNSLVNSLSLGGEVDEAVKFLWEMNENRRTIDFITFRTVIDEVCRQKSMQDAIDLLKELQDKGLVDSLTHSKLLREFEDDIDNLYVRNR >Solyc05g014630.2.1 pep chromosome:SL3.0:5:8619093:8623661:1 gene:Solyc05g014630.2 transcript:Solyc05g014630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKSLRTEIQFPPIEEAMFLGGRISGLCQAMFLGGTLRLYQWYTHSNGELVQGMTD >Solyc08g028690.3.1 pep chromosome:SL3.0:8:42487068:42500373:-1 gene:Solyc08g028690.3 transcript:Solyc08g028690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGKVAIITGAASGIGEASAKLFSRYGSKVVIADIQDDLAQNVCKDLDPSSTTFVHCDVTKEEDLENVVNIVVDKYGKLDIMYNNAGIMGAVKSNILENEKSDFEKVISTNLVGTFLGIKQAARVMIPRGQGSIITTGSVCASIGGVCPHSYTSSKHGLLGLTRNAAIDLGRYGIRVNCVSPYVIRTTSALDTLKKMGKEDSDVYSTLNGPTLTPYDVAEAAVFLASDESKYVNGQDFVIDGGFTIENPGLSMFK >Solyc06g065810.1.1.1 pep chromosome:SL3.0:6:41359675:41360004:-1 gene:Solyc06g065810.1 transcript:Solyc06g065810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPATTERLSQINQRILNVENERRESQQTLHAFLEHVPAVFHINKPLPARARMDRAREARRGWMSERLKESVQTQESTFLYEHSVHVSVRGRVKGSPLLRIAVSLIMIE >Solyc04g007955.1.1 pep chromosome:SL3.0:4:1607472:1610968:-1 gene:Solyc04g007955.1 transcript:Solyc04g007955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMPLPSLSKAYSMLTERESQPRITQSVSSEQVESHAMFTARSQSIPKHKNPNVPSYDSSVYCDYCNRTGHTRAVCFQLHGCPPSLEKRKKGSSYGSGRNHNDKRQLHTAHNAVSNDQEEFSSNREESSSNNAYNQSCNGGVNHNDYNRGFSVIQDQYNQILQMLGHTNAKGGTEGSGSHLTVLQMQIWSKIMHLQQITFVMHQRKYALEIISEVGLGAAKPVSTPLDPYVRLTTKEYDDMNGKREENKLLEDAIIYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHFNVALRIVRYIKSQAGQGVLLSSKSSKQFKVYCDADWGAYLHTRRSVSGFMVKINQRNRPQYLEAQLKLSIDVWTIQ >Solyc10g049270.2.1 pep chromosome:SL3.0:10:44766706:44770256:1 gene:Solyc10g049270.2 transcript:Solyc10g049270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLIVFSVLLLLVSHVVSSHFIVETLPGFDGKLSFTLETGYIGVGEDEQVQLFYFFIQSERDPENDPLMIWLTGGPGCSALSTFFYEMGPLTFDYANSSGNFPKLELNSYSWTKVANIIFIDQPAGTGYSYANTSEAYNCSDTLSVTLTYDFLRKWLMEHPEYLKNPLYVTGGSYSGFFVPPLTHKIYDGIEVGDKPQLNIKGYILGNAQTDRFIDFNGRVRYANRMGLISDKIYQSTKANCNGNYIDVDPNNTLCLNDLQKVDRCLKNIQWTHILEPWCDVESFMSILQEIPTNGQSVFPIAGPWCREKNYIYSYVWANNKAVQKALNVREGTILEWVRCNESMHYRGKERTESYVYDVPSAVDDHRHLTSKSCQALIYSGDHDMIVPHLTTEEWIDTLKLPIVDDWEPWLVDVQVAGYKMKYLQNDYELTYATVKDAGHTAAEYKPEQCLSMVDRWFSGDPL >Solyc01g102770.1.1.1 pep chromosome:SL3.0:1:91372661:91372849:-1 gene:Solyc01g102770.1 transcript:Solyc01g102770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbZ description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:A0A0C5CEB3] MTLAFQLAVFALIATSLILLISVPVVFASPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >Solyc09g047920.2.1 pep chromosome:SL3.0:9:33890354:33896158:1 gene:Solyc09g047920.2 transcript:Solyc09g047920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATLRKDANPELACAIDTWSLGCTVIEMFSGQSSWNGLDGGSLSSY >Solyc06g054323.1.1 pep chromosome:SL3.0:6:37261719:37264830:-1 gene:Solyc06g054323.1 transcript:Solyc06g054323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQTATLVQPAAEPDLIAVPFFPLLAESQSNNSSPFLCSNVETFRILQLTFDCKLMFSFISLLSLFVGYGVMNQSRNFELRLEFVLGISLVGRFYIIADYHNPDIGMDENKYHPLFSKS >Solyc07g063490.3.1 pep chromosome:SL3.0:7:66095782:66098304:-1 gene:Solyc07g063490.3 transcript:Solyc07g063490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKNKLFFAIVILFLAGLTEVNGGELITCTNRKSKCFLKPLYCPAECPSKSPSNSKAKVCYINCNSPVCKPECRNRKANCNQPGAACLDPRFIGGDGIVFYFHGKSNEHFSLVSDLNLQINARFIGLRPAGRPRDYTWIQALGILFDMHAFSVEATKAESWDDEVDHLKFYYNGKELGLPEGYPSIWESSESGIKVERTANKNGAFITLPEVAEISVNVVPITKEDDRIHNYQLPSDDCF >Solyc11g042580.1.1.1 pep chromosome:SL3.0:11:36059089:36059580:-1 gene:Solyc11g042580.1 transcript:Solyc11g042580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGITSEYRGVRKRKWGKWVSEIREPGKKTRIWLGSFETAQMAAAAYDSAAFHFRGHAAKLNFPELIEHFPKPASSSPEDIRLAAQQAAITVQNTNSMASSSHGHGAPVTVGLSPTEIQAINDFPMDSPHMWTTHEDINYTYEMSDYQEMDDCLWDYSSTNSF >Solyc03g058330.3.1 pep chromosome:SL3.0:3:26068450:26069788:1 gene:Solyc03g058330.3 transcript:Solyc03g058330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMKETASNIKSGIEKTKAVAQEKMTGNKPSEATEGKTHSYSTSGVSGEPSGAHQMSALPGHGSGQPTGGGVVEGVVPSHPIGKVTGTQRPSVAHNTRVGATDGSYT >Solyc04g082725.1.1 pep chromosome:SL3.0:4:66388486:66389402:-1 gene:Solyc04g082725.1 transcript:Solyc04g082725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VINIRRKKVTLESSPAEAEVERRSILATNLFKGEGFSEARFFLGFYISGFVVLCDVFGSPTLVLLIRQMLEDPAACSTADFSSGVDACKTVVVCGGVEEDRALQLFCSRLH >Solyc08g069000.3.1 pep chromosome:SL3.0:8:58143046:58150561:-1 gene:Solyc08g069000.3 transcript:Solyc08g069000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSHKDPIIEGEGDEMDRETISRVHSRDNGYSNSRYSGAVRQKAYIFDGEGNYFNKEWDLTEGRGKEFCWYHVELPKWNQRLSESAQYLIDVLCPPMKLQDILSLVSNGPFCGHVDGALVFRVNSPGPASSKFTFRIAARVTENSVITVSLGRVPRLGFSPVNQSLLSEVPIVESPSSVGGEMKERGGTVIREHVLDFLLMMNHSEEGDNPVPKSVSNLVVHIIDTHVDHLQDVVTKLEIELDSMELEIDKGGFALKKQLLDDRRFPKMHLDLQRLLQVIAHGEQVFPRVKEKCSSKGWFASDDINSLEELIGRLRRLKENVGFIANRVTAIQAGLDSWQSEQINKKLYYLSFLSIVFLPLSVVTGVFGMNVGGVPWTNQREPELKEGFRNVMMLCVVLLLLVLLCFLFPALYSHLMAWKRRRDMKRNWSLNRRSFLRRSTGVRERNERGGYLRLY >Solyc11g010590.2.1 pep chromosome:SL3.0:11:3652357:3656262:-1 gene:Solyc11g010590.2 transcript:Solyc11g010590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSGFEYFGVKTLKETGYEYVYDAVEKPLIFAQTAAFLEVISEAMSPISATLPQISSRLYVSWGILWSFPEVRSHILVSSLVISWSITEIIRYSYFGIREAFGSVPSWLLWLRYSTFLLLYPIGITSEVGLIYNALPYMKESGKYSVRMPNKWNFSFNYYYAAFAFLTSIVTCLGRERKHSLNQKSIGQRRTSTVAPSLRTRFIGGLALWL >Solyc03g033340.3.1 pep chromosome:SL3.0:3:4938304:4944186:-1 gene:Solyc03g033340.3 transcript:Solyc03g033340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKACWRPSSDHSVHAGSDAAGRQDGLFWYKDSGQHLIGEFSMAVVQANNLLEDQSQIESGPLSLLDSGPYGTFAGIYDGHGGPETSRYINDHLFQNLKRFAAEQNCMSVDVIRKAFQATEDGFCSVVAKQWPMKPQMAAVGSCCLVAVICNGILYVANLGDSRAVLGRLVRATGEVLAIQLSAEHNACFESVRQELHSMHPDDPQIVVLKHNVWRVKGLIQISRSIGDIYLKKPEYNREPLYAKFRLREPFKRPILSSDPSITVQELEPHDQFLILASDGLWEHLSNQEAVDIVQNSPRSGSARRLVKTALQEAAKKREMRYSDLKKIDRGVRRHFHDDISVIVVFLDSDLVSKASSLRGPSLSLRGGGMNFAAKSLAPCATPIELGTT >Solyc08g008370.3.1 pep chromosome:SL3.0:8:2790473:2793594:-1 gene:Solyc08g008370.3 transcript:Solyc08g008370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQSSFYQFSDNLRLQTNNLVNLSSNDSIWSNNYVSKRPEERRNFDIRVGGMINSVTSLNPSKNLNSDYNLFSNDGWKIADMTAAAAAAGGGSAVKGGGGVGLNGGFNKGVYTNPMNFSNIMVNSKGVNNNKRNGKNGVFEDDYGFVNKFGKKNNKINRESNKDLGANNSSSENKKFKTLPASESLPKNETVGGYIFVCNNDTMHENLKRELFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGIFEAASFGGSNIDPTAWEDKKNAGESRFPAQVRVVTRKICEPLEEDSFRPILHHYDGPKFRLELNIPEALSLLDIFAEKNILNSLLN >Solyc05g012176.1.1 pep chromosome:SL3.0:5:5403063:5403610:1 gene:Solyc05g012176.1 transcript:Solyc05g012176.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQTLLIFLFLIIGTGSMAVASKNATPCLTYLGPCGGSCDQKCCDDKCIKSFQGQNPYSICQLLPGNPGRLCNCYHDC >Solyc06g083990.3.1 pep chromosome:SL3.0:6:49310174:49314848:1 gene:Solyc06g083990.3 transcript:Solyc06g083990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFLKKFTIGGVREFSMQNSRNPKTQSLKIEGIKDIIAVASGKGGVGKSTTAVNLAVSLAKRCQLKVGLLDADIYGPSIPLMMHLKGKPELSNDRKMIPIESYGVKCISIGSLVDEREAIVWRGPMVMKALEQLTRGVDWGILDVLVIDMPPGTGDTQISISQRLQLSGGLIVSTPQDVALLDARRGVKMFSKVNVPILGILENMSYFKCPKCNESSFIFGQGGARKTAEEMGLKFLGEIPLEVEIRSGSDEGVPIVMSNPDSVISQVYGNVAEKVVMRLEEVDKEQHFRPDVSL >Solyc01g090645.1.1 pep chromosome:SL3.0:1:84164448:84174203:-1 gene:Solyc01g090645.1 transcript:Solyc01g090645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTLQAISLLSYLKVYLKTPGPFLVLCPLSVTDGWMSEMANFAPKLRVLSYTGDKEHRCNLRRKIYESMNREASVAKSLPFDVLLTTYDIVLLDEDFLSQVPWCYAIIDEAQRLKNPSSVLYNVLKERFVMPRKLLMTGTPIQNNLSELWALLHFCMPSVFGTVEQFLSAFKEAGDPSCPDADKAKEQFKILKYVIGAFMLRRTKSQLIELGTLVLPPLTEITVMAPLVALQKKVYMSILRKELSQLLALASGAPNTRSLQNIVIQLRKACSHPYLFAGIEPEPYEEGEHLVQASGKLLILDHLLQKLHACGHRVLLFSQMTQTLDILQDYLELRKYSYERLDGSIRAEERFAAIRSFSHNRSKFEAEQNAAFVFLISTRAGGVGLNLVAADTVSDNFCDFTSKIGILR >Solyc04g007950.3.1 pep chromosome:SL3.0:4:1594829:1599669:-1 gene:Solyc04g007950.3 transcript:Solyc04g007950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCIKKNSLHHVLLVLIIIFFVHQSNASSIQSFVYGGCTQQKYNPGTVYESNVNSLLSSLVNSASMSNFNNFKISMPGSTQYDVAYGLFQCRGDLSSAECHNCVAAALTQLGTLCPVSCGGTLQLDGCFIKYDNVSFLGIEDKAIVMHKCGPSIMDDSDMMTRNDGVLTYLSSVGQYFRVAGSGKIHGVAQCTQDLSMSECDDCLSEAIRRLKSECGSSPWGDMFLSKCYARFSERGFTSKSGDEDVEKTLAITIGLIAGVALLIVFLSVLNKLCEKKGGK >Solyc11g006900.1.1.1 pep chromosome:SL3.0:11:1427715:1428311:1 gene:Solyc11g006900.1 transcript:Solyc11g006900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSHLDENQVCADIDHQTLVQDNENVIKSPETLLDLPPESFWIPKDSEQDWFDENATIQRKTSMKLGFFGKSNDHHSKSFSHRSLNHHHQNPKSSSLFALPKSHKTSSTDLSLRQGKQPRRLFRSRSEPGRKGSTNVSEPGSPKVSCTGRVRSKKDRGVRTGFWKKLRTIFKIRSRAKSVANVNVEPAGSVGVNRS >Solyc03g070440.3.1 pep chromosome:SL3.0:3:18467086:18470184:1 gene:Solyc03g070440.3 transcript:Solyc03g070440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNFCCICCKNSVISMWMNVSAAYDPLDPNGNITIKWDVMSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWTWAKKEVIWTMIGAQATEQGDCSKFKGNIPHCCKKTPTVVDMLPGVPYNQQFTNCCKGGVLASWGQDPQSSVSAFQVSVGQAGTTNKTVKLPKNFTLLGPGPGYTCSPAKIVPPTKFFTPDLRRKTQALMTWNLTCTYSQFVAQKHPRCCVSLSTFYNETITSCPSCACGCENKHKCIKSDSKLLSMVGVNTPRKDNAPLLQCTQHMCPVRIHWHVKLNYKEYWRVKITVTNFNYRVNYTQWTLVAQHPNLNNVTQVFSFDYKPLVPYQSINDTGMFYGMKFYNDLLMEAGPAGNVQSEVLLQKNKDTFTLKQGWAFPRKVYFNGDECMLPPPDSYPYLPNFAHQNLDAFSTLFRSMLLILLVLF >Solyc05g015170.3.1 pep chromosome:SL3.0:5:9986195:9988230:-1 gene:Solyc05g015170.3 transcript:Solyc05g015170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRKISSVWISLLILFGGEIHVGAYLGISWGRMATQKLVPSMIVDLLLQNGISELRLFQPSFHVLDAFADTNIGLIVTLQENYLKNVVEQKQLDDYIHERIKVYSEKGVKFRYVYVGNEPFTKSLYMKKQFNGTIRYLNMTREALDKFNLPDVKATTPHFTDVLTNVSKPSEGDFREDIKGKMLEFLDCINRTGAPFVIHMFPIFTVYTYGFDVDFAFFNNKSKFKIVDGNNTYTNLFTFMYDTLVSALAKAGYGDMEIIVGQIGWPTDGYVSANEKNAEKFNRGLLQYIARKEGTPLRPNRDINMYLLSLTDENLVVTDYGPYQRHWGVYKHDGVPKYKIDFTLQNRDVKPSVAKGTTYVELRDHLDNFLGFI >Solyc12g018990.2.1 pep chromosome:SL3.0:12:8921429:8935471:1 gene:Solyc12g018990.2 transcript:Solyc12g018990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPKKIIIDTDPGIDDAMAIFVALESPEVEVIGLTTIYGNVYTTLATRNALHLLDIAGRTDIPVAEGSHVTITKGTKLRIADFVHGTDGLGNQNFPAPNGKPIEQNAADFLVQQASLYPGKITVVALGPLTNIALAIQSDPDFVKNIGQIVVLGGAFSVNGNVNPAAEANIFGDPDAADIVFTSGADVLAVGINVTHQVVLTDFDRDELAKSNGKYAKYLDKILGVYFGYHHEAYSTKGVYLHDPTALLAAVDPSLITYTEGVVRVQTNGITRGLTLFYNKQKRFGEVSEWSDKPSVKVAVTVDAPRVLKLVMERLINS >Solyc02g069045.1.1 pep chromosome:SL3.0:2:39558613:39560002:-1 gene:Solyc02g069045.1 transcript:Solyc02g069045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKAFHYQGNHKFCKTQKGYIVYPKALDIIWGNDKRFWKLPKYEKDGAELIQINWLEVTGCIDDINIAKKTLYNIEFTMSLMVDAFGWNDSPIYLMAKWGDNTQRKVNLATKANDKKMISKAITITKGKGNNVNKIYFGLYEVWNKKWKGGLKIHSVNLIEI >Solyc06g009610.1.1 pep chromosome:SL3.0:6:3573963:3577398:1 gene:Solyc06g009610.1 transcript:Solyc06g009610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSKFYVSVSKDYVVNMLRESFQCLTIILLSLLLPLSFIVLARLSVTRYLIATSDYTEPNTLLVKLFLYANPIFLRLLTPLVTISALTQCLTGRTLTDHIMISKSHLYMSWLILFTFQICVSIGIEGSIAVGIDGSTSFSHQRLYLLTRSMFFMGLHETTLFWSKKVVKPVVDDTIFGVEKEDRYVEKVAMAMSFGILWWCKLGDEVESLVVVAEMKRDLFGSVGLVDFVGWWLYYVTVAIGMVKVVKGLIWLNFVLFCGNMVVGSDNAGSCTRNDEKMDQIPIPTIDFSVFQDGHNHVQECGRNELINNGAVDPWFELDSEDLDSLYTVFDLKYQDNTADKQVQSLEDQQQSISDHWTQSDDSSNMDLPLQFIQIKTTPEASDGIQPQEPRLDNFHGEKTNSISLASLELLNNCGRLFKKPSEENLSNVLLSNEARVSNISKLSTEEILRVAGERYIQYSTQRVDGLSMFIHPYASSLSGLSIEETKDMELVHLLLAAAEEVDQQQFHLASQSIAHCLWKASATGNPIQRLCFYFGEALQERIDREIGRSPCFERKLRFLSTLALGNTPESLTCHTEIPFSQVMQFAGNQAIVEYVKGATKIHLVDFNIRSGIQWTGLMQALSERRNCPIELLKITAIGHQEKQKIEETGKRLQSFANSLNLPFSFDMIFMSDMKDLKAESVNLKADETVAVYCYTVLRTMICRQDYLDNTMRVIRGLRPSVVVVCEVEANLNSPSFLNRFVEALFFYSVLFDCFEDCMDRDNLVRKRIEVFHIGEGIRNMVAAEGAERFTRNVKLDVWRAYFARFGMVEMELSESSRYQANLILKQFSHGSSCIVQKDGKALLVGWKGTPIESVSIWKFL >Solyc12g005080.2.1 pep chromosome:SL3.0:12:34744:45798:1 gene:Solyc12g005080.2 transcript:Solyc12g005080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLRRKVASASGLGKSMYAVRPTSRISSTATEEILLLPIQCGHARQFSHLVLPGCSVNMRPDRGAVVNFHSSLSQQICIRPFCSNSGDLVDAVVPYMGESISDGTLAKLLKNPGDKVEVDEPIAQIETDKVTIDVTSPEAGVIQKFVAKEGDTVEPGFKVAIISKSGEGVESVDHVAPSEKPSEKEALKPTSPIQEKKVEEVKSKLEVAPVKENSKATSPPPKRSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDTFVEKHGVKLGLMSGFVKAAVSALQNQPIVNAVIDGDDIIYRDYVDISIAVGTPKGLVVPVLRDVDRMNFAEIEKTINELAKKATNGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVNRPMVVGGVIVSRPMMYIALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDV >Solyc10g085760.1.1 pep chromosome:SL3.0:10:64968393:64970616:-1 gene:Solyc10g085760.1 transcript:Solyc10g085760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDGILGFDRRSLSIVSQLSSRGISGKVFSHCLKGERENGGGILVLGEIQNPSMVYTPLVPSTEHYNVDLQSIAVNGKLLQIDPVVFATSEDGGTFFDSGTTLIHLVAEAYDSVINAINIDIPSSVESTMSGGTPCYLVSSRSPNNVTKIFPRVALNFAGGVSMELRPTDYLSFIGDFKNTSQWCIFLISKAPSMTILGDIALRDKSIVYDLAHQRIGWADQDCSLPMNVSISSGVDQVVNFRVLFHILSMLCIFLFWGSYLVLM >Solyc05g052160.3.1 pep chromosome:SL3.0:5:63302601:63307348:-1 gene:Solyc05g052160.3 transcript:Solyc05g052160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVIREWVGFQQFPSATQSKLIELIRKLKQESVSTVTILVMGKGGVGKSSTVNSILGERAVAVSAFQSETPRPVMVSRSWAEFTLNIIDTPGLVEGGYVNDQALDLIKRFLLNKTIDVLLYVDRLDTYRVDNLDRQIVKAITDSFGKEIWRRGLVVLTHAQVSPPDGLSYDEFTSRRSEALLKIVRLGARMKKQEIKAASIPLVCVENSGRCNKNELDEKILPNGTAWIPSILQTITEVVVSQSKGILIDQKLIEGPNPNNKGKLLIPLIATFQYFFVVKRIQTWIKNDISRENRHSWA >Solyc12g017675.1.1 pep chromosome:SL3.0:12:7202642:7203390:1 gene:Solyc12g017675.1 transcript:Solyc12g017675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEESTKRVKIEHSEADTEVAERVVDYYLTFLLLQLIKPISLMLFSSLKEHLIIERASLKIAKFGKTYELLNSDEHSNFLKKNDRNPSDYRPDIAHQTNGNQQEVDDSNICQLFVEMGGGMSWSDIESC >Solyc09g064530.3.1 pep chromosome:SL3.0:9:62035482:62042280:-1 gene:Solyc09g064530.3 transcript:Solyc09g064530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:K4CU81] MRGTLGLMGFGGVTYGVSVDGSICTLSKEDNNGVLLSSEASSSYPDETQLELGLGLSLGASADVALPKAKTGARGGYAKILTPKKDFPSLGSNSSSSCSSSSVIKPNNASCGTKRNADSISPPRSSVSQVVGWPPIRTYRMNTLVNQTKLPPPEEFSVTNEKCKSKNTIANAGSSKINNFAKEKGLVKASLFVKVNMDGVTIGRKVDLNAHNSYENLAQTLDNMFLRPSTTVCARSSNVQELGVMSETTSSSRLLDGSSEFVLTYEDKDGDWMLVGDVPWEMFISSVKRLRVMRTSDANGLGTSPTFMGRNGGRPGTKPI >Solyc03g019740.1.1.1 pep chromosome:SL3.0:3:62874457:62875152:-1 gene:Solyc03g019740.1 transcript:Solyc03g019740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSNRALYQQLINLEKDWDNYKRSNKSLNFPQISDKSDSCSTTITTLDFFKLLEKSPRSLMSSLQNNESPFGEEGVNWRVVKKKNDLFVEEIICDRRTAIASGKLKGRRLFGEISDEKSSITTDSSTSQESDCIVLHENNENVDSDSLISGSSISSFSTEKVSKEREIRMIEGNHRAPVNLLERKERRCRTCLWLLVVIIFAMVASVFTRGICLMIGIGKQVEEVVLVPT >Solyc01g080787.1.1.1 pep chromosome:SL3.0:1:79909763:79910356:1 gene:Solyc01g080787.1 transcript:Solyc01g080787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNGRKKIEIVKMQNQTNLQVTFSKRRAGLFKKASELSTLCGANVAIVAFSPSNKVYAFGHPSVESIVNKFVGDNPPPDTDDPNPIIVAHQNANIDEINEKLNRLEISLKRERKHGQALQALRIEPPNEKLSFFDLKILCESLEAADKNVEKLAIQLVECGIEFPYQTIGSALAPLRATESTPSYSGEGSSGSGE >Solyc08g078860.3.1 pep chromosome:SL3.0:8:62673606:62678806:1 gene:Solyc08g078860.3 transcript:Solyc08g078860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRLSNVATRIMGGNGVVARSLASSLRTRAGMGLPVGKHIVPDKPLHVNDELTWDNGTPFPEPCIDRIADTVGKTPKVYPYDNLRVELGGEP >Solyc09g090380.1.1.1 pep chromosome:SL3.0:9:70383053:70383496:-1 gene:Solyc09g090380.1 transcript:Solyc09g090380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYHSRRILTLDESSRKRKDRDTFYSSPRPSNPLTSVSNANLTTSFPKPDPKKKGHPPHQMPNSVLAGYMAYEYLTKGTLLGQKFDPARPRANAAATPVADPKKRKSSPDEPKPSQNYVEISSLLRSDGTHIPGIVNPTQLGQWIQM >Solyc12g099430.2.1 pep chromosome:SL3.0:12:67564886:67569610:1 gene:Solyc12g099430.2 transcript:Solyc12g099430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYDFDHKIVEVNGINMHVVEKGHGPFVLFIHGFPELWYSWRHQIIFMAKHGYRAMAPDLRGYGDTTGVPKDDPSKFSSLRVVGDLVELLNTIVSEEDKVFVVGHDWGAKIAWELCLLRPDKVKALVNMSVPFSPRNPKRKPIESLKAIYGDDYYVVRFQEPGVMEAEFAKIGTKKVLGKFLTYRNPGPLYMPKGKPFDDSPVILPSWLSQKEVDYFASKYEQTGFTGGFNYYRALDLNWELTAAWSGAKVKVPVKFIVGDLDLTYNAPGAKDYINKGGMKKDVPLLEKVVILENVGHFLQQEKPDEINKHIHDFFKGFSST >Solyc12g057140.1.1.1 pep chromosome:SL3.0:12:64169457:64169867:1 gene:Solyc12g057140.1 transcript:Solyc12g057140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKVIIALMLAFIAGSAFAQAPGASPASSPKSSPAPVASPPVATPPPTTSTPPIVAPANPPTTASSPSDSPLASPPAPPTAETPSSSPSGAASPPTIAATPGDSPTASPNSASLNRVAVAGSAVAAVFAAALML >Solyc05g009760.2.1.1 pep chromosome:SL3.0:5:3954885:3958154:-1 gene:Solyc05g009760.2 transcript:Solyc05g009760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLMKILFPSIVRGQANYNMENSEESCIEPYYPSIDEELVGFDEHAQNITDYLIRGTWDLDVVSIVGMAGLGKTALARKVYNSRSIIDRFDVRAWCSVSQTYNRRQLVLQILTQITGDHPSNYVNLDDPEDILRKCLNGKRYLVVLDNIWDGKAWDDFQSCFPDFNHGSRIMITTRDKAMASYVKGYSFPYSLPRLEDDQSWELLQKKVFGRGKSCPLELVNVGKLVAKACRGLPLLIIMIAEVLSREREGSSWLKVAYDISSHVPNKEIIMMTIQSSYDHLLDHLKPCLIYMGLFPKKYEIPVSDLLKWWIAEEFVHHIDTLKLEELSEICLHDLVGTNLVVVSKTRSNGKMKCCIVLDHVRDFCLRKITEEKFIVPYSYPDQPEEQRLCMYLNDRTMTSDFKESDPKEFIVHPKFSILDRKNPFRLLNNLKLVRVLHLLDIYLDNSLPTAFQSLDHLKYLAIFVKAFDLKWVSHLLHLQTLRVRSSYIMISSAIWKMSKLRHVDINEFPITVWEEEDDIVLDNLKTLGMCCMSVADMTRKFWDKFPNLEEVKLHINEFGDHVSDYPSSALMNLDTIILPSRLKCLSLSEMFLTHELVSSIAELRCLETLKLSEIYFAGSHWVLGAYTFEQLKFLKLHHVFMTKWSCIEESFPCLEYLVIKSCPKLEEIPEAFVYIPRLRLIKVINCSESVRDSAQRIKQDVEDIEGDERLQLHIPKNY >Solyc02g021107.1.1 pep chromosome:SL3.0:2:22229940:22233261:-1 gene:Solyc02g021107.1 transcript:Solyc02g021107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAWDRLRDIFQDNQHSHAVALEQEFSTTSMENFPNASSYCQHLKSLADQLKNVGAPVSDSRMVLQLVGGLTRAYRGVGTLIRQSNPIPPFYKACSMLVLEETGMEKEAATESAMVAASSDDSSGHLTNTSQLKRKYSSSSNNKRNSGSQKNASRGSSGGSGGARNNGNRNPPAARRGSGAVQASNMSPAFWQQVYPWVYGWPIPPCPHPTRGWTRPGTPNQQAILLCPRPRNQQAYMVSGQQQQSGSMTPTDIQAAMHTMSDTGATSHMTSSSDGEANNESENLINSNVVSNDPTHPAAHSPAKSTPHGSTSSQQAQPASPPTDFPQPSSGPSSLDNHHLIPHTSPLDQKHSTPSNNLAPHPTQIPLQQQRVVTRSKNEIFQPNKIYSFVYEITMSPLPHLGRLTYFLGIAVTRHKGGMFL >Solyc01g009060.3.1 pep chromosome:SL3.0:1:3001585:3008878:1 gene:Solyc01g009060.3 transcript:Solyc01g009060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQPIITHVSDTSPLTRATSSRSVTETVNGSHRFVIQGYSLAKGMGVGKHIASDTFTVGGHQWAIYFYPDGKNPEDNSTYVSLFIALASEGTDVRALFELTLVDQSGKEKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRALLENSDYLKDDCLKINCTVGVVRSTIDIASLQPIQVPGSDMGSHFGMLLENMEGTDVVFSVAGEKFHAHKLVLTARSPVFRTELFDELMGDKQEIVVTDMEPRVFKAMLHFIYRDSLVDEEIEDTSSSSIPSVTDTLTAKLLAAADRYELTRLRRVCESHLCKDISVNSVSRTLALADRYHATELKAVCLRFAAENLAAVMQSDGFEYLKENCPSLQSELLKTVAGCEDDCSSGGGKSRSVWAQLSDGGDTNGRRVRHRT >Solyc07g056320.3.1 pep chromosome:SL3.0:7:64297581:64301250:-1 gene:Solyc07g056320.3 transcript:Solyc07g056320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLKLAEWFVYKLLANSCYKAAMEVKNSRIFFKNSSSFRSSHQIPIHPNISKCKNSRKSETVVCDIQSTLLRSESFFPYFMLIAFEGGGILRALFLLLSSPLLFVLDFEAKLRVMVFISFCGMKIKDVECVGRAVLPKFYLENLNVRVYELMKSAGERMVFTSVPRVMVEGFLKDYLSVDCVKGTELHCFGNYFSGFVSSSGILSKHRALMEVVGEKKPDIGIGNSSFHDHLFISLCKEAYVVSKEDATTTSSILPREKYPKPLIFHDGRLAFLPTPLATLAMFMWLPIGIPLAIFRILIGICLPYKVAVLLGKLSGIRLRVKGTDPPRSENDKGVLYVCTHRTLLDPVFLSTSLGKPLTAVTYSLSKMSEILAPIKTVRLTRSRQKDGEAMQRLLSEGDLVVCPEGTTCREPYLLRFSSLFAELADEIVPVAMNTNVSMFYGTTASGLKCLDPIFFLMNPRPCYTVEILGKLPKELTCAGGKSSHEVANYIQRQLGAALGFECTNLTRRDKYLMLAGNEGVVEDHNSTINTSN >Solyc03g123800.2.1 pep chromosome:SL3.0:3:72028438:72029869:-1 gene:Solyc03g123800.2 transcript:Solyc03g123800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSFSELERVNRIGSGTGGTVYKVLHRPTGRLYALKVIYGNHEDSVRLQMCREIEILRDVDNPNVVRCHDMFDHNGEIQVLLEFMDKGSLEGIHIPLEQPLSDLTRQVLSGLYYLHRRKIVHRDIKPSNLLINSRREVKIADFGVSRVLAQTMDPCNSSVGTIAYMSPERINTDLNHGQYDGYAGDIWSLGVSILEFYLGRFPFSVGRQGDWASLMCAICMSQPPEAPPSASREFREFIACCLQRDPARRWTAGQLLRHPFITQNSTDYGFKSS >Solyc07g006710.2.1 pep chromosome:SL3.0:7:1559230:1565126:-1 gene:Solyc07g006710.2 transcript:Solyc07g006710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAYTNYYKNNNNNLIQQFLAPQNAARSMLRLKPLVWDKKLENYAKWYANQRRYDCELQHSNGPYGENIFWGGGDGWSPIQAVAAWVGERRSYNYGYNSCSGEQECGHYTQIVWRETRRIGCAKVTCYNGLGVFMTCNYDPPVRATKMELPLTWDSNLENYAKWWANSRREDCRLIHSFEEGYFKLGENIYWGSGNTWTPTDAVNAWADEKKYYDYVSNSCVEGQLCGHYTQIVWKSTRKVGCARVICDSGDVFMTCNYFPPGNYIGEKPY >Solyc05g023620.1.1.1 pep chromosome:SL3.0:5:28314375:28314581:-1 gene:Solyc05g023620.1 transcript:Solyc05g023620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVAQPPTPETRHTYVRDLQMGWVQSSSLIGEDGVGGWRRSEKMVWVAPVGEGWVVDSRVGSMREE >Solyc04g077820.3.1 pep chromosome:SL3.0:4:62791814:62796027:-1 gene:Solyc04g077820.3 transcript:Solyc04g077820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRPLNSLRPTETLELESGLSLVPRIKLLLTVHRADKSVNPFDEWKFKRSLIDYIKSSFFITVPEEDLVIRKFKDLNKRKREEPVARGRLFVHDLGFLSKVISETEDDVERADKKFLEWRRGFVVKLDGIELNLEGTKFKLNVAVPVSDDFEGMKKEWEEIIAFGARGYQRSGRMQPDTIVLKGMPSRWFAETRVSSKPSMLVTHTIVSAIGKIRNLHVAEDNSVGDDADEEDIVSGLHCKIVVRFEKHKDFYDALKILCGRSLQKQGSRLKADYDVTWDKDGFFLDARHQIEERKRMPARGMGERDDGYRQHSRVSQFSSEEGQKRFKE >Solyc01g110260.2.1 pep chromosome:SL3.0:1:96902093:96903539:1 gene:Solyc01g110260.2 transcript:Solyc01g110260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNVRSPSVEHPWLNIPPRLINDYVSRTKFSPSIKGIRGEEWSKNDGPTKYRYSVIPIRRSHKNVTTIVIKNIPYDYNREMLMRFLDEHCLLENQKARDSNGENTHVFAYDFLYLPMDFKSKMNKGYAFVNFTDERTVWNFFEAYDKLNVLPGSTWRVRIVTAKIQGKEALVNHFKNTRFRCESEEFLPVQFSPARDGSGESVQMISVGKYKVTPSCSDILKKP >Solyc12g010490.2.1 pep chromosome:SL3.0:12:3502099:3504613:1 gene:Solyc12g010490.2 transcript:Solyc12g010490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRWTGRYEAHLWDKNCWNESQNKKGRQGAYDDEGTAAHAYDLAALKYWGSETILNFPVSTYEKEIIEMENQSREEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNKNNQSNPNVETNMIPTPNHSIGSTTIVTTTSSSTALVYPDSQLTTNTSISDVSISQINRPSSATSALGLLLQSTKFKEMMEMTLAAECPPAPIELPDPDPPQSSNFPEDIKTYFDTQEFGNFGHEQGEDLVFNEINSLMQPHLQFDYDA >Solyc01g099860.3.1 pep chromosome:SL3.0:1:89868420:89873814:-1 gene:Solyc01g099860.3 transcript:Solyc01g099860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4B120] MEGLMEKGVLDDIIGRLLEEKGGKQVQLSEAEIRQLCVNARQIFLSQPNLLRIRAPVRICGDIHGQFQDLLRLFEYGGYPPSANYLFLGDYVDRGKQSLETICLLLAYKIRYPDKIFLLRGNHEDAKINRVYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGISPELKSLDLINEIERPAEIPDGGLLCDLLWADPDPRIKGWSDSDRGVSCTFGPDVVAEFLAKNELDLICRGHQVVEDGYEFFAKRRLVTLFSAPNYGGEFDNAGALLSVDEQLVCSFEILKPVLSSSSKLPLKKPPKAGGM >Solyc02g087520.3.1 pep chromosome:SL3.0:2:50570562:50572985:1 gene:Solyc02g087520.3 transcript:Solyc02g087520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITMNFSRISFALQLFFICHFITSGKLREVEGCSIYISNKCPFPIWPATAPNTGHPVLSNGGFYLPSGGVRRIGAPGDWSGRIWARTGCNFNVPTNHKPACETGDCDGKLECEGTIGLPPATLVEMTIQYDKKQPSFYDVSLVDGYNLPVSVTNKPSSTKCLIRGCTKNLKDTCPPELQVVNDGGQVVACKSACLAFNLDSFCCRNKYGSPEKCKPSVYSSLFKNACPSYVSYAFDTPSPVLSCSSDQFIITFCPDKWATHHLSASSII >Solyc07g042297.1.1 pep chromosome:SL3.0:7:55541154:55543112:-1 gene:Solyc07g042297.1 transcript:Solyc07g042297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLQAVLARNRGNKLEELKMLENVHSKKQRAAMACRPFLLLSVKPSTKDDIDFLDSIVQIKEAETRMIQSLANDALGEVGSLKRLARRKR >Solyc04g050070.2.1 pep chromosome:SL3.0:4:45302194:45303995:-1 gene:Solyc04g050070.2 transcript:Solyc04g050070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMQPALYIPRSSEAQHSSMGVSGAMPMSLPSLPKLEEKFPKLLDALHVISEKELTTNLNSPRAISSMSKSGTVGHLLSSTFGLHKDFHFSPTSSQETWTLYFPFISSGASMSASQASHSNVDSISLDDYPSGNKQNSWGKDSSHEFLAFPTNVPVQNGQVESLVGVMASDDHAKRSDWQEWADQLINDDDILGLGSSWSYIVIDVNFPDPEPKV >Solyc06g071130.3.1 pep chromosome:SL3.0:6:43859090:43868534:-1 gene:Solyc06g071130.3 transcript:Solyc06g071130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEDDDDTLALPLPSCSDSVVVVDDEFVKQLVDSPILFFVLSHKAVEIELHQIRCVAVEALDSGGEVVDELCKRLHFLKIVYKYHCVAEDEVLFQALDAQVKNVVFTYSLEHNSIDVLFSSIFDCLDRLQEEKDEISVLFNELTCSIGTIQTTISQHMLKEEEQIFPLMMEKFSSEEQARLIWQYLCSVPLMILEDFMRWLTASLSSHERAYFLKFIHIVLPEEKLIQEVFISWIDENKEASSRSCIEDGKGAKFHYGKANMKYIFEMDVLMVQCKEMQHQKASEEHNPIDGFHIWHAAITQDLRVIMDELYQIRNTLCVSTLLSVITQLKFFADVFTFYSNALDQIYYPLVNQLNKDSPSPFYEQFIERSQIEELQKLLYYKLHEEIQIKVFVDMLCQEVELFVGRMNKKLQFLETEVFVFIRKTCSYELQLWLLYISLHMLPLGLLKCMIIWFSAHLSENESKMMLNNIKLGSSVVSKSFSTLLYEWVRMGYSGKISVEKFRKDLEEMFSSGTYLFEKWCKNSGSSSSHSEIHSPDRPYHPSTLDNIGKHDTPYSNGINLRIFFSDSLNGLFCHPETAVDGMRLSRLDVKPIDFFHFFHKALKKDLQYALSLSVKLAEDVGLLAEFERHFHHVRFLYQLHSKSEDEIAFPALESKGQLRNVSHSYGIDHKLEVEQFDRISIVLNEITSLQGCVDMIDSNKLKYKKLCLNLHDTCISMHKTLTDHIYREEVELWPLFKEHFSVEEQEKIIGDMLGRTKAEILREMIPWLMASLTPEEEHGIMSIWRKVTKNTKFFEWLGEWWEGIKRDESVNAEKGSKLSLALAVDPLEVVSTYLPRDDFWSSSVCHEKGENFLSTESADCDLDQSGSFAADKSQKAKGNKNVDRSTDITQHSTEVDKKICNDTIDIADKKEITCQDIKLYEQSRQKNHKEHHLILTQDKLVDAIRRVSRDFSLDSEKKSHLMQSLLMRQIHFQLLFFDLFLRKNQWILTQEKFHSEVATAKDKEKITGQCPSFRDKTESVFGCKHYKRNCKLLAPCCNELFPCIRCHDEISDHFLDRKSITQMMCMKCLKIQPICPSCLTLTCNNFSMAKYYCRICIVFDDDRQIYHCPFCNLCRVGEGLGVGVFHCMTCNACMLSKSLSIHTCRENCLEDNCPICREDIFTSATPVKQLPCGHLMHSTCFQDYTFTHYTCPICSKTIGDMKVLFELLDAFLSEEKIPEEYAGQIQVILCNDCQKRGTASFHWHYHKCPYCGSYNTRLI >Solyc03g115870.3.1 pep chromosome:SL3.0:3:66953812:66957875:1 gene:Solyc03g115870.3 transcript:Solyc03g115870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLLKTGFSVNSSIGALQVTDLTPNEFMGKSLDVSNQKIGFSHLNVKPPRPISISAQASICVSKALRWWDKTLKPNMVEIHSAKELADSLLNAGDRLVIIDFYSPGCGGCRTLHPKICQLAESNPDAIFLKVNYEELKSMCHALRIHVLPFFRFYRGAEGKLCSFSCTNATIKKFKDALGRYGTERCSLGPAKGLDESELLALASIGQLSRNDDYDYPVKKVYVDLTDNKNLGLKESSELLMA >Solyc06g036605.1.1 pep chromosome:SL3.0:6:27053283:27056167:1 gene:Solyc06g036605.1 transcript:Solyc06g036605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWSKYVHSPAHLVVAKRDYPTLKEIIDCLPCLAKAGEVNTEAESVAAEIDADVVSAVIDRRDVPGRETPLHLAVRLKDPISAENLMSTGVDWSLQNENGWSALQEAVCTREENIAMIIACHYQPLAWAKWCRRLPRIVASAARIRDFYMEMTFHFESSVIPFISRIAPSETYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSETSSISLPPGSLIVLAHKEKEITNALEGAGVQPSEGEVAHEVALMSQANMYRPGIDVTQAELVPHLNWRRQERTEMVGTWKASVYDMLHVMVSVKSRRVPGAMTDEELFSMNDDGKLTNCGEHDDYDGVLTAEERRQLNSALRTGNSDGLGDDEEDLEVQDCHDNSSGGSFRSCESNGVPKQKKSWFGWNKKNSKGTGDDLEDSKIVKRFSKLAPDNTKQKSHEPHKSSSEVQGEDTRDLKRSKDKNGKKKKKKGPLEELLPLLDILANKVKAIRRLRELLTTKLPPGTFPVKNFVPCVLDAFGHELEVMIETIDWVERSPGKIAFAAEFRSTLLVVARIAKQNLCDQQDLPKTYFNSKLSLFVKISGQTPMDHQNEDTKFDKVRELVIKS >Solyc04g050750.1.1.1 pep chromosome:SL3.0:4:48126852:48127337:-1 gene:Solyc04g050750.1 transcript:Solyc04g050750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGKSRIESVESKRKSRSRKGCMRGKGGPENASCTYRGVRQRTWGKWVAEIREPNGGARIWLGTFNTSVEAARAYDDAARRLYGSDAKLNLSEQESTDVGIIEDGDGECSVLEEASIFKDGNGKYLVWDTPAPSLLGVDFHGDATTCFNWKNQTEMMYF >Solyc02g068877.1.1.1 pep chromosome:SL3.0:2:39330174:39331190:-1 gene:Solyc02g068877.1 transcript:Solyc02g068877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKFHFFHYLHFFLLLSSSCFSLVAFSWIPYNENKNYEGSSDLVNLEYHMGPVLSSPIKLYVIWYGHWNPSHQATIRDFLNSFSSSYAPHPSVADWWRTVRLYTDQTGQNISTISLSGEFFDYKYSQGKYLSRLSMQYVIKNAVRSYRESIPLNYKNGVYLVLTSYDVQVQEFCRAVCGFHYFTFPSILGVTVPYAWIGYSGKQCPGFCAYPFAWPKNSGKPPPNTRNGGNNLMGAPNGDPGVDGMISVIAHELAEVSSNPLVNAWYAGDNPMSPTEIADLCLGVYGTGAGGGYVGQVYKDFRGNGFNLHGVKGRKFLVQWVWNPLRRRCFGPNAMD >Solyc05g051560.3.1 pep chromosome:SL3.0:5:62782675:62787067:-1 gene:Solyc05g051560.3 transcript:Solyc05g051560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLHDDGINAFVSSICFFSFKNPLIFHIPFCYSVKFVMKMVNQSDPGRVRVSSLSISDLCLNSIGFNGSDGGLFLEDSGPRSFVSLIGNQGLCYSESRRRRIGFEVRGGTGGRFLSVTASINGGGNEGFEEDSGETAAESEMQVCRRRVEKVEEEEEKKKVLSGGGAALNTTKHLWAGAVAAAVSRTFVAPLERLKLEYIVRGEQRHLLELIKTIATNQGIKGFWKGNFVNILRTAPFKAINFYSYEKYRDHLLKLTGNEEATNLERFVAGAAAGITATVLCIPMDTIRTVMVAPGGEALGGLIGTFGHMIRTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRLQNMKQGEDLNALDQLELGTVRTLIYGAIAGACAEAATYPFEVVRRQLQMQVRATKMSALATSLKIVDQGGIPALYAGLTPSLLQVLPSAAISYFVYEFMKIVLKVE >Solyc07g041180.3.1 pep chromosome:SL3.0:7:52087130:52096533:1 gene:Solyc07g041180.3 transcript:Solyc07g041180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVLFAMSKIGGNEKLLDDIEALNKALCSDNKGGRRSLMLGASNRSTSVGKTHQKSKNRDDLSGKENKKSIWSWKGLKSLAVRNKKFNCCFSVQVHSIEGLSTLFDELCLVVHWKRRDGELTTRPVVVSKGVAEFEEQLTHTCSVSGSKNGPNQSAKYEAKHFLLYASIYATPDLDLGKHRVDLTRLLPLALDELEENSSGKWSTSFRLSGKAKGATMNVSFEYHIVGKTFTVFPSSTSLLDVNNLRRNSEKIAKILAQCEQSDELSKTMRRAGSLPARSSASQCSAENIKDLHEVLPVPSSELSVSVNVMYQKLEEEKVEYSVDCKPQIDVCCDDVKTLKPNIALLSEPEKGNIENADDLSEVSIRDQGIEVASEVQEEKEEETTKTGDTPSEENAEPNSSFGMFNEEEPQLALLSKEVDTQNKDLSASTCNFETDKSSKESIMKELESALKRVSDLENEGFDSQDDENEVINHDGGLNIKGNFEELRKGKSLSLDYDAESVASDFLDMLGIEHNQFSLSSESEPDSPRERLLRQFEKDTLADGGSLFNFDEDIDHQDFACDASTGSDWRSIYEDFDYSCNVEMPKIEIEATSNKIGASMLEDLETEALMYEWGLNERAFQRSPPRSSSGFGSPIDIPHEDPSELPPLGEGLGPFIKTKNGGFLRSVNPSLFKNAKSGGSLIMQVSSPVVVPAEMGSGIMDILHHLASIGIEKLSIQANKLMPLEDITGQTMQHIGWETAPSLDGTVRQEFLQHEFEYGKNMAGIQSNKGKLHRPKSSSKLESNSAGLDKDSEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEDTPSNVSSKPIGEFSAIEGKKVNFGGAVGLEGTGGLQLLDVKDNDGGGEVDGLMGLSLTLDEWMKLDAGEIDEISERTSKLLAAHHGTCTDLFRGRSKKRGKGKNCGLLGNSFTVALMVQLRDPLRNYEPVGTPMLALVQVERVFVTPKAKIYSTVSQSPQKEAGGVDVKEEQIREDEEIPQYKITGVHVAGLKTEQGKKKLWGSSSQQQSGSRWLLANGMGKKNKHPLMKSKGINKSSIAAASSLATTTVQPGETLWSISSRVHGTGAKWEELAALNPHIRNPNVIFPNEKIRLR >Solyc02g033070.1.1.1 pep chromosome:SL3.0:2:30213432:30214037:1 gene:Solyc02g033070.1 transcript:Solyc02g033070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSTVDGFVEITESLMDMIKFIANEPSAGIFYVQQHTHTAVPNLISLTSKTEGKSRQVTLHTADSEDSIFMVRSMKECGFSIANEMMKNLRHSLVVVSEKQLRIGSIRRQSSSFRIERTISWNPATWGRKTGPEPDGERNADYVSNVFKSAKEKASSFRWPQMDAIESKPAKNDEPSVICSNDDTTLPADDSSCTRGQQ >Solyc09g059170.2.1.1 pep chromosome:SL3.0:9:53805561:53806970:-1 gene:Solyc09g059170.2 transcript:Solyc09g059170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGNSNDVLHIVLFPFFAFGHISPFVQLSNKLSIHGVKVSFFTASGNANRVKSMLNSAPTTSIVPLTLPQVEGLPPGAESTAELTPVTAELLKVALDQMQPQIKSLLSNLRPHFVLFDFAQDWLPKMADELGIKSVYYSVFVALSTAFLTCPARVPQPKNCPSLEDMKKPPPAFPHTSVTSVKTFEAQDFLYIFKSFHGGPTVYDRVLSGLKGCSAILAKTCSQMEGPYIEYVKSQFKKPVFLVGPVVPDPPTGELEERWGNWLNKFELGSVIYSSFGSETFLTDDQIKELALGLEETGLPFFLVLNFPANIDVSTELNRVLPKGFMERVKERGIIHSGWVQQQQILAHSSVGCYVCHAGFSSVIEALVNDCQVVMLPQKGDQFLNAKLVSGDMKAGVEVNRRDEDGYFGKQDIKEAVEMVMMEEPGKLFRENQKKWKEFLLNKDIQCKFIEDLVYEMKDMAKISTN >Solyc03g058957.1.1 pep chromosome:SL3.0:3:29344220:29355225:-1 gene:Solyc03g058957.1 transcript:Solyc03g058957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEIYRAMKNTQGEVGQTSNPKLDFEVRISPYMREALEIKYGNEDLLGWLRGTVTFPILRKMVHDVLAIQASSVASEAAFSAARGFYDQFEVITIIA >Solyc06g060910.2.1 pep chromosome:SL3.0:6:39022284:39027559:-1 gene:Solyc06g060910.2 transcript:Solyc06g060910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNESMNANPPGILLIRSIRRKDWSLKTYKYVVLLITFIAYTSYHASRKPSSIVKSVLHPHPFLNETVTNPWPIGPLFIKRELLGSIDLGKSKKEGWEPFNGEDGTSKLGEIDVAFLACYSMGMYVAGHLGDSLDLRLFLATGMIGSGIFVGLFGMGYFWNIHAFWFYLVMQMVAGLFQATGWPSVVAVIGNWFGKRKRGLIMGVWNAHTSVGNISGSLMAAAVLEYGWGWSFIVPGSFILLAGIMVYLLLPAYPEDVGFPCPNQPYVDDPSKNNDEEAQIVKENMAVNAQFNVPRVGLNRTSVGLCDACLIPGVIPFALCLFFSKLVAYTFLYWLPFYLSQTAIGGEYVSVKSAGNLSALFDVGGIVGGILAGHLSDKLDARATTAASFMYAAIPSMLLYRKYGGTSRFMNILLMMIAGLFVNGPYALITTAVSADLGTHSSLKGDSRALATVTAIIDGTGSMGAALGPLLTGFLSSKGWDAVFIMLVVGALSAGLLLSRLVLSELSEKFSKRLACEQHNSEDSASQPLLRERR >Solyc07g062930.3.1 pep chromosome:SL3.0:7:65683533:65688558:-1 gene:Solyc07g062930.3 transcript:Solyc07g062930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVLNSLRGHFFKNLSCTTSSIITGRFFNGRRVHRLIPFIHLSKSCAAQRYKHWKRIQTAAKFSTLSSPSSETPASDSFTSPYLSVRICCQKDVADMLSEALLCFGASSTTVDEEERSDEIIITSIFSVCKDVKDCISLAADSIGLQKIPSFEVTMHDHTDWIKATQELFCPVEVTDGLWVVPEWTTPPDPQATNIILNPGLAFGTGEHPTTKLCLLLLRDLIKGGEFFLDYGTGSGVLAIAALKFGAAFSVGFDIDPQAITSAQYNATLNNIGHEELLLKLVPGKGILPSADFSSSMDIDQTAYDAEVLNNRGKYDIVVANILLNPLLELADEIVSYAKPGATVALSGIISEQIPRILERYSQYLENITVTKMDDWACISGLKK >Solyc04g074460.2.1.1 pep chromosome:SL3.0:4:60529335:60529711:-1 gene:Solyc04g074460.2 transcript:Solyc04g074460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHKLDKNMSSIRVS >Solyc01g060205.1.1 pep chromosome:SL3.0:1:69735099:69736096:-1 gene:Solyc01g060205.1 transcript:Solyc01g060205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMADDHKRVKESHYKRIIELAANLDQKQSLQLQIERLRGSMEVMRLVNQEGDLEAKKNCSQFKEKSRRVKNLIGWKH >Solyc09g025270.3.1 pep chromosome:SL3.0:9:65320167:65327057:1 gene:Solyc09g025270.3 transcript:Solyc09g025270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSVRCLINSISRFIHLVTCLTSKSMPGQKNYKNIATLLKLLKPVLDDVAQQKAPSDETICRQCEELDVAINEARESLEEWSLKKSKILWVLQSEPELLKIQSIALKLSHTLCELLESSPPSLGPSEIQPFIQEIQKFEVGQISKQMNMAPEVGKILVSESLTEMIHSLNFVSHEELLNECIALEKERMNAKDNETRGDLDKISLSIDLFSDIRDCMLELDHFKAIDGIKIPPYFRCPLSLELMVNPVIIASGQTYEKTSIQKWLDHGLTTCPRTNQALAHSNLIPNYTVKALIENWCEVNKVRLNGNPESTHDGITSNPVHLTDMDDVRGSSDTSNSMSRLCHQGGQAFEKVDCTSELSEEEFSACRIREAEKSGHTSPGISYIHSRSESVSSAVSSIEYLPSASTDVSRISSKHDNVSDTSGEVQCDYRISSPCNKSVGNSPNLSARQYHSSKTMSEMAVNGLHNHARQLSLPTKSASDDLTTSSHVEKLIRDLESQSTEVQMAAAAEFRFLAKHNMENRAIIGRCGAIAPLISLLNSDVKPTQEHAVTALLNLSINEDIKAMIAEQGALEPLIHVLRTGNAGAKENAAAALFSLSLLEEYRKKIGRSGAVKALVDLLGLGTIRGKKDAATALFNLSIFHENKARIIQVGAVKHLIRLLDPSNVMVDKAVALLANLSTISEGCLAIAREEGIPSLVEIVETGSQRGKENAASILLQLCLNSPKYCRLVLQEGAVPPLVALSQSGSPRAKEKAQQLLSHFRSQREGATGRGKS >Solyc08g045720.2.1 pep chromosome:SL3.0:8:17070791:17071972:-1 gene:Solyc08g045720.2 transcript:Solyc08g045720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCYNCVLLLLLSSIIIPILSEDIHQLDLYNTIDQCHLILSANNQPYRSAYHFQPPNNWMNILMGQ >Solyc06g048950.3.1 pep chromosome:SL3.0:6:32115008:32119128:1 gene:Solyc06g048950.3 transcript:Solyc06g048950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVFVIVFLFLLVEAVFVEALNPSLNDDVLGLIVFKSDIQDPYKKLKSWNEEDDTPCNWDGINCNPRSNRVTEVVLDGFGLSGRISRGLLRLQFLHRLSLAKNNLTGSISVSLAQLAYLKFLDLSENNLSGNIPGDYFQQCGPLRSISLANNKISGQIPESLSSCVTLASLNLSSNQFSGMVPSGIWSLNGLRSLDLSNNLLNGEIPVDIQGLSNLRALSLGRNKFMGEIPDEIGGCLLLRSIDLSENSLSGELPSTMQKLSLCSEFILRRNAFVGIVPEWIGEMKSLQILDLSENNFSGHIPTSIGELESLKVLKVSRNRISGSLPESLSSCVKLLELDVSHNSLAGTIPKTVGQLKSLNILDLSENLLYGIVPVEIGGATSLMELSLDKNSLTGEIPSSVGYCSSLVSLSLSHNSLTGPVPEALAKLTYLQSVDLSFNKLTGVLPKQLGDLGHLSFFNISHNQLQGELPSSGFFNTISPYSVSANPSLCGAAVNRSCSTVMPKPIVLNPNSTDSSPNTVPQSIRHEKKILSISALIAIGAAAVIFVGVIAITVLNIRVRSAAPRSAAAALTFSGGDDFSHSPSTDANSGKLVMFSGDPDFSTGAHALLNKDCELGRGGFGAVYRTVLGDGHPVAIKKLTVSSLVKSQEDFEREVRKLGKVHHHNLVTLEGYYWTPSLQLLIYEFVAGGNLYKHLHEGSGGCFLSWNDRFNIIIGTAKSLAHLHQKNVIHYNLKSSNVLIDSSGEPKVADYGLARLLPMLDRYVLSSKIQSALGYMAPEFACKTVKITEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEDCIDARMQGKFPADEVIPVMKLGLICTSQVPSNRPDMGEVVNILELIRYPSEGQDELV >Solyc08g081010.3.1 pep chromosome:SL3.0:8:64262128:64270158:-1 gene:Solyc08g081010.3 transcript:Solyc08g081010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GSH1 [Source:UniProtKB/TrEMBL;Acc:G8XSL1] MALMSQAGSSHCIYSEKVRCISGHRSIINNMDMFRMREICFGVDISSRNASRRVQGNYLNHIGVGSRRGDLTIVAASPPTEDAVVAAEPLTKEDLVGYLASGCKSKEKWRIGTEHEKFGFEFGTLRPMKYDQIADLLNGIAERFDWEKVMEGDKIIGLKQGKQSISLEPGGQFELSGAPLETLHQTCAEVNSHLYQVKAVAEEMGIGFLGTGFQPKWGLKDIPIMPKGRYEIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSKRSHIWTDTDNNRAGMLPFVFDDSFGFEQYVDYALDVPMYFVYRKKKYVDCTGLSFRDFMNGKLPPIPGEYPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGILYDEGSLQSVLDMTFDWTAEERDMLRNKVPKSGLKTPFRDGLLMHVAQDVVKLAKEGLERRGFKETGFLNEVAEVVKTGVTPAEKLLELYHGKWGQSVDPIFEELLY >Solyc06g076980.3.1 pep chromosome:SL3.0:6:47979601:47984447:1 gene:Solyc06g076980.3 transcript:Solyc06g076980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFSRHNRRPSFLPRYVVFFAFLFLALILFFEVDNLVSQTKTIVGHNLEPTPWHIFPAKSFDDESTYSKASTIIQCSYLTCSSSSHVVDIPRSTKPQSKSHKCPDFFKSIRYDLEPWAKSRISINHVMEAQKNAAFRVVIVGGKLFVDFYYACVQSRAMFTIWGILQLLRKYPGKVPDVDLMFDCMDKPIINRTEHSSMPVPLFRYCTTPNHYDIPFPDWSFWGCGTISQSEINIRPWNEEFKSIKEGSNSKSWTSKIPVAYWKGNPDVVSPIRLELLNCNDTKMWRAQIMRQNWTEEAKVGFEKSKLSKQCNHRYKIYAEGYAWSVSLKYILSCGSLPLIITPQYQDFFSRGLIPKKNYWPLPPFDLCPSIKQAVDWGNANPLEAEAIGKAGQDFMESLSIDRIYDYMYHLISEYAKLQDFVPVPPSSALELCIDTVLCFADDQQKRFLKKSLVFPSNESPCSLPI >Solyc08g081380.3.1 pep chromosome:SL3.0:8:64568015:64571935:1 gene:Solyc08g081380.3 transcript:Solyc08g081380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAATTTTSTNLKFQIQPIKPKRRRCRETTITASTNPSQYSPTNCSTQCLNQTRKVDTPTIVSPDNSWCCPASKSPSPSPTQPISSCSSSISDNTKIRYSPTTFPRVMESIGTLYGGGGGGGGTPIPNNNTHEGFPSSFSKFNSALTAGLLNPMSPPPSMDKTRSSPTLFEMMANEPECITRSTINGPISNGCSNQKPASFVPLDKQALMQQRLLDLLACRSPGNQFNDSSSSDVKLTLSSKDGLSVAMNVHRQILVVHSRFFAVKLSEKWVKQQRNSGPYIVEIADCDDIEVYIETLRLMYCKDLRKRLMKEDVPKVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEGVGAGEVLRRVSLDVTSGTESGNGNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLHENSSHNDLRKESLYSACNACLQLLRHHFLRAADADMMDVGQIARQADNLHWILDILIDRQIAEDFLRTWASQSELSEAHSKVPALHRYEVSRVTARLFVGIGKGQLLASKDARCSLLQTWLVPFYEDFGWMRRASRGLDRHLIEDGLSNTILTLPMALQQDILMSWFDRFLNSGDDCPNIQRGFEIWWRRSFWRRNGEPERPRQMRVMTATIENS >Solyc12g049430.1.1.1 pep chromosome:SL3.0:12:61975961:61977109:1 gene:Solyc12g049430.1 transcript:Solyc12g049430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIVTSLGDMVIDLFTDECPLTCKNFLKLCKIKYYHNCLLHTVRKNFTMQTGDPTGTGSGGDSIYKFLYGSQARFFGDEIHPRLKHSKKGTVAMSSTGIGEKNLNASQFYITLRDDLDSLDGQHTVFGEITEGFDTLNRINEAYVDDKGKPHQNIRIKHTYLLYDPFDDPSQLEDLIPDASPERKPEDEIDDDDDVRLEDDWTPKDEELGVGEEKEAHSRAVILESVGDIPDAEMKPPENVLFVCKLNPSTEEEALYIIFSCFGTVTSVEIIRDHKTGDSHCYAFIEFEDKESCEQAYFKMDNTKIDDRRIRVDFSQSVAKLWSQYRPRTQRGSGVDAFKEDKKQHGGHCNSKYTKFLKTRKETRNHKERKHRQITKKTR >Solyc12g009560.2.1 pep chromosome:SL3.0:12:2815688:2819430:1 gene:Solyc12g009560.2 transcript:Solyc12g009560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN3-binding F-box protein 1 [Source:UniProtKB/TrEMBL;Acc:Q2PQJ1] MPTLVNYSGDDEFYSGGSFCSADLGLMLSLGHADVYCPPRKRARISGPFVVEDRSKDPSLEVLPDECLFEILRRLPGGRERGAAACVSKRWLTVLSSVKNSEICRSKSYNNLNDAIMISKDEDLEVECDGYLTRCVEGKKATDIRLAAIAVGTSTRGGLGKLSIRGSNSVRGITNVGLSAVAHGCPSLRVLSLWNVPSIGDEGLLEVARECHSLEKLDLSHCRSISNKGLVAIAENCPSLTSLTIESCPNIGNEGLQAVGKYCTKLQSLTIKDCPLVGDQGVASLLSSGASMLTKVKLHGLNITDFSLAVIGHYGKLITSLNLCSLRNVSQKGFWVMGNAQGLQSLVSLTITLCQGATDVGLEAVGKGCPNLKYMCIRKCCFVSDGGLVAFAKEAGSLESLILEECNRITQVGILNAVSNCRKLKSLSLVKCMGIKDLALQTSMLSPCESLRSLSIRSCPGFGSSSLAMVGKLCPKLHQLDLSGLCGITDAGLLPLLENCEGLVKVNLSDCLNLTDQVVLSLAMRHGETLELLNLDGCRKVTDASLVAIADYCPLLIDLDVSKSAITDSGVAALSRGVQVNLQVLSLSGCSMVSNKSVLSLKKLGENLLGLNLQHCSVSCSSVELLVEALWRCDILS >Solyc06g073490.1.1.1 pep chromosome:SL3.0:6:45436556:45438481:-1 gene:Solyc06g073490.1 transcript:Solyc06g073490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRGFSYLQNLWPFSVLNPTDLRISDGFVRKLGIPETTKQFVYAIQEPESKAVIYVLCVQNLSERSALDAECLIREVKPEAVVVQVGNSGDGHENEGIGLSDGGDLEEEEESVPTSSIEVLKRCFVHKTSKEKYENMAGRVVLREIFGVGFDGHFPAAKKAAEEVGSAFLLLESPFVQCSLSGEPSDVGDEGFENKFGVFGLEDGYENRFGVFGLEASNSLVPLRTGLMVSENSRGFRITNDVQSQMVRLLSSYLVNSSSLQKIGSEDIQQQLNYQVPQFAQTVYPLLLDLHNIFVDIPSIGRALACAQKMFHDVRNGDAVNTDVLSEVYVFKIAVEGLRIALNNAGRLPLSKMGSHTTEFSELCIEDKSHALLAQALRSQTEKFKSIVAVVDASGLAGLRKHWSVNVPEEVKEIVDQLVTDSENDGDNSSQSDKKGLLAVKPVVAVGAGATAVLGASSFSKVVPASTILKVVTFKVPASLKIMITQTQKALALAFGKSNVAGPAMASSGVKSSVLKATASAEKIRAMAHGVIASAEKTSISAMRTAFYEIMRKHRVRPVGFLPWATFGCSVVTCASLLVYGDGIECAAESLPAAPSIASLGRGIQSLHQASLAVKQTENSRIQKSIESLVYRFKKISIS >Solyc01g105910.2.1 pep chromosome:SL3.0:1:93845470:93845982:1 gene:Solyc01g105910.2 transcript:Solyc01g105910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISASMFISKPNLISKFEVSKSCISNSPIRRSGNYQPSIRDYNHIQSLKNHYSDEKFIRSRNELKMEVKIMLSDRNMKQLEQLEIIDNLQRLGLSYHFEDEISSILNNLSDKDSKRDHLYAKALEFRLLR >Solyc02g061760.3.1 pep chromosome:SL3.0:2:33824665:33827193:-1 gene:Solyc02g061760.3 transcript:Solyc02g061760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKLPVAVTCGRTNGTECAARRTLNKPFLVNSSTWNNYLGSTSTKRSKLNNVIRNCGLSTDGKAVDYNLDSSNLEEKFGDRLSNEGAIALSGTSIDQSPTQDVQTELIVLCLPAIAGQAIEPLAQLMETAYIGRMGALELASAGISVSIFNIISKVFNIPLLSVATSFVAEDISKYADEDNTAAERKALPSVSTALVLSAGIGLIEAAAMYLGSGPFLSIMGLSTDSTMRIPAEHFLKLRALGAPAVVLYLAVQGIFRGFKDTRTPVMCLGKSS >Solyc11g008290.1.1.1 pep chromosome:SL3.0:11:2511386:2512204:1 gene:Solyc11g008290.1 transcript:Solyc11g008290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRKYSEDDDEDDTFYYRYSSAPSPAPSSSSVTSKNVSISKSSHGGGGGISGSGGLAPSKSTVYVSNLDYTLTNSDLHTIFSNFGKVAKVTVVKDRVTRKSRGVAFILFISREDAIKAVKGIDKKLLNGRTLTASIASDNGRAAEFIRKKVYKDKSRCYECGEEGHLSYECPKNLFGPRERPEPPKKGRRGGGGGKRGDEGRGGEWEDDEEEEDEADDEGGARFADDNWASVVDRGAEERLLKGDNDEFKKEARKEKRKGYFSDESDEED >Solyc12g019200.2.1 pep chromosome:SL3.0:12:9547413:9549169:1 gene:Solyc12g019200.2 transcript:Solyc12g019200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTRTRNIMLRNMTSAAHSSRIYYVRQGFRLNGMVLIVLEYYYSSNGRLTIVLANGTNIYHVSIVPRNHFSNWIPNGIITNTMTPALPNANNIWSLPYVVMNYASRFADVNHGPNLMPYPPHRANVHMGLLARQDQAGNVNRGLRREIIFARMNRVMYQLTEISTSDEDTCSICLDYYSDGQIIGSTDCHHTFHFDCINQWLMQVNSCPICRRTALAV >Solyc03g116930.3.1 pep chromosome:SL3.0:3:67683788:67697392:-1 gene:Solyc03g116930.3 transcript:Solyc03g116930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELVADGLLRHSDMDVKVSVASCISEIMRITAPDQPYKDSILKDFFELAVLAFRKLSCLNGRCYSKAVSIIKVLAKYRTSVLMLDLMLDTLIVHMFQHFLNGIRPEHPDKVFTDIKEIMTMIIKESENIPMQFLSILANILINSVKKENQNVSPRSYVLGEEVLQESAVKLHPYLPKAVAALNISFKNYSEVVELTWREAMKCKATVGLVKNKDDFLKALGFASNTKEINFPKACKRQRKQRKRKYFLSQEEGEATDDPTPSTMTMQHNLTPKGVHENKGVRVDVHGYKVKVSSGPILTAIFAKYGDIAVNCHYKSLAFRASVLDIVCDVVRRLKTGDVGSTSINDMRISLSAAARVKLDVTWLQQCLDQISEEGDMEKKLSDLMEKLEHFLSLVKQILSVSTRYTFRPAMEALVADGLLRHPDMDVKVSITSCISEIMRITAAD >Solyc03g033690.2.1 pep chromosome:SL3.0:3:5306007:5308429:-1 gene:Solyc03g033690.2 transcript:Solyc03g033690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BFP1] MAKIIWTLFFLASICLSSKTKYYVYGCLDPQFYDLSCPKAKEIVKVGVAKAVAKEARMAASLLRLHFHDCFVKGCDASLLLDSSRTITSEKLSNANRNSARGFEVIDEIKGALEKECPQTVSCADILALVARDSTVLAGGPNWEVPLGRRDSREASLSDSNNNIPSPNNTFDTIFTKFNLRGLDLADLVALSGAHTIGNSRCISFKQRLYGQSGNYYFPDYTLDRIYGAQLSTVCPRYGGDQNLFYLDYVSPTKFDNSYFNNLLVFRGLLNSDQVLVTQNMASLQLVTLYAQNNEAFFEQFAKSMVKMGNISPLTGQRGEIRKNCRIINN >Solyc03g097695.1.1 pep chromosome:SL3.0:3:61448458:61449808:-1 gene:Solyc03g097695.1 transcript:Solyc03g097695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLLHEQYLQSVQTDSNISEGKETVISDSPIKDEKRSISSELLGYPMVSKSTDSKAKYSLGWLLHGIVIALGEEVCGKPDLSSNAVLWEPFFNS >Solyc05g023833.1.1 pep chromosome:SL3.0:5:29498181:29500258:1 gene:Solyc05g023833.1 transcript:Solyc05g023833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETTKLKTKKMNLQINFVNLGAVVRMGMEKERSSILGFGNFVDGKLILAGTCEGLIWWSFLLCSLLWLEHGALSAALTGYRTMFPLFYILRKITNESYTSEALRNTSFPADIC >Solyc02g081190.3.1 pep chromosome:SL3.0:2:45806081:45807784:1 gene:Solyc02g081190.3 transcript:Solyc02g081190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNFPVVDMGLLQTEKRPEAMDKIKDACENWGFFELVNHGISHELLDTVENLTKGHYKKCMEQRFKEMVASKGLEAVQTEIDDLDWESTFFLKHLPVSNVYEVPDLDDEYRKVMKDFALKLEKLAENLLDLLCENLGLEKGYLKKAFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGNWIDVPPMKHSIVINLGDQLEVITNGRYKSIEHRVIAQQDGTRMSIASFYNPGSDAVIFPAPELIEKTEEDNKLKYPKFVFEDYMKLYAGLKFQAKEPRFEAMKAVETTVNLGPIETV >Solyc02g094560.3.1 pep chromosome:SL3.0:2:55720820:55739411:1 gene:Solyc02g094560.3 transcript:Solyc02g094560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKEVIRLERESVIPVLKPRLIMALADLIEHSSDRAEFLKLCKRVEYTIHAWYLLQFEDLMQLYSLFDPVNGAQKLEQQKLSPDEIDVLEQNFLTYLFQIMDKSNFKIASDEEIDVAHSGQYLLNLPISVDESKLDKKLLKKYFAEHPHESLPEFADKYIIFRRGIGFDKTTDYFFLEKVDMIISRIWGWILRKTRLFSRRSSSRHQKNLKKDDGINSEAEDQDLYVERIRIENMELSLRSLMSKITIQEPTFDRIIVVYRRAGTSAKSDRGIYVKHFRHIPMADLEIVLPEKKNPSLTPMDWVKFLVSAIVGLVAVVSSLELPKADIWVMFAILSTVIGYCAKTYFTFQQNMATYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATLEDLDLRCEELLKEEFGVRCNFDVDDAVQKLDKLGIVTRDTIGRYYCVGLKRANEIIGTTTEELVLKAKQGAVSS >Solyc02g062940.3.1 pep chromosome:SL3.0:2:35428882:35432574:1 gene:Solyc02g062940.3 transcript:Solyc02g062940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDCVGSGEVSVLSSGDQTAPCKEAAKKKRNLPGMPDPNAEVVALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSNEVRKRVYVCPETTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDLKAHLKICGTKEYKCDCGTMFSRRDSFITHRAFCDVLAKDTEKAQNAVTTPIAEEDLKVQVDVSSTPQTPRTPPPSSEPPAVPSPAAPEPLPPPGSPSTAVVSSDSPIQHPESPHTNSNGTITKHDIEETTAKSRLSGICSSSSCTGCTNSNCFTSLTGICSSSWSSSSNGSTSNSSIFSSLTGICSSTRSSSSNGSTGSISVFPSLSGICSNSRSSSSNGSTQSSSIFSSSTGICSSSIFPSSTGICSGSSIFASSTGIYSNSSSLSSNRSTSGSSIFTSLTGICSSSSNSSSNSTFASLFASSTASGSLPSQAPQFTDWFQSVAPSPPPDIEPPSSMEPISLCLAMNHGSSVFGSTGQERMQYAAAPQPSMSATALLQKAAQMGATATNSSLLRGLGILSSSSSSSGQHEWNGRQIDTDGATLAAGLGLGLPCDDGSGLKELMLGTPSVFGPKHPTLDLLGLGMAAGGGSTPGLSALITSMGGNLDVAAAARPFDSGEFCGKEFGRSS >Solyc10g085960.1.1.1 pep chromosome:SL3.0:10:65087310:65088116:-1 gene:Solyc10g085960.1 transcript:Solyc10g085960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLISKSQILSTAAILLLFSIFISFTEAVVPQFDWVQQEFLKSHNDLRSSVQWDANLAAFSQSWANQRKQQCDYRHHSTSPYGENIFWELYRQNTATSIVQKWFGEKQFFNHATNQCNCQPERAGCECGHYLNVVWRSTTKVGCSGFVYCDDQKGAIVVCSYDPIGNVKGVNPLNPVNNMRGVAPPVLQVNLPVALPANVRLTPPVLPPKPVNTGNVRRGRGRKHNVAVKSPAPLRRPSSPQKAQRGRGRRNSQGLNISDFNIGASS >Solyc10g085080.2.1 pep chromosome:SL3.0:10:64520119:64521418:-1 gene:Solyc10g085080.2 transcript:Solyc10g085080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHVKSIHSSLTPTQQASFNRTSLFRRKKTFISLCKYSRNSDSDGKGDTRKQELLATIVQLQTQKVRLTEYLDERSAYLTQFAEEANCEMEQIGENALKELDEAGARIMVNIENQMQAFEESVGLNKQEIEENEKKLTDFEGQMEEERNEKKLTDFEGQMEEERNEWLFFKNLRQRRIVAVDKAKAKEEMEKIKQLSRENAGSTTRRTVYLAFIGLVVVGIADVFISSSSDWRKGAVLGIILVCLLSQVIYEQSVLSETDLEGKQKSKENKK >Solyc09g092560.3.1 pep chromosome:SL3.0:9:72081569:72083745:1 gene:Solyc09g092560.3 transcript:Solyc09g092560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLFVFLVIIIILSFLLPKAKRNGKNILPPGPLGLPFIGNLHQFDSVNPHTYFLKLSKKYGKIFSLKFCSTPVVVISSAKLAKEVMKTQDLTFCSRPSTLCQKKFSYNGQDIASSPYNDNWKELRKICVTHLFSPKKVQYFVPIREDEVSRMIKEISQQAVTSRTTNLSSILISLTTTIICRVAFGIRYDEETRERRNFNELLKVTEEMLVSFFVSDFFPLFGWIDNLSKKINILEKNFKDLDEFYEGLIEQHLSPNRPKSMEKGDIIDLLLQLKKDKSTPIDLTLDNIKAIIMNMLVGGTNTSAATIIWAMTSLIANPNAMKKVQEEIRESVGKKSIVSEDDVQNLPYFKAVIKETFRLYPPAPLLVPRETMRNSVLEGYEIKAKSIIYVNAWAIARDPEIWENPEKFIPERFLNSDIDFKGQNFELIPFGAGRRGCPAMTVGVATVELVLSNLLYAFDWELPCGMKREDIDTHVLPGLTMHKKKPLCLVPRNYL >Solyc01g015085.1.1 pep chromosome:SL3.0:1:16743536:16748811:1 gene:Solyc01g015085.1 transcript:Solyc01g015085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNEKSLRRSPRQVRDIDTSNPKVYRRSRKKRKVSSCTSMDEVSNVYKKLSYSVRHELKSTHKYYRIGGLPLALQIWIFECCSKVDEDIAICVADFIPRILNWKTIAESPWLKYIEKCLFMPTKNKFENIVANEDEVSKFRLPESRDYNAEILKLEPKGSSHVLEEKIDLGFNQIKEFVVNSNKQLLEDISLLFAKSGGSNSAIREVKEPSKKHVSETFSGGLDFNGVNFDMFFYNMLIAYTTFSPRVNASVNESRGNDAHVMGSNQNEEPQVLKTSVRFVDVENFERVSSKIDEDVAGIAIEKVLSEVVADINDIHFLNFN >Solyc03g006720.1.1 pep chromosome:SL3.0:3:1277231:1280343:1 gene:Solyc03g006720.1 transcript:Solyc03g006720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BE95] MALPSWDFFLFLMLRIMHLPLVYAENDTLTQSQQLSLNQTLVSAGNIFELGFFSPSNPSRLYLGIWFKGIPGQRIVWVANRENPLTAAILKIGGDGNLRIMDSNIQNIVWSTNVAVESSCTVAVLTDEGRFILKDNVSGSSLWDSFNYPCDTLLSGMVIGYNTRTGVKLALSSWQAEDDPSPGKFIAGLSVDMPPQGFIWTSYSRPYWRGGPWDGGSFIGIRDPDKGYASGINIVSDKHQGTAILSFNTFINSHVTIVVLKPSGLLQIMYWEEESNVWKVTWEGPDNPCDVYGACGPYSVCDKNKSPVCDCLRGFVPKSTDEWIRGNWTGGCVRRTKLLCEISTSDIAPKESKNDKFLKLREMKLPDYYTYLYDQNGIQNCEKWCLNNCSCAAYAYPDGINCMVWTSELIDVQQFPYNGANLFLRLAYSELDLDEDNGKAKLIIGLATVSSILLLSILGCIFCKWKANKRGSEATDYLWEEQALLKDSSELHLLDFSKLAVATDNFNEINKIGAGGFGPVYKGKLEDGQVIAVKRLSSFSGQGIEEFKNEVLLISKLQHRNLVRILAYCVHGKEKLLVYEYMANRSLDTLLFDPKRSHHLPWPKRLDMIYGIARGLLYLHRDSCLRVIHRDLKASNILLDGDMNPKISDFGLARTFQVTQELANTHRIVGTFGYMSPEYAMGGLFSEKSDVYSFGVLLLEIVSGQKNNSFYDNDRHFNLLSYVSSKSLCKAWKLWTESKGLDLMDKSISNSRSAATVLKCIHIGLLCVQDHAVDRPLMSSVVLMLRSKMDLPQPKQPKFIFKRWLNSDAQSQSSKARSINGITISSAEGR >Solyc10g005870.3.1 pep chromosome:SL3.0:10:659223:664463:1 gene:Solyc10g005870.3 transcript:Solyc10g005870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQFRTNFRSRCFFASQRQCFEDFEHWKIVVPKSTDERMPSINVKKLLRRSVLQFVGLSPIFISIRPVLSAPMHEMREPDIIRTLKLDSGVRLQDVVEGEGPEAREGDIVEINYVCRRSNGYFVHSTVDQFSGESAPVILPLDDKQVMVFASFGLKIEILKNRRSEGSPYWNEARRKTKSIDTTFRWIHQ >Solyc03g111180.3.1 pep chromosome:SL3.0:3:63311904:63318451:-1 gene:Solyc03g111180.3 transcript:Solyc03g111180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVASDLVDFLNASPTAFHAVDEAKKRLKSAGYVQIDEKLDWDLKAGNKYFFTRNYSTIVAFAIGKKYVAGNGFHIVGAHTDSPCLKLKPVTKVIKSGFLEVGVQTYGGGLWHTWFDRDLTVAGRMIIRNKKDSSESYLHKLVRIEEPIMRIPTLAIHLDRGVNDGFKVNTQTHLLPVLATSIKAELNKPASIDDATGNAAPNDRCKSSKRTPGGEQHHSLLLQLLAAQAGCEPGDICDFELQACDTQPSIIAGAMKEFVFSGRLDNLCMSFCSLKALIDATSPENSLEDEVGVRMVALFDHEEVGSNSAQGAGSPVMFDALSRITSTFGPDSKLIQKAIQKSFLVSADMAHALHPNYPDKHEENHQPKFHGGLVIKHNANQRYATNAITSFVFREIAAKHNIPLQDFVIRNDMPCGSTIGPILASGVGIRTVDVGAPQWSMHSIREMCAVDDVKHSYDHFKAFFQDFSQLDGKIAVDI >Solyc11g005600.2.1 pep chromosome:SL3.0:11:449028:457184:-1 gene:Solyc11g005600.2 transcript:Solyc11g005600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVNEFIIKLKKRKIEGSKTTAKLTAEVLRSCISQQRLPQTHQADALIDAIRGIGEKLIAANPVELAVGNIVRRVLNIIREEDVSLTAAAVGGWGISAGSDDEDDVKQDDHQGLSAAAVAAAARNTLRPPSLQTLLEDIPQSTAVPRTSSSGGDSEGKSKSADKNSTSKKLKHNVIEAVNELIQDIATCHDQIAEQAVEHIHHNEVILTLGNSRTVMEFLCAAKEKKRSFRVFVAEGAPRYQGHALAKELVARGLQTTVITDSAVFAIISRVNMVVVGAHTVMANGGVIAPVGMNMVALAAQRHAVPFVVLAGTHKLCPLYPHNPEVLLNELKSPAELLDFGEFSDCLDFESSSGSPLLDVVNPAFDYVPPNLVSLFITDTGGHNASFMYRLIADYYSADDIVVKQKSIS >Solyc03g020015.1.1 pep chromosome:SL3.0:3:62687074:62689145:1 gene:Solyc03g020015.1 transcript:Solyc03g020015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSGGDSAAEESKELKEMAKMRKGKKSWLIFILSRLNNSLPSLTNPAWLQADRTESHTRFQHLIKKLEGISSSTVKCQS >Solyc04g072670.3.1 pep chromosome:SL3.0:4:59715172:59723582:-1 gene:Solyc04g072670.3 transcript:Solyc04g072670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYPVLDNRPINKWKVAELRDELKRRNLTTKGLKDDLVRRLNEAICIEMEAAAVKESKDDDRDIDPASGLEDTEPEPDPVKLVDDVLEHPPIEVTHGSEHITDATCGLEEAQPNSNPDILVDNVQKQKQDEFNSIDSDVARATTKNCLVGIDQNKVMDEVATGGNDDVLKHHQNEVNEIDGDASASTKNALVEIDQNKVLDEVATGDTAAGESDRLEAPVGSTSVINEIASNKEHKVIDSSQDDYVADGVSEVWDGSIPVSSTRQDVEDMKISSYEGVLEDNLRGQENDVEVTPVTSEEALKNHSIKLDNEGLKLSDMDAEPDMSNPATQVYEVNPNLGSQVKSDSFSTDTLTINENKDLNDNLNADNVKLETEVVRQEMELQSSSKDLSGVGSSHPLDGQMPHEMQGLVGETDDDKSSDVKFSMKNDNADQVSVDVSKVEHDFESKTPNNSEQPDIQFEKARTLGDVEEPSLSPMKIEASAEDTHDLAVSGDDRKDFSKIADVVDGENMEKINLDQSSADDSMEEDVVETKHVDFDHISKKENDKTDEFITGMTEEPKVRPSGSSDAMQLDIPSEKVASQETKDKSLALSEKRKFQDEAGGGSKEPAKRQRRWNTEKTAEPQNSSIALTKKVVQPTPIKPILGRTNSTVGGDSPKERFVPKSSKTATTSLKIENFLRPFTLKAVQELLARTGEVCSFWMDQIKTHCYVTYSSVEEATETRNAVYNLQWPPNGGRLLVADFVDPQQVQTKIEGREPASPPKVTSPAVPASSFVQTPPAQQQGRKQQAELEHSLTRQPPPAPPSAPPTKEMLPSPVADKNDPPIVTLDDLFRKTKVTPRIYYLPLTDEEVAKKLAIRGNAKP >Solyc03g117740.3.1 pep chromosome:SL3.0:3:68270995:68274723:1 gene:Solyc03g117740.3 transcript:Solyc03g117740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAAAMVNTVTLSNSTFWHKPISRINQNFKIRASISTSGNMVAPAIIVGGGRVGRALQDLGNGDDVLVKRGEPVPIDFVGPILVCTRNDDLEAVLEATPKSRWSDLVFFQNGMLEPWFQSKGLGDADQVLAYFAVSKLGEPPTDGKTDTNPEGLTAAYGKWASAVAARLQNGGLSCKVLDKEPFQKQMLEKLIWICAFMLVGARHPGATVGAVEKEYRSEVSKLIAELAAAAAAEKGLVFEDAMEDRLCAYSRAVAHFPTAVKEFKWRNGWFYSLSEKAIAEGKPDPCPLHTAWLKELKIV >Solyc11g032130.2.1 pep chromosome:SL3.0:11:25152742:25155666:-1 gene:Solyc11g032130.2 transcript:Solyc11g032130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPDASTGTPQPHLLPNSPRTLTMYCYEPLININPPSSTIKRFHQRQDHVSVASLSSDIVPFVIDTISSFALWKNINGNLCEAIACLIMSRVSKKLEKRGLKKQREMAAAAKKEEKKTYN >Solyc06g065910.3.1 pep chromosome:SL3.0:6:41423369:41432631:1 gene:Solyc06g065910.3 transcript:Solyc06g065910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGKKKSNVKSKSNDGNVKHKSKAFDEDTAVFIKMSKELKEEGNRFFQKRDHEGAMLKYEKALKLLPRNHIDVAYLHSNLASCYMQMGRSEFPKAINECNLALEVAPKYSKALLKRAKCYESLNRLDLALRDVNHVLSIEPNNLTALEIADKVKKEIEEILKVENKKLVLPLPVSANVVKDNMKKEKKNNKFDRKRIVEIKETKIDGVEEKKAEDKVVVEEKRTVQGEKTMIRTVKLVLGEDIRWAQLPVGCSFRLVRDIVLDRFPNLKGALIKYKDQEGDLVTITTTDELRLAESSVGLQGSLRLYIKEVSPDKEPMYLRVEEDEKSSICRSNIVKKDGELNKGPICPENWFVQFARLFKNHVGVDCDSYLDLHETGMKLYSEAMEDTVTNEEAEELFDIASAQFQEMAALSLFNWGNVHMSRARKEVFFTEEGSGETVSEQVKSAYEWAEKEYETAEMRYEEALRVKPDFYESLLALGQQQFEQAKLSWYYLIGSKVELETGTCAEILELYNKAEDSIDRGMEMWEEMEEQHLNGLSKYEEYKSQLYKRGLEGILKDKSSEETKEQTENMRSQIYLLWGTMLYERSVVEFKIGLPTWEECLDVAVEKFELAGASHSDIAVMIKNHCSNETALEGFKVDEIVQAWSEMYDTNRWQTGVPTFRLEPLFRRRVSSLHSILENI >Solyc02g031680.1.1.1 pep chromosome:SL3.0:2:27206953:27207105:-1 gene:Solyc02g031680.1 transcript:Solyc02g031680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGDIIFSTSLIIIQMSSSHKISYFVGFALSIMHYKKPHITTKETTKNT >Solyc02g090043.1.1 pep chromosome:SL3.0:2:52342831:52346300:1 gene:Solyc02g090043.1 transcript:Solyc02g090043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFMFSDLINVLRINRCGLLRIWQVVVQKVNFKMDDNGSGVSRDGQGEKDMMFFCLLFCSFARNEDDLLCTRACPSLFLLLSSGFGCHLSSLNKLNASDGSYKLSGYISDICYINLLGALISLGDDDIQLLLIFEIRVERWLILLGVSHLGLFPVKHEAAVTYDFGNIERNLLVPAINNLEKEDCKVLLNSIMMEMI >Solyc12g008760.2.1 pep chromosome:SL3.0:12:2116802:2121166:1 gene:Solyc12g008760.2 transcript:Solyc12g008760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVSNNEIENSASYGKNQSKIKFQYEDDDEQVVQDEAVTESSNLEVDEDISMCDPTTTAAAVDESMVGGDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYKNSFLFKDKVVLDVGAGTGILSLFCAKVGAKHVYAVCVFACSMLSFYTFILCSFLFFHDWYMIAILWWLFWCAHIMMLYIEIECSSMADTAQEIVKLNGFSDVITVIKGKVEEIDLPVPQVDIIISEWMGYFLLYENMLDTVLYARDKWLVKDGVVLPDKASLYLTAIEDADYKEDKIEFWNSVYGFDMSCIRKQAMMEPIVDTVDQNQIVTNCQLLKTMDISKMTSGDASFTAPFKLIAERDDYIHALVAYFDVSFTKCHKLLGFSTGPKSRSTHWKQTVLYLEDVITVCHGEAVVGSMTVAPNKKNPRDVDIMLKYSVNGKHCRVSRTQYYRMR >Solyc01g008650.3.1 pep chromosome:SL3.0:1:2646366:2648933:-1 gene:Solyc01g008650.3 transcript:Solyc01g008650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNLISISLFVSFLFLLKKLKNSNSQINKSLPPGPWKLPFVGSMFHMIGGLPHRVLRDLAKKYGSILHLQLGEVSLVVVTSPIMAKQVLKTHDLAFASRPRLLAAEIVLYNCTDIAFCPYGDYWRQMRKICVLEVLSGKNVRSFGSIRQDETIRLVEFFRSSSSSEPVNVTKRISLFTSFIICRSAFGTIFKEQDEFIQVMKGVTSLLEGFNVADIFPSLKFLHVLSGMKSKTMYLHHKVDTIVENVINEHKKNLVNGKTNGELGGEDLIDVLLRLMEDGDLQFPITNDNIKAIVYDMFAAGTETTSTTIDWAMVEMIKNSSILVKAQKEVREVFRGKDTFDENDVEELKYLKLVVKETLRLHPPLPLMLPRECREEVDIDGYTIPSKTKLIVNVWAIGRDPKYWDDAESFKPERFEQSSLDFVGNNFEFLPFGSGRRICPGISFGLANVYLPLANLLYHFDWKLPPGIKPSDLDMTESDGASCTRKSNLYLIMTPYQPSQE >Solyc10g085530.2.1 pep chromosome:SL3.0:10:64783149:64791986:1 gene:Solyc10g085530.2 transcript:Solyc10g085530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKKKVHCTTSISQSELNYPSFSIPKKTSAQTYTRTVTNVGEAISTYTVKVSGLKDVEVTVNPKILKFTELNQKASYKVTVKSLDLTGHSQGFIIWSSDSDLETYIVHLEFNSSQVLSSSKDLHLWHQSFLPINSNHSSRILYSYRHVFNGFAAMLSSDEVKEMEKKPGFVSARPQQIYQMHTTHAPSFLGLHQNVGLWNASNSGKGVIIGILDCGIDPQHPSFNDNGMPKPPLKWKGKCEFNVTTYCNKKLIGARNFVQSDMFPTDNYGHGTHTSSTAAGNFVDGANFYGNANGTAVGIAPRAHVAMYKVCDAFYCLEYKILAGLDAAIEDGVDVISISIGFGHFRSPLYDDNIAIGTYSAMEKGIFVSCSAGNGGQRSGTVENGAPWILTVGASTTDRKISAVAVLGNGAEYEGESGFQPTNFSQKLLPLVNGTNCELFNTSDVKGKIVLCDSSSVLSRKDIARIVKKTGGAGMILMNEKYAGSTTFSEHDAVPTTQISYNDGQEITNYMKSTSTPVATITFKGTKIGDKHAPTVAYFSSRGPCMQSQGILKPDIIGPGVNILAAWPISIGGETTSLTFNILSGTSMSCPLLAGVAALLKSAHPDWSPAAIKSAIMTTADIINLGNDPIQDETLEPADLLTIGSGHVNPSRANDPGLIYDIQPEDYIPYLCGLNYTNDQVSAIVRKKVHCTSSIPQSELNYPSFSIPTESSPQTYTRTVTNIGEASSSYIVKVFGLKGIEVTVQPKILKFTALNQKMSYNLTVKSLDVTGHSQGYIIWSSDRHSVRSPIKVFPQNIYLESPDQLFSNSKDLLLWHQSFLPTNSNHSSRILYSYRHVFNGFAAMLSSDEVKEMEKKPGFLSARPDRLFQLHSTHSPSFLGLHQNVGLWNASNSGKGVIIGILDSGIDPQHPSFNDNGMPNPPLKWKGKCEFNVTNCNKKLIGARNFVQPGEFPIDEYGHGTHTSSTAAGNFVDGANFYGNANGTAVGIAPRAHVAMYKVCGGILCRVWMILVGIDVAIEDGVDIIVVPFGLGHPPFYDDAIAISTYSAMEKGIFVSCSAGNKGPDSGTVMNGAPWILTVGASTTDRKISAVAVLGNGSEYEGESAFQPTNFSRKLLPLVNGEDCESLNRSNVKGKIVLCYISVHPSRYSKGARVKNAGGAGMILINKKNRGSTTFSDYYVVPTAQVGYNDGQEIINYVKSTSTPVGTISFRGTTFGDKHAPTVTYYSSRGPFMPSQGILKPDIIGPGLNILAAWSIPKEGQIFHILSGTSISCPLLAGVAALLKSAHPDWSPAAIKSAIMTTADIINLRNDPIQDETLEPADLLTIGSGHVNPSRANDPGLVYDIQPEDYFPYLCGLNYTDDQISLIVSKKVHCTTSIPQSELNYPSFSIPTKSSPQTYTRTVTNVGEASSSYTVKVFGLKGVEVTVQPKILKFTALNQKASYNVTVKSLDVTGHSQGYIIWSSDRHSVRSPIKVFPHISVM >Solyc02g063010.3.1 pep chromosome:SL3.0:2:35575343:35578098:-1 gene:Solyc02g063010.3 transcript:Solyc02g063010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGTGGGGSSGRLPTWKERENNKRRERRRRAIAAKIFTGLRTQGNFKLPKHCDNNEVLKALCIEAGWIVEDDGTTYRKGHRPPPIENGCVSMNISASSSIQPSPMSSSFPSPVPSYHASPTSSSFPSPSRCDGNPSSYILPFLHNLASIPSTLPPLRISNSAPVTPPLSSPTRRSKPPKPLWESLSRVPLNSFQHPLFAASAPSSPTRRRYSKPATIPECDESDAASVESARWVSFQTVAAPTSPTFNLVKPLPQQNILLDALSGHGMVGWGETAAQKGHGAEFDFESCKVKAWEGERIHEVAVDDLELTLGSAKARA >Solyc06g071420.3.1 pep chromosome:SL3.0:6:44081371:44086744:1 gene:Solyc06g071420.3 transcript:Solyc06g071420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGADFKWYDGFFLSMLATSIIIVAINWKRYHLCIYPLHIWIVVDYTTVFLFRLLMFVDNGLAAGMGLDLGWQQRYSRFRGRIVVLSILALFLYPFLWAWTIIGTLWFTSARNCLPEEGQKWGFLIWLLFSYCGLIGIACISIGKWLTRRQAHSLRAQQGIPTSEFGVLVDMIRVPDWTFAAAGQEMRAMGPDATSYHPGLYLTQAQTEAVEALIQELPKFCLKAVPTDCSECPICLEEFRMGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRSSVFPNLDLSALSNIRTDSEGSTANVVTAAQYVRSQPSSQSYLLRMQGLLRPVRTQDAGLGSEVDPADSSNQASTPHSHGFVEPVQVIVEQSPREL >Solyc03g082515.1.1 pep chromosome:SL3.0:3:53874433:53878454:1 gene:Solyc03g082515.1 transcript:Solyc03g082515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSGISQNMSKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLEDQEPEYEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSTGGRILDPFRSSLTPKCKAGLEKSTSQPTLTAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHYLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWASDKNDRKSTSGFLIFLGRT >Solyc02g068445.1.1 pep chromosome:SL3.0:2:38958704:38959122:-1 gene:Solyc02g068445.1 transcript:Solyc02g068445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPQVIILETTVMQLWFDLIKLLLRKISLLGMARCMSVQVNYFILEEAKCTSGHLSKSVLRIIFYAVKMDYHDVL >Solyc07g062590.3.1 pep chromosome:SL3.0:7:65411551:65419069:-1 gene:Solyc07g062590.3 transcript:Solyc07g062590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPKSFNAWPSSSSSSTIYSRRSTVLIFCSLIGVAGFIFGFIAISKQGLGSNCKYAEPRSVSVVWDRSGSKASEDTDGVSDEGQKRHKVMGFVGIQTGFASTGRRRSLRQTWFPSDHEGLQKLEEATGLAFKFVIGRTSDQYKMAELKKEVAQYDDFLLLDIEEKYSKLPYKTIAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERPHSQTYLGCMKKGPVFTDPKLKWMGGYEPLGYMLGKEYFLHAYGPIYALSADVVMSLVALRNNRQVGFVQNSVLFTAVAYITSGQTFRMFSNEDVTIGSWMLAMNVNHENNQHLCESECTPSSIAVWDIPKCSGLCNPEKKMLELHAKDACSKSPTLPSDEDD >Solyc07g047770.3.1 pep chromosome:SL3.0:7:59087404:59099027:-1 gene:Solyc07g047770.3 transcript:Solyc07g047770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSALSGFALKFSRHFLKICRWILLKMSLNCKLLGMNGSFSSSFRLKKARESLPGPSCGWKWQRKLLFLWLIFFGIGFFWLVISLNGDVYSWKKEASELNEDKSYFLLERFNVSKEQIQDLATLFFEKDQISSLECSKVHKHEMPMSTTITCLLKVLGSESLEYEQHEMVVDNIEAEGQCPVPDEETLKNSDISLDEKSLPFVLHRLSSLISTDPKFFEKKASQIREVGNFNPEHCDSIAFCFTKLCWWVLLGIVISWKILLLCAKGGEHQQNGFIQQQPLSQQLHPLQQLQQQQVQISCRTGGKWRKKALVIFVFGGVTLAIWLYLYLSADIALRRKETLTSMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKQPSAIDQKTFEEYTERTAFERPLTSGVAYALRVRHSEREEFEKLHGWTIKKMEAEDQTLGHDYIPANLDPAPDQDEYAPVIFSQQTVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTHLLPYATPVDRINATVGYIGASYDVPSLVEKLLQQLASKQTIVVNVYDTTNKFAPIKMYGMDENDTGLLHVSNLDFGDPARSHEMHCRFKQKPSPPWTAITLSVGVLVITLLIGHIFHAAINRIAEVEGQYQEMMELKHRAEAADIAKSQFLATVSHEIRTPMNGVLGMLQMLMDTNLDLTQLDYAQTALSSGNELISLINEVLDQAKIESGRLELEAVPFDLRAELDNVSSLFSGKSHKKGIEQLAVYVSDLVPEVVIGDSGRFKQIITNLVGNSVKFTNDKGHIFVTVHLADEVRNPHDVTDEVLKQSLTFVQERSNASWNTFSGFPVVDRWQSWQKFDRLSSTEEEVGKIKLLVTIEDTGVGIPLEAQARIFTPFMQADSSTSRTYGGTGIGLSISKRLVDLMGGEIGFFSEPGRGSTFSFTAAFTRGEEGSLERKWKQYDPAFPEFRGLRALVVDDKSIRAVVTRYHLQRLGICVNITSTMRSACSYLSNYSNTSSLEHLAVVFVDQDSWDKETSLALSNMLKEVRPNGSTTTLGKPPKICLLCMNFMERAELKIAGIVDHVLTKPVRLSALITCVQEAIGCQNKKQVTQPTTLGSLLTGKHILVVDDNNVNRRVAEGALKKYGAIVTCVDSGKAALTHLNPPHKFDACFMDLQMPEMDGFEATRQIRNLENKYNEKVNSGELFSGMSARVAHWHTPILAMTADVIQATNEECVKCGMDDYVSKPFEKGQLYSTVARFFGSG >Solyc01g065597.1.1 pep chromosome:SL3.0:1:71778017:71778628:1 gene:Solyc01g065597.1 transcript:Solyc01g065597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSLIKIRVYGLIRINMKLLPHAHSIFSRKFKKRIAYSFVSHIGFIIIEISFLTDTRLNGSLLKIISHGVIGAVLFFLAGTTYDRIHIVYLDEMAAIAIQMPTQFTMFSIFSMASLALPGMKGFVAKLIVFFEIITGQNYLLVPTLLITFVMAIKIILNPISLLSTLNILWT >Solyc11g008390.2.1 pep chromosome:SL3.0:11:2592121:2595592:-1 gene:Solyc11g008390.2 transcript:Solyc11g008390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4D599] MGHQKEELINELTELIDGVSGLPECRSVSKRMYSNLVRRVKLLSPLVEDLKDSDGVEVGDDVVHGLELLKIALISGLELLKSVNEGSKILQALQIENISSRFLDVTEQIEDALSHIPYNKLDLSEEVREQIELVHAQFKRAKGKMESPDEQLEKDLAMAQREQYPDPLIFKRLSEKLHLRTINDLKKESLAIHDMVISSGGDPEECFETIAYLLRKLKDCAMTENPELDALEGDKSLIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKNQGTCRNKRTGAGGSDCDRVAIDALLQKLANGNSEQQRAAAGELRLLAKRNADNRVCIAGAGAIPLLVELLSSSDSRTQEHAVTALLNLSINESNKGTIVNAGAIPDIVDVLRNGSMEARENAAATLFSLSVVDENKVAIGAAGAIPALIDLLCHGTPRGKKDAATAIFNLSIYQGNKVRAVRAGIVPPLVRLLKDPGGGMMDEALAILAILASHQEGKAAIAQSEPLPVLVQVIRTGSPRNRENAAAILWSLCTGDVQSLKIVRELGAEEVLKELSENGTDRAKRKAGSVLELLQRVDPVES >Solyc09g092740.3.1 pep chromosome:SL3.0:9:72149739:72150820:1 gene:Solyc09g092740.3 transcript:Solyc09g092740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAIWLIVLAIILVTTSKVAARKLDQSSITSLKKGETSEANDAKFLGGGFPGIGGLPTVGGVFPGMGGLPTIGGGGGLAGIGGLPGLGGPSGISGGGLPGIGELPKIGTLPKIGGPSGIGGGGLPGPSGIGGPPGIGGLPKIGGPSGIGGGGLPGLPGIGALPKFGGPPGIGGGGLPGFGLPGIGGKGGVFKTERLP >Solyc03g044024.1.1 pep chromosome:SL3.0:3:7868689:7869698:-1 gene:Solyc03g044024.1 transcript:Solyc03g044024.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGNDISLIQQTKSTLQENFKMKDLGNLRYFLGIEFARSQDGIVMHQRKYTLEIISEAGLSAAKPAATPLDPYVHLTTREYDELNGTGKDDKLLTDPVTRPDISFATQTLSQLLHQPKQSHLNAALKVVRYIKNQVGLGVLLSSANSKELQVYCDSDWGSCLHTRRSVTGFMVKLGGSLISRKSKKQATISRSSTEAEYRSMTNAVAEVVWLVKLFKEIGVKISTPVTIYSDSKSAIQIAANPVLHERTKHIELDCHFIREKIQNGLVQTKYLNTKEQEADMLTKGLGKSQHEYLLSKFGVLNLFIPTNLRGSSIKEGIT >Solyc01g090910.3.1 pep chromosome:SL3.0:1:84492321:84497495:1 gene:Solyc01g090910.3 transcript:Solyc01g090910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGELLQIEPVEITFTFELKKQISCSLQLSNKSDDYVAFKVKTTNPKKYCVRPNTGIVMPRSTCEVTVTMQAQKEAPPDMQCKDKFLLQSVIVSPRTTAKDITPEMFNKESGNHVEEVKLRVAYVLPKPPSPVREGSEEGSSPRASISENGAEFHNASRTYAEPQDNSSETKALILKLSEEKKSAIQQNNKLQQELELLRRESSKRRGGIPFMYVIIVGLVGLLLGYLLKKT >Solyc03g114830.3.1 pep chromosome:SL3.0:3:66182952:66189290:-1 gene:Solyc03g114830.3 transcript:Solyc03g114830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVGLIVFSTKGKLFEYSTDSCMERILERYERYSYAERQLNATDIITPGSWTLEHAKLKARLEVLQRNQKHYAGEELDTLSMKELQNLEHQLDSALKHIRSRKNQLMHESISELQKKDKALQEQNNNLSKQVKEREKEMAQQTPWEQQSHDHLNSSSFVLPHPFNNLHIGEAYPNAGDNGEVEGSSRQQQQNSASVMPPWMLRHLNG >Solyc10g073350.1.1.1 pep chromosome:SL3.0:10:57639075:57639428:1 gene:Solyc10g073350.1 transcript:Solyc10g073350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDVSKGKENISILSIDAMDDGDPPPFTYITNMKYLDLYYIIRPQGCCCTRICSNIEQCSCASKNGGEFPFNPRSSIFKAKFFVHECGPYYECPPSCKNRVSQHGLMYHFEFSKTK >Solyc10g045320.2.1 pep chromosome:SL3.0:10:32621782:32624967:1 gene:Solyc10g045320.2 transcript:Solyc10g045320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLHCPFQFILGFHRPLHRPQTSFIAPTRDASPTQFNIRFNNVLNVYQRQRLLPISKSSTNPSGGPEKSKDASSPPLATILAGILVFCAVCWIVGSIVLWLISLITNVLASK >Solyc08g077540.2.1 pep chromosome:SL3.0:8:61640759:61645627:-1 gene:Solyc08g077540.2 transcript:Solyc08g077540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEIALNPIPKTSELFVLCREKQKFDLAGEEDIHINSIKKYAEGFHSPADNWYFRCIFCCFWLVWVHVW >Solyc06g009500.1.1.1 pep chromosome:SL3.0:6:3491086:3492675:1 gene:Solyc06g009500.1 transcript:Solyc06g009500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALCTFALCRQISTLSTVNLNKTINRFIGNGNLQEARKFFDQSPHLTNVVSWNSLTAGYFKHNLIQKAEYLFDKMPHRDVVSWNTMLSGYRNANNPGKVYRCFLDMNRCGEMRPNELTFAVSISSFLHLHYKHLIPQLHGLVLCSGISLNVFVGSALMRGYVDLDDYRGLVRVFDEILDKDVTPWNVLILGYMRFGCTIEAQRAFDMMPMRNSFTWSTLINGYIENKKLNEARFVFDEMSEKDVVSWTAMIRGYVQYGEFMKALKLFKLMLNSGSRPNHFTFSTVLDACAGYSAVLVGNQVHVCILKSGFPLDVVLLTSLLDMYAKCGDIEVAFCIFESIPARNLVAWNSIIGGYARHGLAERAMQVFERMLKSGIRPDEITFINLVYACGHGGLVDEGERIFNSMVTEYRLKAEMEHYACMVDLYGRAGQLEKAEKLIDEMPFKPDVVVWGALLGACGLHSCLELGEIAANGIYTLEHDHPAVYSVLSKIYGDKGVCSDITGLDKLMKKWRATKQKAGSWIESPSIN >Solyc01g099450.3.1 pep chromosome:SL3.0:1:89572010:89576384:1 gene:Solyc01g099450.3 transcript:Solyc01g099450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECNGSTEVNVEDVEGDAVSDSNNEVSSPGRYGLYSLKDGQFSVCKLVKNTLRFYQVKEVRSKQNKHIRCYPIALCCFLTCNEFSFLVYVIYLAHNAQCGICIKGPPERQNLKTEAMIILQVVVTHCVSAISDLLALLRTLSSHFGIAGHYKSFLAQTNFADICYGGYEGRVGERPNIKALVSRIREQLPVTSFWDLSCPANRAPRRYIMFVIWIALEETSSKSFSTSIICWDAYNNLQFPSYGVKVQRHTENRLNLSSSCPPATCFTSSFLLYLPTLRRRQKKELAAEKLRIITEALEQAEDRVLRYEERHSKILNQICSHYIVSQEMVEALSGAREAMNEALEFAITLRNMQLEVIILYPDDYDSASRPVWLIGKSRRQRNNNN >Solyc09g031620.1.1.1 pep chromosome:SL3.0:9:28942614:28942883:1 gene:Solyc09g031620.1 transcript:Solyc09g031620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSDITRAHIESEIGQWNVELGKSSKHFSWRVDIGWATYGLVNSGCISQGMPQPLFVYISIATSVYETKRLLPPRTVCFGEVSLANSK >Solyc11g021010.1.1.1 pep chromosome:SL3.0:11:13213207:13213728:-1 gene:Solyc11g021010.1 transcript:Solyc11g021010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSNQSIAAVVQPQSSSSTHLSITDSNSQTISLPGLPIKLDRENYYLWQSTVYSAFEAFDLEGHLDGTNAPSATILVTTQDTSASTTNPTFTAWKKRDKILLLWLKTTMSHFIIPYIMHIRTTREAWSYISNLINLNPELASCNFATNSKPPLRVLQPLWTMLTRNELYPIV >Solyc04g056498.1.1 pep chromosome:SL3.0:4:54324139:54328553:1 gene:Solyc04g056498.1 transcript:Solyc04g056498.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTPLWSSARKIKRRSGKKKGNGGRGFGKNTGPIASPKAQIPFGNSRTPSTSDSLPKWGNGHKYIYHDYDAQAHTTTTTLQCPTMIQSFWTRKLYDFVWGCYSYLEKHKAKVDIFFDMQY >Solyc01g009440.2.1.1 pep chromosome:SL3.0:1:3596706:3597248:-1 gene:Solyc01g009440.2 transcript:Solyc01g009440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNIQFKRRAGRKKFKETRHPLYRGVRKRNGEKWVCEIREPNKKTRIWLGTFTTPELAARAHDVAALALRGSNALLNFPGSAWSLPKAKSSSPQDIQIAVLQVNEELIVSSSPSEEPSCKPKDDEVNSLMEFMDEEAMFNMPIFIDSMAEGMLLTPPAMKRGFNWGDVEEDVEFTLWKD >Solyc07g018390.2.1.1 pep chromosome:SL3.0:7:10507233:10511555:-1 gene:Solyc07g018390.2 transcript:Solyc07g018390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPAIFFWLSQLLIFVGWLCRLYGGSVEVTDLEIPKVDVQYVISIPCWSLWFLHCNLICTLLVFFVLVLQSQVIGQGFNYEWIFFNLVYMASLVISYDVLVLSVWT >Solyc10g045550.2.1 pep chromosome:SL3.0:10:34534106:34540131:1 gene:Solyc10g045550.2 transcript:Solyc10g045550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRADEEYDYLFKIVLIGDSGVGKSNLLSRFTRNEFCLESKSTIGVEFATRTLKVEGRTIKSQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPISFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSYAEREGLSFIETSALEATNVEKAFQMNLSEIYRIISKKSLSSGNPAPANIKEGKTLVVGAEEINTKKACCSAS >Solyc03g098010.3.1 pep chromosome:SL3.0:3:61796513:61799318:1 gene:Solyc03g098010.3 transcript:Solyc03g098010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BJL7] MFLLLVILASFLIDCSRATGLEKFDHPIKVNGNLKFLVVGDWGRKGDYNQSAVALQMGKIGEELDIDFVVSTGDNFYTNGLTGEHDPNFLESFTNVYKAKSLQNQWYTVLGNHDYRGDVEAQLSPYLRKIDSRWICLRSFIINAEFAEIFMVDTTPFVKEYFVETKHTYDWRNVMPQKTYTENVLKDLENALSESRAKWKIVVGHHAIRSVGHHGDTNELVERLLPILRAYDVDLYINGHDHCLEHISDTESPIQFLTSGAGSKAWRGDVKGLNSEDVKFFYDGQGFMSVQLTPTHVEIEYYDVFGKAVHKWSRTKQLHHTVI >Solyc09g056135.1.1 pep chromosome:SL3.0:9:47370216:47375658:-1 gene:Solyc09g056135.1 transcript:Solyc09g056135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:K4CTA8] MDAYTYVSELWRKKQSDVMRFLQRVRCWEYRQLLSIVRVTRPTKPDKGYVVYRVRVKRSGRKWPVSKGIVYAKPSN >Solyc08g062580.1.1.1 pep chromosome:SL3.0:8:51551178:51552824:-1 gene:Solyc08g062580.1 transcript:Solyc08g062580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSYSPPDSFSFAFVLKAAANLRCLTTGFQLHCQAMTRGLDTHLFVGTTIISMYAECGFVEFAWKVFVQIPQPNVVAWNAILTAYLRGSDVSGANKVFGLMLFRNLTTWNVMLAGYARAGELERAEGLFLQMPSRDDIYWSTMIVGFSHNGCFDEAIRVFRELVGSESKPNEVSLTGALSACAQAGAFKFGMVLHAYIEKVGLVWITSVNNALLDTYSKCGNVLMARLVFETMLGKKTIVSWTSMIAGFAMQGYGEEVIKYFYEMEESGTRPDGVTFISVLYACSHAGLVEQGHELYSKMTEIYDIEPTIEHYGCMVDLYGRAGQFHKAYDFVVQMPVPPNAVIWRTLLGACSFFGDIEMAEQVKERLSELDPDNSGDHVLLSNIYRFAGKWKDVAMVRRSMTEKNLKKIPGWSTIEIDKVMYNFVAGDKRNEITEEAYNKLSEIMLKLKVKGGYIPEVGSVLHDIEEEEKEDIVSKHSKKLAVAFGMTRLCKGSTIRIVKNLRVCKDCHSFMKLISEVYGLEIVVRDRSRFHSFKEGLCSCRDNW >Solyc04g008915.1.1 pep chromosome:SL3.0:4:2526549:2527941:-1 gene:Solyc04g008915.1 transcript:Solyc04g008915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKERKKIEGGMKVDPLFENLFNSRVKPISIFKVNVGLRESNPDAYTPKMVSIGPYHNGKPQFRPMQKNKLLYLRRFLRRKESLDLDSCINELEEEARNCYDDIEDLNIGSREFCKMLLLDGCFVVEFIRERVEIGPRLEDEIIKSDIGCIYKQILRDLMLLKNQLPFFVLDKLHEMTKQDDDIPLAIQAVKSFTSFVDIEEIIHKHSDSPLIKIASNAGDIKHLLHAVHILSCHGNPTKTSKDDTTWTKAMPNATELSEAGVRFSNHTNSNTNLFDIKFEDGLMTIPCFEVVDETESFVRNLIAYEQQTSEVQPKYFSDYALFMDHLIDSDKDVNLLRQKGIIKHRMGEDKDVSSLFNKIGNGELQLSQPSYFSYSQLYRLF >Solyc11g066960.2.1 pep chromosome:SL3.0:11:53036639:53043396:-1 gene:Solyc11g066960.2 transcript:Solyc11g066960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEKLQNPNNGGEIKLNPTSNSKPKVVVIMGATGSGKSKLAIDLASHFPIEIINADSMQVYQGLDVLTNKVTPQEQKGVPHHLLGTISPCVEFTAKDFRDSTISIINEIWLRNRLPVIVGGTNYYIQALVSPFLLDDSVEDAEANCLSDFQEDEKPDLEVELINEDYDCAYNRLKDIDPVAANRIHPNNGRKQNVNTYCFCPTYYAVSEVINQYLNLYARFGVIPSKLLQEKTVENWGQVDNSRYDFCFLCVNASLTTLDPFVDRRVDHMVDAGLLKEVLDIYVMNADYTKGLRQAIGVREFESFLRCYILEHQKPCSGSHDQTLNDQILKQNIQHFVGSPDDNEWKALLTEAIQQVKLNTRRLVRRQRRRLNRLQMLYGWNIHYVDATKSIISGSDDIWTVEVVKPSVGIIKSFLTGESSTEIGSNASEETKMIQKDLWTQHVCEACGNKVLRGMHEWEQHRQGRGHRKRISRLKKSGSLCL >Solyc05g018250.3.1.1 pep chromosome:SL3.0:5:20292523:20292778:1 gene:Solyc05g018250.3 transcript:Solyc05g018250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSDPKRESNIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDEVVVDPAITIEAIGHQWYRSAPLHEGD >Solyc08g006913.1.1 pep chromosome:SL3.0:8:1466033:1467457:1 gene:Solyc08g006913.1 transcript:Solyc08g006913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKGKSKKHSAMDGTARNVYGNTCCRCHDMPIDIPESTQRGYVIDIGDTWMVVDMPFDSEKEVEEHPYEGVLRTPIRGAKRIHEVKVALLNLQTQMIVIPLASDD >Solyc06g068470.3.1 pep chromosome:SL3.0:6:42540142:42556576:-1 gene:Solyc06g068470.3 transcript:Solyc06g068470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidyl-N-methylethanolamine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C822] MGLWACLAVISPFPFYYYLWTHPQKWVHLCGSGRDPCKVMAIVSHFIKLIQIISLLSVSTFSWPPPLYFWPLFIFGQFLNFRVYQLLGEDGTYYGVRFGKNIPWVTEFPFGVIRDPQYVGSILSLLACLSWVPFLYIFLWVLGYAFMIQIESKEDPATRAKPLS >Solyc12g056260.1.1 pep chromosome:SL3.0:12:63164926:63166878:-1 gene:Solyc12g056260.1 transcript:Solyc12g056260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTFSKRKTKISKNELFSLAKLVFLIPVTIIIFLLIFLWSSSSTIISGNVIHLCFQSRKLNDLYCISAGSHSNLEASSSLSSNLTTSATKFVDDLQQKSTRLGIPIPPGYHAFRNGMVKSDEELLVAYNDVEDQLEMHRSWTSTIQNHNATLCDGRGVYVYDLPTKFNKDLVSQCADMVPWVDLCDYFSNDAMGEPVHKLGKGWYQTHQYSLELIFHSRVMNHPCRVHNISEADLFYVPFYAGLDVLRWHFKNVTNVVKDSLGLELVKWLESQPTWFKRSGKDHIFVLGKISWDFRRYSNSNWGSRFLELNEMQNPVKLLIERQPWHLNDIGIPHPTFFHPQSDNDITTWQLKLLNESRKSLISFAGAARPDAPESIRSILINQCTSTSDQCTFMNCSSGSCDQPESIIELFIESEFCLQPPGDSPTRKSVFDSLISGCIPVIFDPFTAYYQYPWHLPQDYKKYSVFIDQEDVRNMKVNVVDRLMKIPAGEKQNMRRYIIYELLPGLVYGDPKSKLEKFQDAFSITMNNLFERLTRLEV >Solyc09g015150.1.1 pep chromosome:SL3.0:9:8084713:8085102:-1 gene:Solyc09g015150.1 transcript:Solyc09g015150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSITSMMQTQCRGSLVRPNKKSHSHTKVVEDRIHRPRTEGVNHGSIQFQRRWSDLARDFEKIKEWESHDKEEIESFWMMRNDFKREKNLPGFFIRQVFDILDH >Solyc10g005690.3.1 pep chromosome:SL3.0:10:550345:558783:-1 gene:Solyc10g005690.3 transcript:Solyc10g005690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:K4CXA1] MSGGEYSDRNVLLRSSSSASDGDLEGQFPHRTGNKGITDLLKRLDRGFSNRRLSSVKRSDRDQSSSSDHGVSSSVTGNYRDDEILGNSAPPEWALLLVGCLLGLATGLCVAGFNRGVHVVREWAWAGTPNEGAAWLRLQRLADTWHRILLIPVLGGVIVGMLHGLLEILDQITQSSSSQGQGFDLLAGVFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCAYGCSMMMENNRERRIALVAAGAAAGIASGFNAAVAGCFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGEKQAFNVPTYDMRSAAELPLYLILGMLCGAVSVVFTRLVAWFSKAFQFLKEKFGLSDVVCPALGGLGAGLIALRYPGILYWGFTNVDEILHTGKTASAPGIWLLAQLAAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGSAGELINSAIPGTTAIAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTIQTKEVEASDSKYVSKGYSVLSPDDEKNEESDWRHTSERNDLELSVIGYHSSHESLDEGLILEDLKVSQAMLNDYLKVSPNQTVKEALECMHEGRQSFVIVVNAEDYLEGILTYGDIKRSLFNKSGDSSNRDLALKNADTCLVSAICTRGINYRGQECGLLTCYPDTDLAIAKQIMVAKGIKQLPVIKRGGDLKGERKLKIIAILHYESIKESIRNEITRRKSVYQQREEDNDKQMVTNGH >Solyc08g066417.1.1 pep chromosome:SL3.0:8:55106566:55116932:-1 gene:Solyc08g066417.1 transcript:Solyc08g066417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAESTQSSTVSITVSTVIAATVRPIHDPSSVYYLHPSEGPSNSLTKYLLKGDNFDVWEQAICNALEGRSKIGFLYEKGFPKPTNVLELDAWKANNSIICSWIFNSADETIQPSLVAQKIAHELWTDMKARYGGTNAPKSWQLKSDLQMLRQKGQSVVSYYNQFIIVWNQLYGSIDPTCGCICPAAAKMRLRFEEEKTQAFLLGLDDAQFGATRSQIFGTRPLPEERYKSIVRNCDDRTDGLTFAVETQPTPPPKYKCTHCGKTGHSAERCFLLVGFPNGGRGGCVGGRGGRGGRGPPGREQSTSRDSGTAAHTDGPTPVPASTSGSSQSGVLFGLSAEQMTRLLIMLDTPAQSGNNTGKVHALSPDWLIDSGASHHMTGNFPSLYDIMSVPECSIGLPDGTRVMANYCGSDRVLTMEIGRGTAFNGVYVFQSQAFVLASRVDQQTRSSFSSSSNKAVDLIHCDLWGPYSTCVSSGSYYFLTIVDDHSRAVWVYLISDKSEFYHDVGVLVQTSCVGTPQQNGRVERKRRHILNVARSLMFQASLPVEFWGEYVRTAVYLINRTPRRLIGGKSPFEILNKAPQDISQLRVFGCLCFVRNIQRPPYKFAPRSLKCMFLGYPSGKKGWRVYDLENHRFFHTRDIAFDETIFPFATTPTTLPATTYTPPVQHADFPIVVSTTQPNTIAVQQPEPTSATESTATVPPVDPGVVHGSLSLTTFIMKKLHDRYRGLLAAISATDVPRKPDSPTVSRPRPFCPLVTAWPRRPMRQFTIPANIFMHEPRVDHLNAALHVLRYLKGYPGQGILLRADSNLQILAYCDSDWATCPTKKQTTVSRSSAEAEYRAMADTCYKIRWIQHILGCLGVAATSLPRLYCDNQSALYIAANQVFHERMKHVDIDCHIVRECRGKIDIEPRVKCRGQGWGRVLDRMSGSGLGSNVGVGFCFKSWSLSWMLGLQVGVVSWTGSWSPSGFSSNFMTHVRCMDQVPNQMSISGRSLWRGTNRQGEIESDAIESRWVRVRESFLGNFFGFGVSSVANRGGKGEGKRRKRVWAGKDVGSGKNLRYLGLGDCLGRSKGREGELGC >Solyc09g075380.1.1.1 pep chromosome:SL3.0:9:67520997:67521428:1 gene:Solyc09g075380.1 transcript:Solyc09g075380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAATEEVEKQSFESGCQGDVLKENDDEEIVFGNEDEDDDYEEDIDKEDVALEDDILEGARCSYEQSNSDKIWPADSNHELLLEHKKNNVKEEEEVIEEDELSRVEDCNLKSTQNDVAITKVANRTMMALMATERSSCTWMI >Solyc09g075350.3.1 pep chromosome:SL3.0:9:67492920:67497322:1 gene:Solyc09g075350.3 transcript:Solyc09g075350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4CVB2] MYSLQWKTPLLLSLLAIFIIVSLTFFTSLSKNSSEIVHIHVHKNIQIAHSHCEDALYKQLCVSTLASIPDLPQKSISQIISSTINVTVVEVKASAKNCTDIREDLPKLEPYEKRALEDCIQLLDDTIIELKSSLVALHPNRSLSQNYNDLQTLLSAAMTNQATCLDGLGRSTKNLRRFVQRRLHTISHHVSNCLAMLKKLKKSSSSLKTRKSLEIYPEYGVVHDGFPNWIKRRDRALLQTPINQTKIDLIVAKDGTGNFSTINDALSAAPNSSKTRFVIYIKAGAYFEYVEVVKKKSMIMFLGDGIGKTLIKGNRSVVDGWTTFRSATVAVVGNGFLAKGITFENYAGPSKHQAVAVRSGSDLSAFYQCSFVAYQDTLYVHSLRQFYRDCDVYGTVDFIFGNAAVVLQNCNLYARKPNDNQKNIFTAQGREDPNQNTGISILSGKIASAADLIPVESTFKNYLGRPWKEYSRTVFMLTHIGSLIDPAGFLEWNGSFALSTLYYGEYMNRGPGSNTSARVTWPGYRVINTSAEASLFTVGNFIEGKEWLPDTGFPFYVNLTAS >Solyc10g076405.1.1 pep chromosome:SL3.0:10:59477866:59482097:1 gene:Solyc10g076405.1 transcript:Solyc10g076405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDEPVIVQENANRAANIGQEIDYNHPLFLSPSDVSGNQIISFQLTGMENYAIWFRSMRIALLGRNKLGMVDGSCDKEKYSENMWNHWDRVDAIVQSWLMNSVSKSLLGGIMYAATAKAVWQDLQERFTKVDGSRTFNLHKEIATLTEEVNSVTVYFSKLKTLREEFEALVPPPGCNCERSKEFIVHLQKLKLFQFLMGLNDSYNQARSQILLMSPLTSINQAYAMVMGDESQKSVSAMNGILGANPMSHTGNYESALYSRTNGNQKFTRNSHLYCDVCKIRGHNKDNYWKIVGYPPEFKFKKRKLSEGGSVAYNVSAKENTQNEVLQAGNEQSEFKYGSDTNVFSHGKNSSSMDQTQSKPSRVEASHFTPDQYNHIVQMLAQHSPQVNQNSMSNTAANTAGMTNSMAMNVSHKPNWIVDTGATNHMASSLELLNKLSVNKLGYNRTVELPNGDETKEDESGMVIILVYVDDLLVTGDSLRIVKETKEKLKQVFKMKDLGELRYFLGIEFARSDQGILMHQRKYTLELISETGLSSSKPAATPMDTNVKLTTKQLDEYIRLRNSGKFNSNDQLADQGAYQRLIGKLLYLTVTRPDIAFGVNTLSQFLQQPKKSHMEAALRIVRYVKNQPGLGVLLSSNKNTTLTAYCDSDWASCPHTRRSRIQEHGSHSFRINLDHRSDERVGSKLETTC >Solyc08g069010.3.1 pep chromosome:SL3.0:8:58154748:58171038:1 gene:Solyc08g069010.3 transcript:Solyc08g069010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLPMDQMHHFQALPAHIHGDMSVLLFPFRKCWQQQKQNHFQRPALSSSSSPVSGCQPRRYYLGRFKRRFRSEPNLKPLTSRIVDLTRRRQLHQIFVEIEIAKKRHGQLNTIVMNAVMQACVHCADIESALRLFDEMSGPEGCGVDNITYATLLKGLGDARRIDQAFQLLEAVEQGTAAGSPKLSPPLVRGLLNSLIEAADAGDLRRANGLLARYGFVFHEGGYPSILVYNLLMKGYINTGCPEVALRLHDEILRHGLNLDKLTYNTLILACVESEKLDVAMQFFEGMKDRAQTAADDDILPDVVTYTTLLKGFGHAQDLISVQKIFIEMKLSNNLHIDRVAYTAIIDAFLNCGTIKGALTVYGELLKKAGEDSSLRPKPHLFLALMRAFAVRGEYEMVKILHGRMWLDSSGTITSKVNEEADHLLMEAALSNGQLDLALQYMKGNVEKWKQIPWASRGGMVALRIEALLGCSVSIFSPCILPQVSVRDTIEHIMVPFTEAQPLQATLKLKQVVMRFFRDSTVPVIDDWGSCVGILHCEDCNELDAPLSAMMRSPPPSVTTSTSIGRVIDLMLEKKYKMVIVAKYENVRGMFYSSSVRAVGVFTSEQLYKLAPTSSELSLQYSSACREHIEICR >Solyc07g039620.2.1 pep chromosome:SL3.0:7:48710503:48715167:-1 gene:Solyc07g039620.2 transcript:Solyc07g039620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAEKKKKEDSKRNEKSSGEDQGDSSKKILQNGRKQKIIYVEFERLGEDNPLDNNSATLIDNNIDKTCLYPLLQACEELESEKFKECSESNIRWDHEDSFEEELPDQQKEENIDGESNLNKIACEDGNPDNYDHIINNNIMNYGSRLLAKDENGGNYHITQGLPRSTKFRSIGNLYKCTSRLSPIEDEYNWKHLTEKDPNMNHLENEDSSVKTNEKNVGCKRKGRNNNGESDVDTSSP >Solyc09g018970.1.1.1 pep chromosome:SL3.0:9:17785941:17786336:1 gene:Solyc09g018970.1 transcript:Solyc09g018970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLVVKVPSKDNVDSFTECANAYYLKRPHHLGLLQNCMATISHWKIAIAALAKNHHRQNSFPTPSSHFDHDNDDQFNKEENNGSDIVDSYVESSLLYQTQFPSTQAKFEPDMIIADLMVIRSVDYEIILH >Solyc07g062395.1.1 pep chromosome:SL3.0:7:65289014:65291755:-1 gene:Solyc07g062395.1 transcript:Solyc07g062395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACAFVWIDGSGFLGYMGMFVSPANDIEHKTQTENLITKQPPAQPILLCSNMSPHNLASNDQPNMQQEEAT >Solyc10g081040.1.1.1 pep chromosome:SL3.0:10:62345412:62345999:1 gene:Solyc10g081040.1 transcript:Solyc10g081040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEVGSSRKADVSKVGKQLTGCTRSTCLLGSGFKIEETENCPFPVPLPEILAPVTCCTICRKLSTSAVMYVVDARFEARGLRFTIFLLTPPPPPLRRFIEPEAPVEAASFSDPPENVGPDALAVKNGEPISPVGITSSFPSPVYDFCRTFVKVSVSLAKISVNHESLNAERKPHCSIVMKLSEDAIFASPELKN >Solyc07g049600.3.1 pep chromosome:SL3.0:7:60063387:60069626:-1 gene:Solyc07g049600.3 transcript:Solyc07g049600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFVGVVVSDQWLHSQFTQVELRGLHSNFVSASNESGKATLGNLPPVMCKLKALKDILSADDISRILSESATDATQEIDFESFLRLYLDLQGRATAKLGNTKLKTSSSFLKARTTTIRHNISESEKTSYVAHINNFLREDKFLKDFLPIDPSGNALFELVKGGVVLCKLINVAVPGTIDERAINTKKVLNPWETNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVVGLISQIIKIQLLADLNLKKTPQLVELVEDSKDVEELIGLSPEKVLLKWMNFHLKKAGYTKQVNNFSSDLKDGEAYAHLLNALAPEHGTTNTLDTKDPTERANLIIEQAEKLDCKRYVTPQDIVEGSPNLNLAFVAQIFQHRNGLSVDTKKISFAEMMEDDDQTSREERCFRLWINSLGTDTYINNLFDSVRTGWVLLEVLDKIAPGSVNWKQATKPPIKMPFRKVENCNQVIRIGKELNFSLVNVAGNDIVQGNKKLILAFLWQLMRFTMLQLLKNLRFHAQGKEITDADILNWANKKVKSAGRKSQIDSFKDKSLSNGMFFLDLLSAVEPRVVNWSVVTKGETDEDKKLNATYTISVARKLGCSIFLLPEDIIEVNQKMILTLTASIMYWSLQHKADTPETIPEEDIAASDESPAAPNDGENASS >Solyc09g014629.1.1 pep chromosome:SL3.0:9:6653785:6657161:1 gene:Solyc09g014629.1 transcript:Solyc09g014629.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSVAYNEKNSKTVETLILEAKIRSENPVYGSIDIQTKLKLQNEETLKEIDLSLKPTYTY >Solyc05g032670.2.1 pep chromosome:SL3.0:5:46150466:46154322:1 gene:Solyc05g032670.2 transcript:Solyc05g032670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAFVAACAEMNNMKDLKDLYSSGESSMTIDEILDTVLGTKSGYIKGLGYGPKSNTTIATQRRTAELEDSQKGKRGS >Solyc06g031660.2.1 pep chromosome:SL3.0:6:20583500:20583805:-1 gene:Solyc06g031660.2 transcript:Solyc06g031660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFKCDLRHQQDHQFVDWCTNGLKCSINYQRPIIVPGGNLANVKRAVCMISNSTSVVEVFSRVDHKFDLMYTKRAFVH >Solyc06g009180.2.1 pep chromosome:SL3.0:6:3112710:3118186:-1 gene:Solyc06g009180.2 transcript:Solyc06g009180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFMIFFLFLSFSQSSANDDNSPSIICKNTHFPNFCKSILPTTGNYSNIYDYGRYSVHKSLSNVRKFISIVQKYLRRSKNLSISTIHALQDCYFLADLNKDYLSTTFKTVNKTSKILPILEAEDFAILTNTQTCLDGLQEINSTSFDPWSLRNDILTPLINDTKLHSISLALFTKGWVPKDKKGTKITQKKLVFRNGHLPLKMSERNRAIFETISRRKLLQQAADQILEYDDHVKVSNVVIVSQDGSGNYSTINDAVAIAPNNTDGSKGYFLIYITAGVYEEYVSIAKNKKYLMMIGDGINQTIITGNHSYNVDGYTTFNSSTFAVVGQGFVAVNITFQNTAGAINHQAVAVRNGADLSTFYSCSFQGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVFQNSNIYPKLPLQNQFNAITAQGRTDPNQNTGISIQNCTVKPADDLKFSNSSTQTYLGRPWKEYSRTIYAQSFIDGFVNSLGWREWSGDFALNTSYYAEFNNTGPGSNTSARVTWPGFHVIDAADAANITVSSFLLGDYWLPQTGVPYFSGLL >Solyc05g005680.3.1 pep chromosome:SL3.0:5:506487:508079:1 gene:Solyc05g005680.3 transcript:Solyc05g005680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BW96] MEFFLHDRKFILSAFLILCMIIVVSCRGPVYKPPEIEKLTDHFSRLSVNQSYNVFYGGSNIHITNNGSSAEIILDKSSGSGLISKEKYYYGFFNAALKLPAHFTSGVVVAFYMSNSDVFPHNHDEIDFELLGHEKRRDWVLQTNLYGNGSVHTGREEKFYLWFDPTLDFHDYTILWNNHHIVFLVDNVPVREVVHNTAISSVYPSKPMSTILTIWDGSEWATHGGKYPVNYNYAPFITTIKGIELEGCVKQQQNTCSKRSSTSSLDPVDGEGFMKLSSQQMKGLDWARRKHMFYSYCQDTKRYKVLPPECTSE >Solyc05g016477.1.1 pep chromosome:SL3.0:5:17365971:17368757:-1 gene:Solyc05g016477.1 transcript:Solyc05g016477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSWRVHVDWRTSTLCNMNHKQRPMFFGQEMSTNIPWSERIGQATLIVACAQRTSDIVQRHAPASCTHQSCHMFKDSTTLVMDCVYQNGSIFQWKPTSYKASMHDTWCVHIDWETFVVACKHWPTDESDVGQRQTSSTKALMHQLWLLRIEKVTLESAYDRKHKSRPKSLEQMTFAKKTVLPRRHSLLNMASVFTYACPLVDVKHGTATLVVFGVDSLHSLVLQTSTFHIGFISQGMQTFVIVLCIVWSMQRWPTVGFISQGVYAFNVVCAHRQGGVSQIHKASSKVVCFDKETSVLVHIAYLPSNVDFQHRPLRANNSQATSSIVCSHRLLKEQRSIYIGRCLTHHLGLTYWLADIEHGLHTSPLNCA >Solyc11g061910.1.1.1 pep chromosome:SL3.0:11:48900631:48900894:1 gene:Solyc11g061910.1 transcript:Solyc11g061910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKVIFIMGATGTGKSRLSVDLATHFRGEIINSDKMQVYKGLEIVTNKITHTEKQGVRHYLLGIYVYSQDCLYQITT >Solyc07g065610.1.1.1 pep chromosome:SL3.0:7:67439119:67441119:1 gene:Solyc07g065610.1 transcript:Solyc07g065610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade XIV lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4CHN9] MFVSIFRFLIFFSFLSLVSSQNCSFDLQSFTLRNFTLLGDSYLRNGVVGLTRDLQVPSSSSGSLIYNNPISFFDPETKKTASFSTRFAFSVTNINPSSFGDGLAFFLSPDNQTLGSPGGFLGLVNSSQLTKNKFVAVEFDTKQDLHFNDPDDNHVGLDIDSLISIKTANLRLAGVDLKSRNLISCWIDYKSQEKKLMVFLSYYSLKPKKPILIVDIDLSDYIKEFMYVGFAASTEGSTELHSIENWSFRTYGFGPVRPPHNVSDNTVIVKPPIIQDSGGHKHHNKSFGLGFGIGGPAFFCAVLVAFGWISVKKWRGLNTEKNLKAELVTGPRQFSYKELRSATRGFHSSRIIGNGAFGTVYKAFFMESSSIAAVKRSKHSHESKTEFGAELSIIACLRHKNLVQLQGWCIEKGELLLVYDYMPNGSLDKVLYQESEHGNPLKWPYRYNIAVGLASVLTYLHQECEQQVIHRDIKASNIMLDASYNARLGDFGLARLMDHDKSPVSTLTAGTMGYLAPEYLQYGKATEKTDVFSYGVVILEVACGRRPIEGEGTGHEMVNLVDWVWRLYSEGRIIDAADKRLNEDFKEEEMKKLLLVGLSCANPDSTERPCMRRVFQILNNEAEPIFVPKVKPTLTFSTSIPFNIDDIFSDSEGSEAPEHELEIRID >Solyc07g062430.3.1 pep chromosome:SL3.0:7:65305471:65313320:1 gene:Solyc07g062430.3 transcript:Solyc07g062430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSINISSFFFKVPSRTNNFNPRLILLFFSPMASSTKVTVEYAKSGRSSCKKCDEKIPAKSVRLGLVSKHPQGFDQTKWHHLDCFPFNSDFVSSVEDIAGFSLLQNKDKETLEKLISTEIATLQKVSDADSDKIDRKQKETRAQEDSEHELSKQKRLKLSATEEEPVLEIAFSISDVRDTYKDAKLLPKWRAFQTIIYLEQGDGRHASSKIAAFDFDGCLANTNVRRVGADAWSLMHPSIPEKLQSLYNEGYKLVIFTNESNIERWKKSRQAAVDSKIGRLEQFIKVAGVPIQVFIACGLSKIKPEDPFRKPKTGMWNIMKKQFNSGVPIDMDQSFYVGDAAGRQGDHSDADIRFAQAIGLKFYVPEEFFEKET >Solyc01g081490.3.1 pep chromosome:SL3.0:1:80542995:80548782:1 gene:Solyc01g081490.3 transcript:Solyc01g081490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase sigma factor [Source:UniProtKB/TrEMBL;Acc:K4AY41] MSYLLPQFLSLPDAFVICAKTQQQTTIQLSKSRDSIHLRTQCILSTTSAVTSTATSTVLGIEKLQLPSIEILSNSVAAERSWKSPSAATTTVPGIQKLKLPSFEANPDSVAPDRPWTYTGAVGPPAEANTKPEDSLASEEAIVAAAAAEAVALAKAALKGAQDAVMLVGYNNLKDSDNVGRGTLSEARTAPFESVHLAQPGETRGVGVCAELNGSEMKWTGSSLFRDTLTDSDDLEPSHEELEILQSQLSNVIAVKSNRQTERKARRAKAVERAAANVVSVKSGSTSRKKRASVQDVDYSDPLRYLRGTTCSSRLLTASEEQELSRGIQDLLKLERLQVELTERCGGQPTFTQWAAAAGVDQMTLRKRLNYGILCKDKMIKSNVRLVISIAKNYQGVGMNLQDLVQEGCRGLVRGAEKFDATKGFKFSTYAHWWIKQAVRKSLSDQSRTIRLPFHMVEATYRVKEARKQLLTENGRHPNDAEVAEATGLSMKRLAAVMLTPKAPRSLDQKVGFNESLKPSEVLADPDAETSEEILTKQFMRQDLEKVLDTLNPREKQVVRWRFGLEDGRMKTLQEIGELMGVSRERIRQIESCAFRKLKNKKRTKFLQQYINA >Solyc03g116280.3.1 pep chromosome:SL3.0:3:67251841:67252905:1 gene:Solyc03g116280.3 transcript:Solyc03g116280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILASSLFSTRMKLKALRFLKMTIAPSKDKLIVNIGDVIQVLSNNKFKSATHRAANPSETDRYSYAFFYNVQGSKWVEPLPQFTEEIGESPKYRGFLFEEYLQLRIKNRSHPPARPEDLIHITHYSISN >Solyc02g078150.3.1 pep chromosome:SL3.0:2:43498291:43499690:1 gene:Solyc02g078150.3 transcript:Solyc02g078150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMARKPLNHRILTWDHREIEISGEPTINNSDTVFSFLDEEVQSSSPESINDDVYVNNRDDEDDDDDNENKENVEDNQFWENQHQLLQSVLCRTTSLESQIRSITKETKQSENVCSCRKMVGDGCRNCLMKEVSSRLQNAGFNSAICKSKWKSSPDIPSGEHTFIDVVDNSSLKKGEVRIIIELNFRCEFELAKASEGYNRLVKCLPEVFVGKIERLLSVIKILCNGAKKCMKVKKIHVAPWRKQKYMQAKWLKTVERTAVNVKSPVTNAEYTSYDPLPRRPRPSMLTMDFLEFVPNLHCTVVKVA >Solyc12g042380.2.1 pep chromosome:SL3.0:12:58502750:58506205:1 gene:Solyc12g042380.2 transcript:Solyc12g042380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCPSHQLISLVLILLLIIVDQSSQESPLKSAVFLSPAFVLEPGSVSNKFYYNIGFPKGHIAIKNFDAEVVDEGGNPVPLYETYLHHWIVVRYYQQKGVKVTNYHNNLGFHQSDFILKRNSGICDGGLSQYFGLGSETRKTITYVPDPYGIEVGNPVEVPPGYEEKWLLNVHAIDTRGAEDRLGCTECRCDLYNVTKDEHDRVIEPDYIGGLRCCYDETKCRVKDGFQGPRRNLYLKYTVKYIDWEASIVPVKIYILDITDTWKKPEKSTTTVARHHCQIEYLVESCSSAVANVDCTHTKKIILTFPSGGDVIYGVAHQHTGGTGMALHGEDGHVICSSIPIYGEGKEPGNEAGYIVGMSSCYPRPGSIKISAGETVTLLSNYSNAQRHTGVMGLFYLLVAEPSPKPNSILHSTDETGEIVILQSAIGALAVFGIALVVGAAVIYQRRNQRDQEGYESILI >Solyc03g123810.1.1 pep chromosome:SL3.0:3:72032645:72034773:-1 gene:Solyc03g123810.1 transcript:Solyc03g123810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDSYQKSLHVFEFRLTGDSVQLRFSTSIMDLNDLNKVWEVKKVRDDEARENVAKQSGKSSPANPSLLGLNIGGGAEVKIRLE >Solyc07g053750.1.1.1 pep chromosome:SL3.0:7:62307987:62309240:-1 gene:Solyc07g053750.1 transcript:Solyc07g053750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCAELHHHKFHPSHQLYKKSLRDIDIPPRKLLSRRATLSSIDHVVSDVQFMDSPKSESDAQFQKFLPYNSLDDDDDADPYSSDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGTVCSEFRKGNCSRGDNCEFAHGVFECWLHPTRYRTEACKDGKNCKRKICFFAHTPRQLRVLPPGCYESGSSPKNLNSEKKYRNLNHCCVFCHSVSASPTSTLIGMSHISPPMSPSLSPPLSPANRNQFSSPISRYSERFGSVEASSSGMCQLDPSGLMSYKDALTELVSSLEAMNVNHEPNSSAAASTHSHSVNFDGNLPWLDVNFNNSSYDDQQQFLLSPSTPSPSPISNSRTKFYAREFPSASPVSSSRLSFVEELNNNNGTNRFYSDNGLSGGPDLGWVNDLLT >Solyc12g057075.1.1 pep chromosome:SL3.0:12:64106789:64109528:1 gene:Solyc12g057075.1 transcript:Solyc12g057075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEWSIVMLKLELLSRDLIMQMQFLISMFSSLFCIVAMIINKDFQAITREAEEFGLGQRKYYMILGLTAISLQIMIIGNLGMIFCSSALLLGIVNALLVPIQQIFAVMFLPESFNSDKWMALAMCLWGFASYFYGEYKDSMTNKVTTNKHATLPD >Solyc01g068140.3.1 pep chromosome:SL3.0:1:77059768:77062625:1 gene:Solyc01g068140.3 transcript:Solyc01g068140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDFLVTTKGSGGGNFITPLGQTPTGSVLDLSVIDSLAVLRCNARTLHVFKGGNSTVIREAFGKALVPYYPLAGRLKFSAHNNQLLQIDCSGQGIWFVEASADCTLLDVNYFDEASALDDTTFDKLLPQLTPLPVSNDSFSDPPLVLVQVTEFKCGGYVMGLTFCHSICDGLGAAQFLKAVGEFARGVEKLSVAPVWCRELLLPLPQKGVAEDNSSMPPPPLTIPVPDQRLEHASFDIPLDEINQLKHEVIQELNMDQNVFCSSFEIIAATLWRHRTRAILNITDDKKTSSNTSFIPADDAGDEEVKLVFFANCRQLVPLPEGFYGNCFFPVTVTASNKVVAEASLAEVVKLIKDAKADLPAEFSQWLNNKTNKPTNDDQFNDPFAPGQGVGYNTLFVSEWGRLGFNEVDYGWGKPVHVIPVQGSAVIPVGIVSKQPLPKTGIRLMTWCVHSHHLHTFLNMMML >Solyc02g094470.3.1 pep chromosome:SL3.0:2:55614253:55617459:-1 gene:Solyc02g094470.3 transcript:Solyc02g094470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphorus transporter [Source:UniProtKB/TrEMBL;Acc:K4BDP2] MENSRRQSLLPSFLYSPTSSFSSLTPKTLAVPETTSVPNNNLIIPAPNEPGKIEMYSPQFYAACTFGGILSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGPRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGAENAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLSDGLPKFVRSEGPMGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKHAVPKPKNECSKSMQLGISFAGGYIAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGVLGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGVAPAVPADSEVAKV >Solyc08g044560.1.1.1 pep chromosome:SL3.0:8:19297367:19297570:1 gene:Solyc08g044560.1 transcript:Solyc08g044560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPDLYYIVRPQGCGCTSRCFAFAQCACASLNRSSFPFNPTNSILKAKPIVNECGLYFKCHQSCKN >Solyc08g013840.3.1 pep chromosome:SL3.0:8:3275605:3278850:1 gene:Solyc08g013840.3 transcript:Solyc08g013840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALVSLCSVPLYVQNKQFYSTINFSKIATVVPQMSLSSSIFNQHESKCLLPVDAPLKAILFDIDGTLCDSDPIHYYAFREMLQEIGFNGGAPISEEFFVKNISGMHNDELCHVLFLDWEFERAVKFMDDKEDMFRRIASEQLKPLNGLEEVCKWIEDRGLKRAAVTNAPRPNAELIISMLGLSDFFELLIIGSECERAKPFPDPYLKALQELGVSPKHAFVFEDSISGIKAGVAAGMPVVGLGLRNPEKLLSEAGATFVIKDFNDSKLWTALEELEPEIHA >Solyc10g008920.1.1.1 pep chromosome:SL3.0:10:2926964:2928265:1 gene:Solyc10g008920.1 transcript:Solyc10g008920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVYLKTNRFEVKLEGITFKAKEFESLFTADILFPDGKTWAQPPIVGIDVMRHPRDPKIILVLLCFGVGCLILRFHSGEQLPNPFLKFLTDKRIHFVGFAIPEKKDMFPFKELGLTKDKVDVGYLAAKFFNDSKYKRYELGDLARKVLGIKKMIGLTQASSFERHEQIKCAICQLFISTVIAITFFTTKDKKKLAEAPKKSSFLKNLSLPLEGWFKLPKAKKGDKFQSVQTTDTYDLVRTTNDKDLFLTNIVHAAPEHEDAAVSYDLIHAKVTEDTFCDDYASVWAGIERANGDHHVPVKCSKVNLEDVSPRVRGGEEDYDDDFVRAKVTEEQLGDGSTHDKSKEPSTDDDTNHISVEANEVTPNDDSNKEGVCLLKKHLKGILKCPSSNLDSWNAASSNPDSPVSMDKDEQNVRGSLRRANSKGFNVKFK >Solyc07g008810.1.1.1 pep chromosome:SL3.0:7:3775652:3775987:1 gene:Solyc07g008810.1 transcript:Solyc07g008810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNESICLLKISSTVVLGLISVMSIIILHEALVVCAVVVATHYGKPTRLFQCLVWLSWVCFCVCVYCFQIISELRLSLVRVGTSCLFGFDLNFWRSYLILVANFYVHLRLK >Solyc12g096030.1.1.1 pep chromosome:SL3.0:12:66089307:66090341:1 gene:Solyc12g096030.1 transcript:Solyc12g096030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSMEEDGSGSKIHLPADVNWEMLDKSKFFFLGAALFSGVSGALYPIVVLKTRQQVMSSQIPCLKMAGSMLRNEGYRGFYRGFGTSLTGTIPARALYMGALEVTKSSVGTATVQLGFSEASSSTIASAAAGLSAAMAAQLVWTPIDVVSQRLMVQGSGNSSCGVVGLKCYSGGIDAFRKIIRSDGLRGLYRGFGISILTYAPSNAVWWGSYTTAHRLVWGSIGCYCCKKDNEGGYKPDGKAMLAVQGVSAAMASGVSALVTMPLDTVKTRLQVLDDDCCSSSSSRRTPTVLQTVKNLLKEGGFSACYRGLGPRWASMSMSAITMITTYEFLKKLSTKNQETFV >Solyc04g012070.2.1 pep chromosome:SL3.0:4:4394593:4397136:1 gene:Solyc04g012070.2 transcript:Solyc04g012070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSIDTYKRFKPHILMVLGQLAYTLLYFLTEASFNHGMNPHVYVTYRHIVAGFVTLPFAYFLERVSLTLNMYFASLNYTSPTLLASMVNTIAALSFVLAVILRLEDANIRNPRGIAKVIGTLVSLAGVSGMTLYKGPVVKSLGRTLIYIHRGNGVVHENWSKGLILTAASCITWSMWYIMQAYTLKRYPAQLSLTTWMSFVGATQSAFYTVTVQHKRTAWTMGLDIELWSTLYGGIVMSGLVVYIQLWCIEQKGPVFITVFNPVSTVLVAVVAYFVLGEKLYTGSIIGAVIVITGLYLLLWGKEDPQPESEEQEQCCTTHEDPMMQISAEP >Solyc03g115960.3.1 pep chromosome:SL3.0:3:67012723:67014443:-1 gene:Solyc03g115960.3 transcript:Solyc03g115960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLTLTILIAVVIGGCNCKIVQFIFGDSLSDVGNNNFLSKSLARANLPWYGIDFASGLPNGRFCNGRTVADIIGDEMGLPRPPAYLDQSLTEDVILSNGVNFASGGGGILNETGTLFIQRFSLYKQIELFQGIQDLIREKIGSKEANKFFQQARYVVALGSNDFINNYLMPVYRDSWTYNDKSFIQYLVDTQRSQLTLLHSLGARELMVFGLGPMGCIPLQRVLSRDGQCQDKTNQLALAFNKATNELVVELANTLPNASYKFGDAYDVVNDVITNPGNYGFSNSDSPCCSFGKIRPALTCNPASTLCSDRSKYVFWDEYHPSDRANQLIAKELIKKLGFLNPSQTDASPPTPALGPSSDDDGQ >Solyc01g094485.1.1 pep chromosome:SL3.0:1:85823830:85824588:1 gene:Solyc01g094485.1 transcript:Solyc01g094485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLDHHQRKRQSDYCFYPNEREVLKYLVGFVTDEPLPGQHRYMQRVDLYAEKEPWEILEGSNTNTGYFITPLKKEKSHHTRFKRIVGEGGTWKIQDPAKKVLDEKGCDDGKKKDENIMNEVEVNELIDSVMEELEEIDDDNREVDVGDDFLAALEDDRLNSEENEISKIDANNINLDEYDFVI >Solyc04g054470.3.1 pep chromosome:SL3.0:4:52498832:52510558:1 gene:Solyc04g054470.3 transcript:Solyc04g054470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRAESTKTLRHWRELHLRQATNQLNISFFWRQPILSPCCEGPSCDFRLVNQ >Solyc11g007300.2.1 pep chromosome:SL3.0:11:1662526:1686893:1 gene:Solyc11g007300.2 transcript:Solyc11g007300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLGQDELAKSMSRRSMSKSIRSVSSRSWASASVREVMFTEPGGGDVFQKSARENDDEQELKWAAIERLPTYDRLRKGILRQTLDDGKINYHEVDVVHLGLQDRKQILESILKVVEEDNERFLRRLRGRTDRVGIEIPKIEVRFEDLCIDGDAYVGSRVLPTLWNASINFVEGFLEKIKIVPSKKRVVNILRDVSGIVRPSRMTLLLGPPGSGKTTLLKALAAVLDKDLRVNGRISYCGQELSEFIPQRTCAYISQHDVHHGEMTVKETLDFAGRCLGIGTRYELLTELLRREKDAGIKPDPEIDAFMKATAVAGQESSLVTDYVLKILGMDICADILVGDDMRRGISGGQKKRLTTGEMLVGPAKVFFMDEISTGLDSSTTFQIVKYMRQMVHIMDVTMIISLLQPAPETYDLFDDIILLSEGNIIYQGPREHVLEFFEGVGFKCPERKGVADFLQEVTSLKDQEQYWFRRNEPYRYISVAEFAERFRNFHVGQQLLDDLRVPYDKNKAHPAALVTEKYGISNTELFKACLSREWLLIKRNSFLYIFKMFQITVMSIITFTVFFRTEMKTGQLADGGKFYGALFFSLINIMFNGTAELALTIFRLPVFFKQRDSLFYPAWAFTLPIWLLRIPISFIESLIWVLLTYYTIGFAPDFTRFLRQFLVFFALHQSALSLFRFVAALGRSQVVANTFATFTILIVFLLGGFIVAKDDLEPWMRWGYYLSPMTYGQNAIAINEFLDERWNTPNDDTRFSEPTVGKVLLKARSMYTSDYAFWLCVVALFAFSFLFNICSILALTYLNRIVMDVRNTNNSSIEESKKRGMVLPFQPLSLAFNHIDYYVDMPAEMKDQGVDETRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTEGYIEGSINISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVKEYTRKNFVEEIMELVELNPLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDEVVSTSSVGFHNSWMFVHCDATVLLVIMSNDFLSAVIDEKRRTSHICRASWSSFSPTDRSIPGVPGIKEGYNPATWMLDISSPAVEAQLQVDFTHIYVNSELYRRNQELIKELSIPAPGSKDLHFPTEFSQPFFEQCKACFWKQHLSYWRHPQYNAFRSNIRNHFLEQGEPTVSNLRKTNLPYSVITFYLIANYDNRFKLQDLLNIVGAMYAAVMFLGGTNTLAVQSIVAVERTVFYREKAAGMYSALPYAFAQVAIETIYIAIQTFIYSFILYAMIGFHWTVGKFFLFYFFQIPIWWRWYYWASPVAWTIYGLVTSQIGDKNNPIVIPGGGEVSIKLYLKDSFGFEYDFLGVVAVVHVAWAVFFCFVFAYAINRGERAKSLSRRSMSRRGPGMSSSSWTTPGVSEMYGTAPGSDVFERSRRENDDEQELKWAAIERLPTYDRLRKGILKQTLDDGETKYHEVDLVHLGLQDRKQLLEGILKLVEEDNERFLRRYRDRTDRVGIEIPKVEVRFEHLRIDGDAYVGSRALPTLWNASINFVEGFLQKIKIVPSKKRVVNILRDVSGIIRPSRMTLLLGPPGAGKTTLLKALAAVPDKDLRVNGRISYCGHELSEFIPQRTCAYISQHDIHHGEMTVRETLDFAGRCLGVGTRYELLTELSRREKDVGIKPDPEMDTYLKATAVSGQESSLVTDYVLKILGMDICADILVGDDMRRGISGGQKKRLTTGEMLAGPAKVFYMDEISTGLDSSTTFQIVKYMRQMVHIMDVTMIISLLQPAPETYDLFDDIILLSEGKIVYQGPRENVLEFFESVGFKCPERKGVADFLQEVTSLKDQEQYWFRRNEPYKYISVAEFVERFTNFHVGQQLFEELGVPYDKRKTHPAALVTEKYGISNMELFKACLSREWLLMKRNSFLYIFKTFQITLTAIITFTVFFRTEMKTGQIADGGKFYGALFFSLINIMFNGTAELALTVIRLPVFYKQRDSLFYPAWAFALPIWLLRIPLSFVESLIWIVLTYYTIGFAPAASRFFRQFLAFFALHLSALSLFRFIAALGRTQVVSSTFTTFTILIVFVLGGFIVAKDDLEPWMQWGYYISPMTYGQNAIAINEFLDERWSTPNNDTRFSEPTVGKVLLKARSMYTEDHVFWLCVVALFAFSFIFNFGFILALTYLNRKSQIFYNRLLVLIKSCKKNAALGDSRSVISDDDRSISRGIRDTNSSSSEEAKKKGMGLPFQPLSIAFNHMNYYVNMPDEMKVQGVEDTRLQLLRNVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTEGSIEGSISISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLVYSAWLRLSPDVKKQTRKNFVEEVMDLVELNSLRDSLVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSHLLIEYFQSVPGVPEIKEGINPATWMLDVSSPAVEAQLQVDFADIYANSELYRRNQELIKELSIPAPGSQDLHFPTKFSQPFFEQCKACFWKQHLSYWRHPQYNAIRFAMTAMIGVIFGIIFWNKGNQLSKQQDLLNIVGAIYAAVMFLGGTNTSTVQSVVAIERTVFYREKAAGMFSALPYAFAQVVIETIYIAIQTFIYSLILFTMIGFQWTVGNFWNLFSGFVISRTQIPIWWRWYYWGSPVAWTIYGLVTSQVGDKSNLIEIPGSGEVSLKSYLKESCGFEYDFLGVVAAMHVVWAVFFCFVFAYAIKENELVRSMSKKPSFSSASRRGSWASASLREAFGAPGGDVFVKSGRQDDEDELKWAAIERLPTYDRMRKGILKQVLDNGRIVHEQVDVAHMGMHEKKQLMENILNGIDEDNERFLLRLKDRIERVGIDIPKIEIRFEHLSIEGDAYVGSRALPTLWNSTINSLEGLLGLVRLSPSKKKSVKILDDISGIVKPSKMTLLLGPPASGKTTLLKALAGKLEQDLRVKGKVTHCGHELKEFIPQRTCAYICQHDLHHGEMTVRETLDFSGRCFGVGARYELLAELSRREKESGIKPDPEVDAFMKAISVAGQKTNLVTDSVLKILGLDICSDTMVGDEMRRGISGGQKKRVTTGEMLVGPAKVFLMDEISTGLDSSTTFQIVKYMRQMVHIMNVTMIISLLQPAPETFDLFDEIILLSEGQVVYQGPRENVLEFFESVGFKCPERKGVADFLQEVTSKKDQEQYWSKKNVPYQFVSVRDFVEHFKSFHLGLKLFGEVQVPYDRSRTHPAALVKAKYGISNKELFKACLSREWLLMKRNSFVYIFKTVQITIMAIFTFTVFFRTKMKHGEAEDGGKFYGALFFSLLNVMFNGMAELAMTIFRLPVFFKQRDALFYPAWAFALPIWLLRIPISLMESGIWILLTYYTVGFAPAADRFFRQYLAYVGIHQMALGLFRFIAALGRTQVVANTLGTFTLLSVFVLGGFIIAKDDLQPWMKWAYYLSPMSYGQNAIVLVEFLDKRWNKPNEDPSFQGKTVGIELLKARGMFTEDIWYWICVIALFSFSLFFNLCFVAALTYLKPLGDTKSIMVNEEDSQNKEKKMKVTPHEGSGKNTSEDINSNSAASATNKKGMVLPFQPLSLSFEHVNYYVDMPAEMRSQGIEETRLQLLREVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSICVSGYPKIQETFARVSGYCEQNDIHSPHVTVYESLLYSAWLRLPSDVNNETRMMFVEEVMELVELTLLRNSLVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFESFDERGGQVIYAGPLGRNSQHLIEYFESVPGVNKIKDGYNPATWMLEVSAASVETQFSINFAEIYTNSDLYRRNEELNKELSTPAPGSKDLYFPTKYSQPLLTQFKACLWKQHWSYWRNPQYNVIRFFMTTVIGIIFGVIFWDKGGKFEKQQDLSNLMGAMYAAVLFLGGTNTSAVQSVVAIERTVFYRERAAGMFSALPYAFAQVTVETIYVGIQTFLYSLILYSMIGFEWQADKFFWFYYYQIPIWWRWYYWGSPVAWTIYGLITSQLGDKTELVHIPSHDGTPTYIQLKDYLKQYLDYDYDFLGAVAAAHLAWVLLFFFVFVYAIRVLNFQKR >Solyc04g025440.3.1 pep chromosome:SL3.0:4:22959977:22966365:-1 gene:Solyc04g025440.3 transcript:Solyc04g025440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILFFLFLVWLMGALGAAKNNFLDDVILSELDDLDVEDSDEAELFDLPRWGIDRGNKILVNVDSFGAVGDGTSDDTKAFVDAWKQACSTPKSVLLVPAGRSYLVNATRFRGPCVGILRVQIEGTIVAPDDPKNWNFAKNGRIWLGFFNLTGALFQGGGVVDGSGSKWWAASCKKNKTNPCVAAPTALTIYGSSGIKVRDLTIQNGQQMNFAISRSDSIRIAGVTVSAPEDSPNTDGIHITESTNVVLQNSKIGTGDDCVSIVNASSHIKMKRIYCGPGHGISIGSLGKDNSVGIVTGIVLDNAFLRGTTNGLRIKTWQGGSGYVRAVRFQNVRMQDVSNPIIIDQFYCDSPKSCQNQTSAVEISEIVYRNVSGTSKSQKAIKFACSDNVPCSHIVLNNINLETRDGTAEVYCNSATGIGYGYIHPSAECLNSSDKKIKQKMDARLVEPREEYIVHTEL >Solyc04g025475.1.1 pep chromosome:SL3.0:4:22794257:22794954:-1 gene:Solyc04g025475.1 transcript:Solyc04g025475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVSLQKFVHLLCFPFLREGNMVGRRVATNLISLAGPDAGIASIPWIRGSGIWSILEESLLKIAIYNNFIQVLLALLAPAGYTKIPTVSNQVMWFFYIYI >Solyc09g065325.1.1 pep chromosome:SL3.0:9:63607676:63617587:1 gene:Solyc09g065325.1 transcript:Solyc09g065325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHRLCTSSVLSLQHSPGSSMREINNLKTWLTATFEMKDLGPAKQILGMKISRDRSAGTLNPSQELYIEKVLSRFRVNDVKPRTTPLANHFKLSKEQSPKTVEERDHMTLVTYASTVGSLMYAMVCTRPDIEHAVGVVSRYMANPGKEHWEAVKWLLRYLRGTSSTSLCFGKGKVTPQGFVDADLGGDVDSSKSTSGYIYTIGGTAVSWMSRLQKCVSLSSTEAEGEMVEYGTSYSRQHQTQAQTDCDKVGLKDTQTSGRKQVAPRDAAENSVQRRQKRMIKNKESVARSRARKCLLLKAEKCSTK >Solyc03g117210.3.1 pep chromosome:SL3.0:3:67892479:67896486:-1 gene:Solyc03g117210.3 transcript:Solyc03g117210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEEWVQTAIMDDSVVAELLLRLSQANPSPSPIPAPAPLPAKLKRTGSPLEWSVRRSRSKQVSVNAKKAAPRASPTTPLSWSGGTSVSCGGGGGSGGAVDGGCEESSGSPHPLYKTPSSTRSKTLLDSQVNGTSDATTSKRSRKKKTLAELKMDEIMLIKERKQLKKQVALLRASVENQRETNQKLKRMKLDVLPQQANERGTTVAYDGISGQYQQEVQPSYPVIPILLEKAANKVAVSPSSLEKQQDVSDLDSNFVLPDLNIPFGEASGSDNLWG >Solyc09g060090.3.1 pep chromosome:SL3.0:9:57802638:57811348:-1 gene:Solyc09g060090.3 transcript:Solyc09g060090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVGIHDHERTKRYYFEASEDEKRRTRIRSLKKKAMSASTKLTHSLKRRSKRVAHCRFASISTEDFRDEKEEEAVNSFRQALIEKDLLPVRHDDYHTMLRFLKARKFDLDKAMLMWSEMLNWRKEQGVDTIIEDFAYEEYEEVQSYYPHGYHGVDRGGRPVYIERLGKIEPTKLMNVTTIERFLKYHIQGFERTFAEKFPACSIAARRHIDSSTTILDVQGLNWRSFGKLAHDLVMRMQKIDGDNYPETLHQMFIVNAGNGFRFLWNTAKGFLDPKTTAKIHVLGNKFQSNLAEVIDPSQLPDFLGGTCSCPNPDGCLRSDKGPWNDPELMKLVHALHGEALYPRRITSYSDSDIEIKPVSPQLLRNEIGSAESDVEVGVSAYSIMQSKQLSDKVPTRRSVSFGSLVQEDYGPMLTDEHLNSPNRATVSVQRRSPEKSIFSLMINMMVKSLAWICFLFHGVGRLFVKNSDANRSRNGRVSVNASSVEQNVSSPKKEDLLHPCCQRLQHLENVVADLLKKPTKIPPEKEHMLLDSMDRIKFIEYDLQRTKKALLATASQQVELAESMESLKENKLKVTNSCWRRGRPSQYKT >Solyc09g098445.1.1 pep chromosome:SL3.0:9:72763115:72765723:1 gene:Solyc09g098445.1 transcript:Solyc09g098445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAVTSLIFVVVGVIASFGAGICCNRGPSTNLLHLTLIITATVCCWMMWAIVYLAQLKPLIVPILSEGE >Solyc09g007620.2.1 pep chromosome:SL3.0:9:1192774:1195427:1 gene:Solyc09g007620.2 transcript:Solyc09g007620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYNSNGAMNGDGSSNAPISDNREGVTNVINPNVPENEGGEVRDSEVDRRNFLYQEVVERAISELEEHARAGFRAIPPLLNINLHVYEAANSTFSEPKDKCSVCLEEFCDKEELARIDCGHMYHIDCLKKWNLVVNTCPICRRRVAVICHFTLKIRAYLDRLAAANQLSSGSVN >Solyc09g037087.1.1 pep chromosome:SL3.0:9:20833308:20834909:1 gene:Solyc09g037087.1 transcript:Solyc09g037087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKLVESCVKELNFCATERRGELQDSVPNKSKGIEDELIIKLKSSIIEFDVCALNKLKRRTKTTLHLCKLVIIVVGKLVATDAVPKVSKAWGLPFPKIMDLALVFPHWSQPCSITNLQTVLEYCCQEIDLSLANN >Solyc01g090000.1.1 pep chromosome:SL3.0:1:83586353:83588119:-1 gene:Solyc01g090000.1 transcript:Solyc01g090000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVRAYFNRGWRIDDMKNTGYLYPHIHKHLKYMYCTLFCTLLSSTVGSSFHYIWNIGGLFTLLIASGTIFFLFTTPPLERKKRVCLLITGAFFIGASIGLLTKYLFGIDQGFVINILACTTIDFGTFWVRSLLIRDRSLCNCWWLVILMFFWFAFASDIFGGHSARWMVQVWSLLALYMLFISVYSKEVMNDSLYGEVDYVNRACTNLFHLPAVMVHFVRVQVAGMLESFNRCMRLLNR >Solyc01g111860.3.1 pep chromosome:SL3.0:1:97927401:97934638:-1 gene:Solyc01g111860.3 transcript:Solyc01g111860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKTTRSSIDCAVVDVWKRAVGELSTRNFAHRLGASEDLVLRLDVFRKLEKHRGCVNTVSFNPDGDIVISGSDDRRIILWDWETGKIALSFHSGHHNNVFQAKIMPYTDDRSIVTCAADGQVRQAQILEHGKVETKLLAKHQGRAHKLAIEPASPHIVYTCGEDGLVQHIDLRTDTATELFTCQPLRMRRYMSVLHLNAITIDPRKPYLFAVAGSDEFARIFDIRKYKWDGSTDFGHPVDYFCPPHLVGDENVGITGLAFSDQSELLVSYNEEFIYLFTKDMGLGPDPSPTSPLYNESDEGEMGQDHESDESAIVMEYGANIGPQVYKGHRNSETVKGVNFFGPKCEYVVSGSDCGRIFIWKKRGGDLVRVMEADKHIVNCIESHPHTAMLASSGIENDIKIWTPKATERAILPTNIQKQRPKARGWMHHMASPEDLMLQLLSQQRQRTNLGSIEENSEVGRELLQLILTFNANSDSSSDDGEDTTSGQDSFD >Solyc10g078935.1.1 pep chromosome:SL3.0:10:60709469:60710387:-1 gene:Solyc10g078935.1 transcript:Solyc10g078935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIILMNTIAMTSTRVKAQQRCSETLKASDCTMAECQSECLQKHNGTGVCTGGNIGPLSCVCVYNC >Solyc09g098380.2.1 pep chromosome:SL3.0:9:72715237:72717588:1 gene:Solyc09g098380.2 transcript:Solyc09g098380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDKSCNSNGKQLCLDSTNYCLPNENSKDLESLAYDDQHVKSNTSFLHAVINMIGMLIGLGQLSTPYALENGGWMSSIILVGLGIIYAGHIVFPNIYTAMKDPSNFTKVSIVSFSLVTTLYVSLGFMGAKLFGPQVSSQITLSMPHDQIITKIALWATILTPMTKYALEFAPFAIELEENLPSSMKSKVKMIIRGIVGSILLLVILILALSVPYFEHVLSLTGSLVGVGICVIFPCAFYIKVFWGEISRMSLILNVVLIVIGGLLGVVGTISSSKLLARSLKRAHHST >Solyc06g054080.3.1 pep chromosome:SL3.0:6:37009882:37014600:-1 gene:Solyc06g054080.3 transcript:Solyc06g054080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLTLLREYTIRNDLHKIVRIGDDYRFGNDYTFPCTIETAYRSKHVQANRYTLETLINFITNHHLKHTEYIQQSRSLRIPAVTLPDRKPLLDYLTGKTASSDSIEFLKFPQSNDTSVPVSVSAGVTGNEENVMSDVRVLENQNPIELIKAAEKPLKDREAILFCKNRDFYSVFTAALRRDEERHRAESLQRKDGLVAKNRIDRGYGGGDEIGYDGGPKAKMHLKGSKIGEGVPIILVPSAFSTLITIYNVKDFLEDGVFIPTDVKLKQMKGSKPDCITVQKKFSRDRVVTAYEVRDKPSALKPEDWDRVVAVFVLGKDWQFKDWPFKDHVETFNRVVGFFLRFEDDSVESAKTVKQWNVKIISISKNKRHQDRAAALEVWEKLEEFMRSRSH >Solyc01g073650.3.1 pep chromosome:SL3.0:1:80898021:80911368:-1 gene:Solyc01g073650.3 transcript:Solyc01g073650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4AXB1] MSSDLIANTHGNLDEQISQLMQCKPLSEPEVRALCEKAKEILMEESNVQPVKSPVTICGDIHGQFHDLAELFRIGGQCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRLTILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNVRSFDRVQEVPHEGAMCDLLWSDPDDRCGWGMSPRGAGYTFGQDISEQFHHTNNLKLIARAHQLVMEGYNWSHEQKVVTIFSAPNYCYRCGNMASILEVDDCRGHTFIQFDPAPRRGEPDVTRRTPDYFL >Solyc12g005480.2.1 pep chromosome:SL3.0:12:286368:291241:-1 gene:Solyc12g005480.2 transcript:Solyc12g005480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHNSFVIDQAYEFSAPRFYDFVDEETEEETQKAEHWFHISRSYAPSPFMPKIKTGRMVQLESLCDFTDAEELQDNTRPATELTSSKSKDEVTPYLIVPEPCTSLPNPESEEKQSIVQETCTPGPTITSQKSDSRKTNSKRQQTAKKIASILRNPSALKSKTQQQQSHLSSSNQATVRKQAIKKSDAGTPNFAQENQAVKRQKLEEGKSRKILDIKPPQHLPHKTRLGVANSSSNLFASAAKTRKEERKMYVRPLVSPFISTAEMIKKFQSGTRENSLPCMRNSTSNGDSTGQAQRKPKLTLTRPKEPEFETAQRVRPTRVKSSAELEEEMMAKIPKFKAHPVNKKILEAPTQPLLLPKSTPQLPEFKEFHLETMARANKNADTTSVQSIESSSQSHQWQPHLTAPKSPVLKTSLRARPPIAKSTEELEKEELEKAPKFKARPLNKKILESKGDLGMFCNTKRQVTVPQEFHFATNKRILPQANVDDLFDKLSLYSQPQNDKTILRNTTPNPFHLYTEERGVEKERKLFSELLQKQIEEERSRNPKATPYPYTTDYPVIPPKPEPKPCTKTEPFELESLIRHEEEMRREMEERKRLEEEEAKMRLFKAQPILIEDPIPVPEKERKPFTEVQEFNLHVNHRAVDRTEFDKKIKEKEMMYNRYQEEAECARMMEEEKALKQLRRTLVPHARPVPNFDHPFLPQKSSKHVTRPSSPKLQVIKRKERRKMLCPYAAVSSAAASQMR >Solyc05g043390.2.1 pep chromosome:SL3.0:5:57131289:57134271:-1 gene:Solyc05g043390.2 transcript:Solyc05g043390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKRKNVQPKLTSLLASGHFKMKVVKPVAIIIGGEIDLLQKSQKTSNVNMLETKLQDSIKSVEGPDLRKYSSMPLEYQKVTYISHDKIATNVELRSPKQPVTYRASSLMDEIQLILADIDSMDVDISPLKTLLECFFKLAFSYDQARSNLADKAG >Solyc06g071780.3.1 pep chromosome:SL3.0:6:44347934:44360638:1 gene:Solyc06g071780.3 transcript:Solyc06g071780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLIDSFLNEAEEAIRAWFPCPFCYGEIEVPMLCSHLQEEHCFDLKNAVCPICAATLGKDPTGHFSVQHAHSVKRRRNYLKPGFWNNATAMIGKDPREIASFFGTDSVFGRYKVQESAPDPLLLPFLCNFPPSDLKCRQQDKSSVCDTAIPEIESSKLAVSDPELEEDFEEKRQRATFLQELVASTIL >Solyc01g005800.3.1 pep chromosome:SL3.0:1:512557:515973:-1 gene:Solyc01g005800.3 transcript:Solyc01g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFSSPLASQSDSGTGLDSVVVKSIDFGHDEQKTPLRSVSFKSHEKEPTILQSEGSGRMSIEKTISFRTMGSAMELKRTSSEEKPEFSEFHRLGSMNKESQKSPLAYTSSPKHEAALKLQKVYKSFRTRRKLADCAVLIEQSWYVFYAEKNILSINSIDLNVPDMYFLENFRWKLLDFAELKHSSISFFDLDKHETAVSRWSRARTRAAKVGKGLSKNGKAQKLALQHWLEAIDPRHRYGHNLHFYYVQWLHSQSKEPFFYWLDIGEGKEVNIVDKCPRWKLQQQCIKYLGPMERKAYEVEVEGGKLFYKETGKLLDTTDEPKGTKWIFVLSTSKTLYVGKKTKGTFQHSSFLAGGATLAAGRIVAERGVLKAVWPHSGHYRPTPENFQDFISFMTENNVDLNDVKLDSDEDEEESIGKKSAVFLRGDSSEDDLQKDGLETEENDLEELNSEKRDLKVQEQAADIQLSNSKPSHNFSIKLPTLQIPRNDGFIEKLKNESEAAKSFSYLESPTDGYRTARELFAPEQDQMDLERSSSVEELDVSNEEIIPDESIIQRINSHKDLKSYQLGKQLSCKWSTGAGPRIGCLRDYPSQLQSHALEQVSLSPRSACRLKMNFPSRASTPTSLSRVMQVSCSLSPMGNKTLSCLNSKYSSPPHKGP >Solyc04g025035.1.1 pep chromosome:SL3.0:4:26541654:26549049:1 gene:Solyc04g025035.1 transcript:Solyc04g025035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKGSYQMRITEGDEPKTISIARYGPYECLVTPFVSTNAQIDFSRDEKTLSHLLGPVRGGVLGYIVIYSKTLKEHVEYLKRVPKYYGRTNSMSSGFISCYSTKSAALTKFLNKNKPWVLSKECQRTFKGLKAAVIEELASSAGYYDLIKKSAKNGFFQSNH >Solyc02g061920.2.1 pep chromosome:SL3.0:2:34023617:34024485:1 gene:Solyc02g061920.2 transcript:Solyc02g061920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKRNRLRILLMQLFGTDLMILFDSGFMAIDTWNRLENFFHNNKSARALNLDAQFTNTKLEQFDGVKPYCTRLKTLADSLRNVGNKVSDNRMVLQLLKGLSEEYKPFRTSVRHISPLPSFDTLRSMLQLEEQSNSSDLAIEAREEALLTASSTASHTSSDHSSSSKGGNSRGKAKGKGKGSSGKGKGGAGRNNQQQQQSSQQQQPRQGGASSQQQQQQGMGWMFPPWPYWGNGPWATPPCPYPAQGPGPNPWTGARPYQPPSHGILGSRPNQ >Solyc01g015180.1.1.1 pep chromosome:SL3.0:1:17385536:17385760:-1 gene:Solyc01g015180.1 transcript:Solyc01g015180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQKQEKIEVVVMEEGNSHVRRLEDLHIDILVKNIPVLQPFPVNLLIPQVCPAWQSARSYQRLWKMLELFVML >Solyc06g051260.3.1 pep chromosome:SL3.0:6:34579532:34580771:1 gene:Solyc06g051260.3 transcript:Solyc06g051260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDSSCSKYTHETLSSWCHNLQELPLIPTNSCCWSQQFSASRSHSEAEKRRRDRINAQLSTLRKLIPTSEKMDKAGLLRSVVEHVKDLEGKAKEMSNVLNTPSDIDEVVIEEEDESSNNNNIVVKVSFSCDDRPELFSELNRGLKNLKLTTMEAKITSLGGRIKCILSLQSINVVCTTHSIKHSLRLLLARIATSPSTSNFRIKSKRQRFFLLAT >Solyc12g008960.2.1 pep chromosome:SL3.0:12:2265793:2269826:1 gene:Solyc12g008960.2 transcript:Solyc12g008960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRSNSFKGTHLETIIQSNNEVDKMTRKNSINLRNCDPKKLMLETTLSFKNLVQDLDISEWNEKKTRATVSLPEPSILFSPRPVSELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDIWFESESSQPFFYWLDVGDGKEINLEKCPRFNLQHQCIKYLGPKERESYEVVIQDGKLVYKQNGVFVETVEGSKWIFVLSTTRTLYVGKKKKGVFQHSSFLSGGATTAAGRLVAHGGVLKAIWPYSGHYHPTEENFREFISFLEEHSVDLTNVKRCSVDDDDHSFRVNNEGSNHQSLITKESPKRSTEEKTEEKREVNTTDDEREDKKQEDTSFSFAKRLSRKWSTGNGPRIGCVREYPTELQFRALEQVNLSPRVANGGFNMYGPIPSPRPSPKIRLSPRIAYMGLPSPRTPISAAN >Solyc05g025747.1.1 pep chromosome:SL3.0:5:36247659:36254124:1 gene:Solyc05g025747.1 transcript:Solyc05g025747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFIDYNQKDSQEVFPNQKRLKDHGRLKYFLGIEVAQSRSCIVISQRKYALDILEETREAVVRILRYIKSTLGKGLLYEDDPLIDEVIWCRGRVRNKVWLLNVVQNKNIEQWSQQLNGEFGEDENGSNGLEMDFEGAPSFDSEFESLAEGASGSLRISLKRGMLAQNTFQTDIPSSDRARDILWHNLASATLARTSCIWSTSCRAPDSNKF >Solyc12g042650.2.1 pep chromosome:SL3.0:12:58935945:58938257:-1 gene:Solyc12g042650.2 transcript:Solyc12g042650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S12 [Source:UniProtKB/TrEMBL;Acc:K4DF56] MSGEEPVAAETPAPAPALGEPMDIMTALQLVLRKSRAHGGLAKGLHEAAKVIEKHAAQLCVLAEDCDQPDYVKLVKGLCGDHNVSLITVPNAKTLGEWAGLCKIDSEGKARKVVGCGCVVVKDFGEETEGLHIVQEYVKSH >Solyc11g019970.2.1 pep chromosome:SL3.0:11:9901017:9905502:-1 gene:Solyc11g019970.2 transcript:Solyc11g019970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRILIKEGSTISILNFHQKRTLVNVKLKWVKDSVLDSVVVGGTQLKAGTTLVSIIASQSTSGIPIYHLQRKHRQLGLPHDLKVSTFLRRYPNIFQEFFCCDSAGTPVPWFKLTPETLEFHHEQVDVFRQCSADIVSRLRKLLMMTNKRMLPLQTIDQLKWDLGLPHDCASSLIIKYPELFSLVDLPDGRVGLKLLAWDHKLAVSHLEANSAKENGTLTFPIGFTRGFGLKRKCMKWLEEWQKLPYTSPYVDASHLDPRTDVSEKRIVGVFHELLHLILQKKTERNNVSNLRKPLELPQKFTKVFERHPGIFYLSMKGDTQTIVLREAYERNQLIEKHPLVQIREKFANMMKQGFLNRSRGLYKDTNQGPEEEKSLTSSFVGETCGTRYYSDIGSDSGMCLEHEAKESPVECPLYVSMSIIDGLLFCKKYSAGTLAATDSVMSPKCDGSKIKSIFYTAEDRPYLINALKDLAAKTQNRLKNLSEMRSSGPILPDGMKVKVKTAEKGDEPRSLVSVASSNQTKWRSFSPSWLLCTIAGT >Solyc11g069610.2.1 pep chromosome:SL3.0:11:54541277:54554525:-1 gene:Solyc11g069610.2 transcript:Solyc11g069610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAGSGSKSRDNYSNNSKNKLVSKGSSSGDPGKTDRCTRSSVKETSSKQIDLTPVSKRKSERLEKRAPALPPAKKKSVGIEQQNTPSPLRRSERCSTLSKSKYLGTESISSGIKEEKREKIVKKLTMESESSSTTKKNATTPIDLKRKKMDDITYKSFKKQKKEDTASDACEDDGTDGEHGTKSQSSLLHEADLVEPEERRMLYNEQKSLHNHLKAEMAKLFGVIKVSEVIKHMAEKFLEYIMENHRVSREPETILQAFQISLSWTAASILKEKIDKDDIFLLVKQRLQFRCTKEEANNVYLKLRSLKKMFLRRSDQRGNASSSSRYSISPVKSVGEEPYKESISQAGISAPLNVETDVIDRLQDNELSGEGTVAPTEKLWDSQREKVIKEVQCGHGKRMSMLEQEEHERIEEFHRIWEKKKEVLEEERGLEIAVLRAIHGETAATKDGQKSVEIKFAKKIEMHTRLKDQQLKELEAKYSAMRNEEMQKVSSQQTRENSSDRGHYSGDEMVWSQENLNVSDSIPKTAVSGLQGDMAVSDAPASSPDACHILPVQSTNVLAASVSDELADITSMGRASVVAVKQSNEASNSGGSEEEIACKVPLSRKEHTGEVASDKRSRVCLEVSEVSLDEAVGHDKLSEVNNTIPELVTENNPLLPNVVENQRDEVGPIDGNQITPEELSADMPYVAAVPTSDDASSLAQNPVNLDECSRSSGDNGTHNNDLPPGENQIEIQTEPVSGYNINNTSEAILAGNCEQHHRIDDDVPISAHHTHRESAPQAQDERNSIPIRGSSPYAAGPSHQAVSPVGDNLEPCGCVQSDVRVTLNQSSLPAVSRVHPQSTINPCGSVRPAHQMTTCNLALPFHVDPLHIEWERIHKEKEQVTKGLEDMKLHLRSECKKEIEEAIAPIRKKYDLKLQEVEATYLLKKKELDMNQNKILMNKALVDAFRFTFMDVKISDLPVVPPGYVPHQDQVRQQQSLRSSPMSGSSSARQPVEAQQTVVSSSPVANCSVQSAETCLPPLRSSSVAGSSSSSQPAAVSRSTTFPAGSISRPPPLISAITPSRGNHRLGGEVRAPAPHLQRFKGPTSTPLSSPSTLPNGMPVHPRPIYMAASLRSTLQNPIQPPIVQQLPVNLSDSRNTSLDLGLGGLPAIQKPSLSARELLQEMENRSRANRPNFMPPLPDIDCNFDPLDLSDFHSLGSVQRGPISSEPATNKNEKCRMGKKAVRGINRGLTKFNLGRGSFGEKSGYVPLGKKSCGEAKRTRLLPCKS >Solyc02g032090.1.1.1 pep chromosome:SL3.0:2:27937189:27937575:-1 gene:Solyc02g032090.1 transcript:Solyc02g032090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRFCFLVFSVFLISYVFSVHGVGFYDYPKVSRFRALSQISMPPFPAPEPKGDPKTENVKNSSNDYAASIFNVLSYGAVGDGVIDDTQAFKMAWDTACQVDSAILLVPKHHSFMIQSTIFTGPCKS >Solyc03g007380.2.1 pep chromosome:SL3.0:3:1929429:1930790:1 gene:Solyc03g007380.2 transcript:Solyc03g007380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYGADNNSHEINRLVSHELTQGRDLVQQLQLHLNAPNSSSSSENTREFLLHNIQFKFDRALSLLQCNTINGDNSNSSLPRSNSPAIPIIGMSDSPRSSEDSDRDLEPKEHHATRKRKSSTPRWTKQVQIQPGAPIEGTLDDGYSWRKYGQKDILGAKHPRGYYRCTLRHVQGCLATKQVQRSDEDPNIFEVTYRGRHTCNQGGGASVSNVNPAPPPLPLPLVIHQIQEPNIGNHEQYQQLNQNPNEILLNFQKSLSISKDDFNFKNTHHDQPNNVPYIPSFNNYPSSSSNDHQDYNFLNNSSTIPNNIFVESFPPSFNNMSTGTSQQNEADYQFNSMGFESNFPYDYHRFS >Solyc05g024055.1.1 pep chromosome:SL3.0:5:30350562:30350814:1 gene:Solyc05g024055.1 transcript:Solyc05g024055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVIPKRCNELLGSAYNYLLSYKYFNFKVALAIKDKIPTRSRKGKIIVLGGKSCWASGCKETNVIVLEGRKHAGGRV >Solyc08g023497.1.1 pep chromosome:SL3.0:8:27668749:27670210:-1 gene:Solyc08g023497.1 transcript:Solyc08g023497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPKLQRRNRSRHIDEVINDGYASQQSLLEQPSPPIWHHEQMKEFCCAPKEALNIFGELEP >Solyc04g014910.3.1 pep chromosome:SL3.0:4:5152613:5153779:1 gene:Solyc04g014910.3 transcript:Solyc04g014910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNGTLDKWLYNHNFFLDMLQRLSIMIDVASAIDYLHNGYSTPVVHCDLKPSNVLLDNEMVGHVSDFGIAKLLGAGEDFVQTRTIATIGYITPEYGQDGIVSTSCDVYSFGIVIMEMFTRRRPSDEIFTGEMNI >Solyc10g006820.3.1 pep chromosome:SL3.0:10:1242481:1260254:-1 gene:Solyc10g006820.3 transcript:Solyc10g006820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSETSRTLSSLEEMLEALKQRDENEKPKDCPPALPFRPKLASRTRPPSPKRSMPGTPEKRDIELENGKREEEFKGKLINVFGAKMCKEVDSNSESPYVNSSAQKEYRQRFWENDGAKLDNKLPYSLPKFREDEWDDNISYFIEKKLRVWCHLKNRQWERGQVQSTSGDKASVLLSDGSVVAVPVGELLPANPDILQGVDDLRQLCYLNEPSVLHNLQYRHAQDRIYTKAGPVLIAVNPFKEIQLYGSKFVTAYKQKLLDSPHIYSVAETAYSQIMEDEINQSIIISGESGSGKTETAKFVIEYLVMISGGNNRVESELLQSSYILEAFGNAKTLRNNNSSRFSRVVQLAHGERSYHIFYQLCAGAPSSLRDKLKLKGASEYNFLNQSDSLEIHNVDDAKKFHMLVKALNTAGISERDQEHTFQMVAAVLWLGNITFQAIGNGNNVEVVQCEAVINAASLMGCSANDLMLALSTRKVQTGKDKVVKSLTMQQAIDTRDAMAKFIYANLFDWIVDKINRSLAMDKEKTGRRINILDIYGFESFEEYELDGIDWTKVDFQDNQDCLDLFEKKPIGIISLLDEESNFDKATDLAFANKLKQHLKANPCYRGDTEEFGIRHYAGEVIYDTSGFLNKNRDPVHPDTIQLLSLSSEDLLKLFASSFANQSKKTASSSHIKISDFQKQTVATKFKDVLFKLMQQLESTAPHFICCIKPNNKQVPGMYSNDLVFEQLRSYSLLEVVRICRSGYPTRMTHQEFCIRYGVLLPEDHERKDPLSMSVTILRQYDILPEMYQVGFTKLYFRAGQIAVLEDVRKQVLQGTLEVQKCYSGPHARRDFHELKGVVIVLQSFVRGEIARMQYKDFLESKQKNANKENDEQLVAALQIQSAIRCWLAHRQLNQLQKSKKLNQDRPKPAKKTTEVKQDLPAEILPSVVEDLERRVLVAETTLEEKEKENAALKEQVNQLESRWSDYEARMRSMEEMWQKQMASLQASLVSAKKSLGVDNSASHPGKLEGSPSPCGYESEDTTTTMGTRTPGGSTPIEYASNGVDFGGIREINGGLCVVNYLSREFELRKQNFDDEALAISQLKSGQLQSTSPAEDFRRLRHKFDEWKKDYKARLKETKSKIPMKAQMGLRKSSNKLLTADRGANRIENLYKEHNEQFDHWAFLDQIEAPVWVDLTLECKSAYKDMDEEWFHISHPFHQASSRELKSAFSHSGESSINLEHGIQGSSSPKLPPSVSRSRGKDFRSRQWSQGDQTLTLDKKHHVKHLSKGGLEADKVVEHKTNKKKLTSSAALDSDSACQALYSRDKKISSNSLAAYSDKTRSISSSITSEHGEECYKQELCVSDSSSTITSEACGQKSFEVSGPILGQTTGLLSSLRVSLRKSCVTRQASRMEVNVCRQPEGRKSSSKSRNVTTIVEAKQANKSKVPVQAHNRTSIPKMVTGRTVSSSVSSETSRPKVHPTNVQRKALVPQRANGRVASILVSKPSERIGSSHCRRVVSSGKENDVVRKGISQKSVVKDNQGCSTKFSSSKNENKRSCQSTKSSGFMVNLHNRKEVPDRANVKKKAFY >Solyc01g056880.2.1 pep chromosome:SL3.0:1:57372152:57373203:1 gene:Solyc01g056880.2 transcript:Solyc01g056880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKKDEKFLTGISLRISLEEKWRMSFVMPSKYGSDLPLPKNFYVTIKEVPRKIVAVVAFSGFVNDEEVKARESRLRTALKGDA >Solyc03g033530.3.1 pep chromosome:SL3.0:3:5093715:5096910:-1 gene:Solyc03g033530.3 transcript:Solyc03g033530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQGRRIVIVGDLNIAPAAIDRCDAEPDFEKNVFRQWFRSLLVQNGGRLLDIFRAKHPDRKGAYTCWSQSTGAEEFNYGSRIDHILSARSCLHGEEIQEGHDFVTCNVAECDILMKFQRWKPGNTPRWKGGRSIKLEGSDHVPVYMSLVGIREVLQHSTPPLSTRYHPQVFGSQTLVSMFTRRQTTEQVISEESEIPHIPSQEDFLSTPETYDSRAAQISVLGSQSNSNILPCIATKKKARLGQGSQLTLNSFFQKRTHRSETSSSSFADSKLCQTDISYSQIEPDGVPSSADESGASKDCRSSAIDSNQHKCQLDACDSDKEKRKVALQEWQRIQQLMQNSVPLCKGHQEPCVPRVVKKAGPNLGRRFYACARYIFSQFRPRELTKNIQKNKRLRDLARLSELINLGG >Solyc04g009940.3.1 pep chromosome:SL3.0:4:3255581:3260146:1 gene:Solyc04g009940.3 transcript:Solyc04g009940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTISSNPVQFTHTTSLNARPNCYLKASVPVSNLSFSFFRHEYIRFSVKSRLNKRMVCAAASAAGSSSSSDDSNPYEVLGVNPIEGFDMVKAAYARRRKDAERRGDEATLAQLEKAYDKIMMSQLTKRKQGVTFGSFKVSKEVRYADKQPILPWGPRFTKSDDKDIKINLAISAVFIAWVFINRNAEWKPLQFLAFAFVYRIFEKLKAFEPPTPTFTEEGEDEGRMMRMGKRLLRSLALVFGCIAIASLGYTGLLNLIEYAGGFIPVFLYNNQELLVTGFTAIVLSIIASYYR >Solyc10g051330.2.1 pep chromosome:SL3.0:10:51884824:51888358:1 gene:Solyc10g051330.2 transcript:Solyc10g051330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLHLPPPPIIQILNLLCITRIHYPIHYLEIVTTLLLMITTTTIIVLNCRIHLKKDHNPLHLRHHHLHPHHHPLHHPLPALIHLPLMDTSHHHYQKIDRDSSNNNNHKPLFIGIGVSVGLLLLLMLVFLISLCKKKRRRRPHDQMGLYMDNSYRHKRNDYDDNYSVRSSEHVVKIPPTTTSGRVSSEYNWPLAPPPPPPSMSNTSSSNFSSNQQQTFNVASPSNLSSGFINQRHYSYDDLANATGGFSKSNLLGQGGFGYVHKGILPNGKEIAVKSLKSNSGQGEREFQTEVETISRVNHRHLVPLVGYCIAGSQRMLVYDFIPNYTLEYHLHGSGNPVMNFPTRLKIATGTAKGFAYIHEDCHPRIIHRDIKGANILLDNNFEAKVADFGLAKLAADNFTHVSTRIMGTFGYLAPEYASTGKLTEKSDVYSYGVMLLELITGHRPTDVNSDGDNLVDWARPILNRAMEGGNYDELIDPRLEGKFDRQQMLCMVTCAAASIRHSSKRRPKMSQIVRTLEGDVALLNDLNRESTPGIYGSGESSECDGAGSSYGNIKKSKKSEISSEEYTSSEHGSTGEYVQSKAQLQTQNIPLY >Solyc06g073130.3.1 pep chromosome:SL3.0:6:45194897:45196189:-1 gene:Solyc06g073130.3 transcript:Solyc06g073130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKRELYRVASEAPSTSLPHFLANKSKLFRLPCCTSVGHQEVDRTSNVHLIKTGDRSWTVLLKQAKGCTLTDGARALSKHVNRSSDKYWGSFSGSDSDKNRNALDVIRNLITCSCWMNIHIVPPHGVVFEIRVANGYGARWSKDGSKFIGFLEPYMEDGHSKGWRH >Solyc09g091650.3.1 pep chromosome:SL3.0:9:71354828:71370795:1 gene:Solyc09g091650.3 transcript:Solyc09g091650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHILLCRPFHLPISRQKYSKEHFREREIGEAMDMEFPDAAELEWLEANATYPDEQEDDLEFDDDFLQQPPSPPGSEQIASQPDPKPTLSLPPKPSLLKPQLPINNRKRFLPDDPELSSDDGNVVVITEEKRSRVEDNDEDWLRYSPPKQPEEDGPMVVEQEPEPEEKILAKYALEIDGDCTPVTGLDGERVYAKICRVEDERVKKLEVKEYSTGLIQEPVRALMQRVEHDQFTKVLEASSEDLSEANLPIGAVVNEKLWVDKYAPSSFTELLSDEQTNRQVLMWLKQWDSCVFGVEIKSTTDDVLSSLKRHSLAVQHPRRSSKSSFGNSRGPRIDNENAHNDLHPENSDSDRMKDLWDKKHRKSGPPEQKILLLCGPPGLGKTTLAHVAAQHCGYRVVEINASDDRSSASIEAKIHDVVQMNSVNADSKPKCLVIDEIDGALNDGKGAVEVILKLVSAERKLRAGKENEPEGGNAGQKSSKKKHQKTSLLRPVICICNDLYAPALRPLRQVAKVHIFVQPTVSRVVNRLKYICNRERVKTSSIALTALAEYTDCDIRSCLNTLQFLNKKKETLNVLELSSQVVGRKDATRSAFDIWKEILQKRKVKQSKKSFNCFSSVSNDFETLHSLISHRGDYDLIFDGIHENLLQLHYTDPVMQKTVQCSDILGYSDIFHQYTMRTQHLSLQVYQSPLAMSIHGLVAQIGKPNIEWPKSFQRYRTMSIEKKEILHSWKNKIPPSISRHLSTKSFVEDLISPFLHILSPSTLKPVALHLLSEKEKADLAQLVNTMVSYATTYKNIKSDPSRFMHHGASDVSMLSLDPPIGEFINFKGYDSCHIVLASAVKVFLVHEAERQKILQGSSNLHSPSTGAESQEVLRCENSSTMSKASASLDKTTHFRDPSRQKQFDLPSASGQNKRSAGGTTASGKVGLPEGKKKQFVDSRSFFDRFRKPSDKTPQGNDHAKLKQKLEERDSRPLLFKFNEGYTNAVKRPVRIHEFLK >Solyc11g068410.1.1 pep chromosome:SL3.0:11:53439204:53440750:-1 gene:Solyc11g068410.1 transcript:Solyc11g068410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEREEEMRREMNDAGLQNEDGRASIDIATLDSLGNLLHAHGAPIQFVGKVMTAEAIVVRETLEYAIIKGWKTVKILSDAKNVYDMI >Solyc01g107850.3.1 pep chromosome:SL3.0:1:95143101:95143394:1 gene:Solyc01g107850.3 transcript:Solyc01g107850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKTRLTDFPSYGHMIPTLDMAKLKATIITTPLNESVFSKAIQRNKQDRNEIDIGLIKF >Solyc09g074760.1.1.1 pep chromosome:SL3.0:9:66995048:66995464:-1 gene:Solyc09g074760.1 transcript:Solyc09g074760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTNNILGSFDSSEEGGFKEHDKFLPIANVGRIMKHILPQNAKISKEGKETMQECVSEFISFVTSEASEKCHKEKRKTLNGDDICWAMGNLGFDDYVEPLNRYLHRYRELEGEKANQNKVDIGNKNEESLLGRFYGP >Solyc09g061505.1.1 pep chromosome:SL3.0:9:59564275:59565068:1 gene:Solyc09g061505.1 transcript:Solyc09g061505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSEKLISDDGTGLTDARCFRSIVGLDYLSHTWPDIAFSISMVYRFMHNPTKHHFGAVKRILRYVAGTTDFGIWYSRKSDFRLFGFTDSDWAGCLDDRKSTSGYAFSLGSGFLSWSSKKQETVVLSSSKAEYIAATASSSQAVWLKGLLADTTDGSDKLRSKDLNNVANHFQNRKTSTNYAAKIN >Solyc06g054390.3.1 pep chromosome:SL3.0:6:37287953:37292817:1 gene:Solyc06g054390.3 transcript:Solyc06g054390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNLMNAVAVVRMAMRDPNNSIEAEVAFGTVTWFIYAGFSCFLVLFAGIMSGLTLGLMSLGIVELEILQQSGTPAEKKQAAVILPVVQKQHQLLVTLLLCNAAAMEALPLYLDKMFNQYVAVILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRVLMVICYPIAFPIGKMLDCVLGHHEVLFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKIIARGHSRVPVYSGSPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKLKGKNNKPPLVLDEEKSDDSTVAGENPHVVTPHLTAKGEKTECVVDIEKTTVPVVTPPTSADAVTNGVPEWSDDIEDAEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSIRRLTAQKGTGVQSKEGQNLKKSGEDTSISRRTQGSLGEPLLEKKR >Solyc02g070100.3.1 pep chromosome:SL3.0:2:40503302:40510111:1 gene:Solyc02g070100.3 transcript:Solyc02g070100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREGRVEIEEDEEQTFEELGLDPRLIRALTKKTIDKPTPIQRVAIPLILEGKDVVARAKTGSGKTFAYLLPLLHKLFTQSSSTKNLAPTALILVPTRELCQQVCSEANSLIELCRVQLRLVQLTSSMSVSELRTTLAGPPEIVISTPACIQTCLSNGVIQGKAVQDSLSILILDEADLLLSYGYEDDLKALTSHVPRRCQCLLMSATSSSDVEKLKKLILHNPYILTLPEVGETKDDIIPKNVQQFYISCAARDKLVHVLALLKLELVQKKVLIFTNSIDTSFRLKLFFEQFGIKSAVLNAELPQSSRLHILEEFNAGLFDYLIATDESQSEGKEKVDDQNGSERKKSKKHRKHKLDAEFGVVRGIDFKNVHTVINYEMPQTAAGYVHRIGRTGRAYNTGASVSLVSDEETEIFEEIKSLLGENEDKVSQFIAPFPLLSKNAVESLRYRAEDVGRSITKIAVRESRAQDLRNEILNSQKLKAHFQDNPKDLDLLKHDKMLSKKAPAAHLRDVPDYLLDPTTQEASKIVKLARAAMGNTNPSRGKGSKGRFKRSRDPLKTFSAEAPKRAGKGGMKRKVKDTDNGH >Solyc10g005370.3.1 pep chromosome:SL3.0:10:273164:275098:1 gene:Solyc10g005370.3 transcript:Solyc10g005370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSSLRVSGSGSGTRNSPSPPAISDNSDDSQSQVRRIKASPQLNRWSRARSIRSGRKLDRSAVSTELRDKSGSPVRSEPEIELPIGDSRSWSSDDEKEVNGGKPIFMVSDGTGWTAEHAVNAALGQFDYCLVDRVCPVNTHLFSGIDDVEKLMEIVKQAAKEGAMVVYTLAEPSMAQFAKQACEHWGVASSDILGPITEAIAGHLGVSPSGLSRWAPERQKSPLSEEYFRRIEAIEFTIKQDDGALPRNLHMADIVLTGVSRSGKTPLSIYLAQKGYKVANVPIVMNIDLPSTLFEVNPEKVFALTINPVVLQSIRRARAKTLGMDGQLKTNYCDMDYVKEELEYAGKIFAQNPVWPVIEVTSKAIEETAVIVLRLYHDRKNRCSMPRISKRY >Solyc04g008120.2.1 pep chromosome:SL3.0:4:1795220:1800554:-1 gene:Solyc04g008120.2 transcript:Solyc04g008120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEKSLLLLEEQKTRITNLIDDFLNGLKQIMNKEEEFIASRLDGIEKLRMDLRFLRTFVLFGNSTNLNGFYFRMSTHKFKFNVRTQFLFHRDELILEKYHMERLAPLLLEEIGNCLNLKNDYVATAIDIKFYEYLIRHLHDLPKYRSQLLHHQMTEYKILQQVCRHLIDFYPILAANKTSTEYLYPRFQFTTHRVTQFCFDLWTGHHYVSECSSKITSLLIDIIPLELEVLYISTCKLIKESTSKELEGFVKQILKTSPRILQHYLIHLQGRMAGVVAVNYAPTRSISVMMEFLLIFLTDMPKRFIHREKLNDMLAHAGMLTRKISFLVSKLLEEISEDNINEADFSAPDFLQEIEQMKGDIRHIFLKAPESSQLRFPMDDGFLFMNLLLRHLNDLLISNAYSVFLIKKEIGMVKESLEFLISSFRKVRKTLDESTSGVVKDCWVRALDVAYEAEHVINSILVRDKALSHLLFSLPSVTDKIKLIVEQVTRFQLEDKNGDGPLDAKSSSQPTQSTSSPFVEVTVGHEKEESQIIDQLLDEHESELDVISIVGMPGLGKTTLANKVYKNTLVASHFHVRAWCTVSQKYNKSKVLLEILQQVTASEEEKKVDDLAEKLRTALLDKRYLIVLDDVWDIATGEMLIACFPKVKRGNRVILTSRSSEVGLKVKCRSDPLNLELLTPEESWDLFEKRVFGDEGSCPAELSKVGHQIVEKCKGLPLAIVLIAGVIVRGKKKEKDFWLKILHNLDSFISTNINLVMQLSYDHLPCHLKPLLLYFATTQKSQRTPVSTLMQLWMAEGFVDHDSLEEVTQSYLDALISSSLIMVDHIPSESIWWMPSMIKVCYVHDVVHDFCSEKAKKQKFLKLINSGDTFHASDFLHHRLTIHTDNRKLHKKCALFNSNKCLAVSKHLISLKVSGPLDDFRYICHTRPFGLVRVLQLDFIVLKGSLIEEIGSLFHLRFLSIHALGKAIPVSWLNLQNLETLFINIHYSTMVLLPRILQLSKLKHVKINVCSFFEEKEDIQSRILESGNSSNLTTLSGVVISYSEGMSDDALEKFPILQHLDCIIMESQYPPTHDYWFPKLDVLNKLESFIARHKRNEYPSLIRQPNGYHFPTSLKELRLSGFLLRPDLLSAIAALPKLDITELNHCNFVDNKWDASEDIYLSLKTLILRDVQLSEWQVESDTFPKLEKLILKLCALCEIPCAFIDIDTLKSIDLSYVGRELGDSAIEIKKNVADFTGEDRLDVHTSHLTGNQHEETEDEDESD >Solyc02g032520.1.1 pep chromosome:SL3.0:2:29033551:29034524:-1 gene:Solyc02g032520.1 transcript:Solyc02g032520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVDKDSRISMLLFSSMSGIIDSRLPTGRAKPIQYLNAAKLACNTKVKDIKSICPNIDERSIPSICMDFVYEYTLLVDDLKIGRPAS >Solyc04g008620.3.1 pep chromosome:SL3.0:4:2230234:2248207:-1 gene:Solyc04g008620.3 transcript:Solyc04g008620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSGQKGANGLNIFKWRRHGESSLRTGLLDDVHPEIELSDYRRAPSPGSESPSGLLNGESVSVEPISDLDLFFERLYNYYCEKGLWCIIIKWIFELLSLAFTIFFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLANEALHLHPLKPLTLFKGTVLGYLGIFSVYWIFCFLRFFAQLRETLAIRQFYCRSLHVTDKEIQTIPWASILERVVQLQELQQLCVVKNLSIHDVVMRLMRKENYLIGMLNKGLLSFPISHWVPGAGPTISCGPNDVRSRLILPKTLEWTLNWCILQSMFDRNFCIRRDFISDPKTLKKRLMIVGVLMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWMFREFNEVDHLFKHRINSSAVHASDYLKQFPSPILSIVAKFISFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAITDELLVLDPQGAMSLVVQHTHFMPKRWRGKENTEAIRTEFETLFQYTGMMLLEEMTSIFLTPYLLLFVVPKKVDDILRFIADFTVHVEGVGHVCSFSVFDFQNHGNRKYGSPFNSSRLQRSSQGKMEKSFLSFQTSYPSWQPDDHGKQFISTLKTFREQKLQLHEIGPAYRPSELQHWNPDFRGLSNRNNLFSREMPLNNLGAGFGSMWLIDGGQRNYPYILDWYYTSHPHNTSSDSRGIESRPLHTDNNEHLKDPWMPPHFVQSKDIVEDNWGHLFEDRAQSHLEATTSAPVLRESILHQDDSSSMAQSMRSQWWTRSRPQVTNPQTSFLEPPNFNSNPHDYYENFSDRSRPQVTNPQTSFLEPPNFNSNPHDYYDNFSDRISAITPDFRKVFWVYPSPCPCKSNGSSSVTRRRSRGKLGLDSSVRYRIRAKWVIRACVNGGDHHSFDMQIRDSARRGARNIVIKRFADELDAYGRVSEELEASRCSSNNFASFQEDPIVDKLRTQLGVMHPLPSPPINRNIFGLFALFFFVGVVFDKVWASRKSNAKPNNGGNSGIWSQVPPNLSSLLEKDLQRKESVEWVNMVLGKLWKVYRPGIENWIIGLLQPVIDNLKKPDYVQRVEIKQFSLGDEPLSVRSVERKTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGVIPISVPVGVRNFDIDGELWVKLRLIQTEPWIGAVSWAFVSLPKIKLDLSPFRLFNLMVVGLSSYIFYGYFRFLKKLLTEDLPRLFVRPKKIVLDFQKGKTVGPIPSDRKSGQSEQPKAGEMQEGNKDYAGELSVTLVDARNLSYIIYGKTDPYVNLRLGDQVIRSKRNSQTTVIGPPGEPIWNQDFHMFVTNPRGQKLYIEAKDSLGFTDLTIGSGEVDLVSLEDTVPTDKIVILRGWGLLGPRPVGEILLRLTYKAYVEDEEDERIEARSKYLDASDDESSDFDDRDTAVYEQRGESVSSGTDKESFMDLLAALIVSEEFQGIVASETGNTKSVDDFQTREPTSRQRTPAKSVQQTSDIVPENLGESPLFWLAIVTSISVLIALNVSGSSIFNP >Solyc08g075400.3.1 pep chromosome:SL3.0:8:59663418:59665997:-1 gene:Solyc08g075400.3 transcript:Solyc08g075400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTRIFGNCCRALMAAAKTSAATETTAAATATVTKARGRPTGILKPQPVSTALGSFLGTKESSRADAVKKVWEYIKTQNLQNPTNKREIHCDDKLKTIFDGKDKVGFLEIARLLSQHFRKAA >Solyc02g090505.1.1 pep chromosome:SL3.0:2:52677926:52682560:1 gene:Solyc02g090505.1 transcript:Solyc02g090505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLRFSSPGCAPNFLWLSYVQGPSISSQYICKHTHYALVCNVVREDVVLLLRLKCTTRMKITGFDAIVSADALKSLKPAPDIFLAASRILDIPNSEVDSRMCNAVLLPPITIFFFLDTFMWVSMLGQKMLGFQLTSLGAFDAEETVMLTRNLDQSFKLFEKFFDIYVKVSMLDRYQASLS >Solyc12g019550.2.1 pep chromosome:SL3.0:12:10628128:10629267:1 gene:Solyc12g019550.2 transcript:Solyc12g019550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHTKVMAQLVSPTAATTLTSLSIKKNSRLSSFKVLAFHQGELAAKINVSRRSLALSLAGVAVALNAGNNNANAAARRPPPPPPTEKKDPNVSGVLAKVLASKRRKEAMKESIAKLREKGKPVKEVPSE >Solyc12g027760.1.1.1 pep chromosome:SL3.0:12:26495203:26495379:1 gene:Solyc12g027760.1 transcript:Solyc12g027760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRGPCEVPYHINRDKVFQPSNLSLKNLSCSPLPEYNCNFFKNSLPKLQIYFQSAIP >Solyc01g008460.3.1 pep chromosome:SL3.0:1:2476607:2481622:-1 gene:Solyc01g008460.3 transcript:Solyc01g008460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFQNLRLAHRLTGYVSRSTCELLLKIGCRGYCTEISNRVRNLFPLNYISAPLACIPRTSYTDLLRYSSTQGLRHEQFCTTAGNEEMQKISVTFVDKDGEENHIKVPVGMSMLEAAHENDIELEGACEASLACSTCHVIVMDMEYYNKLEDPTDEENDMLDLAFALTDRSRLGCQVIAKPELDGIRLALPVATRNFAVDGYKPKPH >Solyc06g082920.3.1 pep chromosome:SL3.0:6:48590216:48595531:-1 gene:Solyc06g082920.3 transcript:Solyc06g082920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPLTKMALDKRALTTFAAILIYLVLSCAVCSAVQSDIDCLKSIKDSFEDPLNFLNTTWKFDNQTEGFICKFAGIQCWHPDETRVLSISLPDMRLKGKFPRGLKNCTSITSLDLSSNELHGSIPNDISKIIGFVVMLDLSSNNFSGEIPVNLANCSFLNSLRLDDNQFTGPIPAEIGLLGRLKNFNVANNRLTGAVPRFTNATFPAEIYANNAGLCGPPLTLCEDIAKKPRTGIIVGAAVGGVTLGAVLLTIGMFLYMRKISRKRKNADDPEGNKWAKSIKGSKAIQLSMFEKSTSKMRLSDLMKATNNFSKNNIVGSGRTGTFYKAVLDDGTSLMVKRLQNTQHSEKEFTSEMATLGNVKHRNLVPLLGFCMAKKERLLVYKDMPNGTLHDRLHSLSEGEKTLEWPMRMKIAIGAAKGFAWLHHNCNPRIIHRNISSKCILLDVEYEPKISDFGLARLMNPVDTHLSTFVNGEFGDFGYVAPEYARTLMATPKGDVYSFGVVLLELVTGETPTSVAKAPETFKGNLVEWITQLSGESKLQDAIDHSLSSKGYDSEIFQVLKVACRCVLSAAPKERPTMFELYQLLRAIGERYHFTTDDDIMMPESDSGFQMDELIVAQ >Solyc01g065640.2.1.1 pep chromosome:SL3.0:1:71946693:71946919:1 gene:Solyc01g065640.2 transcript:Solyc01g065640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGYKVSNAPRIFVFLILDCRSYFF >Solyc04g017720.3.1 pep chromosome:SL3.0:4:7793995:7795271:1 gene:Solyc04g017720.3 transcript:Solyc04g017720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTLSLVLILPLLIMLLLVGTHAKIIIESPAPQPQPPNTLPMNGTTPGSLHPQDCLPKCTYRCSNTQYRKPCMFFCQKCCAKCLCVPAGTYGNKQFCPCYNNWKTKRGGPKCP >Solyc10g007680.3.1 pep chromosome:SL3.0:10:1934944:1939667:-1 gene:Solyc10g007680.3 transcript:Solyc10g007680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRLLKHGRTPAGRLPRRWMSSGSHSKTTVMSFGDGSHGALGLPSSIIGMGSDAYEPTPIPGLPPDVVSVAAGHFHSLAVTSEGHVWAWGRNNEGQLGRDPLSPRETWNEPKRVEGLHKVRVQTAFASGVISAAIGDDGSLWVWGSSKRGQLGLGKGITNTALPLKIETLSGEDIVKVSLGWGHALALAKNGNLFGWGYYADGRIGKIGRELEISPLESNSIKPRSRGESSAIEAAEKSVMEAIEKEKDMPIIWEPGSIEELHELKVADVACGLDHSLVLCRDGMLFSGGSNVYGQLGRAIKDLGMQPVDINFLPLSIASGLGHSLAVCNDRSSEPTGNATSVVSWGWNKSSQLGREGPDNTPQVVEGLIGESPVSVSGGRAHSLALTAKKELWAWGCGRNGRLGLGSSADEAEPMLVEYLEGSDVIQAVAGLDHSLVLVSE >Solyc06g005370.3.1 pep chromosome:SL3.0:6:380945:384759:1 gene:Solyc06g005370.3 transcript:Solyc06g005370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLEQPKRRVAFVLIDGIGDVSLPRFGYKTPLQLAKIPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSPGDIAFKSNFATLDEATGIVVSRRADRHFEEEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVIKGPKLSGNISGTDPLKDNRLLLQANPLDDTDEAKHTAAVVNELSKEISRILLAHPLNAKRAAEGKNVANLVLLRGCGIRIEVAPFEKIHGLWPCMVAPTKIIAGLGLSLGIDILEAPGATGDYRTLLTSKATAIARALSAPLQSCPNVFVPGEDEHKPGRLDGYDFGFLHIKAIDDAGHDKASVFKVKGLEAVDCAIGQLARLLWEAESTGKFSYYLCVTGDHSTPVEYGDHSFEPVPFALCSLKDFVSALGGESVLSGISLDPFPLPSIQAGEDVDTGTRIEEDKNNKLQFFSGDLVDKFSEIAAARGCLGRFPGSEMMGIIKAYLKLEA >Solyc02g085830.3.1 pep chromosome:SL3.0:2:49255668:49263135:-1 gene:Solyc02g085830.3 transcript:Solyc02g085830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFGGKFKASKVTTLSNLAISRISILKKQHQVRCSLARSDVTQLLHLGRQEDALHRVELVIKEQNTVDALTMMEKYCHLLIEKRVLIKTKGECPEELAEAISSLIYAASRWGEFPELHELREVFTSRYGNEFAAQCVELRNNCSVQPKMIPKLSRRHTGSGKRRDMLNDIAADCGITLCVEAETCHISQSKPEVNSENECAKGEEGS >Solyc06g062845.1.1 pep chromosome:SL3.0:6:39761032:39763664:-1 gene:Solyc06g062845.1 transcript:Solyc06g062845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCMLRSSFLSPNHNLHQQSSPKSNRASFFTPIKATSSTDDAISKSPQLQKHRRPADENIREEARRDVSSHNFSARYVPFNADPNSSEWYPLDEIIYRSRSGGLLDVQHDMDALKKFDGQYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNLFWAERYGKQFLGMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMHKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFEWEVPDWVIVPGGNLGNIYAFYKGFHMCKELGLVDRIPRLVCAQAANANPLYVHYKSGWKDFKPVKANTTFASAIQIGDPVSIDRAVFALKKSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALSKLRKAGVIAPTDRTVVVSTAHGLKFTQSKVDYHSKEIKNMECRFANPPVQVKADFGSVMDVLKKYLLSKNSKF >Solyc01g110530.3.1 pep chromosome:SL3.0:1:97110324:97114771:-1 gene:Solyc01g110530.3 transcript:Solyc01g110530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4B3R6] MASNQVRASHILIKHEGSRRKSSWKDPEGRIICNTTRDAAASQLKSFRDDIISGKSKFEDVASRFSDCSSAKRGGDLGPFGRGQMQKPFEVATYALEVGEISDIIDTDSGAHIILRTG >Solyc11g011560.2.1 pep chromosome:SL3.0:11:4629013:4631395:1 gene:Solyc11g011560.2 transcript:Solyc11g011560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKMKKGVSNIYEFHSFLDPGCPITPMGPFRDNIRYFLQECAEVKEYTVEGMPIWCTFLVHKSKGVLIPLYTIEENVKSSVRTFCDHCRCAGWSHHLVSKRKYHLIIPADSEWKKHLDDGVFDDQTHILHGLIHSNGFGHLISLNGIEGGSKYLCGREVMDLWERICTSFRARKITVEDVSRKHMMDLRLLYGVAYGHTWFGRWGYKFSHGSFGIMEHHYEKAIEMLSSIELDQVIDDFRYISRSNVMKQVIACYRGLSNTPLITVRDLFRFILVVKSCNSVKEKPNVTAPVLRACSARYLIRNAAPNKSVGKEKSVRYRKFSNVAASLDSRWPVRRLEFTADVIVEALREKREAIRFGSCGMSRQEARDAARLHIGDTGLIDYVLKSMNNVIIGGYVVRRAVNRATRVLEYTIQELRNCDQPEQEKLPEPFQDYNVNPGADAYTDVLCLYNNLLLSFAESDELSLAVRIVLDSKQFLKEWPFSDDPNDSLLRFICCILPNSNGLEAVFTKGYPPGEVVEVPLHSTIGDLKIAVESAMRDTYCIMDNLMITDIVGMEQLEDYEVLFGIVQSGSELWVRGFGLDLDSELKNEGGSDNWTVNCRCGARDDDGERMVSCDICEIWQHTRCCGIEDSEVVPPLFVCEACCTSLAPPRAQNSFEFGHYGTAAALVPCASHFGMDLIY >Solyc01g109100.2.1.1 pep chromosome:SL3.0:1:96057869:96058366:1 gene:Solyc01g109100.2 transcript:Solyc01g109100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVDACVCEITKISEKVRAKSHFLLLKKKKTGENVEESKKRQKFSSASEMAESKNDNTMCEATMFLLMDRFAPC >Solyc01g014010.1.1.1 pep chromosome:SL3.0:1:10877002:10877277:1 gene:Solyc01g014010.1 transcript:Solyc01g014010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNIDFLNAELGVVAEREKNIVVEIAALKEAENKRNAALQAQPTFLLNQERYFPHVLQAVMIELIMSVMIMIRVIRRVTVIRSTYIYLTF >Solyc07g052780.3.1.1 pep chromosome:SL3.0:7:61351931:61352413:1 gene:Solyc07g052780.3 transcript:Solyc07g052780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASSSKVCKYDIFLSFRGEDTRRTFVSHLYNALEQRGFHAFKDDERLEAGQSISAELLKAIEDARFAVVVFSKSYASSRWCLEELAHIIKCKMELEQVVIPVFYDVSPSDVRHQNSPFADSFFQHEVKYKDDMEKVQRWRGEFAEAGKISGYHLLNFK >Solyc01g087820.2.1.1 pep chromosome:SL3.0:1:82577802:82580412:1 gene:Solyc01g087820.2 transcript:Solyc01g087820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBT4B protein [Source:UniProtKB/TrEMBL;Acc:Q9ZS44] MESRMEFRYFLHLLFLSTHMFCFLTIAQRSTYIVHLDKSLMPNVFTDHHHWHSSTIDSIKASVPSSVDRFHSAPKLVYSYDNVFHGFSAVLSQNELAALKKLPGFVSAYEDRTVEPHTTHTSDFLKLNPSSGLWPASGLGQDVIIAVLDGGIWPESASFQDDGMPEIPKRWKGICRPGTQFNTSMCNRKLIGANYFNKGILADDPTVNISMNSARDTNGHGTHCASIAAGNFAKDASHFGYAPGIARGVAPRARIAVYKFSFSEGTFTSDLIAAMDQAVADGVDMISISFGYRFIPLYEDAISIASFGAMMKGVLVSASAGNRGPSVGSLGNGSPWILCVAAGHTDRRFAGTLTLGNGLKIRGWSLFPARAYVRDSLVIYNKTLATCDSVELLSQVPDAERTIVICDYNADEDGFGFASQIFNINQARVKAGIFISEDPTVFTSSSFSYPGVVINKKEGKQVINYVKNSASPTATITFQETYMDGERPAPILARFSARGPSRSYLGIPKPDIMAPGVLILAAFPPNIFSESIQNIELSSDYELKSGTSMAAPHAAGIAAMLKGAHPEWSPSAIRSAMMTTANHLDSTQKPIREDDNMIATPLDMGAGHVDPNRALDPGLVYDATPQDYINLICSMNFTEEQFKTFARSSANYNNCSNPSADLNYPSFIALYPFSLEGNFTWLEQKFRRTLTNVGKGGATYKVKIETPKNSTVSVSPRTLVFKGKNDKQSYNLTIRYIGDSDQSKNFGSITWVEENGNHTVRSPIVTSTIIEVWGSED >Solyc09g061790.1.1 pep chromosome:SL3.0:9:60561017:60561946:1 gene:Solyc09g061790.1 transcript:Solyc09g061790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTPDEDILVTLVRGLGHARIIKVVSKFEKKSILKQYDSILDVLVNEDNDINGKVGRARSLMRELVEPSDATFNILISAYCGEGNLVQALVMLENSFRKGYIPDVIAVPKVVELLCNNGRVSEALEVLEKVEERGGIVDVVAYNTLINGFCRLGKVKVGCRLLKETELKGCMPNVDTYNGLISSLCDSQDLDSALEMFNEMKRRGVGINWNFVTYDTLIHGLCWGGRVENGLKILEVMKDDK >Solyc01g105410.3.1.1 pep chromosome:SL3.0:1:93482095:93482919:1 gene:Solyc01g105410.3 transcript:Solyc01g105410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVQEQPLVLKYHNGALLKGTVTVNLVWYGKFTPAQRSIIVDFLQSLNSPKAPNPSAASWWKTTEKYKTGASTVTLGKQILDENCSLGKSLKNSHIVYLASKGGYMGRSVNLVLTAKDVFVEGFCSRCGSHGSTRGKVRFTYAWVGNSEIQCAGQCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINVATVLAGTVTNPFNNGYFQGPATAPLEAVSACTGMFGSGSYPGYPGQTLVDKSTGASYNAHGVNGRRFLLPAMWDPTKSACSTLV >Solyc03g025510.3.1 pep chromosome:SL3.0:3:2923983:2929372:-1 gene:Solyc03g025510.3 transcript:Solyc03g025510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLCGLPPLLECVYGVACARWAWKRCLHSAGHDSENWSVATAEEFEPVPRLCRYILGVYEDDLRQPQWEPPEGYGINPDCLIVKKNYPDTGGRAPPYLLYLDHDHADIVLAIRGLNLAKESDYAVLLDNKLGKRKFDGGYVHNGLLKAAGLVLNAECEIMKQLLEKYPNYTLTVTGHSLGSGVAALLTMVVAQNLDKLGNIDRKRIRCFAIAPARCMSLNLAVRYADVINSIVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCISEEKMLKDPRRLYAPGRLYHIVERKPFRCGRFPPVVKTAVPVDGRFEHIVLSCNATSDHAIIWIEREARRALELMQERDHVKEIPAKQKMERQQTLTREHNEEHKAALQRAVTLAVPHAFSPSHYGTFDELEEEQSDTSVRDSSPGSSSRSKTKDNWDELIERLYEKDESGHKILIRSRSQ >Solyc05g056520.3.1 pep chromosome:SL3.0:5:66628545:66641234:-1 gene:Solyc05g056520.3 transcript:Solyc05g056520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPFCHFLLFWYNSFTVSGRVHIESSPPPTMSEIETADELQRREFEEALEVKSLRRIISAYLNYPEAAEEDVKRWERSLTKLPPHHKDLLSHLPAKFQKLRWCITENSYFIFEMLKMFEPPLDMSQDVDIREDQHLDDVSGSHHFSRSRNLCLCESTSTSGGVDCHCLAEPSSKETCNGKYPSPFNKEQEVDDCKSPPDQDTLYASACCNGKVSSSPPEWLDPSLQLHVPLVDVDKVRCIIRNIVRDWANEGQKERDQCYRPILEELERLFPNRSNENPPACLVPGAGLGRLALEISCLGFASQGNEFSYYMMICSSFILNHTQAAGEWTIFPWIHSNCNSVSDNDQLRPVSVPDIHPASAGITEGFSMCGGDFVEVYSDPSQAGVWDAVVTCFFLDTAHNIVEYIEIISKVLKDGGVWINLGPLLYHFADMYSPEDEMSIDLSLEDVKRVALHYGFIFEKESTIETTYTTNLRSMMQNRYYAAFWTMRKKTKVTL >Solyc01g011195.1.1 pep chromosome:SL3.0:1:8019593:8021601:-1 gene:Solyc01g011195.1 transcript:Solyc01g011195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWIRLCGGSENGLRIAPLTICYNGSIAKFNVSKDEEETNSVDDLRIDFDEICVGCHRTVIEVKFAGIPSPKIYLAPALATATSRERNYSYG >Solyc02g030435.1.1 pep chromosome:SL3.0:2:26325290:26328207:-1 gene:Solyc02g030435.1 transcript:Solyc02g030435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVISANTSPPTTIEKDGQQRPKSIHHVTEHLLPEVVEPTIVGQGLAHPEWRSAMSDEFNALIKNCTWILVPPNSQQNLVDCKVKRNAEGSLLKYKARLVAEGYNQRSKTDFDDLIVTSNNSFFNKRFIQALGVHFSLKDPHDLSLFLGVDVHKTSKGILLSQHHYVQQILQAANMECKKEALEPTRYRQLFGSLQYINLTRSDIAFIVNKLSHFIHCPNHHHWLDLKRLVRYPKSTIVFGHHITPQSSLKFHVFSNADWAAGVPKSRQQLLDRPLKLNTGSLLQQLSRFNGLNPFLKNWDQVADLLTKPLSKIQFQLNRSKIGLLNRSSILRGSVKNNPIQSNSKSKG >Solyc10g007550.3.1 pep chromosome:SL3.0:10:1845007:1855277:-1 gene:Solyc10g007550.3 transcript:Solyc10g007550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DEAD-box RNA helicases protein [Source:UniProtKB/TrEMBL;Acc:K4CXT4] MDESKEETKSFKELGVCDQLIEACDNLGWKNPSKIQAEAMPHAFEGKDLIGLAQTGSGKTGAFAIPILQSLLDSPHAFFACVLSPTRELAIQIAEQFEALGSGIGVKCAVLVGGIDQVQQSIALGKRPHIVVATPGRLLDHLSNTKGFSLRTIKYLVLDEADRLLNEDFEKALDQILNAIPHERRTYLFSATMTKKVRKLQRACLRNPVKIEAASKYSTVDTLKQQYRFLPAKYKDCYLIYILTEMSGSTSMVFTRTCDATRLLALMLRNLGLRAIPISGQMTQDKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVINYDIPTNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYIQIEKLIGKKLPEYPAEEEEVLLLLERVTEAKRISLMKIKETGGKRKHRGGGDDGDDQEVHKYLGVNKKGKQSKKPKRK >Solyc03g114000.3.1 pep chromosome:SL3.0:3:65533500:65535843:1 gene:Solyc03g114000.3 transcript:Solyc03g114000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFGGTTQKCKACEKTVYLVDQLKADSRVYHKACFRCNHCKGTLKLGNYNSYEGVLYCRPHFDQLFKMTGSLNKSFEGGPRTVKERSLDKAQANNKVSALFGGTQDKCVACKKTVYPLEKVAVDGTSYHRPCFKCSHGGCVISPSNYVAHDHKLYCRHHHTQLFKQRGNFSHMEDHEKIKGVIENGKA >Solyc11g044430.1.1.1 pep chromosome:SL3.0:11:32995231:32995587:-1 gene:Solyc11g044430.1 transcript:Solyc11g044430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSTREVMAEAQDTKTTKNDSGGTFAPKDRAIDSRSSGKGGKLKSCLKKPPGEKGPTTDGGNGSNRGTPRVKFMLGAEDNINRDRGEQMNDIRNVNNTSSIADGSASFTSNINNYTS >Solyc05g007400.1.1.1 pep chromosome:SL3.0:5:1992678:1993820:1 gene:Solyc05g007400.1 transcript:Solyc05g007400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSVLSLLLHDELITEILLKLPVKSLSKFMCVSKSWLQLISSPDFVKNHIKLTANDKRYIHHRLIFRKTNDDFKFCPLLPLFTKQQLIEELFHIKRSSLSTHIVGSVNGLICVVHGKKEAYIWNPTITKSKELPKFTCNLCSGYIRYGFGYDESRDDYKVVFINYPYNHSSSSDMTTVVNICSLRTNSWTTLHDQLQGIFLLNQYGRFVNGKLYWTSSTRNNHYKVCNITSFDLADGTWGSLELPSCGKDDFDINLGVVGSDLSLLYTCRRGAATSDVWIMKHSRVNVSWTKLFTIKYHQNIKTHRCFAPVFTFSIHFRHGEILLLLHSAIIIYDGSTRQLKHTSDVTQCEEIYVESLVNPLTISDQGRRNQESPQSS >Solyc02g092720.1.1.1 pep chromosome:SL3.0:2:54348646:54349563:1 gene:Solyc02g092720.1 transcript:Solyc02g092720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKITRESEEIVLLEEQYDELEDEYDEEALSLCDLPNNEEDEKKEEVSRSTSVEGGQLEDFDFSSSGGNLLKESEMCTADEIFYKGQILPLRHSISLPSDRRNCHDTNSSSRSSSIRSQRSSSSGSSSNFNTKYQPKIRNQFHSHPSPTPQVRFSKVSFQSNVNNSTRKSALWSLFRVGLVTPPEIALQDLKNRGNRDISGSRNSTSSSSSGSFGNNDNKMRIKKTKQRFSLGSCKCSANVVETVPIVNSRSTIKKIRKATGDHEHEDKKDSSENIKSTKKQAMSRHRTFEWLKQLSLEGPSDET >Solyc06g034020.3.1 pep chromosome:SL3.0:6:23600883:23605065:-1 gene:Solyc06g034020.3 transcript:Solyc06g034020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase A3 [Source:UniProtKB/TrEMBL;Acc:G3K2M3] MLILKITTITNPSLLHPFIKILPISQKMNSPRMNSWLNKFGFGARTESSIDSSSSEIPQGPDHEVPDPGQQFAQFGAGCFWGVELAYQRVAGVTKTEAGYSQGFVHNPRYEDVCSGTSNHSEVVRVQYDPNECSYESLLDVFWARHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKAALESQDKQQKILNRKIVTEILPAKKFYRAEEDHQQYLAKGGRFGSKQSAGKGCSDPIRCYG >Solyc01g080360.3.1 pep chromosome:SL3.0:1:79446238:79463213:1 gene:Solyc01g080360.3 transcript:Solyc01g080360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSCCIRALWILTNQDTVVFSRRFPVVEKRWRAACERSKSFMEDDLKYNVVPSLPTDSEIADAFVDRKKREGSARGFGIRINQSVEGSDSWVDDPITRHIISLCTKNEEEKKLVLWPLILHIKGHYCILVLPLVEPDHLKTYTRMCKRSDCGNAVGADESLSPLLLNLPSITGAFMVGHMIGDIITGDVTEPEIVISASPSVGGLLDSLTGSIGISARAKPVAAPVAGSTASGAAASGAMASDAPKIGLRSLDRDAIRSFISSAMPFGTPLDLNYTNISAVKMNGFSSADIPPADQKQPAWKPYLYRGKQRILFTIHETVHAAMYDRDEIPDSKKISGQVNCRAELEGLPDVMFPLIGLDTARVELLSFHPCAQVPEHGNEKQSLMFSPPLGSFVLMRYQAFCGMGPPIKGFYQLSMVSENEGAFLFKLRLMEGYRAPLSMDFCTVTMPFPRRRVLSFDGTPSIGTVSVAEHLVEWKIITTGRGISGKSVEATFPGTVKFAPWQPQRLPTSGAVLGNMEDEESDAETESTNNMANVEDFLMEKMNKDLQAVDLEEPFCWQAYDYAKVSFKIMGGSLSGMSIDPKSVSIFPAVKAPVEFSTQVTSGDYILWNTLGKCPVAATPKA >Solyc12g044600.3.1 pep chromosome:SL3.0:12:60572333:60580301:1 gene:Solyc12g044600.3 transcript:Solyc12g044600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:K4DFE6] MFSLNGTSFTNNSLSGVSRCLNQSSRRVSAPMVVVAAVNSNGKPGDGSVSVLVENALTESPAPVEMEVKSTVTGGVQDVYGEDSATEDQSITPWTLSVASGFSLLRNPHYNKGLAFSERERDTHYLRGLLPPVVISHDLQVKKMMNSIRKYDVPLQRYMAMMDLQEMNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKIHEVLKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSACLPVTIDVGTNNENLLNDEFYIGLRQRRATGQEYSELLDEFMYAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTSHLVFNDDIQGTASVVLAGLMAALNLVGGSLSEHTFLFLGAGEAGTGIAELIALEMSKQTGIPLEETRKKIWMVDSKGLIVKSRMEMLQHFKRPWAHDHEPVQELVNAVKSIKPTVLIGSSGAGRTFTKEVVQAMATFNEKPIIFALSNPTSQSECTAEEAYSWSEGRAIFASGSPFAPVEYNGKVYASGQANNAYIFPGFGLGLIISGAIRVHDDMLLVASEALAEQVSQENFEKGLIYPPFSNIRKISAHIAAKVAAKAYELGLATRLPQPKDLVAYAESCMYSPAYRSYR >Solyc01g091290.3.1 pep chromosome:SL3.0:1:84813529:84816409:1 gene:Solyc01g091290.3 transcript:Solyc01g091290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSDSETSSHGGEYKNFKQISRDRLLHEMLRTSDSGDSRSSWKVLIMDKVTVKVMSSTCKMADITDQGVSCELRMQ >Solyc06g071940.2.1.1 pep chromosome:SL3.0:6:44462026:44463277:1 gene:Solyc06g071940.2 transcript:Solyc06g071940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFFFNQYCYVITHFRCILLCFYHCRGYSNCPIISKCVTRDWWLHPWPYVHGMNCYTNFRVFWL >Solyc05g014970.3.1 pep chromosome:SL3.0:5:9175142:9188009:-1 gene:Solyc05g014970.3 transcript:Solyc05g014970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Urease [Source:UniProtKB/TrEMBL;Acc:K4BYL5] MKLAPREIEKLMLHNAGYLAQKRLARAQLLNYTEAVAVIATQVLEFVRDGDKSVAELMDIGRQLLGRRQVLPTVPHLLDCVQVEGTFPDGTKLITIHDPIACENGNLDLALHGSFLPVPPLEKFPVIEDSKIPGQMCFGGGLIVLNPQREAVILKVTNTGDRPIQVGSHYHFIEVNPSLIFDRKRAHGMRLNIPAGAATRFEPGETRSVVLIGISGKKVIRGGNAIADGPVDDAKVMTLMEALSEGGFGHLEETNPREGVVGEESCFSFSMTHEEYAKMFGPTTGDRIRLGDTDLFAEIEKDYGIFGDECVFGGGKVLRDGMGQACGYAPADCLDTVITNAVVIDYTGIFKCDIGIKDGHIVSLCKAGNPDVMDSNAIIGVNTEVIAGEGMIVTAGAIDCHVHFICPQLAYEAISSGITTMVGGGTGPAHGTRATTCTPGHVHMELMLQSTDEIPLNFGFTGKGNSSKADGLHEIIKAGAMGLKLHEDWGTTPAAIDMCLSVADQYDIQVNIHTDTLNESGFVEHTIAAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVIPSSTNPTRPFTSNTVDEHLDMLMVCHHLSKNSREDIAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVICRTWQTAHKMKSFRGPLDIDGSDNDNFRIKRYIAKYTINPAIANGICQYVGSVEVGKLADLVVWKPSFFGAKPEMVIKGGVIAWSNMGDPNASIPTPEPVTMRPMFGAFSKAASSNSIAFVSKVALDAGIKDSYRLNKRVEAVTNVRNITKLNMKLNDALPDIKVDPETYTVTADGTALTCPPATTLPLSRNYFLF >Solyc09g018210.1.1.1 pep chromosome:SL3.0:9:13571993:13572412:1 gene:Solyc09g018210.1 transcript:Solyc09g018210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVAPHTIITDQDSAITNAAATVIPNTKHHFCMWHITKKIPKYVSHVYHQYADFSSKFSWCIHGINITEEFETMWIEIMEMYNLGENTMNFSHAMGESYIEILLNNPHPMEYINSFLAINLFDEALNWLQRAYAIYEK >Solyc03g032230.1.1.1 pep chromosome:SL3.0:3:4774392:4775408:1 gene:Solyc03g032230.1 transcript:Solyc03g032230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTNSKSNHFRSISLPGRSHSNHVRSITSITGCSHQTTEKLKEAINNLKALEVSNTSSAEVMYNGLLGLEELYKCVNALLNLPQTLQAFSQYRLGKMVEDLLDKSVRLLDLCGTTRELVLQYKENVRDLQSSLKRRKKDSTTQSSITKFTSFSKKIKKEAKRLVVVLKQMDQETERALVPKDADHDTVDMIKTLKEANAMRISMFQMFLCFLSVPLLKPKVSKWSLVSRLLINKGRLGCEGQEEKMDLETRLEPFEAHLDNFENGLEGIYRCMSRSRSSLLNILKELGFSTPMWFNLELSKEKKETTVEKLFGEYMCLFRKSPNVRTSRYKSVRSF >Solyc02g032665.1.1 pep chromosome:SL3.0:2:29427659:29431000:-1 gene:Solyc02g032665.1 transcript:Solyc02g032665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPVGKISEVLEGAAFFSKKGNQSHNNGIGFNSTRSFHGEGSNSGGNHNGRVSSAGGSKPQKRESVENSSSYDRTSQEIVGTVAQSPVLPSFTPEQYQQILHLLNKINYDSSPTIQTANAGTQRSTLVNDDLSNGMVKGIGIEEQGLYILKSSSEKSAIYVTGNSQTLINKAREDLQHSFKMKDLGELKLFLGIEVSRSNEGIVMCQRKYALELVVETGMSGAKPASTPFEMNQKLTSTEYDKHVSSKAEISLKPIYAFSKRSHMEAAIRVVRYIKGTPGMGLLMPAGTTNQLMAYCDSDWGACMETRRSVTGYLVKFGGAVIS >Solyc03g043730.1.1.1 pep chromosome:SL3.0:3:7264674:7265036:-1 gene:Solyc03g043730.1 transcript:Solyc03g043730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRKWKPMAMVERYMEYNECAKTQFHMLTRYRRLLTRDRLAKMGICEERECLSCGCKPESIEHIFFECEYSMKCLMAVLEWIYKELNWREYGGDYQGKPKGRSVEQLSDGNYEEDHGGE >Solyc08g062910.3.1 pep chromosome:SL3.0:8:52385431:52389633:-1 gene:Solyc08g062910.3 transcript:Solyc08g062910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDDSLRNFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSASCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLMGKALMKRVMQTWLPASTALLEMMIYHLPSPSTAQKYRVENLYEGPLDDAYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGMKVRIMGPNYVPGEKKDLYVKNIQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSTRTVMSKSPNKHNRLYMEARPMEEGLAEAIDEGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGTLRAATSGQAFPQCVFDHWEMMSSDPLEAGSQAHQLVLDIRKRKGLKDQMTPLSEFEDKL >Solyc04g074720.3.1 pep chromosome:SL3.0:4:60728650:60743247:1 gene:Solyc04g074720.3 transcript:Solyc04g074720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIPATIEEQLVLKAIREECPWENLPKRLQSTLNSKEDWHKRIIEHCIKKRLMWNTCFARKVCKEAEYYEDMLRYLRRNLALFPYHLAEYVCRVMRVTPFRYYCDMIFEVMKNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFVIEPWWGVCLVNFTLEEFKKLTEEETATIDKICKEEANSFILFNPEIIKGLHLRGLVYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSENSTVAELAATLQADLSQLQAAASFACRLGWAVKLIDPASILQEPNVPGSPKSLLSDEEDGSHASLGSTNVSADGSAFQQVEIPWTENNSRSSGYARVAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLGTLEGAKFEGELQEFANHAFSLRCILECLTSGGVPAEEIEKTGIMSSRSEDANSMSKDISFSENSGDAPKDISELNNEDLLNSETPKLSKDEETLSGKRSEETDQSDWELKQEISSETDEKASADNLDADKEVRKQIKYRVDILRCESLAALSPATLDRLFMRDYDIVVSMVPLPPSSVLPGPKGPVHFGPPSHSSMTPWMKLVLYSATAFGPLSVVLMKGHLLRMLPAPLAGCEKALLWSWDGSSVGGLGGKPEGNLVKGSILLHCINSLLKQSAVLVLPLSRFDLDEAGKTVTLDIPLPLKNSDGSTAQVGEELGLSAKETFNLNLLLASLSNKLNLWTIGFIRLLRLYKDRVEENIAPDDDTYEWVPLSVEFGIPLFSPKLCNHICKRLVSSQLLQTDLFGEHHDAMQELRKKLRDVCAEYQATGPTAKFLYQKEQPKESPRHFMNYASGRWNPNVDPSSPISGVSSEHHRLKLAHRQRSRTEVLSFDGNILRSYALTPVYEAATRPIEESPSVTTAKVEKDDAENKEEIYPGVSLLFDGSELRPFEIGACLQARQPVSLIAEASATSAIFSVQ >Solyc04g025120.2.1.1 pep chromosome:SL3.0:4:25389101:25390195:1 gene:Solyc04g025120.2 transcript:Solyc04g025120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVSQDQSRALDMIQNDPELSSLMLVQAPLVDVEIRGVPALQFLGDIVWK >Solyc12g070300.1.1.1 pep chromosome:SL3.0:12:30224086:30224259:-1 gene:Solyc12g070300.1 transcript:Solyc12g070300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKGYIGSPLSEAFSCIKSLSSLSINNNSIIHCFDTMHHLLNKHLWESQAYHYLF >Solyc07g007400.3.1 pep chromosome:SL3.0:7:2129812:2139657:-1 gene:Solyc07g007400.3 transcript:Solyc07g007400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSESSQGNSLMGSVCSCKRDHYADENITRRGFSGRYFKFGSSKWLESSLFLPATCCQFQQSRCPSLMELCICKICKDIDKYRSFSMLPRDISQLIFNHLVDSCSLSDSRIEAFRDCALHDMCMREHTRVNNKWMDVISSQGSSLLSAYISSTEVTDFGLSLLRNCSNLQALGLDCCDKISAPGIKHIAGLTNLESLTIRNCKFITDSDLKPLAGLVNLKELQISCSDITNVGVSYLRDLYKLVVLNLEGSVVTASCLDYLTALTSLKSLNVNRCHLLDDGCEKFSALSSLKELNLGFNNITDTCLVQLEGMTKLEGLYLDSCRISNDGLAHLAGLSNLKALELSDTEVGRNGILQLSGLTNLEDLNLSFTSVTDSGLKELSGLTSLRSLNLDARQITDSGLAVLTSLTGLTHLDLFGAQITDSGTKYLSYFKNLQSLDLCGGRLTDAGVKNIKDLTSLMLLNLSQNLKLSDIALELLSGLTSLVSLNVSNSRITSDGLQHLKPLKNLRSLYLEYCGVTASEIKKLQATTLPNLVRYRPNE >Solyc09g072587.1.1 pep chromosome:SL3.0:9:65607519:65609079:1 gene:Solyc09g072587.1 transcript:Solyc09g072587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWACTYDAPPTILDDRLQKFGINVLPTCVFCQSADKTRDHLFFKRTVTQSLWRKMIPWVNIQRTNGTWQDEVEWVVISAACLRNNFMHTYTRRDWKTRQPMLIDMTQNHWCDRQQQEPDNHFKRWTMILVFLWESLVVELDGAEVPSLENNSSRVNNYRNGTRLVQYSYPEKQNN >Solyc01g067340.2.1 pep chromosome:SL3.0:1:75620038:75625547:1 gene:Solyc01g067340.2 transcript:Solyc01g067340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METESHTIAKEIGVANKQTIRWNDFVLRFLAFVVTLVAAIVLGVSKQNELVPVQLVPTLPPINVPASAKWSHMSAFVYFVIVNAIACAYAVISLVLSLANKGKTKGLSLTIILFDLIMMALLYSSVGAAAAVGLIGYKGNTHVRWNKRLFMGVMGGYKDAFMPPLTDFSTINEMGSSSSYGNKRHKKEAPLADDNMHKERNRRGKMAELYSLLQSLVPTISHIHKATREKIVAESIDYIKRLEEEVLRLKNLKKSVVVYKPALSQWRNRVSSVNATVSKGLAFFGIQFQLTQGLMTNIFSVLDKHQAEVLAANISVSDHQLTTLTITVTIGNNESNTVESIRRELLLF >Solyc12g040643.1.1 pep chromosome:SL3.0:12:55636669:55640786:-1 gene:Solyc12g040643.1 transcript:Solyc12g040643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDDSLGGSNSRAQCETSTGNLTSQNLKKNYHTLYSDYCNMKGHDRANCNKLKKCDNCHATGHVKDNFYLLIGYPENFKGKKKVNTVNTGGAQLQEALDHTGRSAMHSRLHDEKVHMDRKIQGQEEYCGDIPNQLGQLIHKATPAQLQQMLEILQGNKEFLDSQSCVNLSSDLSHADDPKIVLSYSNVGNCELMPCVILRNAMNDTKLPQHQSFISEASNTGNDHKMILETNGILKDSFKIKDLGELRYFLGIEFARNSTGILMHQRKYCLELISDMGLSSSKPVGDPIKLNKRLTTTEFELHFSPANEHDKLLKDPGVYRKLIGRLLYLTITRPYVAFSVQLLSQFMHSPKTSHMDASMRVVRYIKQSPGLGIFMTSVVDNQLRAYFDADWASCPNNRKSITGYIVTYGDSLISWKFKN >Solyc01g105310.3.1 pep chromosome:SL3.0:1:93415951:93417934:-1 gene:Solyc01g105310.3 transcript:Solyc01g105310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase 2 [Source:UniProtKB/TrEMBL;Acc:K4B2A1] MDSRRCKCQWCGMKIAAPIGAQTATCPRCQSVTQLQPARNHGFANFPTANNNMSPAFPSRPGRMCANANNFQPQQFNRPMSPQINNIRPPAVHGRKRAVLCGITYRGHPKSLKGSINDVLSMRYFLVEKLGFPNASVLVLTEDEKDPYKYPTKANIRSALRWLVQGCQPGDSLVFHYSGHGTRVRDHDGDEIDGHDESLCPVDFETEGRILDDEINNTIVRPLPRGATLHGIIDTCFSGTFLDLPFLCRINRAGYFMWEDHRIRSYKGTNGGIAISISACDDHQNSGDTTAFTGFPTGALTYSFIQTLEQQTKLTYGRLLMSMQKKIHEAQNGIGLDGENETQEPQLSSSEQFDIHSKMVAI >Solyc03g051905.1.1 pep chromosome:SL3.0:3:23355631:23360970:-1 gene:Solyc03g051905.1 transcript:Solyc03g051905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVPNEKNEFVPMRPVTGWRFCMDYWKLNAWTDKDHFPMPFMDQMFDILAGKGWYCFLDGYSGYNQICIAPEDQEKTTITCPYGKFPFKRIPFGLCNALATFKRCMIAIFSDMMEDTIEQRENPEGDDYPKLTPNSTMGSFYNGNTRVLRMRTDEKGNVLVWENRAMSRYLRTSLVLGPKSDGSPQQAVAN >Solyc05g026560.3.1 pep chromosome:SL3.0:5:42036220:42049627:1 gene:Solyc05g026560.3 transcript:Solyc05g026560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISIGGEMLLKPPTYLVKGVLRTPVGISVTVKRRKAYNPSFFSNSTFFHNHFNPRLCSSDGMGEVYVNPHQDFDMINDASSNVALFVRMLGLDHDLLDREQAVIALSKYSLGGKQCVDTILQFRGSVNLTVNLLRSESNAACEAAAGLLRMISSVDIYRDLVADSGAVEEIYAVLRRSSLSSDVMEQGLCTLWNLSVDEKHRNKIANSDFLPLLIKFLEYEEVQVKEAAGGILANLALTASNHNNMIEAGVIPKLAMLLKNEAEGSKVIRNEATNALLELAKDEYSKILIMEEGLLLVPLVGAASYKSFKPPLYSWPSFPDGTKIEKTPKPSRFGASELLLGLNIEDNNVNIEEGKKNAMIGRTRQQFLARIGAIETEEENKSMGGLPSNPRFTLLPWIDGVARLVLILGLEDESAIARAADAIADASINEHMRVSFKEAGAINSLVKLINHPSDTVKLAVLRAIKRLSISDDVCQRLEEQNALYSLVDLLSNSEISKSLTRMVLDILTRILDPSKEMKSKFYNGPVNGSIKARSAASNAGLTGNENLKVASTTSLETVNVVDLLDSTVLSRLVDIMRTSSPDLQRKAASILEFASVIEPCMEKILSIDLETGLDAVLQQKTLNDTESEIDMQNPELYALEVEDAGYAISAASRLLARLLDFEQFCHIVNASHFTKLLRKVLKSDIPLYHKDWVAACLVKLSYLSGPNFDYNNPINLEVTLYETIPRLIEQMKTSYSREVEEASVVELNRITSEEVVNSTRAVAAGGGIFPLVKVLENGSERAVEAALAILYNLSMESENHAAIIAAGAVPILRRLVLAQGSHWMRALRLLRTLPT >Solyc09g091490.2.1 pep chromosome:SL3.0:9:71224006:71243935:-1 gene:Solyc09g091490.2 transcript:Solyc09g091490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITSEGRRITKLDQILLNGNNIAIHLMNVEVKFSDEEKLEYSKHIVRALLPALEQLNTEQMMEKQIEYQIQALPNSEVKIAKAEYQKDECIYCNYCSAVIVDFHRRCSSCSFELCVTCCKELRNGNLQADVSEVMMQYIDNGPDYLHGKGCSVTSVKNGTCAGTTKVAMTSKWKPVENGAIPCPPKDMGGCCNGTLNLRCIFSENWISQLLLKAKEISQKCKVKEMYNDSELHYSCSKSKGENGTSGSKLRKAAARESSDDNYVFCPAAVDTRRANLRHFRVYLAKGEPVVVTNVHDNALGLSWEPMVICRVCRQTKKATDVLNCLNWCKLEKNIHQFFLGYTEGRFDSYGWPQLLKLNDWPPSGLFDEQLPRHGAEFSSCLPFMEYTHPQYGYLNLALRLPDNCGKPDLGPKAYIAYGFPEELGRGDSVTKLHYVMTDTVNMLMNTQAVVPTDEQLSVIKKLKQVHKEQDQREFAADNANRTHESIKDYVPNVNEKPVLKGMNFSQEKQKCDGLKVENKKYCLRSVKAACETKKDGEDSSSLFGQDKPEGFEDADGGGALWDVFRRQDVPKLEEYLRKHFREFRHIYGSPLPQFRSLHLVFTMLSFPFAGIEPWTFVQKLGEAVIVPAGCPHQVRNLKKSFSSVLRTKSCINVAVDFISPENVNESIRLTEELRKLPRNHEAREDKLGSMPGPSTPKPSSSVSEDLSSSKTDKREEYVVPTENEKPKTAEVNLRSPLPVLDHLSSSNKSMPGPSMPKSSSFSGKTDKREQYVAPSEDEKPRTTEVNVHCLLPVLSANKAQERTSVPSQELKQFIDIVDVESTFHTVQSFLKSLPDQYPSQQSGSLQSNSTSSAQTLAKLIFECSIRLPLEALAHDPINEKEMCGAIAALNENPSSLLFSDEQAKQLVKLKYEFPVMVKKWRELARAELSYQEFLNNFEEDRKKLDNWIRSEAKLKSEYDKKEEQARELEALLQDIRTRQKEIMDERQEGSQEAQKLVSLAQEKAGKIESTSNELIMDHLSCDNQLDIPDTPNRLAAKDMNGRRYADVESDLSASGNSVNGNGVAENGNQLNDNGFGRKRLFMRPPRRIFMKSECDANSSAFGMGDSLPSRNGVPFKVPSIPNSKEKHNLHLAKNGITESKGQQDRPVIDLAKQSGSSTRVFVKSPAVGVHNIGQDEKFRSRSAVMNEHSNLDSTTSTPSSSTSKGKEIADLAKLGLYKSHGEKDSTIDAQLGARKDGSTSLANSPRVTGQKRLVRNGCISPMNIAKAKKPAEMEDNSILIHGLTDTSLTASSPGTSSVSAKEIISNDGTSVKGKGVVIHSSSSKECDTGHTSSRSHTKVFSLETSDSSRDVFRSFDESGGWRSTRNRSSHLSLPNLHRTVERDSAHFVTHPSGSRVMRRDDATSCHDSASIRHLSGISEGPKGPPAEPQCRQINGHRTAPSTVKKRLKQGPASSSHGECSTSVSKDVDIICLSSPESRVNTRSTRNPSHVGTDVEPVIVVDSPSSTIRHEGSRVVCSSSRNEDARASQVDADERLARELQEQLYNEVPSFGVGEIDEDVALALMQEDFRRASSGLENQLSGPNEDMGIVFRSVLTSLIFSPPASKSISSSPKRLRNFSPSGHACNGSLVTNLRRRQPRNASNISRRASQARASTSSRMTRLRNSFPGQPRTISSSRGRNSLFPPNMDVDMRMHILETLEAFSNMDVDRNLLQTQRDFNENDYEMLLALDENNHQHGGSSSRQINNLPQSTVQNESLQEPCAVCLETPTIGDVIRHLPCLHKFHKDVNF >Solyc04g076820.1.1.1 pep chromosome:SL3.0:4:61782222:61783646:-1 gene:Solyc04g076820.1 transcript:Solyc04g076820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSYSSYPDSVNSSPRSREIDCENASWDDQPPSSNSNYKVKFMCSYGGKILPRPHDNQLAYVSGETKILSVDRNIRFSNLVAKLSSLSDCDVCFKYQLPGEDLDALISVTNDEDLEHMMLEYDRLYRGTAKPARLRLFLFPLSTPATSTFGSTDSKTESQWFVDALNSVQLQNLDVNSPTAVSSAPAPANNPDFLFGLDKGQVQQQQPPPVKVQDPTPSPPVPEVFVKEFTGSDAGSEDRHIIGENVISPADYQRQIHEMQRLQQHISNQEQAMYNRKIEESIPRVYPGENYQQKAPPPQQTAVPVTNPASFWPERHTTTGPYPASAVQTEQPVYIVQTPAGVYQTPAMRPVTSQIGGQAYYGMQRMMPEVYRDQPVYGGVPPQPTIQQPKMGGVYTSENMGMVRPHAPPEPTYTQVGYDSVGRQVYYTAPAGVMQHQMQHPAVAAAAAVAAVDGRQSGGALNPDGRIIGKP >Solyc04g005350.3.1 pep chromosome:SL3.0:4:253000:256694:-1 gene:Solyc04g005350.3 transcript:Solyc04g005350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAVQKNTLYVGGLAEEVNEAILHAAFIPFGDIKDVKTPLDHATQKHRSFGFVTFLEREDASAAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMKRLQEEQKAAMQVAEDLHRKKMAEEREGEKDEDPMATAEAEVLKQNATS >Solyc05g052780.3.1 pep chromosome:SL3.0:5:63813301:63817338:1 gene:Solyc05g052780.3 transcript:Solyc05g052780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKLKIFLCFFFLPFIILSLAHSPRKKHSLHPPLTLAASQASLHQQHHFFFDLPIVKEVGGSDLGVEIMSFTGTQQKCKACEKTVYPVELLSADGISYHKSCFKCTHCKGTLKLSSYSSMEGVLYCKPHFEQLYKESGNFNKNFQSPVKSAEKLTPMLTKSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVENQSYHKSCFKCSHGGCSLNPSNYAALDGILYCKPHFSQLFKEKGSYNHLIKSASMKRPAATVPDS >Solyc12g009820.2.1 pep chromosome:SL3.0:12:3016015:3020688:-1 gene:Solyc12g009820.2 transcript:Solyc12g009820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEVIPVRNPISTVLERVGVYGFVGNNNITKRCNIEFQDENDTMEMVQLGAERTKNVLILMSDTGGGHRASAEAIRDAFHLEFGDEYNVFVKDVWKEYTGWPLNTMEQQYKFMVKHVQLWRVAFHGTSPRWIHSAYLAAIAAFYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKKVIFVTVITDLSTCHRTWFHPGVNRLYCPAEEVAKRALFDGLEESQTRVFGLPIRPSFCRAIFTKDDLRVELEMDPTLPAVLLMGGGEGMGPVKKTAKALGEALFDKEMEKPIGQMVVICGRNEELASTLQSLEWNIPVKIKGFQKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVAEWFSTKEDERKTISENALKLAQPDAVFDIVKDIHELACQRGPLANIPYEFTSSFSSLI >Solyc01g067740.3.1 pep chromosome:SL3.0:1:76493970:76499506:1 gene:Solyc01g067740.3 transcript:Solyc01g067740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Cu-Zn] [Source:UniProtKB/TrEMBL;Acc:K4AX22] MVKAVAVLNSSEGVSGTILFTQDGDAPTTVNGNISGLKPGLHGFHVHALGDTTNGCMSTGPHYNPAGKEHGAPEDEVRHAGDLGNITVGEDGTASFTITDKQIPLTGPQSIIGRAVVVHADPDDLGKGGHELSKSTGNAGGRIACGIIGLQG >Solyc02g024050.3.1 pep chromosome:SL3.0:2:25345784:25351499:1 gene:Solyc02g024050.3 transcript:Solyc02g024050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin--NADP reductase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4B553] MAHSAISQVSVAVPLQTDSSFRRSTFKATSLTFSDKSCISMPSIDLKATRSRSQYIVCMSVQHASKAKVSVSPLSLENATEPPLNIYKPKEPYTATIVSVERIVGPKAPGETCHIVIDHDGNLPYWEGQSYGVVPPGENPKKPGSPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKNGVCSNFLCNAKPGDKVKITGPSGKIMLLPENNPNATHIMIGTGTGVAPFRGYLRRMFMESVPMKFNGLAWLFLGVANTDSLLYDDEFTKYLNDYPGNFRYDRALSREQKNNKGGKMYVQDKIEEYSDEIFKLLDEGAHIYFCGLKGMMPGIQDTLKRVAEERGESWEQKLSQLKKNKQWHVEVY >Solyc06g048737.1.1 pep chromosome:SL3.0:6:31735776:31736803:1 gene:Solyc06g048737.1 transcript:Solyc06g048737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTHHSNGLLTVIAIYGDDIIITCDDAATITQLKLFLDAEFKILAHSGELITDPTAYRRLIGKLNYLTHTRPDLSYVVKHLYQFMQELRLLHFIAALHLVRYLRINPGQDWGSCVDT >Solyc04g072065.1.1 pep chromosome:SL3.0:4:59236943:59240012:1 gene:Solyc04g072065.1 transcript:Solyc04g072065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSYGCECIRMSEKVEKTGERTLAVVTKADKAPEGLLEKVTLTSVAEALTAFMRILSSSSGEFDEKEMHSTKNFDEFLMEEVMVLQESKGIGLPNFLPRGVFLNVLHHSVDRVREIIGMEKLTDYTCNPDYLTTYSKFMEIMNDHGNCSMINLEGVGVIDVGWKIVENEIIQDVMALHGGGIERMLNESQQDNRNPYLSHTVCGGSLIFVLILLSAVFVQVR >Solyc08g079500.3.1 pep chromosome:SL3.0:8:63125495:63131976:-1 gene:Solyc08g079500.3 transcript:Solyc08g079500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGRDPFSNFGNPFGSFGGFAGFGSSGFFGGRDPFDDPFFTNPFGGMFGSTPFGPRGGPFMGSHMTGFLEQQPSVPFQQFSVPFQQPSVPFQQHSVPFQQPRSRGPVIEELDSDDEKEENDIPKEKKQNTRKHARSRTEPFIEYPDDEAGERSSKQMVFQNDFQRANNVQQPQVRSFSFQSSTVSYGGANGTYYTSSKTRRSGSDGLTFEESKEANSATGEASHRVSRGIHNKGHSLSRKLDSDGRVDTMQTLHNLNENELDGFEETWKGKARNQLPGLYGGFNLLGETGSGSSVHNGANRGGWALPSTEGAHHSGSLGPGVGGNAAGPSHPMHSQRAKADARNVRSSSKGTTASNMDRSKKC >Solyc03g116570.3.1 pep chromosome:SL3.0:3:67414982:67417346:1 gene:Solyc03g116570.3 transcript:Solyc03g116570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMAITSSASYAGLTVTPPFHARFRRQFTPLATLSTPPNTSSSSRQVAVDWVEETASFFELDNRPIMLFDGVCNLCNGGVKFVRDNDNKRRIRYEALQSEAGKNLLRRSGRAPDDISSVVLVEKDRSYVKSEAVLKIMEYINLPFPQLAFFLQFVPLFIRDFAYDNVANNRYALFGRSESCEI >Solyc02g068470.1.1.1 pep chromosome:SL3.0:2:38980260:38980727:-1 gene:Solyc02g068470.1 transcript:Solyc02g068470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDHHHHHHHQFSSRISENDDIHELTSPKTTTMKPIRRRSRSSKKTPTTLVNASITNFRALVQQHTGCHTCPTFKNNQKGPINLSFGPQNDQSELLGSNSIGEGSMNYGYYYNNNHEDQDEKYSKDNLQQEKQESGYNSVNYENSKLSVDDYGW >Solyc02g093710.1.1.1 pep chromosome:SL3.0:2:55099785:55100360:1 gene:Solyc02g093710.1 transcript:Solyc02g093710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI031 [Source:UniProtKB/TrEMBL;Acc:G8Z260] MRREGRQHGMVRTYPILPSPWNPRPEPRYMNKSNSPPTAGLFAKVPTKPSNHSKFTGKCGRPRCTSCHVHPAAKSKDKTKGTQKLKGCGDVVSLVTWRVVDARPGFNFSGFSATGILDHLDSDSSYYMDHEIYYDEDYGYGDQELVVDSDGSPAIGVEIEDNDEEKMSFCEVGFVWEDVEEDEDWCVVEGI >Solyc09g090680.3.1 pep chromosome:SL3.0:9:70604587:70606987:1 gene:Solyc09g090680.3 transcript:Solyc09g090680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGLSKKPFLCIFLAILAIFKNLPKMGLSKKPFFCIFCVYLAILAIFKNVAPAESASGNTNLVYKGCAKQALSDPSGVYSQALSTLFGTLVSQSSKSKFYKTTTGTGQTTITGLFQCRGDLTNIDCYNCVNGLPILIDKLCGTPVAARIQLLGCYMLYEVSGFPQISGMEMLYKTCSGKNAPGSGFEEKRDTAFSTLENGMSSANNGFYTSNYESVFVLGQCEGDVGSSDCGECVKSAVQKAQVECGSSISGQIFLHKCFVSYNYYPNGAPTKSSSSSSSYWSPSPSAGTGQNTGKTVAIILGGAAGVGFLVICMLFARNQMKKHDDY >Solyc12g019410.2.1 pep chromosome:SL3.0:12:10199654:10206935:-1 gene:Solyc12g019410.2 transcript:Solyc12g019410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKKGISRQFSTELFRKSGKFSFKRQSSLDPRRNNLRFSFGRQSSLDPLRRSPSIENAERTVPENLDSTMQLLFMACKGDVKGVQDLLDEGIDVNSIDLDGRTALHIAACEGHVEVAKLLLSRKANMNARDRWGSTAAADAKHYGNAEVYEMLKARGAKVPKVGKTPMAVANPREVPEYELNPLELQIRKSDGISKASVLCFVNQRCDVSIMGSYQVAKWNGTKVSVKILDKDSYKDPESINAFKHELTLLEKVRHPNVVQFVGAVTQNIPMMIVSEYHPRGDLGSYLQKKGRISPSKALKYALDVARQVVLCHKSEMICGNTSTLWGMNYLHECKPDPIIHCNLNPKNILLDDGDHLKVAGFGLIKLSKISPDKAKLVQPEGFDRSSPYVAPEIYKDEIFDRNADIYSFGILLYEMLEGTPPFNTKSPEETARLMCLEGQRPVFKSKSKYPPELRELIEECWDPEAFVRPTFSEVVSVHEGVKKMDNNWGQWSLSAEDSCGAFN >Solyc08g041870.3.1 pep chromosome:SL3.0:8:25474254:25480065:1 gene:Solyc08g041870.3 transcript:Solyc08g041870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:K4CK47] MASTMFSLASVTPSASISLQDNLKPKLKIGTSSQRAFPGKDFMKAKSNGRTTMAVAVNVSRFEGITMAPADPILGVSEAFKADTNELKLNLGVGAYRTEDLQPYVLNVVKKAENLILERGENKEYLPIEGLAAFNKVTAELLFGKDNPVLQQQRVATIQGLSGTGSLRIAAALIERYFPYSKILISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFAGMIEDIKAAPEGSFILLHGCAHNPTGIDPTPEQWKKIADVIQKKNHIPFFDVAYQGFASGSLDEDASSVRMFAARGMELLVAQSYSKNLGLYGERIGAINVLCSSADAATRVKSQLKRLARPMYSNPPIHGAKIVANVVGTPELFSEWKEEMEMMAGRIKSVRQKLYDSLSAKDKSGKNWSFILKQIGMFSFTGLDRAQSENMANKWHVYMTKDGRISLAGLSAAKCEYLADAIIDSYYNVS >Solyc07g040690.3.1 pep chromosome:SL3.0:7:49504503:49520040:-1 gene:Solyc07g040690.3 transcript:Solyc07g040690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NPR1 [Source:UniProtKB/TrEMBL;Acc:K4CDU6] MDSRTAFSDSNDISGSSSICCMNESETSLADVNSLKRLSETLESIFDASAPDFDFFADAKLLAPGGKEIPVHRCILSARSPFFKNVFCGKDSNTKLELKELMKEYEVSFDAVVSVLAYLYSGKVRPASKDVCVCVDNECLHVACRPAVAFMVQVLYASFTFQISQLVDKFQRHLLDILDKAVADDVMMVLSVANICGKACERLLSRCIDIIVKSNVDIITLDKSLPHDIVKQITDSRAELGLQGPESNGFPDKHVKRIHRALDSDDVELLRMLLKEGHTTLDDAYALHYAVAYCDAKTTAELLDLSLADVNHQNPRGHTVLHVAAMRKEPKIIVSLLTKGARPSDLTSDGKKALQIAKRLTRLVDFTKSTEEGKSAPKDRLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVAMDIAQVDGTSELPLASMRKKIADAQRTTVDLNEAPFKMKEEHLNRLRALSRTVELGKRFFPRCSEVLNKIMDADDLSEIAYMGNDTVEERQLKKQRYMELQEILSKAFTEDKEEFAKTNMSSSCSSTSKGVDKPNNLPFRK >Solyc07g053660.3.1 pep chromosome:SL3.0:7:62234779:62242142:1 gene:Solyc07g053660.3 transcript:Solyc07g053660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKVISRSTDDFTRERSQDLQRVFRNFDPSLRHQEKAVEYVRALNAVKLEKIFARPFVGAMDGHIDAVSCMAKNPNHLKGIFSGSMDGDVRLWDLATRRTVRQFPGHQGAVRGLTVSTDGRILVSCGTDCTVRLWKVPVATLMESDDGSDNSSQPLAVHVWKNAFWGVDHQWDGDLFATAGAQVDIWNHNRSQPVNSFEWGKDTVTSVRFNPGEPNLLATSASDRSISIYDLRLSTPARKVIMSTKTNSIAWNPMEPMNFTAANDDGRCYSYDVRKLNEAKCVHIDHTSSVMDIDYSPTGREFATGSYDRSVRIFKYNGGHSREIYHTKRMQRVFCVKFSCDASYIISGSDDTNLRLWKAKASEQMGVVLPRERKRHEYMEAVKNRYKHLKEVRRIDRHRHLPKPIYKASRQIREMTESARRKEERRKAHSAPGSIKNKQLRTKRIVREVE >Solyc12g036480.2.1 pep chromosome:SL3.0:12:46874953:46879147:-1 gene:Solyc12g036480.2 transcript:Solyc12g036480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENLPPGFRFHPTDEELITCYLNNKISDFNFTTRAIADVDLNKSEPWDLPAKASMGEKEWYFFSLKDRKYPTGLRTNRATEAGYWKTTGKDKEIYRGGTGVLVGMKKTLVFYRGRAPKGEKTNWVMHEYRIETTFGYKPSKEEWVVCRVFQKSSTVKKPQPTSSSPLSLESPCDTNYTITNELGDIELPFNFNYLTTTPSTAINNISLHNYNNDNINLAAATREANSHPLLPWSSNLLSSNLSSVNSLLFRALQLKSYSPREQATTTHDYAFMLPQENIITTQFGNDFAVNNIGAPSSSTVLDNSVQQQQQQQQEQSYKLDSNIW >Solyc09g065820.3.1 pep chromosome:SL3.0:9:64328085:64332738:1 gene:Solyc09g065820.3 transcript:Solyc09g065820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNPSEGPSDDFFDQILGFPAYNGAEPNLAGNDAGAIPPAMMLQLNSGDGSSQFTGVGLGVGLGGGGFHGHGGGGSFPLGLSLEQGKGGFLKMDDVSAPGRRFRDDVVDSRASSSVKPGFHGQPMPSMPHPPAIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAVMLDEIVDYIKFLRLQVKVLSMSRLGGAGAVAPLVTDIPISSVEEESSEGGNNNQPAWEKWSSDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLASAIYHSQPPDTSSLVKPETNPPS >Solyc02g069460.3.1 pep chromosome:SL3.0:2:39908016:39909397:-1 gene:Solyc02g069460.3 transcript:Solyc02g069460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTSLSKPISTSKFGSQFTTKQRTSAIVCCSNQTPVSNSTEESSSSLKAFSAALALSSILLSAPVLPASADISGLTPCKDSKQFAKREKQQIKKLQNSLKLYAPDSAPALAINATIEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAVRDEKKPTMKEIIIDVPLANRLVWRGFIWPVAAYREYLNGDLIDPNV >Solyc01g091940.3.1 pep chromosome:SL3.0:1:85346155:85350308:1 gene:Solyc01g091940.3 transcript:Solyc01g091940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFSRQKNQILSLSATRHHLFSTAAAASSNLYSKYSFVPPPSLKPLNPGSTQLPPKKQPKPRYRPPSSLDRTGEKPLQSKLPFDFRFSYTESSPDVRPIGLREPKYSPFGPGKLDRVWTGVCAPVVDPKVGSPEDEKTVEEKRRVARDRIQGEPLTNAERKALVERCQRHKTKRQVNLGRDGLTHNMLNDIHNNWKHSEAVRIKCMGVPTVDMKNVCTQLEDKTFGKIIHRHGGLLVLYRGRNYHPKKRPVIPLMLWKPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLAKNGYYGSLVPMVRDAFLSEELVRIDCKGLQKSDYKKIGCKLRDLVPCILVTFDKEQIVVWRGQNYKPSEDGFFLTEREPFDHSSNDPDDHEVENQDGEESTGNNDYYSGDSD >Solyc07g066400.1.1.1 pep chromosome:SL3.0:7:67969366:67969770:1 gene:Solyc07g066400.1 transcript:Solyc07g066400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKDDITYGTAQARLSEDDALRIRYKAGTPLEGGKIADSQPVDLFSSVRNIENQQGKIADSQPVELSSSAQKIAAAKQKQSQQEEKPTDSSDLEGKDTHVYDDENMDNNLPPAAATLMFDSNKADNWTTNPP >Solyc09g090940.3.1 pep chromosome:SL3.0:9:70807973:70816570:-1 gene:Solyc09g090940.3 transcript:Solyc09g090940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPFHLPVTAAQVGTYFVGQYYQMLQTQPDFVHQFYSDASTVLRIDSSTRETASGMLQIHTLVTSLQYTGIEIKTLHSLESWNGGVLVMVSGSVSVKGINRSRKFVQSFFLAPQEKGYFVLNDIFHYVDEEQILQQPLAYLAHSNLDSKLNVSNALQEQVPNYMFGGEIQAREFVDAPKIEDNGPVNNYSFPEERLQHVSEAENILEDNFAVQSNGPLQSTINAVQDHLSCPVEEPVAEPQKHTYASILQVTKGNSAQGLAQSSLNKSTPPPSEWQHVPEPPALPSVHSTNTIERYTEATEEASAVEDEVEVKSVYVKNVPTTMAAYEIEEEFKKFGKLKPDAVAIRTRKDIDVCYAFVEFEDVTGVQNAIEASTVQIGGHQLYIEGRRPNRNNLIRGRGRGRGRVSYSMDGRGRYGGRGFGRGGQDGGDRDYGRSRGNGYYRQTPRQERAYPSNQQSLRNEQYSWE >Solyc05g046320.1.1.1 pep chromosome:SL3.0:5:59401286:59401804:-1 gene:Solyc05g046320.1 transcript:Solyc05g046320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSIKKVHMITKIVRLKQVVKRWKDNSLRTRSVLSYSSSDSDEPAQTNLNSNSNRRRTPSGSLAVYVGVDENERRRFVIPTRFLNLPVFISLLDKAEEEFGYQPTGGLILPCEVELFTVILKLLEINEQRFGVLGLDEILMVISESDLDQSCKEAASHGFAPLLRKTRV >Solyc05g023600.2.1 pep chromosome:SL3.0:5:28227496:28234859:-1 gene:Solyc05g023600.2 transcript:Solyc05g023600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCRTSSLTYQRGLMMNSLSNLNLLSLQDGYGDDEYSLLVDLNMLYERQLSRKTSIDSLHKDIAEILKNFRSIDDESFLTNKSLEGLRESQLACLCWFFQNNGGCFKKATLASTELEVLGYSRDESIFKSSGNFANTNYIFQVIFALPLIVFCRFMAVLQPTIFNITTMRDLKIVRTK >Solyc12g082740.1.1 pep chromosome:SL3.0:12:16799348:16800842:1 gene:Solyc12g082740.1 transcript:Solyc12g082740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCECMKIRIGVIVPCEDIKNRIEVIVPYMQPGLSCSQPLPIWCMSPTFKFKYRSQFCDFNFIFSLDTS >Solyc05g032780.1.1.1 pep chromosome:SL3.0:5:44887528:44888166:-1 gene:Solyc05g032780.1 transcript:Solyc05g032780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQCQVSDEEDGSEEEEEEEEEVFQENDIGNLQSHYQNQQMPQSVCENPEKWANFTVSGQELNKGTRRLKPKRAKTDVIEGHGGRIIRATGRKYRHSNVSTAKGPKDRRVRLSPNTAIQFYDVQDRLGYDRPCKAIDSLIKEAKVTIDALGEFPNNFHSTKLNPKKMQYSFDQEQSPEFSQENRGVPNSECGVQDKQQEVNYDIPNMFSLY >Solyc03g007650.1.1.1 pep chromosome:SL3.0:3:2189471:2190292:-1 gene:Solyc03g007650.1 transcript:Solyc03g007650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSTSLRITRGSPLHVNVVDILPSFSMGLTQEFGVNVRSLGKSKQLIQEQTMEELRSKKKNDPMAVQQVNHGVPMHSSVPRKEAPVQQNLPCNLEDLYKGTTKKMKISREIADSSGKRIVQEILTIEIKPGWKKGTKITFQEKGNEQPGVIPADLVFIIDEKPHKVFSRDGNDLIVTQKIPSAEALTGTNVQLTTLDGRNLTIPINNVIQPNYEHIVPGEGMPLPKDPSKKGNLRIKFDIKFPARLTVAQKSGIKELLGLKHKLRYNFFVN >Solyc06g066460.3.1 pep chromosome:SL3.0:6:41839484:41840515:-1 gene:Solyc06g066460.3 transcript:Solyc06g066460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFVSHVRRKQIPPCILSDGYKRNQTPRLMSGQLGEKPVTSIFRSRSRERGGLERKRELECVEESHQSLDKRPSISPPRRESPSPELIVGEKSGMLLQQCFSTVTGGKRKSEEISNNVTNDKMQFAVPDEDVYIEQRKSQRAQCVESADGCVYQSGGVTNLVEAGTSGAPAHELLRLGHDGYAAGSTGGNSLRSSQGDLYRAESKSLLNNVCENGSRFLEDALRELEPKAARGVLTSPDSIRSESVQKSSIGHVKCCINSLKEVESQH >Solyc10g085950.2.1 pep chromosome:SL3.0:10:65083563:65087133:1 gene:Solyc10g085950.2 transcript:Solyc10g085950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDWLRDFLKGMIKPFAALVVVFMAIGLSYVQKLGLEGEMIYSVFRAFLQLSIIGFVLQFIFTQKNVAWIILAYLFMVTIAGYTAGQRAKHVPRGKYIAGVSILAGTCITMFLLVILNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKKLRDDIKIQMALVETALALGATPRQATLQQVKRSLVIALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIFSTYLSWPSFFTKAYQLETKVFSSE >Solyc07g042770.1.1.1 pep chromosome:SL3.0:7:56394073:56394297:1 gene:Solyc07g042770.1 transcript:Solyc07g042770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMVLDPKPSPKLPATRPDLSSHDVPFENGEYDLYARLKSLQRQLEFIEIQEEYVKDELKNLRREHYGHRMR >Solyc01g048590.2.1.1 pep chromosome:SL3.0:1:44912789:44913022:1 gene:Solyc01g048590.2 transcript:Solyc01g048590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIHPIGQGSFYDGMPLGISSTSNFMIVFQAEHKILMHPFHMLGVAG >Solyc05g051000.3.1 pep chromosome:SL3.0:5:62054207:62057393:-1 gene:Solyc05g051000.3 transcript:Solyc05g051000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKTSAPDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Solyc02g014355.1.1 pep chromosome:SL3.0:2:16288175:16290406:1 gene:Solyc02g014355.1 transcript:Solyc02g014355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNISYVRPVVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKENQKILILGATNRPFDLDDAVIRRLPRRIYVDLPDAANRLKILKIILARENLESEFPYENLANATDGYSGSDLKNLCIAAAYRPVQEILEEEKEPESLGSRKDGIPVLRPLTVDDFIQSKAKRGGAISCI >Solyc03g122310.3.1 pep chromosome:SL3.0:3:71656397:71664130:-1 gene:Solyc03g122310.3 transcript:Solyc03g122310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFTMEEYEFLKELGIGPQNLGCYVNGTWKATGPVISTVNPASNQIIAEVYEASARDYEEGMSACAEAAKIWVQVPAPKRGEIVRQIGDALRANLQQFGRLVSLEMGKILPEGIGEVQEVIDMCDFAVGLSRQLNGSIIPSERPNHMMLETWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLVTIAMTKIVASVLEKNNLPGSIFTAFCGGAAVGQAIAMDTRIPLVSFTGSSKVGLAVQQTVSQRFGKCLLELSGNNAIIIMDDADIKLAVRSVLFAAVGTAGQRCTTCRRLLVHESIYDKVLEPLVDVYKQVKIGDPLEKGTLLGPLHTRTSRENFEKGIHNIKSQGGKILTGGSVVESEGNFVRPTIVEISSKAEIVKEELFAPVLYVMKFKTFEEAVEINNSVPQGLSSSIFTRNPENIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKGTGGGREAGIQSTMGVNYHWLKESILASEIGADTPVSLLNSSCKVLMIAPESLK >Solyc01g009200.3.1 pep chromosome:SL3.0:1:3174124:3175775:-1 gene:Solyc01g009200.3 transcript:Solyc01g009200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKGATPTQVYEDFVPSSKKVHEENFDTIHINLHGFKKEQLKVVLTSTGTLKISGQRPIGPTKWQRFLNEFPVAENCDRSKISAKFENGILHVKQPKLIPKVEKEKELSATLAENTPAAKRQKTTLRDEFGKQDNADVDTPAKEEPKETSPKTSEQTEEKKLPSHDSSSSSSSHSSESDSESTDDDTDDEASENVSCLVANLKKPSKVIQMTLVSLLVLGISLYIANERSH >Solyc04g026271.1.1 pep chromosome:SL3.0:4:17535639:17575470:-1 gene:Solyc04g026271.1 transcript:Solyc04g026271.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEQTFIRVQERFAQMLTPRIRATLEYLYLFMAVTLFCILVVMHANYVQQPGCSSELSRVNISDAQLIQIKITSAGLWSQNQTNYDVVNVANEEPIDENLKHANEDSSVTVATKPWLDWLSDGAKSGNSLLYSNNEPSESSLGSSKNSESVGDGVVPKISKEVLRARFFISPKESLKAAIIRIGQKWHGRLSFIWRVSKRVLGGLWDIAGIHLHIDIPKLLKTLHLDKLNSYCSGLRQEAMHLNLIIYTPKRRGTCYCPRKLDCAITFAQ >Solyc10g039350.1.1.1 pep chromosome:SL3.0:10:21243240:21243404:1 gene:Solyc10g039350.1 transcript:Solyc10g039350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSEALQICNHQEIQDLTITSHRTSCQTPMHRRTSILAGIAPTPTNQFSDKEK >Solyc09g010680.3.1 pep chromosome:SL3.0:9:3998961:4001307:1 gene:Solyc09g010680.3 transcript:Solyc09g010680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSSIPAYLDPANWQQQSGSTIQNHHHHQQQQLTSAPPPPVLPPGPPVVAPLQPHGGGGAGSIRPGSMADRARMANITMPETALKCPRCDSTNTKFCYFNNYSLSQPRHFCKACKRYWTRGGALRSVPVGGGCRRNKRSNNNNKNSTNNNNNNNSSKSPASSTSTDGRQGTNNSGSSTTISSHSNSFSGPTSAASLLGLMSPQIPPLRFMSPLGQFSSDHHHHHHFTPSNHMNLNFSTSSCGNILGGTTEGMMVSNNNLLGTGTGAGVGGHVASLLSSGNLEHWRMQQQFPNFLGGFDPSNSPSSYPFQGGVHEAVQYLGGESTSQISRPKISTSMLNQMASVKMEDNNNNNSNQDQSALSRQLLGIQGNNENWNTSASAWSDLSASFSSSSTSNAL >Solyc02g078300.3.1 pep chromosome:SL3.0:2:43611847:43614913:-1 gene:Solyc02g078300.3 transcript:Solyc02g078300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSAGPSSKARVGASQPSESSLKRKRGMFQKDLQHMMYGFGDDSNPLPETVSLVEDIVVDYVTDMVHKAQDIATKRGKLLTEDFLFLIRKDLPKLNRCTELLSMNEELKQARKAFEVDEEKLASHQ >Solyc07g015810.2.1 pep chromosome:SL3.0:7:5496040:5505662:-1 gene:Solyc07g015810.2 transcript:Solyc07g015810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENLMPNKKFVEDTNTKNKNDLYDFVEKTVLDKEQPEDIKEEASKPGATLDNMDNSPGIGASARYKHVHESTSKPPKEDVRTSIQQNDIVQSPSQAKRQKMAIGGNIRPQATRPHTKASAHRQNPPNVGKHDELQRLSINPDGLGFYPSIQLVKAMVQSMCSCYDEPWRYWKDVPLHIRERMFADFKMKCTWSVEHENKIREIFFRNCSRRLSDLLWHARKHDQRPSWISEDIWKTLNEHWTSQKFKKNTVKKEAQTSVLPRSLQSSDKEE >Solyc06g032740.1.1.1 pep chromosome:SL3.0:6:21838971:21839429:-1 gene:Solyc06g032740.1 transcript:Solyc06g032740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQFHQDLFISWGKNLPESDFLRYVSRENWIWLDNVWLVNKDRFFSKVQNVSSNIKYDSIRSSFVQVTDSSQLKGSSDQSRDHLDSISNEDSEYQTLINQREIHRSVRNSNSSLYPLGARQNTQRILTKGIHPPTYLRKLLEDNKVATKHFI >Solyc12g014100.2.1 pep chromosome:SL3.0:12:4918383:4923635:1 gene:Solyc12g014100.2 transcript:Solyc12g014100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSRTSNFPSDLEYQTGFGNHFSSEAIVGALPQGQNSPLICPFGLYAEQISGTSFTSPRKLNQRSWLYRIKPSVTHEPFRPRMPRHEKLVSEFNQSNSSATPTQLRWKPVEIPETPTDFIDGLYTICGAGSSYLRHGFAIHMYTANKSMENSAFCNADGDFLIVPQKGRLWITTECGRLQVCPGEIVILPQGYRFAVDLPDGPSRGYVAETFGTHLQLPDLGPIGANGLAAPRDFLVPVAWYGDGSRPGYTIVQKYGGELFTAKQDFSPFNVVAWHGNYVPYKYDLSKFCPYNTVLMDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFHPGGASLHSCMTPHGPDTKTFEATIALGNEAGPHRIADTMAFMFESCLVPRVCPWALESPFMDHDYYQCWIGLKSHFSGLSMNEDNVDLQKGKPIER >Solyc03g112350.3.1 pep chromosome:SL3.0:3:64258763:64260200:1 gene:Solyc03g112350.3 transcript:Solyc03g112350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQPSMYPRPMPGGGAASYGRGGPLPYGGPQAGGPPPMDGGSRGGMGGSGRVGGGYQPFEGGFARGSDMGSGGRGGGRFSSDGGRGSSGGRGGRHGRSRDDLDNLTLPKQDFGNLVPFEKNFYVENHAVRAMTDQEVAHYRARRDITIEGQDVPRPIQMFHEANFPDYCLEVISRLGFVEPTPIQSQGWPMALKGRDLIGIAETGSGKTLAYLLPALVHVSAQPRLAQGDGPIVLVLAPTRELAVQIQEEAVKFGSRANIRSTCIYGGAPKGPQIRDLRRGVEIVIATPGRLIDMLEAQHTNLKRVTYLVLDEADRMLDMGFEPQIRKLISQACTICFFLLYFN >Solyc01g013760.1.1 pep chromosome:SL3.0:1:10077790:10078159:-1 gene:Solyc01g013760.1 transcript:Solyc01g013760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIGMDIDFIDAPMQRHFIVNAKKLVNVRYSRLITYLRSTFVNNECSAQSEVKASMLEHIVHASR >Solyc08g062915.1.1 pep chromosome:SL3.0:8:52401248:52405573:-1 gene:Solyc08g062915.1 transcript:Solyc08g062915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMTDDSLRNFKGERNGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCVEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFQRVIENANVIMATYEDPLLGDVQVYPEKGTVAFSAGLHGWAFTLTNFAKMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGTASCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVTMKSDEKDLMGKALMKRVMQTWLPASTALLEMMIYHLPSPSTAQKYRVENLYEGPLDDAYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFAGKVSTGMKVRIMGPNYVPGEKKDLYVKNIQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVLEKSTRTVMSKSPNKHNRLYMEARPMEEGLAEAIDEGRIGPRDDPKVRSKILAEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVVESFGFSGTLRAATSGQAFPQCVFDHWEMMSSDPLEAGSQAHQLVLDIRKRKGLKDQMTPLSEFEDKL >Solyc01g104740.3.1.1 pep chromosome:SL3.0:1:93020068:93020508:1 gene:Solyc01g104740.3 transcript:Solyc01g104740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRPTGGLKQDWDPIVLQKPKMKAQDLKDPKIVNQALRAGAQVQTVKKIDAGLNKKAATLAVNVRKLDEAAEPAALEKLPVGVRQAIQKARIEKKMSQADLAKKINERTQVVAEYENGKAVPNQLVLGKMENVLGVKLRGKIHKS >Solyc08g068240.2.1 pep chromosome:SL3.0:8:57408077:57412791:1 gene:Solyc08g068240.2 transcript:Solyc08g068240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLKKLKDEMVPEWSEAYMDYGGLKHLLKDINHRKVDIENQGSETGKIQHKNSIKLSNGKLFIPAEMGQNEKSFFEKKDNELEKVDRFYKDKVEDAKGEAAELAKQMEALIALRKKVKERGTKGDKAAASSKVTSPGRASSEAGSWASSEDVVDILIVTFYIMRVSSSLIRRPNAEEDQLDFKEEVDKFGEKIIKPTSSSSENRDPSLGEHGSTPSDVLECVKIQNTSDSPKSSVERLLLGNTVKECSFSQEELKEVEEKLKKAFVEFYHKLRRLNQYSFMNLSAFSVILEKYEKITSRKVMRSYMKIVDNSYIGSSDEVTGLLNKVEATIVKHFNSGRGDDIRLVRPERKREKHSIWFLSGFFCGFSVALIMAIVLIIKTRKLLDKEGATVYLDSIFPLYSFYGYIILHMLIGAANIYLWRCYRINYSFILGFKPGTELDHKEVFLLASGLAVLVLTACLVQLHIRMDSRIQEHETFVELVPLGLLIGLVLICLCPFNIIYRSSRFFLIRSLFRSMCAPLYKVVDSTKLEFYTRRVYCFWLRLRVVSFLLQVNMIDFFLSDQLTSQTQAIRSFVYYICYYSWGKSSTGRKMCQVSDVYIVFYYISAAIPYWIRFFQVLNVHHDLYISLSVVYSVEELNVQCIRRLMEEKEMKHGFNGFTYFSMLLSVVFQSTFRLKKKMTWKVWALVSSGVAALANISWDIRMDWGLLQMKSRNFLLRDKLLLHHKTVYYIAMILEVLLRFVWLQVVLSFDMRPLRGKVITSTFASLEILRRGIWNFFRLENEHLNNVGEYRAFKSLPLPFITDEDKVGKNE >Solyc01g008110.3.1 pep chromosome:SL3.0:1:2174524:2178734:1 gene:Solyc01g008110.3 transcript:Solyc01g008110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDNKILNVGLLLVATLLVAKLISALIMPRSKKRLPPVVKAWPIVGGLIRFLKGPVVMLRQEYPKLGSVFTLNLLNKNITFFIGPEVSAHFFKAPETDLSQQEVYQFNVPTFGPGVVFDVDYTIRQEQFRFFTEALRVTKLKGYVDQMVTEAEEYFSKWGESGEVDLKYELEHLIILTASRCLLGEEVRNKLFDDVSALFHDLDNGMLPISVIFPYLPIPAHRRRDNARKKLAEIFANIINSRKRTGKAENDMLQCFIDSKYKDGRPTTEGEITGLLIAALFAGQHTSSITSTWTGSYLLTNDKYMSAVVDEQKNLMKKHGNKVDHDILSEMEVLYRCIKEALRLHPPLIMLLRSSHSEFSVTTREGKEYDIPKGHIVATSPAFANRLPHIFKNPDTYDPDRFGPGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPEIDWNAMVVGVKGEVMVKYKRRKLSVE >Solyc11g066190.1.1 pep chromosome:SL3.0:11:52167496:52169577:-1 gene:Solyc11g066190.1 transcript:Solyc11g066190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEIICIVDVPSAYVGLRILLIDPNSTSLSTIAAILEEHSFKVTAIEQATVALSILREHIDQFDLIMVDANMFEMDYLQFIKSTQLIKKTNQLFVTIEMIKEASTLGICFIYEKSLISSLKLKDIWKHVRWHDKKENEESQHYNAKQVNLMVNISCPTKMQDLKGKSKENCSVTYQDQEVDSLMEKDAAKRSKRMRSTNEDTQVKHSVSSEKEEKHSFLSKISTERPEKKRRNMKWTAELEKKLDEVVRELADKVPDAQPVTSTIFNEEHPSKVFNSSKSSADVNELFQGAYRPQPLEVPLVTLPSSNHSSLIECDEWINEFLELDNFEQKLR >Solyc07g006150.3.1 pep chromosome:SL3.0:7:990369:994362:-1 gene:Solyc07g006150.3 transcript:Solyc07g006150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGAKKRKEENTRHMKKLFQVIIACNVIYLLVRGGIFYSSFTWKHIVGLLLTSLAYVLPYKQLASMAKPSYGDDGEMFDGGYDMSTGGICGYLHDIIYITCFVQLASIISDKFWYTYLVIPAFAAYKLSGLIKGFLPQGSEDAEEDEKTRKKREKLEKKASRTKFVKTRAR >Solyc04g050753.1.1 pep chromosome:SL3.0:4:48136024:48142173:1 gene:Solyc04g050753.1 transcript:Solyc04g050753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVFRKMRASGEHRFVQPVFAKMVEGTRSKVMEDKLSRHEEVLAEVVRHQLEICDSQIRIQGTLELILDRLMNLERTPNRRNGDQQQGDGLLPFPEQQKVEGAAVYLTGDAEGWHHALLMSKRVVVWTGFKEELCSRFSLEPLEDVVEKFNKPLQTGTVDELLREFEYLKAQMLIRNPTLNESHFLSSFIGALKEEIKKNQGSYKPSVAMGSFQTNAQTPKAVVYPPGKSSAYRLTSNVYEYLKTNHLCFRCGEKFDPGHILEGEIEQEIQEVMCLSALSGGNRGVNSILVKGIVKNRNLVVLVDSRSTHNFIDEHTMKEIGYQPSYYAPVRVTVMNNITTGKNNNKLILHGIPEKGSLNMIFGSSMARVLKKGQALIAHLFMVKVDTSSVQEVESEQVQRVLEQYEDVFKEPKTLPLIRSLDHAIPLKPGAVPVSLRPNGYNYYQKEELERWVKEMISHGLNEITIKDKYPIPIVDDMLDELHRSIIFTKVDLRARYHQIRTKVEDVYKTAFRTHMGHYEFKVMPFEPTNAPKTFQALMNQVFQPFLRKFVLVFSYDILVYSLSLEDHIHHLIAVFTTLREHSLFAKRSKCSFGQPKVEYLGNVITKDGVSTDPTKINVMIEWPTPNSVRALRGFLGLTSYYRKYVASTICIPLTDLLKKGSFKWNAEAGEAFVALK >Solyc04g026100.1.1.1 pep chromosome:SL3.0:4:18864812:18865255:-1 gene:Solyc04g026100.1 transcript:Solyc04g026100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAATAESVQCFGRKKTAVAVTHCKRGKGLIKINGVPIELVQPEILRYKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCEPKKFGGRGARSRFQKSYR >Solyc09g061410.1.1.1 pep chromosome:SL3.0:9:59305331:59305648:-1 gene:Solyc09g061410.1 transcript:Solyc09g061410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLLWTLGGVLLFFQSNYYCMATLKSQSFLYFITLFLILFILVHSNHHDQNPVPNHSSSSLSSSSSTSSTTMKLHPRKIPKNKGEYGGAAHEVPSGPNPISNR >Solyc02g092750.3.1 pep chromosome:SL3.0:2:54354118:54357522:1 gene:Solyc02g092750.3 transcript:Solyc02g092750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSCFGSCFGGDDGDDLLWHMDLKPHATGEYSVAVVQANSLLEDQGQVFTTPSATYVGVYDGHGGPQASRFINNNLFPQLQKLALEEGGLSEDVIRKAFDAIEEGFLRLVKQSWLDQPQIASAGSCCLFGAISKDELYVANLGDSRAVLGRRAETGQTGDSAVVVAERLSIDHNVGVEEVRKEVEALHPDDSHVVVYVRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPMFIQYGYPIPLKRAVMSAEPSILIRKIRPEDLFLIFASDGLWDQLTDDEAKDIVLKNPRIGIAKRLVRAALEGAAEKKEMRYEDIKRLEKGERRHVHDDITVIVIYLDHPGRSSNSGINIVKGTNVPLDIYSLNSGH >Solyc06g036580.3.1 pep chromosome:SL3.0:6:26773885:26786593:-1 gene:Solyc06g036580.3 transcript:Solyc06g036580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSKKEPTDNVQDQAVGSIAKGETMGSYKVTSRNLPGNYLAHGDSPATKVSLLSTTNENKGVNINGSHQIHGKSSTDGTVSGAGKSGSLSLDALAKAKRALQMQKEWTQKAKKIPALNKNKGPNFTREGLPQVGPKESLQPSANAGIFPTPVASSDAGVLLTPGSAPSASIPPSSGLPHLKGLTAQKYEAVKRAQELAAKMGFRQDPEFAPLINMFPGQMPPEVTLQPKPAKAPVLRLDALGREIDEQGNIVNMLKPSSTLKVNINKKKQEAFQILKPELEVDPEKNPYYDPGMGIDKNKILRPKKMTFQFVEEGKWSRDAEIIKLKSQFGEARAKELKAKQAQLTKAKAEPDINPNLIEVSARVIAKEKQKEPIPDVEWWDAPLLRSGTYGDVVDGNVMNEQLKIEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKERQEMIRQGLVEPPKPKVKMSNLMKVLGSEATQDPTKLEMEIRSAAAEREQAHVDRNIARKLTPDERREKKERKLFDDSNIAPETIVSVYKINDLSHPQTRFKVDVNAQENRLTGCAVISGGISVVVVEGGKKSIKRYGKLMLRRIDWAAAGKKVDDEGEGEGEDEDKPLNKCVLVWQGSVAKSSFHRFFVYDCRTEAAARKVFADAGVPHYWDLAVNFKDDEF >Solyc01g110030.3.1 pep chromosome:SL3.0:1:96757403:96766217:-1 gene:Solyc01g110030.3 transcript:Solyc01g110030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSASPVSQLLESDSWNSLKDLRSPSQASQALSRTTSFSSNVSDTDDRPRRRSEPAYSFVGMHCIFDQCKAMVTVIKFGHMSSDLLAYGATDGSLTVCSVSMPPSVIKQLTGHSKDVTDFDFSTNNQYIASSSMDKTVRVWDISRGLCIRVIYGVSSQLCIRFHPVNNNFLSAGNAKKEINVFNFSTGRTISTTVVDGEVTAMDHDHTGQFIFCGDSQGCIYTVSMNSHTGALSRTHRNRSSSKHRSAVTTVEYRTFSLLARGPVLLTFTRDGSLSFFSISLEMKGYLTLRCSLKLAPRLHSIRASFCPLLSLEKGEYIVAGSEDANVYFYDLTRPKNTCVNKLQGHGYPVIGIAWNHGENLLASSDFGGTVIVWKRAKTA >Solyc03g095940.1.1.1 pep chromosome:SL3.0:3:59090085:59090597:1 gene:Solyc03g095940.1 transcript:Solyc03g095940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNEPRSSSSCAACKFLKRRCTTTCQFAPYFRSDEPKKFANVHKVFGASNVIKILNEVPQDQREDTVNSLVYEAEVRLRDPVYGCIGAIASLQRKMVELQHDLMVTKAHLAYYETKPSTTTSNCSLLDYDPNPSFYVDTSAGFMDTFTQNTFAMDQTGSNNEFAQFPFP >Solyc06g030610.1.1 pep chromosome:SL3.0:6:20149600:20150519:-1 gene:Solyc06g030610.1 transcript:Solyc06g030610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCSICMNDIGEYNCVEGEGYLVSSLFGREATSVRLASITIGTPNHGGLQNLSIQRNNPCYGVTDAGLVAIAQKLDLFKFPIITDKCLLDIVKNCPDITSLTIYSYSNIGNESLKVVGQYCPSLNIVVLKCSPFIGHHGLAVQFYLASKVLTEVRLQGLCIRDLSLHILFNCCEKIESLSLVSCIGMEDYPLNLVDSCHSSLLSLTIRKFPGIGNTTIYLVGGLCRKLTHIDLSKFQRITNEGLIPLAQNCEANLVVVNLSIVSILQTYKFQQ >Solyc06g064445.1.1 pep chromosome:SL3.0:6:40240865:40243338:1 gene:Solyc06g064445.1 transcript:Solyc06g064445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALTSYGDLTEPFCLPFPERSRNFMSLMNFDLRKTGTICVPFLLWVLALNLIDLLENPHNTQSCSGISVQALVGARIDWRQRGQAVWNWLVYVFWRQGPMSPYSICILQIDEWTKKRYCQYLTPKGTMPKMTPRSREMLRQTEGSHFCMANLAISLVSA >Solyc04g005930.1.1.1 pep chromosome:SL3.0:4:605225:605377:-1 gene:Solyc04g005930.1 transcript:Solyc04g005930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEREKRDEEREGIIIAIFIIHKAIVDNAYYSKCIVNTYHMFILLSTYD >Solyc10g055450.2.1 pep chromosome:SL3.0:10:56723389:56736471:1 gene:Solyc10g055450.2 transcript:Solyc10g055450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRTEATSSAPSASSPSSGPTTRAVKKARFTTRAASNSISTRSRLTNRSQDLQSMDSTNESSGSGSRTRRGKNHGLDRNNPEKGKEKEHEIRDRDRDMGLNMDTDGGDEDDNESEGGAGILQHNLTSASSALQGLLRKLGAGLDDLLPSSAMVSASSSQQNGRLKKILSGLRADGEEGKQIEALTQLCVMLSIGTEDSLSTFSVDSFVPVLVGLLNHMSNPDIMLLAARALTHLVDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEDPTACLQAGALMAVLSYLDFFSTGVQRVALATAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASICLTRIAEAFASSPEKLDELCNHGLVTQAASLISTSNSGGGQASLSTETYTGLIRLLCTCASGSPLGAKTLMMLGISGILKDILSASVSISPAMSRPAEQIFEIVNLANELLPPLPQGIISLPVSTNLFIRGPFTRKSSASGSSKQEDLNASSQEVSAHEKLLNDQPELLQQFGMDLLPVLIQTYGSSVNTAARHKCLSVIGKLMYFSNADMIQSLTNDTNLSSFLAGVLAWKDPQVLVPALQIAEILMEKLPGVFGKMFVREGVVHAVDALMLSGSHVSAPPHPTRAEKEKHNRRRSTNSNTDAISVEDLTSPVPSTGSLPNSMEIRTVNSSLRMSVSTCAKAFKDKYFPSDSEAAEAGVTDDLIRLKNLCMKLNAGIDEQIAKPKGKSKTFGPQLGDSYVGKEENLAEVIAAMMGELSKGDGVSTFEFSGSGVVASLLKYFTFAYFSKERISDTSMSKLRQQAIRRYKSFIAVALPAGVDGGNMVPMTVLVQKLQNALCSLERFPVVLSHSSRSSTGNARLSSGLSVLSQPFKLRLCRAQGEKTLRDYSSNVLLIDPLASLVAIEEFLWARVGRPEAEQKASATGGNSGSGTIPAGGSASSPSMSTPASASRRHSARSRSAVNINESDGSSSKGKGKAVLKPAQKDRRGIRSRDPVKIRAALEKALREEPVDGETSSEDDELHPSLIELDDALVIEDDMFDEDEDDHDDVLRDDPFPVCMADEVHDVKLGDSSEDSPFAQTPTGSNTNAGGGSGSRIASARGSDSVEFRSRNSYGSRGAMSFAAAAMAGLSSASVRGVRGARDRHGHPLLSSGDPPKLIFSVGGKPLNRQLTIYQAIQRQLVLDEDDDERYGGNDFVSGDGSRVWSDIYTITYQRADNQAERSSGSGSSISKSMKTSSSTSSGADPSLVQASLLDSILQGELPCDLEKSNPTYSILYLLRVLEALNQLAPRLRVLSMIDDFSEGKISSLDELGTTGIKIPSEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGNGSTHERAVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLISHDLQKLGLGMWRSGLSLTSNEHSVEVHIDNKLSRSDGDLVQAPLGLFPRPWSPHTGTVDGGQFYKAIEYFRLLGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDILSFDTELGKTLQELQALVSRKQYIESIKDQNLDESYDMHFRGTPVEDLCLDFTLPGYPEYILKAGDENVDLSNLEEYISLVVDATVKTGIRQQMEAFRSGFNQVFDFSALQIFSPSELDYLLCGRRELWKPETLVDHIKFDHGFTSKSPPIIHLLEIMGEFTPEQQRAFCQFVTGAPRLPAGGLASLNPKLTIVRKHSSSAGNAAQNSNAPSESADEDLPSVMTCANYLKLPPYSTKEIMSKKLLYAINEGQGSFDLS >Solyc02g063350.1.1.1 pep chromosome:SL3.0:2:35960084:35960521:1 gene:Solyc02g063350.1 transcript:Solyc02g063350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTPRMKEVEKVFRKYDTNGDGKISLSELDGVLNALGTKTTPDEAKRMMLEVDTDGDGFIDLEEFAAFHCPVEGSNSKDLRDAFDLYDKDKNGKISASELHSVMKGIGEKCSLKDCRRMISSVDDDGDGSVNFEEFKKMMTRA >Solyc11g039850.1.1.1 pep chromosome:SL3.0:11:41942093:41942407:-1 gene:Solyc11g039850.1 transcript:Solyc11g039850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKEMFDQVKLAFQKGIADHTVTTQQFEYAHSPFQIYLRNAINTTSSITPTLSGEFVDSQRQLLALALFGENSQSTNPLNHMNNGSLLNENIETLPNPTTEMS >Solyc01g060085.1.1 pep chromosome:SL3.0:1:69495533:69496246:1 gene:Solyc01g060085.1 transcript:Solyc01g060085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTETKASVGFKLVLKIPPEEAGAAVAAESSTGTWTTVWTDGLTNLDRYKGRCYRIERVVGEKDQYIAYIAYPLDLFEEGSATNMFTSIVGNVFGFKALHALRLEDLRIPPAYVKTFQGLPHGIQVERDKLNKYGRPLLGCTIKPKLGIYENHHKSRNPISRRFDPNLNENSLYGDN >Solyc04g051500.3.1 pep chromosome:SL3.0:4:50596781:50602616:1 gene:Solyc04g051500.3 transcript:Solyc04g051500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKKMSMAVKDEKKMVVDVAAWAFNIVTSVGIIIVNKALMATYGFSFATTLTGMHFATTTLMVFFLKWLGHIQNSQLPWSERLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEIVLDNVRYSRDTKLSILLVLLGVAICTVTDVSVNTKGFIAAFIAVWSTALQQYYVHHLQRKYSLGSFNLLAHTAPIQATSLLLLGPFCDYWLTDKRVDAYNYTSISLFFIILSCTIAIGTNLSQFICIGRFTAVTFQVLGHMKTILVLILGFLFFGKEGLNVHVVFGMGVAIIGMIWYGNASSQPGGKERIPPPTVVKPEKHMLLPTELDEKV >Solyc09g072680.2.1.1 pep chromosome:SL3.0:9:65717380:65721619:-1 gene:Solyc09g072680.2 transcript:Solyc09g072680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWSPRGKKRLKLASVETIALEQPTQSTTSRETKEEMDDNLETKGNLLPDDLLFEVFLLLPAETLCKLRCVCKSLLNMINSTRFIEDHFRQSERVLITENSFHKENIRSPFPLPSDKKNELYFNFWDIHSGKGQKVCMPDTLGNINCILAACNGLVLAKITKNGGLVVMNPSTRNHIRVPLGTIGFMKECYAFMFSHFTGAYKVVHLFRDDSRNIRCEILNLTTRSWHAVDGPKSGEFGLITTHRSVSAIGALYWLPQIDGCNHVVSLGFHDEKFLTVPLPISSTKNDRLVEIGGSLSFITHATLNLIQVWILKNGSWLKRYSINRLYDITRFIPLCASTKEIFFQREEGYPLLHIYNFEAEEMQEINFKGTNRVGDLYMPLVKSLVSWDNP >Solyc05g025895.1.1 pep chromosome:SL3.0:5:37267801:37268382:-1 gene:Solyc05g025895.1 transcript:Solyc05g025895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNGISSSSQPLMPIFSGETYKFLSIKMKTLFKSQGVWELVEEGFVDLAGSEEEAEKLKEIKKKDAKALSLIQQAVHDTAWKILKKEFQGSAKVITVKLQTYRRDFETLSMKSNESVQTYLSRVSSLVNQMKSYGEDIFEETFIAKVLKSFTPKFEHIVVAIEESHDLSDYTFDELMSS >Solyc08g045800.2.1 pep chromosome:SL3.0:8:16415883:16417495:-1 gene:Solyc08g045800.2 transcript:Solyc08g045800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGHCASSSRSLVSASGVALQAQETRLVRSRCLSSDFRISGGTLRASCLKFRQPTCCTQGIIPQALGAWLVLTSHHAKSSRSPVGEHKVHTQVPRVWLARLRHLASSAAILGGVAPHDPGGAQGIALQAQGSWVARSGSLASTSCSLGCALRESSLKHKKP >Solyc11g065450.1.1.1 pep chromosome:SL3.0:11:51201198:51201500:1 gene:Solyc11g065450.1 transcript:Solyc11g065450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETKCYKRTYFLVPPRGDLLPWSLNLPPYRISNDIRKLVKETNHVDPRVTRMVHGIMEVVRQAHDGVRWVILGDDDTIFFVDNIVDILAQYDHKKYYYF >Solyc06g050160.3.1 pep chromosome:SL3.0:6:32672966:32676231:1 gene:Solyc06g050160.3 transcript:Solyc06g050160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNQVQNWFQNKRTQMKLQSEWYENKIMKQDNDKLRVEHSVTKEALENSIREKHSKEKVDENQTKIEHDQLEDEVKRLAYKLSLFNKVVAHDNIVLLNLGLDAFNELFRLYENGNPLWISKLDGSGEMLNIEEYDRLFIPLIDTKPEYFTMEGTRASCIVADTSMALVNMLMDKNQWVDMFPCIVGKTYATDVISTGMAGNKSTSLLLIKTEFQIISDLVSVREVEFLRFCKKHAEDVWAIVDVSIDEIKSCRRLPSGCILRDMPDGFCKVTWIEHTEYNENLVHEWYRSLIKAVELSGERGIRKMAQRMTDMFCTGVCGTKHNWELIQPATNENPKLMMRTNVSDPSEYVGVILSATKTIWLPTQQQTLFKFFNNEQTRSQWDVLYNNSTMERMIQFSKGQNIDSNISIYFAHGDESCASRVILQDTCTDESGSILVYATIGSQEMDKVIDGGDSSWVALFSNGIAIAPDCNRNLLAANDTCEEMDNGFEDGSMVTINFQMMGNMLPDTTLSMELVKQANGLISHTVHKIKSALKCW >Solyc04g074000.3.1 pep chromosome:SL3.0:4:60110187:60130032:-1 gene:Solyc04g074000.3 transcript:Solyc04g074000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIVPTIFNFLQCITFLYLFAVTFATTEEATALLKWKATFQNQNNSLLASWTLSGPAGTNSIGAASSNACEDWYGVTCSNGRVYMLNMTNAGINGTLYDFPFSSLPFLGYLDLSINNFSGTIPPEIGKLTNLGYLDLSFNQISGIIPPQIGSLTKLETLHIFNSQLYGSIPEEIGHLRSLTELALNSNSLSGSIPASLGTLDKLSYLHLYENHLSGSIPAEIGKLVNLLQLFLDSNKLTGHIPPEIGKLVNLLQLFLDSNQLTGHIPTSFGNLRNLQTLFLRVNKLSGSIPKELAYLDNLVVIEMDENQFSGHLPDNLCQGGKLENFTVNSNKLTGPIPRSLSNCSSFKRVRFNNNSFTGNLSEAFGIHPELKFIDLSDNDFHGELSSNWGKYKNLTTFWIARNNISGSIPPEIGNIKGLLGLDLSANHLVGQIPEEFGKLTSLVELSLKNNQISGNIPQELGSLTNLDSLDLSDNRLNGSIPTFLGDYQHLFHLNLSCNKFGQKIPKEIGKYPQLTNLKYLVNLNLSHNSLSGHIPEEFDSLTGLQDVVLSYNELEGPIPNNNAFMNASLEGNKGLCGNVTGFQPCERPSSMVKKHSMAKGHKLILITVLPILGALVLLCAFAGSLFMCDQRRRVGDVERRDSIDKDDGLLSISSLHGNSLYWDILIATEEFDATFCIGKGGFGSVYKVNLPSLGNVAVKRLHSSLEIKHHKSFMNEVSTLTGIKHRNIVRLYGFCSNAQHSFLVYEYVERGSLSSILSNEVESKKLDWLTRVNIIKGVAYALSYMHHDCSPPIVHRDMSSSNVLLDSEFEARVSDFGIAKILKPDSSNCTALAGTYGYVAPELAYTLKVTEMCDVYSFGVLALEVIKGKHLGEYLALLANPSTRDVQLSDLLDERLPHPEDEVKEFLVFIVKLAISCLCVIFLYLFAVTFATTEEATALLKWKASFQNQNNSLLASWTLSGPAGTNSIGAASYNACEDWYGVTCSNGRVYMLNMTNAGINGTLYDFPFSSLPFLGYLDLSINNFSGTIPPEIGKLTNLGYLDLSFNQISGIIPPQIGSLTKLETLHIFNSQLNGSIPEEIGHLRSLTELELNSNSLSGSIPASLGTLDKLSYLHLYENHLSGSIPAEIGKLVNLLQLFLDSNKLTGHIPPEIGKLVNLLQLFLDSNQLTGHIPTSFGNLRNLQTLYLRANKLSGSIPKELAYLDNLVVIEMDENQFSGHLPERLCNGGKLEILTVNRNKLTGTIPRCLSNCSSFKRVRFDNNGFTGNLSEAFGIHPELKFIDLSDNDFHGELSSNWGKCKNLTTFCLARNNISGSIPPEIGNIKGLLGLDLSANHLVGQIPEEFGKLTSLVELSLKNNQISGNIPQELGSLTNLDSLDLSDNRLNGSIPTFLGDYQHLFHLNLSCNKFGQKIPKEIGGITHLNVLDLSHNLLLTNLKYLVNLNLSHNSLSGHIPEEFDSLTGLQDVVLSYNELEGPIPNNNAFMNASLEGNKGLCGNVTGFQPCERPSSMVKKHSMAKGHKLILITVLPILGALVLLCAFAGSLFMCDQRRRVGDVERRDGDGWLSISMLDGKALYRDILNATEEFDAKFCIGQGGQGSVYKVNLPLLGDIAVKRLHSSFQNTHPKSFINEVRALTGIKHRNIVSLYGYCSKAQHSLLVYEYVERGSLSSVLSNEVESKKLDWLKRVNIIKGVAFALSYMHQDCSPPIVHRDIRSSNVLLDSEYEARVADFGIAKILNPDSSNCTALAGTYGYVAPELAYTMKVTQMCDVYSFGVLSLEIIKGKHVGEYITVLANSSTIDPEQLSNLLDERLPYPEDRVKDVLVFIINLACSCLLQTPNSRPTMHFISHKLSSMDARPPALYRDILNATEEFDAKYFIGQGGHGNVYKVNLSSFGNVAVKRLHSSFQNTHPKSFINEVRALTGIKHRNIVNLYGYCSKAQHSLWFTSMWRVEVRLCVTFLYLFAVTFATTEEATALLKWKASFQNQNNSLLASWTLSGPAGTNSIGAASSNACEDWYGVTCSNGRVYMLNMTNAGINGTLYDFPFSSLPFLGYLDLSINNFSGTIPPEIGKLTNLGYLDLSFNQISGIIPPQIGSLTKLETLHIFNSQLYGSIPEEIGHLRSLTELALNSNSLSGSIPASLGTLDKLSYLHLYENHLSGSIPAEIGKLVNLLQLFLDSNKLTGHIPPEIGKLVNLLQLFLDSNQLTGHIPAEIGKMKSLQELSISSNNFSGPIPKAIGELTELHLLYLHSNQLSGPIPSELGNLRQLNDLQLSTNQLTGPIPTSFGNLRNLQTLFLRANKLSGSIPKELAYLDNLVVIEMDENQFSGHLPENLCQGGKLEAFTVNSNKLTGPIPRSLSNCSSFKRVRFNNNSFTGNLSEAFGNYPELQFIHLSDNDFHGELSSNWGKCKNLTTFCLARNNISGSIPPEIGNIKGLSGLDLSANHLVGQIPKEFGKLTSLVDLSLKNNQISGNIPQELGSLTNLDSLDLSDNRLNGSIPTFLGDYQHLFHLNLSCNKFGQKIPKEIGGITHLNVLDLSHNLLVGEIPPQLTNLKYLVNLNLSHNSLSGHIPEEFDSLTGLQYVVLSYNELEGPIPNNNAFMNASLEGNKGLCGNVTGFQPCERPSSMVKKHSMAKGHKLILITVLPILGALVLLCAFAGSLFMCDQRRRVGDVERRDSIGKDDGLLSISSLHGSSLYWDILKATEEFDATFCVGKGGFGSVYKVNLPSLGNVAVKRLHSSLEIKHHKSFMNEVRALTGIKHRNIVRLYGFCSNAQHSFLVYEYVERGSLSSILSNELESKKLDWLTRVNIIKGVAYALSYMHHDCSPPIVHRDMSSSNVLLDSEFEACVSDFGIAKILKPDSSNCTALAGTYGYVAPELAYTLKVTEMCDVYSFGVLALEVIKGKHLGEYLALLANPSTRDVQLSDLLDERLPHPEDEVKEFLVFIVKLAISCLVENPKSRPTMHFISHMLSMDPPNHQIP >Solyc12g038360.1.1.1 pep chromosome:SL3.0:12:50645064:50645381:1 gene:Solyc12g038360.1 transcript:Solyc12g038360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGWFFGFDGWFKVGGFVGGELSGFAAEIWSFRCVIEKRERRFMSWFLVALGIVDGVVWSEFWWWGKEVIWAAVSVEEKGGGWVWFAGKKGEKQRWFCVLCNWL >Solyc06g074040.1.1.1 pep chromosome:SL3.0:6:45914512:45915138:-1 gene:Solyc06g074040.1 transcript:Solyc06g074040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKDCGHHDEERHKLHRRLFTALVGFIILILFIILLIFLILRPTKPHFILQDATIYSFNISSPNLLTTNFQITLASRNPNDKIGIYYDRLDVYATYRGQQITLPTLVPQTYQGHKDFTIWSPFVYGNSVPVAPYLSESLREDQMAGTVLINVKVDGRVRWKVGTFVSGKYHLNVNCPAYVGGKMIGNSIAVGSAMKYQLVQNCHVDV >Solyc02g077290.2.1 pep chromosome:SL3.0:2:42839052:42844891:1 gene:Solyc02g077290.2 transcript:Solyc02g077290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate receptor [Source:UniProtKB/TrEMBL;Acc:G5EKN2] MLIFILKAFLFMSLVTVKATANETIKIGAIIDLNSRIGKEQKTGINIAVENYNHDRRNNKQLITVHFRNTSKDTIQDFFTAEELVERNHVKMIVIGMQTWEETALIADIGKRHQVPIISFVTASYTPELVQLRWPFLVQMTTSSLDQINCTASVVSSYQWRKVIVIYEDDMYSDSSMLAVLTETLKGHGVEVEHQLILPQFSSLSDPREVVRREVVKLLQKQSRVFIVLRSSVSTANHLFKEAKEIGLMGRDSAWILADSLADLLDSVDKAFISSIQGALGIKNHYAEATKSFRHFKGQFQKIFRSEYPTEDHSEPGIHALKAYDSITAFANAVNNLGAKSSNDSVLMKNRILSSNFTGLTGNISFVNGVLSHPPTFRIVNIDGNRYNGLGFWSSMFGFSKVLEAENGELIGVNGSRVMKFSMVKWPGELKRVPKGWAMPTDAKPLIIGVPGRTSFEKFVKVETVAETNEMKYTGFCIDLFKEVLKILEKNYTLPYDFEAYDGSYPDLVQQVINGRYDAIVGDITILAERTKYIEFTQPFAESGLTMVVPVKFDKSKKAWMFLKPFTGNMWVATGSVLVYTMLVVWFMEHQSNPEFRGRWKDQLGTAMWFTFSSLFFAHRENIKSNYTKTVVVVWLFLVFVLTSSYTASLTSMLTVPRLEPSVKDIGWIKRTNATVGCDGDSFVKDYLRQVLELQNIKNISNQDDYPKELENGNIKAAFLEIPYQKIFLREHCNQYVVAGPNYRFGGLAFAFQKGSPLARDVSEAILTLTQDGTLNRLEEHWFALSKNCDNVDPTGETESLTLGSFWGLYLVSGATSTLCLLFYVYHLFRKSRQLTGAFRDNILHPSTDQSLWTKTAGIIRYNKNDKPIVTLRRVTSARAAGLGVDERADSRKWHLVSPSDAAQIYDGSSQHPQLAVELGNSRSN >Solyc04g076140.3.1 pep chromosome:SL3.0:4:61167759:61183560:1 gene:Solyc04g076140.3 transcript:Solyc04g076140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNRSADASKVEGVNQPPPTPGADDQVRGGNKVYRSGNLFLSSKGIGWTSWKKRWFILTRTSLVFYRTDPNATPLKGSEVNLTLGGIDLNSSGSVVVKEDKKLLTVLFPDGRDGRAFTLKAETSEDLLEWKTALEEALADAPSAALVMGQNGIFNNQANADDVSLEQSNDRQPVKSMVIGRPVLLALEDIDGTPSFLEKALRFVEEHGIRTEGILRQAADVDDVEQRIREYEQGKTDFSTDEDAHVIADCVKYILRELPSSPVPASCCNALLEAFKTERSIRVNAMHTAILETFPEPNRRLLQRILMMMQTVVSNKTQNRMSTSAVAACMAPLLLRPLLAGDCELGNDFAMSGDSSVQLLQAAAAANHAQAIVITLLEEYDKLFGEGSVSPELYSDSDGSGTESGEEFTDDDYSYDEEEEDDDAEEGSHADVDDSDHDSCTTTHEVGESEDSNKSSLVSKTSLKTTEVDVVKTTGSSPRSLPQTSVQNDVNKGGESVPPPSRENSRAQGNESAEQVGPGQIEPSTSQKSTNMLNGPLNSVRRAAIWGRTPAKKNLSMESIEIPFNEEEEIQRLEAIKADLQTRIQEEAKGNALLQESLEKRKDALHVRRLALEKDVTRLQEQLQREKELRILLEAGLEGKLPATYSIDGMMKNELQEIAQAEADVNNLKQRADDLGLHLSKQREQNSKLLADSGNQPQQSLNNQGKSKGKHTDTETSKYEASKQADSSQSANSSVEAEMSRAASASIRKSTSRNEGANTTTSALSKLTNRLNFLKERRTQIASELQYLDKNQSDQAVKNNGKVQASRSQTAEKNRLNDRQSLQHPDQGTKKEVHPNLDKVKSDSLPNTEKGQAVVPPWTNSR >Solyc10g047420.2.1.1 pep chromosome:SL3.0:10:40735207:40735536:-1 gene:Solyc10g047420.2 transcript:Solyc10g047420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELLLTTYAFCYHFQSDDPLIKLVEDYKWIDFFDFHWRLGIDGLSLGPILLTGLITTLATLVAWPVTRDSRLFHFFMLAMYSGQIGSFSSRDLLLFFIIWEYQWNLIIHT >Solyc11g030590.1.1.1 pep chromosome:SL3.0:11:22315653:22315988:-1 gene:Solyc11g030590.1 transcript:Solyc11g030590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEENTGVHQLEENSNGEIVENEKEVGQKEVDGNEESNETKEEAEVGETEQNPDGEIVENEEDEDEDEKERKRRDIKQGKQPMYKEGGPFKDYDEKNEGTKFDLDKWSW >Solyc01g011225.1.1 pep chromosome:SL3.0:1:8087562:8087887:-1 gene:Solyc01g011225.1 transcript:Solyc01g011225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLVLLVVEMNFYDADNSSTSSVISCSASICTSDECSETNQCAYSLHYADNSGTSGYFVSDLFYFDKIMRTSLISKSSTSIIFG >Solyc01g098890.1.1.1 pep chromosome:SL3.0:1:89143122:89143367:-1 gene:Solyc01g098890.1 transcript:Solyc01g098890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARTSIILLLMIFVTIQQGVLGGRGYLMEQDNNNINMEDNAQSPVATTRSISHIIGDDEGGFVAYVNREVPSSPDPLHNR >Solyc04g049350.3.1 pep chromosome:SL3.0:4:41468644:41475522:-1 gene:Solyc04g049350.3 transcript:Solyc04g049350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPLSQLIDLYLVDSTELCQKQEMKRKEIQAAGSTFGNYFRVTTFGESHGGGVGCIIDGCPPRLPLSESDMQVELDRRRPGQSRITTPRKETDTCKISSGTADGLTTGSPIKVEVPNTDQRGNDYSEMSLAYRPSHADATYDFKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKLYSGAEVLAYVSQVHQVVLPEDLIDHQNVTLEQIESNIVRCPDPEYAEKMIAAIDAVRVRGDSVGGVVTCIVRNLPRGLGTPVFDKLEAELAKACMSLPATKGFEFGSGFAGTFMTGSEHNDEFYMDEHGRIRTRTNRSGGIQGGISNGEVINMRIGFKPTSTISRKQQTVTRDKHETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMAQYSQCMMFPINPELQEPLQSSPESAEVTL >Solyc04g053092.1.1 pep chromosome:SL3.0:4:51480602:51482659:1 gene:Solyc04g053092.1 transcript:Solyc04g053092.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICVHSTTGGIRNVSLQESATGGGIVTYEGKFFIISLSGSVMLSKSSRTCDLSVMLSRSDHIVLGGCVARKLIAATPVQVVLSIFIPEKEKREPKGDDDAPKDI >Solyc03g078385.1.1 pep chromosome:SL3.0:3:52204891:52215353:-1 gene:Solyc03g078385.1 transcript:Solyc03g078385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGTITPEELFGLLLREEEESLAEDFRSTTTNTQFGATPSHALLTYNYPAPSLNVNQSSILGPPHSLITLLIILIITLNLWYLNNIPIPLDPIIVGPNDVCNVKYVLLLILRPDTLIRVLHIMANLSSQTYTGNDGLADSQGRKLLRGTLYDDLYRLQTPSPHSRSSTPSIFLGEHISLVASQQVCSHPPTHSIAPRSLSNIPVTSFIPSSEQPNHTNVPSSVPSSAPLQIEHVPSTNPPENLPQLTDFSYPTTTPSYFRPSAIIHLFEVKPKALITSRHSLPPDPYRIPEPTTYHQASKFLEWHHATRTKFLALMRNKTGTLVPPPPTELGSRLILSGDAHLYRSVVGALQYITITRPEISYAVNRVCQFMQSPTIAHWSAVKRILRYLKGLIHDGLFLRPMSDSSLVAFSNAGWISDLDDSRSQHDFALFYGRNLISWSSRKQKVVAFAITDLIWVQQFISKLHAPLAAPPIVLCDNLSAQLLS >Solyc01g088810.3.1 pep chromosome:SL3.0:1:83416773:83419192:1 gene:Solyc01g088810.3 transcript:Solyc01g088810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRLFHASRTGSVDVLMELLRSDPIIVRRVGLVDGDSPLHLACLGGHFNFVKELLKLRKERAGELSQNGFSCLHIAAANGDLHIVKEILQVDIGLCLVKGRERRIPLHSAVIKGRVDVMKELLSACVESVEVVTSRGETVLHLAVKSGQFEALEVLIKHIKMFNKMDVFNKQDELGNSVLHLAAARKQHEVVDLLLNGSLPANWAVGVNSLNQMGFTALDVFFLSQSEAGDREIEDILRQAGALKATDMVGIPIRSCNQIRASNRQHGEVMPRRKTKSGWLVDFLKYDRDRDSIESIREVLVVIMMLIAMLTFQAALNPPGNLQQQQQPSYQIEADHLIHYSSHIFLFFNSLGFFISLHVFHIVTRAFPMRLELIFCVFAIGITYISCLMIKLPTYFCYYLCVGIPFLLVFALNISRSADYLHPHKITSADPSLVNQP >Solyc05g013820.3.1 pep chromosome:SL3.0:5:7123832:7132561:-1 gene:Solyc05g013820.3 transcript:Solyc05g013820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIDVPQKGGFSFDLCRRNEMLVSKGLRSPSFLKTGTTIVGLIFKDGVILGADTRATEGPIVADKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLKLHRYHTGRESRVVTSLTLLKSHLFSYQGHVSAALVLGGVDVTGPHLHTIYPHGSTDTLPYATMGSGSLAAMAMFESKYREGMSKDEGIKLVADAILSGVFNDLGSGSNVDICVITKGNTEYLRNHLSPNPRTYPQKGYSFSKKTEVLLTKITPLREIVQVIEGGDAMEE >Solyc09g031526.1.1 pep chromosome:SL3.0:9:31150476:31153759:-1 gene:Solyc09g031526.1 transcript:Solyc09g031526.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEGAEHEEQPDEIVEQGEQLGDSTEQMEYPEEEQYQPLRRSERQRVESTKYPSSEYVLINDEGQDKELIAKLKKDLSKSFDMKDLGPAQQILGMKIVRERTKRKLWLSQEKYIERVIERFNMRSAKPVRTPLASHLKLSKQMCPTTKKEKEGMAKNTASSIPESSASSQDLTFQTQSSSIA >Solyc04g015080.3.1 pep chromosome:SL3.0:4:5267898:5272272:1 gene:Solyc04g015080.3 transcript:Solyc04g015080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIGPSRVEFAAIFFYAPKHFESLPTLMATVTKLNLKEETGDCHRLEAEQSEAPAEISDSRTKRVVELGNGSEVIYMPRFLNYDQSWDFLEYLNKNIPWNRPTIRVFGRSCVQVLIFLLPFSFNFTLFPRDTCYVASEGLPQLVYSGYQPHAYSWDEFPPLKDILDAVHKAFPGSRFNSLLLNRYKGGDDYVGWHADDEKLYGPTPEIASLSFGCEREFLLKKKPDKTSRGKNTSGESPRKRLKKDAFPDKHSFVLKHGSLLVMRGYTQRDWLHSVPKRVKADSLRINLTFRLVL >Solyc07g055290.3.1 pep chromosome:SL3.0:7:63500083:63505664:-1 gene:Solyc07g055290.3 transcript:Solyc07g055290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRGDDEYDYLFKLVLIGDSGVGKSNLLSRFTKNEFNLESKSTIGVEFATKSLNIDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVNRWLKELRDHTDPNIVVMLLGNKSDLRHLVAVSTEEAKSLAEREALYFMETSALEATNVENAFTEALTQIYRIVSKKAVEAGDEGATSTAPPKGQTINIKDEGSAWKKFGCCSS >Solyc01g091500.3.1 pep chromosome:SL3.0:1:84998738:85016596:-1 gene:Solyc01g091500.3 transcript:Solyc01g091500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEASTSTAATNTYNRRHQLKQQIDEKKHRSIFELPANFFDSCRLLQSPSASPLSIVEPLESLSVKTLDDVDIDDESKKEVENTESLSSRNNPKQRWSCNTCKAEFESLHDQRSHFKSDIHRLNIKLSISGRDTIKEEDFDEMTSDSLCKDYDLSSISGSDDEDEKESGQSNDLQRRIVGDIKNKIFLKLHNGEILSLWKGLLLNESESILFENKKALAADDIRNRICLTENEFTKKLKYLIHEPRDNTRLRIVLLARGGHFAGCVFDGTKAVAHKTFHRYVIRAKAGKKQSSKDASGKMAHSAGASIRRYNELALKKEIQDLFLAWKPYFADSSCIFIHAPSNNRQLFFDGDQPYFVCQLNVIRNIPLTVRRPTYKEARRIYGLLTQVSFEVNEEVAPACEEVSLLSASDLSSKCNESMEVLKESLETKEVPKASSSVFELLEQGIDPCLKDERGRTPYMVATEKEVRNAFRRFMASNLDKWDWNAAKVPSALTKEMEETQAAKQAEKNAKKKARAKELKKLRKARQKKAQTLHIQSVIKFAVSLFFSPSDEKKRSIVKQLRSSFASQTPTTWANLFILIPPAEAAQVQTAPSNSERGSVAASALKGKSQSSLSAKLSKEEESKRALDAEREKRAAAAERRLAAAAALKAQGTDLVSAPGGSGTDILCCCCNGSLAGKGAVAGNQSPQMKQPRHPCFYHVKLKAVMANEDDNPTTPLAAKLNSNPSKDQSPVRSGSSSSLPPSNCNGNNNCKINEDNGIDNSTLQRNPQSPEDFILSVASKIASQPLQYSDPDVWGVLTAISDKARKRLQGINMLLTTEEHCIGRMVDNTRFQILSPAVSAHHCKIYRKKVVSEDVEHPTNCCTAVFLKDSSTNGTYLNWEKLNKSSPEARLRHGDIISIAFAPQHGRVNISGPSNVYFVELAFAFVFREVLISASSADDAVLKRKAGNAAVQASNATICTNAHMSSLSIKDNEEFGSESKRLKGIGIGTSEGPISLDDFRGMQRSNTELRKQLESHVATIDSLRSENRAVVDHHEKEMKELKESVSQSYLEQLKEVQQLLETKGKELVDTSRVSTEQKHALEDLNERLSASEQSCIEANEIIHSQKLSISDLKTLLDEEREQRKNEREKAALDLKTSTQRVQAEAQEEIRRLSESAIKREKEQQEIINKLQEDEKERCSLMESLRSKLEDARQKLVVSDNKVRQLEAQLCEEQLSSACRKKKIEELEHERNMLSKELESEKAAREEAWAKVSALELEISAAMRDLDFERRRLKGARERIMLRETQLRAFYSTTEEISVLFAKQQEQLKAMQRTLKDEENYENTSVDIDLNPYNVNVNGSLLREKEVEDEIHNVTRAGCSTSNQRRVRELFDLSSDEASATEKHDCNNRSEGGQDTQEVEFAGAQCVKGGFGSEVDGVGTAPLEGDGVGTELIPDSDTVGIAANMEGDLVGTEQVQETESLGINSERNLDLNKYCVFAENTMQLDDGTLGKEAQVQNHAICDESMPPSQANNVAEGDNVIEDTEAEGTIRTADLLASEVAGSWACSTAPSVHGENDTPKSKENDPCPATLQDSGAQVGESQCATSTSKISSRWDQDRKALSEMIGIVAPDLKEQFSHAVGSDCDQGGNEGDASDSATESCSDDEDNIMNTEVASDTETVDGEKVNEDVMDEDDEATQEDSIG >Solyc12g099900.1.1.1 pep chromosome:SL3.0:12:67827278:67828684:1 gene:Solyc12g099900.1 transcript:Solyc12g099900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDDGSSSVTSSSPIQVFPMMSVSPSFGSSNQWLKELKSEERGLYLIHLLLACANHVASGSLENANIALDHISQLASPSGDTMQRIASYFTEALADRILRSWPGLYKALRSTKLSVVSEEILVRKMFFEIFPFLKVAFVVTNQAIIEAMEGEKMVHIVDLNAAEPLQWRALLQDLSARPEGPPHLRITGVHQQKEVLDQMAHVLTQEAEKLDIPFQFNQVVSRLENLDVEKLRVKTGEALAISSIMQLHTLLAHDNDKKSPLPFKHSNGVNLNRALVNQNTLGEFLEKDMANGCSPSNDTASSSPLCSTGSTKMDSFLNALWGLSPKVMVVTEQDANHNGTTLMERLSESLHFYAALFDCLESTLPRTSLERLKVEKMLLGEEIRNIIACEGIERKERHEKLEKWFQRFDTSGFGNVPLSYYAMLQARRLLQSYSCEGYKIKEDNGCVVICWQDRPLFSVSSWRCRK >Solyc09g008410.3.1 pep chromosome:SL3.0:9:1861086:1869722:-1 gene:Solyc09g008410.3 transcript:Solyc09g008410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4CQM9] MAKIKPQALLLQSKKKKGPSGISVPTIILYGLIVAVMVFFLFATYRHWSRRSMIQTRDELSTTEDENTFIEHKRSDVNGPTYASITTSKGLIIVELYKDGSPDIVDEFVDFCQKGHFKGMRFNRVIKHFVIHGSKVDKPEGTEDWTSRGKHYSRLDTSLKHEAFMLGTSKTNHEGEGFDLFITTAPIPDLNDKINVFGRVIKGEDIVQEIEEVDTDDHYRPKTPVQINEVTLKYST >Solyc01g103350.3.1 pep chromosome:SL3.0:1:91857858:91865006:1 gene:Solyc01g103350.3 transcript:Solyc01g103350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVCSKGVSVKKSGRKRNKEKEKNKSSSKRLIASDKEDDVLVDVDNCGNDATTRLISTETIDKSAGSTPPAWDEGEKNPIVFEKPEVPKVQSLAATNATEIGEQPQINRIFSVRNGVDGAQVVAGWPSWLTNVAGEAIKGWVPRKVDSFEKLDQIGQGTYSCVFRAKDLETGKIVAMKQVRFINMDPESVRFMAREIIILRRLDHPNVMKLEGLVTSRVSGSLFLVFEYMEHDLTGLAASPAVKFTESQIKCYIQQLLRGLEYCHSRGVLHRDIKGSNLLIDNNGNLKIGDFGLATLYQTNQKQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSSGCIVAELFAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQPYKRCLASIFKDFPPSALVLLDSLLAFEPEYRGSATLALQNEFFTTKPLPCDPSSLPKYPPSKEFDAKMRDEEARRQRGEAREGTKGSGIESCRKAAKQSKAVPAPDANAELPASIQKRKQQLNPTSVSEKYNHEEDGGLVFPFDPSRRSLYNGHLSQVSDSGNSIDTNTNGLGPLMSSQRAFDSSIFGELSAQNSFRPHGAAAHLSRFSNSVAAHGSSRYDMSREVSTHSQWPEEHTTGTYNQLNDSDSSYSLLGKDSSNKKDKHSTGKESAVGYIPKKNRIHYSGPLMPPGGNIDEMLKEHEKQIQQAVRKARIDRNKIRKEHTDNGQTESLLHYTTNGR >Solyc05g054560.3.1 pep chromosome:SL3.0:5:65301868:65303807:1 gene:Solyc05g054560.3 transcript:Solyc05g054560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C2D4] MLRSPQEPNRNGGIRTPSPRSRNQSQFHSTVSVQKNRRFNILILIFRFAAFCFSLASAIFMFANSHGGGSDSDSDSLRWYHFDAFRFVAIAAGIVALYSLFEIGASVWEISRGTTVLPEIVQVWFDFSHDQVFAYMLLSADSAGTALARTLKDRDTCTANNAFCVQSDISVALGFAGFMFLSFSSLLSGFRVVCFVLNGSRFHM >Solyc11g069260.2.1 pep chromosome:SL3.0:11:54182251:54186999:-1 gene:Solyc11g069260.2 transcript:Solyc11g069260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSVCVRASALPLPPIDSHRNAAMANSFAIDAQNLSYSVVSKQGKILPILKDCSLQVPSGQFWMLLGPNGCGKSTLLKILAGLLSPDDGFLYVERPRSFVYQNPDHQVVMPTVEADVAFGLGKLNLTPNEIKTRVAKALDAVGMYEYLKKPVHTLSGGQKQRVATAGALAEACKVLLLDELTTFLDETDQIGVIKAMRNSMDTSQGITALWVTHRLEELEFADGAVYMEDGRIVRQGDATSIRKFIEDKLTSYVNQINL >Solyc01g059920.3.1 pep chromosome:SL3.0:1:69265636:69276266:1 gene:Solyc01g059920.3 transcript:Solyc01g059920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAELELGEHPHKTQLLSAELSRARVIPLYKKNPPFSHKKLGFRFKSLPEKLYFTSGMTDNEASAMEVERCQWNGGGEREVAVEEEEDPFLQFIEYAKSLLSPDSDGNGDDSKGPSWRWIVSRILRTCIAYSSGVTSAILLSDLFQAWNELNKSGAPKKQSECILQLKKKHKRAKLPNTVTIDSIYEKKFLSLNSVIEAVIIDTYILPGTNIYMLHLGDFWSSNTIDLYLHRRFYSLADPKNGILKKGREVFLTGCRLRIATGGSDHARLLPTEYLVILLDEDQNDDAMLLLAQFCEDNFSAISLSDVNRGVSYSLRGGIKSITSLEVQGKYGSLQRKQIDLVDDEGVSSKFLLWGEQVLLANLFSVGSTLALDRPFISSSTDSAVETSQECYLEYGTATQLYLVPFVQNEEQVSLALTQNHNKGPKLSRALDPSQGFVVSQVFLPCDSQGSIDFSNYPFRSFVVDLREKMTGISLYGIVSDITSTQESVLSVKIQDVTGAIWARLHFAQSWSLGRLGLGHTIYISGLTCSVGTGKSLALRWVENDAGASFINISCLPALLNSSCLHKLSCLSDLSAEPTGTLVCRVWLDQIEHCHVTARLSHTSCGYFLDDGSGEDLKCNFCQRKCNAELARNFHLKITIADESGKLFAWCTGQTAVELLQITPDEFCELPEEEQIMYPSSLEHETFKVALVNCRKKVKGLLDQDHDIIAWEITRAMKCE >Solyc11g044750.2.1 pep chromosome:SL3.0:11:32585902:32590313:1 gene:Solyc11g044750.2 transcript:Solyc11g044750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVSRLLHPRHIRNQLLHKKLSGEDVKGSIWQNAINTFAAFSTVRQDVVADGKREGRVFAPYSVFKGKAALSAEPRLPTFNRLDSGGVKLNRRGVIMLTFWPSVGERKYDWEKRQLFALSATEVGSLISMGTRDSSEFFHDPSMLSSNAGQVRKSLSIKPNADGSGYFVSLSVVNNNLKTNDRFTVPVTTAEFAVMRTAFSFALPHIMGWDRFTNRPSESISQSPSKVVPQLMEAEWDR >Solyc02g069410.3.1 pep chromosome:SL3.0:2:39875070:39883177:-1 gene:Solyc02g069410.3 transcript:Solyc02g069410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIERASCPVLNTLRFCTKNSSKLYNFPRKHLQFPSFFPRNFNSINGKLYTHGLFLNSSSSFSNTTQETPSQKLALLLEVEGVLMDVDRLGNRQAFNTAFRKLGLDCANWSQPVYQDLVKYDVYEIGWPTSLPTSEKETFMKTVLREKWLMVIIELQIDVRTQNNITDKRIALDELVMSKTLPLRPGVEEFIDEACEEGVPVVMLTAYCKSGEKQYFPFYPTSVILLHLPVKKPVLVSSHKKLGCFDVKESAYILPIIGKVTSSLIHYRSIIEKLGNDKMAKIKIIGTEEVKRSAYGQLVLGEGVASDLGEQLAKEARKAVSAEEQRIAKEVASILKLTVDIDTTSSEGLQNVVAALRAGAEYADVPVHNCVLVSGSLSGVAGAERIGMSCVVVRSSSTARAEFPGAKAIMDGFGGADLTISRLRQIQES >Solyc01g109795.1.1 pep chromosome:SL3.0:1:96549130:96550488:-1 gene:Solyc01g109795.1 transcript:Solyc01g109795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVSLSKSKAMNLVSATTIKTAHIQSRTFLAYERSQTSSLFEKQDVSPTKLELLVPKAKEPQALILRRLTHLLPGSCPRSPSGQKSVLDELGCSARASIDPKSKLSVLEELASILSVGAGSSKFISNLSCLCPASFLEPSSANYKQYNGNVNIMNSLMTIINLWLQYSVGAHEAFFKQQSLAITKDDLGRFQLNLGAPVTRQPQENCLNTNKIRDI >Solyc01g066040.2.1.1 pep chromosome:SL3.0:1:72765908:72766357:1 gene:Solyc01g066040.2 transcript:Solyc01g066040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRDLVTIGSEGFALINEYFGKKRMNRPPTTVAHNLVAGTATTFHVTQQRYNYHYASSKTQVYRVIPLSGTEDMITTPKPPVALNSYEATPLHDGTYISNYSNTRLMRMAL >Solyc12g044987.1.1 pep chromosome:SL3.0:12:61333028:61334702:1 gene:Solyc12g044987.1 transcript:Solyc12g044987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAKHGPYWRNMRKLCTQHLFSNQKINSFQSMRRQQVEVMIKSLKNGTCDHRVAVYLSAKISSLNADLTCLMVFGKKYMDEDLDKRGFKALVKEVDELAATPNLGDFIPFLGVIDLQGLTRRLKDLSKVFDDFLEKIIDEHVQSGDQKQSKDFVDTMLDIMQSGEAEFQFGRSHIKAILFDMLVAAMGTSATAIEWILTEFLRHPHVMKKLQKELEQVVGLEKMVKESDLENLNYLDMVVKERLRLHAVAPLAPHEAMEDCVLPHTKRIRILINFYAVQRDPNIWPEPEKFLAERFSGSSIDIRGHDFQLLPFGSGRRSCPAMQLAIVLVQFTVAQLVHCFDWELLNGMQPCEMDVEEHFGLATSRANHLIAIPTYRLNKNA >Solyc06g005580.3.1 pep chromosome:SL3.0:6:621940:627824:-1 gene:Solyc06g005580.3 transcript:Solyc06g005580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:K4C340] MGRKTPSGNSSSESDLEIKVQPRNISLEERDQRLHSYPPQIPPPNYQPYKRWAPWLVPIIIIVNIVLFIFVMYVNDCPHNGNNCFGIDFFGRYAFQDVHENPLLGPSTTTLQKLGALDVNKVVDGKQIWRLFSCMWLHAGVFHVAANMLSLLFVGIRLEQEFGFLRIGPLYVLAGVGGSLLSALFVRKKISVGASGALFGLLGAMLSELITNWTLYENKLATLLTLLLIIALNLAVGILPHVDNFAHVGGFVTGFLLGCVLLLRPQFGWVNLNKAPPGYFVAAKKSKYKIYQYILLMFSLAFLLTGFILGLALLTNGWDGNAHCSWCHYLSCVPTPLWSCTEARCATIQLGNQLNMTCTSNHKNGTYMLTNPNNTFEIQMLCSKLCT >Solyc01g066445.1.1 pep chromosome:SL3.0:1:74197111:74206274:-1 gene:Solyc01g066445.1 transcript:Solyc01g066445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLTAADNATGKSRRSLTSSPSVESSEGMALFSSTHTGRNGSNYPGGGRGGPGSSPSHYSSGPYNNRSKRGDLICDFCHIRGHTRNVCYKLNGYPADYKPKKRFGTDNQSTRDTSGSGSDKLSNVTGGTASSSVNFAGNSKLQQGGELSQDPNEMISGLPQFTKDQYNKILQLLDSNEGKHSAMAADVRPLFPVLEPHLQSSTESISTPRVVVPASPSVESCSSSSTSAYPVPSASPSHVSIPEVRRSSRPHKPPVWMSDYISKGHGNANCCYPLSQMGLSGTKPFTTPLETNLKLIFVDYDSIINNTSADNDDKLLTDPGKYQRLVGRLLYLTMTRINIAYVVQLLSQFMHKPKQSHFEAALRVVKYIKGFPGLGLLMPADSSCKFEAFCDSDWGGCLQIRRSVTSYLVKFGNAVVSWKSKKQETVPRSSAEEDFKRGSSSHANNAATGGCQPPENGVYDNSSVNTNAKSYGTSPNNFSAGTQGMSLFTDEQYNQIIQMLSKGKGKEVDSIANVATASSSDLGSRSDMKVNLPTGAQVAISHVGDSLVLKDKLAKDDLFSGRVLGIEDKALDDYEKFQILVGRLLYLTMTRPDIAFVVQVLSQYMHSPKTSHMEATLRVVRYINGTTGLGLFMTSNNMSELVAYCDSDWGACIESRKSMTGYIVKLVNALASWKAKKQNTVSRSSPEAEFRSMATTIAEIVWLKGLFNELE >Solyc12g035580.1.1.1 pep chromosome:SL3.0:12:42290238:42290396:1 gene:Solyc12g035580.1 transcript:Solyc12g035580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSVWAWMFLFGHLVWAIGFMFLISWRGYWHELIETLAWAHERTPLANLI >Solyc05g025660.1.1 pep chromosome:SL3.0:5:35566696:35567435:1 gene:Solyc05g025660.1 transcript:Solyc05g025660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLSFEELEISNDGSPAFTSAFLFNSLLDFFAVGSTIITSTCSVICSAAFSKELYTILYVTRFVAAGIRPCVSSFGADQLDERSRNYVSHLDKFFTLFNLSVTVGATIDFPAVVNI >Solyc10g084023.1.1 pep chromosome:SL3.0:10:63820556:63821174:1 gene:Solyc10g084023.1 transcript:Solyc10g084023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERNNCKAKLNHLMLKLKKIIDHLQLIPKSSNKVPNDVKEGHFAIFSVNAQEEPMRFIVELYWLNDPSFLKLLKQTEDEYGFGQKGVLEVHCHAAELQKILKLKFIDQTKMTSDLENDDYLV >Solyc12g027583.1.1 pep chromosome:SL3.0:12:24584145:24587006:1 gene:Solyc12g027583.1 transcript:Solyc12g027583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQEEEERKGAEAEERQKNKHINQWRIDDEEVVLESLDPSVYLFQHFQIKDLDRQKYFLGIEVAQSRSDILEETGMMGCKHIDTPMDPNSHLVILKGYRRLVGKSNYLTVTRPDISFPLIVVSQFMTSPCDSHWDAVIRILRYIKSAPGKGLLFEDQVGGNLVSWKTNKQSVVARSSAEAEYPAMVVATSELVRIKQLLGELKFGET >Solyc04g007050.3.1 pep chromosome:SL3.0:4:763876:769023:1 gene:Solyc04g007050.3 transcript:Solyc04g007050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVMNLLVESLFQVISDKIEAIGGAKDELKNLLQDINTLKAFLKDNDEQAESNSNLWKIFVKNIRTQAYKADDVIDKFVLETKLDEEKSIWEWLFDFCSHMKRVENLANSIKDIRDSVRTIRQENPQIFQPNRTINLPTTLAREPQDTFLEVKNMVGLTEEANEVMKRLQIVGGTEEVECIAIVGMTGLGKTTLARKLWSDSQITDKFCKNIWVSVGQSNDLKNMLFVILKSSTVPNEEFRCNGENELAKLIAKFVTEQDMRCLVVLDNVCGSGLVNFFKNVLPENNKGHRILMTTRRRDVAASCASKEPYHLKFLDGEDSFRLLEKIVFDNGIRHRKDELVEVGKSIASKCGGLPLAIEVIAGALKKVDQSAYYWKRVENNFWQCVVRENDTSSCWKIVEASYGSLSSEMKACFLYCLAFPKGYDIPFQMLISLWMAEGLIESNPINFSSPKDKAEDHLMEFENLNLVTVSREITGSIKKIKCNEIFYDFYRMEVTKESVFQQLGQTHDLPSINDPDISRRLHIESSHLSDFISQNPSAEHVRSFLCFPSDDRVANVDIQLIPKAFPLLRVLKIESINIPSLKNLDQLFHLRYISISGNFTELPAFFTKFWSLQSIIINTSHPTLDVKANIWNMSKLTHLKTNKPSKLPLPSTQKGKRSSCPLQTLSKISPQTCNKDVLGEASNSLKKLSIQGNIEDTLKTNSDRFSTFEGFQTFEKFECLENLKLISDVPCHSNAFQLPKVLFRFLLKLKKLTLSNTMFDWKEATKLGQLRCLEVLKLEKEAFTGSIWKPEENEFRKLHILSIHSDDLHTWVASKSHFPELRVLVLKCPQLESVPAELSQLLQQS >Solyc07g045617.1.1 pep chromosome:SL3.0:7:58931214:58933610:1 gene:Solyc07g045617.1 transcript:Solyc07g045617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFLMDVFQDLVYAYGWPSRLVRPIWKVKQAPKQAYPSFRRYSCAIANHYLGDSDYDVKNAKFFRGRPSRPCLCIRLSITACPTHLEANHFLGDPDSDVKNANFLCGRLSRPCLFIRLSITLVRPIWKVKRALKRAYPSFRRFSCLIANHFLDDPDSDVKNANVFCGHPSIPCLRIRLSLIAIPTYFQGQPSPEARIPPFRRFSCTVANLFLGLGYADGCPSRPFLCNFKVKRAPKRLSLKASTTQFQGQTSPEARIPSI >Solyc04g008080.1.1.1 pep chromosome:SL3.0:4:1738420:1738977:1 gene:Solyc04g008080.1 transcript:Solyc04g008080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4BNW4] MIARIIFCFAILLAILSVILLAIFSPIPNQNKSKNPPWVDLSLYIQQPRFPSSNFPSQKAPTDFGALIFHRLLTEGPENTSRIVGKAQGFIIPIQDFANSAFNIIYLTFNSKEFSGSLSVEAKSLTNDNNKLNVVGGTGYFAFARGLAIFAQTKMENINSDNLEANYYQLKLQLKYPNKSKLNAR >Solyc10g078600.2.1 pep chromosome:SL3.0:10:60499060:60502369:-1 gene:Solyc10g078600.2 transcript:Solyc10g078600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKSPARASSIIILQYEFRGSWKTDHFSRTMGR >Solyc10g008000.1.1.1 pep chromosome:SL3.0:10:2159741:2160277:1 gene:Solyc10g008000.1 transcript:Solyc10g008000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDIRGKDLAEGSSRSPGRDQPPSRYESQKRRDWNTFNHYLKNQRPPILLPHCHSNHVLEFLRYLDQFGKTKVHLLGCMFYGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSSETNPFASVGIRVYLREVKECQAKARGIAYKKKQKKLANSPSKGDHDDASCPGFLTFS >Solyc06g084120.3.1 pep chromosome:SL3.0:6:49388525:49395042:-1 gene:Solyc06g084120.3 transcript:Solyc06g084120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEETVSKSPLDKPLNQLTDDDISQLTREDCRRYLKQKGMRKPSWNKSQAIQQVISLKALLEPDTDAGSRKKLHIPRADTHVQRGKNTYGEPSEPVPDRRNQQDKPDLSNHSTALPVTVVDNSAPSRTIGSADKPVGQMTIFYRGKVNVYDDVPADKAQKIMCLASSPLCMPSETPSNATAAARHSAYCLQAANSKLRLDTGIILTIQTVKMSEVSRVPIEESNRLCNDNPGAVESPASRKASVQRYLEKRKERFKWKRKVETTSSANLDIYLSDRIGTCSPSDYASGADLSFPPHITPTGSGPIQDNIQMNPTFSSGLNDRASDSCVTGTNHPPWDTFIRTALQDTAHAKKYWERIVFSSTYVSFPLYNTYLSPVKSEVQDHDST >Solyc06g064570.3.1 pep chromosome:SL3.0:6:40351119:40355683:1 gene:Solyc06g064570.3 transcript:Solyc06g064570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIDLSRTPSCVTLFPSFQQGPKIQFSLTKSAVNSFLYSFKPKRFHFLKPCSSLKETKKQQTLLKTPNKSPQSFRRLVNLNPKNDDGESESERDSGSGDDDNAIKGTILAGLLIVGVIGGFGTVGYIYKDQINAFLNQFSGIIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGTVTGTIIVSIGGTVAASVAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVEFVPYVLGSWLGMLPGSWAYVSAGAFGRAILQEGSEIGLGGNQLLTLGVGLLFTALAATYVTRLAKDAVKDIE >Solyc03g061630.1.1.1 pep chromosome:SL3.0:3:33594769:33595071:-1 gene:Solyc03g061630.1 transcript:Solyc03g061630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILENPSSFYEQNLVSLIYVHPSLAANKEFYELESKLKERMSVGSRYRSKIKLFIELIRFCCGRKERVITFSQLLDPLNRIKEQLNSVFGWTLGWMGNLK >Solyc11g011010.1.1.1 pep chromosome:SL3.0:11:4040901:4041293:1 gene:Solyc11g011010.1 transcript:Solyc11g011010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTVPGLNPLRKGLISVTRNLLKPFLSIVPFCLFLLMDIYWKYETRPTCESESCSPSEYLRHQKSIMKSQRNALLIACALVFYWLLYSVTGLVVKVEQLNKRVEKMKASD >Solyc03g025170.1.1.1 pep chromosome:SL3.0:3:2651628:2653523:-1 gene:Solyc03g025170.1 transcript:Solyc03g025170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS10 [Source:UniProtKB/TrEMBL;Acc:Q00LP0] MSSGFSGEIYGAGGRSTMNNNNSQRPYSSPLSGILPDPVTQMVHQGRPNLMGKRSLAEFQQQQQLQFLQLQQQKQQQQQMMLLQQQQQQGIGFYLRNVKPRSYQQSSPMSPLSPVDFSIAAAASISSSNSNVSTMMNTRQALPVLQQPANMGGFLSPGIQNYSTGVSSLNPVQNGATIGVQESDKKMMNCLQELEKQLLDDNDEEEGDTVSVITNNEWSETIQNLISPSQNQNQIQKLASLSPSSSTSSCASSTESPAISCPKQSIIEAATAIYDGKNDVALEILTRLSQVANIRGSSDQRLTAYMVAALRSRLNPVDYPPPVLELQSKEHTETTHNLYEVSPCFKLGFMAANLAILEAVADHPFNKLHVIDFDIGQGGQYLHLLHALAAKKSNNPAVLKITAFTEQAGGVDERLNSIHMELNSVANRLGVCLYFNVMSCKVADLSRENLGLDPDDALAVNFAFKLYRLPDESVTTENLRDELLRRVKALSPKVVTVVEQDMNGNTAPFLARVNEACGHYGAIFDSLDATVPRDSMDRVRIEEGLSRKMCNSVACEGRDRVERCEVFGKWRARMSMAGFGPKPVSQIVANSLRSKLNSGTRGNPGFTVNEQSGGICFGWMGRTLTVASAWR >Solyc05g054795.1.1.1 pep chromosome:SL3.0:5:65470142:65470723:-1 gene:Solyc05g054795.1 transcript:Solyc05g054795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVLNLEHPSSRPASTRVNSRHHHQLPFSKPLGYFPPLGGIPLTDTNPNVGMNGLQTHTLDLEGISMTFPAIATLQELELGTVITIDEDIYKGSIYGSSLMGKAQGMYVASSEDGSSHMMAMTTTFLGNEYEDSLRFFGVHKGDVFESHIAVIGGTGKYHDANGYATVKIVNVTSNKNEEAYKILSINVYLG >Solyc10g006850.3.1 pep chromosome:SL3.0:10:1276367:1283624:-1 gene:Solyc10g006850.3 transcript:Solyc10g006850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVSKELNAKHRKILEGLLKLPENKECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNEKANSYWEAQVPTNYDRVAIENFIRAKYEDKRWIPKETEKSTSRIQERRATEKQQKHSDRSGFEHASNSGGSSVERVSLQRPSTKQDLPAARFSIPLPPRGPEQVRQTYIHQADSFRSVQNFESYCSTHETVSLGVLPHQTSQTTEPIAVTESAKQVTAIADPPKVETATDLFDMLSMDSPSDNCASAAPVEDNTWTAFQCIRKSQFSSQEEVEAKSGVAKSDHRKCQSASAIDDLFKDSSTLSSSVSTSSLSEKLPKDVKNDIMNLFDKSNMVSPFAMHQQQLAQLAQQQSLLMAAAAASGNLKIPGDVQQSPNGSNIVNQSWPHAGYQFPGMMMPASGKTELEKYFQAGAAHSAGNFVPVSTSSVYQMGQNYSGNGTVPSMPSGDAATTLSSSSTQSTKEDDFSSLTQGMFSKR >Solyc05g024370.1.1.1 pep chromosome:SL3.0:5:31370434:31371105:1 gene:Solyc05g024370.1 transcript:Solyc05g024370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNDVRILGIWGMEIVGKMIIVRANFDMLSPQFDGACFLAHIKKIKKCNLCKISFSLNCQGKKQEYTNNMEDRKHLMARRLHFKKVLVVLDDINHGDHLNDLTWVLDWFSKGSRIIATKRDKHLIEKNVVYEVTLPIDHQLFNQQAFKEEVPDNSFEKLTLEVVGHANGLPLALNAWGSFLHNRGITAWRSATEQMKMNSKPEIVEKLKISYDVFLDLAFFI >Solyc01g005260.3.1 pep chromosome:SL3.0:1:195626:199193:1 gene:Solyc01g005260.3 transcript:Solyc01g005260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNQEIALTQMRKSVEKLGSSTESYGEKTLMRFLIARSMNPEKAAKMFCQWKKWRAEMVPLGYITDSEVADELAAEKIYLQGLSKNGHPVVMVKKFVVHLLDKTIASSFNGRETGNEKLIGVVDLQHITYNNADLRGLITGFQFLQAYYPERLAACYLLHMPQFFVTVWKFVCRFLDKATQEKVRIVTSEEQMQEFIREVGEDVLPEEYGGRAKLVLLQNVTVNY >Solyc03g044097.1.1 pep chromosome:SL3.0:3:8111399:8114534:-1 gene:Solyc03g044097.1 transcript:Solyc03g044097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLQVFITSFLSISCVSIFMPNLVDAQLKTNFYAQTCPNVESIVRNVVNQKFKQTFVTIPAVLRLFFHDCFVEGCDASVIIASTAGNTAEKDHPDNLSLAGDGFDTVIKAKAAVDAIPSCKNKVSCADILALATRDVIQLSGGPGYAVELGRLDGLTSKSTNVGGKLPKPTFNLDQLNTMFASHAAHTVGFSHCDQFSNRIFNFNPKNPVDPSLNKTYAAQLEQMCPKNVDPRIAINMDPITPRAFDNVYFQNLQNGMGLFTSDQVLFTDQRSKGTVNLWASNSKVFETAFVNAMTKLGRVGVKTGKNGNIRIDCGAF >Solyc02g078760.1.1.1 pep chromosome:SL3.0:2:43970610:43971371:-1 gene:Solyc02g078760.1 transcript:Solyc02g078760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCVSSGNSKLLPPTLSNSSSQKSEEETVKEVLSETPTVPKSKLYADENNSPKKSSPISKFSNSMEEKYHKNHIRKKPITPDFNHPDDVSEELSEICSSTLSEAAMVTEKRYAVEDDVNEVRQRSPAKYRNGSFQRSVGNSPARRSDPSPGRVRSGSGRESRVQRKDNGECSGRRSRSPAMRTENGGYGSGLGRSPSVRKTGKSPGRVRSELGDRIRKMDERDGNGENIWAPTNGNESLENPLVSLECFIFL >Solyc03g043840.2.1 pep chromosome:SL3.0:3:7532571:7533946:-1 gene:Solyc03g043840.2 transcript:Solyc03g043840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRIKSDEPHLSLSLKTSIEYESVNRISSLEEALNSEIKHGQVDPNMNMKKLRRTISNRLSAQRSRMRKTEYIDLLRKEAKDLEERIAFLGRKIENDKDNNKKLQLENQMLQLQLDSITNKSNLLAELEAELKRLKELEDEEDEEYIDIDQYLNFDNMNISPFRIDGI >Solyc06g062680.2.1.1 pep chromosome:SL3.0:6:39678143:39679142:-1 gene:Solyc06g062680.2 transcript:Solyc06g062680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLDFTPVNGKSIRVKRSHRDPNSPKNRRANLFIRNLDKSIEIEALHDTFSNFGYICSCKIVTDSNGQSKGYGFYNLTMMNPLKVAIDKSNGVLINGRQVYVAHALCKEKSGIGTSWGRTMEW >Solyc03g082620.3.1 pep chromosome:SL3.0:3:53964019:53969158:-1 gene:Solyc03g082620.3 transcript:Solyc03g082620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGVELMEIEREVTEEMRDGDDEVKRIPPWTKQITVRGIVASVLIGVIYSVIVTKLNLTTGLVPNLNVSAALLAYVILQSWTKVLKKANFTCTPFTKQENTIIQTCAVACYSIAVGGGFGSYLLGLNKKTYQQAGVDTSGNTPGSYKEPKLDWMIGFLFVVSFVGLLALVPLRKIMIIDYKLPYPSGTATAVLINGFHTPKGDKLAKKQVKGFMKVFTFSFFWSFFQWFYSGGDHCGFANFPTFGLKAWKQSFFFDFDMTYVGAGMICSHLVNFSLLLGAVLSWGIMWPLITDRDGYWFPSSLPQSSMKSLMGYKVFISIALLLGDGLYNFVRTLYFTFRNIYATLKTKRPESCKCSPPFLMKFDGNVKCQRSPSEAKNLPLEELQRNEIFIRESIPFWLACIGYLIFSLISIIVIPIMFPALKWYYVLVAYVFAPALSFCNAYGAGLTDLNMAYNYGKVALFVLAALSGKENGVVAGLIGCGLIKSMVSISSDLMHDFKTSHLTLTSPRSMLLSQAIGTAIGCVVAPLTFFLFYKSFDVGGPNGEYKAPYALIYRNMAILGVEGFSALPRHCLQLCYGFFAFAVLANLVRDMAPERVGKLVPLPMAIAVPFLVGASFAIDMAAGSLIVYVWHKLNSKKADLMVPAVASGFICGDGLWILPSALLALLKVRPPICMAFTVGQT >Solyc04g051155.1.1.1 pep chromosome:SL3.0:4:49750276:49750548:1 gene:Solyc04g051155.1 transcript:Solyc04g051155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWVTGWRVSMDYRKLNEWTEKDHFPMPFMDQMLYRLAGKGWYCLLDGYSGCNQISIAPEDQEKTTYTFPYGTFTFKRIPFGLCNAPATF >Solyc01g034155.1.1 pep chromosome:SL3.0:1:36287210:36292983:-1 gene:Solyc01g034155.1 transcript:Solyc01g034155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVARPELSDCRVIAHVDMDCFYVQVEQRKQPSLRGQPTAVVQYNSWQGGGLIAVSYEARKFGVKRSMRGDEAKQVCPEIHLVQVPVARGKADLNAYRNAGSEVVSILSRRGRCERASIDEVYLDLTIAAEAMLADNPSECLETISEEVVQSHVLGLEEVGSDARENVRHWFTRSDASRRDKLLACGAFIVAELRLQVLEETEFTCSAGIAHNKMLAKLASGMNKPAQQTVVPFSSVSKLLRTLPIKKMKQLGGKLGTSLQIDLGVNTVGDMLQFSEEKLQGYYGVNTG >Solyc11g005000.2.1 pep chromosome:SL3.0:11:8014:15227:-1 gene:Solyc11g005000.2 transcript:Solyc11g005000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGSSMLYSFLLFIATLSLQEVYRGKLASSELYTILGGFTSSLIFILLLTFIGNYQETSGIRTGWGAVILAEVVALIAASTVHRVSITTCFLFSAALLYEVNKLSGVMVSRSESRGKRH >Solyc06g054300.1.1.1 pep chromosome:SL3.0:6:37214213:37215190:-1 gene:Solyc06g054300.1 transcript:Solyc06g054300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDVVKDVYPFFRVYKDGRVERFYDVHGTYYLPPSQTSDNVSSKDITISPDVFARLYLPKNTTTPNQKLPILVYYHGGGLVIESPFTNWTHRYVNLLASQLNTIIVSVNYRLAPENDVSTIYNDGWTALQWVTSHANKDDSVMTSTFTNYDPWLKTYGDFDKLFLVGDSAGGNIVFTMTMRAGKESLNCGVGICGSILAFPYFLIENIDQEGIPYKIWINICPSLEQGLFAIDSPMINPLAKNAPSLSVLGCSRLLVCIAERDEYISRETLIRFVEGVKKSGWKGELKVIELEEVGHSFQIYKPDCEKAKDMMMHYASFIHNK >Solyc12g041985.1.1 pep chromosome:SL3.0:12:56810558:56812898:1 gene:Solyc12g041985.1 transcript:Solyc12g041985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKFFLSQRSYIQKVLARFCMSSSKPIDTPSAANIHLTVMFAPHSEEEKEYIGTFDVGLIYGGDTQCLVTGYSDSDYAGDVDTRSSMTGYVFTLGGSVVSWKATCNLQRLCLLRRQSTCQEAEKEGIWLKGLNSHNYNDNMKSTVIGFAA >Solyc07g006690.3.1 pep chromosome:SL3.0:7:1547717:1558351:-1 gene:Solyc07g006690.3 transcript:Solyc07g006690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKIKQPFKPKIPISITTSVPQLSGSVLSFFSKSKSRFDNKGVNNCRLLWVDEKGEMELLRKLSRGCFRNSLKKGQKVKIESVNDGEDVFDAASARAKLQPEHLVVMVNGLVGSSADWRYAAEQFVKRLPEKIVVHCSECNYSMLTFDGVDRMGERLAKEVEDVIKRWPGVRKISFVAHSLGGLVARYAIGRLYEPPVQTEVAGLNRSCLVREENVSDQCHKQSSEETVAGLEPVNFITVATPHLGSRGHKQLPLLCGLPLLEKGALQTAHWIAGRSGKHLFLTDKDNGKPPLLLRMVNDSDDLMFMSGLRSFKRRVAYANANYDHVVGWRTSSIRRQDELPKSNLQIKDQKYPHIVNVEQETTLDINHKLSSLEGKKMADLEEEMIEGLTQVPWERIDVSFHESRQRYVAHNTIQVKTYWLNSDGADVIEHMIDNFLL >Solyc02g085678.1.1 pep chromosome:SL3.0:2:49140814:49142151:-1 gene:Solyc02g085678.1 transcript:Solyc02g085678.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIFLLLKLYFDMIDLLGLAFSERSLLRISLAHRFVSCKIVDIESEDDLLCTRASPCPCCCCPVGLGFIWVPLKIESKRWFIQVFRMPLSMLSRKQKPNLWLIFTILDACFLYDVFVFLDLRNANINSRFVSKGPINKLLNNIAMSFGSASDIEKQNRSQIYPLFLEPSKTFVEFKVKTGYTSLFITNRIGFQSIKRTPDKARPFLNSCSRRTIKYHGRWGAAVTGVY >Solyc02g077770.3.1 pep chromosome:SL3.0:2:43192399:43193195:1 gene:Solyc02g077770.3 transcript:Solyc02g077770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELFVLGCTGVVMFLHGANFFFHALSQHMAIRSLSFLGFVGW >Solyc10g045195.1.1 pep chromosome:SL3.0:10:32228950:32230917:-1 gene:Solyc10g045195.1 transcript:Solyc10g045195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITLPDIQFAVNRVAQRMHKYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFWGPNLISWCTKKNSRSLGPRLKLNTAPLLFLLLRPLIVGKSLVHIQEIIAAIGKDFAMKDLGPLQLFLRIEVTYFEGGIDLIQSKYATELFKKTDMAMARALNTPVAQKHGLHEAQASSVDASVCRSIVGFLDMLETVQLGLRLISKSPLRMYGYSDVDWGGFSMIRRSNTGYTIYLGANCISLALKK >Solyc03g019700.1.1.1 pep chromosome:SL3.0:3:62911951:62912160:-1 gene:Solyc03g019700.1 transcript:Solyc03g019700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVVLFVLLSPGLLFQLPSRGKVVEFGGMQTSGAAILVHAVIYFGLITILLVAVGVHVYAG >Solyc01g006880.3.1 pep chromosome:SL3.0:1:1441707:1457663:1 gene:Solyc01g006880.3 transcript:Solyc01g006880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCQSNGESENVSTPCDAGGSSTIDKSSMVYPQAVLATGWMYVNEQGQMCGPYIKEQLYEGLSTGFLPEELHVYPVLNGAISNAVPLKYFNQFPEHVATGFAYVMVSSSGANGPTDKSMGVAKDSGGNEMDLQTTSPYSNSVAQHGTHLLNQQMATTGSAGTLAPSTTSVNEESCWFFEDHEGRKHGPHSLMELYSWCHYGYIVDSVMVHHVAGKYRPFSLKSLISSWTTATPGALFLSNPDGHETASLQDFVSEISQEVCSQLHMVIMKAARRTLLDEIVSHAISECISEKKDLKKAANQKKVTNQKKVINQSVKMSSPGTRMSAGCGGSKALIDPERSAEAPNLLNWESAAAEIPSKSSGSSKSVGSFENYCDSYTVVCRKVFDSCMHSIWNAVFYDHVSEYSSAWRKRKLWSPPCLMVESSIQAVSYANCTTKLSTEVLQGEEESFPPGFEKKNVTVDLPPVSSSKDFTVELSTEVLQVEEESFGCDPDYPPGFEEKNMTADIPSVSSSKDCTAELSTEVLQVEQESFACDVDFPPGFEEKNLTADLPLVLPSKDCTVELSTEVLQVEQESFGCYLDFPPGFEEKNMTVNLPLVSSPFNDERVLSRSSHATDPEANDCIQPIVERVLHELHLSAKMSLGKYFTSLLHEEAMGKVDLLKDGMIIKVAEDPNTFSGAACQNDSSEAILVSENLAHVDIQNTSSCKSSLHQNSIDPYVIRVSDWFSSAFQKSASLDSASSNEMTDELQPPECEAVPVQTSKVRLARSDDSILRIIWYATLSNCRQRVHEKALRELKSFLVDDIIRNFLTTSSSARRCSKSEDSQVTRSKAGNETRDKSPVALSKSGDGSPKVPTAVGKYTYYRKKKMVKRKLGSSSQPLLGGDIGYEKSSINKSRKKDLSGEATAKTKGDSATSSEKEIGLKDCRRELFTNASLVVPPSSLTSCNTSSEKDASVYKAGKSNASRKKLKATFVAEVCSDNGEVSPDIVFRKRSIRKKSRKQDLLVEATGSTKVDNADLNGIEIRPKDCRRELFTNASLVVPPSSVTNCNTISEKISSASKARGSSASRKKLKDAFVAEVSSDNGKVGEDVGFKKRSIDKSRKQDLLGEATESTKGDNAALNVKEFGLKDCSRELLTNKSLIVPPSSVINCDIISEKVASYSQARRRNASRTKLKAANVTEVSSDNGMVDGNIGIKKRTINKSTKQDPLGEETEINKGDNAALHVEEIGLKDCHKELFTNAALVVPPSSVINFNTISEKVASVSRGRSNTGHSKLKATFVAADSSGDGKVSEVANRELGTQEMQPPSCSKKTPKSAKLPDLKKRKLEDNLTASRSRKIQKQSTGVGNQAATKVATPEKNQKGKSRIAKHCSQSVGCARTSINGWEWRKWSLRASPAERARVRGTKVVHIQSASSDANGSQMLNAKGISARTNRVKLRNLLAAAEGADLLKATQLKARKKRLRFQQSKIHDWGLVALEPIDAEDFVIEYVGQLIRRRVSDIREHYYEKIGIGSSYLFRLDDDYVVDATKRGGIARFVNHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPFEEKKIPCNCGSKRCRGSMN >Solyc08g081630.2.1 pep chromosome:SL3.0:8:64740832:64744489:1 gene:Solyc08g081630.2 transcript:Solyc08g081630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYNNSTLLSMDAGEELHLPPPPPPPPLPPPTTLLSPANFYMQQPNDQLAMQNNCNSSQVGLICDNIDWAGLLSGSSSINNNNESIATTPNVSINPRNMNMNTNMNMMEGGEQHQQPLLQLQEHNFRREKGRKRKYVPPRVAFHTRSTEDILDDGFKWRKYGQKAVKNSTHPRSYYRCTHHTCNVKKQIQRLSKDTSIVVTTYEGIHNHPCEKLMETLSPILKQLQFLSRF >Solyc03g121050.3.1 pep chromosome:SL3.0:3:70759097:70767624:-1 gene:Solyc03g121050.3 transcript:Solyc03g121050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFTKSIKDSIFNTMDHIQLTFLAIICLSAIFKIVPLCSSYPTPSNCTDTGRLCTSFLAFKPSPEQTLPVIQSMFDVLPNDITVEGNGKGYVFIRKNCSCAYGMRKYLTNTTFTVRKNNGSVYNMVVDAYDGLAYFPTNFTREGKKGAVVSLKLMCGCSSGLWNYLMSYVMTEDDTVGSLSSRFGVSMDNIENVNGIANPDNFTAGSLYYVPLNSAPGEPYPVENHTVPAPAPSPSVADISGVEENHKSHAIYWWIIGGLGAGLLLIVVILAFVVCWSSSCFSRTERSHTAGSNEKISHKFQILRNTSFCCASGRYICGNSGDLQEPNGESTDQQINIPKVIGTDVFDMEKPLVFAYEDILSSTDGFSDSNLLGHGTYGSVYYAILRNQEVAIKRMTATKTKEFTAEMKVLCKVHHLNLVELIGYAVSNDELFLVYEYAQKGSLKSHLNDPQNKGHTPLSWIMRVQIALDAARGLEYIHEHTKPHYVHRDIKTSNILLDDSFRAKISDFGLSKLMGITNDAEASATRVVGTYGYVAPEYLRDGLATKKTDVYAFGVVLFEMLTGKEAVTRTEGNVMKTAERRSLVSIMLAALRNSPDSTSMTSLKDQLDPSLMDLYPSDCVFKVAMLAKQCADDDPILRPDMKHVVITLSQIMLSSVEWEATLAGNSQVFSGIVQGR >Solyc11g020770.2.1 pep chromosome:SL3.0:11:11984626:12009280:1 gene:Solyc11g020770.2 transcript:Solyc11g020770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVCLVIDEAHRASGNYSYCVVVRELMAFHVQVRILALTATPGSKRQAVQKVIDNLQISTLEYRNESDPDVLPYMHARKIEQITVPMGQDAVEVGELLFEVMDPLASRLRAYGLQVNRDYRTLSPHLILDSRSRFREAPPQNLPQVKFQEIDGLYGVLITLSHIVKLLSSHGIKSAFEMLEEKLQSKLFGRLMGRNEILLKAKRLMQQVVSHGAPSPKLSKTLEILIDHFKMSDPKSSRVIIFSNFRQSVSDILLSLRSLADLVKATEFIGQSSGKTSKGQSQKNQQAVLEKFRTGEYNVIVATSIGEEGLDIMEVDLVICFDANVSPLRMIQRMGRTGRKHEGRFLAPKLFFDVVISIYFLNEVLLVLAYEGRELKGYLQKQTSGKTIIKDMRNGGMSSFSFHSSPRMIPHILKPEVQFLKLSIPQFVPRGKNTKDVLPVQVSAFQNKLSDEEAKLLAKYFNFTGQEAQDTWRPSLIAFRHSQAFPSKVHRVVHSFRTGMLIDAMQNLQEMPLPRDVKASSNEDETSENLSMKVESTEPCEENQKGCSNEDDCKREKNSHSIPVPSNRKTLLLDIFPGENAHAHGFLFNSDFVSVDDQGRVIVSSLAQLSLKETLVSKFTSTRLFENFNLPEKEPLHGMVSVNDLDDKTDGVKGVYSTRTVTTEKNNLESSRICVSNAKQQKISDISERSFGSSDHKVKPEGKSIGESLEVQIRGTTIGADEPDETIEDMELSPRLTNFINSGFVPESPTTDRVFKDKSVETMVKDLFSTPKISSEQNEKTVGGSSTCGKYNEMSTPIQNIDNTEPRSCKYTSLIVEDKQTPMEKNSGKSCSEDWQLRSTDKSDSIGKIWKFRRLLKHGDLPRRKPPDELNTSTSRRGAALCGTPSHTGFKHRRAIGEKRQQNIVRDFIEEEAEVSSEVLVSDDEEDKLDFGSFDDSFIDDRINPTATDSQAEAGEVDMIAIYRRSLLTQSPILNLSTDCTPDSEVPTSRADERSSSGTADHHTTQMDPKSVTRNSSSFQESISKISPEAMRCSTTCSSRQNNGNLASRKRKLSYYQATSLPVINLENEFSRHSTAAGKNLHLLEEAAENVVGDPFNDDLFFQSIDFDAVEEEATRMLRNKSQSLVQNTVTSIPTTQISNGTDAPSFDLGI >Solyc10g038120.2.1 pep chromosome:SL3.0:10:19754529:19767913:1 gene:Solyc10g038120.2 transcript:Solyc10g038120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAASIISKSGKALISRQFVEMSRIRIEGYLAAFPKLVGTGKQHTYVETENVRYVYQPIESLYLLLVTNKQSNILEDLETVRLLSKLVPEYSFSLDEEGVCRTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQSKINETKDVMKRKASEIDKSKIERNRGEKGGFMSLQSMGSGRMDTGFGSDTNLSSLGGSGSGFGPSTDVDSFSTKSKGRPVASATGPPKGLGMQLGKTQRTNQFLQSLKAEGEVIVEDVRPSIGPSKPPAPPPTDPVTLTIEEKINVTLKRDGGISNFNVQGTLSLQILNQEDGLIQVQIETSGNPAIHFNTHPNINKELFSNENILGLKEPSRPFPANQSGDGVSLLRWRMQSADESILPLTINCWPSVSGNETYVNIEYETPAQTDLQNVVIFVPLPALRDAPRIQQIDGEWRYDSRNSVLEWSVVLIDNSNRSGSLEFVVPAADPDVFFPISARFTASRTFSDLKVANILPLKGGSPPKFSQRTLLASENYQVV >Solyc05g056320.3.1 pep chromosome:SL3.0:5:66502637:66507210:-1 gene:Solyc05g056320.3 transcript:Solyc05g056320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTSVTSPLHKKMSGRRAKTSGWAAFDLNERLKQQNLEPEPERETFPNLSVPPTSLRGPSQSIAKNSGATLEKPFSSLLLPSVSFPSLMATKESDANHSQVGDSCFNQTDGFIRERGFLEVCRKLKELQPWADDTLIADVLAGVNDFNKALTLLDAMVSPDHTYVAHDNRTTPEEADTPYIQNLKDVDTDTKGVKSSSKNSLMNINKFAANKGVSLTDNVDMDELSHALAKCLQSNSQELINNCVSHENKLHFDGAVGSMSFVPVEPEWEEDDIYSIHRKDAVKMTRSAARHSKAASEAYLRGDHLSAQHFSLKAQEEWDAANRLNAQAAKEILTACNGKNDQWTLDLHGLHAKEAVQALQEHLQKIESQVAQNKRSFGAAVSFDVENESKGSHLLNKQRPAFLEVITGKGIHSRGQAALPMAIRSFLVEKGYRYEETRPGVITVRPKFRPR >Solyc06g074770.3.1 pep chromosome:SL3.0:6:46458625:46461660:1 gene:Solyc06g074770.3 transcript:Solyc06g074770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTCKPQRTPAEIEDIILRKILLVSLVDSSVNDTRIVYLEMTAAELLGEGKELKLSRDLIERVLIDRLSGYIVSAEPPFQYLVNCYRRAHEEGRKIASMKDKNVGSEMELVVKQVKKLVVSYCRIHLGNPDMFPNWDMAPANVSLLLPLLFSEFSTSVNEYGGSNGCGEFSSPPGFLDELFKDGNSDNMEPILKQLYEDLRGTVLNVSVLGNFQQPLRALMFLVKYPVGAKCLVNHPWWVPDSLYMNGRVMEMTSILGAFFHVSALPDRTIFESQPDVGQQCFSKSATCRPADLSSSFTTITTITNNLYDGLTEVLLTLLKNSTTRENVLGHLATVINKNSSRAQLQVDPLSCASSGMFVNISAVMLKLCEPFLDANLTKREKIDPRYVFSSNRLELRELTALHALSEEVSEWINKNNPGKVDISKDGSVTSSGNDSCGPSILQYNNPILSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRYEDDLSTMKTMLEQAPSSQLLMVVWLVGLVGGFKMPLPSPCPVEFAAMPEHFVEDTMELLIFASRIPEAFDGVLLDDFMNFIILFMASPDYIRNPYLRAKMVEVLNCWMPRDDSSTAMSTLFEGHQLSLQYLVKNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWKVPNHQNAWRQFAKEEEKGVYLNFLNFLINDSIYLLDESLGKILELKELEAEMSNTEEWERKPAQERQERTYLFHSQENMIQTDMKMANQDVGLLAFTSEQITVPFLLPEMVDRVATMLNYFLLQLVGPQRKSLSLINPEKYEFRPKELLKQILKIYVHLARGDKENIFPAAIIRDGRSYSDQIFSAAVDVLRRIGEDMSIIQEFIDLTEKAKTAASAALDAEAALGDIPDEFIDPIQYTLMKDPVILPSSKKTMDRPVIQRHLLSQSRDPFSRSHLTADMLTPNTELKAKIEAFIQSHELRKTMEDFNL >Solyc06g053260.1.1.1 pep chromosome:SL3.0:6:36074430:36074819:1 gene:Solyc06g053260.1 transcript:Solyc06g053260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small auxin-up protein 58 [Source:UniProtKB/TrEMBL;Acc:I3RSI1] MGSGDHKHHHHHLNFHVQVHLPHIHFHHHHHHQHEHGIKEIMGIPKGCLPVLVGHDGEEQHKFIIPVIYINHPLFTQLLKGNEEECELHHDGPMNIPCHVEEFRYVEGMIDKETHATGHHNHHAWCYKA >Solyc04g051670.3.1 pep chromosome:SL3.0:4:50902975:50919408:1 gene:Solyc04g051670.3 transcript:Solyc04g051670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA cytidine acetyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BSC6] MRKKVDERIRTLIENGVRNRHRSMFVIIGDKSRDQIVNLHYMLGKASVKSRPSVLWCYKDKLELSSHKKKRQKQMKKMILQGVLDTEKADPFDLFVTTGGVSYCLYRDSERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRHLSSLTSLFTMTMDVHSRFRTESHSQTTGRFNERFILSLASCETCIVMDDELNILPISSHMRRITAVPVQEDSEGLSEAERELRNLKEQLNEDFPVGPLIRKCCTLDQGKAVITFLDAILDKTLRSTVALLAARGRGKSAALGLAIAGAVAAGYSNIYVTAPSPENLKTLFDFVCKGFSMLEYKEHTDYDIVKSNNPEFKKSIVRINIYKQHKQTIQYILPHEHVKLSQVELLVVDEAAAIPLPVVKSLLGPYLVFLASTVNGYEGTGRSLSLKLLQQLEEQSQKSKSADSAISGRLFKKIELSESIRYASGDRIEQWLNALLCLDVTNSIPSISRLPQPGHCDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVVDKTKNCLPDILCVIQVCLEGQISQQSARTALLQGRQPFGDQIPWKFSQQFADDEFPSLSGARIVRIATHPSAMKLGYGSAAVELLARYFEGQFTQLSEVETEDTLETPQVNVTEAAQEVSLLEENIRPRTDLPPLLVPLGERRPERLHYLGVSFGLTLDLFRFWRKHKFAPFFIGNAPNSVTGEYTCMVLKALKNDDVKAAESDEWGFYGPLYQVYKRRLVELLGSTYQKMNYKLAMSVFDPKINFVEQDPASSELSNSMKFVLNPDEMKMLEAYSNSLIDYPLIRDVAQKLAREYFLEHLPVSLSYVQASILLCYGLQHKDISEIEVEMNLERQQVLSFFMKTMKRLFKYLHNLKSKEFSSTASRLKAITLEPHLISVDEDLNDAAKKVQDDMKAKTTEGLLDPELFQQFAIVDREADFESALQNGGGKISSGGVVSVKSNKSKLEKKHSKLESENSDKKRHKNNSGSKSHKKRKG >Solyc03g051690.1.1 pep chromosome:SL3.0:3:22359419:22360119:-1 gene:Solyc03g051690.1 transcript:Solyc03g051690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSACLTFPFDVSSLPVTSQAADGAKIASASRIICVDLNAS >Solyc04g081160.3.1 pep chromosome:SL3.0:4:65262015:65265040:1 gene:Solyc04g081160.3 transcript:Solyc04g081160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYVWAIAAGFNAALAAISAKFFTSQLIKYGCVILFNVTMWGCYVNSLKALSSLQATVTNFATNFLSSGLAGFLLFREPLPIQWFAGASLIVLGVIVLSKSSIEKKTHTS >Solyc12g010180.2.1 pep chromosome:SL3.0:12:3296508:3307466:-1 gene:Solyc12g010180.2 transcript:Solyc12g010180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVTPHVSSQSAIVHINGKNTFLSPQKTLDFPILSGSGGSFLRMRSTKRLEVKPLAVSQNSSATCVELSDDVKRNKSPIIVIDNYDSFTYNLCQYMGELGCSFEVYRNDELTVDELKKKNPRGILISPGPGTPQDSGISLQTVLELGPTIPLFGVCMGLQCMGEAFGGKIVRSPYGVMHGKSSPVYYNEGGEDGLFAGLSNPFTAGRYHSLVIDKDTFPKDALEITAWTEDGLVMAARHKVYRHIQGVQFHPESIITSEGKTIVYNFIKLIERKEEAESQN >Solyc02g084345.1.1 pep chromosome:SL3.0:2:48019083:48024248:-1 gene:Solyc02g084345.1 transcript:Solyc02g084345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNESCVPPGFRFHPTDEELVGYYLRKKIASQKIDLDVIRDIDLYRIEPWDLQDKCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYNKSKLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLESEENEGWVVCRAFKKKITGQTKSNNMVEGWESNYFYDEATTATISSIVDPLEYITRQPPNLSNNNFNNLLCKQETSMDQAAENNLNFSYTNSDQFIQLPQLESPSLPLLKRPISSIKNVTADWRALDKFVASQLSHEETTLYEDDQHNCSDLGMLLLLSDRGEGPKLNEILSSSSEDSDIGICLFDK >Solyc10g038156.1.1 pep chromosome:SL3.0:10:20266273:20267370:1 gene:Solyc10g038156.1 transcript:Solyc10g038156.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGFENHNWYTIKNCFTFGELTKLTCCLTLITTASIYIPIWWFLCDRGDEGIRILQNILSNNFNVVNFFALDHSEEELGLVPNISHYNKSDNFIEVGTDCDSSEEEENGETMSSEYDSYELEIYKKQKQHDINETLDKYKNLEYGMTFSNLKEAKQVIDFYAVPNKRDIRVKRSDKGRVTYCCVLNCPFRFSIYKYGRDQGFKIKTLNQEHTCYETYENRRAKAGILSQYFKKKVQNNPTFKIKDMKEHLESILDLDVNESKLKRVKRLVLDKLDGSYADDYNKLEAYAYELRMSNPGTDVVINLSRDAMEEGIQGRLERRFATFYRARWHLFKRKR >Solyc03g093785.1.1 pep chromosome:SL3.0:3:56884395:56893562:-1 gene:Solyc03g093785.1 transcript:Solyc03g093785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFANITHFCSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHYYHCLKRILRYIFDTLGRGLLIRPGDLELRGFSDSDWANDKNDIKSTSRFLIFLGPNLISWCIKKQPKKSNSLVVFVAVYVDDIVLTGTDTIEITQLKMYLDETFKIKDLGRLHYFSGMEILDTAEGVLISQRKFVLDLLKKYECSNYTSLSSPLDPNVKLRAKEGNPLPDPTYYRKLVGKLNFLTNTRMDIAFSVQQLSQFMQDPRLPHLQAAFHLLRYIKQDPTLGVYLSRNSDCTMRAYCDSD >Solyc08g079370.2.1 pep chromosome:SL3.0:8:63053025:63055087:1 gene:Solyc08g079370.2 transcript:Solyc08g079370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFFKPLFDSFPWPLQQLNVEQKGVFFSCFLGFLALLWCFIRNSNKGLPPGPEALPLIGNLHSLDPELHTYFASLSKTYGPICRIWLGKKIGIIISSPALAREVLKDKDTIFANRDVSAAGREFSYGINDLLWSPYGPKWRMLRKLCVRDMLSSSSIDSVYGLRRRELRQSINYLYSQKGLPVNIGEQMFLTLLNVITSMLWGGTMKGEERASLGAEFRNVVTEIVDLVSIPNLSDFYPGLTWFDFQGVIKKMKVVLKRFDKLFESMIDQRQKLDRNGVGRESKNFLQVLLKLKDVADAKMPLTMTEIKALLMDMVVAGTDTTSNAVEFALAEILNKPDVLRKVQQEVDTVVGKDNIVEESHIQQLPYLYAVMKEAMRMHPALPLLAPHSPSETVTVGGYTVPKGSCIFVNVWAIHRDPSIWKNPTEFRPERFLDNKWDYSGNDFNYFPFGSGRRMCAGTAMAERMFMYSLASLIHSFDWKLPEGETLDLKEKFGITLKKKIPLVAIPTPRLCNPTLYE >Solyc06g007440.3.1 pep chromosome:SL3.0:6:1452508:1454294:-1 gene:Solyc06g007440.3 transcript:Solyc06g007440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSETTIFNKYELGKLLGCGAFAKVYHARDIRDGRSVAIKIINKTRVSNAILMANIKREISIMRLLRHPHIVKLDEVLATKTKIYFVMEFVKGGELFAKIAKAGKFPEDQSRKIFQQLISAVRYCHSRGVYHRDLKPENLLIDENGDLKVSDFGLSALTEQVQQDGLLHTLCGTPSYVAPDVLTKKGYDGAKADIWTCGIILFVLNAGYLPFHDSNLMGMYHKIINGEYKCPKWMSSELKRFLRRLLDTNPMTRINVEEIMNDAWFKKGLKRVKFCEEDGKPQRRIQNSDSTDQDEPSAEIEEVKDGEDKKKNSYLNAFDIISFSMGLDLSGLLNDGFNPLEDFERLVVEESLEIVME >Solyc02g084370.1.1.1 pep chromosome:SL3.0:2:48037322:48040705:-1 gene:Solyc02g084370.1 transcript:Solyc02g084370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLLLFLVFLSTLCSAQRNPQTLSEVQALTSFKLSIRDPLGALTDWDSSSSFAPCDWRGVFCINGRVGELRLPHLQLSGPLTTQIGNLRMLRKLSLRSNFFNGTVPASLSKCTLLHSVFLQGNAFSGNLPPEIFNLTDLQIFEVSGNQLSGEIPGELPQSLRYFDLSSNLFSGDIPSKFSDRSQLLLINLSYNRLSGEIPASLGRLQQLQYLWLAYNNLVGTLPSAIANCSSLVHLSAEGNAIGGLIPSAIAALPKLQVISLSNNNLSGSLPASLFCNVSIYPPSLRVVQLGFNAFTNIVKQESSKCFTSLQILDLQHNQIHGEFPLILTNNSALTSLDVSWNLFTGKIPSAIGNLWRLEELRMTNNSFEGALPFEITNCSDLKVLDLEGNRMSGELPMFLGDLRSLKTLSLGRNQFSGSIPSSFRNLSNLENLNLAGNGLNGSLPEEVMGLSNLSTLNLSENKFSGSMPVDIGNLQQLSVLNLSRNGFSGTIPSSIGTLYKLTVVDLSGQNFSGEIPFDLAGLPNLQCIALQENKLSGNVPEGFSSLLGMQYLNLSSNSFSGHIPSTFGFLTSLVVLSLSNNRINGSIPPDLGNCSALEYLNLHSNSLSGQIPADLGRLSHLSVLDLGRNNLTGEVPVDISNCSSLTSLVLDLNHLSGNIPESLSRLSNLTVLDLSTNNFTGEIPANLTMLSSLVSFNVSNNNLGGQIPEMLGSRFNNSLDYADNQGLCGEPLERRCETSGDGGNKLIMFIAVAASGALLLLSCCCLYTYNFLRWRRKLKEKAAGEKKHSPARASSRTSGGRGSGENGGPKLVMFNNKITLAETIEATREFDEEHVLSRTHYGVVYKACYNDGMVLSIRRLSNGSLGENMFRKEAESLGRVKHRNLTVLRGYYAGPPNLRLLVHDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSSSMVHGDVKPQNVLFDADFEAHLSEFGLSKLVVARPTEPSTSTSVGTLGYISPEVALTGETTRESDAYSFGIVLLELLTGKRPLTFTQDEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPTMADIVFMLEGCRVGPDIASSADPTCQPSPA >Solyc10g047800.1.1.1 pep chromosome:SL3.0:10:42674182:42674469:1 gene:Solyc10g047800.1 transcript:Solyc10g047800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYRSCCFAMVLDGCWWWWGSSEKRRENRALSGLSLAAGGCLRLHRFSLSPVAAGRSCVAARWSGEEEEKSEGKGEKRDGGRGEKRRGEKGGDE >Solyc08g008230.3.1.1 pep chromosome:SL3.0:8:2668142:2670354:-1 gene:Solyc08g008230.3 transcript:Solyc08g008230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKLKPPNAIVLYKPKLDTVRFKREILSIFSL >Solyc07g066440.3.1 pep chromosome:SL3.0:7:68005892:68011113:1 gene:Solyc07g066440.3 transcript:Solyc07g066440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARLNKRTHCSGSTPSEESALDLEKYCCSYSNLPSLSPPTLQPYASAGQHSESNAAYFSWPSRLNDAAEERANYFGNLQKGVLPETLGRLPEGQRATTLLDLMTIRASHSKLLRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSPIQCLPTALEGPGGVWCEVDVVEFSYFGAPEPTPKEQLYTEIVDDLRGSDPYIGSGSQVASQETYGTLGAIVRSLSGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVYLGSVERATSFIRDDLWYGIFAGINPVDYDVSPCALVNMSCDTNPFSIINSYLSFLGWKKIDEKLFFAHLHVTCSAPEETFVRADGAFIPFTDDFDMTSVTTSVKGIGEIGDVKIIDLQSPISSLIGKQVTKVGRSSGLTTGTVLAYALEYNDEKGICFLTDFLVNGENQQTFDLEGDSGSLIVLKGENGEKPRPIGIIWGGTANRGRLKLKVGQSPENWTSGVDLGRLLNFLELDIITKDEALKVAVQEQRAASATMVGSTAGDSSPPDIMLPKDKIEPLGLHIQQIPLEDGIGGPDINSSPVEATFNLEESGINFDASVEHQFIPSFNGQPPANQDDHRDKSAYENLSALRKGSDEDISFSLQLGGHESKRRRSEPPPSADEPE >Solyc03g112100.3.1 pep chromosome:SL3.0:3:64104026:64105237:-1 gene:Solyc03g112100.3 transcript:Solyc03g112100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTRAIFVATIVICSFLAVCEGDILFSTLKKSIEVKADHKAGVLMAGVDALTLKWSLNTTLPAGSDSGYKSVKLELCYAPISQKDRAWRKTEDHLKKDKTCQFKIATVPYKSSNNNYNWTIKRDIPTATYFVRAYVLNGNGEEIGYGQNTDDKKVDNLFSIQAISGRHATLDICSVVFSAFSVVSLFAFFYMEKRKARAGK >Solyc04g080030.3.1 pep chromosome:SL3.0:4:64402142:64414457:-1 gene:Solyc04g080030.3 transcript:Solyc04g080030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSKKGWKSVMPLRLKTKSSAHFCLLPKTKSDRFDPGETPVCLNVYDLTPMNNYVYWAGFGIFHSGVEEYAFGAHDYPTSGVFEVEPRKCPGFKFRKSILVGTTKLDPNQVREFIEHQAAAYNGDSYHLIVKNCNHFCKDICHKLTGKKIPKWVNRLAKLGSAFNCMLPEALKVAAVEDEPNGPEYDSEKRRLRSAFSCFSSISTRQQKLSTSSLFLQSPVKGCLPSLELRKSTKCTSKEK >Solyc02g021525.1.1 pep chromosome:SL3.0:2:23629426:23631397:1 gene:Solyc02g021525.1 transcript:Solyc02g021525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYFVIFQHNCTNIQPTGEECTALQLPQPSHVTHDEPSTSNVNIDVGKPQEVPGFEDFSSEPPDQLLRRSTRKHNVDQDIGGSAVDADEQTDKVDQQSISPNHMDCSKEQHMEDAVEVIHSPQRSHVLIEKVALNNENDYTTGEASHSDTKILNADEHDVDILQHNIEKHTTSLFSVDTSTEVQNNVQPVCLMSHVEQNESAFWLSDSQLPTQLPVKKSSLPPDTETPAPRHRMPSRILRSPYLTDFGSNDKGKAKIDYDVLPLYPFEGCGILKQLSLDCY >Solyc02g005410.2.1 pep chromosome:SL3.0:2:8619565:8621839:-1 gene:Solyc02g005410.2 transcript:Solyc02g005410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDDIVVVGAGPSGISVAAFLHNLGINNVVLEKEDYCALFVEGKNLYLRTFAHCHIFHIKLNHLSICRKNNLFNTQMSMLSISTSSKNSKLVLNQHFTTVGERNGTSNQEILTSRDIKLYASNFLIFATGENNEGYNTKIAGIENFRGEMLHSSDYRSGENVKIKKWLSLDTIEITFDLSNCESHISTVVRSPIHVLSRDIVLPEILHINEHTIVFDNEDEHQFDTIIFATGYKNIATKWLKDYSSIFLEDVTLINWKEENRFYRAGFSERGIAGNLMDTLAIVNDIKSLRGEKN >Solyc06g011330.2.1 pep chromosome:SL3.0:6:6482586:6486913:1 gene:Solyc06g011330.2 transcript:Solyc06g011330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTFLSRAKQQEMVLANMCTKSGEAWDYCPREALRKVSQILKDEFGLVMIAGFEVEFYLLESVIKNDKEEFESSDKWRKCHTTAFDMASPMLEEMLTYLQSLNISVDYLHKEAGKGQFEIGLEYTDCFGAADRLIYTREVIRTVGRKFGYHPTFLPKYSLDEYGCGSSVHISLSNNGINVFKASDGSSQYGISKIGEAFMSGVLDHLPSVLAFTAPHPTSYERLYSKEWNGRFIT >Solyc01g089907.1.1 pep chromosome:SL3.0:1:83492164:83493671:-1 gene:Solyc01g089907.1 transcript:Solyc01g089907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSEKFLELYELSFLKRSFIGYDLLLGSIAAFYVLMVPYTKVEESFNVQAMHDILYHRHQIEKYDHLEFPGVVPRTFIGKFSTSAQLIKRL >Solyc06g009893.1.1 pep chromosome:SL3.0:6:4237202:4237548:1 gene:Solyc06g009893.1 transcript:Solyc06g009893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVIKYSMKHLEDDTSVNVHHSILHVDEFDKTFVNVHYSILHALILTVNFLNELVDRIKGKTLEEICKEFDVNNDFIHEEEEDIHKENAWVFE >Solyc10g009290.1.1.1 pep chromosome:SL3.0:10:3273458:3274813:-1 gene:Solyc10g009290.1 transcript:Solyc10g009290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELMVSSSSSSSSFFIPSLLSQTSSNLQQKLQNILKIQTDSWSYAIFWQTTNDDDDGHLFLAWGDGHFHGTKSKTGVQSSEQSTERKNVIKGIQALICENGDEKVDDDDDDEVTDAEWFYVMSLAQSFSIGDGVPGKAFSTASIIWLTGSQNLQFHTCKRAKEAHLHGIQTFVCIPTSNGVIEMGSNQLIKENWVLIQQVKSIFNNSIPHIVNCLEQNTNINPKTEELVSVSVSAECNDSDSDCQLLVEKKTPKKRGRKPGATRETPLNHVEAERQRREKLNHRFYALRSVVPHVTKMDKASLLSDAVSYINELKSKVAELETQLTRKSKKLKIECTDSFSIDNNSTATTITNSVDQIRHNSFGVHSNLKVEVEVKILGPDAMVRVQSENVNYPSTRLMRALQDLELHVHHASISSVNDIMLQDIVVKVPIGLSTEDRLKNALIRSIQHQ >Solyc11g008900.2.1 pep chromosome:SL3.0:11:3068475:3076953:1 gene:Solyc11g008900.2 transcript:Solyc11g008900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDAGISLSVTEGPSSLSPSLDQDTLWQMNLRSRDSIESGHYPVREGEPDCSYYIRTGLCRFGSTCRFNHPPNRKLAIATARMKGEYPERIGQPECQYFLKTGTCKFGATCKFHHPKDKAGIAGRVTLNVMGYPLRPNESECAYYMRTGQCKFGSTCKFHHPQPSNMMVSLRGSPVYPPVPSATTPGQLSYPLSRGSFIPGARWQGPSGYTPLIVPQGVVSVPGFAYSGQMGSVSSPEGQGQTAGNNQVYGTSRSSDPVAMGSQAVTSPYRQASGPMGYYALQEENVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPPPDCLLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMTVFTYSIAASSATDAPTVQRLLGSSSGTSALNMTSEGLVEAVSTKPRRLSLSETRKMPSGDNNIDREG >Solyc10g076435.1.1 pep chromosome:SL3.0:10:59508968:59515747:-1 gene:Solyc10g076435.1 transcript:Solyc10g076435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNESFSSIRSNILSKKPTVTVNEAYAVAAQEENQRALGVSEKTRDPLTLLAGKSQTYNPRPKKFVPLGTICDHCGFKGHYKSDCYRLVGYPPGFQSKKKGTDGYKNEYKAAEGFRPDFRPNAHFTRNSDDFHDKGKQVEGHMTPPQYQDLVDRMQRAGTSDCVANMSGMASPNSKTSRVHEWIIDSGATHHITPNEETLNTIRRVQGNSSDGVQVPTGSRCDIKGIGNAQVLEDLVILVYVDDLMITGNSQQLIEDAKKTLHSKFKVKDLGQLRYFLGIEVLRSEKGILLNQRKYILELLSTVGLGGSKPASTPMEMNVKLTTFEYDSAVGGVEDPMLSDIHSYQQLVGKLIYVTITRPDICFAVQSVHATSKKVTLGCSIESAQIPQTSTWARSVTARDFITSLTAYCDSDWAACPNTRRSMTGYVIQLGSSLISWKSKKQHTISRSSAEAEYRSMAGTVAEIIWLTGLLKDLRVDITTPLTSPTDKKHSKFDFKKHSKDTTNLDTSGYKKNSQALRVVQ >Solyc02g038750.3.1 pep chromosome:SL3.0:2:31989088:31995229:-1 gene:Solyc02g038750.3 transcript:Solyc02g038750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVEIDLGKLPFDIDFHPSDHLVAAGLITGDLLLYRYNADSPAQKLLEVKAHSESCRTVRIINEGRAIVTGSPDCSILATDIETGSEIVRLENSHGAAVNRIVNLTESSIASGDDEGCIKVWDTRQRSCSHSFNAHEEYISDITFAADSMKLLATSGDGSLSVCNLRSSKIQTRSEFSEEELLSVVIMKNGRKVICGTQSGTLLLYSWGFFKDCSDRFVDLSPNSIDALLKLDEDRVITGCENGLISLVGILPNKIIQPIGEHSEYPVERLAFSHDRKYLGSASHDHLLKLWDLNELVQESEDGVKNDSSAEDSDNDGMDVDDNIPKSSKGSKKKNVNKGPDPGSSNSFFAEL >Solyc03g071857.1.1 pep chromosome:SL3.0:3:21153180:21154214:-1 gene:Solyc03g071857.1 transcript:Solyc03g071857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNTRLALGSIGKLMFAQFSELVNNYYNNGLPSNLIAGRNPSLDYGFKGAEIVMASYCSELQFLANSVTNHVQSADQHNQDVNSLGLISARKITEAVDILKLMSSTYLVALCQDIDLSHLEENLKSVVKTTVSQVAKRTLTIGAIGELHPARFCEKELLRVNGESEKNVNSSIFQKIGAFEDELNVLLPKEVETARAVLESGNPSIPNRITECRSYPLYRLVRQQLGTELLTGEKFRSPGEEIEKVFTAMCNGQIIDPLLECLKSWNGAPLPIC >Solyc06g073980.3.1 pep chromosome:SL3.0:6:45868136:45871741:1 gene:Solyc06g073980.3 transcript:Solyc06g073980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFRQRKKVNNKMVTPVEHVSIPHDLPEFFKIFHPEICYPQLRIPPAFIKFFNGDIPSICVLEDLATRTWKVVVEKNDSDFFFMESWPDFVLYNNLEYGDFLTFSYAGNSKFYVKIYGKNGCLKEDVTALKEPELLPLVEEKARASLVSTHLSPCLTYNGQLTKFGTDAGKSIVAEPADQTDNGSGDMASSVTSFEIVIKASHLNRARLNLPAPFGNCYLKRKKRPLMATLRTDSGSWTIVPQYYDRLELREGIQKFIDDNALRVNDVCRFKLIDDEKLILKVRIKRQPK >Solyc02g087410.3.1 pep chromosome:SL3.0:2:50491674:50497951:-1 gene:Solyc02g087410.3 transcript:Solyc02g087410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKSKTMIQEKRYGSFQSVFMHADSVDILLMVLGFLGAICDGVSMPVMLIVTSKLMNNLGGNDSSDTFTHHINENALALVYLACGQWVACFLEGFCWTRTAERQASRLRIRYLKAVLRQDVGYFDLHVASTADVIASVSSDSLVIQECISEKVPVFLMNVATFTGSYVVGFLMIWKLALVGFPFIIFLVIPGLMYGRALMGIARKIRDEYGKAGIIVEQAISSVRTVYSFVGENKTLAEYSNALQGTVDLGLKQGLAKGLAIGSNGIVFAIWSFMSYYGSRMVMYNGEHGGTVFAVGAAIFFFWLNRSLGSGLSNLKYFSEASAAGERVVQVIKRVPKIDSDNLEGQTLDNVMGEVEFKHIEFAYPSRPESIILNDFSLKVPTGKTVALVGGSGSGKSTVVALLQRFYDPLGGEILLDGIAIDKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDASMEQVIEAAKASNAHNFICQLPQSYDTQVGERGVQMSGGQKQRIAIARAIIKSPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADLIAVVQSGQVKEIGSHDELIEDEDGLYTSLVRLQQTENPSDEISIAPTNRNTVFAPSNLNSGFTSDHEVQNTSSRRLSIVSRSSSANSAAQSCRFDQNATISNTPEQVFPVPSFKRLLAMNLPEWKEATLGCIGAILFGGVQPVYAFAMGSMISVYFLPSHDEIKEKTKIYALCFLGLAFFSLFVNVLQHYNFAAMGEKLTKRIRERMLSKMLTFEIGWYDKEENSTGAVCSRLAKDANVVRSLVGDRMALLIQTVSAVTIACTMGLVIAWRLAWVMIAVQPLIIVCYYFKRVLLKNMSKKSIKAQEESSKLAAEAVSNLRTVTAFSSQSRILQMLKKAQEGPLRESIRQSWFAGIGLGTSNSLMTCTWALDFWYGGKLMAEGLIGAQALFQTFMILVSTGRVIADAGTMTNDLAKSADAVGSVFAVLDRYSLIEPEDSDGYKPKKITGNVELCDVDFAYPARPNVIIFKGFSIKIEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGEVKIDGRDVRSYHLRSLRKHIALVSQEPTLFAGTIRQNIAYGASEEVDESEIIEAAKAANAHDFISALKDGYETWCGDRGLQLSGGQKQRIAIARAILKNPAVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDTIAVLDKGKIVEKGTHSSLLAKGPSGVYHSLVSLQRAPNSNNTFIS >Solyc10g076530.2.1 pep chromosome:SL3.0:10:59612091:59615410:-1 gene:Solyc10g076530.2 transcript:Solyc10g076530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIEGECTGKGCCQTQIPKGLKYYNTTMSSTKNHTHVWSFNSCLYAFLGEADHIHFQGLPDLGDDLNVNNFYDRIKDSVPIVLDWAIGSLTCTQALKNEDYACSENSHCIDSDTGLGGYRCSCNTGYQGNPYLNQGCQDVDECVDYPNNSLCQQMCINTPGSYNCSCPHGYTGDGKKDGRGCIAPYHDEFPWIKFSAGIGAGACISLVVGIVWLCFRIRKRKLIEVREKFFQQNGGLLLKHRISTNDGDVKATKIFTAEELKKATNNHANDRILGRGGHAIVYRGVLSDNRVVAIKKSRIVDESQIEQFINEVLILTQINHRNVVRLFGCCLEDEVPLLVYEYVSEGTLYEHIHSQRRAGWLNWQNRLRIAIETATALAYLHSFASMPIIHRDVKSSNILLDEFSTAKVADFGASRLIPLDQTRVATLVQGTFGYLDPEYIFKSQLTDKSDVYSFGVVLAELLTGLKPVSGDRNDDQKNLADYFVSSVNNNRLFQILDRRVL >Solyc01g099340.3.1 pep chromosome:SL3.0:1:89500757:89504794:1 gene:Solyc01g099340.3 transcript:Solyc01g099340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSNLSSGNSSEEADETPYVLSSTSDGSSAHQQHSQTNNKKRRKLPGNPDPSAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTSNEIKKRVYICPESSCIHHNPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTKEYKCDCGTIFSRRDSFVTHRAFCDALAEENNKVNQVLASTTQPLATGPELISTTQMLNLPQIRNSNMKIPSIPLNMAGSMFSSSSGFNQLGTNSSNMSSATALLQQAAQMGATVNNNMNSTLFNGVQIPIQSNHDHDQNETQIGSILQGFGGSMLQNNGDDHHKSSRVLQNEQGWFNNNNNNSNTGLFNEKQRTLNKEAGHSNEESLTLDFLGIGGMRHRNLHEMHQHQQEMSFEQQQVNHQSIQRVNSIWDD >Solyc08g014490.1.1.1 pep chromosome:SL3.0:8:4616497:4617807:-1 gene:Solyc08g014490.1 transcript:Solyc08g014490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPALKILSRASKKLIKPSSPTPLTQKWHKFSLIEQAQTHTYVPFGFFYSNNQLGALSNQPSQTSKLLENSLSKNLVSYYPYAGHMKDNAVIDCNDKGVEFLNVHIDAPMSQVLNDKDCHVKDLIFPHGVAWENDSEYGLAVIQLTHFDCGGIAVSTCLSHKIGDACNGLQFLIDWAKLTRDPNAKITPPYYISDTIFPSPPTGPLDSPVVPSILDGCTQKRYVFSSSKVSELRSSIASVSQVKDPTPTEVLSALLFKCVAKAVTANSGSFVPSKLIQYADLRGMISPKLPPNSIGNVLSHFSTHISNEADMNLPQIVSLMREEKLLFRTRDNIKENAWALEILELAKGLPPKKKEFDEYTCSSVCKFPFYDVDFGWGKPMAATIATGPYNKLFNLMNYKDDGVEAFVVLDEQDMSVFERDEEFLEFASPYANYF >Solyc06g063000.3.1 pep chromosome:SL3.0:6:39884328:39889938:1 gene:Solyc06g063000.3 transcript:Solyc06g063000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQRLKQQQQQALMQQALLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPTTCRSVFVGNIHPQVTEPLLQEVFSSTGLVEGCKLIRKEKSSYGFIHYYDRRSAALAIVTLNGRHLFGQPIKVNWAFASGQREDTSSHFNIFVGDLSPEVTDAMLFACFSVYPGCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWATKGANSNDDKQSSDAKSVVELTNGSSEDGKEAANSDAPENNPQYTTVYVGNIAPEVTQIDLHRYFHALGAGVIEEIRIQRDKGFGFVRYNTHAEAALAIQMGNTHSVLGGRQIKCSWGNKPTPPGTTSNPLPPPAPTPLGISATDLLAYERQLAMSKMGGVPGLMGQYPLKQGSMGMASGASQAIYDGGFQNVAAQQLMYYQ >Solyc01g056790.3.1 pep chromosome:SL3.0:1:56538715:56549793:1 gene:Solyc01g056790.3 transcript:Solyc01g056790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVMFILIPAGLGVVSCLFILFYVSFTSNFFIHSHRIHLTFNSTLNNSFVANPTIQTHTHVQFNGNHVNDNDVFHDRDAFVDNYEEMNRSLKIYVYPHLKDDPFSNVLLAVDFEPGGNYASESYFKKVLKMSHFITRDPSNADLFFLPFSIARLRHDPRVGINGIKDFIKSYIFNISHEYP >Solyc04g009260.2.1.1 pep chromosome:SL3.0:4:2713623:2730724:1 gene:Solyc04g009260.2 transcript:Solyc04g009260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTFSNFFPKCICHLNQASSYQLIQEAFSDILHSFYSSSGYETLRHPQPYYVINLDHFIFWKNTKVEKTLLERS >Solyc06g073940.3.1 pep chromosome:SL3.0:6:45820563:45822214:-1 gene:Solyc06g073940.3 transcript:Solyc06g073940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKMAKEAQQLSIPLPPPLPPTKMGIVKQGIVSILGTDTEKRNKGVAGSIRRTLSADMSSKKWLSQNGFSPMKKIASSKELDVLGQDEIWRSIQNGGNKTSNDVWSSILNQKNEESSTLPPPYIHPLLKKSSSSLTDKSLEICTESLGSETGSEIISLDHHHHHLQKQQQVKEELSPQTFEEFPVVKFHHNKKSSSPLLPKSFPPTISSLAAEDNKPSVHMQSHRQDGRLILEAVSVPPQNHFRVERVDGRLLLTLITTSSEIETEDINVHQELEHNEPDRDDGKGNDTKGMQIVLEQKSRLSNGRMNVNTSTLMMKQLMGVSLEEKNKKYPNMIHNNAIKLIEDEDVIAPIIPQPLSTHLLTPEASPFNAYNYFWKKNPIAKSTTKIAEQQDLVLMRENKGNINYLVPMLKGCKEQRKSLLIWEPYS >Solyc01g014310.1.1.1 pep chromosome:SL3.0:1:11962254:11962727:-1 gene:Solyc01g014310.1 transcript:Solyc01g014310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYLNNTISFIVMTKGLDLELPRVLTTNINIDLSRNRFEGNIPSIIKDIFGLCMLNLSQNCLEGHVPASLHHLSVLESLDLSSNKISVEISQQLASLKSLEVLNLSHNHLVGCISKGKQLINFRIVHTKEMMSYADSHSQKIVAVMKGYHKQQLHLG >Solyc01g057250.2.1 pep chromosome:SL3.0:1:59933612:59934750:-1 gene:Solyc01g057250.2 transcript:Solyc01g057250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRWGFKGMPASHGASLSHRSIGSTGQRDAPGKRYKDEGYDSTKINMKMRDMNLVKYLEYILQQLTH >Solyc06g011372.1.1 pep chromosome:SL3.0:6:6844549:6844876:-1 gene:Solyc06g011372.1 transcript:Solyc06g011372.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEELRKKLKEILEVVQIRPSNGPYGTPVLLLGANKVTIKNKYPNPLIEDLFYRLGQDKYYTKVDLRKGYYQVRTTEGDEPKTTCMTRYGE >Solyc02g092560.3.1 pep chromosome:SL3.0:2:54249813:54254265:-1 gene:Solyc02g092560.3 transcript:Solyc02g092560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKDELLFSAMKRTSDWIFSQEIPSDVTVNAGGTSFTLHKFPLVSKSGYIRKLISESNEADVSTIDIPDIPGGGEAFELAAKFCYGINFEISTENIALLRCVAEYLEMTEDYAVANLVERTEAYFNEVAITSLAGAVTILRSSENLLPIAEKVKLVSRCIDTIAYTACKDNQFSTSGRAEAGINGSTFSNPKPIVDWWSEDITVLRIDFFQRVLIAMMARGFKRYALGPILMLYAQKSLRGLEIFGKGRKKIEPKQEHEKRVVLETIVSLLPKEKNALSVSFLSMLLRAAIYLETTIACRLDLEKRMALQLGQAVLDDLLIPSSSFTGDTLYDVETVQRIMMNFLDNEEGNRLGDEEYHVSPSLSDMERVGKLMENYLAEIASDRNLSVTNFINLAEVIPEQARITEDGMYRAIDIYLKAHPALSDIERKKVCGIMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLHNGSQLVATEPPALVSSKRDDQFSTNPVSDEVSSLKRENQELKFELLKMKTRLIEIEKPKSNTSASSSPLVITQPSAGKPPLPRKSNFMSSVSKKLGRFIRADGLTHGKARNKPSKDRRHSIS >Solyc12g008665.1.1 pep chromosome:SL3.0:12:2048862:2060215:1 gene:Solyc12g008665.1 transcript:Solyc12g008665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAIGFLCLKKQTRLTLVVQYLAHATGIVISQSKKRGLSLDEKREKMLQIFYDSQGFFLLKELEKSGPKKGVISQSVKDVIQSLVDDDLVFKDKIGTSVYFWSLPSCAGNQLRTVHRKLETDLQNNNKRHTELVEQCKALKKGREESDAREEALNELKAIEQKHKELKEELMQYADNDPATIEAMKKAIEVAHSAANRWTGLTVPALSSHYLVGITDDFDYLELPAMAPLAVTDGDL >Solyc02g062080.1.1 pep chromosome:SL3.0:2:34199528:34200309:1 gene:Solyc02g062080.1 transcript:Solyc02g062080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINLTDEQLMLSLLKFVTGVSVQIQFVDLYGNNKPSRIFDTATATEYHVFTRLKKKKTGSGKNFNRGIVGGGGSWKGIDNSKPVYDWKRSEEFVVCRITRSVNSSSNFVPISSSQPQQTVKSFIPDLRQISAAANVIPNGNITQVSLVTEESKLRQGSAHQETPPDYDITTYYKQLDAYAVSLLKTMVPYIPEPLQEDEVDSIPLFSEDFYIGYIDLWLKNWQ >Solyc11g012820.2.1 pep chromosome:SL3.0:11:5605169:5617676:1 gene:Solyc11g012820.2 transcript:Solyc11g012820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVMQSSQPWVEKYRPKQVKDVAHQDEVVRVLTNTLETSNCPHMLFYGPPGTGKTTTALAIAHQLFGPELYKSRVLELNASDDRGINVVRTKIKNFAAVAVGSNRQSGYPCPPFKIIILDEADSMTQDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLPEEIMGSRILHICKEEGLNLDSEALSTLSSISQGDLRRAITYLQSAARLFGSSISAKELISVSGVIPNEVVQAIFSACRSGNFDLANKEVNNVIAEGYPVSQMLSQLYDILVDADDISDEQKARICKKFAEADKCLVDGADEYLQLLNVASSTMQALSNMPQDMTF >Solyc05g043430.3.1 pep chromosome:SL3.0:5:57227057:57230318:-1 gene:Solyc05g043430.3 transcript:Solyc05g043430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTCSRIFSSGFLTVNKPTHTTRARTLIHRLSGYCFQLRAMADSATPFKKVQIQRDDTTFDAYVIGKDDAPGIVVLQEWWGVDFEIKNHAEKISQFDSGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIQASVNWLKSNGSKKVGVTGYCMGGALAIASSVLVPEVNAVVAFYGVPSPELADPVNAKAPVQAHFGEDDAFVGFSDVKGVCN >Solyc01g103170.3.1 pep chromosome:SL3.0:1:91706009:91713909:-1 gene:Solyc01g103170.3 transcript:Solyc01g103170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWNTDYMVNAGSEVSVPDPKSRSFICSLFLTLALICAVYFTGSALMAKDFRAFSGFTINSTKQNGQCGKCEVPPREEKQESHVTENVQNNKCQKKCRPLGSEALPEGIVSKTSNLEMRPLWGDVEKKSPHSVNLLGIAVGIKQKELVNKIVKRFLEHDFVVMLFHYDGVVDEWNDLEWSNRAIHVSAMNQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVENFHPEKYISIVREEGLEISQPGLDASKSEVHHHITVRRGRSKVHRRFYRLNRGGRTCDNNSTEPPCVGWVEMMAPVFSKAAWRCAWYMVQNDLIHAWGLDMKLGYCAQGDRTKKVGVVDAEYITHLAIPSLGANSDVIKELDNNSPQGKNLSDSDTLAAPVEKFDNRSLVRRQSYIEMKIFRERWGKAIKQDQCWVDPFQSQEKGRTETYT >Solyc12g005660.2.1 pep chromosome:SL3.0:12:350823:352406:-1 gene:Solyc12g005660.2 transcript:Solyc12g005660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI121 [Source:UniProtKB/TrEMBL;Acc:G8Z284] MKNCELCNGLARIYCESDHANLCWDCDLKVHSANFLVAKHSRSLLCNVCRSPTVWSASGAKIGRTVSVCERCVNDEETDREEEEKEEIDLEDIQVVPWSSTPPPQPESSSSSSDESLTDFFSLKRMRKDDDSGTLTSHREVNMLSPATEDHGGDEAAEFVKSFPVKMPSKIQRTGEILSGRVENIGCSATVELIGRINRGGG >Solyc01g094420.3.1 pep chromosome:SL3.0:1:85792135:85796118:1 gene:Solyc01g094420.3 transcript:Solyc01g094420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKSTRIASRKRPKMDPLSVYEFTDDDLSVEVTSDSVDSKLNSTSKSAPINSSGRRTRSQMTPDGAIVGTESNAMKSPRTKGEFSGKRKDVNAAGKNKGKSVQRDEVVTSKKRKVYDGLYEEEHPSSSNLKTWDFYIPPNKHFHTRVSSHTNCNAVTLLKSKLDDRQLQIFRGTTFGYFLDLPHVVVQNQLIHALLLRQVVPEREDELWFKVNGTKLRFSLAELGIITGLRCCGDADKGYESSDTNRLMDMYFPGLEKVPKQSLIDCFLQKKWRSDEDAVKIAVLYFIHTFLISTASINTFITKADFCIVESGDYETFPWGILVFRAMMESINNRLRVGLKMYRLGGLPLALQCWFYECCSNADGKLAHQVDNKVPRILNWKIEKQPTLNELSGGLFKIRSDKLKFKNISPTEFEQLHLDLPESSGNPNDNEVAYRDLPEVHPSDDDFSSPPITSKTQPKTKSDPPVNNLERSAELKRLSDEQSELKSNIREVFKATELLKKQMMVSFADVFKAIESLSKKQSEKDNSEALHIDGRDGHLDRHGDGDSNGFDANNGHGSDGPDCGKDSLGDKENSEKVNIGALSGITNT >Solyc03g006850.3.1 pep chromosome:SL3.0:3:1363391:1372153:-1 gene:Solyc03g006850.3 transcript:Solyc03g006850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASSDRNATAAEPVLLPEIGSDGIARESPVIAYTEKIIEAEQLQLRKYIDENYSKIRDVEKELANLSMEMKLTAGPKKAALEHMRKKIEMSTEKICIAKQKEEQARKAWEAALKAVKNEEDFKQKLCEDLNNLVRESSNTQLARLEELKRKLEALNPSRASTSSALDLNSVGPAPSSMNRDVPSVQGSQESLNGSSETTFKEGNTGNTAAENGQNQQREARGKKKINAQGRGKGIGIIPKSRGAAPPGWTGAGFDVDGRS >Solyc07g062410.3.1 pep chromosome:SL3.0:7:65296080:65299170:-1 gene:Solyc07g062410.3 transcript:Solyc07g062410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWKTDTDLENSDPISESGDVEWPCKPVVESSGWPTSWQNDSNKSKLQTPLPTAEDEAANNSQLPALLKVAEYLNSTLSLDDDDEFSEYIGENGDYFINDDEGFLDGEEGFQDYDFFVKLFKQDDGLREYYEKNRENGVFCCLVCCGVREKGWKRFKDCSSLVQHSISIAKTSKRRAHRAYCKVVCEILGWDVDSLPSIVLSTGVKLGESSDKPVKAQGNVDDGGDDSLSGQCKSTSSVSVSETEVSLSKLSLIDERQPGKDCCSAELEDSLSGATVDRSLGDLGKGTSDTMKENAEGENSVSKAGVDGLLEDLSLLTLETQKLNGKGASDRVVRLRVIYFFIECAAYIPYQVAIQYLLTFSICVWNKQQPFSQLLKKNLC >Solyc02g005480.3.1 pep chromosome:SL3.0:2:9264867:9277699:1 gene:Solyc02g005480.3 transcript:Solyc02g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSESNNNNNYNNEAAKTTVVDDNSHQPGNPNGSDGDASLQVNANGEPDAALHQPGASRRTPFTDLSQVDADLALARTLQDQDSIFVGLYFLETLNNVLGDEAYARALQDAEEREMAARLLALAGINEMYVGQRQDEEDRGINSQDAWEDVDPDELSYEELIALGDVVGTESRGLSADTIASLPSVNYKTQTASEGTTDSCVICRLDYEDGEKLTVLSCKHTYHSECLNNWLQINKVKPPLPFESF >Solyc01g067420.3.1.1 pep chromosome:SL3.0:1:75809521:75810336:-1 gene:Solyc01g067420.3 transcript:Solyc01g067420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4AWZ1] MLFAITIVVCVESQLITETSYNAIVSKDGTGNFNTIAGAILAAPDHSVKPFFIKIKKGTYEEYIRVEKKKINIVLIGEGMDNTIITGNRSFVDGNKTYDTATVGKSLSLYKCRFDGYQDTLYAKRRRQFYRDCEIYGTVDFICGDAIAVFQNCLIEARTPMARQYNTIIAQQRELESYESGIVLQNCTIKATPDLKKSNVTTYLGRPWGTFSRTVIMESYIDDLIDPRGWVEWIESANKSSIIRRPYYLEYKNRGPGAVTKGRVTWASVVS >Solyc05g047390.1.1.1 pep chromosome:SL3.0:5:59683792:59684028:1 gene:Solyc05g047390.1 transcript:Solyc05g047390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVMLKAKGKNAKKSAAVADEDGGAVTAVYTFVKDWTTWTAKKAKVITHYGFIPLVIILGMNSEPKPSLSQLLSPV >Solyc01g058400.2.1 pep chromosome:SL3.0:1:66359492:66365172:1 gene:Solyc01g058400.2 transcript:Solyc01g058400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMACDIKDNIDWIVDTSHLTEAIEGDMMQHKRNPICLEHSTSLTSRTPKRLKVHVPIFSKAYNFVVDSVDFVAYFLEINRDFLSSAE >Solyc09g065840.3.1 pep chromosome:SL3.0:9:64344639:64349584:1 gene:Solyc09g065840.3 transcript:Solyc09g065840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQTIAFTNPFFSSTPRTPAKSLSFRFFSQSKQVQTAKLASGCRIRCSFEYNSSGNGNGSVYEYERYAGVSQYPRPSEVQWKKELCNSVQLIGNVAVPVQIKHLNSGKVVAWTRLAVRKSQNDTTWINLTFWDDLANVANQHVEKGQQIYVSGRLISDTVEGDDGKEQTYYKVVVQQLNFIEKSSPPIASYNGDSNSMAPRKKQNNFAANTTGSTEELWQAFFANPLEWWDNRKNKRSPNYPDFKHKDTGEALWVEGRYNPTWVKSQLAVLDSKMESFHDQNGSRNAEFMSMDNFQF >Solyc06g073680.3.1 pep chromosome:SL3.0:6:45590076:45608820:-1 gene:Solyc06g073680.3 transcript:Solyc06g073680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFQASILVASPSHPNSVAWSEENLLAVASGHIVTILNPAKRLGSRGLITIPPGKPFSVGFIDRKDLLSDCMLHIALSRDSSPQEKQYRENRPCARSISWSPIGFASNSGCLLAVCTTEGHVRLYRMPFLEFSTEWVEVMDISNMLYSYLKNTNFQAANFRVSEGADPSQACFDEGDDDDLPISNMRKELKRQRLNTLPVMEVKACSQNQKSTFTAPRLRSRSSKKVFEDDRQSLITAEEYASRNAMLSSLIVAWSPCLPQTSGCGISSANVLTSSCSVLAVGGKSGVLSLWRIHKPESYSIMNIPDSNKTVLVGLLDAHDSWITTISWSLFISDASDPLLLLATGCSDGSVKIWQACCRRLVESTEPSGLAFSLLKEVKAADFAMASMVSLTVSGQSPNKMLLAIGKGSGSVEVWTCDILLRRFEKAGSCDAHNHAVTGLSWAFDGRCLYSCSQDDSIRCWILHENSLCEVPIPSNTPGVEGSADVPNAFCSCLGLAVSPGNLVLAVVRAFSTALLNPMYEARALKAAVEFLWIGGQQLEISSTVCPDFEVKIFPDFPEKELISWENNILWSLNQHEPLDKPLVVWDVVAALLAFKQSIPKYVEHVVLKWLKSSVGVSANLSEAIKCLPEISSRKLQLLNIISKLVVLKKVETDKLDGKSQLLEVIGGAEDEKLDWSQLHSNSEMELRDRLIGYSFTVFLDFASASRGKGTKPGYWVPIGTTQMEQWVASHRKDVKNDLKLLADEVRTVKKSQRPSFCEYVEKEECSFCSASVPFDSPDSAICQGVKCDTGTHKLSRCAVSMRICPIAPLWHCMCCKRWASILAPSPIFKMPGYYPSDFKSNTDDEHPKPWCPFCGIPLKKLLPEFLLSPSFV >Solyc06g068820.3.1 pep chromosome:SL3.0:6:42758966:42764843:1 gene:Solyc06g068820.3 transcript:Solyc06g068820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARILANIIILGSGIMARAFAQAYRQALTNASKNGVAQEAVQNIRRASKTMTEVEARQVLGVAEHSSWEDVLQKYDNLFESNAKNGSFYLQSKVHRAKECLESLYRSKAEGPN >Solyc05g017910.1.1.1 pep chromosome:SL3.0:5:19264762:19265190:-1 gene:Solyc05g017910.1 transcript:Solyc05g017910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNKMFKQIGDRCGGFIKTEEETTLKNHLHWAQIKIHGDGKKVSREIELTSDGYVYAIPIWVESPVTVKSEKVMKEREKESFGLEVNGRLETLIRVDKSPTDIGGGGGTRGNFKKWINFKFNFKWAAGERKGPNMGSGGFY >Solyc05g050380.3.1 pep chromosome:SL3.0:5:61337580:61343288:1 gene:Solyc05g050380.3 transcript:Solyc05g050380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVRRRLESGSERISSWRKSIRVYPLIDKPTKDQYVKPKKKVHDPQGRFLQQWNKVFVLICIIAVSLDPLFFYIPVIDNDRKCLDLDRTLKITACVLRSITDLFYIFHIILQFRTGYIAPPSRIFGRGELVEDSYDIAKRYLLSYFIVDVVAVLPLPQIVILVITPNANGSIALATKEMLKIVIFAQYFPRIFRIYPLYKEVTRTSGLFTESAWGGAVFNLSLYMLASNVIGALWYLFSVERQDTCWRDACDKISGCSSNYLYCNKSSIGNASLLNSSCPLVKQEDIKDPHVFDFGIALDALQFRIVEKRNFWTKLFYCFWWGLRNLSSLGQNLKTSTFIGEILFAVFISIIGLILFSLLIGNMQKYLQSITVRVEEMRVRRRDAEQWMSHRMLPDILKERIRRHEQYKWQETRGVEEDLLLQDLPRDLRRDLKRHLCWSFLKKVPIFEKMDDQLLDALCDRLKPALFTDKSFIIREGDPVEEMLFLMRGTLLTMTTNGGRTGFFNSVYLKAGGFCGDELLTWALDPNTSSGSLPLSTRTVQAVTDIEAFAVTADDLKFVAAQFRRLNSKQIQHTFKFCSQQWRTWGACFIQVAWRRYCRNKLEKSLRVEEDRLQAALAKESTNTPSLGATIYASRFAANALRALRRKHATSAKLSPTLPLLLHKPSEPDFSEENDS >Solyc02g082460.3.1 pep chromosome:SL3.0:2:46707797:46726293:-1 gene:Solyc02g082460.3 transcript:Solyc02g082460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNKAFSRQTRQGRIIKEVREHYLRDDIYCGAPYCKNGCKVSSPCLSDSHFTILVLDTNVVLNQIDLLENQAIDNVVVLSVVLSEVKNKNIAVYNRLRALCSNSLRKFFVFSNENHKDTYVKTMAGESPNDRNDRAIRVAAKWYQDHLGSAVSVLLITNDRENKKKAIEEGISAETVECYVKSLGQPELLDLIVQPPSEDVKMDDVEDLRPSKRKVIYNEHKPMSEITSGLLRGLLHQGKLRVNRFNPFEAYVGSESIGDEIIIYGRANMNRAFDGDIVAVELLPPEQWHEEKSLALADEDDEEEDVHLAPNTADDAPRALNQGQTAPEEMKPSRPTGCVVGIIKRNWHSYCGSLEPMPMPAGDGGRAHALFVSKDRRIPKIRIQTRQLGNLLDKRIIVAVDSWDRLSRYPTGHYVRTIGEIGDRDTETEVVLIENDIDARPFSAQVLACLPPLPWLVSSQDLTNPIRQDLRHLRVCSVDPPGCRDIDDALHCMPLSNGNFEVGVHIADVTNFVHPGTPLDDEASQRGTSVYLVERRIDMLPKPLTEDICSLRSDVERLAFSVIWEMTPDAEVISTRYTKSVIKSCAALSYVEAQVRMDDSRLVDPITSDLRNLNGLAKIMRQRRIDRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVAVAEKILKHFPVCSLLRRHPSPTKEMLEPLLRTAEAVGLSLDVTSSKALADSLDLAEGDDPYFNKLIRILATRCMTQAVYFCSGDLSPPEYLHYGLASPLYTHFTSPIRRYADVIVHRLLAASLGIYQLPGVFQDRPKLTSISDNLNYRHRNAQMASRASVELHTLIFFRKRPTDTEARIVKIRSNGFIVFVPKYGIEGPVYLTSKGDNGGEWVVDEKQQKIRKLDGSVSYGVLGTVRIHMEVVEPQPNRPKLQLTLVSDR >Solyc10g083790.2.1 pep chromosome:SL3.0:10:63658227:63663719:1 gene:Solyc10g083790.2 transcript:Solyc10g083790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKIWGLHQPQQRPQEGNNESFYPPSVGSSQSPGSSRPGTPSPSSSGHFGVQRPTDRASSVSRVSPAEAAGIITAIKDKSLEELRDLLCHQDGYHNLLLSLEPVKTQNKVRDDLRNETLQLARENLEKEPRIMELRNQCRIIRTTELAAAQEKLHELERRKEELLKFYSPASLLHRLQDAMRKTDEESENLDKQLLEGQIDLATFVQKYKKLRQSYHKRALTHLAAKTSITG >Solyc06g073790.3.1 pep chromosome:SL3.0:6:45689823:45692143:-1 gene:Solyc06g073790.3 transcript:Solyc06g073790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYFKSIGLGFKTPREATEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVTIGQCRPLSKTVRFNVLKVIPAGSGGVGKKAFTGM >Solyc05g052270.2.1 pep chromosome:SL3.0:5:63383029:63386626:-1 gene:Solyc05g052270.2 transcript:Solyc05g052270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGNVLMEKYDLGRLLGQGNFAKVYYGRNLETGQSVAVKVIDKEKVIKAGLIEQTKREISVMALVEHPNVLQLYEVMATKSKIYLVIEHAKGGELFKKLTKGRLKEKLARKYFQQLISAVECCHSRDVYHRDLKPENVLLDEDGNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVISRKGYDGAKADIWSCGVILFVLLAGYLPFQDSNLMEIYRKIKQAEFKCPNWFPPEVRRLLSKILDPNPRTRISIAKIKESSWFKKGFESRNTVTKVEEKEKFSLDANATHNSISPSVSKLELLKPTNLNAFDIISLSSGFDLSGLFITKDQKEDLQFISAKPTSSIMSKLEEVGRNLKLEVMKKEAGFMRLEGSSEGRYETLSIDAEISEITPSFHLVELKKSYGDKVEYQKLLKQVIRPALEEIVWAWQGEQPPSNVVIHE >Solyc04g050623.1.1 pep chromosome:SL3.0:4:47689568:47693982:1 gene:Solyc04g050623.1 transcript:Solyc04g050623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTITATISDPIITSSQTDSTIPTIPNVPATSSSNPVSLSTYNHVAALAVPNVTNLEVVAKFALSPLKVEAVVVEVEALLVGVNNIKFPLATLLLAVVSLDNHSEALCNNHSNHAFVANDLPKSFGAMPVSETNHAPWYFDSAASAHRTSSKGNFMYKSSYNGSNHVLAGNGSLLKIANIGYVQLPTTSRPLHLKSIFHVLHLCHHLLSVKRLCKDNICSVNFDSSSVVVKDKASGQILIQASSKGDIYPLSPWSKSSHSQACVAFRDSGDIWHRRLGHCGKFHRLSFNLVDHCSSFSLDIIHLDVWKSPVLSNLSLEYYVIFVDDFSQFTWLYPIKNKLDVFPQFCDFQKLVENLFNTKIKVFQSDGGGSHNGLLDQLISRLSHQFAMKDLGNLHYFLGIQAGTLTYGLTLRASSPTSMVIAYSDADWAGCPGSCRSTSGFAVFLGSNLISWCAKKQPTVSKASIEDAYHAIAYTVAETCWIRHILYELGIFLREPIPLLCDNISSTYMTRTLVFNDRSKRIVVDVHFVRDKVAQGDLIVQYVPTQLELADIFTKGLPSSRFCFLRDNVSITSASPH >Solyc04g050110.2.1.1 pep chromosome:SL3.0:4:45518713:45519069:-1 gene:Solyc04g050110.2 transcript:Solyc04g050110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQATVNFGQYPYGGYLVNCPTLSRKFMREAGSAEYEELKTNPGNVYLKRIVPQLQTLLGISVLEILSRCALDEVYLGQRDTPEWTEDQKPLLAFERFGKKREEVEGKNRETRENDLTN >Solyc05g052700.3.1 pep chromosome:SL3.0:5:63756613:63759611:1 gene:Solyc05g052700.3 transcript:Solyc05g052700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding STLSFLNSSPKSNKWGHKNPNFICKLVLFSPKSLGSQYFEKNESKGHSRSIQPCCCSSRFDEEKFDGEGIERGENEGSCAIFGSKDSIFPSKFESLEPSLFGIEAEPEAPYWPEREEVLWAHIEQKAKSFGLPLSLRMMQKKHQWESCRFKDLKVTNSCTSKALNSLVFIIVELQTYALHMRESICNEDLEMIIDKVQRDMYASFVCLFRQVFSQTPMLMMEVMTLLANFSVYSASGENCDQPYLKVHYPDIVPQDVSKVVWTDEEKELWDSIQDEALNMRGGSRGIDFDNEVMSKFVSPLSVEIEPDNSVDYYKTDLVYQMNLTHEPNNTLLLCNYANFLKVFVRDNNRAEECFKRAVQVEPPDAEALCQYAIFLWKVKNDLWGAEEKYQQAVAAEPRNPYYRCTYANFLWNTGGEETCFLPSSTSSINNKKGEEV >Solyc08g076110.2.1 pep chromosome:SL3.0:8:60270313:60272430:-1 gene:Solyc08g076110.2 transcript:Solyc08g076110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHGRRECDPYIASLVIYVNRIFCYNFQLFQRIGMLWIFYISNMVISKISSWSIGSAAYSSRTVLAPLSRRSFFLYTTGLAFINSETIFSAGLYRIHPPILISYLLWERIRMS >Solyc08g075020.3.1 pep chromosome:SL3.0:8:59297709:59302655:1 gene:Solyc08g075020.3 transcript:Solyc08g075020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGTVQQWIYSLVTFSIIFGTEALFVNITYVLSGVAKGAVCLDGSPPAYHHDKGFGTGVNSWLIQMEGGGWCNNVTTCLSRKNTRLGSSKQMVENLAFSGILSNKPQFNPDFYNWNRVKVGYCDGSSFTGDVQEVNPITKLHFRGARVFLAVMEELLAKGMKNAENAILSGCSAGGLTSILHCDSFKALLPMGAKVKCFSDAGYFIDVKDISGAPHIEEYFRDVVSLHGSAKNLPPVCTSRLKPDLCFFPQNVAQHVRTPLFLVNAAYDSWQIKNILAPDVADPYGVWLNCKLDILKCSSRQLQIMHGYRLLFLRALNALGPSSSRGYFINSCYAHCQTEVQETWYRADSPKLANKTIAKALGDWFYDKNPFQKIDCPYPCDKTCHNRVFDPNVHTFNIDI >Solyc10g006360.2.1.1 pep chromosome:SL3.0:10:980473:983452:1 gene:Solyc10g006360.2 transcript:Solyc10g006360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMLEATKVGGGSIKVGTTGKVSALMSRELHSKKPSSQAPTSSRNKAPTVCGFIAGSATSPKRMKTRTPSDEASSSGTTSKHNTKSPESLRKTKHNNRKTHQIPILESENISVDGTPISKKPDRKGPYMVEIVDVKCKSMDRTWATPIKNSLKKLRFTKLSDSSV >Solyc01g081200.3.1 pep chromosome:SL3.0:1:80339832:80344077:-1 gene:Solyc01g081200.3 transcript:Solyc01g081200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIDNNQKFILLLIFSLISLSSSNTVLAKSRRPISDAEIREKKLQCYADIESGLWGQKCIATAVDKENCALQCLSPVCYERVYQSDPLEEGEKDTVRSQEYKYCMHKYSLGQSLDDIKGSFEW >Solyc11g006180.2.1 pep chromosome:SL3.0:11:924174:930071:-1 gene:Solyc11g006180.2 transcript:Solyc11g006180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:UniProtKB/TrEMBL;Acc:Q9XET9] MLAMLRLLFLVLLISLVIISVSANDGEFFNCCDEDGFWSIHTILDCQKVSDFFIAVAYFSIPLELLYFISRSNLPFKWVLVQFIAFIVLCGLTHLLNGWTYNPHPSFQLILSLTVAKILTALVSCATAITLLTLIPLLLKIKVRELFLAQNVLELDQEVGMMKKQTEASMHVRMLTHEIRKSLDKHTILYTTLVELSKTLKLQNCAVWMPNESRSQMNLTHELSPSSAAESHRSLSINDPDVLEITKNKGVRILRQDSVLAASSSGGSGEPCAVAAIRMPLLRASDFKGGTPELVDTRYAILVLVLSSVDERVWSYDEMEIVEVVADQVAVALSHATVLEESQTMREKLEMRNRVLQQAQENAMKASQARTSFQKVMNNGMRRPMHSILGLLSIFQDEKASSDQRMIVDTMVKTSTVLSTLINDAMEISAKDDGRFPVEMKPFQLHLLVREASCLVKCLCVYKGFGFSTDVPTSLPNQVMGDEKRTFQVLLHMVGHLLNVSIGKGSVIFRVVLETGAETGNDKVWGTRRPSTTDEYVTIKFEIEVSLEGSQSDSSISTIHFGGRRHNSKEVTEGLSFNMCKKLVQMMQGNIWMSSNAQGHAQGMTLILRFQKQSSFRKRMFEYRNPLEQPISSTMFRGLHVLLTDDDDVNRLVTRKLLEKLGCQVTAVSTGFQCLSALGPSLTTFQVLILDLQMPEMDGYEVALRVRKFRSRSWPLIIALTASSEEQVWEKCLQVGMNGLIRKPVLLQGLADELQRLLQRGGGGDGL >Solyc02g011770.1.1.1 pep chromosome:SL3.0:2:14136517:14137047:-1 gene:Solyc02g011770.1 transcript:Solyc02g011770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEPTHDFLLVFLGSGLILWGLGVELLPNPIYSVFFLGLVLVCASLFYILSNTYFVAAAQLLIYVGAINVLIIFALMFMNGSKYYKDFHLCTVEDGITLMVCISLFISLITTISDTSWYGIFWTTRSNQIIEQDFLSNSQQIGINLSTDFFLPFQIISIILLDALIGSIFVARQ >Solyc07g016070.1.1 pep chromosome:SL3.0:7:6225376:6226137:-1 gene:Solyc07g016070.1 transcript:Solyc07g016070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPYCWTIEHQKDLPENDDQNLSKGKLFILCGIRKVYLNYYFTQDGSWRFYDRTYFIYENTRRLCFGHDHEEANEDELGLIEEQVAMDLMTLEETRVFMPVVPTSKDAIEGLGKVKVETLNGDKSFGETCMICLGKLITKDIVELTRMPCKHVFYGDCIIQWLEINHVCPLCRFRMPIDKEN >Solyc10g006275.1.1.1 pep chromosome:SL3.0:10:935991:937742:1 gene:Solyc10g006275.1 transcript:Solyc10g006275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINNEEFQEEDIWGYNNNNNDDIVSEKNKISSKFITNPRRLIIPTSTKMMNIPKSKNKNSNQEFKIVQHSAPVKIPDWSKIYGSKLKKEINNFDNNDDDEEEENVMPPHEWLAKRIDRREISSFSVCEGVGRTLKGRDLSRVRNAVLTKTGFLE >Solyc09g005490.1.1 pep chromosome:SL3.0:9:335143:336002:1 gene:Solyc09g005490.1 transcript:Solyc09g005490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGGVFGFDLVMLVLLFNFAVILCQYLSACIALVTDRDLVQICSEEYDKVTCIFLGIQAEVSMTALGPHKNVVCGIDLFSCVFLTATSAILFPLLAYLFDNGSSKLLCIGWASSVLLSYVFGVVIT >Solyc06g048840.3.1 pep chromosome:SL3.0:6:31909357:31910402:-1 gene:Solyc06g048840.3 transcript:Solyc06g048840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQEERSELDRRAKEGETVVPGGTGGKSLEAQEHLAEGRSRGGQTRKEQLGSEGYQEMGRKGGLSTGDESGGERAQREGIEIDESKYKTKG >Solyc10g047100.1.1.1 pep chromosome:SL3.0:10:39747642:39747869:-1 gene:Solyc10g047100.1 transcript:Solyc10g047100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILSSLKTDGTFNQELSLPYLRLKRPTSFYSFDLKSATNRWPFSVIYTLIEMIWGITLVSSIVNNSLGLNTFLV >Solyc12g056290.2.1 pep chromosome:SL3.0:12:63186150:63191022:-1 gene:Solyc12g056290.2 transcript:Solyc12g056290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFARRRRWCSVGYNHNHFGLDVESSTGPNK >Solyc06g065370.3.1 pep chromosome:SL3.0:6:40914498:40917774:1 gene:Solyc06g065370.3 transcript:Solyc06g065370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKDQILSSILLFFFLFTAFITTMADSQASSQPSNESKVHIVYTEQPKDQEPEEYHIKTLTSVLGSEEAAKEALLYSYKHAASGFSAKLTAEQVSELSKLPGVLQVVPSQTVQLHTGRV >Solyc07g045060.1.1.1 pep chromosome:SL3.0:7:58283550:58283861:1 gene:Solyc07g045060.1 transcript:Solyc07g045060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIISRMRSFGSKEKKLSIDYVRRSDDDHVNIRKGYIPVIVGHEDQELERVMIPMKLMNDPCILTLLEMSAHELGYNQPGTLRIHCQVEQFKRILHTISKRK >Solyc01g102340.3.1 pep chromosome:SL3.0:1:91041663:91043712:1 gene:Solyc01g102340.3 transcript:Solyc01g102340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCCYKQKLRKGLWSPEEDEKLIKHITKFGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGTFSQDEENLIIELHAVLGNKWSQIAARLPGRTDNEIKNLWNSSIKKKLRQRGIDPNTHKPLSELENEEKASANSNTKNNDKVSESSNNEFNFVENGFSTEKPIKPAVSSMINTLERYPLIHEPNNIAPPTHEFFTTNCKSPDLSNYLSFHNYSPNTNILFNTKTSSSSAADNIISDHQFNCSTLTNATFSTMSNSILSTTISPLARNFNINKFQNWEACTISSNGSNNSNGTSNSIELQSNCSFFDNNAAAAFAWGSAGATAAADCTGKSEREEIKWSEYLQTPFSLGVNNNTIDTHHQHQIPSHHELYDGETKSKTQFMTQGSWLQNQTPQTSSLQTAELYSNNNFQRLPAVYGQFS >Solyc01g099080.3.1 pep chromosome:SL3.0:1:89278891:89279837:-1 gene:Solyc01g099080.3 transcript:Solyc01g099080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLLQVLSIISFLVLLKSDAQVMLEVPSLMKCKFDKIYQLGDSLSDTGNFIREGFLGAFSPFAKLPYGQHFPQNQSTGRCSDGLLMIDFIALGCGLPLLIPYKDQNGNFSHGANFAVAGATTLPAEILIEKNALLLVGEIGGNEFNYGLLQGKTLEELRAMVPEVVQIIINAVKTVVVFGAVRIVIPESFPIGCNQIF >Solyc06g076790.1.1.1 pep chromosome:SL3.0:6:47843476:47843796:-1 gene:Solyc06g076790.1 transcript:Solyc06g076790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNMFVSPIATVTAQQHRSRVYTAATASKSSGGSTEEKSLLDFILGALQKQDQLLETDPLLKKVEDKSVSTTSSGRKNSVAVPPKKNSNGGGFAGFGGLFNKKD >Solyc02g087730.3.1 pep chromosome:SL3.0:2:50678865:50686568:1 gene:Solyc02g087730.3 transcript:Solyc02g087730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSSPPATGNSSTSLTDVDMDALVHCASYLNLQDVSNMAMSSKYLQKAAYSDPVWESLFRQQWSPLVPSGLSHTSTERELYLSRRTDVLQFKFIDPEVVNFPIRAKPHENLCLDKDNIIFSEGSSLCVMNIDDFRHGEDSYDTLRGHTAKITSLRSIPFEETCLYSSKGQKSDNLFVTSSLDHSIRLWWKGSCQRSFRICNVSVSAISDKLLGDHPSKILASGGSDGIVRLWSLDCSGKRSQDDLKAVLYGHDKPAVLMSVAGHKTSLLVSISRNSKVIVWDTATSSANRSSCCVGKSTVPGAPKAMKCHESLIYIAAGTSVVAIDIRTMRQVFKVNHQEEVHSFQMLPEKSLMCTGLAQRAMLWDVRRGCDIHKGEAVAELDGHRGNVSLLHMDPYKIVSGGLKDFQVHVWETGNGRQLTSLNCCSLSDSRQGYRCSAMAVNGSRILTACNDGHFSDLCFRDFNNATIPSLSSDSSILQSKFWAPKSQSDTEE >Solyc02g031710.3.1 pep chromosome:SL3.0:2:27255185:27256647:-1 gene:Solyc02g031710.3 transcript:Solyc02g031710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLFLSFCKTKTKYCILFMASVEARHLNLLNQQRNLNGFVYNTQMGSGLIPMPENYLPKTSVNTESGLTFHLPTNDVVAPRKRSRDSFTPSFSTPHKHCNGLSQIPSLSFVGDDVLPLVQQYQLDINSIISHHTKKIRLELEEQQKHQSRMLVSAISERVMKKMKEKDEQIQRIGKINQVLQEKLKTLYMENQLWRDLAQTNEATANSLRSNLEQVLAHVTDERLSVEEDAESCCGSSSSNNNNNNDNEEEEDGNGGEEEEGGVRILAGEAQDKRNRMCRRCGERESCVLLLPCRHLCLCTVCGSSLQDVCPVCNSNMNATVHVNMTS >Solyc10g077138.1.1 pep chromosome:SL3.0:10:60142579:60143708:-1 gene:Solyc10g077138.1 transcript:Solyc10g077138.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEDNQVFDILRLFSFFSIIVIFLLSILEYVGCQNISHPPYVIVAKDGSGNYSSIMAALFACPNNSISLYYIQIKQGIYEEYVQIDSWKTNIVFLGEGMDRTIITGNKSYGGGIGTYDTATVGILYHRHDVFFKGVDGRGFIAQDIAFRNTAGAVNYQAVALRASAECITFYRCQFDSFQDTVYTHNGKQFYRECVILGTIDFICGDATAVFQSCLIEIRKPLKGQYVVITAQQRNNNGQTGLVLQNCTLKLATPDAGDNVAMYLGRPWGNFSRTVIMQSYIDIFVDHKGWIEFGNMPIVQPYYLEYQNKGVGADTKRRVKWASTTNDPRIVSNFTVRNFINGDEWIPSTVPHYLDLM >Solyc11g064790.2.1 pep chromosome:SL3.0:11:50247917:50253750:-1 gene:Solyc11g064790.2 transcript:Solyc11g064790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMERIANVALLGLSLAPLVVNVDPNVNVIVTACLTVFVGCCRSVKPTPPSETMSNEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGVAALSATLLPSIKRFLPKKWNDDLIIWHFPYFRSLEFEFTRSQIVSAIPGTIFCVWYAKQKHWLANNVLGLAFCIQGIEMLSLGSFKTGAILLTGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAKRPFSMLGLGDIVIPGIFVALALRFDVSRGKEPQYFKSAFLGYTFGLALTIFVMNWFQAAQPALLYIVPAVIGFLAVHCIWNGDVKPLLEFDEGKTKVAEEAEAKESKKVE >Solyc12g035760.1.1 pep chromosome:SL3.0:12:44481752:44482358:1 gene:Solyc12g035760.1 transcript:Solyc12g035760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPIRRDHDWELFDRLSLRKSQNRINLNSGHLFEILVKHWSSYLMSNFREKIPIEVEGFFKQQGAWSTIQSNDIEHVSHLLSRNKWAISLQNCAQFHMWNVSRENWIWLDNVWLVNKDQFFSKVQNVSSNIQYDSTRSSFIHVTNSSQLKGSSDQSRDYLDSISNEDLEYHTLIKQIEIQ >Solyc03g094070.3.1 pep chromosome:SL3.0:3:57206409:57207466:-1 gene:Solyc03g094070.3 transcript:Solyc03g094070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSNPDTHESCMQYLLFPQMNLHCRQKSGYQDPDDGRQRFLLELEIRSCLVNPTYIPLYPHCLFFLELLQNPSFLNAIAIPPGFGLAKTDLRNASVDQRKRNRKDGFFYS >Solyc10g082010.1.1.1 pep chromosome:SL3.0:10:63044810:63045886:-1 gene:Solyc10g082010.1 transcript:Solyc10g082010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHHKTLEVTLRLINPLTIHRDIITPPLHFHVPFGMTSEKTRIVGSANGLICLNLNNHNGVAIVIWNPAIKKFKPIPRSPYVSTLGKLTITDLGFGYNPRNNDYMIVRLLNVATSRRKYEVEVYTLSTNSWRKITSDGRVLLVIRPFWKPMAPGMIVVKGVAYWVGVEVRNEEMCSVVVSLEMNSEELKFILPPIEHHGIVVDLREAITLRLFNLNETLALIYSTNLEKVDIWMNNGFDLWVLDDKIMSWIKKFKVEPIQGFLLESGFWENSKAMVAQERKEMCYDENGDYVYTKGETKLYLYDVINGDFEIIENHELRAPFQGYSYLESLVDVNGGIHVTNEVDLSKFLDFDPLLF >Solyc02g084033.1.1 pep chromosome:SL3.0:2:47807552:47812708:-1 gene:Solyc02g084033.1 transcript:Solyc02g084033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPSIVMKILGLKNVSTESNKIALKMIKSVEFEVADGVTHVLNHRSPGFEKLLQLRSSSITLVMVWSTREALQLSGGLICSSITIVSVPEEHRNIHVAILFRSSDDLTAYGLISKLSRRFPDLVSLSSLDEDHLVQYLVSRFHDLIGFLGTPTVLRRSEKQGGRSRDTWTFRYFKNFIWNLGAVDLGYDGKPWTWWCYRENEGIIQERLDRVLVSPNWKIKFEKVNVQHIETEASDHSALMLSPDAEPIRKKKRFYFDKRWIEHEGVENIISKAWSYDCNGFEQSQIFGGLVKWGNGNARKRIISIKGKITTLRNNASNFDWSKLRSLKRELSKAYMMKKPFGNRSLEPYGCMKGIKIHHIFTWQPCKEFQTSDVRWVVDQIDIIQEVEQYYNSLFSTSNPTDLNCILNHITMIVSDSINQDLIKEVDDEEIKNVVFAMHPLKAPGIDVNNQNASCVANILKKYERSSGQVVNLDKSAICFSKNVREEEKVEISLSFGQRQRNDMGMYLGLSAVVGRSKKRMLEFIKERVQTKIEGWKSILWGKRSLAKGLRWRISDGKYINVLIGPWIPNNNGFIPKKIHGHRNLDLVVSDLIDKNMHAWKIQELNSLFEAEDVNAILSTPISIAGSNDRLIWHHAKQETMKLSQSNLAKRLHKVDKTCKICGLGPEDIGHMLFKCPRSQLVWKQCPINWPDIGYINDFSIWWYGLFLNTKSFPESIDLLNLSANIMWQICKGRNSWLFNQERNHPVIKLTNLQDGMLLFTDAGLQNDDGRASIGFVALDSLGNLLHAHGAPIQFVGEVMTAEAIAIRKALEYAITKDGRE >Solyc05g050760.1.1.1 pep chromosome:SL3.0:5:61760658:61761143:-1 gene:Solyc05g050760.1 transcript:Solyc05g050760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVIDSLKVSLSQTLSYLNPLAGRVKDGITTECNNQGVDLACANVHEDMSNVLMNLKIQVLRKLLPMNPLTRSDDNVLLPLQINCFACGGIAIGVCISHLITDDSSIATFLKTWASISESHAENENITISDKLFMDYSSIFPPKEVHSVDTQFLTRVGIN >Solyc03g036477.1.1 pep chromosome:SL3.0:3:6509696:6510816:1 gene:Solyc03g036477.1 transcript:Solyc03g036477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEFRGSSLRSSSPNNPKFIQIITSLDELSRLRIPVEFAKRHCEKMLNPVFLEAPHGKAWEVEVENSQGQIWLVKGWSDFCDYYSIIVKSILIFTYNPRCHFAVAIYDQSKTEIEYPIDQDIESDEQEEDILVAQANANVIQKRVADKEVGEAHSISEKVGPNNYSSRYSLVDLTGDNPFFEMVIKKSHATCMAIPLRFAQQTDILNMKNMRLVNEEGVEWKVEIEYTRSMVIIKEGWSAFRKDNKIAYGETCRFKLIRGPIANVLQ >Solyc11g050903.1.1 pep chromosome:SL3.0:11:16913145:16914867:-1 gene:Solyc11g050903.1 transcript:Solyc11g050903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHNKHQEVIQTTSLFSCQIKKVIKEITFLLPVKLISMKTIQKTISATQEIQLQSALRRFDKYIFATQTISHLEDEHPHLKKQREIN >Solyc12g096350.2.1 pep chromosome:SL3.0:12:66294341:66296037:1 gene:Solyc12g096350.2 transcript:Solyc12g096350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSKMNESFAVEEAASAGLKSMENLIRLVSHEPVQADCREMADFTVSKFKKVISILDRTGHARFRRGPVQAQAPAPVQVRAPVRGPVYPDSFTSLSLAPSLSFATAKERLAPSLSFASAKERPVVQVQTALTLDFSKLNVNRPIGNSSAFTAFTVKSKEVLMADPTPTNSSSFMSTITGEATVSNGKQVSSSMLLLPPQAVNFPTTGKRCREHEQSDAISGSKSTGSGKCHCKKRKAKDRKVIRIPAISTRVADIPGDEFSWRKYGQKPIKGSKYPRGYYKCSSLRGCPARKHVERAMDDPTMLIVTYEDEHCHNPVAAMHGNSSQMVNFGLMEKK >Solyc02g093380.1.1.1 pep chromosome:SL3.0:2:54901483:54903432:-1 gene:Solyc02g093380.1 transcript:Solyc02g093380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPEHVLKVVGVCRTTNFLTQLHSLTIRTYDTHLAAKITDLYFELLPAQTARKLFDEIPHPNIYTCNRILQRFCGKNRYEEVLSLFSSMFSFEKVDHFTLLFALKACSALKATNFGKIIHGLGKKYGKIHSNMFLGSGLIDMYSRCGDMDDAFRAFEEYLKPDIVLWTTLVTGYEKNFKPDKALAVFTGMVMAHCISPDPITLVTVVSACTQLLNLKAGKSVHAHVFRMGYESSLSLSNALLNLYAKSGSMFYAGNWFRVMEEKDVISWSCMISCCAHNDATDRATSLFDEMIYKGIEPNVACVISALQACEACCNLDKGREIHKLALQKGFELDILVSTTLIDMYMGCCSPQEAIFLFDRMPSKDPVSWFALLCGCVQNGMANKSMQIFCDMMASDIQPDATVIIKLLGACSDLGVLQLTSCLHSYVIRGGFISNSFIGSSVIDCYAKCGSLEEAINVFESLTDKDVVIWSSMFAGYGIHGQARESIKLFHRMVADTTVCPNKVTFLSILAACSHAGFVEEGIEFFNMMLNEYELMPESKHYAIIVDLLGRIGELDKAMCLINQMQSRVGAHVWGALLGACRIHQNAEIGEVAARNLLQLDPDHAGYYILLSNVYAVDGKWDDAAELRGPIKERELKKITGQSVVRL >Solyc02g020880.2.1 pep chromosome:SL3.0:2:20732967:20735658:1 gene:Solyc02g020880.2 transcript:Solyc02g020880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILAIIIFHTVKMQDLDDKCSLRLSTRGEVNAASSLAPKSVKALQGNLQRT >Solyc04g007510.3.1 pep chromosome:SL3.0:4:1184603:1200717:1 gene:Solyc04g007510.3 transcript:Solyc04g007510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYPLQFFTVSSHYRNLRTSSISPPSLTMKDRPLSSCGAVYVPPHQRLRSVITVPSAVSPQPGSLRPTAIDQKRNPNIFKSYPCLPPQQQTVRLQHKRSSQFDEVSEEGGDIELTPYQGAVASDNAEIWKWKLTALLQNNDIQEVLSREKKDRRDYEQIAALASKMGLYSNLYSKVIVVSKLPLPNYRFDLDDKRPQREVILPPGLPRRVDVFLGEYLSRKPRSTDVLSRSSSNGSIATDEGLFEQSEALPQSKASMKKIHWERSMQMQTEQQTWQESPEGRKMLEFRCSLPAYKEKDAILSAISQNQVVIVSGETGCGKTTQIPQFILESEIEYIRGDMCSIICTQPRRISVMAVSERVAAERGELLGETVGYKVRLEGVKGRDTHLLFCTTGILLRRLLVDRNLKGITHVIVDEIHERGMNEDFLLIVLKDLLPRRPELRLILMSATLDAELFSSYFNGAPLVHIPGFTYPVHTHFLENILEMSGYRLTPDNQIDDYGQERTWKMNKQAPRKRKSQIASAVEDTLRSADFQEFSPETQESLSCWNPDCIGFNFIEYILCHICENERPGAVLVFMTGWDDISSLKDKLQSHPILGNTSRVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNIAETSITIDDVVFVIDCGKAKETSYDALNNTPRLLPSWISKVSARQRRGRAGRVQPGECYHLYPRCVYDAFADYQLPEILRTPLQSLCLQIKSLKLGSISEFLKRALQSPELLAVQNAVEYLKIIGALDENENLTVLGRYLTMLPMEPKLGKMLILGAILNCLDPILTIVAGLSVRDPFLTPLDKKDLADAAKAHFSRDFSDHLALVRAYEGWRDAERDLAGYEYCWKNFLSAQSMKAIDSLRKEFYSLLNDTGLVDSNITMYNSWSYDEHLLRAIICYGLYPGICSVLHNEKSFSLKTMEDGQVLLHSNSVNARDSRIPYPWLVFNEKIKVNSVFLRDSTAISDSVLLLFGGTISKGEVDGHLKMLGGYLEFFMNPTIAEMYRSLRRELDELIHTKLLNPRMDVHSYHELLSAIRLLISEDQCGGRFVFSHQILLPSKPCAGAPTPAPTSRIESGPGGDNAKSQLQTLLNRAGYATPTYKSLQLNNNQFRATVEFNGMQIMGRPCNNKKQAEKDAAAEALEWLLEGHRAGPDYIEQMSQFLKKSKKA >Solyc09g061750.2.1.1 pep chromosome:SL3.0:9:60520671:60525793:1 gene:Solyc09g061750.2 transcript:Solyc09g061750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKRSMSNDVDMHVLYKELDGASCPICMDHPHNAVLLLCSSHDKGCRSYICDTSYRHSNCLDRFKKLKAENRDNPPTMTQGNLDIAVEIPAEHLELRNLSDRTVVHGYHDIPADEVVATGAFPGGSEENGNSNRDNRMEMQEGALQTSDAVTVWGSSHETVNADNSSDSILKLKCPMCRGDVLGWKVVEEARKYLNLKHRSCSRESCSFLGNYRELRRHARRDHPTARPADIDPSRQRAWRRLENQREYDDIVSAVRSAMPGAVVFGDYVIENGDRLSVERERGSGANGRWLSTFFLFQMFGSMDPISEARGGRSRALSRHRRSTGPLSRRRYPWGENLLGLQDHNNNEDEGEPDVNILSGDMSNNPRRRRRLMRSRSDEDQQ >Solyc01g099850.3.1 pep chromosome:SL3.0:1:89865995:89870488:1 gene:Solyc01g099850.3 transcript:Solyc01g099850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWQFSLVLLLATSFHLQLHYVSGKDNGVCISPGGRFPRFSNEGKPPRKVKKGPRDLNLCRVFRGKTCCDVTQTHPAFMSIRRLASTGEASQECLHLWEMLECSICDPRVGVQAGPPVLCTSFCDKVYQACSNAYFSIDAKTQVLAPCAVNDFVCGRASEWISNGTELCRVAGFSVKSLSDDPEEVSCYGGKSSVDYIADSWRTSRSKVQEKADSSGLVEDFKQWLEDMTFKERISWAVGGMVLTAGLLFTSQRKSHRQRQKLAALQRTAKKLEGRGSPRSPTTLGSLKGS >Solyc03g122090.3.1 pep chromosome:SL3.0:3:71538456:71543011:1 gene:Solyc03g122090.3 transcript:Solyc03g122090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTLIARVTDGLPLAEGLDDGRDVQNADFYKQQVKALFKNLSMRQNDASRMSIETGPYVFHYIIEGHVCYLTMCDRSYPKKLAFQYLEDLKNEFERANGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNISKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKAKDLNRQALIRKWAPVAIVLGVVILFFWLRTKIW >Solyc08g007910.3.1 pep chromosome:SL3.0:8:2411780:2421396:1 gene:Solyc08g007910.3 transcript:Solyc08g007910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDGDGDDESTPGLAKRFYSLESSHRKLEEQFNVLLQEKKIDDSLKMDDSDEKLMDSGEMGFRVPGFFSTGSPYRNVLNHMGHAVHVSRASTGEIIYWNRSAERLYGYKEHEVLGQMTTEFLICEEYHQLVRIARERLRYGQSWSGLFPCKKRHGQIFMAMLTKSPLYENGELFGIITVSNDAASFTNTNSSDTSSHEDNGEPGAREINFKRIQWNQRPQIASSVSNLASKVFSLKRGEDASAEVSSRDEADLDTKEGKPLKPPRAPATRLSFSLLGGKNRANTESSEKDESSFDISQPSKFAAKVMSKLNIAGFGHINKEKGHQNGDDDTPVVENVAEPHSSAASNSTGKYCHFVDAHHHLQKNQKGSYHPGRTCAPTTGHDGPGGSSSKNSDKFPEALEIPEQIPGSCMSDEHQQSPKSGGSTDSYGNSSFKVENESSLIVDCAILWEDISLKEEIGRGSYGVVYHGIWNASDVAVKVYFGNQCSEETLLEYKKEVEIMKRLRHPNVLLFMGAVYSQEKSAIITEFLPRGSLFKTLHGNNHLLDFRRRLRMALDVARGMNYLHRRNPPIVHRDLKSSNLLVDKSWTVKVGDFGLSRFKDSTFLTTKSGRGTPQWMAPEVLRNEPSTEKSDVFSFGVILWELMTESIPWNNLNSLQVVGVVGFMDRRLEIPEHLDTRVSTIILDCWQSNPAIRPSFQDIIQRTTDIILSFTGSTTARKNIGT >Solyc12g044180.2.1 pep chromosome:SL3.0:12:59888553:59893834:-1 gene:Solyc12g044180.2 transcript:Solyc12g044180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLFVFVDKVIDCLIKPVARGIGYFVYYKRNITCMENESEKLENIRIGVDQRAETNRRNLQVISPNVEAWFTSVATITTEVEDVMRRGRNEIDRYDWCPNLKSRCLLRRRAKKIALELIELQNEGNSYAVFCYPAVESEPLPINSGEEFDSRKLQEDEVMAALNDNGVTIIGICGLGGVGKTTLAERIKRKAKKEKLFNDVVMVIVRQQQDPKRIQEEIARGVGLTLLGDDLWSRGDQLRTRLMAHNSHTLVILDDVWEALYDLEKLGISTCSNHNYRCKVILTTRLRPVCYIMKAQKIMEIGTLPEEKAWMLFKEKVDNSVDDPSLLDIAKNVSKECKGLPLAIITVAGALKRKTKPSWEDALKKLCSADIRNIPGVHARVYGPLRLSYDYIESDEARYLFLLCSLFEEDSDIWIEELLRYGKGLGIFSEMKNLENAKNRVCLLIEILKDSFLLSQGSDKNYVEMNDVLCDVAIYIASEEEHKFMVRHDVNSKEFPKKDNYEQYCHMSIVANEFEELPKPIFCPKLKLLMLKLFSGNLVKLQDNFFNDMGELKVLSFICRFPASICCFPATIQRLSSLRTLHLINLKLDDISIIGELVNLEILSIRDTRLDELPEEIGNLTKLIILEFWNKYKALERISTDQCARNVAYCKLVLPSKLTRCNIRVGFGYEERTYDYDKSIALEVTETTPLADWICHLLKKSEYVRSRGESSNNVLNELQLNEFQNVKYLHLSACNLVTHIFNISRTTHEVIKFPNLYELKLQDLECLTHFCSDNVDGIEFPQLQKLTFRDLPKFQNLWPTANNFITHPNPLFHEKVSCPNLEKLYVDVANNINVLCSDQLPTAYFSKLKRLHVSDRGKLRNLMSPSVARGLLNL >Solyc01g079530.3.1 pep chromosome:SL3.0:1:78452143:78454782:-1 gene:Solyc01g079530.3 transcript:Solyc01g079530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVIIYVDDYEFFSSSTYLCRICHDEEFESCKKLEAPCACSGTVKFAHRDCIQKWCNEKGNTICEICLQKFEPGYTAPPPKKKAHLVDNNNNNTAAIIRGSVEADIENGRERVESDSESEEREMLVRSTQYYECSQAADRSASCCRTIALIFTILLMMRHLLEVMNGGAKNYPFTLPTLLIIKSTGILLPMYIILMLITRIQIIIRHHYLDSEDRLSNSD >Solyc07g052920.3.1 pep chromosome:SL3.0:7:61448982:61451533:1 gene:Solyc07g052920.3 transcript:Solyc07g052920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEPQKDQPKEMDWKTLGGTESSEANVGPAVKKRLPKRIRQVPEYYFLPRRSLPYSIAFYGSFIAAGVGAGMLLEAWINKKVKEDGGVIWEFDK >Solyc10g008190.3.1 pep chromosome:SL3.0:10:2301489:2303850:-1 gene:Solyc10g008190.3 transcript:Solyc10g008190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTATPAATSTATTSEKKKPVFVKVESLKPGTHGHNLTVKVVESNTVKATGGGGRGGRVSASLNSRAPPRISECLIGDETGSILFTARNEQVDLMKPGATVILRNAKIDMFKGSMRLAVDKWGRVEITEPADIAVNDQNNLSLVEYELVNVDE >Solyc05g008180.3.1 pep chromosome:SL3.0:5:2571225:2575572:1 gene:Solyc05g008180.3 transcript:Solyc05g008180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVLPFTTTVHPCAITAPRLVVKMSAMATKNAGRTVESLVVKPPAHPTYDLKGVIQLALSEDAGDLGDVSCKATIPVELESEAYFIAKEDGIVAGIALAEMIFAEVDPSLKVEWFIKDGDKVHKGLKFGKVQGKAHNIVIAERVVLNFMQRMSGIATLTKAMADAAHPAYILETRKTAPGLRLVDKWAVLIGGGKNHRMGLFDMVMIKDNHISAAGGVSKALESVDQYLEQNKLQMGVEVETRTLAEVHEVLEYAAQTKTSLTRIMLDNMVIPLSNGDVEVSMLKEAVDLIKGRFETEASGNVTLETVHAIGQTGVTYISSGALTHSVKALDISLKIDTELALEVGRRTKRA >Solyc04g017803.1.1 pep chromosome:SL3.0:4:8322368:8322995:-1 gene:Solyc04g017803.1 transcript:Solyc04g017803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPEFEEITKQLKELLKAEQIRSSKASYGVPIRSSMRLHIDYRVINKVTIKNKYHIPLIANFFDRLGKAKYFTKMDLWKGYYQVRIAEGEKPKTTCMKRYGSYEWLVMPFGLTNTPATFCTLMKKIFHTYLDQCVVAYFDDIAIYNNTLEEQVDHFKRVFHVLRENQLYVKRDKCEFAQHNVHFLGHIISQGELRMDETKIRAI >Solyc02g071490.3.1 pep chromosome:SL3.0:2:41468734:41478605:-1 gene:Solyc02g071490.3 transcript:Solyc02g071490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPVKMNFGKSLLVPSVQELSKQRLTNIPARYVRSEQEPPVINAGEAVPVIDLQKLISGDSMDSELQKLHFACQQWGFLQVINHGVTPSLLEDFKREVIEFFGLPMEEKKKLWQQEDNHEGFGQLFVVSEEQKLDWSDMFYITTLPSHIRQMDLFQKLHSKLREIMEAYCNEIKNLAMIILCQLAKALRMDEKEMRELFSDGVQSIRMNYYPPCPAPEKTIGFSPHSDADALTVLFQLNETAGLQVRKDGVWVTVKPLPNALIVNIGDIMEIVSNGVYRSIEHRAIVNSNKERLSVATFYSSNLDSELGPAQSLTGPNNPPIFRRVPVDKYFKDFFARKLDGKSYIDFMKEDSRKIGSTLKVPSVRELAKQELAAIPSRYIRDDLEKTSCSILMPQVPVIDMEKLLIIGDHDTAELERLHFACKEWGFFQVVNHGVSSLLLEKVKSEIRAFFDLPMEEKKKFDQQEGDVEGFGQAFVFSQEQKLDWGDLFYMTTLPTNLRKPHLFPKLPHSLRETMEEHSKEFKNLAIRILCQLAKVLGMDEKEMRDLSNDGMQLIRMNYYPPCPEPEKTIGISPHSDADALTILLQLNETEGLQVRKDSVWVPVKPLPDALIVNVGDMMEILSNGVYRSIEHRAVVNSKEERLSLATFYLFNLDSELGPAHSLIGPNNPPIFGRIRVGKYLEDFFARKLDGKSVQELAKQHLTNIPDRYICSEQETPVISTGASVPVIDIQKLISGDSMDSELQKLHSACQHWGFLQVINHGVTPSLLEDFKREVIQLFKLPTEEKRKLWQQEDSFEGFGSVFVASEEQKLDWSDMFVIVTLPPHLRKVDLFHELPSKLRDIMEAYSKEIKNLAMIIACQLAKA >Solyc01g087780.2.1.1 pep chromosome:SL3.0:1:82558705:82561534:1 gene:Solyc01g087780.2 transcript:Solyc01g087780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease [Source:UniProtKB/TrEMBL;Acc:O82006] MGSRNIWFPYSPYLVLFSWALSAHLYLAIAQRSTYIVHLDKSLMPNVFTDHHHWHSSTIDSIKASVPSSVDRFHSAPKLVYSYDHVFHGFSAVLSKDELAALKKSPGFISAYKDRTVEPDTTYTFGYLKLNPSYGLWPASGLGQDMIIGVLDSGIWPESASFQDDGIPEIPKRWKGICNPGTQFNTSMCNRKLIGANYFNKGLLAEDPNLNISMNSARDTNGHGTHSASIAAGNFAKGVSHFGYAQGTARGVAPQARIAVYKFSFREGSLTSDLIAAMDQAVADGVDMISISFSNRFIPLYEDAISIASFGAMMKGVLVSASAGNRGHSWGTVGNGSPWILCVAAGFTDRTFAGTLTLGNGLKIRGWSLFPARAFVRDFPVIYNKTLSDCSSDALLSQFPDPQNTIIICDYNKLEDGFGFDSQIFHVTQARFKAGIFISEDPAVFRVASFTHLGVVIDKKEGKQVINYVKNSVSPTATITFQETYVDRERPSPFLLGYSSRGPSRSYAGIAKPDIMAPGALILAAVPPNIPSVSIENLQLTTDYELKSGTSMAAPHAAGIAAMLKGAHPDWSPSAIRSAMMTTANHLNSAQDPITEDDDMVASPLGIGSGHVDPNRALDPGLVYDATPQDYINLICSLNFTEEQFKTFARSSANYHNCSNPSADLNYPSFIAFYSYSQEGNYPWLEQKFRRTLTNVGKGGATYKVKIESPKNSTISVSPQTLVFKNKNEKQSYTLTIRYRGDFNSGQTGSITWVEKNGNRSVRSPIVLTTTVDLWGAED >Solyc02g067190.3.1 pep chromosome:SL3.0:2:37953972:37955441:1 gene:Solyc02g067190.3 transcript:Solyc02g067190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKCGVNRGMSVLDLFIRIIAIIATLGSAIAMGTTYETLPFFTQFVRFKAKFNDLPTFTFFVVANAIVSAYLVLSLGLSIYHIMRSRAQASRIALIFFDAGNTKTNWFPICQQFDSFCHRTSGSLVGSFAGVVLIILLIFLSAIALSRQSFNH >Solyc03g062680.3.1 pep chromosome:SL3.0:3:34144900:34155056:-1 gene:Solyc03g062680.3 transcript:Solyc03g062680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQPGMESGEQINDEEVKSVIEVMAASGKYWHDWDKLKGMLSFHLKQVLSDYPEAKMTIEQQQSCLEESLPELVKRLDDALNSFVEGPPFTLQRLCEILLDARNIYSKLSKLALALEKNLLVTSTLTISSDPYTIQHAATEAETETETKGSPIESNGVEPIASAGDADEVMAEAEAEVEDVMTVDMDTIEAIVRSSEAADTTPTSDS >Solyc12g044720.2.1 pep chromosome:SL3.0:12:60909673:60911788:1 gene:Solyc12g044720.2 transcript:Solyc12g044720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGQLVWEIVKKNNAFLVKEFGNGSAGVVFSKEPNNLCNLHSYKHSGLANKKTVTIQVGGKDQSVLLATTKTKKQNKPSTLLNKSAMKKEFRRMAKAVTNQVADNYYRPDLKKAALARLSAVNRSLRVAKSGVKKRNRQA >Solyc10g079460.1.1 pep chromosome:SL3.0:10:61138555:61140696:1 gene:Solyc10g079460.1 transcript:Solyc10g079460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLEDSLKTLSLDYLNLLINGQAFSDVTFSVEGRLIHAHRCILAARSLFFRKFFCGPESASVSGPRLGPFGVGAGLASSPRGTTSCSQVVIPVNTVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGERNCWHTHCTSAVDLALDTLSAARSFGVEQLALLTQKHLISMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEILAKHLPIDVVAKIEDLRLKSSISRRSLIPHHHHNHQHQHQMSSNIELEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLALHYAVENCSREVVKALLELGAADVNYQAGPSCKSPLHLAAEMVSPDMVAVLLDHHADPNTQTVDGITPLDILRTLTSDFLFKGAIPGLTHIEPNKLRLCLELVQSAAMVISREEGEANNNQSSENMYTHIREDHSSSTSSGNNNLNLDSRMVYLNLGANVANHHHQMACKMNNNNDHDCSSSHNNQNPSTMYHHHHHSQY >Solyc01g009230.3.1 pep chromosome:SL3.0:1:3187257:3187659:1 gene:Solyc01g009230.3 transcript:Solyc01g009230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLEYPIEVKTVYCLTPESCLECIDGEGTEKMKSFEYSIEVKIGMCAFFSQKNRKRLRFLEYI >Solyc01g105880.3.1 pep chromosome:SL3.0:1:93769605:93794445:1 gene:Solyc01g105880.3 transcript:Solyc01g105880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLNELKKEVKKMMMTVEGSQDEELEKLELIDNLERLGVSYHFKDEIMQILKSIHEQKITSTDNSLYSTALKFRLLRQHGFHISQDILNDFKDDQGNFKQSHCEDTKGLLQLYEASFLSTESETLESANTFATSHLKDYLHNLKGDDQENWRIELVRHALELPLRCKMLRVETRWYIDIYEKIPNANPLLPELAKLDFNILQATHQQDLKNLSRWWNKSLLAEKLPFTRDRIVESLLWIAGMMFEPQKNDYCRTMLTKVLAMVTVIDDIYDVYGTLDELEIFTDAVQSSQRARDQRCYLPYEINLCKSYLREARWYHSGYKPSLEEYMENGWITIGAPVVLVHTLFLVTNPITKEALESLTSYPDIIQCSATIIRLTDDLGTSSDEMERGDVPKSIQCYMNEKGVSEEDARKHINLLIKETWKLMNTALQKENSLFSETFIACAVNGARTSHTIYQHGDGYGIQNLHTKNLTPFSSFSPPKAFVSKACSLSTGQPLNYSPNISTNIISSSNGIINPIRRSGNYEPTMWNYEYIQSTHNHHVGEKYMKRFNELKAEMKKHLMMMLHEESQELEKLELIDNLQRLGVSYHFKDEIIQILRSIHDQSSSEATSANSLYYTALKFRILRQHGFYISQDILNDFKDEQGHFKQSLCKDTKGLLQLYEASFLSTKSETSTLLESANTFAMSHLKNYLNGGDEENNWMVKLVRHALEVPLHCMMLRVETRWYIDIYENIPNANPLLIELAKLDFNFVQAMHQQELRNLSRWWKKSMLAEKLPFARDRIVEAFQWITGMIFESQENEFCRIMLTKVTAMATVIDDIYDVYGTLDELEIFTHAIQRMEIKAMDELPHYMKLCYLALFNTSSEIAYQVLKEQGINIMPYLTKSWADLSKSYLQEARWYYSGYTPSLDEYMENAWISVGSLVMVVNAFFLVTNPITKEVLEYLFSNKYPDIIRWPATIIRLTDDLATSSNEMKRGDVPKSIQCYMKENGASEEEARKHINLMIKETWKMINTAQHDNSLFCEKFMGVLSSRPPRATCLFSINGGKPSSLIVVSKASSPNPTTIRRSGNYKPTMWDFQFIQSVNNLYAGDKYMERFDEVKKEMKKNLMMMVEGLIEELDVKLELIDNLERLGVSYHFKNEIMQILKSVHQQITCRDNSLYSTALKFRLLRQHGFHISQDIFNDFKDMNGNVKQSICNDTKGLLELYEASFLSTECETTLKNFTEAHLKNYVYINHSCGDQYNNIMMELVVHALELPRHWMMPRLETRWYISIYERMPNANPLLLELAKLDFNIVQATHQQDLKSLSRWWKNMCLAEKLSFSRNRLVENLFWAVGTNFEPQHSYFRRLITKIIVFVGIIDDIYDVYGKLDELELFTLAVQRWDTKAMEDLPYYMQVCYLALINTTNDVAYEVLRKHNINVLPYLTKSWTDLCKSYLQEARWYYNGYKPSLEEYMDNGWISIAVPMVLAHALFLVTDPITKEALESLTNYPDIIRCSATIFRLNDDLGTSSDELKRGDVPKSIQCYMNEKGVSEEEAREHIRFLIKETWKFMNTAHHKEKSLFCETFVEIAKNIATTAHCMYLKGDSHGIQNTDVKNSISNILFHPIII >Solyc03g044863.1.1 pep chromosome:SL3.0:3:10748970:10751413:-1 gene:Solyc03g044863.1 transcript:Solyc03g044863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPYANVIGSLMYVMVCTRPDISHVVGVKGSQYLIGYCDSHYSDDLDKRRSITSYAFTIANASVSWKSTLQSTVALSTTKAEYMAITEAAKEAIWLKAYLESLYLTLIRPGITHAVNLTSQFMQNPNSEHFHAVKRILRYVRGIVQFGLRLIAKSLIRLYG >Solyc04g016440.3.1 pep chromosome:SL3.0:4:7257764:7262851:-1 gene:Solyc04g016440.3 transcript:Solyc04g016440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSVQENSISPSKRTAKIHDFCFGIPFGSLVFTGGIVGFIFSRNPATLSNGVLFGGALLAFSTISLRVWRQGKSSLPFILGQAVLAAVLLWKNMQTFSLTRKVFPTGFYAAMSAAMFCFYSYVVLSGGNPLPKKLKVSAAGTS >Solyc04g081660.2.1 pep chromosome:SL3.0:4:65695463:65696027:-1 gene:Solyc04g081660.2 transcript:Solyc04g081660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQNRRALSKINRNVMGGPPLHTAVVPKRNDITENKVNAATNKIPPVLIHRPVTRKLAAQISSQQRHPAVEVHTFKESEDCIIIDAEDYKTTSNSSVSMFVQHTEAKTEEIDRMVS >Solyc03g112250.2.1 pep chromosome:SL3.0:3:64199351:64206785:-1 gene:Solyc03g112250.2 transcript:Solyc03g112250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEKNSQLLLDFPLLNEKDPENGIKRWYDMYETIEELKKQLELAGPLVVVGFMQYFLLLISVMFVGHLGELSLSSATLATSFAGVTGFRFMLGMASALETLCGQAYGAKQYHMLGIHMQRGMLVVVAISIPISIVWAFAGHIFAFCGQDVELSIHAGVYARWLIPSILPYGLLQCQLRFLQTQSRLKPLLISTGFTSLLHVFLCWALVSRLGLGNKGAALCNAISYWINALILALYIRYASSCEKTWTGFSKEGARNFPSFLSLAIPSACMICLEQWSYEFLVLMSGLLLNPKLETSMMAIRLVELNEKIVQSSYEFCMFFLLDPSTRISNELGAGRPKAAKLAARVVLLIALVEGFLLSGIAIAARNVWGYIYTNEEEVVKYLAAVMPVLALSNFMDGIQGVLSGTARGCGWQKLGALVNLVAYYVVGLPCAVILTFVFHFGAKGSWNPEDRDRGCCDIGEVIEETKKQLELAGPLVLISFLQYFLQMISIMFVGRLGELSLSSATLATSFTGVTGFSFMLGMGSALETLCGQAYGAKQYHMLGIHMQRGMLVLLAISIPISIIWAFAGHIFAFCGQDMEVSVHAGLYARWLIPSIFPYGILQCQLRFLQTQSRLKPLVISTCFTSLIHVLLCWALVFRLGMGNKGAALCDAISYWFNVLILALYIRFASSCKETWTGFSKEGARNLLSFLSIAIPSALMLSLEQWAYEFLVFMSGWLPNPKLETSMMAISTRVSNELGAGKTKAAKLAARTVLLLATVEGLLLSGIAVAARNVWGYLYTNEDEVVKYLSTIMPVLALSNFMDGIQGVLSGTARGCGWQKLGAQVNLGAYYLVGLPCAVILTFVYHLGGKVTNLSLANVRVDSGLWTGIISGSGLQALLLLLITLRTNWELQASSKFNLIVLKQETPLF >Solyc05g012390.3.1 pep chromosome:SL3.0:5:5649419:5654354:1 gene:Solyc05g012390.3 transcript:Solyc05g012390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIRSRPLIRTLTNFKTITTVPFLSQEPQLAGTPPENTTPLPPNPSSGSPLYNENWRSPFAATSQSVSSSVVPLGFLRQSPAARMQAMSESLDVEGLMNLFADWVTTQRWEDMKQVFELWIRSLDRNGKPNKPDANLFNYYLRANLMMGATADDLLGLASQMEEYGLVANTASHNLILKAMFQSGEPLSWADKSVKLLERMIATGVEYKEALPDEESYDLVTGLLFKANLIDDALKYIDSALKSGYKLSMNVFNECVRSCIYSNRLDALVSIIEKCKKTDQNKGLLPPWNMCTHLADSALQADNSELAFTSLEFFVKWIVRGETVKPPVLLSVGEGLLVAALGTAGRTYNVKLLNGAWEVLKRSLRQMRIPNPESFLAKMYAHASLGQLQNAFATLHEFEKAYASSKDESAEELFSPFTSLNPLAVACCRNGFVTLDSVYYQLENLSRADPPFKSLAALNCVILGCANIWDIDRAYQTFAAIESTFGLTPDIHSYNALIYAFGKLGKRDEATKVYEHFMDLGVKPNEMTYSLLVDAHLIKREPKAALSVVDEMVHAQYKPSKEMLKKIRRRCTREMDYESDDRVEELTKKFNIRMGAENRRNMLFNLRYNMEYSG >Solyc04g078860.3.1 pep chromosome:SL3.0:4:63605948:63613373:1 gene:Solyc04g078860.3 transcript:Solyc04g078860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATTNTIFSLLVYFLLIIHHATFSLTYNKNDVNDSIHDDCNINMIKWRIGAIINPKTRVGKEQKIAMEMAVDDFNAQNSKCPELGFNFAYYSHGPAASLATYLAKKKQVHAILGPLTHQEAALFSNFDDEAYKDIPIICLTPAATYSTILLTEPTSLIHMSNDVKFQMQCFAALIGHFKWRKVIALSEISNSFSNLDFGLITHLSDSLKLVDSSIEYHLAFPPLFSVSNAKSFIQEELEKLRIKNVKVFVVAQCSLHFGLVLFEVATEMGMMGKDYVWIVSDNMASLLDSVEPSVLLNMQGVIGFKANVNVKTESFREFNVKFRRKYRLEYPEEEEGYPSPSAYALKAYDAIWATAKAMEKLSRSDSSELVKSILLSDFEGLSGKVSFKNGMLYQKPTYRIINVIGKSYREVSFWSPEFGFSEDLVEYNGMTLKIGNGLEGDLGSILWPGGKQTVPKGWTIGGLEKPLRIGVPARGAFNQFVKVKFNQERNETLIDGFSVHVFEAAVRKLPYYLPYVLVPFYGNYDEMVEGVSNKSLDAAVGDTEILPDRYELAEFSQPYIDSGLVMVVTERPRPEKTNFIVIKAFKLKLWILLAVMSMSTGVVIWLNEYVNDNLDFSGSFPQLIGSMLWFSVTVLSFSQREVIRSNLSRLVLTTWLCVVVVVTACFTALLSSIMTVPRLEPSVVNVDYLLRTNAAVGCNNKSFIIKYLVNLQFKPENIKEINSINDYPNAFEKGEISAAFFVVPHAKVFLAKFCKGYTKSGPVYKLGGFGFVFPKGSPLAVDISEAVLKVSQSGEIRQLEEQMLISSNCSSSSAVEHDPGLGPELFSGPLLISGAICGIVFLISIVRLVRKHWLYLSSIIANSANVVLRCASLVLTQCYTRTVGSRSVKDSNNVIEQVPSNQKNIEMTEVF >Solyc11g072030.2.1 pep chromosome:SL3.0:11:55614771:55617822:-1 gene:Solyc11g072030.2 transcript:Solyc11g072030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTTSLLLLLSLFSTIVPPFTEAQSMPPMATAESPSMSMSPGSEGPATSGGMDCMTVLLNMSSCLTYVEQDSKLSKPDEQCCPSLAGLLESNPICLCQLLGNPDKIGIQIDVNKALKLPNICKLETPPVSTCAAIGIPIAAPTSAEVPVGSPGGLASSPTTSEDKNNAASIMTFFKMQLVLSLGIIFFVTIY >Solyc08g078260.1.1 pep chromosome:SL3.0:8:62203972:62207242:1 gene:Solyc08g078260.1 transcript:Solyc08g078260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLCSQNFIFIILIFCSICFSSSIPFIVLHGLGESCNDAGSTFYTSQLSLLSKSNGYCLEIGDGVYSSYYMPLENQVKGMEELQQGYNIVGLSQGNMVARGLIEFCDEAPRVNNFISIGGPNAGIAYAPACTGNPWCDGAGGIFGIGIYSDYVQTHYAPSGYIKLPNDIAGYLRGCRYLPKLNNEIPNATNPIYKERFTSLQNLVLIMFEHDGVITPKESSWFGFYQDGTNSQILPPQQTNLYLEDTFGLQTLDKAGKVKFIKLPGYHLVMDIQEMQQNVVPYLIDGALKNKADAQVVH >Solyc06g005110.3.1 pep chromosome:SL3.0:6:125750:130225:1 gene:Solyc06g005110.3 transcript:Solyc06g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTRGMGADRKLKSHCWRQRWADKSYKKSHLGNVWKKPFSGSSHAKGIVLEKLDIEAKQPNSAI >Solyc01g009935.1.1.1 pep chromosome:SL3.0:1:4464693:4465142:1 gene:Solyc01g009935.1 transcript:Solyc01g009935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKLDSKGKKGIIPKTWERCKSFGRKNSLENNQHALTTKRSRKSKHRVSTQGCFSVYVGSYKQRFAIKIEYVNHPLFKMLLDEAESEFGYNSKGPLVLPCDVDFFLNLLMELDSNEANHHGCGFSRSYSYHHLTPTIFINRVTKYQT >Solyc07g008800.3.1 pep chromosome:SL3.0:7:3769793:3771432:-1 gene:Solyc07g008800.3 transcript:Solyc07g008800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTINRVLIEKITAPAKTSAGILLPEKSAKLNSGKVVAVGPGLHDKTGNLIPTAVKEGDTVLLPEYGGTQVKLGEKEYHLYRDEDILGTLHD >Solyc02g078290.3.1 pep chromosome:SL3.0:2:43607813:43610571:-1 gene:Solyc02g078290.3 transcript:Solyc02g078290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKSSARKRVSEPQIPKSESKRRASAVEDDFDAEISDDIKGIMTALKQIREKAQQDGLKKKEETISSVTSEVKSKIDELKLKLEKDRQGFAKALSKSSKECENLLKNETAKFQSIYEKFNKEKATHLQSLRGKKEKSMISDLEQDSRKRISELKESLKKKKQDDKAFSFLRKTLGSFLDNASDEDFPPDD >Solyc01g011093.1.1 pep chromosome:SL3.0:1:7565823:7578119:1 gene:Solyc01g011093.1 transcript:Solyc01g011093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSRTSLYTSKKDVFVSRSPADASQQKCELQCEQPNNSLYTFTGNLIIQKQTLPLSPNQLLLRGCSLRNTQYIVGAVIFTGHETKVMMNSMKIPSKRSTLEKKLDKLIIALFSTLLCMCLLGAIGSGIFINKKYYYLRFETGKNADPQSDPDNRFVVAVLTMFTLITLYSPIIPISLYVSVEMIKFVQSNKFINNDLHMYHAESNTAAQARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGTGVSEIEIGTAQRNGLKVEVKSSTEAREKGFNFNDARLMRGAWRNEPNPDSCREFFKCLAICHTVLPEGEETPEKIRYQAASPDESALVVAAKNFGFFFYKRTPTMIYVRESHVEKMGTIQDFPYEILNVLEFNSTRKRQSVVCRYPEGRLVLYCKGADNVIYERLRDGDNDLKKRTREHLEQFGAAGLRTLCLAYRDVTADEYEKWNEKFIQAKSSLRDREKKLDEVAELIEKELVLIGSTAIEDKLQEGVPECIETLSRAGIKIWVLTGDKLETAINIAYACKLINNSMKQFIISSETDAIREVEDRGDLVELARFMKETVQNELKRCYEEAQEHLHSVSGPKLALVIDGKCLMYALDPSLRVMLLNLSLNCSAVVCCRVSPLQKAQVTSLVKKGANRITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMASDFAIAQFRFLADLLLVHGRWSYLRICKVVTYFYYKNLTFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVMFTALPVIVLGLFEKDVSASLSKKYPELYKEGIRNTFFRWRVVVIWAFFAIYQSLVLYYFVIDSSTKGMNSSGKIFGLWDVSTMAFTCVVVTVNLRLLMMCDTITRWHHITVGGSILLWFIFVFIYSGISLPKEQKNIYLVIYALMSTFYFYLVLLLVPVAALFGDFIYQGVQRWFFPYDYQIVQEIHRHEIDSRMGLLAIGNDLTPEEARSYAIRQLPGQKSKHTGFAFDSPGYESFFASQAGVSIPQKAWDVARRASMKPQSKLAREN >Solyc01g009630.3.1 pep chromosome:SL3.0:1:3799043:3808072:1 gene:Solyc01g009630.3 transcript:Solyc01g009630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIVNTYPLSSYTFGTKEHKMEKDTSVADRLARMKVNYMKEGVRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLSSKLAANPPANQPNWKIGECIATWWRPNFETIMYPYCPPHITKPKECKKLYLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRLQFNMINQ >Solyc03g006500.3.1 pep chromosome:SL3.0:3:1079182:1089711:1 gene:Solyc03g006500.3 transcript:Solyc03g006500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCSCFGASTQRQKKGVDLVHQDTAGCSTAKTENISYSELRRATNNFHQSNKIGRGGFGIVYKGTLRNGMEVAVKTLSAESRQGLREFLTEIETISDIRHPNLVELIGYCLDGHNRILVYEYLENKSLDRALFGSSTSNVKLDWETRAAICLGTATGLAFLHEELVPHIVHRDIKASNILLDKDYKPKIGDFGLAKLFPDNITHITTQIKGTTGYLAPEYVRGRQLTSKADVYSFGVLLLETVSGRSSGSGTWQGQKLLLESAWEFYEEGKLLELVDPEMGDFPEKQVLKYIKVALFCTQEKANRRPMMSQVLDMLTRNIKLNEKELTPPGFFQDSGGFSSTQLKLKSSESSTSHQMSSVPLTITQVTPR >Solyc07g062710.3.1 pep chromosome:SL3.0:7:65525633:65527444:-1 gene:Solyc07g062710.3 transcript:Solyc07g062710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPPKVPTMAHNWPFQNMPPLPNSSTIVSNHHTTNSWVDDFLDFSSSRRNSHRRSVSDPIAFVEAPFLQQCRGNGLSNGFDKLDDELLTTMFSDDSGAGAAFAGTAPCSNPSSTNPSTPSDQVSENEDQKSPVRLEVNLVVQPKNEPGEDDSDNQSPVTSKNPVNDSSDNNNNNSIVDPKRIKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLLLNVDNSALRQRIAALAQDKIFKDAHQEALKKEIERLRQIYHEQNMKKMNTADEPPSTAAPMSCTEEA >Solyc01g010700.3.1 pep chromosome:SL3.0:1:5669730:5674443:-1 gene:Solyc01g010700.3 transcript:Solyc01g010700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQAKITENTSKLASYDAYFEKAQKRKKLPRNLQETLTDAFANIPVSSFPQVPGGKVIEIDAETSIGDAVKILSESNILSVPVKNPVAKNSLDWRERYLGVLDYSAIVLWVLEGAETAAAAISASSAAAAGVGAGTAGALGALALGATGPAAVAGLTVAAVGAAVAGGVAADRGAGKDAPTAANNLGEDFYKVILQEEPFKSTKVSSIIKSYRWAPFVPVAMDSSMLSVLLLLSKYRLRNVPVIERGSPYLKNFITQSAVVRGLVGCKGRDWFDCISAHPISELGLPYMSADEVISVRDDELILEAFKKMRDNNIGGLPVVEGSKKKIVGNVSIRDIRFLLLKPELFSNFRQLTVAGFMNTVASATHDATKAATPITCKLGSTLCTVIDTLASKLVHRIYVTAEDGDEVVGVITLRDVISCFIYEPSNFFDNYFGFSAQEMLRN >Solyc01g111420.3.1.1 pep chromosome:SL3.0:1:97588796:97590273:1 gene:Solyc01g111420.3 transcript:Solyc01g111420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPPAATKVSRSTVEKAVNALLKWKESKSKTEKPQLLPQDDFIYLNLTLKKIPPKPRTNAFRIPFPHPLHDASSELCLIIDDRPNSKLTSDAAKKIIKSQNIPITKVIKLSKLKTNYKPFEAKRKLCDSYDLFLVDRRIVHLLPKLLGKQFFKKKKLPLPLDLTHKNWKEQVERACGSGLFYLRTGTCCMMRIGKGSMDSAQIVDNAFEAIKGVVQVVPKKWGGVRSLHLRLSDSLALPLYQALPEIKLKIQGFKEKEAEGGDEEMSGGLVEVEESGRKAEEGSGKKKGKNKGRIHEVRYMDLDSGVDELGSDDDDVGNNEEEENGDEDIEESDDHEVEKVKKGKAGKGGIQSELNGEKKAKKLKKAEQQKTSKLSLKDGKKKKKSSELEKKLKDGSVKAKSKRSKIRAYE >Solyc02g092610.3.1 pep chromosome:SL3.0:2:54288006:54288488:-1 gene:Solyc02g092610.3 transcript:Solyc02g092610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNPKSIVNWWSEDLTVLRIDFFQRVLIAMMGRGFKQYALGPILMLYAQKSLRCLEIFGKGRKKIEPKQEHEKRVVVETIVGLLPREKNALSVSFCQCFSELQYICRPRWLAGSTWRRGWIAAWTGCIGCIC >Solyc06g082700.1.1.1 pep chromosome:SL3.0:6:48474538:48474966:1 gene:Solyc06g082700.1 transcript:Solyc06g082700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSANSLWNPNLIPDLLQKQVLVANVGDSKAFICSGKLAKELTEDHNADRLDERARVEASGGKFTFYTNYVPLLNGHFPMTRAIGDVTLKSVGIIATPEVTDWLNLTSKDEFLVVASDGIFESLSTKKFVSFYMKQRTIQI >Solyc06g084080.3.1 pep chromosome:SL3.0:6:49371496:49377723:-1 gene:Solyc06g084080.3 transcript:Solyc06g084080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFYLYPTSRMKLFYGITLFSFILLFLVPGSFSFDNFHQPFPIVEPDYGHTKLRLATQGLEAIQRITTPIAAVAVIGPYRSGKSFLLNQLLSLSCNEGFGVGHMRDTKTKGIWVWGTPIELDIDGVTTSVFYLDTEGFESVGKSNIYDDRIFSLATVLSSVLIYNLPEMGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALRRVPNSDGDKNIDKVNQIRDSLAVMGDNSTAFSLPQLSVFPIRTWNDASPLLALLQPHLQRTKLCDMKDVELDPDYVKKKEQLKEIVASIVRPKIVQGKFLNGKEFVSFLEQILDALNQGEIPSTGSLVEVFNKGILERCLKLYSEQMAKVVLPMQGESLQKAHEEQRDTAMEVFEEQHFGRRHARKSVDQLEEDIEKVYKNIKLANEYQSSTLCEALYTRCEDKMDELQALRLPSMAKFNAGFLQCNHSFERECVGPSKSNYEQRMIKMLGKSKSLFIKEYNQRVFNWLVVLSLVMVVLGRFVIKFFLVEIGAWILFIFLETYTRMFWSAESLYYNPVWHSFLATWETLVYNPILDLDRWAIPICVIAAIFVFYWRCYGIMQRGPRWSLPVYSNQRRSE >Solyc01g107190.3.1 pep chromosome:SL3.0:1:94712830:94714347:1 gene:Solyc01g107190.3 transcript:Solyc01g107190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRILRKGCNENCILRQSLQGIESSHAQANATVFVAKFFGRAGLMSFLTSVSESQRPALFQSLLFEACGRTVNPVHGAVGLLWTGNWHVCQSAVETVLKGGVLRPLPEFSGVTASPEFDSEANDVDLFRSQSSNFRSKRKIVDEVSEDLDLGLTSGSSPMVAGGKLNRRTEKRRAATPSLNSDESDTTTLESGFVYHQNPEQGNETKLLRLFF >Solyc06g005330.3.1 pep chromosome:SL3.0:6:351604:352834:-1 gene:Solyc06g005330.3 transcript:Solyc06g005330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEAMRKGPWMEQEDLQLAFYVNLLGDRRWDFLAKVSGLRRTGKSCRLRWVNYLHPGLKRGKMTPQEERLILELHSKWGNRWSRIAREVSGRTDNEIKNYWRTHMRKKAQDERKLKKASSIISPSSSFSNTSSFSSNSPDVDFTPIMKNNERNFYDTGGLQKKVVDHDKGKNMKVYSMDDIWKDIELSEENETKSTKQIMSSPIWDYCPNTLWMADDQEENKMFPMFYCLDNQDI >Solyc11g068630.2.1 pep chromosome:SL3.0:11:53566403:53568787:1 gene:Solyc11g068630.2 transcript:Solyc11g068630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISTTSNYEITKLKGGGGGLGGGGGGELGGGLYTGGGGGGLGGGGGGGLGAGGGGGGGGGGGLGGGGGGGLGAGGGGGGGGGGGLGGGGGGGLGGGLYTGGGRGGLGEGLYIGGGGGGLGGGGGGGLGGGLYTGGGGGGLGGGGGGGLGGGLYIGGGGGGLGGGGGGGVGGGFYAGGGRGGLGEGWCIGGGGGGLGGGGGGGVGGGWYTGGGGGGLGGGGGGGLGGGLYIGGGGGGLGGGGGGELGGGLYTGGGGGGLGGGGGGELGGGLYIGGGGGGLSGGGGGELGGGL >Solyc09g008000.3.1 pep chromosome:SL3.0:9:1460866:1484923:-1 gene:Solyc09g008000.3 transcript:Solyc09g008000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVRIWLPIYYLFMILVLGFSPCVWCAPPSKQTPPANANANPTAVPVLASFIYGQLANLTKVFHKDITQVLGFCIDDVDAELFEAFNFAKNLEFLNNCFKETKDVTQRLCNAAEMKFYFSSFLETKSSQKANILKPNRNCNLTSWVPGCEPGWSCSVGKNEKVDLKNAKDMPDRTRDNQPCCEGFFCPRGLTCMMPCPLGAFCPRATLNKTNGLCEPYSYQLPPGKVNHTCGAADRWGSETDGGELFCSPGSYCPTTTKKGALSFILIIFYNCSDQVINTKYQRVAKSREAAARHARETAQARERWGLVKDVAKKRAFGLQQQVSRSFSKKMSVKQGARGAFNLPKTNDEASIPPKGPSSSSGKGKKKEPSDLTKMMHSIENETDNMEGFHMQIGDKNIKKQAINAKKLHTRSQIFKYAYGQLEKEKAMEQKTKNMTFSGVISMATDDNTELKTRPPIEISFKDLTITLKKKHKHLMRSVTGKLMPGRISAVMGPSGAGKTTFLSAVAGKLTQCTLSGMVLINGRAESIHSYKRITGFVAQDDIVHGNLTVEENLRFNARCRLAADLPKADKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGMEMVMEPSLLILDEPTSGLDSSSSNLLLKALRREALEGVNICMVLHQPSYTLYKMFDDLVLLAKGGLVAYHGPVKKAEEYFANLGIAVPDRVNPPDHFIDVLEGMVKPGGGVTVEQLPVRWMLHNGYPVPPDMMQLCDQIAMSSKGVASAPDQSFSVEAWHEKRDSLSHGLLKSHDLSNRNTPGVNRQYRYYLGRVGKQRLREAQIQAADYLILLVAGACLGILSSQKGDTFGYSGYTYSIIAVSLLCKIAALRSFSLDKLEYWRERESGMSSLAYFLSKDTIDHFNTVIKPLVYLSMFYFLNSPRSSFGTNYLVFLCLVYCVTGIAYVFAICFAPGQAQLWCVLVPVVLTLIANQEPDSTAGKLAKFCYPRWALEAFVVASAQRLNSAYRSGFASLGVWFDESGSSGATVCDSKLNLYAERNCSVVMYQLSGSFGLLYCAQTRDDHASISGVLCFDEKRVLKGMERKGALYNIAFTFPLFSLDISPGRRVDGLPEGMKLYGILPLLDEVLDLKRSQFEAGKIQAQNDLSTPCEDYLLGNSSHIEFAESITNLDYGSSRGLLDPILESQIPLSSCDGTDTCGMPYSAGLVVQESQSQNAMFGLTNSELHDLSRDKCESQMLVEYNAVKFPTAFNPGDISNVDDVAGFFAEAAASEKMNDSMIDHSALTSNGISFMSTATQNEGQDVNCESFDMHESSEISPPVTSEAINIDTPVTQKRLRKPTRRYIDESSNLNAKRRKKRAEVSTSGAESKNKLLKVRCQKKPRAESMEMVLFSEESSYEAIQVPFASLVNEECDDWDASDAIQVFKPHKEEPPHKEATTVVNHKDDDVTPKKLVQDGVRRKHHRLWTVSEVRKLIDGVAQYGVGRWSHIKKLYFSSSVHRTPVDLKDKWRNLLKATYLQKQSKSTEKGKHNLSWRPLPKSVLHRVSELANMHPYPRNRRCKSSRSPCASSSPEHSNSSNTP >Solyc02g081485.1.1 pep chromosome:SL3.0:2:45986963:45988413:1 gene:Solyc02g081485.1 transcript:Solyc02g081485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKMSLVAGVVLGTALVCIIAFLRYTLYLTSRNKRESRVRLEKFLEDYKAIRPTRYTYADIKKITDDFNEKLGEGSYGTVYKGRLSSEIYVAVKVLRDSKGKGEEYINEIGTIGRIHHVNVVLLLGFCADGFRRALIYEYLPNDSLERFILPVSSSTGSVSVISWNKLQHIALGTARGIEYLHQGCDQQILHFDIKPQNILLDHNLNPKICDFGLAKLCSKEKSAITMTAARGTIGYIAPEVLSRNFCKVSHKSDIYSFGMLLLEMVGGRINMDAKTNNHCKVNSLEWIYRHLEKGEESKIRIEEEGDATIVRKIAIVGLWCIQWHPVDRPSIKEVTQMLEGDGSHLNLSPNPFMATDKPKLNASPHGEDLDVILEIK >Solyc11g064840.1.1.1 pep chromosome:SL3.0:11:50353802:50354971:-1 gene:Solyc11g064840.1 transcript:Solyc11g064840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFKQTSTGFLYNPDLSTDSESEFSGVLEIHVHHARNIHNICIYNNQDVYAKFSLTYNPDEAISTRIINGGGKNPDFNEDLAMKVSQVDSILKCEIWMLSRAKALMEDQLLGFALVPISSVVGKGKTTQDFSLSSTDLFHSPAGIVKLSLFLNTNNLISVSKNNPSCSPSSSSSISSEVVLLDRNTCQAILDPVEYSRIEFPEISLVKENQEMVSQYFDLGGSFLQLAAFHSHHDDDQQQQPQDDYEMDAMNPSEDDISPKQTSWFLSSSSSLSDERNSADSSPDKHSNDIKKDSIKKENEELKEPHVLFSAPLGNIIKIDAEQSGMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKADQKDHGSSSSDTNNNRKENSRVFYGSRAFF >Solyc05g018746.1.1 pep chromosome:SL3.0:5:23332287:23332941:-1 gene:Solyc05g018746.1 transcript:Solyc05g018746.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLCAGFEMKDLGPAKKILGMKISRDRSAGNLNLSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSKEQLPKTAEERDHMTVVPYASTVVKLVSRYTANPGKEHWEAVKWLLRNLRGTSSTSLCFGKGKVTLQGFVDVDLAGVVDSSKSISGRQKCVSLSSTEAEYVAIPEAGKEMI >Solyc02g024053.1.1 pep chromosome:SL3.0:2:25361083:25361716:-1 gene:Solyc02g024053.1 transcript:Solyc02g024053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVTRYGDFERLVMPFGLTNAQSTFCTLMNKLFHHFLDQFFNDIVVYSNNIVEHVVTTSPIIIGASYLTITAPLIDLLKKSDEWSGLICVKLALKRLWQPLSMSRFWLPDFTKAFEICNDTSDLAIGDFLMQEGHRYRLR >Solyc01g111450.3.1 pep chromosome:SL3.0:1:97599172:97602337:1 gene:Solyc01g111450.3 transcript:Solyc01g111450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4B407] MARYDRAITVFSPDGHLFQVEYAMEAVRKGNAAVGVRGTDTVVLGVEKKSTPKLQDSRSVRKIVNLDDHIALACAGLKADARVLVNKARIECQSHRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIIGFDPHTGVPSLYQTDPSGTFSAWKANATGRNSNSTREFLEKNYKETSGQETVKLAIRALLEVVESGGKNIEVAVMTKEHGLKQLEEAEIDAIVAEIEAEKAAAEAAKKAPPKET >Solyc01g058060.1.1.1 pep chromosome:SL3.0:1:65000360:65000572:1 gene:Solyc01g058060.1 transcript:Solyc01g058060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEADVATSHSRKRTFKNFSYRGLNPNFFLTCLPMILLSCPCSSSQNIPAVFEEEAYGFHQEAPQGVT >Solyc11g011460.2.1 pep chromosome:SL3.0:11:4514911:4519586:1 gene:Solyc11g011460.2 transcript:Solyc11g011460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRPARNLFYLYLSKNNVSKLRFLSVSSGFLHTHFAEPKKVQDFDVYGHRIPTPPQFSSLWCNQWKKLNLFHFYGHPFSTVVENGDNELEVCDVDVEENECGDGGLGSEKRLNFVQIASRDPVEIYRELRDATKCEKQTRADWDTSIEIFRCFAKSGWASNQALAVYIGASFFPTAAQKFRNFFFKKCKVDVVKYLVSLGPCIESEKFLFPIFVEFCLEEFPDEIKNFRKMVESADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQSFMEAKKGIYCSPLRLLAMEVFDKVNGLGVYCSLLTGQEKKHVPFSNHIACTVEMVSTDEMYDVAVIDEIQMMADTHRGYAWTRALLGLKADEIHVCGDPSVLNIVRKVCSETGDELVEQHYERFKPLVVEAKTLLGDLTKVKSGDCVVAFSRREIFEVKLAIEKHSNHRCCVIYGALPPETRRQQATLFNDPNNEFDVLVASDAVGMGLNLNIRRIIFYTLSKYNGDRIVPVPASQVKQIAGRAGRRGSRYPEGLATTLQLEDLDYLIECLKKPFEEVNKVGLFPFYEQVELFAGQICNSTFAELLDRFGENCRLDGSYFLCQYNHIKKIANMLEKVQGLSLEDRFNFCFAPVNIRDPKAMYHLLKFASSYAQALPVNIAMGMPNCSARNDSELLDLETKHQVLSMYMWLSNHFEGEKFPYFKKAEAMATGIAELLGESLANARWKPESRNGKQQKVVKKDQGETKEQLCLNTSSHRRLQHDTAGLALRVP >Solyc07g025170.3.1 pep chromosome:SL3.0:7:26150516:26182829:-1 gene:Solyc07g025170.3 transcript:Solyc07g025170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDEELRRACEAAIEGTKQQVVMSIRVAKTSGIIGIAGKLSRGAMAKPRVLAISTKAKGQRTKAFLRVLKYSNGGVLEPAKLYKLKHLSKVELVTNDPSGCTFMLGFDNLRSQSVTPPQWTMRNVDDRNRLLLCILNICKDILGRLPKVVGIDVVEMALWAKENTPAITKQQANPQDGPVTSVAEEGEMTVTVERELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILENEPLIDEVLHGLESATNCVEDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNKALIEELDRLLERLRIPSEYVTCLTDGSFEEARMPQNIEACEWLTNALHGLESPKLDPSYSNMRAVKEKRAEVDKLKTMFVRRASEFLRNYFSSLVDFMMSDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCTSLNLLLRREVRKLLS >Solyc04g016170.2.1.1 pep chromosome:SL3.0:4:6910921:6911274:1 gene:Solyc04g016170.2 transcript:Solyc04g016170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSNSLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFESWVQESLHVRPIAHAIWDPHFCQPAVEAFTRGEAEAATSEVTERKTTTGVGESELKRGFLASFSHSKPCMRLSSHTAPK >Solyc07g032535.1.1 pep chromosome:SL3.0:7:40419079:40419483:1 gene:Solyc07g032535.1 transcript:Solyc07g032535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGKINGHDVIIFVDSGATHNFISSTLAHNLHYLLRRPKDLKYQLVMVSMLVGLKYLKRMIGVASRGSIHDSTSSVTESHE >Solyc03g114540.2.1 pep chromosome:SL3.0:3:65986709:65992884:-1 gene:Solyc03g114540.2 transcript:Solyc03g114540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVSITKTISLILQILIILNASPYLVHCAFSRLQLPSRISGPDSAAFDTKGDGPYTGVGDGRVFKYQGPNTGFTEFAITSPNRTKQICNGTNDPISQISCGRPYGLGFYSKTGDLYITDAYYGLLVVKPSGGLATPLVTSFEGRPFGFLDSLDIDQEMGIIYFVDAGAIFRTSQDSIELQLTVFCFLNGFVSSNRFLIALSGDTTGRLFKYDIATKQVTLLLSKLSGPAGVALSKDKSYLLVSEAIGKRITRFWLEGTKANSSDVFTNIDGNPDNIKRTVSGDFWVAVVSVRIKLLIIPTLNSTGQRINQLGEVVETRDFTAQYTSANGITEVQECNDKLYIGIAWCRFPAFSKLQLPLQTIGPEASAFDRKGGGPYTGIADGRIVKYQGPRVGFTDFAVTSPNRTKAKCDGKNGPELQQICGRPFGLGFYYRTGDLYITDAFYGLVVVGPSGGLVTRVPGFQGRNFAFLDALDIDQSKGVVYFVDSGAIFLTGNRTRIVESGDTSGRLFKYDIATKQVTLILSGLSGPVGVALSKDNSYVLVTEYIAQRIRRFWLKGAKANSSDVFANVDGIPDNIKRTVLGDFWVAISNTKQPTTFSLGQRINQFGKVVETCNFTAQYNSPNGITEVQEYKGKLYVGSLDQNFIGVFGV >Solyc03g097980.3.1 pep chromosome:SL3.0:3:61776015:61783853:-1 gene:Solyc03g097980.3 transcript:Solyc03g097980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPYADSDSDAWQDLLRRMLPAGAPLPDDEQLDYSIAVEYKGPPLDFPVPVVDPLSSKTTLPKPPKYRKVPSVHSKFALRMTKNSSGSGSGSSSSASRLRNNSSSEFESKIQTDQSLSDLNNSVEEKDGKSDTLGAKVRVRVCSRCGKKSRLREREFCIVCGARYCRNCLLKAMGSMPEGRKCVGCIGETIDEANREKLGKCSRLLAKVCSPLEVKQIMKAESECLANQIQPEQVWVNGRPLREEELVELLGCAMPPQNLRPGKYWYDKDSGLWGKEGEKPDRIISSKLNVGGKLQIDASKGNTKVFINGREITKVEFRVLKVKREAWHMIIRIKLCWSPILIILTHLAKVQCQRGTHFWVYEDGSYEEEGQNNIRGNIWGKASTRFICSLFSLPVPPANIHGPKEDATAFSGGSIPEYLEHGRVQKLLLFGLEGSGTSTIFKQVKFMSKSKFTVDEVQNIKLTIQRNIYRYLSVLLEGREHFEEEALMDKGASDLERENLSPGETGTDRSRRCIYSINQRVKHFSDWLLEIIAMGDLDAFFPAATREYAPVVDEIWRDPAIQETYRRRGELHFLPDTANYFLDQALEISSNEYEPSEKDILYAEGVTPSNGLASLEFSFDDHSPMSEIYGEDLEGQPSWTKYQLIRISSKGVHDSGKWLEMFEDVKVVVFCVALSDYDLEWTRGDGTSENKMLASRDVFESLVRHSSFEDASFVLLLNKYDSFEDKINQVPLTVCDWFHDFRPFRHRQNNQALANQAYYYVAVMFKQLYASITGKKLFVWPTRALERTSVDETFRYIREVLMWEEEKKRMYCTADDDSYSSTETDFTS >Solyc11g005760.2.1 pep chromosome:SL3.0:11:584879:600686:-1 gene:Solyc11g005760.2 transcript:Solyc11g005760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARRSIEDACKRRLQKIKVKDVGGIKPLQNPFQEKNTNCKFHPLKLVLFIIVIGTFYMILSSPSVCQHNNADTVSRQHFVNRWIWGASDPRYISDIDISWEEVSQVLEQLPNQNKVVDNIGLLNFNKDEISEWTQVVPNVNQTVLHLDYVEKNVTWDTLYPEWIDEEQENEVPSCPTFPKLEVPRTRFDLIAVKLPCRNEGNWSRDVARLHLQLAAAGLASSAKGIHPVHLLFITKCFPIPNLYRCNELVARKGNAWLYKPDLSVLREKVQLPVGSCELALPFGTTEEVHSGNKRREAYATILHSAHVYVCGAIAAAQSIRMSGSTRDLVILVDESIGEYHRSGLEAAGWQVRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDILFRMPQISATGNNGTLFNSGVMVIEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKNFWIGDDEVVKAKKTNLFGADPPVLYVLHYLGYKPWLCFRDYDCNWNVDILQEFASDVAHHKWWRVHDAMPEQLQDFCLLRSKQKAQLEWDRREAEKAKYVDGHWKMKINDRRLKRCTDRLCNWKATPISFPPSPSPIEIQLACKASPDPPTCESALTESSHIPSNLTALQIILSALSVSSHNLTNAQSMVKNLLDSAAAGDVNLTGAAKNCLQGLGNSVYRYNLTAAALPRGEIKDARAWMSASLGYQIGCSSNLLRVNGTLPVAKTLEVIKGLIGFTTNALWMMVNYDIHGNKTGSWAPPKTERNGFWEVVSGSGSRFRGGVPSGLSPNVTVCKDGSCNYKMVQEAVNATPDNLGSEKFVIRIKTGLYDEIIRIPLEKRNVVFLGDGMGKTVISGSLSVGQTPGMSTYESATVGVTGDGFMASGLTIQNAAGIGAGQAVAFRSDSDHSVIENCEFLGNQDTLYTQSLRQYYKSCRIQGNVDFIFGNAAAIFQDCEILVTPRVVNPEKGETNAVTAHGRLDPGQSTGFVFQNCSINGTKEYMILYRSNPNVHKNYLGRPWKEYSRTVFLNCNLEVLIRPEGWMPWSGEVALATLYYGEYKSSGNGGNVTGRVPWSNQIPTEHEIVCLTCGDKGDVKLLVYCSQCRDSAVHHYCQEKITVDDDYADWICWDCAPKVAKDDQVRKSERISERLNRAFDVRAEWRRKLYHCKVKALRLDEARHDTSGEVQSPTAHSPFHVLQKEKPSFVDTKKHKDIGEECADVCYSEQHIEIVKAGITPLVGKRQEAYCSTLNNEEGGMIERAQVRGDSSVQDSKSAQSLGEIGKQQEMRKSSRKFVVCDDDGDFEGEGGAIGGTFSSSFPGEQNFPLDTLYGDPQVESVNCLSAEPVIDPIWRGCLIFNTESQSSINILAHLSNKACEKACIAATRLPVNLDVKLVSKNDVWPRSFQRLQPTDCSIAVYLFPELEEDENSYDALLEDVIENDLAMSATIDDVELLIFSSCVLPQKHWRLRRKYYLWGVFKHKPSRSRIPTCNILAQTSSIQNAATSDLPNEVEGAHTGSSQQDQSFPSPLSIEKGVDSGSPLGRFPSPLSIVKGVDSGSPPGRFPSPLSIVKGVDSASPPGCFPSPLSSCCDITSTKDSPCHHEN >Solyc06g073750.3.1 pep chromosome:SL3.0:6:45651932:45655035:1 gene:Solyc06g073750.3 transcript:Solyc06g073750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMVCVQCKVVYIYTHLLILNKIVCFKVEEVQQSVQAEETKIMGRFSIPTKVFVLFCLWVVSAEAEYLKYKDPKQSMSTRIKDLMKRMTLEEKIGQMSQIERRFASTDVMKQYFIGSVLSVPGDTPGLNAAAEDWINMVNDIQKAALSTRLGIPMIYGIDAIHGHNNVYNATIFPHNIGLGVTRDPDLLKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDINVVRTMTEIIPGLQGDVPANSSKGVPFVAGKSKVAACAKHYVADGGTERGIDENNTVINRTSLYSIHMPAYYDSIIKGVSTVMISYSSLNGEKMHTNRDLVTHFLKDNLKFRGFIISDSEGLDRITSPPDANYTYSVQAGILAGIDMVMIPNNYAEFIGNLTLLVKDNIIPMSRIDDAVERILRVKFILDLFEDPLADLSLVNQLGSQEHRELAREAVRKSLVLLKNGKITSQPLLPLPKKAPKILVAGTHADNLGYQCGGWTNQWQGVSGNNFIVGTTILSAIKKTVNPSTQVVYQLNPDANFVKSNKFDYAIVVVGEVPYAEMLGDSSNLTIPEPGSSTINNVCGAVTCVVVIISGRPVVLEPYVDKIDGLVAAWLPGTEGQGVADVLFGNYGFTGKLARTWFKSVDQLPMNVGDPHYDPLFPFGFGLTTQPLKMN >Solyc10g008720.3.1 pep chromosome:SL3.0:10:2782456:2786142:-1 gene:Solyc10g008720.3 transcript:Solyc10g008720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFCLSKIAFIIFLVLLNSIEGQRLISLRCFNSIISFGDSLTDTGNEFYLTRYRNPSPYFARLPYGETFFHRPTGRFSNGRLIIDFIECKEFLKNSLIFVGEIGGNDFIYGFFGNNTKEKVESYVPAVINTISSEVIKFGASRVVVPGSMPLGCSTALLTIFMDSNKEDYDPITGCINWLNQFSKNYNKLLQMELHLVRQLHPSVTIIYADHYNAAMQFYLSPNTYGFTKGALVACCGAGGPYNYKLFELCGDPTARNICSDPSIYASWDGMHFTEAAYKLIATSLLEGNFTFPSLPKICSTSLSPNVNHFDS >Solyc01g112140.3.1 pep chromosome:SL3.0:1:98139368:98142075:-1 gene:Solyc01g112140.3 transcript:Solyc01g112140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLELFASLSKKRTKETSVAVAMANFEGRKKEVIAIIGGGISGLLACKYCISKGFDPILFESKSSIGGVWTKTIGSTKLQTPKPVYQFSDFPWPDSVNQVFPDQQMVLEYIESYARHFDLFSHIHFNSKVLSLNFEDGGSGDGEWNLWGEAYSYSNKGKWKVTVQDTRAISPQIQIYQVDFVVVCVGRFSQVPNMPEFPQNKGPEVFEGEVVHSMDYSMMDSSTATNFVKGKQVAVVGFQKSGMDIAMECSTVNGVERPCTVLIRTPHWNLPDYFPWGLNLGYLFLNRFSELMVHKPGEGFLLSLLATILSPLRWAFTKYIESYIKHKHQLAKHGMVPEHSFLNELSSCSVSLVPEGFYERVEEGSIKLIKKAESFGFSKEGIVLDGHATEPIKADVVILATGFNGLDYLKHIFESTKYQEFIAGSDDSAAVPLYR >Solyc05g018431.1.1 pep chromosome:SL3.0:5:20955298:20969989:1 gene:Solyc05g018431.1 transcript:Solyc05g018431.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASSAQDDSKQKSRLNNDEYLLTKSLKTQALPSLREYLKESTHSKAGLEKCTSQPTPVVVSSSTNGADTPFADITHFRSLIWALQYLDITRPDIQFAVNRVAQRMHKPSEHDYHCLKHIIRYIFGTLGRGLLIRPGDLELRGFSD >Solyc03g112490.1.1.1 pep chromosome:SL3.0:3:64378771:64379121:1 gene:Solyc03g112490.1 transcript:Solyc03g112490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPRSRSSRRRRRRHFTTIPPSPPPPPSLPPSPPASPPMPQLLANYNTISDSTTPVSPPSPPPPPPPPPSPPMPQSLAHDDTIFNFTTPVAPPPPSIPRPLILNGTISDFITS >Solyc12g088380.1.1 pep chromosome:SL3.0:12:64774856:64776595:1 gene:Solyc12g088380.1 transcript:Solyc12g088380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMGASFDEEYCQSLSKMFLNENSSDFMFQLHGEDNIIEGSFFSSSNSHTSNNNIDYFSQENSIDSRGSDAMFFLNNNTSHEYLQYNYDVESTNFYMTGNKMNLENSLSNDDYVMKENIGNNYSQLDKEMLLKRKFDKVEVQSTTQEETHKEFENPKKKSKVSRDHGQKNKKNSQPKAKKNIQMNNEEVGDKETNNNGQSTSSCSSEDDSNLKTKTRASRGAATDPQSLYARVDLSTMLEEAVHYVKFLQTQIKLLSSDDMWMYAPIAYNGMGIDL >Solyc03g113300.3.1.1 pep chromosome:SL3.0:3:64956818:64957618:-1 gene:Solyc03g113300.3 transcript:Solyc03g113300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPILPSFNPKLNGKIAIITGGASGIGEATAHLFAQHGAQVVIADIQEEKGRSVAESIGSTHCTFIKCDVTDEQQVQSLVQSTVEIYGRVDIMFSNAGIGSHDEHAQDILGFNLDALDSLFAVNVRGSVACVKHAAKAMVEGGVRGKIICTASSTATMGVTRQIDYAMSKHAVLGLVKSASKGLGAYGIRVNCVSPAAVATPLLEKTMKMGVEELEKLFESFNCLKIGALRASHVADAVLFLASDDSQFVTGHNLVVDGGFQPPM >Solyc09g031790.3.1 pep chromosome:SL3.0:9:27988831:27990774:1 gene:Solyc09g031790.3 transcript:Solyc09g031790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRFKGHTYIGFTVNPRRRIRQHNGEVRMGALRTKRKRPWEMILCIYGFPTNVSALQFEWAWQHPVESRAVRQAAASFKTLGGVANKIKLAYTMLTLPEWQSLNLTVNFFSTKYKMHSAGCPSLPEHMRVHICALDELPCYTGIDRDEWENICALDELPSYTGIDRDEWENREECESSEELTDEISTNSNSSFSNQDKDDEQTDWRELDERAGENSTRGREHSYIIIDSPAERLCSIQGDFFHIADKKERHQLDDEFGENQANKMYDSLATKNAGLPCDIEVIDVFTPPVRADNKRRRLSASVPEIIDLTDSPVYV >Solyc08g068385.1.1 pep chromosome:SL3.0:8:57551787:57555523:-1 gene:Solyc08g068385.1 transcript:Solyc08g068385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGVEKKVKGVGAGMEMEAKKSRVRAVVEIEGMKHVSAQMNVEIVSCWVMKPCQQEHGHCGVKQDLQAEKFRKQQIRAWPIQAATYHSALALRRAVIHPCIRLDSEGIVFYSCTMYCSANLKTQQTAVQDTNSPSQSPQCIHNDTMNKAPQESAAQVSSLHDLQRASTILH >Solyc07g053950.1.1.1 pep chromosome:SL3.0:7:62477298:62477840:-1 gene:Solyc07g053950.1 transcript:Solyc07g053950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALEPGRFYGSSLPRPRFYKPNEQRVDPPRSVLDPLMSLAEEAHWSMGGVSLTRHRLQGRIEGNIEKLRAEREKTPEKDSTVATCTESSPPMPLAPYVLKRKRRLVDESEDDLVRKLEFEERDVEEGVAGRTRSRRNEDVDAVNQVKSKNEKIMKKKSLKKVESVKRTSPRLLKRRSP >Solyc07g045370.3.1 pep chromosome:SL3.0:7:58601651:58609772:-1 gene:Solyc07g045370.3 transcript:Solyc07g045370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLNPCSNFPTSTMESLLGFIEPSADETVEGNSLALKLVPWISWDEWNSIRDSLFSSSPQSVAFALQRISTWRSRGCIPVAVDVTASIIEIQQKDSFFRKDLGGNALQSEEMLSMLYCMAIMRLVNGVVEKTRKKAEISIAEAANAIGIPRMLIDVRHEGSHRDLPSLQLVRLASTKALDWLKSYYWEPQKNVIRRDSTVNLRNEIKHKLLEMAFCLKVKQTNSSHTLGKRMRLGQLCARNKFLPFISGNPPYSKSSGSKKQITRAMKAILRLYTSSSSEVASVLLELMLQSVDSLNFPGDSENAPTIHETVDMHSAYDDWKPILKKLSNREPDLLLTLLRAALDKIETMGASKHQLGANESLLIGQLSYLCEQIVANLKTLKPLNNNDLAADGEDSSRKLSLPEATLQELLHKCLFLSSNNNNQLMKSGLVIAQMIGRNSFIDKLNKLCSLSVFDSEIIHSDPSTNNSESFLLSREEDSLRKAAQKLELIMRRVVKGNKMNTTDTGSQWVVAKTWKACPIGMLPHAFGSTGRLPILDFVDDSAEVAKSSDNEIPETNKCGCKREAASANECLNPKKMRKTEEPGDNQYTENMEIGNGHNDVPLEGSKGHLMIDGVWKKVSIEELRDIAAAVKIFDLTKAL >Solyc09g007670.3.1 pep chromosome:SL3.0:9:1218124:1226441:1 gene:Solyc09g007670.3 transcript:Solyc09g007670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSGISSNSMSSSSAPGNNSSNNAQSPGLKTYFKTPEGRYKLQYEKTHPAGLLHYAHGKTVTQVTLAHLKDKPAHAQPQASSSFGVSSGVRSAAARFLGGNGSKTLSFVGGNGGGKSISGLSGRVGSFGVSSSSSSVGNSNFDGKGTYLVFNVGDAIFISDLNSRDKDPIKSIHFSNSNPVCHAFDPDAKEGHDLLIGLNSGDVYSVSLRQQLQDVGKKLIGAQHYNKDGVVNNSRCTSIAWVPNSDGAFVVAHADGNFYVYDKSKDGSADPSFPIIKDQTQFSVSHARYSKNPIARWHICQGSINSIAISNDGAYIATVGRDGYLRVFDYKNEQLICGGKSYYGALLCCAWSMDGKYILTGGEDDLVQVWSMEERKVVAWGEGHNSWVSGVAFDSYWSAPNSDATDENVVYRFGSVGQDTQLLLWDLEMDEIVVPMRRPPGGSPTFSTGSQSSHWDNACPVGTLQPAPSMRDIPKISPLVTHRVHTEPLSGLTFTHESVLTVCREGHIKIWMRPGFGENQSSNSDSLLSTSLKEKTSLSGKTLSSSYKQ >Solyc05g017980.3.1 pep chromosome:SL3.0:5:19342849:19351026:1 gene:Solyc05g017980.3 transcript:Solyc05g017980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGSVMETPEMTSGENTGNAITATNEVALTPTVSGGGKGTYRRRMSVVRPSLDADEFMNLLHGSDPVKLELNRLENEVRDKDRELSEAQAEIKALRLSERLREKAVEELTDELTRVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARHETVKLQDDNKALDRLTKSKEAALLDAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQSVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRILQGEMQQLRDKLAITERTAKSEALLKDKYLLRLKVLEETLRPSSASSRNTTDGRSSSNGPSRRQSLGGAESISKLTSNGFLPKRSPSFQLRSSGSSTILKHAKGTSKSFDGGSRSLDRGKKLLNVTGPNFNSSKSVDGVKDNETETTSWKSNQDEKRTDLPVTETEDTVPGLLYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEQENRANRLGNSKGPVNSSQLLPGRSVARSGLTRTQ >Solyc10g007590.3.1 pep chromosome:SL3.0:10:1876944:1884918:-1 gene:Solyc10g007590.3 transcript:Solyc10g007590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAKGCDEIDVIEVVVPNVKSESVVNGVVEESDVVSNGKSEYEMQDIVVHMLNNLKLNPMAKEFVPSSYNRDQIVFNNFVTADKMTMGGDGFRNNRRRGNNFNQSRRRMNSRSFRAQREDSIRRTVYVSDLDINITEEQLAALFSAYGQRNMGFWFLLFPNFHHHTQERAVWSMVPLMRIDISIHPFQLFTIGTEVWSWTAEFVVIHTPAFALLLLNSAKASLCLCGTILGFSQLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKTVSRLRLLGDQVHSTRIGFVEFVMAESAILALDLCGEMLGTQPIRVSPSKTPVRPRVSGPAMH >Solyc06g076655.1.1 pep chromosome:SL3.0:6:47746359:47749984:1 gene:Solyc06g076655.1 transcript:Solyc06g076655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFTGLRSLSVKELQVSLHKHIADLSGQTSPLLPVPVFTLISGGKHGGNNLAIQEIMILPVGSNTFEEALQMGSETYHHLKAVITETYGAHGCNVGEDGGFTPNISRQVLTLRDGLDLVQKAIGRTGYNEKIKIAIGVAATEFCIGTKYDLDFKTPNKSGQNFKSGQDMIDMYKELCADYPIVSIEDPFDKEDWEHVKYFSSLGICQVVGGDLIMSNPKRIERAIQENSCNALLLKVPTDICWYSASFFETNFCPWSAPHWSSCTSRPLSLYPEREMVIIQEREVPGSDC >Solyc01g008470.3.1 pep chromosome:SL3.0:1:2482816:2489025:1 gene:Solyc01g008470.3 transcript:Solyc01g008470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPGGAEKKKVRRSPGVASNGSNSISSTKQGAKDVFQLFAEKARDHKGLVSRWAVLQETRVEYFRGKDFVAFVRNHSELKDILESDKGLEPEDIANILLQKNLLVRCDRVVKIVRPGKKKLSSWPAHLEIYHDQVFSENDAFFAWAFVKRRPLWQTLLSFFWPVLTLAICLFPVYPHWAKLLVLYTCAGLLLLILSLLFIRALIFGAIWVLFGKRVWFFPNILAEEATLQDLFQVWPQKDEGERPKWTARLFYAIVAVVVILLLRHHAPDEAARARYQKRVSNIIDDVLEWSPRQALSGMMDTVVNVTNSNDNATDDSKTGSERVHFTDDLDEETDLKEESEDVFGSLEDSDHQQHDL >Solyc06g009800.2.1 pep chromosome:SL3.0:6:3821385:3827230:1 gene:Solyc06g009800.2 transcript:Solyc06g009800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAEKLCLSLLSYCKTLGNLNQIHAFVYKSGLETNPLIAGKLLILGALQISDAIDYARRLLIHYPNSDVFMYNTLIRGESESDSPKNSVSTFIYMLRQSYSPPDSFSFAFVLKAAANLRCLTTGFQLHCQAMTRGLDTHLFVGTTIISMYAECGFVEFAWKVFVQIPQPNVVAWNAILTAYLRGSDVSGADKVFGLMPFRNLTTWNVMLAGYTKAGELERAERLFLQMPSRDDISWSTMIVGFSHNGCFDEAIRVFRELVGSESKPNEVSLTGALSACAQAGAFKFGMVLHAYIEKVGLVWITSVNNALLDTYSKCGNVLMARLVFERMLGKKTIVSWTSMIAGFATQGYGEEVIKYFHEMEESGTRPDGVTFISVLYACSHAGLVEQGHELFSKMTEIYDIEPTIEHYGCMVDLYGRAGQLHKAYDFVVQMPVPPNAVIWRTLLGACSFFGDIEMAEQVKERLSELDPDNSGDHVLLSNIYAFVGKWKDVAMERRSMTEKKLKKIPGCYKLDSSSAKNLESVRPLGFFSETRCFRSRSYFKVANFLIMRLGVLQNLILKKVHGGSVLRDHRINEISCKLLGRTNTDRNHLLSFTAPAALGNNKRKLYQIMMEED >Solyc04g079025.1.1 pep chromosome:SL3.0:4:63714784:63717259:1 gene:Solyc04g079025.1 transcript:Solyc04g079025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMYCLNLMYASHPLTWVENLFCCIKVFESFRFQVTYNTLCLSCVGFGLHSSFYIYIEYNNRRRMADGQDPKIDELNEELLVIILSYLSVKEAAKTCLVSRRWRYLWQYTTGCFEIYDRDKKSYSRKSPCSFMKLVNQALVLHQGPTLDQFRVGFCYSGSWYMFDHWVKFAIQKEVKLFELDLAADSWQNCGGWSQYDFPDIERLSSGDNDKLKFSRFCSNLKSLTLVNVSVMSSACLCFRRLENLKVTGPLKSMEISRCPLIKGLEVDASNLESFTYIGPHIEIPFRNVDQLSELTIGQGYCFSFICKPDKHASYSSKLRKLKLMVRYEVDCPYNFPVLDNLRELELDIQLYAGRSLHYFTLFTKACPLLSSFIARITYTNILYDEIFASPRQFEHNRGVHKHLKLVKLIGFTGCVSDYELVLHFLEIGGSLEEIILKRTFDHFHQKINGMAFIGEQIKQLQANCPAGVKMVLTFVKLRYGKWV >Solyc10g081460.2.1 pep chromosome:SL3.0:10:62636090:62659090:-1 gene:Solyc10g081460.2 transcript:Solyc10g081460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLTSCSFSIMNLRFRMNPPISCKFSRRIQMKRMSKRSLATVTEETAGERNSGGEASDSEDSSVSISSRPTISTVGSTYNNFQVDSFKLMELLGPEKVDPSEVKIIKEKLFGYSTFWVTKEEPFGDFGEGILFLGNLRGKREDVFAKLQSQLSEVMGDKYNLFMVEEPNSEGPDPRGGPRVSFGMLRKEVSEPGPTSLWQYVIAFLLFLLTIGSSVELGIASQITRLPPEVVKYFTDPNAIEPPDMQLLLPFVDSALPLAYGVLGVQLFHEIGHFLAAFPRNVKLSIPYFIPNITLGSFGAITQFKSILPDRKAKVDISLAGPFAGAALSSSMFAVGLLLSSNPSAAAELVQVPSTLFQGSLLLGLISRATLGYGAMHAAVVSIHPLVIAGWCGLTTSAFNMLPVGCLDGGRAVQGAFGKGSLVGFGLATYSLLGLGVLGGPLSLPWGLYVLICQRSPEKPCLNDVTEVGTWRKAALGVAIFLVLLTLLPVWDELAEELGVGSTIGAGVYILVGTVAREHSGPALTISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALVLEYTIGGSAVARGISPNLAMLFGSPDSLPSFLARHTIPGLNITVDPCAAILVFLVTGLLCVGIKESTVVQGFVTSVNVCVMAFVIIAGGYLGYKAGWPGYELPVGYFPYGVDGMLAGASTVFFAYIGFDSVASTAEEVKNPQRDLPMGIGFALSICCSLYMLVSAVIVGLVPYYAMDPDTPISSAFASHGINWAAYIITIGACTSLCSTLMGSIMPQPRILMAMARDGLLPSFFSDVNKRTQVPIKGTIATGLLSGTLAFFMNVEQLSGMVSVGTLLAFTMVAISVLILRYVPPDEVPVPSSYQEAIDSVRLRRSSCSSSSDMDVEKTKIPAVTSGDSTPLLGEISVGHPLAEKAAAKLSYLVSQRRKVAGCTILFICIGVCIVTSAASIVNLSNPARYALSGIGGLLLISGLIILTCIDQDDARHSFGHTGGFTCPFVPLLPIACILINVYLLINLGGETWARVSIWLVIGTCIYALYGRTHSSLKTAVYVPSTHVDEIYETSAISLAC >Solyc02g030640.1.1.1 pep chromosome:SL3.0:2:27096658:27096897:1 gene:Solyc02g030640.1 transcript:Solyc02g030640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANPQDKGKGIVEDVSIDLTLDLPMETQMEYWRQRNLLPPMDTEMEWRQQNYPFFVTRRLLCRGILKSSEGKGWRLK >Solyc08g006256.1.1 pep chromosome:SL3.0:8:937958:938339:1 gene:Solyc08g006256.1 transcript:Solyc08g006256.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMHRQGLDGRPRKNLAFLTSESGSPKKWCAIAHENRRNEAYARFGARLTLQMGRTSRDGQPQGLDGRPRKNLAFLTSESGSPKKWYAIAHENRRNEAYARFGARLTLQMGRTSRGGQPYA >Solyc02g092800.3.1 pep chromosome:SL3.0:2:54402272:54405963:-1 gene:Solyc02g092800.3 transcript:Solyc02g092800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFLDPGNLEGDLQAGAIAGYSLLWLLFWATAMGLLVQLLAARLGVATSRHLAELCRDEYPKWARLLLWVMTELALIGADIQEVIGSAIAIKILSRGFLPLWSGVVITALDCFVFLFLENYGVRKLEALFAVLIAVMAVSFAWMFGETKPNGVELLVGVVVPKLSSKTIKQAVGIVGCVIMPHNVFLHSALVQSRDIDNRKIGRVREALNYYSIESTIALAISFMINLFVTTVFAKSFYGSEIANSIGLENAGQYLQDKYGGGSFPILYIWAIGLLAAGQSSTITGTYAGQFIMGGFLHMRLKKWQRALITRSCAIVPTLIVALAFDTSEKSLDVLNEWLNVLQCVQIPFALIPLLCLVSREEIMGVFKIGSTMKVISWLVAVLVMLINGYLLMDSLSSAVSGMLFTSVVVAFTGAYIAFIVYLISRGITFPNWFVKNKSISSIDN >Solyc01g008230.3.1.1 pep chromosome:SL3.0:1:2294446:2295195:-1 gene:Solyc01g008230.3 transcript:Solyc01g008230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 15 [Source:UniProtKB/TrEMBL;Acc:G3BGV5] MSTSVEQNGAVLLDSTTGSGGGVANSNGALTVKKPPAKDRHSKVDGRGRRIRMPIVCAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTIPASFSTVSVSLRNSISSVTASAPVDHKLPSPSPSLLHPLISPAPFLLGKRLRSEDDDNGSGDKDVVPSAGFWAVPARPDFGQVWSFAAPSPEISHSAAAAMNTQPSRFLQQQMEEASAGRVGNYFPIAQGHLNLLASLSGSGSGPRRDDDGQ >Solyc09g042200.1.1.1 pep chromosome:SL3.0:9:22530206:22530409:-1 gene:Solyc09g042200.1 transcript:Solyc09g042200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDEKKPAEIRPAPEKTNIAEKSHEEQNAQDEKKLTKNNIIVVGDIKKKRLKQFKQLFALLFLLN >Solyc02g079910.2.1 pep chromosome:SL3.0:2:44855934:44857156:-1 gene:Solyc02g079910.2 transcript:Solyc02g079910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQECKYKKEAQKLKEEFLWVVAEIENPLAKLELIDSINKMALSHLFDKEIMVFLQNMEKLKDSDNEMDLYSTALYFRIFRQYGYNVTQDVFLSYMDEMGEKINVDTNMDPKTMMQLFEASHLALKDENMLDEARIFCTNNLKNIIPMEMPLHWKVEWYNTREHISKQANEKEEGVSKLKLLQLAKLNFNMVQAEHQKDLVHILR >Solyc07g026700.1.1.1 pep chromosome:SL3.0:7:30402169:30402357:-1 gene:Solyc07g026700.1 transcript:Solyc07g026700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVLIHEIPPSSTSADDKDEVNYFGIFNVVEVVIVVYLLAFAISAPRGTLISQLFAAISKH >Solyc07g006060.3.1 pep chromosome:SL3.0:7:896992:910751:1 gene:Solyc07g006060.3 transcript:Solyc07g006060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNRSEPPPYVHIKRNAYLIKKKRDGVIADIGCTHCKSTECSDNCVCRVQCISCSKACRCSDMCSNRPFRRDRKMQVVKTELCGWGVVASESINKGDFIIEYIGEVIDDALCEKRLWDMKYKGVQNFYMCELRKDFTIDATFKGNLSRFLNHSCDPNCKLEKWQVEGETRVGVFAARYIEVGEPLTYDYRFVQFGSEVKCHCGASKCQGYLGSKKKITSKLDISWGSKRKRTSTSCLAIVKSNSF >Solyc06g082500.3.1 pep chromosome:SL3.0:6:48334347:48340025:-1 gene:Solyc06g082500.3 transcript:Solyc06g082500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTESQRFQLGTIGALTLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVARWMRFFEHKPFDPKAVMGFGILNGSSIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKKFSRNIQLALTVLLFGVGIATVTDLQLNLLGSVLSMFAIVTTCIAQIMTNTIQKKFKVSSTQLLYQSCPYQSITLFVVGPFLDGLLTNQNVFAFNYTQNVVAFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGIVIAMLGMILYSYCCSIESQQKSIDATSLLSQVNESETDPLINVEKGSGNLPDSVVAQAPSWNSSKDQHV >Solyc08g082513.1.1.1 pep chromosome:SL3.0:8:65402981:65403265:1 gene:Solyc08g082513.1 transcript:Solyc08g082513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWANLPNDLIAHIANRVKVIEDFIAFGAVCISWRIAATKVNFDLLSPQVPSHELEFCLEVRVRVKSWVETKGRGSSHELGWNWRSISDLMLG >Solyc09g018750.3.1 pep chromosome:SL3.0:9:16857464:16859297:-1 gene:Solyc09g018750.3 transcript:Solyc09g018750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGLKQMLSHGSVLKSAVLRHVRLGSGWRQLMFVRQETTLTARIEEHGFESTKISDILKGKGKGADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKSGENKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPETKVLKAMQLMTDNRIRHIPVIDQTGMIGMVSIGDVVRAVVGEHREELKRLNAFIQGGY >Solyc03g114610.1.1.1 pep chromosome:SL3.0:3:66028887:66029183:1 gene:Solyc03g114610.1 transcript:Solyc03g114610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYNEEVTPSSASNSTIAHIVDGWVAMIFGIFALFCLFKCCCYTERVLSSEKIEMLSIAPVGDQHDHSRLVIMPGDQNPTCIAMPVSSPCLHHTQDG >Solyc09g018850.3.1 pep chromosome:SL3.0:9:17646577:17651342:1 gene:Solyc09g018850.3 transcript:Solyc09g018850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTICLHFETHCLAGNLNKRYSVSMEEKKRVLMSLKSKKRARRSREIPPKESDDIEDISFSKDETLQIRASLLEWYDENQRDLPWRRISGGSDERDKRGYAVWVSEVMLQQTRVSTVIDYFKRWMNKWPTLHHLAQASLEEVNEMWAGLGYYRRVRFLLQGAKEVVEEGGSFPETVSELRKIKGIGEYTAGAIASIAFKKVVPVVDGNVVRVISRLKAISANPKDTATVKSFWKLAGQLVDPCRPGDFNQALMELGATLCSLSNPGCAVCPISAQCHALSLSRQNESVHVSDYPTKVVKAKQRHEFSAVSVVEILDCQEMTGSQSNSKYILVKRPNEGLLAGLWEFPSILLEKEADLASRRKAIDNFLQSSLNLDLKESTRIVSREDIGEFVHVFSHIRLKMYVELLVLHPKGNRSIEDEKLDKESITWKYVDGKNLDSMGLTSGVRKVYTMVQKHKQTEQATIPGRRRKTAVRR >Solyc08g078360.1.1.1 pep chromosome:SL3.0:8:62306845:62307459:-1 gene:Solyc08g078360.1 transcript:Solyc08g078360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNSRIGLFFFQTYSTFMATQSSIFYMFQKQSTTNSSLTVFGIFFTILLDLLQLKYQGNNNTDPFSTHPKTMRVSVISLLLYCLIYGIQLKFSRHYIYRKFSCLVHHLMIFFTCLSLASTASLLFPDSVSPVLYFLCFLLSGAEMLHWVFEKIMQKFKEEEEEESYYYYYERSRMSGEPIWNYLRRRANRIVYPMEQRFALTV >Solyc01g096150.3.1 pep chromosome:SL3.0:1:87131029:87134355:-1 gene:Solyc01g096150.3 transcript:Solyc01g096150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTNRVDRSEIKPGDHIYTYRAVFAYSHHGIFVGGSKVVHFTRVEGSSDAANEISGISSSCPIFPDCGFRLPNSGVVLSCLNCFLRNGSLYSFEYGVSPSVFLSKVRGGTCTTAVSDPPEMVIHRAMHLLQNGFGNYDVFQNNCEDFALYCKTGLLTLDRLGVGRSGQASSVVGAPLAALLSSPLKFLIPSPVGMATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAVNLGWGRSNEGAIVEHDDSIHLLDR >Solyc11g039570.2.1 pep chromosome:SL3.0:11:43840816:43842496:-1 gene:Solyc11g039570.2 transcript:Solyc11g039570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIIQLLFICYFFHELIIVSSALQVGFYAKKCRAAESIVQQEVQRSFSTDRSITAALLRMYFHDCFVRVRNNIVYFVQGCDASILIDSKNTGNKQSEKDAGPNQSVRGYEVIDRIKSRVESACPSTVSCADIIALATRDSVALAGGPKYNIPTGRRDGLISNPSEVNLPGPSLTVEEALKFFTNKGFSLNDMVTLLGAHTVGITHCSLIQDRISRFDGSIDSNLFTRLSKTCAARNNDPSVFLDQSTSFIVDNEFYKQIRLKKGLLKIDQQLASDRSSAGIVENFSINPKAFQQAFANALIKLGNTQVLEGKFGEIRKNCRAFNPPAQQKKRQPRKKSFRPKNPPPRKGSKFSIPFIAP >Solyc06g010033.1.1 pep chromosome:SL3.0:6:4786114:4791546:1 gene:Solyc06g010033.1 transcript:Solyc06g010033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSKGKVPFVSADGIHQLHIFIFVLAVFHVLYCVTTLALGRAKMRSWKSWENETKTAEYEFSHDPERFRFTRETSFGRRHLSFWTKNPVLLWIVCFFRQFVRSVPKVDYLTLRHGFITAHLAPQSHQKFDFRKYIKRSLEEDFKVVVGISPPIWFLAVLFLLFNTHGWYSYLWLPFIPLIVILLVGTKLQVIITKMGLRIHERGEVVKGVPVVQPGDHLFWFNRPRLILYLINFVLFQNAFQLAFFAWTWYEFGLKSCYHDHTEDIVIRITMGVLIQILCSYVTLPLYALVTQMGSNMKSTIFNERVATALKNWHHTAKKHVKDQSKHSNPVTPMSSRPGTPSHHGMSPVHLLRGHYRSDMGSLQNSPRRSNYDFDHWDNEGSPSPSRFYQEAVDGSLHHIQLGQLDHELQQVIEPNSSQVVPLSQEGRDQHEITIAGSRDFSFEKRTTSI >Solyc10g047647.1.1 pep chromosome:SL3.0:10:41493201:41505950:1 gene:Solyc10g047647.1 transcript:Solyc10g047647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTAKNSSLPKHRSSNVPSYDPNVYCDYCNRTGHTRAVCFQLHGYPPGLERRKKGSSYGRGRNQNDKRQFHTAHNAVSNDQEQKYTEEYSSNREESSSNNSYSQGYNGKVTNNDCNRGMSVIQDQYSQILQMLGHTNSKGGAEGSTSQPNNAGNANLVQDYSSSTDVDTDSRKPITTEGGSDMSTLDVSITEQRRSTRNSKAPLWMKDYVATAKLKSGDKPAYSVDKYVAYDHLHTSYQKFLSKFGNNVEPSTFEEVCSDQRTGESLVVILVYVDDMMITGNDMSLIKDTKGILLNTFKMKDLGDLRYFLGIEFARSQEGIVMHQRKYTLEIISEVVLGAAKPVGTPLDPYVKLTTKEYDDMNGLNKEDKLLEDATMYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNAALKVVRYIKNQAGQGVLLSSKSSKQLKVYCDADWEACLHTRRSVSGFMVKTGESLISWKSKKQATVSRSSAEVEYRSMASAIAEITWIVKLFKELGAKIQTPVFAASIKKSWLPEALEEDICLQQLSVLSTLTVSKAAYTGVERNEDEIAVDESATAAVGIKHQKIQTIQYFNSSTALKAKQSHEQHLSSDTMKAPLMICTKFLYCKIATSVLVVLVHLNSQSMFASVTFRFAVKSSVEDMLVLPPSSCSSPRMAFGTGSVVAHRVVDAVMGPSTIQHETVASQAPATAAPMTSGAGSDACGMHTKAFQDRINN >Solyc08g036615.1.1 pep chromosome:SL3.0:8:10905418:10907680:1 gene:Solyc08g036615.1 transcript:Solyc08g036615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCASGESNPVVELKILNKNKAQVNPHLKSVTTSRQRTIFIVVSRQATRPHTLRGIFVNLVLMLAALCVVPYCKLSLLQGMFREGEELTEINPK >Solyc07g041930.3.1 pep chromosome:SL3.0:7:54739375:54751689:1 gene:Solyc07g041930.3 transcript:Solyc07g041930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVLKAHQLARVLRRSSSPILFNSVSRVLSPEDIQSSSQWRGYFISGTIYGKYMKKECNLQGNVCRCQKCTVMLRASFSTEAGTVESSVTESVKELYDKILKSVVDQRSAPPNAWLWSLIQSCANRQDANLLFDILQRLRIFRLSNLRIHENFNCALCQEITKACVRVGAVDLGKKVLWKHSVYGLTPNIGSAHHLLLFAKQHNNVKLLVEIMNLVKKNDLILQPGTADIVFSICSQTDNWDLMCKYGKRFVKAGVKLRKTSFDTWMEFSSKIGDVDALWKIEKIRSEIMKEHTLGSGLSCAKGFLIDHKPEDAAAVIHLLNQSVPDSRKQKFIAELQKLVADWPLEVIKRQKDERRKELAATLQHDIPAMLSALSNMGLKLNVDVEDLTRREGILS >Solyc01g014540.2.1 pep chromosome:SL3.0:1:14261950:14262285:1 gene:Solyc01g014540.2 transcript:Solyc01g014540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAQLAYGAMLDSGNFVLATSSSDTRWQSFDEPIDTILPGQVLRSNLVSSFSDTNVSRGRFEFILQTDGNLSVEARNDACWSTMSVGGGYQVIFNQSGFIFLQAKMEL >Solyc12g014355.1.1 pep chromosome:SL3.0:12:5161301:5167650:-1 gene:Solyc12g014355.1 transcript:Solyc12g014355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATCIRFCVIFLFLYLLSTFRSVDAQLLPEDEVRVLQTISSKLQNRYWNVSRSSCSQSSGFNMTDPTYDKIMSNITCDCTFNSSSVCHVVTIELKGLNMTGILPPEIANLTHLRELDLSRNYLNGSIPSSYGQLRLTILSLLGNRINGQIPEELGDISTLEQLNLENNLLEGPLPPKLGSLSRLRELFLSANNLNGTIPENFSNLKNMTDFRIDGNSISGTIPDFLGNWTNMDRLDIQGTSMEGPIPATISQLVNMTELRISDLRGEPMQFPNLTALTKMRRLTLRNCSIFGPIPIYVGAMPLKLLDLSNNMLNGTIPGAFEQMDFDNMFLGNNALSGAIPSWMFSKRENMLGCLVFYETPHLSHRHEILSKDLTTEVQKHSLGVDV >Solyc12g077542.1.1 pep chromosome:SL3.0:12:19724337:19725188:1 gene:Solyc12g077542.1 transcript:Solyc12g077542.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAQTNSLKPKTLVVSRHPTPVSSIIASEPKTYKQAASSPKWLCAMEAEYQALRCNCTWTLVPCPPTANVVGCKWVYHGSIERYKARLVAKGFHQEEEEGVDFHDTFSPVVKPSTIRLVLSYAVTKGWALKQLDVNNAFLNGFIDKSHPHFVCRLSKALYGLKQAPRAWFLKLKTFLLSHGYTCCYSDSSLFVRHTPSSTTYLLVYVDDIIIKGSDPSYISSFTQSLDLEFSLKDLGNLSFFLGIEVSRVRSGMHLSQASYI >Solyc11g069250.2.1 pep chromosome:SL3.0:11:54177738:54179867:-1 gene:Solyc11g069250.2 transcript:Solyc11g069250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHQIIFLIIIILQFSTLTLSQTPPSGPTNITQILEKAGQFTTLIRLMKVSQVSDQINTQLNNSNQGNGMTIFAPTDNAFSSLKSGTINTLSAQQQVQLVQFHVLPNFISMSQFQTISNPLRTQAGDSTPGDFPLNVTTSGNQVNVSTGVDDATIANTIYTDGQLAVYQVDKVLQPMSIFAAPAPAMAPTPATLKPKSKSPAGLNPTSGNDDLPAADDSGVERIAMHGTTVLFFGISLFVVL >Solyc06g075690.3.1 pep chromosome:SL3.0:6:47178727:47182139:1 gene:Solyc06g075690.3 transcript:Solyc06g075690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-regulated protein [Source:UniProtKB/TrEMBL;Acc:Q945F5] MSRTTELQMHKKWKGRETSPERTKVWTEPPNHKLSKVPVVYYLSRNGQLEHPHFMEVPLSSRDGLYLRDVINRLNCLRGKGMASMYSWSAKRSYRNGFVWHDLTENDFIYPAHGQEYVLKGSELLDSALPSQPDEIACSNSRNTVPEKQKLSEDREFPAVARRRNQSWSSSDFHEYLVYKAESPGEILGRIGADASTQTEDRRRRRGGMRIVEEEEELSENRIIESDCKEPDEVEHSPNQSTELNRGEISPPLSDSSSETLETLMKADGKLILRPDTISEDPTANTHSSGKSKAASVLMQLLYCGSMSFKQCGPGYGKENGFSLISQYKNRLSHGRCTNQAVKDVESPIVEYHGSEERIKLEDKEYFSGSLIEMKKKEKFPALKRSSSYNVERSTKLELTEKQEEGKTKCYLRKQKNQSTREEGDSDLSNALQ >Solyc08g081930.3.1 pep chromosome:SL3.0:8:64960621:64966084:1 gene:Solyc08g081930.3 transcript:Solyc08g081930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-epimerase [Source:UniProtKB/TrEMBL;Acc:K4CPI9] MDHSAADKDYKAVEFAKDKNGVGQVLLRSLRGASVRVSLHGGQVLSWKTDHGEELLFISSKATFKPPTAVRGGIQICFPQFGNRGSLEQHGFARNKMWVIDDNPPPLHPNDSNGKTYIDLLLKSSDDDLKIWPHGFEFRLRVALAFDGSLTLTSRIRNVNCKPFSFSIAYHTYFSVSDISEVRVEGLETLDYLDNLCNRERFTEQGDALTFESEVDRVYLSSSDVIAVFDHEKKRTFLIKKEGLPDVVVWNPWEKKSKVIADLGDEEYKHMLCVDGAAIEKPIALKPGEEWTGRLELSVTPTS >Solyc06g076440.2.1 pep chromosome:SL3.0:6:47636758:47639106:1 gene:Solyc06g076440.2 transcript:Solyc06g076440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQEDSMSEMKQLQGAKCNGNCNRAHFSCNPICSFSEQEHSLLDSRQRSKSSMKLCGLIIFYVMVMAVETIGGVKAHSLAVLTDAAHLLSDVVGFSISLFAVWVSGWDATKEHSFGYHRLEVLGALISVQLIWLISGFLIYEATERMFHTNAKVNGKLMFAIAAFGLIINFISVVNEEESSKLVASCHSCSKPSNINIEGAYLHVISDLIQSVGVMIAGAIMWYKPEWLVVDLLCTIFFSIFALSTTVPMLKTIFSLLMERTPKEVDIVQLENGLKSLAGVKDVHDLHVWAITIGKIVLSCHVVTEPGVNHYEIIQNVREYCDTTYRIHHVTIQVEPGSL >Solyc06g048713.1.1 pep chromosome:SL3.0:6:31619343:31624556:1 gene:Solyc06g048713.1 transcript:Solyc06g048713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDDDSLGGTSSRTQGGVQLQEALDNAGKSAMNSRLHDEKVHMDRALQGHEESYGDIPNQLGKLIHKATPTQFQQMLGILQGNKEFLNPQSCVNLAASDNRSEDEVIPQIPQSDDVNIRKSHRTSKPPIWHADYILSKNSKAGQCLYPIEDVMDYCSITPSYKSFIRFRQSHHDYSLFTKHQGDSLVVLLVYVDDLLIKGNDHKMILETKGILKDSFKIKDLGELRYFLGIEFARNSTGILMHQRKYCLELISDMGLSSLKPFGAPIELNKRLTTTEFDLHFSPADKHDKLLKDPGVYQKLIGRLLYLTITRPDIAFSVQLLSQFMHSPKTSHMDAAMRVVRYIKQSPGLGIFMTSAVDNQLKAYCDVDWASCPNNRKSITGYIVTYGESLISWKSKKQSTISRSSAEAEYRSLASTVAEIVWLVGILKELGMQVELPHPKHTFLTSLPPPHSSAFPSPLSAFPHPVDDSFPHPADDSSYHAADSFPIVSSPAPSASSELPSPSSLTNSSPISAPQRRSTRAMKPPIWHTDYVTSSKSVHPIFNFILCSNLHSPYRSYLTTLCSFTEPYSFEQAYKDSSTTT >Solyc09g009510.3.1 pep chromosome:SL3.0:9:2924926:2929548:-1 gene:Solyc09g009510.3 transcript:Solyc09g009510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSTRKISAASARAHTRKAKQKTSFPLPFGMMRNMIALFGIVILALIYRAIMPPPPKICGSSNGPLITAPRVKLSDGRYLAYKENGVPRDQAKHKFVFIHGFDCVRHDVALLTTTSPELMQSLGIYIVSIDRPGYGESDPHPKRTPKTLALDIEELADQLELGSKFYVIGFSMGGQAVWGLLKYIPHRLAGAILLTPVTNYWWGSFPANLTKQAYYEQLVQDQWTLRIAHYFPWLTYWWNTQKLFPSSSVATGSEDILLEQDRVLMPIFDSYQSKYRDLVRQQGEYESIHRDLMIGFGTWEFDPMELENPFHNGEGSVHIWQGDEDGHVPVILQRFIAKKLPWIHYHEMKGGGHMFPWAEGMGDKVMKTFLLGEPFVM >Solyc03g110840.2.1 pep chromosome:SL3.0:3:63067740:63068077:1 gene:Solyc03g110840.2 transcript:Solyc03g110840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSEKSIVNAAQSTGYPTLEMFWKSQQSQMENIKDFKDRLLLPPTRIKKIMKKNEDVRMVAGESPVLLAKACELFIQDLTLRSSIHAQENHRL >Solyc10g007600.3.1 pep chromosome:SL3.0:10:1887487:1891843:-1 gene:Solyc10g007600.3 transcript:Solyc10g007600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVTNVMEFEAIAKQKLPKMVYDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDLSTSVLGFNISMPIMIAPTAMQKMAHPDGEYAIARAASAAETIMTLSSWGTSSVEEVNSTGPGTRFFQLYVYKDRNVTIQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFNLPPHLSLKNFEGLDIGKLNKAEDSGLASYVAGQVDRSLSWKDVQWLQSITSLPILVKGVLTAEDAKIAVQSGAAGIIVSNHGARQLDYVPATIMALEEVVKAVQGRIPVFLDGGVRRGTDVFKALALGASGVFIGRPIIWSLAADGEAGVKKALQMIRDEFELTMALSGCRSLKEITRNHVMTDWETPRASLPVPRL >Solyc03g063730.3.1 pep chromosome:SL3.0:3:37953686:37960465:1 gene:Solyc03g063730.3 transcript:Solyc03g063730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDDISEKLLSKVQVEENELKIKEKILIEWKKMWVIAAPAIFTRFSTFGVNVISQAFIGHIGSIELAAYALVITVLLRFGNGIILGMASGLETLCGQAYGAKQYHMLGIYLQRSWIVLTITGTLLLPIFIFTTPILRALGQEEAIAQEAGVISLWLIPVIYSFIASYSCQMFLQAQSKNMIITYLAVCTLAIHIFLCWLLTVKFKFGMTGAMTSTLLAYWLPNIGQLIYVTSGGCKETWKGFTSLAFKDLWPVIKLSFSSGAMLCLELWYNTILILLTGNLKNAMVQIDALAICLNINGWEMMISLGFLAAVCVRVSNELGRGSAKAARFSILTIVMTSFVIGFILFLFFLILRGRLAYIFTESEDVAEEVDRLSPLLAFSILLNSVQPVLSGVAVGAGWQSIVAYVNIGCYYLVGIPVGVVLGYVFKLQVRGVWIGMLFGVLAQTVVLLVITLKTDWDKQVLIAQQRVKKYFVEAEPNSDSPNA >Solyc01g096193.1.1 pep chromosome:SL3.0:1:87166158:87167569:-1 gene:Solyc01g096193.1 transcript:Solyc01g096193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVHFIKWGFEFDIYTLTALVDMYAKMSLLPSARKLFDEMEMKDVPIWNSLIAGYAKNGNVVEAFKLFSVMPSRNVISWTAMISGYSQNGKYANALAVYKQMEKDRKVKPNEVTIASVLPACANLGALEVGENIEAYARANGYFKNMFVCNAVLEMYTKCGRIDRAMQLFHEIGRRRNLCSWNTMIMGLAVHGKGDEALKLFNQMLGEGNTPDDVTFVGAILACTHGGMVAKGWELLKLMEQRFSIAPKLEHYGCMVDLLGRAGKLQEAYDLIQSMPMRPDCVIWGTILGACSFYGNVELAEKAAEFLSVLEPWNPGNYVILSNIYARAGRWDGVARLRKLMKSSQITKAAGYSFIEEGGDIHKFIVEDKSHPKSNEIYSLLDLVTTRLKFDVSTMEIDLDSIAE >Solyc01g068080.3.1 pep chromosome:SL3.0:1:76931705:76934924:-1 gene:Solyc01g068080.3 transcript:Solyc01g068080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQKTLIDPCVNGTLNVLNSCKKSSTLKRLVLTSSCSSIRYREDAQQISPLNESHWSDLQYCQKYNLWYAYAKTKAEKEAWRVAEEFGIDLVVVNPSFVVGPLLAPQPTSTLQIILGTVKGVIGEYANRRLGFVHIEDVVGAHILVMEEQKASGRYICSNSVRHMSQINDLLRTKYPSYPHENKCGNQQGEDIPHSLDTSKITQLGLPPLKSLNEMFDDCIQSFQEKGFL >Solyc02g062100.3.1 pep chromosome:SL3.0:2:34214480:34220454:-1 gene:Solyc02g062100.3 transcript:Solyc02g062100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNPNSSSMYPQVAVVDQNVPFPSSTSRQNLYPTIDMNDLVENLFPQNDQTAPERQHYSPSAPPEILEETLLVIPGSILHLIDKHYSVELAIGDLFLHRLRQGNNIVAVLVRVGNEIQWPLTKDLAAVKLDDSHYFFSFQAPKDDESDKESSVSDLANDSLNYGLTIASKGQEKLLKELDDILENYSNFAVQKVEEKAAVALGGPVAKELSPADLKSEKKKEVLEERCAAYWTTLAPNVEEYNATAAKLVASGSGHLIKGILWCGDVTADRLKWGNEVLKNRFKTGSKTEVSPETLKRIKRVKRVTKMTEKVAVGVLSGAVKVSGFFTRSLANTKAGKKFFSLLPGEMVLATLDGFSRICDAVEVAGKNVMSTSGTVTTEFVSHRYGEEAANATSEGLDAAGHAFGTAWAAFKIRKALNPKSALKPTALAKSAAKAAATAAAADKKAKSSK >Solyc03g071760.1.1.1 pep chromosome:SL3.0:3:20805498:20805872:-1 gene:Solyc03g071760.1 transcript:Solyc03g071760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPSPQPLAVGETNVNLSTKASDAMILKPQQTTQNLLKPILNPIEFIHGEPTMRFTMEEREQFAREEDLHQAVMIKFSYGKLVLSELRKLLPRQFDVKGNCKIGKLSFRHLLVRFNLYEYFV >Solyc04g009320.3.1 pep chromosome:SL3.0:4:2766985:2772182:1 gene:Solyc04g009320.3 transcript:Solyc04g009320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIFIAVFMVVLIANFASSEVAASSVVVVGSSNPKEEGNKLAMVQEEEDMDGGFSSLDGMLQWAIGHSDPGVLKERSEVAQRMSPEELNKRQTELKELMEKLKIPSDAQLMLIAVNDLNNSSLPLEHHLRALEELLVLVEHIDNAIDLQKLGGFSILVRILNHSEPEIRIAAAWVLGKASQNNPIVQKQVLELGALTVLMKMMKSHTTEEAVKALFAISALIRNNMNGRSLFYQEAGDTMLQEVLSNSNLDIRLHKKSLFLIADLAESQLENENTAEVSFFSNRLLLKAIVDSMASSDLDLTEKALYATKNLLLLRSTDVQLFKDFCKLNEVLERTRQQLNDLSEEYAMDVESLRKEVNLTFLQKLNEVTQSAL >Solyc12g100050.2.1.1 pep chromosome:SL3.0:12:67924425:67925603:-1 gene:Solyc12g100050.2 transcript:Solyc12g100050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYSQEHTYKHPWERVTSASWRKFADPENKRILSHILEVDTLNHKLDSISGRLYTTRAITIHAPGPWFLRKIIRQDICHCVESTVVDAQSRSMQLSTRNISLEKYIEVEEKIRYDPHPDNPKLWTVCKQETSIRIKPLSALASMAEKIEQKCVDKFQANSAKGREVMERMCKYLEAESRGISA >Solyc05g051500.3.1.1 pep chromosome:SL3.0:5:62719357:62721051:1 gene:Solyc05g051500.3 transcript:Solyc05g051500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAEEEVRHMKEVAHEAQAMDLLCNFKKRVHELEAEVANRRLTESKIFDSLASQTREFELTKIELEESKVEISLLHEEIESLLASSEQNRWRCDGSCSGKIALEKELGCLRFELGLAKANLAMIQERERFASSKAKALSDEINLVRNELQFATYAEEKSQKALDDLALALKEIAAEAYEAKEKLSASQLELEQVKDEAGQLKQMVRNAEARYQKLLNEAKKESELHRETAAERIKKAEEEKKLAQDEAATLAESLKAAERITRAAKREVYKLKGILKQAINEADAAKTAADLARDENIQLKDSKAEKEESVRVLTQENERLGINEVAAQEKVKKLKRLLSSSALKTEDREQEVEHYEDLHIKNTSSSVNLQQEQENLEAKIQDENLEMAKALEDTIFEINESPKSELHISKQVSHHRRASSFAFSDDTGTLETKDLSMSLSKSSSSLNTKDKEQEEELQVTKKLKLQQEQEDLKEKIQDKDPEKAETLERSIIESPKPEADPHTPEKVPHHRIDSSSSSSDDGGSPKTEDSVSDRSPIRRKRTLFRKVGDLIRRKSFHKTIH >Solyc11g028340.2.1 pep chromosome:SL3.0:11:21394753:21399311:-1 gene:Solyc11g028340.2 transcript:Solyc11g028340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQDYIVFVLQGILMYYTFFSGMFLTFIYMKIKKMKTGSMVLKSRLSLKGTGQRTEKNVLIRFTRRTYIMPSVPLETKYHPSDVDIFFMKRFITETSK >Solyc05g054510.2.1 pep chromosome:SL3.0:5:65266749:65268653:-1 gene:Solyc05g054510.2 transcript:Solyc05g054510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSIPFYQDPPNWHHEQGNHHQQQQHLGITNENSSELSPTVLPPPGAAPGGGGGPVGSTRSGSITERARLPKITQPDVALKCPRCESTNTKFCYFNNYNLSQPRHFCKTCRRYWTIGGTLRNVPVGGGCRRNNKRSSSKRSRKSPIRSERSRNVPISTSNSTNTITTPSHFPPSSTHLSFFNTPFHNFNNFNSTQNCLNFGEIQPHEGDPTFVDQFRHQQMEKFSFFSPLEQPSNLYPIYSEFRINHHDLENVKVEENKSSTNSTQGMNLQRNNNLGVNQFWTNYNISSTSTSQLL >Solyc01g107968.1.1.1 pep chromosome:SL3.0:1:95249115:95249540:1 gene:Solyc01g107968.1 transcript:Solyc01g107968.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADKSKIVYEAVNCIRKLQNTFNKYQSQKLERLEENNIMLMGSQKVGNRLEKYACDHGSTCNSTAITQANHGSSPLIPTGFMIWSSPNVVLNVAGEDAYISVCCPKKPGLFTTICYVLEKHKIDIKSIHHPTFDTPNCHN >Solyc05g015862.1.1 pep chromosome:SL3.0:5:12550723:12554207:-1 gene:Solyc05g015862.1 transcript:Solyc05g015862.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKKINIPSTLFWIQPPTNFYVYYYRFTKYSDYFKNCDGKDKLIELPVFPPLNPIDFSFFVFDDVANSEKNSRVLVYTFDAMEFDALGILKHVTMDKGSVIYIAFDSYSKISNQLMKEIDQGLLKCGRERRDKMEDSLSCKYDLENQGKIVRRCSKVEVLKHLFVGCFLTHYG >Solyc11g066530.2.1 pep chromosome:SL3.0:11:52581784:52582786:1 gene:Solyc11g066530.2 transcript:Solyc11g066530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTPMKKKVKPNDVKGVVVVDEDPVWQKPVKIEDESAGNVFQR >Solyc05g026153.1.1 pep chromosome:SL3.0:5:39946802:39948967:-1 gene:Solyc05g026153.1 transcript:Solyc05g026153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKVGDDIVVVLIYVDDLLVAGSSCQMIEEKKQVLKDHFRIKDLGDLSFFLGNEFARNSEGILMHQRKYELELISDLGLGSSKPMSTPAKLNLKLTKPEFDNLVGDESDSLLLNPGEYQRFVGRPNISYAVQSLSQFMQASKVSHMNAAIRVVKYVKQSQGFGILLTTQSTESLQAYCDDDWGSCANSRRSITGYLIKYGDSPISWKSKKQSTISGSSAEAEYTSLASTIVEITWIIGPPAEKDPPPPISIC >Solyc09g011260.2.1 pep chromosome:SL3.0:9:4593039:4597919:1 gene:Solyc09g011260.2 transcript:Solyc09g011260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIPTTIQHFTHKHSLILHHDTINPKYLCEGCMTYGFGTRYHCHACTSNLHEDCAKCPRILWSFMHPHHPLRLVERDHQSGDRACNICRELIEGLSYRCEPCGFDVHPICTLLPETLNHILHQPHPLRLLSSIEQGITCVICRGACNAFSWRYRCALCNFDIHIRCVPIQCQNKTTHRGISTYFPPSILPQQHYFVGYPNPNNFPGPSNMNHYNNNIVPQLYQQNHGQAQTHYGVNYGGRINQVMFHLVKTIATGVISNLVFGVIDVSTIF >Solyc03g113040.3.1 pep chromosome:SL3.0:3:64819808:64844126:-1 gene:Solyc03g113040.3 transcript:Solyc03g113040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKRDIKSNIRLISVPIILCLLLVFLQNWVNKELDKPWNRCGCKCIDENGEGKCEEVCGIEYSDLNQAISCSIPRPLEWPPLLQIPESTDRAVQTDFISYGDLPDDSCKISGSCPATILLTGTNQTLGESMGTNLFSSGSTLDYSDIFYSLAYNVLGSESQTKYMNFLEAAFFSNQTVYNVQSQCSPDFTFSLPLDFEISCVKGLHLWRNSSHEINDELHKGYMNGNPERKINEIIAAYDFLNSNRNGFNVSIWYNSSYKNGKSNQPLALTRVPRSVNLASNAYILDLLGPSARILFEFVKEMPKPETKLNLDFASLLGPLFFTWVVSQLFPVVLIALVYEKQQKLRIMMKMHGLGDGPYWLISYAYFLFVASIYMLCFVIFGSLVGLKFFLLNDYAIQFVFYFIYINLQESLAFLVAAFFSNVQAATGLLSLIEVVVNIATIAHMKKNVYENDDEPIFLINFQQLILYDRFYLLDIVTVIGYIMVFANGLLSSFLFQFFLQDETFSRGWIIVMELYPGFSLFRGLYEFSHYAFKGNYLGTDGMRWKDLSDRKNGMKEVLIIMLVQWLVFLFLGYYIDQIASSGKYSLCFMWHSRKRPSPSSRKHSFRRQGSKVFVQMEKPDVAQERERVEQLLESSTGHAVICDNLEKVYPGKDGNPEKFAVRGLSLALPQGECFGMLGPNGAGKTTFINMMIGLIKPSSGTAYAQGMDIRTDMDMIYTNMGVCPQHDLLWEILTGREHLLFYGRLKNLEGADLTQAVEESLKSVNLFHGGVADKKAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPSSRSNLWNVVKRAKQDRAIILTTHSMEEAEHLCDRLGIFVDGSLQCIGNPKELKARYGGSYVFTMTTSSDNEEEVEHMVQRLSPNANRIYHLSGTQKFELPKQEVRIADVFQAVEKAKSRFTVYAWGLADTTLEDVFIKVAHTAQAFNKREVRSNIRLILVPVILCVLLVLIQVLVNNELDKPSNNCGCKCIDQNGDGTCEQVCGIEYSDLSQVGRCPIPSPPEWPPLLQIPAPEYRAVRTDFTSFGDLPDDSCRISGSCPATILMTGTNQTFGESMRRNLFSSTGSTLNSSDIFYSLANNVLGSDSPTEVMNFLESAFFSDLPVYNVQSQCSPNSTFSIPLDIGTTNIRQEISCLKGLHLWRNSSDEINDELYKGYRKGNPEEKINEIIAAYDFLNSDRHSFNVIIWYNSTYKNDTGNQPIALTRVPRSVNLASNAYLQFLLGPSAKMLFEFVKEMPKPETKLRLDFASLLGPLFFTWVVSQLFPVVLIALVYEKEQKLRIMMKMHGLADVPYWMISYAYFLVISTIYMFCFVIFGSLVGLKFFLVNDYSIQFVFYFIYINLQVALAFLVAAFFSNVKTATVIGYMMVFANGLLASFLFQFFLQDNSFPRGWIIVMELYPGFSLFRGLYEFSYYAFVGNYMGTDGMRWKDLGDGKNGMKEVLIIMIVQWLVFLVLAYYIDQITSSGKDPLFFLRNFRKKSSHPIRKLSLSREETKVFVQMEKPDVSQERERVEQLLESNTGHAIICDNLKKVYPGRDGNPEKFAVRGLSLALPQGECFGMLGPNGAGKTTFISMMIGLIKPSSGTAYAQGMDIRTDMDMIYTNMGVCPQHDLLWEKLTGREHLLFYGRLKNLKGAVLTQAVEESLKSVNLFHGGVADKQSGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRNNLWNVVKRAKKDRAIILTTHSMEEAEHLCDRLGIFVDGNLQCVGNAKELKARYGGSYVFTMTTSSDKEEEVEQMVRRLSPNANRIYHLSGTQKFELPKHEVRIADVFDAVEKAKSRFTVYAWGLADTTLEDVFIKVARTAQAFNIYTYMFFGTLEDNSIFGESSKISLKFGESLSNNSLEKMAILFVSVNVSKYNIIMTNFDIFHQI >Solyc05g014155.1.1 pep chromosome:SL3.0:5:7895873:7896628:-1 gene:Solyc05g014155.1 transcript:Solyc05g014155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCILLSYPLSILPSVSLSSSLVLLLNRSSCGHTKNLARDYWSSMYFMKLQEDIRMRAVDPEMEHASDLKEQCQDHGGVDVEILFVG >Solyc07g008690.3.1 pep chromosome:SL3.0:7:3663724:3665901:1 gene:Solyc07g008690.3 transcript:Solyc07g008690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYEAAQFRVHGEEILDEALNFTTTQLKLILPKLSNSPLAQQVANALKFPIKDGIVRVEARKYISFYQQNQNHNQLLLNFAKLDFNILQMLHKKELCDITRWWKELEIVKTLPYVRDRLAEVYFWSLGVYFEPQYSTARKILTKNISMISLIDDTYDIYGTLDELTLFTEAIERWNIDASQQLQLPSYMKIIYCGLLDVYDEIKKDLANENKSFLINYSIIEMKKMVMAYFQEAKWYYGKTIPKMEEYMKSGISTSAYVQVATTSWLGMGNVATKDSFDWIVNEPPILVASSIIARLLNDLLSHEEEQKRGDAPSGVECYMKEYGVTKEEAHIKIRNTIENSWKDLYEEYFKVNGTIIPRVLLMCIINLARVIEFIYKDEDAYTFPKNNLKDVIYRILIDPII >Solyc11g005715.1.1 pep chromosome:SL3.0:11:564878:566175:1 gene:Solyc11g005715.1 transcript:Solyc11g005715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLWFFLYINSPFAVCKLIDHFERWHSIHNHRQDGETCWDYSVVLEELKGVKLGPKTRELACGI >Solyc01g109920.2.1 pep chromosome:SL3.0:1:96674166:96675435:1 gene:Solyc01g109920.2 transcript:Solyc01g109920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLRDEHGNPIQLTDQYGHPVQLTDEYGNPMHLTGVATTAGSGAAAVGEKLPETASYGAPTTGEKLHHTTGFGAGDAAPTTGLGAGAAAPTTGLGAGAAAPTTGLGAAEKLHHPTGLGAGGHTTGLGGGTAAGAGLRVGAGTIGEKLHQETTKPEQQHHKTELHRSSSSSSSSSEDDGQGGRRKKKGLKEKIKEKFTGGKHKNEEPHHQAHGVGTGTTTTTTPTTTEHEKKSMIEKIKEKLPGHHNHH >Solyc01g057465.1.1 pep chromosome:SL3.0:1:61009315:61020805:1 gene:Solyc01g057465.1 transcript:Solyc01g057465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTTFNSLLCFQHPSANIQALSLRVFMYPKDINENPRQTRAAYMDENVDTDYMDTNDLTQAHAHADYSHMAHRLTINSITPNTQDWLCKIQVVDKFPPRDTKDKMKKYQLLLLQDEKVRWKEIRNQIRKMVVFNLLKYTSIVSIPCFIEIPVDDEYGKKNVEDRPYGSDTMLKYEHQILATIWNADITQFANHFKPFQTYLLSVVRVKESTYEYAASFNNSPGQSIKMLFLNQLKKSAPPESPLPPPARLVVTPFDAFDQQAKDAEFGVKDVHDLHVWAINTGKIVLSCHVVTEPGVNQYETIQNPSVSPSNLTVLDIKFRASCFSFRLKCSKPIFDLNFILSILHPQIQYIDGQLTLANQDQLFRTMACLGCNITFPRITDNTGSATACISDKIAEKMLSLNRRDLQNLSGEDISQIALKSDKPII >Solyc01g014370.1.1 pep chromosome:SL3.0:1:12494345:12495362:-1 gene:Solyc01g014370.1 transcript:Solyc01g014370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSEGITMVLEIKRLDYTLKVIGGDLRAIPGLSYAIEGAIGDTIEYSIMCPVVRGVLSIEVVSANDPPPAAKGGGGLKAYPYVVKSKTRVVNESLNPVWNQNFDFVVEDGLHEMLMRKVWDHDDTFGKDFM >Solyc05g023767.1.1 pep chromosome:SL3.0:5:29309593:29320465:-1 gene:Solyc05g023767.1 transcript:Solyc05g023767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQEKKIRITAVIEMTVLKKEFKPEAALKDAKQAKNGIDAELASLHSNVQKAKDEAAAAVDQLQGSESEVKALRSMTQRMILTQNEMEDVVLKRCWLARYWGLATQFGICADIAASKHEYWSSFAPLPFELVISAGQKAKEECLEKGKHDLFMGFLFDFVDFQAMGLSHGDDNPEMGKFVQDLNDLTGEGNIESMLSVEMGLNELVSLKVEDAIVLALAQQRRPNSARTSISDIKSPSDPKYMEAFGKSI >Solyc06g072760.3.1 pep chromosome:SL3.0:6:45012172:45016374:-1 gene:Solyc06g072760.3 transcript:Solyc06g072760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEDLESGSSLYVQGGSRSWERQTTQAITNPSASDNRQSIVVGVFQINTALTNFQRLVNTLGTPKDTLQLRHKLHSTRQQIAELIKETSANLKQAIGSNRHSQSSVTKKIANAKLAKDFQSVLKKFQRAQQLAAQREAAFTPSISQEINSSRSIEIQISSSISPESSSILLESKSSFAHGEPWLYFYGRQDVVQLEHEIVFNKAIIEEREQGMIEIQQQIGELNEMFKDLALLVHEQGTMLDDISSNIGSSHDATAQAAKQLTKASKIQQCNSSTLLVVGDIWGHPANNNCTGASIKSSLPSY >Solyc03g080020.3.1 pep chromosome:SL3.0:3:53343854:53345762:1 gene:Solyc03g080020.3 transcript:Solyc03g080020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDENGLPNSSPNHSIFRYNSPLVQVCLIGLVCFCCPGMFNALSGMGGGGQVDHTASNNANTALYTAFTIFGILGGGIYNILGPKKTLFIGCSTYILYAGSFLYYNHHKHQVFVVVAGGLLGVGAGLLWAAQGAIMTSYPPHDRKGTYISIFWSIFNMGGVIGGLIPFVSNYNRTTAASVNDGTYIGFMFFMAIGTVLSLAILHPSKVIRNDGSSCTNIKYSSVSVELIQILKLFGNWKMLLMVPASWASNFFYTYQFNNVNGVLFNLRTRGLNNVFYWGAQMIGSVFIGSIMDYSFKSRKARGLVGIIVVGLLSTAIWGGGFAKQLTYSHDDVPHRIVLLDFKDGSKFAGPFLLYFSYGLLDAMFQSMVYWVIGALADDSEVLSRYTGFYKGIQSAGAAVAWQVDSHTVPFMNQLIANWALTTISFPLLVALVVLAVKDDNKDEEGATKEASITSNRDISTTVDYPNKE >Solyc10g036730.1.1.1 pep chromosome:SL3.0:10:16935469:16935729:1 gene:Solyc10g036730.1 transcript:Solyc10g036730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKHLQVVKTQDFLHFPCLNCPYVVVARKSNFRFQDSSSAIQKVYHKFLNIQFIVFVGQLDFLVYKSSSAFRHAYQPFSIQYFEFP >Solyc03g112150.1.1.1 pep chromosome:SL3.0:3:64129646:64131079:1 gene:Solyc03g112150.1 transcript:Solyc03g112150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:K4BK69] MASISAAAATATSSPKLLNPSNPLLPSAAKPSKLILSSSFTPNFSTLLLHSPAATSPTTHHQRRFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLELVELEVRELLSSYEFPGDDIPIVSGSALLALEALMANPSIKRGENEWVDKIFTLMDNVDSYIPIPQRQTELPFLMAIEDVFSITGRGTVATGRVERGTVRVGETVDIVGLRDTRSTTVTGVEMFQKILDEAMAGDNVGLLLRGIQKIDIQRGMVLAKPGTITPHTKFEALVYVLKKEEGGRHSPFFSGYRPQFYMRTTDVTGKVTSITTDKGDESKMVMPGDRVNLVVELIMPVACEQGMRFAIREGGKTVGAGVIQKILE >Solyc02g020910.3.1 pep chromosome:SL3.0:2:20790397:20826568:1 gene:Solyc02g020910.3 transcript:Solyc02g020910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQRVKGTPSLNSIKSLPVGYAFGLNKSETVDAANHRMASNTVSKNGELLNEANGNADGYSEESPYSRLNFSVEESLSSGDDDLSTNAFTPSCVKSKWSDTTSYVTKKKLHSWFQLADGSWELTTFISKSGNEVLISLSEGKVLKVKADDLIPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNRDMIYTKAGPVLVAVNPFKKVALYSNEYIEAYKRKSVESPHVYAITDMAIREMVRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEDEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGANIQTFLLEKSRVVQCSEGERSYHIFYQLCAGAPGALKEKLNLKDVSEYNYLRQSNCHSISGVDDAEQFRIVMEALDVVHISKEDQESVFSMLAAVLWLGNISFTAVDNENHAEPVVGEGLATVSTLIGCGVDELKLALSTRKMRVRNDDIVQKLTLSQATDTRDALAKSIYSCLFDWLVEQINKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDISFANKLKQHLNSNLCFRGERGKAFTVSHYAGEVTYDTTGFLEKNRDLLHSNSIQLLSSCKFHLPQTFASNMLSQSEKPVVGPLYKSGGADSQKLSVSTKFKGQLFQLMQRLENTTPHFIRCIKPNNFQSPGKYEQELVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLDHVSSQDPLSVSVAILHQFNILPDMYQVGFTKLFFRTGQIGVLEDTRNRTLHGILCVQSCFRGHQARRDLKHFQRGIATLQSYVRGEKARKEYAILLQKHKAAVCIQKQIRGRTKRKTYENVHDASIVIQSVIRGWLVRRCSGDIGLLQFGGRKGNESEEVLVKSSFLAELQRRVLRAEAALREKEEENDILHQRLQQYENRWSEYELKMKSMEEIWQKQMRSLQSSLSIAKRSLALDDSRRNSDASVNPTDEKESSWETGSNQRARESNGVRPMSAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVEANLSPDRELRRLKQMFEAWKKDYGSRLRETKVILNKLGSDEGGSDKMKKKWWGRRNSTRLN >Solyc09g018230.1.1.1 pep chromosome:SL3.0:9:13635244:13637310:-1 gene:Solyc09g018230.1 transcript:Solyc09g018230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4CS90] MAVSPESFPPRKRRPSANCFVAPNLSDRNLLKSLLLLSSEISTLKPLLFLLKRNTSSIIRKSKLLSVLFEEILGRDFTYSNTSRGGGGHVTGFPPSAVLCFDELYIVLQRMKTLLEDCRNCSKMWLLMQIDVFCNVFHELTLELSTLLDILPSKKLKLNDDVQELLILITKQCSEKFTYVDPKDRDLRSQVVEMLDRIEREIVPDQCELAEIFEKLTLRDSTSCRDEIELLEEEIQSQTDEKAKSDIIALIGFVRYAKCVLYGGSTPRTNSRRRRAAADVNVPADFRCPISLDLMKDPVVVSTGQTYDRSSITLWFESGHTTCPKTGQTLTHTDLIQNSALKNLIAMWCREQKIPFESTQMNVKSNGVVTNKTALEATRMTVSFLVNKLKASQVVESANRLVHELRVLAKTDSDSRACIAEAAALPLMVKLLGSEHPGLQVNAVTTILNLSILEANKTRIMETDGVLNGVIEVLRSGATWEAKGNAAATIFSLSGVPAYKKRLARKTRVVKGLMDLAREGPTNSKRDALVAILNLAGDREAVGKLIEGGVVEMVAEIMDGLPEEAVTILEVVVKKGGLVAIAAAYPLMKKLAIVLRDGTDRARESAAATLVNMCRKGGSEMVAELAGVQGIERVIWEIMGMGTGRGRRKAATLLRVLRRWAAGLDAEVASGAYSDVNMNTSTRIVLPG >Solyc11g072840.1.1.1 pep chromosome:SL3.0:11:56321048:56321359:-1 gene:Solyc11g072840.1 transcript:Solyc11g072840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/TrEMBL;Acc:K4DAZ1] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGLGG >Solyc02g088170.2.1.1 pep chromosome:SL3.0:2:50963441:50965190:-1 gene:Solyc02g088170.2 transcript:Solyc02g088170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKTIASRSSNLHRQSSLWSKCKDLQSLKQIHALMIINGFNSNRIALRELIYASAVTFSASIHYAHKLFAQITQPDLFMWNTMLRGSAQSHRPSLAVSVYTHMEKRSIRPDSYTFPFLLKACTKLSWLVSGLVVHGKIVKHGFESNKFARNTLIYFHANVGDIRIAGQLFDGSAKRDVVAWSALTAGYARRGKLDAARRLFDDMPVKDLVSWNVMITGYVKQGKMDNARELFDIVPKRDVVTWNAMISGYVLCGENEKALKMYEEMRGAGEYPDEVTMLHLLSACTDSAFLDVGELIHRSIIEMGAGELSVFLGNALVDMYARCGSIRKALEVFQGMKEKDVSSWNIIILGLAFHGHSEECISLFEDMRRMKYIPNEITFVGVLVACSHAGKVDDGREYFSMMRTDYNIETNIRHYGCMVDMLARAGLLNEAFEFINTMDIKPNAIIWRTLLGACKVHSNVKLGRYANKQLLKLGREDSGDYVLLSNIYASRDEWDGVERVRKLMDDNGVWKEPGCTLIEADDYDLKNFYFDSKCKHS >Solyc03g007760.3.1 pep chromosome:SL3.0:3:2280512:2288205:-1 gene:Solyc03g007760.3 transcript:Solyc03g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKHVLLSALSVGVGVGLGLASGQAVNKWTNAPEGITPEQIEKELRRLILDGKFTKITFDDFPYYLSERTRVLLTSAAYVHLNHLDVSKYTRNLSPASRAILLSGPAELYQQTLAKALAHHFDAKLLLLDLTDFSLKMQSKYGIFKKESAFKRSTSESTLGRLSSLLGSFSILSARETSGVTYSRQTVGVDSKSRNREGASNSLKHRRNASVSSDISSISSESSASNPAPIKRVNSWSLDEKAFLQSLFKVLVSISETSRVILYIRDVDRHLQSPRAYKLFDRMLKKLSGSALVLGSRMFEHEDECEEVDEKLRLLFPYNIDIRPPEDETHLTDWKTQLEEDMKMIQFQDNKNHIAEVLAANDLECDDLGSICHADTMVLSNYIEEIVISAISHHLMNSKDPEYRNGKLLISSNSLSHGLGVFQDGKSGCRGSLKMEANAELSKDAAMDDIGLKPESKSENPTSESKGEAPSTKKDGEISSASKAPEVIPDNEFEKRIRPEVIPSHEIGVTFADIGALDETKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIANEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIVFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPAAENREKILRTLLAKEKVEDLDFKELGVMTEGYSGSDLKNLCTTAAYRPVRELIQQERKKDLEKKRRTEEEQSAEGNSDKKEEASEERVITLRPLNMEDMRQAKNQVAASFASEGSVMSELKQWNDLYGEGGSRKKQQLSYFL >Solyc10g045090.2.1.1 pep chromosome:SL3.0:10:30325120:30325771:1 gene:Solyc10g045090.2 transcript:Solyc10g045090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKTWGLGLWRLCALGLWVTWSLRLWRAWCLRLW >Solyc01g005220.3.1 pep chromosome:SL3.0:1:173567:180781:1 gene:Solyc01g005220.3 transcript:Solyc01g005220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNIVEKAIKSLGKGFDLTCDFRLKYCKGNERLVLLNEKLKKELMVPGFGAYENVPIDIKCDKGDRVRFQSDILDFNQMSEYINRRSSVPGKIPSGLFNSMFGFESGSWANDAANTKYLGLDGYFIILFDVHIDRYSLVLDNQVVNDVPSTWDPAALARFIEKYGTHIIVGLSIGGQDVVLVRQDKLSNMEPSQLKNHLDELGDQLFTGICNYCPQQFKSREQKHKAFNIFDPQPNIFSSFPSATTKNGVTVICSKRGGDLTANTHSEWLLTVPSMPDAIAFNFIPITSLLKGVPGKGFLSHAINLYLRYKPPIADLQYFLDFQAHKIWAPIHNDLPLGPTTNRSIRPPAIHFNLMGPKLYVNPTQVIVGKTPVTGIRLYLEGVKCDRLAIHLQHLINTPVLLQDKIDDPLTWRGSEDTPDHRYFEPIQWKKFSHVCTAPVKYDPNWAPYENGFSFIVTGAQLHVKKHDTKSVLHLRLLYSKVSNSCIVQSQWMQCAFESCTKSSSGFLSAISGASSIPGFLSEKPVVVDSGVYPTGPPVPVHTQKLLKFVDTTQLCRGPLDSPGHWLVTGAKLDLERGKICLRVKFSLLNISS >Solyc05g053300.3.1 pep chromosome:SL3.0:5:64274861:64279739:1 gene:Solyc05g053300.3 transcript:Solyc05g053300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:Q8GT30] MAIGSLARRKASTILSSRYLKYSFSLSRGFASGSDENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMYHEAQHSFASHGVKFSSVEVDLPAMMAQKDKAVAGLTRGIEGLFKKNKVNYVKGYGKFLSPSEVSVDTVEGGNTIVKGKNIIIATGSDVKSLPGLTIDEKRIVSSTGALALTEIPKKLVVIGAGYIGLEMGSVWGRLGSEVTVVEFASDIVPTMDGEVRKQFQRSLEKQKMKFMLKTKVVSVDTVGDSVKLTLEPAAGGEQTTLEADVVLVSAGRVPFTSGLGLDKIGVETDKAGRILVNERFASNVPGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDLVPGVCYTHPEVASVGKTEEQVKALGVDYRVGKFPFLANSRAKAIDDAEGIVKVIAEKGTDKILGVHIMSSNAGELIHEAVLALNYGASSEDIARTCHAHPTMSEALKEAAMATYDKPIHM >Solyc10g083160.2.1 pep chromosome:SL3.0:10:63147649:63160288:1 gene:Solyc10g083160.2 transcript:Solyc10g083160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSQSNSTNPRFDLAKRAFTERKLEDLKSLFDSLAAQSQSNGKYVSSSVFKAYIGVEGPLGDRMFDLVTQKRKDQKLTYEDLVIAKGTYEKGTNADIEEFIYQLLDVFDDGVVGRSDLEAVLTAVLRNISSHESHQSEPSPDREILDIFLNAANLTTDDTKCAESCMSFEEFKSWCARLPSVRKFLGTLLSTRDSGSEVPMLVYPENIDPAVILLRKEYAWHIGGALPQDELHEWRLLYHSTVHGLSFSTFLGNISNDKGPTLLVIKDKEGYIYGGYASQPWEKHADFYGDMKSFLFQLYPKASVYRPTGANSNLQWCAIHFSSESIPNGIGFGGRAHHFGLFISANFDLGHTFTCTTFGSPSLSKTHQIYPEVIECWGVAIKRAQDNQDRLQGTVLERFKEDRHMLNMVGLANSSE >Solyc08g080560.2.1 pep chromosome:SL3.0:8:63948421:63949573:-1 gene:Solyc08g080560.2 transcript:Solyc08g080560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGNVMVTAQTIISGASPSPTLTPQPPLPTPSPYSFSVASTRLRSRPSIYAYDLALRSLALLFSFISALSLAVPSPTRTKRGTISKFCDYPQLTYCFSVSVLAFIYSAFQLFKRVCDIAYRGVLISDKTSDYLSFILDQLTGYLLVSSSSVTIPVIQEMDIQASLWKAAILAVCMSFAAFMCLHFYQATSCAKGSYGDGLGIERAAFNSRIDKAIVV >Solyc12g020020.1.1 pep chromosome:SL3.0:12:13359876:13360925:1 gene:Solyc12g020020.1 transcript:Solyc12g020020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIALCVASCSLNLSTPLFGNTIRPSYTEDGARFTIRRTFHHFGYAKGYTQQWKSTGLTHIYFGVFRIALKYHGRKGHPIMAQLSLLDSRHYEYQHANLGMLEITLNVGTVFMTIFSNFTISLHDSYLTEVLKIHVKIHGVPPIRKAIHTNLYCQLSWRVKIHHKDLSSRCPISIVF >Solyc02g083170.3.1 pep chromosome:SL3.0:2:47229369:47233413:1 gene:Solyc02g083170.3 transcript:Solyc02g083170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQTVGSGRYVPWSDLPKELAERIGSCLDTQIDVLRFRSVCTTWRSSLAPFKTVPHFPVEIPYPLDDRNIYKGRPGYYLIESTVYIFQPLDGDSIDSPCKGWLIQVIKTADGKLKVLNPLTGREIQNHMPKVLNLLDFRVSEVCKSYHVQYYIPSKPLFSDYDVGYVRKILLLMNHNGGSEKDSFSLMAIDRDNRLCYLNSGNDKWTKLKNSSSYIDDIIVYKGNFHAVDRYGETMKFDSSFSETTVASRFYGETTKIDSSFDEEVASRLSGGKKKKRLVESGGQLFLVDKSSDFGKDASHEIKIYRLDEKQYEWIEVHTLGDRIFFAVSDCCFSVSSRDFGDKYGRGNCLYYAKGSMYFNDEDSDDDFGMCGCCCPLDDDVVGGDEISYPISNYGDSTSISNGDHRINASTSKSGEVVVDRYKGVFDDNTYVFTIEDGKLGSLLSSLDYAEILWPPPSWLNGEDEPHPHDHEIAESLLSIHKQG >Solyc07g017770.3.1 pep chromosome:SL3.0:7:7969352:7985818:1 gene:Solyc07g017770.3 transcript:Solyc07g017770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLIGSFHRPSIPVPAEFSPLKTSSHAIVRVLKCKAWKRPKKHYSSSMKLQRQYITQEHVGGSDLSTIAADKKLKGRFLVHASSEHPLESQPSKSPWDSVNDAVDAFYRFSRPHTIIGTALSIISVSLLAVEKFSDFSPLFFTGVLEAIVAALFMNIYIVGLNQLSDIEIDKVNKPYLPLASGEYSVQTGVIVVSSFAILSFWLGWIVGSWPLFWALFISFVLGTAYSINLPLLRWKRFAVVAAMCIFAVRAVIVQIAFYLHIQTYVYKRAAVLSRPLIFATAFMSFFSVVIALFKDIPDIVGDKIFGIQSFTVRLGQERVFWICIGLLEMAYLVAIVVGAASSNTWSKYFTILGHSALALLLWTRAKSVDFSSKAAITSFYMFIWKLFYAEYLLIPLVR >Solyc03g044590.2.1 pep chromosome:SL3.0:3:10197614:10198246:-1 gene:Solyc03g044590.2 transcript:Solyc03g044590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGILRELLKKYGKVALGVHFSVSAASITSLCVAVKNNVDVEALFEKIGMPGLSKEKDGVAAPTPKQRNRTAKLAASSGAALAVVVLLNKALFPLRVPITLGLTPPITRFLARRRIINNSVR >Solyc04g057940.3.1 pep chromosome:SL3.0:4:54993733:55000895:1 gene:Solyc04g057940.3 transcript:Solyc04g057940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNYRFEMDQEDTVRSLITSVGSFIQDRLIDKEQRTSHKEQCAERLAAEDGSSDKDAEVRYSDQAVLANLDWGIDALEEAINTSNIETKMARLDYAEKMLQVCAMLDSSQKTAGVPNFYLSAWAHLNLSYLWKLRNNVNNTVLHILEMFIIDPFFSRIDFAPELWKCLFLPHMSSIVGWYSEERHRIVMDVIPDSSDLSFTMDFDHDFNESLIFSVRPDQAEKMQKLELLYGQSLDDNTRLYAKFYKDCINYDSATSKKAIPLLPIAEPPMTPLHEVRRSIPDYVKFGPILPKSAGFTPILRVKENAKGESRLNMTSSSSDNQEDSTTWDPVKGIPEEDEEDYEPEPHVHIASNKRNQENGSSYVEARSKVEKINTNQKQSPKAFLSIDSPKVESPKTPYSQEPSPKKSDTPSRKGVPVLRLLSGRVKNSSMSNSLHLSQEINSTDSDEERTVQHETVGKRNARRRSLSQSLEKGSPNNSDEGSLSCISLPLSEKSTAPSRPPKDFVCPITGQIFNDPVTLETGQTYEGKAIQEWIKRGNTTCPITRQSLSAATLPKTNYVLKRLITSWREQHPDLAQEFSYSQTPRSYLNIPSSRERSSESTPSPTFNHPNHRRIEEIVEQRSRRFMRAAVSMSPTSVISQAATEAIINGLKPLVSCLCTSEDLLECEEAILTIAKIWNDSKLESQGVHSYLSAPTIVNGFVEVLSASIKREVLKTTIYILSELLYADDSIGEILTSVDSDFECLATLLKDGLPEAAVLIYLLRPSFSQLSAHNFVPSLTQIISNRNEDSSHFQFTIGTKEAAVALLEQIITGGGESDRSFNAIQVISGNGIPALLKCLEHENGRESIVCILLFCIRADKSCRNTIASRIELSPVLELIHTGSDSVKATCIELLYELVLLNRRTLCNQILQIIKDEGAFSTMHTLLVCLQMASMEQKSTIAPLLLQLDLLVEPRKMSIYREESIDALIEALHEKDFPASQLRALDALLSLSGHLSNSAKSFLEARLLKTAGFNQRYNATIKEEKQRAGENDITNTTEEEEKALSSWENRMAFVLCNHEKGLIFKALEECLTSTSMEIAKSSFILATWLIHMLYSFPDTGIRDIARKSLLEQFIQMLQSTKNLEEKILAALALRGFITDLGALSELGIYAKCLCRNLRKLKKHSTVVSDIMKTLMNLPCIDAAELWCYTECPEMDVSMNGEVLCLLHVRGRLISSHSDGTIKVWETGKRNPRLNHETREHSKAVTCLYVSSSCDKLYSGSLDRTIRVTSPSSSKFCLSLIKVWAINQEEIHCLQVHDVKEPVLELIANTHFACFTSQSTGVKVYNWSGVPKHINFQKYVKCLAIMGDKLYCGCTGYSIQEVDLSTQTSTTFYAGAKKLLGKQNIYSLQVQKNVVYAGGSLVDGMSGKVFTLPSKAVIGTLTTGSDIQRLAVNNDLIFSATKSGNIEVWLQERVTKMTCIKMKSGGQSKITSLAVDKDGEMIFAGSIDGKIQVWRLD >Solyc05g006310.2.1 pep chromosome:SL3.0:5:960795:961581:-1 gene:Solyc05g006310.2 transcript:Solyc05g006310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDVVSSTFQSPSSNINGENYHESSSKSCIENNNNNNKKLKIFGFELIDNPKRSPKKVQNNSSKEDQESVNSSSASTVSSGNIHHNQEKISSNHEEIMKKFECQYCLKQFANSQALGGHQNAHKKERMRKKRLQLQNQNYNNNNNNIINYQFHDPQFNVYEESQISFNPNYDYQVSSEGGGTNWPFHQDSNNCTFTLTHGGNNYMKPPSTILDNSSSTKQKSSCKHLDLQLGLSL >Solyc04g005500.3.1 pep chromosome:SL3.0:4:332798:338889:-1 gene:Solyc04g005500.3 transcript:Solyc04g005500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLSEDLNNRWPLHFGEKIFTNAQYCNGFMPRNTTDSDPGYDKDVLKQKILEHEETFKNQVFELHRLYRTQRDMMYDIKRTELHRPWTSMEPSSSSNILGSHLLPKDAWKGHSNGFPFANSSYARPSMSGTEIVNSPFSSSKANDVQSGHGQMQNGCSSKICESLDARPSKLRKKMFDLQLPADEYIDTDEVEQLRDDEGSFYPSSRANGNNKVSQENCTRLFPGAGTKSDKKDASASHSCFRSSVRLADLNEPAQPEEAISLPVDFLGYGNSHKETRSLNASANSNPAFVALPRETTRNSHHTSLSKGKERDWFPSTYETGKVEGRLTPAPHNFSHNKFPTPRQLAQVMLDKAFQRPGVQSPQYFKDDQWKERVGHGPETFHVNHEKSEYTYGRPFITSGTASPYPFANSSEFTDSWSHTLSSWGKPSGFVARLSSGHTNPSLNSCAMVGKSPKSPQYHDIFGDKWRIDGSSKSNLGEATNFSIRNGFDHGSSSGPKESPRWFSVAFDSEKQNKSDNLTSDRSFNNGCEKSPITSYNMDLTSEKGFDLNVLSKDSINEELASRDLELVDEKREPQDCKPVLPWLKAKPSFKNESTKTTNGMVEAYTNSPICGNGPLKSFSDVCNAQNIASAMIDLNMKATKELGETRSVRKILGAPIPEISCASKNESSSFVSTSATLCSSPIEENSRHKERRIVIDINIACDLSMVEPEKQVVMEAVVAETAMETKATIIRNPFDLNSCITEDDDSFFVESDNVNVRTVVEIDLEAPPVLETELDNLSKENGKQNEASLQLPEHKPEEIQDEVVRVAAEAIVAISSSSQIDTISGDPSDDPLGSLGWFVGIVISFESELESKSKEIIVEDAMIVARPTTNLEMDYFEAMTLQLEETKEQDYLPKPFVPEVQPVEDAGATSLTNRTRRGQGRWGRQRRDFQRDILPGLVSLSRHEVTEDIQTFGELMRATGHSWNSGSKRRNGGTRGRRRMVIETTPVTVSTRLSPPLKQNLSNIVSSLEDKSLTGWGGKTTRRPRRQRCPADHPPAVPFTRTM >Solyc08g036610.2.1 pep chromosome:SL3.0:8:10869301:10871713:1 gene:Solyc08g036610.2 transcript:Solyc08g036610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMTQFKSEKVLQLVEATDKLISPGINVGDVKKFQEAGIYTCNGLIMHMKKREAVVSNTTRSHSLDNVLRGGKKETSAITKPFGESR >Solyc06g072180.1.1.1 pep chromosome:SL3.0:6:44631704:44631961:1 gene:Solyc06g072180.1 transcript:Solyc06g072180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAAIRPVPPHRDETMSENGFRLYDMVDVFANDGWWFGFISGKIGEEHYVYFPTTADNIAYPRDVLRFHQEWSNGKWIFLPRP >Solyc06g035790.3.1 pep chromosome:SL3.0:6:25124935:25128890:1 gene:Solyc06g035790.3 transcript:Solyc06g035790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNPTPYYTPTSKPTPTSHHSSLPLKKPNFYSLIAISFLCSGFYFTGVWHSSTTGAGAGSSSVFITTTSLPCFPSKNTSTSPSSSTTSTKKLDFTTHHSAAYDGAAPDDAIKIYPVCDIKYSEYTPCEDPERSLKFNRRRLIYRERHCPEKNEVLKCRIPAPYGYKNPFKWPVSRDVVWYANVPHKELTVEKAVQNWIRYEGDKFRFPGGGTMFPNGADAYIDDIAKLINLKDGSIRTAIDTGCGVASWGAYLLSRNIIAMSFAPRDSHEAQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGEYDGTYLIEVDRVLRPGGFWILSGPPINWKRHWKGWDRTREDLYAEQNKIEQLAKRLCWKKFVEKDDIAIWQKPFNHMKCTEFRNRNKNPPMCPTQDPDKAWYTKIETCLTPLPEVASEEDLAGGQLEKWPKRLNAIPPRISRGTVDGVTAEVFQKDSELWKRRVSYYKSVNNQLDQPGRYRNILDMNAFLGGFAANLVNDPVWVMNIVPVEVKTNTLGAIYERGLIGTYQSWCEAMSTYPRTYDLIHADSVFTLYENRCEMEDIVLELDRILRPEGSVIIRDDVDILIRVKRIADGLNWDSLIVDHEDGPLEREKLFFAVKTYSTAPATQPSKTS >Solyc07g048040.1.1.1 pep chromosome:SL3.0:7:59358115:59359332:-1 gene:Solyc07g048040.1 transcript:Solyc07g048040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHQVVSMEESESLVVDDKTKSDCQGKGGVVRNVEGFIGVLDVHVHQARDIHNICIYHKQDVYAKVSLTSNPEEAVSTDTINGGGQNPVFDQSLRLNVKTIETSVRCEIWMMSRVKNYLQDQLLGLTLVPLCDVLAENGKLEQEFTLSSSDLFHSPSGFVQLTLTYTGATPEVLEIPTPGHSLAAANADEIAESIPCELVKIEFPDPQIVNENERMVTEYYAIPCTELDGQSSEHIDSKENGGHISSENVQITPESVAVEGQDATEIKKVETLTLSASAKSSPSNSNPKQTSTATEEESALPLDDTKDSAKEVDSTSSVKPISTFTLPVVNVSIVPEKQVVQQDIVDIYKKSMQQFTEALEKMKLPLDIENGNDKTENSSSSERPQTRPNGQSPRVFYGSSAFY >Solyc01g011260.1.1 pep chromosome:SL3.0:1:8174276:8174971:-1 gene:Solyc01g011260.1 transcript:Solyc01g011260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFQQFLSKFAGDASMNLIPTDYLKDMGFVDGVAKWCIRFISRNLSLTTLGDVVLKDRVIVYDLARQRIGWENYNCSLPVNVSITSDTYDVTQASTIYHMLGLILFILNLLWSQ >Solyc08g041715.1.1 pep chromosome:SL3.0:8:26178139:26178789:-1 gene:Solyc08g041715.1 transcript:Solyc08g041715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIHDISLALCMTVTGWRICIDYRKLNESTRKDHYPVPFIDQMSERLAGKEYYCFLDNYSRYNQIVIALEDQEKTTFTCPYDTYAFKRMSFGLCNSPTTFQRCMMAIFMIWLKILWRYSWMISQCLGSLLTGGWRIWTGC >Solyc01g094470.3.1.1 pep chromosome:SL3.0:1:85811319:85813066:-1 gene:Solyc01g094470.3 transcript:Solyc01g094470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKNDLGRRKKQHEFNLRSIILSLECYALLQSRYDTNF >Solyc02g086890.3.1 pep chromosome:SL3.0:2:50127475:50129207:-1 gene:Solyc02g086890.3 transcript:Solyc02g086890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSSLHSPSSSSSIYCNSISPSLRYFRRFYTFPSRRNNSNSNGGAVLLVKAYMDETTNPISSFANKVIGSLPVFGLIARIVNEEGGVGGDFIDFAEFRRRVGNKCSINDSRAFYEFRDRRGKTGDPLYVLLCCWLAAVGAGLLKSEEILEGVARLSLANDIEFEEQNFIAMMNEAREKRSKLGAPAPTIPMEFRAEKALEAIHVCCYGRDLIDEEDEKLLSTMLNAVFPTVGRQKVEIIVKEKAKRMADGTEEIKYEPKQLSKEAVQMQMKDLEFLKQNSLNQ >Solyc01g058130.1.1.1 pep chromosome:SL3.0:1:65259045:65259536:-1 gene:Solyc01g058130.1 transcript:Solyc01g058130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQRIIPNPGGVLCYRCTTCRDVFTSSQGLAGHQNKHKFESTWIRDAPHEKFFCPSTELPALYLQLGTRKSNPTVLRGHGHFYHLRRRSQTVYGPRRKPGRPRAGLYEPQQTMVLQVAPVFEGSPISPLLIQDQNLFATRPYQLVEKNYIDDKEEIDLELRL >Solyc01g106830.3.1 pep chromosome:SL3.0:1:94471478:94472067:1 gene:Solyc01g106830.3 transcript:Solyc01g106830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLNTFCMIAFLLFLALTCASSRPVRAPYRDVTPMEHTKDKAAMKEDVEDRCEGPGGEEECLMRRTLEAHLDYIYTQRHKQP >Solyc02g031830.1.1.1 pep chromosome:SL3.0:2:27532305:27533276:1 gene:Solyc02g031830.1 transcript:Solyc02g031830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPAEELQFLTIPDIFQESISIPKRSPKTFYLITLTLLFPLSFAILAHSLFTHPILTQLQENPNSSHASQWTKLIVFQFFYLLFLFAFSLLSTAAVVFTVASIYTTKPVSFSSTLAAIPSVFKRLFITFIWVSLSMLAYNTVFLIFLVLLIVAADTQNVVLFLFSFLVVFILFLVVHVYFSALWHLASVVSVLEPIYGIGAMKKSYQLLKGKTGMAFFFVFGYLSICGVINGFFGSVVVHGGDNYGVISRILIGGFLVGVLVIVNLVGLLMQSVFYYVCKSYHHQEIDKTALYDHLGGYLGEYVPLKSSVQMENLEGGPLTP >Solyc03g120775.1.1 pep chromosome:SL3.0:3:70541820:70544441:-1 gene:Solyc03g120775.1 transcript:Solyc03g120775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASFWKYFQMDELSQNSRTLIYFELSKLPLLLMQCVEYAIQLRESGHFRISSAIGDDDGVGGWFCGEPMDGLADGVGGWFCGEPTD >Solyc04g081010.1.1 pep chromosome:SL3.0:4:65154056:65155641:1 gene:Solyc04g081010.1 transcript:Solyc04g081010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPSSSWTPQDDILLINAVMTGASLELLLRRFSDQELQARWRSLLRSLDERERRMQNTLVPLVHDGIDTSAPLVRDEADMFVSFVRDRANTSVPSVRDRANILVPVVRDGANTSVPSVRDRANTLVPPVCDGANILVSPVRNGADSLVPFVRDGADTLVPPICNRADTLVPVVCDGANKMDTSGYDISTIINSSSRMGDQISRCTDDELSLPFTILDETFDVNSFLLYTPYEESDMTDKSKDVLDPEKRDGAIYCTLNTKVPQNDNVSTWP >Solyc10g079130.2.1 pep chromosome:SL3.0:10:60868051:60873811:-1 gene:Solyc10g079130.2 transcript:Solyc10g079130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCAVPKTSETEEKKRGKNKPNPFSVDYGHHGNGHKSYVLDNPTGTDIEATYELGRELGRGEFGVTYLSTDKVSGEVYACKSISKKKLRTRVDIEDVRREVEIMKHLPKHPNIVTLKDTYEDDNAVHIVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVIQMCHKHGVMHRDLKPENFLFENKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRDYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVNFKRDPWPKVSDNAKDLVKKMLNPDPSQRLTAQEVLDHPWIQNAKKAPNVSLGETVKARLKQFSMMNKLKKRALRVIAEHLTVDEVAGIKEGFQLMDISNKGKIDVNELRVGLQKLGHQIPESDVQILMDVGDVDKDGFLDYGEFVAISVHLRKMANEEHLKKAFDFFDRNQNGYIEIEELREALDDEIETNSEEVINAIMQDVDTDKDGRISYDEFSTMMKAGTDWRKASRQYSRERYNSLSLKLMKDGSLQS >Solyc09g031750.3.1 pep chromosome:SL3.0:9:28175082:28180486:-1 gene:Solyc09g031750.3 transcript:Solyc09g031750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKAFLLSHYSFSPPNIPRTNFNVHNSFYHTSESGSGRGRGRGRFGYGQRELLLVKRNSSSRKLWADVKSKPFKIAEDDALLRKKEDGDNSVGLPQEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMASEYNWTPITVGLIQSSFFWGYLLTQIAGGIWADTVGGKAVLGFGVIWWSVATVLTPIAAKLGLPFLFVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLALVYSGMYLGSVTGLAFSPFLIKQFGWPSVFFSFGSVGTVWFAVWLNKAYSSPLDDPTLRPEEKKLILCSSVSKEPVKSIPWKLILSKPPVWALIVSHFCHNWGTFILLTWMPTYYHQVLKFNLTESGLFAVLPWLTMAATANVGGWIADSLVRRGTSVTVVRKIMQTIGFLGPAFFLTQLSRVDSPAMAVLCMACNSSFLKQGSDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNAFSTGEKIID >Solyc04g078255.1.1 pep chromosome:SL3.0:4:63119841:63122477:1 gene:Solyc04g078255.1 transcript:Solyc04g078255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKSLRIRKIKLQEWKFFEDFSSALHKKTKRG >Solyc02g067520.3.1.1 pep chromosome:SL3.0:2:38256677:38258414:-1 gene:Solyc02g067520.3 transcript:Solyc02g067520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLITIEGCSVLFPAFFQINSSQIILSIDEIANM >Solyc12g098260.2.1 pep chromosome:SL3.0:12:66825809:66828530:-1 gene:Solyc12g098260.2 transcript:Solyc12g098260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSTRVIGIILLIVLFSGIMVSADVLGRRMLGAGGVGGGGGPGLGGFGFGSGVSVGEANFGTGFGGGVLGGQGLGAGGARVIGVILLIVLFSGIMVSADLLGRRMLAAGGGGGGGGGLFGGGLGIGGSIGEATGGAGLGGGLIGGKGMGIGGGD >Solyc10g050465.1.1 pep chromosome:SL3.0:10:49702518:49703116:-1 gene:Solyc10g050465.1 transcript:Solyc10g050465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSCGSISNSMTMTYFCGELTRCFTSRTPLNLKEDFIDVLSLRLKIVDFGGGKTVLQKILLLKPIY >Solyc02g021810.1.1.1 pep chromosome:SL3.0:2:24514023:24514298:1 gene:Solyc02g021810.1 transcript:Solyc02g021810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFCLARSFLETSIELIVFGKKIMLYVIHRYSLLTNMLSYGMRIKAQRCLFGFASLREIVILVVSYDVQGNILSSAKFYKLEEEKYIRL >Solyc01g097240.3.1 pep chromosome:SL3.0:1:88037596:88038180:-1 gene:Solyc01g097240.3 transcript:Solyc01g097240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pathogenesis-related protein P2 [Source:UniProtKB/Swiss-Prot;Acc:P32045] MERVNKLCVAFFVINMMMAVAAAQSATNVRATYHLYNPQNINWDLRTASVYCATWDADKPLEWRRRYGWTAFCGPAGPTGQASCGRCLRVTNTGTGTQETVRIVDQCRNGGLDLDVNVFNRLDTNGLGYQRGNLNVNYEFVNC >Solyc05g055090.3.1 pep chromosome:SL3.0:5:65720942:65739717:-1 gene:Solyc05g055090.3 transcript:Solyc05g055090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTPPPVDPEDHEMLVPSSDFPTEGPLPVEVLAGAPADTTNTVDAQAVDDPASARFTWTVEKFSRLNVKKLYSDPFNVGGFKWRILIFPKGNNADHLSMYLDVADAATLPYGWSRFAQFSLAVINRMNNKLTVKKDTQHQFNARESDWGFTSFMPLSELYDPGKGYLMDDTVIIEADVAVRKVADYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDNPSGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYESFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLLEGHHMNYIECIRVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYQAEQHVLQDARKGVLFIDFPPVLQLQLKRFEYDFVRDAMIKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYSLHSVLVHSGGVHGGHYYAYIRPTLSDQWYKFDDERVTKEDTKRALDEQYGGEEELPHTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICDVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARDEDLGEQIGKEIYFDLVDHDKVHSFRIQKQMPFAQFKEEVAKEFGIPVQFQRYWLWAKRQNHTYRPNRPLTAQEELQSVGQLREVSNKANHAELKLFLEVEFGLDLQPLPPLEKTKDDILLFFKLYDPSKEEIRYVGRIFVKGSGKPLEILAKLNELAGFLPDEEIELFEEIKFEPNVMCEHINMNLSFRGCQLEDGDIICFQKSLRKQGNEQYRFPEVPSFLEYVHNRQIVRFRSLEKPKEDEFSLELSKQNNYDEVVECLARHLRLDDPSRVRLTPHNCYSQQPKPQPIRYRGVDRLTEMLSHYNQTSDVLYYEVLDIPLPELQGLKTLKVTFHHSAKDEVTIHTIRLPKQSTIADVLNDLKTKVELSRPDAELRLLEVFYHKIYKIFPLNERIENINDQYWTLRAEEIPEEEKNLGAHSRLIHVYHFIKEATQNQTQVLNFGEPFFLVIHEGETLTEVKARIQKKLQVPDEEFSKWKFAFLSMGRPEYLEESDIIFNRFQKRDVYGAWEQYLGLEHTDCPPKRSYSAHQNRHNFEKPVRIYN >Solyc01g103864.1.1 pep chromosome:SL3.0:1:92269783:92270640:-1 gene:Solyc01g103864.1 transcript:Solyc01g103864.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKANTHLVANHIYHDVICCISSREKLMKICMHLQSVSLTPSIIFPTPKTSQQPNMKSLPFQFPHPIMWIYLHFLSTSMDHPINVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAVAVETLIAGWFGMNIPCTLYHTEGLFWPFVLCMTIGCIMLFLVILGYARWKKLLGS >Solyc07g045120.2.1 pep chromosome:SL3.0:7:58344683:58350033:-1 gene:Solyc07g045120.2 transcript:Solyc07g045120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCPKKLQLPSCLSSFSYTLAFLIFIPLVLVLVLVCTLGPQSSYVLSFSSSSWTWRSVGLLRSLTSYEEKDQHLQVVGLVTFNNSAKDSFSNRPGEGVEDIWKDEMNEGEKHRNETTGIVKRYNRLERVEAILAKARSSIREAARNGSMISNHEDPDYVPQGPIYRNTNAFHRSYLEMEKKFKIYVYEEGELPIFHNGPCRSIYSTEGRFIYEMEKGNMYRTKNPDEALVYFLPFSVVVMVRYLYVSGGPDSRHVIGRTVADYIHVISSRHSFWNTSLGADHFMLSCHDWGPHTTSYVPHLFNNSIRVLCNANTTEGFNPQKDVSLPEINLKTDDTIGIIGGPSPSRRSILAFFAGGLHGAIRNNLMQQWKGKDQDVLVYEELPSGESYESMLKNSKFCLCPSGYEVASPRVVEAIYAECVPVLISDGYVPPFSDVLNWNAFSVKVAVEDIPNIKKILMSISQTQYLRMQRRVKQVQRHFVMNGPSKRFDLFHMIVHSIWLRRLNIRV >Solyc08g008610.3.1 pep chromosome:SL3.0:8:2998649:3003814:-1 gene:Solyc08g008610.3 transcript:Solyc08g008610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIERVKLTFTMAGRILNEVISFFVFTILDVLDFLLCYVYRVIDFIVEAEWKSCYCSSVKQTMMSSDTILVSESKIVCLTSSSRNKLQLEEISDTLYTRSSLVSEVSKFTMNELKRLKLENAAPIVKRGALRSTFTVNSTIVEMLQGKISGLKSNIGPRWSDCDCKTCNSWTSSSRDSLFVHIDGAKENVQENVIFIHGFISSSEFWTETLFPNFTKGTKSKYRLFAVDLLGFGRSPKPKESLYTLREHLEMIEKSVLEQYNVKSFHIVAHSLGCILALALAVKHPALVKSLTLLAPPYFPTPKGEEATQYMMRRIAPRRVWPVIAFGASLACWYEHVSRTICLLICKNHRLWEFLTKLITRNRIRTYLVDGFCCHTHNAAWHTLHNIICGTAGKIEGYLDMVKNRLKGEVTVIHGEDDELIPVECSYNVQSRVPRARVNVVKNKDHITIVVGRQQAFARELEEIWNNN >Solyc07g018190.3.1 pep chromosome:SL3.0:7:9665819:9670638:-1 gene:Solyc07g018190.3 transcript:Solyc07g018190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEINNLGRNTSGDLRFLDFLVNCTSLQVLSFEDNILGGELPKTIGNLSTRLEILALGDNIIVGSLPTGLENLVNLTLLSLDNSYLRGSVPESLGKLRRLQGLLLNGNKLSGRIPSSIGNLTSLSTLHIEDNELEGNIPPELGQCIRLSRLNLTGNNLVGSIPKELAGLSSLSISLALANNSLTGSLPAEFGKLINLKEMDISHNKLSGEIPSTLSSCVSLERFIANNNLFRGEIPESLKGLRGLEEIDLSHNNISGEIPEFLGKLPYLRRLDLSFNELEGEVLTEGIFANETAVSILGNDKLCGGPPNYNFPTCPKQKDASSKKHISSRIKVAIIISVTFLFLLLCSFAACYIVTRKSRKRDLTGRSSRQRQSDHFDDEEPTLFNDPILTAKITYQDIFKSTNGFSEDNLVGTGSFGSVYRGKFQVFDKVMAVKVLNLQQRGALKSFSDECRALKSIRHRNLLKIIAVCSSIDYQGNDFKCIVFEFMENGSLDDWLHSKGDEQHLNIIQRLNIAIDAASALDYLHNNCQVPIVHCDLKPSNILLDEEMTVRVGDFGLAKFLFKSSWNKHTSIALNGSIGYIPPEYGSGVNVSTLGDVYSFGIMLLELFIGRRPTNEIFKDGLNIHQYVKSHLPRHVTEIADPSLLLAYEEHNIYEDNASELEEKAILQDDEYISKLNTSTIIQECLVSIMKIGLLCSSSSPRDRMPISIALKEIHTIKNLFLESKRINNSIDRSDGNKLIKLSSSEFY >Solyc08g076550.2.1 pep chromosome:SL3.0:8:60652881:60653759:-1 gene:Solyc08g076550.2 transcript:Solyc08g076550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLLGGTVARDEFEKYDKVQKMRETLVSVLREKFAHFNLTFSIGGQISFDVLPQGWDKTYCLRYLEEFNEIHFFGDKTYKVLTCLKLSYHVRANIRVLLYMESLLVKAPIGVCHQSPFPGLRNLVRDAAVFGDTNLELPSFLSNRSLVEVHLEVGTNLTSQQKDELEIHMELPLHARYQ >Solyc07g006880.1.1.1 pep chromosome:SL3.0:7:1742104:1742595:1 gene:Solyc07g006880.1 transcript:Solyc07g006880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYEPNTALNLSLSRNDLLFDQSSSSSSSTPLSPVEPRVFSCNYCRRKFYSSQALGGHQNAHKLERTLAKKSRELSSTLRGPHSSWSNHSNSNNNSNSNNHCSLSPSGPSHTSHGHGHGARFVTNNDLISYGRREMMMSYESMKNGHESVQEDFGHLDLSLRL >Solyc05g005735.1.1 pep chromosome:SL3.0:5:540458:540938:1 gene:Solyc05g005735.1 transcript:Solyc05g005735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCRLPISKAGKYRVGIVKLTLEQLFDCIEILLLLADYVPKWALLLNKIIFGYMQVAKVE >Solyc11g066690.2.1 pep chromosome:SL3.0:11:52749863:52754916:-1 gene:Solyc11g066690.2 transcript:Solyc11g066690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNLAALKVFCSQLNNAKATTTQQSQAAFTLSGILFQRVWLQGILVSTPTTDSSGRFLLDDGTGVIELQLLGDFLTLSWVKGMYVMVVGLYFVQKGSLPLVKIHKIVDLSPFPDRESMWYLEVIEVFKLFYQPLFEE >Solyc09g061730.2.1 pep chromosome:SL3.0:9:60449130:60452134:-1 gene:Solyc09g061730.2 transcript:Solyc09g061730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKENLRVTNKADSTVTGNHVGNITQILPITHDSTNDHKDGGEKKEKVKGDKAKMSISKMKELLRWAAAAKTDKGTRYITRKVFNFRNRATLKAVADDDQLSNDSPKISFRWDAESCSTTYSAMSMPNSSTTKNHEQSIQINFASVNSTPIHIDHCPKLNWITTDSEFVVLEL >Solyc06g048730.3.1 pep chromosome:SL3.0:6:31698053:31706294:-1 gene:Solyc06g048730.3 transcript:Solyc06g048730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:K4C5B9] MSCIYSSVSSFSISSKSSSSSRSKFKPRISCSVGGTVAEPKTINATEPLLLDAVRGKEVERPPVWLMRQAGRYMKSYQILCEKHPSFRERSENVDLVVEISLQPWKVFQPDGVILFSDILTPLSGMNIPFDIIKGKGPVIFDPPTTLSDVEKVREFIPEESVPYVGEALTILRKEVNNQAAVLGFVGAPFTLASYVVEGGSSKNFTKIKRLAFAEPKVLHSLLQIFATSMAKYIRYQADHGAQAVQIFDSWATELSPVDFEEFSLPYLKQIVEAVKLTHPDLPLILYASGSGGLLERLPLTGVDVVSLDWTVDMADGRRRLGPNVAIQGNVDPGVLFGSKEFITNRINDTVKKAGKGKHILNLGHGIKVGTPEENVAHFFEVAKGLRY >Solyc05g008000.3.1 pep chromosome:SL3.0:5:2429191:2436162:1 gene:Solyc05g008000.3 transcript:Solyc05g008000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPCGYRPNVGICLINHDHLVFVASRLNVPGAWQMPQGGIEDGEDPKSAAIRELREETGITSAEIIAEVPQWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMQSTNAESEINLATGEAEAEFSEWKWARPEEVIEQAVDYKRPTYEEVVRTFQSYLNDGSKAAKCQSTKW >Solyc02g082720.3.1 pep chromosome:SL3.0:2:46920260:46924502:-1 gene:Solyc02g082720.3 transcript:Solyc02g082720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNGFKRKWVEAFTPWKREQKEAQYSSDFVSEPVKLSRTEQGFYLNPQNNHLDVYKKRAVLKVSTSVVSLKSYSGEKEIFQCSGTIIESVDSYSIILTSASLFRCSTSGNSIADNIRVIVYLFNGRSFDGQIESYDLHYNVAAVKIQSDTPLPIASLAHLSDSITIDPSQLQSTEENSFQLRPHSNSFDLVPGDIVIAVGRFYAKPYDITAAPGEFCIDHCDDDLECKELFKATCNIMRSGIGGPLINRYGEVIGICFYDPGSIAFLPINIASIWWEHYKKHRQSRRPWLGMEVTNLYAARLRILERIISKFPDVLKGVIVEEVLPGSSAESAGIKPNDVIIQFGGKRIQSFLELFENMWNNVGESVELVVIRASHDVPVHLSMVVEELATLGGMMTFKNSTS >Solyc10g081570.2.1 pep chromosome:SL3.0:10:62734110:62737651:-1 gene:Solyc10g081570.2 transcript:Solyc10g081570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVNIGRIDTRTILDRKRKQLLLPGKEEEKMEKEEQHLKVEKEIEKSDDMKEQKKDKKDKEKNKESEEESEDETKNVIDKKEKKEKKDKEKKDKSKEKSEEETEEEKDDQEGEKKDKEKKLKKVKKEKKEKVKSEELEEEKDDEKVEKKLKIVKIEKKEKEKKDKSKEESEGEEEVEKKLKIVKIEKNEKEKKDKSKEESEEETNDEKGEKKEKKNKGEKDKIKEESEEEKDIEKGKKDKEKKDKKKGASDEENEREEENDEKGVKKDKDKKPNKEKKEKKDKGKKDKSKEESEEEEKDDVKGKKKDKEKKDKNKELSEEEDNEEKDDKVGKKKDKEKKVKANAAEVATRELEVEEDKKVSDDESEEKSKSKKKGKESKDEKQKDSKKDKAEKKRKLEDKYKSKDLSKLKSKLDKINAKLEALQLKKADILKTIKETEDKNLAVVESPKDAESKAHD >Solyc03g044547.1.1 pep chromosome:SL3.0:3:10109613:10110632:1 gene:Solyc03g044547.1 transcript:Solyc03g044547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFEEEQMHAFLLELNDAQFVSTRSQIFGTHPLPVLNEAYYLVSQEERHKSIVRNRDDQTDGLAFTVETQPKPPLKYKFTHCGKNGHSNERCFLLIGFPNGGRRGHGGGRRGRGGRGLPSGREQSSGRTGGMAAHADNPTSRAVRTGNSQGGNFLGLSTEKMTRLLNMLDTPTQSGNNTGTVHALSPDWLIDSGASHHMTGPCFDNGDWSGYNS >Solyc03g007360.3.1 pep chromosome:SL3.0:3:1906908:1912328:-1 gene:Solyc03g007360.3 transcript:Solyc03g007360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4BEF9] MSERLRLAVGVMGNVASMLLYAAPMLTFSRVMKKKNIEGFSCVPYVLALFNCCLYTWYGLPIVSYQWENFPVVTINGIGILLEVSFILIYLWFSSTKVKKEVAKMVVPIILICVATGMISTFVFHEHRRRKVFVGSIGLVASIAMYASPLVVVRQVIKTKSVEFMPFYLSLFSFLASGLWMAYGLLSHDLFLASPNLVGTPLCILQLILYFKYRKNPVMEVEPQKWPDLEYNDDKLKQEEKKDQSMLVLTENLSTKN >Solyc01g105520.3.1 pep chromosome:SL3.0:1:93561892:93572625:1 gene:Solyc01g105520.3 transcript:Solyc01g105520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNVHSKELAAYLLSHHSEQLSSIILATDPRLHYSLYVDFAEIMDDNPALSQFIFAKPAEYLPLFNEAAVWAQKVILADLKQCEDASVKDKVHIRINISGSPLECPETFPSIGRVRVKHHGILLTIKGTVIRSGAVKMIEGERIYECRRCKHRFKVYPEVETRNSIPKPIFCPSQRSQICESTSFQLVEDNKICHDYQEIKIQESIQVLGIGAIPRSVPVILKDDLVDMVKAGDDVIVTGILTAKWSTDLKDVRCDLDPVLIANHVRRMNELKSEIDIPDDAVLKFKQFWTEFKDTPLKGRNAILQGICPQVFGLFTVKLAVALTLIGGVQHVDASGTKVRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMRGHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGHYDPEQPLSVNTTLSGPLLSRFDIVLVLLDTKNPDWDAVVSSHILAEAETKNHNYEENLGTIWPISMLRRYIQFVKRCFRPVLTKEAEKVISSYYQLQRRSATQNAARTTVRMLESLIRLAQGELLSLAFSWLGPSSISH >Solyc06g066420.3.1 pep chromosome:SL3.0:6:41810142:41811204:1 gene:Solyc06g066420.3 transcript:Solyc06g066420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCFLNIQKNPMFFFPTFIDQKVVSFHFFLVRKMGDSNIEMFKDEWFQCGNNLEDKNCSSWMINEGDNDSPSSSSIGESSSTISSLNCNSSLDTMDDASSDGALSDLSTLMTQLPIKKGLSEYYDGKSESFTCLGSVTSLEDLPKKENRCNRKMKKSLYKSYTLPKTIMFKKASRSSFLSSCYASKRPNSSISRSRPPLIPVQRT >Solyc00g007110.3.1 pep chromosome:SL3.0:2:32653877:32678253:-1 gene:Solyc00g007110.3 transcript:Solyc00g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNQSPNMDQFDAYFRRADLDQDGRISGPEAVAFLKGSNLPQPVLAQIWTYADQSRTGYLSRQEFYNTLKLVTVAQKRELTPEIVKAALFTPASAKIPAPQINLAVIPGPQPTNKVGSTVPPVGGATPTATQTFGVRGPQGLPAQQSYVGPPRPSNPSPGFQSQPNVSGQGMLVGSTIAASRPPSSTDLFAGQNGRSQSGANSQAPNSSGSSRSQDAFGLAVSTPSAQQTQQATMSSVQPNLSKSNNATLSHGNLLEAKMPKAVSVAGNGFPSDSLFGDVFSVASVQPNQSSTPTISSASSLAVSSATDRASTGAQPPVKANSVNLQTTLPQQLVHQHQQAHLTVRPNQQVPVQSSAGNPSAGRNSLPGQPQLPWPRITQSDYQKYSKVFMAVDTDRDGKITGAEARSLFLSWKLPREVLKQVWDLSDQDNDSMLSLREFCISLYLMERHREGRSLPSVLPANLIFDESPVPASGQPTGSHGATTWRESPGFQQTQGPSGARQAAFGAPRRPPRPVPIPQLDEAVQPSKEKPKVPVLEKHLIDQLSTEEQDSLNTKFQEATDAEKKVMELEKEILEAKEKNQFYHAKMQEIILYKSRCDNRLNEISARTSADKREVELLAKKYEEKYKQAGDVASKLTIEEATFRDIQEKKMELYRTIVKMDQDGKTDGIQDRANQIQGDLEGLVKTLNERCKTYGLRAKPTTLLELPFGWQPGIQEGAADWDGEWDKFDDEEFTFVKELNLDVQNVIAPPKPKSSLVREKASSLNDHDTGKSSADAGTDAKSEKLPSAGKTRVMSDVETTHTARSSTNSPTRSNAVESPTKEFEESLNRKDGTFDGSPHAAQSEHWGAESAFSGDKSFDESGWGTFDTDLDADAAWNINSAAKESRDEKHKETSLFDDDDWGLRPIKTGSANSSNSLPKQAPFFDSVPSTPSNNTGFSYSENQFPKQSPFFDSVPSTPSNNSGFPQGDSLFSRPSPFFDSVPSTPAYNAGGSPVADNMFQKRSPFSFADSVPGTPMFSSTNSPRRSNEFSEDHLSSLSRYDSFNMQDGGLFGSREFSRFDSMRSTRDSEYDNGSFQQRDSFARFDSFRSTADSDYNFGAFPARESLSRFDSIRSSRDSNYGHGFPSFDDADPFGSHDPFKTSAENQTPKRDSDNWKAF >Solyc08g075780.3.1 pep chromosome:SL3.0:8:60014912:60017689:1 gene:Solyc08g075780.3 transcript:Solyc08g075780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIKRDFCASSKHRKIPVAVSETRGIMASGGGAGGSGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASWISF >Solyc07g055630.3.1 pep chromosome:SL3.0:7:63723972:63724731:-1 gene:Solyc07g055630.3 transcript:Solyc07g055630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVYEGTLSNGNKIAVKRLNGVGQVKDSFLTEVKIHRVLIYEYMVNGSLDRWLSHENQENGLTWLTRQKIISDIAKGLAYLHDECSQKIIHLDIKPHNILLDENFNAKISDFGLSKLIEKDKSKVVTRMRGTPGYLAPEWLRSVITEKVDVYAFGIVLLEVLCGRKNLDWSQADEDNVHLLSG >Solyc05g055180.3.1 pep chromosome:SL3.0:5:65780629:65784277:-1 gene:Solyc05g055180.3 transcript:Solyc05g055180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVGEKKRVVVIGGGVAGSLIARSLQDEANVTLIDMKEYFEIPWAALRSMCDPSFAKRAVFSHSEYLPRGNVITSAAANITDTEVITAKGDKVAYDFAVIATGHSETGAYTKDEKFSQYQTDHEKIKSACSILIIGGGQTGVELAAEIAVDYPDKKVTIVHRGSMLLEFIGESASNKVVHWLKSRKVEIILGQSVDVNTAKDGVYKTSGGQTIDAECHFICIGKPIGSGWLKETVLKGSLDIHGRLMVDSNLKVKGRSNVFGIGDITDIPELKLGYLAQRHAGIAAKNIRLLMKNANDNNLNVYKPALPLSLIALGKREAVAQFYCLSCIGRLPGMIKSGDLFVGRTRKQLGLLSELQ >Solyc12g055840.2.1 pep chromosome:SL3.0:12:62792186:62794083:1 gene:Solyc12g055840.2 transcript:Solyc12g055840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMDSIINILYASLILVFLFSTVMATSIGINYGQIADNLPPPEKVVPLVKSMGANRVKLYDADPHVLKAFANSGVEFIVSLGNEYLSDMKDPAKAQAWVKTNVQAYLPATKITCIAVGNEVLTFNNTALSDNLLPAMENVYAALVSMNLDKQVSVTTAHSVAILETSYPPSSGAFRRDLVSCVTQVVDFHCKTGSPFLINAYPYFAYKADPKQVQLDFVLFQPNQGIVDPVTNLHYDNMLFAQIDAVHSALASIGYKNVCVQISETGWPSKGDADELGATPDNARKYNCNLIKLVSQKKGTPLKPNSNLNIYVFALFNENLKPGPMSERNYGLFKPDGTPSYPLGFSGINSGGSTNSSSGNRPATGGGSSTPASWSPQDGSSSSGYMSITSNSGRVLFCWKSWILQLYITVGSISVLFSQL >Solyc12g006450.2.1 pep chromosome:SL3.0:12:921556:927571:-1 gene:Solyc12g006450.2 transcript:Solyc12g006450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITSLIGSGIVAATNQVGPHVKHIPAVGNLQKQIVSDQIQVRWSSTETSLKNDISATDVRGYKGHDMLAPFTAGWHSTDLEPLVIQKSEGSYVYDVNGKKYLDALAGLWCTSLGGNEPRLVAAATKQLNELPFYHSFWNRSTKPSLDLAKELLDLFTANKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPDKKKFIARTKSYHGSTLISASLSGLPALHQQFDLPAPFVLHTDCPHFWRFHQPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFEKVQAILKKYDILFIADEVICGFGRLGTMFGCEKYNIKPDLVSVAKALSSGYMPIGAVLVSPEVSDVIYSQSNKLGTFSHGFTYSGHPVSCAVALETLKIYKERNIIEQVNRISPKFQEGLKAFSDSPIIGEIRGTGLLHGTEFTDNKSPNDPFPPEWGIGAYFGARCEKHGVLVRVAGDNIMMSPPYILSLEEIDELIIKYGKALKDTENRVEELKSQKK >Solyc03g034150.2.1 pep chromosome:SL3.0:3:5877903:5879993:1 gene:Solyc03g034150.2 transcript:Solyc03g034150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHQLDDHQMVMISQYHPGINTQLALDHQGEVKPSRRRKKNKGETSNNGVVRKRKLSQEQVNLLEHSFGDAHRLDMKTKAKLASELGLDLQQVAVWFQNKRARWKNKKLEDEYSKLKSQHEITIIEKCRLETQILKMKEQLSEAKKEIQRLLLDTNCARVSSNNNSPITTSSSIISMEQPYILENFGMEGILMDNNVYFGC >Solyc09g089550.3.1 pep chromosome:SL3.0:9:69728203:69732732:-1 gene:Solyc09g089550.3 transcript:Solyc09g089550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPQRDFNIENQEEPKSKIVYLNYRRVPAVIFRKKYWDWNSMVAVCKLTMVGKFFIPKPKMTKIRASFHAKLSLKGVVKIRSYDSYHVFIDFTAEEDYQSVLLKERVVVAGAIMEVFQWTPEFHDQFFVLKLSVDSFCLSSKKREAFGVVELENTKKDEHIGGGNEYGTEESDSVACVPEVTHSDSEDRVASPIKCETSKLPSSVGISCSEFSGLSSAPYRITGRIPSVTYESSSMCSTELVPFRGTYLNHNNLKSYSREWNYGSKPTSEAADLACETLSQPLYALSIVERHENQKSRPPIEVQHSTYMGYPTLTFPRRYGESLVSDYKLTLLGNFSYKRPKMKEIRADFKAQNPLSGQVKIRNCSSRQVLILFSNEEDYYTVLYKKAIIVAGALMQISWSSPDFHHEVKQNIHPDFRLSDAKSVNWNTDTSKLHPSINGLLAPATDEKSMSLQVPAVSQCLSVPSICPPLPLLAHSVSVAGRLCADRLTTSGIYVPQSYPKGIVGIPIFGSNEQAGSGNTFPRPSQALNQQSLAQQHNQLNSYKPNMTVRIAQLQPPSTTSVSDITSSIRYRECLKNHAASMGGHALDGCGEFMPSGEEGTPGALKCAACNCHQNFHRKEIDDYQPMDDVGSHSRFSQPRNNSSSGSIQNQVLISLPTQQYHHDYSDSCSPRSLVDSLQPYTQPPSPTSGSVYSQQALERIQPSSIRSSYSYEMVNHNTMQNGKQREYFWSDSSRNTSRDHPSVRNENWNFDMDKPLNNRTPDHIPLEFPTYPSRCQPQTVFTDEFPHLDIINNLLHEEHETGRTLMSNSGSQRLNKGS >Solyc03g082395.1.1 pep chromosome:SL3.0:3:53766001:53779891:1 gene:Solyc03g082395.1 transcript:Solyc03g082395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDENGSLNRTDDSAVKNDSAAVDQNGAPRSTIPSDQNIQKMSVDHQPQKTSVQHKPQMGVFQQQKQSINGNGVMGQHDFQTQHQKMNGVDLRRNGGVVDEDEDGEGFKKEMRDLEEMLSKLNPMAEEFVPPSLSTNHGVVPISPGGEQFGIDAFNFVMQAGLNDGNFNRRKRNGYGLGRRRTNVRTSMAQREDVIRRTVYVSEIDQQVTEEQLATLFLTCGQVVDCRICGDPNSVLRFAFIEFTDEEGARTALSLAGTMLGFYPVRVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFEYFCGEVKRLRLLGDYHHTTRIGFVEFVMAESAIAALNCSGAILGSLPIRVSPSKTPVRPRVGDEVHGGEGFNREMKDLEEMLSKLNPMAEEFKRNGYGLGRRRMNVRTSMAQREEVIRRTVYVSDIDHQVTEEQLATLFLTCGQVVDCRICGDPNSVLRFAFIEFTDEEGARSALSLAGTMLGYYPVKVLPSKTAIAPVNPTFLPRSEDEREMCARTIYCTNIDKKVTQADVKLFFEYFCGEVKRLRLLGDYHHSTRIGFVEFVMAESAIAALNCSGAILGSLPIRVSPSKTPVRPRTPRSVVQ >Solyc07g054960.2.1 pep chromosome:SL3.0:7:63248165:63250134:-1 gene:Solyc07g054960.2 transcript:Solyc07g054960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPFIDKNGIKRGAWSEDEDNKLRAFIQKFGHPNWRQLPKYAGLMRCGKSCRLRWMNYLRPGLKKGNYSHEEEQLIIKLHNKLGNRWSEIAAKLPGRSDNDVKNHWHAHLKKRPRLTNTYSSTSEQFTESSQFDSQNHDQQSYEDGYNLSNTINGMDWIEENNNHIKTMEQLSSINNTLVEQSLPNNFQIETFDFNFWSEPLFDDFWTQSFFFQ >Solyc11g044910.2.1 pep chromosome:SL3.0:11:32265460:32274025:-1 gene:Solyc11g044910.2 transcript:Solyc11g044910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKYFILIIFVLAFAYSGESRQPFACDPANAGIRNLRFCKTSLPIHVRVQDLIARLTLQEKIRLLVNNAAPVQRLGISGYEWWSEALHGVSNTGYGVKFGGAFPGATSFPQVITTAASFNASLWEEIGRVVSEEGRAMYNGGAAGLTFWSPNVNIFRDPRWGRGQETPGEDPHLVAQYGVSYVKGLQGGGGRGNTRLKVAACCKHYTAYDLDDWNGYDRYHFNAKVSMQDLEDTYNVPFKACVVEGNVASVMCSYNQINGKPSCADPTLLRDTIRNQWHLNGYIVSDCDSVGVLFEKQHYTRYPEDAAAITIKAGLDLDCGPFLAIHTDKAVRTGKVSQVEINNALANTITVQMRLGMFDGPNGPYANLGPKDVCSPAHQQLALQAAREGIVLLKNIGQALPLSTKRHRTVAVIGPNSDATLAMIGNYAGVPCGYISPLQGISRYARTIHQQGCMGVACPGNQNFGLAEVAARHADATVLVMGLDQSIEAEAKDRVTLLLPGHQQDLISRVAMASKGPVVLVLMSGGPIDVTFAKNDPRVSSIVWVGYPGQAGGAAIADVLFGATNPGGKLPMTWYPQDYVAKVSMANMDMRANPSKGYPGRTYRFYKGPTVFPFGAGISYTTFSQHLVSAPITVSVPTLHSHDLVSNNTTTLMKAKATVRTIHTNCESLDIDMHIDVKNTGDMDGTHAVLIFSTPPDPTETKQLVAFEKVHVVAGAKQRVKINMNACKHLSVADEYGVRRIYMGEHKIHVGDHLKHSITFQPSLEEIKL >Solyc06g030490.3.1 pep chromosome:SL3.0:6:18924281:18933856:1 gene:Solyc06g030490.3 transcript:Solyc06g030490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4C4H2] MDPAAVDRIIEKLLEVRLSKPGKLVQLSESEIKQLCVSSRDIFVKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPKANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKSFTDCFNCLPVAALIDEKILCMHGGLSPDLSSLDQIRNLPRPTAIPDTGLLCDLLWSDPGKDVKGWGMNDRGVSYTFGPDKVSEFLSKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMGVDENLMCSFQILKPAEKKNKFMM >Solyc04g008760.2.1 pep chromosome:SL3.0:4:2413012:2414145:1 gene:Solyc04g008760.2 transcript:Solyc04g008760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITFQSPMKLSFITSNGFSNPPSLYPINTHFSFGFNLSSSFAPATVANLGPGFDFLGCAVDGVGDFVTLRVDPNVKAGEVSISDISGAGNRLSKDPLSNCAGIAAISVMKMLNIQSVGLSISLEKGLPLGSGLGSSAASAAAAAVAVNEIFGRKLSVDDLVLAGLESETKVSGYHADNIAPSIMGGFVLIRSYDPLELIPLKFPFEKDLFFVLVNPEFEAPTKKMRAVLPSEVTMSHHIWNCSQAGALVAAILQGDSRGLGKALSSDKIVEPRRGPLIPGMEGVKKAALKAGAFGCTISGAGPTLVAVTDDEERGREIGERMVEAFMKEGNLKALAMVKKLDRVGARLVSSNSR >Solyc02g094290.1.1.1 pep chromosome:SL3.0:2:55506326:55506667:1 gene:Solyc02g094290.1 transcript:Solyc02g094290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQNTNNTMEMKDFQIGIAEKDEAKKHQLAPKRKSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQKAEPSIIAATGHGTIQASLYRRLDPLFRNRE >Solyc07g052360.2.1 pep chromosome:SL3.0:7:61001171:61005292:1 gene:Solyc07g052360.2 transcript:Solyc07g052360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLLFFKQSNQFKLKLWHVRILHSKARARPLLLHTFTYSFSGIHKSQESDLLSTTHNHASPDITPSKAMLPLQAPSPFMPFTYTKMPKLSGHCAFNFSAADSILRTTATDCWSTLAPYLANVVCCPQFDASLVVLVGQASSQSQSLALNVTHARHCLSDVKQILESQGASEELLEICSVDPSNLTESSCPVIEVNEIENSLDTSSLLASCGKIDPTIECCNQVCQNAISAAAEVLAFRHKNVTTSNGAPNLSEKSINDCKSIILRWMASKFDPSSANRIIRVLSSCDINKSCPLVFPDIKNISKECGEETSNQTSCCNTLNSYLSRLQQQSFITNLQALNCATLLGKKLQEANITSNIFDICHVNLKDFSLQGQFLCSIFSFCNYFLLYLLVIDIVWVFNLFLLREPWIHLRHTDASVDEKESGCLFPSLPTDLTYDQTSGIGFICDLNDNVAAPWSSSYSNSASTCNKTAALPELPKATSSQLSKGIYMKDLVYVLLFASSMTIQLLI >Solyc08g007120.3.1 pep chromosome:SL3.0:8:1693601:1695139:1 gene:Solyc08g007120.3 transcript:Solyc08g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLFLNRSVQEGKSQIGNNYSSLLQLQTQQQQQQQSGVFGEESFLNPTQMFNPRVEANSRKRGREHTLATENPLMSIESQHQLINLAQFHNNNSSQINIVSTGLQLAFGDQLHQQQHSVSHLSLHHQSSNDFLASHSKQQHHEIDHFLQLQGEQLRRTLEEKRKRHYHALIGAMEESAARRLKEKETEVEKAGRRNTELEARASQLTAEARAWQVKAWEQEVTAATLQAQLQQAMVNGGGMSTVEGDGGGGEAEDAESVYVDPDRVVESTGRPSCKGCRKRFASVVLLPCRHLCLCIECDVVAQSCPLCRSIRTSSVEVFLC >Solyc08g016380.1.1.1 pep chromosome:SL3.0:8:7414879:7415133:1 gene:Solyc08g016380.1 transcript:Solyc08g016380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYIAITHIVKEEDFLVGNLGRRFLGRSTVLRDLFKNCGEFWLGILSKFLMVLSIRKTRTEEGWEFKRRVSKTTERAIMHSRF >Solyc06g042954.1.1 pep chromosome:SL3.0:6:30682065:30693109:1 gene:Solyc06g042954.1 transcript:Solyc06g042954.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKIQLMQGLAQAESKREMIENIAIYFYNADDWKMCFSVKAHMEKLNDLKQTLSEAHEKISYYEPKVENINTVEETDAYKQYLLGATKQIQRSKEPVGDGRFEETDWMIVER >Solyc05g045890.1.1 pep chromosome:SL3.0:5:58460442:58461737:-1 gene:Solyc05g045890.1 transcript:Solyc05g045890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNIKNRAIPLYTVHDNFISTIDFSHAMGESYIKILLNSPHPMEYINSFLGINLFDEARTPSGQCHIDLDGCFMYLLFKYAYPKDSEYGKFTIHYSMETTSGIITYALAQAITIRVGGVNQPIRDIVDEYVREKAQAYGDVVITDIFIRIYYDQNHSSVDMNISNDQISRLIAESVERRSFIVVDTETIPVESDNDQIEKVHMPYAMGFVVVKPGSALSKKQVGSIETYFCEKYLIFL >Solyc10g053990.1.1 pep chromosome:SL3.0:10:54273374:54273975:-1 gene:Solyc10g053990.1 transcript:Solyc10g053990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVRLMFSLIRCPKKFNMLAFEIDSIKFRVSFFIFFSDLRFC >Solyc01g107950.2.1 pep chromosome:SL3.0:1:95225047:95229583:1 gene:Solyc01g107950.2 transcript:Solyc01g107950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDGENNHGLPWETNDFWSYLNDNQVGSEETFDGDKVPGPTKSDICQQLTIVNEVVEVTPAVGKKRSPPNRKSNGKGIAEPNLDVGGAEGKRESEHEIHIWTERERRKKMRTLFETLHALVPNLPAKADKSTIVYEAVNHIVKLQNTFKKLKSQKLEKLEEYNIGLAGSQKVYNSWEKYVVDQGSTCNSTAITPTNHGASPLIPTGFMTWSSPNVILNVCGEDAHISVCCPKKSGLFTIICYVLEKHKIDIVSAQISCDQFRSMFMIQAHAKSGRDVAQFSEAFTVEERLKQAATEIMALATSK >Solyc01g011335.1.1 pep chromosome:SL3.0:1:8615589:8618732:-1 gene:Solyc01g011335.1 transcript:Solyc01g011335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVLDFLVKRVQLCWLIRYYTENQSDVEENVRDDTDRRAFSSSIVVDQEQDLTYPFYAFEKVVGGALGTGFTQFTRPVFQRCRYFALFYNLQTHLVRPQKKRNPDVDFLSFLSFAQSESEKPQSSKSPGRMSKPRPIVPKMYLRMRFRLR >Solyc06g066760.3.1 pep chromosome:SL3.0:6:42053784:42058772:-1 gene:Solyc06g066760.3 transcript:Solyc06g066760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEEEKRGELENGEVEMAGVVEKAEKSSPADKVVYSGGSSGKNAIVVLDVRRVMVGVGARALFYPTLLYNVVRNKIQVEFRWWDWIDEFVLLGAVPFPSDVKRLKELGVSGVVTLNEPYETLVPTSLYEAHGIRHLVLPTRDYLFAPSLNNICQAVEFIHENASNGQSTYVHCKAGRGRSTTIVLCYLVKYKQMTPNDAYNYVKSIRPRVLLASSQRQAVQDFYHIMVKKTHSSNPLTSMISLNSRFLARRNLLAFDDGAVVVITETDLDGYDSSLNSRVAGSEIWADLNLVYRVRVAGGAALARLSCMWLSCHTDQKILNQKPTAKSKQLESFTVDIHVFS >Solyc09g014430.1.1.1 pep chromosome:SL3.0:9:6049594:6049800:1 gene:Solyc09g014430.1 transcript:Solyc09g014430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARSKVHLRKSRKWSNTTHPEATGRYSVPVTSRPYFRTKREKDHKAHRHKLLENKNCDGKDEGWNYN >Solyc11g071660.1.1.1 pep chromosome:SL3.0:11:55365419:55367026:-1 gene:Solyc11g071660.1 transcript:Solyc11g071660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSSAVEIPDDRHRSHRNGDGGRYSPQRSSHYDNRRRYRSPSRSRSPIRNRNRNRSLSRSVSGSRSRSPAYSPYRSQQASHTNGRSNRRSFSPDRSYRRPSPTNEPPRRFGRGKKPYLDRDHKSGRTADSDSDEELKGLSFEEYRRLKRQKLRKQLKNCIWNCTPSPPRKENEPEYEEPDEIAEKIEDEMVKKEDGSKKDVKRSKTKSSGSEPDSESESDASDSDSEASDSEPRKSRKRKKSSSKRRSRRSRRKSVSESEEESTDDSSSEEERNKRRKKSRRKESSRRHKRGSSSRKKRSKRKSYSSESESKSKSESEDGEIDDSDASKKQKSALKSKRKKEGDSDIPEKSLELSDDAGINETKADEAMIDEVNGEVLEFKELIEARKRSNFEDEAPVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEISKFEGLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREQKVMADLQRLVQRHIGQDTETSHDPFGGKSTEAADD >Solyc02g021630.1.1 pep chromosome:SL3.0:2:23804647:23805953:1 gene:Solyc02g021630.1 transcript:Solyc02g021630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQNWFRVISSSLAHLQSSQGYYDITVCCAQNSVEISHHGFL >Solyc01g015050.1.1.1 pep chromosome:SL3.0:1:16662684:16662968:1 gene:Solyc01g015050.1 transcript:Solyc01g015050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSGKRGSTDSEFEEMKHKYHGDLRNGSARLIKVVDKPINGVEEPLRRETQNAKNRVAKNNLMVFPWMGIVADIPVEYQGGKCVGKNGTYL >Solyc04g074170.2.1 pep chromosome:SL3.0:4:60247905:60249498:1 gene:Solyc04g074170.2 transcript:Solyc04g074170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQILVDYIDKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEEQTILHLHSILGNKWSAIATHLSGRTDNEIKNFWNTHLKKKLIQMGYDPMTHRPRTDLFANLPNIIALANLLQHHPLEDHAGSKTS >Solyc04g076480.3.1 pep chromosome:SL3.0:4:61426375:61435893:-1 gene:Solyc04g076480.3 transcript:Solyc04g076480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRTTYFFPRQFPDRGLDASAKFVNDHEKEKEKKISDVEDRKSSSKERDVVASKQLISDVKETDNNNDDATFSYGNRDKIHGKQLAAFVNWLTEKNKKGKSIRNHVKIKLDDGDTEDEHELLLPVPPEAVPIHELQVDCHVAPLEQKQQGTFDRKASLQRLSSSGSNYSCVGKQFERQTSLQRLSSWGSTSYAGSLFSGTTVDGNWPSTGVKDTQTSTTREVEEEVVGQDAEERVDNEDTLIQKSKESYYLQLTLAKKLVEQAMLASGEPILLQECKNIKGLGGSSDAQTVSYRLWVSGSLSYADKISDGFYNILGMNPYLWVMCNATEDGKQIPSLMALKGIEPSETSMEVVLIDRRGDSMLRELEDKAQEIYFAAENTLVLAENLGKLVAVYMGGSFPVEQGDLHQRWQAVSKRLQDLQKCIVLPIGSFSSGLCRHRAILFKKLADYVGLPCRIARGCKYCVADHRSSCLVIIEDDRRLSREFVVDLVGDPGNVHGPDSSINGGVLSRVPSPLQVSHLTEFQQPYMDSDISNQLLHSNDTFAAPENALHTDPHVESKHVKGIVVSDKPKFPNDPLYQPYQALEAKPCEVLVAAETAGDENSRPREDKIIIRQTYKKEVVLSKNSPLQSGRPPKSTLIGKMDVMEPGGRTGNREKHPTTTNPRYLHLEPFLAMDWLEISWDELHIKERVGAGSFGTVHRAEWNGSDVAVKLLTVQDFHDDQLKEFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGELLDQRRRIRMALDVAKGINYLHCLSPPIVHWDLKSPNLLVDKNWNVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWNGLSPAQVVGAVAFQNRRLTVPQNTSPMLASLMEACWNDDPVQRPSFASIVDTLKKLLKSPLQLIQMGGTMKS >Solyc10g074463.1.1 pep chromosome:SL3.0:10:58049578:58052514:-1 gene:Solyc10g074463.1 transcript:Solyc10g074463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYNQILRLLNKPQLNETYANANMTGILAMSFSLIHTHPTDSQWIVDSGSTNHMVNDNSFFNTGLTVTRIGKIQIPTGESAMITHSGKYADDTVLMSIFHPTKIAEEFPVARLGGCDIALLIDSIGTETVVEAARFIDPVGQLPVRRTSTRVSRPPIWQKISSQNHVPTSSKRLMDNAKQVLKSNFKIKDLGDLKYFLGIEFARNSEGKLMHQRKYAMELISDSGMSGSKPCDTPVEVNQKLTTSEFDDHFKLDNGNVLLDPGEYQRLVGRLLYLTITMPYIAFAVQSLTQFMHAPKSSHMEAALRVVRYVKQAPGFGILMFAKPTNTLQGFCDADWGSCINSRRFITGYMIMFGN >Solyc09g015670.3.1 pep chromosome:SL3.0:9:10672732:10679300:-1 gene:Solyc09g015670.3 transcript:Solyc09g015670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFLVCILFVMCGCCMGRFVVEKNSLRVTSPDSIKEVYECAIGNFGVPQYGGTLVGNVMYPKSNKKSCNNFSDFDIFYKSKPGGRPVFLLVDRGDCFFTLKAWNAQQAGAGAILVADNRVEPLITMDTPEEEDAKADYLQDITIPSALISKSLGDSIKRELSKGELVNINLDWREALPHPDDRVEYEFWTNSNDECGPKCESQREFVKNFKGAAQILEQKGYTQFSPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVLQNLRQACFFKVANDSGKPWLWWDYVTDFAIRCPMKEKKYTKGCADQVIKSLGFDVKQIEKCVGDPEADTDNPVLKVEQDIQIGKGARGDVTILPTLVINNRQYRGKLDKGAVLKAICSGFEETTEPAICLTEEIETNECLESNGGCWQDEAANITACQDTFRGRVCECPVVQGVKFVGDGYTHCEPSGALRCEINNGGCWKGTQNSRAYSACIDDHTKGCKCPPGFKGDGVNSCEDIDECKEKLACQCAECKCKNTWGSYDCSCSANLLYMHEHDTCISKDAKSEFSWGLVWTIILGLAVAGVSAYAVYKYRIRRYMDSEIRAIMAQYMPLGQGEGATNVPHGNV >Solyc03g115390.3.1 pep chromosome:SL3.0:3:66590881:66608247:-1 gene:Solyc03g115390.3 transcript:Solyc03g115390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKDEEDDRRVRRRTSQDQDDSDNSESEEEILRDQREREELERHIRERDAAGTRKLAEPKLTRKEEEEAIRRADALEQDDIGSLRKVSRREYLKKREQKKLEELRYKKEIYELVKKRSEDTGDMDEYRIPDAYDLEGGVNQEKRFSVASQRYRDPDAAEKMNPFAEQEAWEEHQIGKANLKFGSKDRKSRSDDYQFVFEDQIEFIKAAVMDGVNVDQEPSTDSIEKTMANSAFEKLQEDRKTLPMYPYRDDLLQAVNDHQVLVIVGETGSGKTTQIPQYLHEAGYTKRGKASPIALAASEEPQEGEYRRQNICLSQGHVSQEMNIWVLGTFLPIGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSEKTILKYMTDGMLLREFLGEPDLASYSVIMVDEAHERTLSTDILFGLDISRFRPDLKLLISSATLDAEKFSDYFDCAPIFKIPGRRFPVEIHYTKAPEADYLDAAVVTALQIHVTQPPGDGDILIFLTGQEEIETAEEIIKHRIKGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFSKMKSYNPRTGMESLLVAPISKASANQRAGRSGRTGPGKCFRLYTAYNYMNDLEDNTVPEIQRTNLANVVLSLKSLGIHDLLNFDFMDPPPAEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKYKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHVGNVGDHIALLKVRFLMITTLFWHIIFLVYSSWRETNFSTQWCYENYIQVRSMKRARDIRDQLEGLLERVEIELTSNDNDYEAIKKAITSGFFPHSAKLQKNGSYRTIKHPQTVNVHPSSGLAQVLPRWVVYHELVLTTKEYMRQVVHLFPLIFLFLISFSVTLAVMIHRDFNWGLSWQVTELKPDWLVEIAPHYYQLKDVEDSSSKKMPRGTGRAS >Solyc11g012200.2.1 pep chromosome:SL3.0:11:5116250:5117680:1 gene:Solyc11g012200.2 transcript:Solyc11g012200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEIHNFIVVWVIVLASLCYSHTIAKFIPKGKSRFVATFPIVCLFYILPLYFTSINLGATTSFFITGLATFKLILFAFGKGPLSSTSPLPLSTFIPLACLPIKLKKSSKTKDVETTKKATNSTFNLVTKIAILAILIRVYNYKENLHPKFILFCYCLHIYFMLEIMLNIVSIMVRVVSRVELEPAINNPHLASSLQDFWGKRWNLMVTNILRLTIYDHVRLVIVDQIPRKWAPIPAVLATFFVSGLMHELFFYYIGRLKPSGEAMTFFLIHGVALSVEIVVKKILNGKFLVPRIISGPLTLAFIIFTSFWLFFPPFLRGNTELKLCNEYIAFFKFISFVT >Solyc06g011610.1.1 pep chromosome:SL3.0:6:8496604:8501380:-1 gene:Solyc06g011610.1 transcript:Solyc06g011610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLIIHDYISILAELLPLKNVLLISTLNLLPLKNMTISFLSEFVTGTDDFTIRVRICRMWNTINLKKNGELISMDMIFIDEKMLLVVYIELVISRVLVQNGRRGISTFLLINRTGDTTFILFNAVAEKLLDTSAHKLFDKLTTANNDVPVQVQRLCGKEFVFKLRLNHYNLKEGLENFTISKLWILDDNLEVQYKLRKGEKGKNLSKNEIDPKGQGTNGLTKHAFLLIVLRIMLILPRLDHLIIPLKTLQSNSTTKDGKPEKPEDKAEKDEWINVVRETRKELSRQISPSSLRNIIYTIGLLSLIMKVSALFANVITALTVPLIPVLALIFFNEKMSGVKVISMILAIWGFLCFF >Solyc12g013610.2.1.1 pep chromosome:SL3.0:12:4452608:4453318:1 gene:Solyc12g013610.2 transcript:Solyc12g013610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFNFDSTCTSPYISAPSSPQHFGTTFFFSAPTSPTRISALHSESNVADHDHDNDNDDFAFEFSGYEIMEKSTIAADELFDGGKIKLKQVMVPIDSPKMKKNDKDSVNSEPNSSMRVSGVLLINENAEKTESSVSSLWKRRWKLKDLLLFRSASESRASSNTIEMSKYALVKKVREEEGSSSSLRIRKMESNSAHELHYKMKKEVLKDMKKKTFLPYKQSVLSWHGCYGLDDTITM >Solyc06g054590.3.1 pep chromosome:SL3.0:6:37438609:37440882:1 gene:Solyc06g054590.3 transcript:Solyc06g054590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSWKPGINPTTGVTLLDLPPNGPTMATQEKSEVIEPPTTVDKQSESYSYTTWAGKIQEQYQKVKENAETYPYVWGSYTVVYGGLGLWFAYRWRRLRKTEDRVRVLQDRLRKLVQAEESTSSSVTKAPSSSDKSTR >Solyc04g079470.3.1 pep chromosome:SL3.0:4:64032976:64039022:-1 gene:Solyc04g079470.3 transcript:Solyc04g079470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLTLPFIGGLTEMVDVDEPLAVSQVFHKSFIEVNEEGTEAAAVTAMMLEGAGCSMNVEKEIDFIADHPFLFLVKDETAGAVLFMGTLLNPLAHVFSKEIKGDTNLVFSPLSIQIVLGLIAAGSKGPTKDQLLCFLKSKSIDELNSLYSHLVNIVFVDGSPNGGPRLSVANSVWIDQTLPFKPSFKKVVDNIYKAASNSVDFQNKATEVANHVNHWAKMKTNDLIKEILPHGTVNNMTRLIFANALYFKGVWNDKFNASETKDYEFHLLRGGSIKAPFMTSNKKQYAVAFDGFKVLVLHYKQGRDTTRHFCMYLFLPDARDGLPALVDKINSEPGFLNHHVPFEKTKMRKLLIPKFKTTFGFEASKVLKGLGVTSPFTSGGLTEMVDSALGGRLFVSQIFHKSFIEVNEEGTEAAAVTASVVMTKSLIIEKEIDFVADHPFLFLIRDDVTGVVLFIGSVLNPLAESITSQTDVSFMLAKHVFSNAVKGDTNLVLSPLSIQIVLGLIAAGSNGPTQNQLLSFLKSSSIDELNSLYSHISSFVFADGSPNGGPRLSVANGIWIDQTLPLRPSFKQVVDNVYKAASEYVDFQNKAAEVVDQVNQWTKMKTNGLIEEILDRDAVDNMTRLILANALYFKGEWNEKFDASETKDHEFHLLDALPIRVPFMTSKKKQYIAGFNGFKVLKFPYKQGTDTRCFSMYFILPDAHDGLPALFDKISTEPGFLTHHVPFRKVRVGKFLIPKFKITFEFEASDILKGLGLTLPFCGGGLTEMVDSTLPENPSVSKVFHKSFIEINEEGTEAAAVTAGVIMTTSLRIEKEIDFVADHPFLFLIRDDATGVVLFIGSTDISFVLVNHVFSNAVKGDTNLVLSPISMQIVLGLIAAGSNGPTQDQLLCFLKSNSIDELNSLYSHISSFVFVDGSPNGGPRLSVANGIWIDQRLPLKPSFKQVMDNAYKAASEYVDFQNKNTNDCNY >Solyc12g010745.1.1 pep chromosome:SL3.0:12:3664161:3669495:1 gene:Solyc12g010745.1 transcript:Solyc12g010745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNIGLMSDMDMNPKLALRINESSMRKDGNLFNSSIAPSTPPTSSTAPPISGSPTSAQTPSSQSKPKTQTDGPSASAESSSTGKKKSLSVKRVIWISIAAVLSFIILVLAILLCLPKCLRKWHETQRTPKHHEIAPYIGARENPGDSGSLVQPGHDIEKAPLVVPPNEKQPRRPAPVPKPQDEQQVNVQTMTAVPKKDDKRVIVEPIAPAKDTATRLPTRPLPLTSVKSYTIASLQQYTNSFSQDNLLGSGMLGSVYRVELPNGKLLAVKKLDKRVCDQQTDDEFLDLVNNIDRIRHANVVELMGYCAEHGQRLLVYEYCCNGTLQDALHCDDEFKRQLSWNTRMRMALGAARALEYLHEVCEPPIIHRNFKSANLLLDEELAVHVSDCGLASLISSGAVSQLSGQLLTTYGYGAPEFESGIYTSQSDVYSFGVVMLELITGRMSYDRTRSRGEQFLVRWAIPQLHDIDLLTRMVDPALDGKYPIKSLSHFADIISRCVQHEREFRPPMSEVVQDLIQMIRRESHRRSDGE >Solyc11g012330.2.1 pep chromosome:SL3.0:11:5182740:5197527:-1 gene:Solyc11g012330.2 transcript:Solyc11g012330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSININNTDTNKRKRDVNIAKDQLNDLSSQIVELCKNKDNSVEPRSVSDHIQSMVMNFLSSLPNDFDQAVEHLELIISSSVPKDLVMLYLNQNYRMIAYTSIREFISSFKNDPLKPIYAFIALKFCKILRENVKTDDGLYRICRSSLGAMIEFTGIARCKYEQKKLVSLNSVFPFLMEISAELSLDLESTMGTSGFEGLSFTLVRDFSAFLLPVWNVLWSMDNVTYEEKFFEKIDLPLYEMFYDLLAKVTLSLRLLDSKKVKKDIVVPWWSLYLDILNDLQSISKLYIYMEDDFWQNMKQVKGSLCYLITNFAEKSEHYEWIFEHKEVTNFYIRRQLAMMMLPEVEDNVEDKYNMLIDRSKLIVDSFDYITKLKYLPGSFFVQFKEEQAIGPGVLREWFLLACQEIFNPNNALFVACPDDNRSFFPNQASGVNPLHLEYFQFSGRMIALALAYDVQIGVAFDRAFFLQLAGYDVSLEEIRDTDPLLYRSCKDILHMDADTVDGDMLGLTFVCEFESLGLRREIELCPNGKDIVVDSKNREAYVDLLIQHRFVTSIEDQVAYFSKGFSDVITKSEFFFRCLSLEDFNLILGGRIDISVEDWRAHTDYNGYDEESDVQISWFWKIVETMSVEQKKMLLFFWTSMKSLPFDGFGGLDSKLSIHKTLEPDDHLPSSHTCFYQLCLPVYQSMTDMKDRLMIITQRDIASSFGTL >Solyc05g012380.3.1 pep chromosome:SL3.0:5:5639441:5642488:-1 gene:Solyc05g012380.3 transcript:Solyc05g012380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFVLSLILLLALTGYSSGANYCVCKDGFADTLLQHNIDYACGNGADCTGILQNGPCYNPNTIKDHCSYAVNSYYQRKASTGATCDFSGTASLTSTPPASSSSACYQTTGGSTGGTTTPNAPGTTTNPGIGTGTSTGTGIGTGTGTGTNTGINNPTFGMGPTGSGGFNPDGSGTEALHQNRVFITMALLFTSSFLMVCLRI >Solyc05g006050.3.1 pep chromosome:SL3.0:5:786227:789498:-1 gene:Solyc05g006050.3 transcript:Solyc05g006050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSYSDCFSDLLCGEDSDTVFSNGRGEDLPECSSSDIESQFADIDESIAGLIEDEQNFVPGFDYIEKFQSQSLSAAARDESVAWILKVQRHYAFQPLTAYLAVNYFDRFLYLRSLPQTNGWPLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPKTIQRMEFLVLRILDWRLRSITPFSFLSFFAAKLDSLGTFTAFLISRASQIILSNIQEASFLEYWPSCIAAATILCAANDLPNFSLVNAEHAESWCHGLRKDKIVGCYELVQKYAIALRPRRFPRVYPQVRVMTRASTTTTTAVASSDCSSSSSSSSTSYKRRKLNNRWWSTTDEDS >Solyc12g011450.2.1 pep chromosome:SL3.0:12:4262294:4264181:1 gene:Solyc12g011450.2 transcript:Solyc12g011450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAB13 description:Chlorophyll a-b binding protein 13, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P27489] MASMAATASSTTVVKATPFLGQTKNANPLRDVVAMGSARFTMSNDLWYGPDRVKYLGPFSAQTPSYLNGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCIFPEVLEKWVKVDFKEPVWFKAGSQIFSDGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLADDPTTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGA >Solyc11g045155.1.1.1 pep chromosome:SL3.0:11:31833617:31833661:-1 gene:Solyc11g045155.1 transcript:Solyc11g045155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVGNSRSFVLLYDV >Solyc09g089890.1.1.1 pep chromosome:SL3.0:9:69980887:69981936:-1 gene:Solyc09g089890.1 transcript:Solyc09g089890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVRLKYFESFSHMKNHTISPPIFGSPIHSSSNHAGFPIIAVAIIGILATCVLLVSYYIFVIKCCLNWHRIDLLRRFSISRNRRVEDPLMIYSPAVENRGLDESVIRSIPVFKYKKREENSRIHSECVVCLNEFQENEKLRVIPNCAHIFHIDCIDVWLQNNANCPLCRNSISSCTTISNTKLLLDPIIAPSSTPYQDPNLENFRDDDYVVIEISNNNQERLMMNNNTGETTGHFSPRKKEQQKVSSRKCNKFRHVTSMGDECINMRKKDDEFDSIQPIRRSFSMDSATDRQLYVAVQEIIMQQQRQVSDVSPFESSSSSSSRVKRSFFSFGHGRGLRNVVLPIQLES >Solyc06g050400.2.1 pep chromosome:SL3.0:6:33088998:33089364:1 gene:Solyc06g050400.2 transcript:Solyc06g050400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELTGGYIIKYHAKGLESDPIEIDFTPPFRRIDMVEEVEKIANLNIPKDLSSDETNKYLIDACAKFEIRCAPSLTTTRLLDK >Solyc01g057185.1.1 pep chromosome:SL3.0:1:59528294:59533613:1 gene:Solyc01g057185.1 transcript:Solyc01g057185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPVGPSGPLALSLLSLNTALFNFSSLGIDPISAFPPLVELPVELTKKRDQETQSDESKDAEELASNEPYTIVKGRDKRRIRKPERLIEKENMIAQAFMSGNSMIYLLLYIDDMLIAANNITEINILKKLLSKEFDMKDLGVAKKILGMEISREDGVVHLSQKRYIQKVLERFNMDMSKPVSTPLASHFKLSELQMPQSMDDVEHMSKVPDMSAVGSIMYAMVCTRADVAQSVSVVSKYMANTGKRHWEAVKWILRYLKGAPDVGLTFRKSEGISILGYVDSDYAGDLDRRRSTT >Solyc00g007450.1.1 pep chromosome:SL3.0:2:32301961:32302830:1 gene:Solyc00g007450.1 transcript:Solyc00g007450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIEAVFPNKFAIPPNSYNLSNLEQGYDDSQTQIVRTISIEEEAPLHDLTVLIATVVAALQEQGSLIDANLFVRLLLNRMNERGMATNVVSLNAAHAESAKSVPLTMTKPNIREREISELVSRYGPITLQPELTLSPNVGPSSKMNTHKDINNYCNSLIKQRGEKTESMVDESLQKPISCSRTPCIFFNKPKGCRKGFSCHFLHDISGKKRFGKTSADRDSKRLK >Solyc02g071590.2.1 pep chromosome:SL3.0:2:41555230:41559397:-1 gene:Solyc02g071590.2 transcript:Solyc02g071590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAIKYFHIRAAAHAEAIVAARLPISRGFNATLTEPVDAQGRRIDQLKEMELKLHPDLKEPLRTLCNDPKTTIVVLSGSDRNVLDENFGEYRMWLAAEHGMFLRQTGGNWMTTMPENLSMDWVDSVKHVFEYFTERTPRSHFELRETSLVWNYKYTDIEFGKLQSKDLLQHLWTGPISNASVEVVQGSRSVEVRAVGVTKGAAIDRILGEIVHNNDVKTPIDYVLCIGHFLAKCPDVCRNLRHYYEISLLLNIFLDGSFEQLRNIKEGIAKLKLKAEVMLQNGNTVTDLSQVQAPTMRTKIASHLNRSTANHPAGKSGHRPASCKVGQQASTIDKKAGSNENANWWSLFRDRMTVHEGSSVLDLKADNYFSCAVGRSCSLARYLLGSSADVVSLLIDMANNSSC >Solyc07g038110.2.1 pep chromosome:SL3.0:7:45460426:45461912:-1 gene:Solyc07g038110.2 transcript:Solyc07g038110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEMLSLPTLSGSSSVKWVKDLLLAQKQPFTWYKATFDAPEGNDPLASDMGSMGKGQIWINGEGIGRHWPGYIASGDCGECNYAGLYSETVSDQLRDAFSKMVSCPATMVETKRESVEWGGEPEGIALARRSTTRVCADIVEGQQNLKSWQMATPGKSNTHLQCSDELKISDIQFASYGLPHGTCGNYYKGSCHANKSNDAFEKNCIRQQSCSLNVVPGVFGVDPCPNTSKKLAVEAMCI >Solyc10g028540.2.1.1 pep chromosome:SL3.0:10:15117125:15117529:-1 gene:Solyc10g028540.2 transcript:Solyc10g028540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSIGVGRHFHVSTITLLLQDYIGGLDVKKYETNVWIHIPLVKGALVINIGDTLQIMRNDKYKSVEHCVIANGSNNRVSVPIFLHPKATRVIGPLKKVLRNREKPIYKQILYADYTSIFFNKGHDEKDTIELAMI >Solyc09g075410.3.1 pep chromosome:SL3.0:9:67547879:67550224:1 gene:Solyc09g075410.3 transcript:Solyc09g075410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKNLPVFCIVLSIVAAATIASANNNYENSYGNDAPKTYKKDVHTKGLVPEANIIAVQGMIYCKSGSKHIPLKGAVARITCLGTEKHGHETAPFSFSSYQSDAKGYYYAVFSLNELKEYDQSCTITQCKAFLESSSLEECDVPTDENNGITGAILTSYRLLNEYAEKKTVLYSVAPFVYTSEDDGDDDADYTKSNYYRREGGY >Solyc06g065260.3.1 pep chromosome:SL3.0:6:40814889:40821873:1 gene:Solyc06g065260.3 transcript:Solyc06g065260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIQKLQNSLSILAVFLFLNFFVQPCKSLTSETEALLHFKEQLNDPLNYLDSWKDSESPCKFYGITCDKNTGLVIEISLDNKSLSGVISPSIFSLKSLTSLVLPSNALSGKLPSEVTNCTSLRVLNVTVNNMNGTIPDLSKLTNLEVLDLSINYFSGEFPSWVGNMTGLVALGLGDNDFVECKIPETLGNLKKVYWLYLAGSNLTGEIPESIFEMEALGTLDISRNQISGNFSKSVSKLKKLWKIELFQNKLTGELPVELAELSLLQEFDISSNHMYGKLPPEIGNLKKLTVFHVFMNNFSGEIPPGFGDMQHLNGFSVYRNNFSGAFPANLGRFSPLNSIDISENKFTGGFPKYLCQNGNLQFLLAIENSFSGEFPSTYSSCKPLQRLRVSKNQLSGKIPSDVWGLPNVLMVDFSDNEFSGTMSPEIGAATSLNQLVLSNNRFSGELPKELGKLTQLERLYLDNNNFSGAIPSELGKLKQISSLHLEKNSFSGTIPSELGEFSRLADLNLASNLLTGSIPNSLSIMTSLNSLNLSHNRLTGTIPTSLDNLKLSSLDLSNNQLSGEVSLDLLTLGGDKALAGNKGLCIDQSIRFSINSGLDSCGGKAAKHKLNKLVVSCIVLLSLAVLMGGLLLVSYLNYKHSHDIDDEEKLEQAKGTNAKWKLESFHPVEFDADEVCDFDEDNLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGIGVKVLTREMEILGKIRHRNIVKLYASLMKEGSNILVFEYLPNGNLFEALHREIKAGKPELDWYQRYKIALGAAKGIAYLHHDCCPPIIHRDIKSTNILLDEYYEAKVSDFGVAKVSEISSRGSEFSCFAGTHGYMAPEIAYTLRVTEKNDIYSFGVVLLELVTGRKPIEEAYGEGKDLVYWTSTHLNDKESINKVLDQKVVSDLVQDEMIKVLRIATLCTTKLPNLRPSMKEVVNMLVDAEPLTFRSSSKSEKKGNNFSEV >Solyc02g014775.1.1 pep chromosome:SL3.0:2:18996008:19008989:1 gene:Solyc02g014775.1 transcript:Solyc02g014775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLRIDIFPMPFMDHMLDRLAGKGLYFFVMDIRDIQTNKYWLRIGPEWRRKAGMPIGVKYIEFTR >Solyc07g062685.1.1 pep chromosome:SL3.0:7:65507635:65510068:1 gene:Solyc07g062685.1 transcript:Solyc07g062685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAIMTTEEHNNSSNSGSKKLKQKRVPQRGLGVAQLERIRLEEQQIKDEILPKKPIVKSQDSTFRALKSSPVLKNCDFRLNSSTPFVDNLSPKKGLESTKQVNFSSEKDHQDEKVYHHGVVIQSPIFALPQYQQPVCSSSMVNISSGISSSSVKNYQMEPPSNQSYPGYNYLPLWPEEVKRVGIKRPYPFPPEFPPVPTFNCKFPPRYESPVCTANSESRTYLKREVPLKSRTLPDAKSRNVVRQKRALNGDFLTLAPPTAVGAVNQQHPQNIELFKFETLPFQEVPEESSTRSSLNRSVQQRVFRFFPPPNVQLGQPGNSHGEVGGKVDLNLKL >Solyc10g080817.1.1.1 pep chromosome:SL3.0:10:62137415:62138725:1 gene:Solyc10g080817.1 transcript:Solyc10g080817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATSDPANIHHILSKNFSNYPKGVEFRKIFDILGNGIFNVDYELWEMHRKTTMSLMSHAKFQTFLERNMWDIIENALRPILDTFAEQDTLFDLQDIFQRFTFDAISKLLLDYDPKSLSIDLPHVSCEKAFNDVVDALMYRHILPEGCWKLQKWLQIGKEKKLIQAWEAFDQFLYPCISRKQEELMHKSSIEDEEFTFLNGYIKMYNQWKDGDLGTLQTFLRDTFLNLMFAGRDTTSAALTWFFWLLAKNPLVEKRIREEIQQKLNLKEGENLKFFAIEEARKLVYLHGALCETLRFFPSVAIEHKLPLEFDILPSGHHVSPNTRVVLSFYTMGRMESIWGKDCLEFKPERWISERGGIKHEPSFNFPAFNAGPRTCIGKEMASIQMKIVAATIIYNYHIQLGEDQIISPNASIIIQMKHGLKVRLFKRVPLMSNA >Solyc08g080720.2.1 pep chromosome:SL3.0:8:64055232:64056151:-1 gene:Solyc08g080720.2 transcript:Solyc08g080720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIIFLTGESNEKINKYIIRNREYKKKKEISAMAPKRKATAGEPLPTRITRSQTKNDNSKPVSVDPVSPKPKRVKKGTVSETKSKVKAPVDSGSKKIVVVEHCTQCRQFKIRAVKVKEELEHGVPGLEVRVNPEKPRRGCFEIRVDDEKGEKFVSLLDMKRPFGPMKALNMDKVICSPSKNMETICCEFCLLFSQNYG >Solyc01g102610.3.1 pep chromosome:SL3.0:1:91248837:91253358:-1 gene:Solyc01g102610.3 transcript:Solyc01g102610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSSQEPLLLKKENEFDSLRKTPFLISSTKLILKVLMWVIFISWAAFIFLLPTKFTNEIFGTIVGATKGSIFRTAGSTSLLFSFPIIMIAFLAIILLVVSGEDQPQIKKAGKGPTFRLWTFPVLVDGPFGVVTAAEMIGVIIFSVYIVWAVVMYSIQNVDILSLYHLPDMKERSAKLLELTGLRFGFIGLICLAFLFLPVARGSVLLRAIDIPFEHATRYHVWLGHLTMAIFSLHGLFYIIGWAIQGRLLEELVGWKNIGIANLPGVISLLAGLLMWLTSLPGVRRKNFELFFYTHQLYVVFVVFLALHVGDFVFMMAGAGIFLFMLDRFLRFFQSRKTVDILSATCFPCGTVELVISKPANLHYNALGWIFLQIRELSWLQWHPFSVSSSPLDGKHHLAILIKVLGDWTEKLKGNILNLSVEESETEPLLLHNRKITASVEGPYGHESPYHLTYENLILVAGGIGISPFLAILSDILHRINDSSPCLPRNILIVWAIKNSDELPLLETVDMEAICPLFSDKLNLEIQTYVTRESQPSLEEGKTPKAMHHSISPGFKGCRMSGLVGTGHVVWSGLYVIVSTIGFVITVALLDIFYINPFNITYWWYKGLLLIGCMTASILIFGGFVIALWHLWERKTSSKEEPQDATKKADILQQNEASLDSNFGEARYVNNIRYGQRPDFQEIFGSHAKSWGSVDIGVIVCGPPTLQSSIAKECRSQNLKRRGRQAIFHFNSHSFDL >Solyc01g095930.3.1 pep chromosome:SL3.0:1:86942744:86945704:1 gene:Solyc01g095930.3 transcript:Solyc01g095930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECGGKKMVLKPIRTSKKFDDDDEEEEAVLLSPGSRMFHEPNFNIHIVAIMGWKIPLDIDSLKAQLLSKLLKHPRFSSLQVMDESGEMRWIPTIVNVDDHVIVPQLDVTNNMDTDKLVEQYISNLSTTNIDISKPLWDYHILNVKTSHAEATSVFRFHHSLGDGIALMSLLLSCSRKPSDPTSLPTLPVSYSKEKKSNSSNRTNIRSLLWQYMVKLWLFIRLLFNTVVDVLLFGATALFLKDSQSPFTVAKGFNNKHSTRQRFVYRTLSLDDIKFIYKKCHKRIDVEGKMKEQMRCRATVLVNLRPALGVQVSIISSSCAAICVRSNGAINYDELAGLAEMIEKNAIVIQGNCFGFVLIPLDIAQLQNPLDYVHKAKISMDRKKRSLEAQCTFYILQLFLNLFGFRGAAKLAKRVPSQTTLSFSNVAGPIEEVSWSGHPLAFLAPTCYGQPTGLMVHACSYAKKLTFAITVDEGMISDPNQLGDDFVDSFLSINEAARSKFRTKLH >Solyc01g106160.2.1 pep chromosome:SL3.0:1:93994581:93996846:-1 gene:Solyc01g106160.2 transcript:Solyc01g106160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKFLNILLVLVIFVIPSLYSLNFNITNIDTSHVNLSINVTGDAYVTKEGIQVTPNERNMTLGGKTGRATYIETLQLWNKATRELTDFTTHFSFVIDSNGNGSFADGLAFFLAPVGSSIPVGSSGSGLGLVKAETENMPSYESFVAIEFDTFVNTWDPFGIHVGININSMESVATKIWVNDIKLGKKNDAWISYNASSKVLEVVFTGFQKKYNRDKLSYAVDLRDYLPENVSFGFSASTGQLFQKNNVKSWDFNSSFDAIIVPEHVNQPSASPPIQLQDPKNHPIQGQTPNDPPITHVQEPKGPPQKVLRTSGKGSKGLVVGSSIGLPILILGLITASCILWRKKRKGDEKENVFIDLDMDDEFEKGTGPKKFSYGELARATNNFAEGQKLGEGGFGDVYKGLLKECNSYVAVKRVSKGSKQGIKEYASEVKIISRLRHRNLVQLIGWCHEKEKLHLVYELMPNESLDKHLFKEKSLLVWEIRWKIAQAIASALLYLHEEWEQCVVHRDIKASNVMLDSNFNAKLGDFGLARLIDHDKGSQTTMLAGTVGYMAPECIMNGKASKESDVYSFGIVALEIASGRRSINIKAPEDQVRLVEWVWSLYGTGELVEATDPRLNKMFNEKEMERLMVIGLWCAHPDNKLRPSIRQAIHVLNSEAQLPNLPSRMPVATYSPPPLNMFSSPFSNTYEVSMQEQTMTYTVSSSVYTSSIMDE >Solyc02g094753.1.1 pep chromosome:SL3.0:2:33996858:33999798:1 gene:Solyc02g094753.1 transcript:Solyc02g094753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFKDYTCPSSKLEYLIVGSEGGTMETKFLVQQSFVGRYVDPWPQLRNTLYMKSWTSEYNHKSNKTSKVWYLEKPIPHRMNVAKTFSNLGRRMIQEELRWGDNIVVEEDVLSKNGQTLNSACIRDAVFASLFTYDRNSNIIQAFCEAWCPQTNTLLTSAGETSISLWDLHILGGLPIKGYPYEEVIPNSTELTGFNDKRERFLPRTCEYMFAAFQHLKEENHGNMGISFNEWIQFWCKKDLKYELAPPRKEKKSRRLSSTHNPTGEIPTEINTWSIIQEMVFSKLGAKHQKYETYLTAFLSCWLCAFVLPSEEGNFIRPETFKIASLMASGKRVSLAIPILTSIYHGLNKISNSSQLDHVRVCFPIHYVYGWLAYYLKTHYPLTSGPSLPRMVVYSGEGAAKYFDKDEARKRVHRGENIVWNATILSRPHPTYYIDDEKSSEFDQAYFMSIRFNYLPLRRGENDIRVELLDEGLRYWRICVSRVTMSKATFPPAVTSAKKLYTTQYSSWWERSYGTFLEDNLDVMVEKAGSEFVTLLEDKFQDIEKTLSKVKTPLAPQHQSKKLNFSKASKKELVHTPTDKEKSPQFLFSSKLSLQETSKTSKDRCWKRQRIELSGAEIVEVRSVDADGHLQGSPRERPQVSEESTAILRPKAKPISYIEKDQESSSTSPGQTLKGLAPNSNELSRVLPKINGAMSVFEGRGVVFNHKRKYILGLWEEICRKLSRTSLNNISSYKDDIYEIFKEMSEMNLLDLSPLKRLVDSLFDHATSYDQEHSNFVDKEPEDRKMELLSNAKERLELFKVEECEKAKHVSSNKKSLKKVKRKLATLQGERVGLEAVLDAAKKNVEDIQAKILATEDEISSYENMSLLTPEDSIRMEQKRECLEASRQDLTNYKLRLD >Solyc04g072310.3.1 pep chromosome:SL3.0:4:59427451:59429895:-1 gene:Solyc04g072310.3 transcript:Solyc04g072310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKESRSSVYDEVITKNPFFYLQEGIKAILKCLGFESSKLVHQASSSSSSSSSSSSSSSSSMLGTNNKKEEEESEKQEQECVLFHEDGKKQGSDSTNDNYENDPPAETNDEDPTLILATDRRGRPPSRPKVGSGPPPQNN >Solyc06g071650.2.1 pep chromosome:SL3.0:6:44266729:44269197:-1 gene:Solyc06g071650.2 transcript:Solyc06g071650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAISALFLLDMKGRCLISRDYRGDVSAQQVEKFFTKHLEKEDDLESDGPICHENGVNYMFIQHKNIYLMAASKQNSNAASLLFFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVNQHPPMAVTNAVSWRSEGVYHKNNEVYLDVVEHVNLLVNSNGQLIRSEVNGALKMRAYLSGMPECKLGLNDKVLLEAQGRPTKGKSIDLDDIKFHQCVRLARFENDRTISFIPPDGSFDLMTYRLSTQVKPLIWVEAQVERHSRSRVEMSVKARSQFKERSTATNVEIELPVPSDAMSPIIRTSMGYATYAPERDAVVWKIKSFPGNKDYMLRAEFRLPSVISEDTPPDRKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Solyc02g030330.1.1.1 pep chromosome:SL3.0:2:26001367:26001624:-1 gene:Solyc02g030330.1 transcript:Solyc02g030330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKDIAEPKQFCKLYALAKKVELVVVKSDKNRLRYTCAADGCPLLLLISGDMTTPGVSVITLVEHIECGTTYDNSVVFNNSLVF >Solyc07g006780.3.1 pep chromosome:SL3.0:7:1624160:1636142:-1 gene:Solyc07g006780.3 transcript:Solyc07g006780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKEKMDKRLDSRKSMTDTTPLLDSTYLNQRHSYYRFNSFPISNSFAVEMTTNSLPRQLRSVFLGVDVGTGSARAGLFNEDGKLLGSASSPIQIWKDGDCVEQSSTDIWLAICTAVKTACALGSVSKEEVKGLGFAATCSLVAVDSEGEPVTVSWSGDTRRNIIVWMDHRAVKQAERINASNSPVLQYCGGGVSPEMEPPKLLWVKENLQESWSMAFRWMDLSDWLTYKATGDDTRSLCTTVCKWTYLGHAHMQQINEKDSGAMESCGWDDGFWEEIGLGDLVDGHHAKIGRSVAFPGHALGSGLTPHAAKELGLMAGTPVGTALIDAHAGGVGVMESVPASDSESIVDEDAISRRMVLVCGTSTCHMAVSRTKLFIPGVWGPFWSAMVPEYWLTEGGQSATGSLLDHIIENHVASPHLANRAASRRISIFDLLNEILESMKKDEDSPFIAALTSDMHILPDFHGNRSPIADPKSKGMISGLTLDTSEKQLALLYLATVQGIAYGTRHIVEHCNTNGHKIDTLLACGGLAKNRLFVQEHADIIGYPIILPRENESVLLGSAILGAVASKKYSTVRDAMKAMNAAGQVVHPSQDMKVKKYHDAKYSIFRDLYEQQQKHRSLMAEALS >Solyc10g008180.2.1 pep chromosome:SL3.0:10:2289988:2303983:1 gene:Solyc10g008180.2 transcript:Solyc10g008180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPNPNSTGSSGNADAGKPAGEEATVKVPSKDSKKKNDKKCEHLSEEDLALKQQLEQCVKRIQDADPGLQKVALESMRQEIRTATISMTVLKPLKFLRPHYGTLKGFYEKMPDSDLKALTSTLLADILSVLALTMSAEGERESLKYRVLGSQGDIGSWGHEYVRNLAGEVGQEYVRLEVGVPYSRGSCKSEQERPLYDLMVPVQQIVSFYFKHNAEPDAVDLLIEVEDLDLLLEHVDSSNCKRTCSYLTSLAKYLPRFDEIVALDYACAMYIKFKEYPLALVTALAMDSMENIKSVFTSCDDNLQKAQFCCILARHGQTFDLDEKLCASNEDREVLQEIVNNVILSEGYLALARDIEVMEPKTPDDIYKLHLLDGQASAEQSVDSATQNLAATFVNAFVNAGFGQDKLMTVPSEATSGGSSTSWLFKNKEHRKASAAASLGMILLWDVDSGFAQLDKYLHSTDTHVVAGALLGVGIVNCGIKNDLDPALALLSDYIRKDDPSIRIGAIMGLGLSYAGARNEEISSILIHILEDDKVSLDVIAFTAISLGLVYVGSCHRNIAEELIYALTDRSEAELGEPLARLLPLALGLLYLGKQDEVEGIANLLKTSTLHKKIRKHCLMILHSCAYAGSGDVLKVQHFLGQCGRHLVKGESFQGPAVLGIAMVAMSEELGIEMTIRSLEHLLQYGDQNIRRAVPLALGLLCISNPKVNVMDTLRRLSRDIDIEVAMVKKVAMAATISLGLIGAGTNNARIAGMLRYLSNCHRRYSSLLFCVRIAQGLVHLGKGLITLSPYHSERFLLSPTALAGLIIMVHMCLDMRSTILGKYHYLLYFLTLAMQPRMLMTVDEKLKPLSVPVRVGQAVDLLGQAGRPKTITGSQTQLTPVLLGAEERAELATEKYIPLSPILEGFVILKENPEYRDDN >Solyc01g056430.1.1 pep chromosome:SL3.0:1:54143194:54147158:1 gene:Solyc01g056430.1 transcript:Solyc01g056430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEDLKRAVESVEMVDAHAHNIVALDSTLPFISCFSEFIGAKTASDSPNFVNFQVNLNEICELYGSSLSLHAVEESRRCLGFEASAAVCFKAARIAILLIDDGIKLDQKLDIKWHERFVPTVGRILQVEHVAENILEKEAEEGLIDILCGESYKIWGSYVYDFHVILIIDSVFPAGKPVRISNKNFSDYIFMHALEVAKNFNLPMQIHTGLEKDLDLRPGSPLNLPNLLEDKRFTKNRLVILHASFPFLKEASNLSSVYPQVYLDFGLRVPKPNFHGFVSSVKEIMDLAPINKVMINSSGIAFAERFYLGMSRDSYLKYYNNNKPIEI >Solyc01g044420.3.1 pep chromosome:SL3.0:1:43830163:43833325:1 gene:Solyc01g044420.3 transcript:Solyc01g044420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISFTCPLASHTDLENSLESVIVKSINFGDNEHKTPLRSISFKIEDSEPIVMQSDGSGNMLIEKSVSLRTKENVVDYPSSDDMMNQQSTKSPLLDSSSSPKHEAAVKLQKVYKSFRTRRKLADCAVLIEQSWWKLLDFAELKHSSISFFDLDKHETAISRWSRARTRAAKVGKGLSKNSKARKLALQHWLEAIDPRHRYGHNLHFYYVQWLHSQSKEPFFYWLDIGEGKEVNLVDKCPRWKLQQQCIKYLGPMERKAYEVTVDDGNLFYKVTGKLLDTTGEPKGAKWIFVLSTSKTLYVGKKKKGTFQHSSFLAGGATLAAGRIVVEQGVLKAVWPHSGHYRPTPENFQDFISFLRENDVDLSDVKLDCVDEEDSIGKKSGVYLRHNASDDDVGQKDGLETGENDLEDVTTSDTNELKEEATFAASSHSFNYKLANLRIPNNDDLLEKLASGSAAVESISPTDGYESAEELIDNEQESKEDIIPQESILKRINSHKGMKSFQLGKQLSSKWSTGAGPRIGCLRDYPSQLQSHALEEANLSQRSVCRLKFYSRASSFSREMQVSCSI >Solyc11g005500.1.1.1 pep chromosome:SL3.0:11:384871:385263:-1 gene:Solyc11g005500.1 transcript:Solyc11g005500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTIMIFKIVLLLTLISWINILQARPLTTSSIGRATTLMTHLKLQDDKEDSSECWDSLFELQACTGEIVLFFVNGETYLGQDCCSAIRIIERQCWPSMLDSLGFTSQEGDILHGYCDASESPTPNLAP >Solyc09g011130.2.1 pep chromosome:SL3.0:9:4495198:4503021:1 gene:Solyc09g011130.2 transcript:Solyc09g011130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDGRWTLQGNTAIVTGGTRGIGHAIVEELASFGANVYTCSRNQMELDECLRQWKAKGYTVGGSMCDLQSRSQRLKFMDNVSSYFEGKINILINNAAVVVSNIATEYTHEDYNTMMGTNFEASYHLSQLAHPFLKASKNGRIVFISSVAGFVSLPLCSIYSAAKGAINQLTRSLACEWAMDNIRVNAVAPWVIKTSLIEAASKDLEMNKRINKLVSRTPIGGRAGEPKEVSAMVAFLCLPCASYITGQIMWTLQGKTALVTGGTKGIGNQEQLDECLEKWRGKGYKVEGCVCDLTLRSQREMLMEKVINFFQGKLNILPATEFTEDDYSILMKTNFEASHHISQIAHPILKASESGNIVFISSIAGLVALPTNSIYAATKVAPWIINTPLIEAAKKDSLTKELIERSISRTPICRAGEPKEVSAMVAFLCFPAASYITGQVICVDGGHTINGSY >Solyc12g035930.1.1 pep chromosome:SL3.0:12:44564465:44565270:-1 gene:Solyc12g035930.1 transcript:Solyc12g035930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGLDNMNHLKNKRMCSIVDLLQDQFRFPLVCLETVVWGLYVEQFGLTGRTASFWVRDIHPSHYGRFFPIDISEGINVGLIGSLSIHARTSHWGSLESPFYRVLKRSIREEQVDPSRYRQEFMTIAWERFPQSFIERNDLNQDLMSSNMKCQEVPLSRSEKCIVGTRLE >Solyc09g074360.3.1 pep chromosome:SL3.0:9:66581215:66587262:-1 gene:Solyc09g074360.3 transcript:Solyc09g074360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKNVSDPEVSALFSQYGVIKDLQILRGSQQTSKGCAFLKYEKKEQAVAAIDALHGKHKMEGATVPLVVKWADTEKERQARRAQKSLSHASDSRQHPSLFGALPMGYMPPYNGYGYQTPGAYGLMQYRLPSMQNQSAFQNIVPPINQASALRGGAPDLSPGISPRNYAMSPGSYGSAYPAVPGIQYSMPYPGGVMNTRPPSGSPGSIPPSTTNSHSAASSSVSSSTGGQVEGPPGANLFIYHIPQEFGDQELANAFQPFGRVLSAKVFVDKATGVSKCFGFVSYDSTAAAQTAISMMNGCQLGSKKLKVQLKRDNKQNKHY >Solyc05g053030.1.1 pep chromosome:SL3.0:5:64036907:64039301:1 gene:Solyc05g053030.1 transcript:Solyc05g053030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIVSELEGVLLKNPNPFCYFMLLAFEASSVIRFAFLLMLWPIIRFLEFLGRVDYGLKLTIFVATMGVKISEIESVSRAVLPKFYFDDINMEAWRIFSLYDKKVVVTKIPKIMVERFVKVHLRCDEVVGSELVSKYGFAIGLIKDDFDKIKDGIIELFGDKQPSLGLGRPKCGSSFLSLCKEQLQPPFLRSKNQNQQIIINPLPVIFHDGRLVIRPTPFLALIILLWIPLGIIIATIRIIIGLIFPIWSIPYLTPLFGGKVIVKGNPPSSSAITVTNSGVLFVCTHRTLMDPVVLSIVLQRKIPVMTYSVSRLTEVLSPIPTVRLTRVRNVDAWKIKCHLEKGDLIMCPEGTTCREPFLLRFSALFAELTNSIVPVALNYKVGFFHGTTARGWKAMDPIFFLMNPKPIYEVTFLNKLPEDATCSSGKSPHDVANYVQRILGETLGFECTNFTRKDKYKILAGNDGIELTKNTSTNYGIKKLINFFINVVGTRNKMIMRYFI >Solyc01g091770.3.1 pep chromosome:SL3.0:1:85222022:85225570:1 gene:Solyc01g091770.3 transcript:Solyc01g091770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSGMNLITTIIGFGMSATFIVFVCTRLICGRISRRQSRQMFEIESGIDLEQPEHRINGLDSVMVAAIPTMKFHSEAFTSSEEDTQCSICLSEYQENEVLRIMPKCGHSFHLSCIDIWLRKQSTCPVCRLSVQESIENKQRRPAMLGRDQNSDSSEISLEHSRQWFLRTAERSQDLSYWRLTNGTDSEQGESEDTEEGHRNFCR >Solyc06g071930.1.1.1 pep chromosome:SL3.0:6:44460698:44460901:1 gene:Solyc06g071930.1 transcript:Solyc06g071930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVEEAMKIRQQQEVKNYQVQKQLMQCNKGKTNKFKRSSSNVEEDGASSAILLLACIACATSHHL >Solyc11g016945.1.1 pep chromosome:SL3.0:11:7622487:7624403:1 gene:Solyc11g016945.1 transcript:Solyc11g016945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSILESGIAPLDGTSQPAPHPTRGQDTHTAASPQPTLPSPSPQHTRDHTQQTATPLQQGSGSLPPVSAANDRQPPPFAITSSSSHSFKPSHSMNTRSKHGILKPNPKYHDQANHTTTSISPIPKNPVQDIRDHNWKIAMKEEYDALIKNATWDLVPRPSDVNIIRSLWIFRHKTKSDEFLRLRIISRLASEFAMKDLGPLSYFLGIAVSRDKSGLFLSQKKYAQDIIAKAGMTSCKPSLTPVDSKGKMSSSSGDLYENATHYRSLCGGLQYLTFTRPDISYAVQQICLFMHAPRVEHMNALKRVLRYVHGTLNYGLHMSKSSVSSLLSYTDADWGGCPDTRRSTSGYCVFLGDNLISWSAKRQPTLSKSSAEAEYRGVANVVSESCWVRNLLLELGFPISKATLIYCDNVSAIYLSSNPVQHQRTKHIEMDIHFVREKVQRGEVRVLHVPSRYQLADIFTKSLPRVLFDDFRHSLNIRKSPVSTAGV >Solyc07g017950.3.1 pep chromosome:SL3.0:7:8626944:8629428:1 gene:Solyc07g017950.3 transcript:Solyc07g017950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribulose bisphosphate carboxylase small chain [Source:UniProtKB/TrEMBL;Acc:K4CCK9] MSVSSFVAPIVGSTYLGLKSNRSNFFPAVKDTITWSRKTISNGSKTYCMKSWNPIDNKKFETLSYLPPQSEESIAKEVDYIIKKGWVPCLEFDQVGYVHRENSKMPGYYDGRYWTLWKLPMFGCNDSSQVLNEIQECKKAYPNAFIRCLAFDNVKQAQCMAFLIQKPAP >Solyc02g083730.3.1 pep chromosome:SL3.0:2:47582654:47584250:-1 gene:Solyc02g083730.3 transcript:Solyc02g083730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIMSLLFVVLLLFCSSGAEVVTVDVHAARQLIQSGYRYVDVRTEEEYKKGHVHNSLNIPYMFNTPQGRVKNPKFMEQVSSACDKEEKLIVGCQSGVRSLYATTDLVNAEFKHASNMGGGYLAWVENGFAVNKPQDEL >Solyc09g014800.2.1 pep chromosome:SL3.0:9:6989195:6991115:-1 gene:Solyc09g014800.2 transcript:Solyc09g014800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATERLEVIGPQFCAPYAVDLSIVKPLKDVLDVNGNVVFSVKGKVFSLRERRVLVDGAGNPLVTFQQKLLTAHHRWQAFRGESTDSKQLLFSVKKSGLVQFLKTKLDVFLATNTKEEVSDFKIEGSWREKSCVIYASNSTQVAKMHKKRTAESLLLGKDNFGVTVSSNVDFAFIVALIVILDEINEDE >Solyc06g060670.3.1 pep chromosome:SL3.0:6:38803316:38813894:1 gene:Solyc06g060670.3 transcript:Solyc06g060670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCLFPKTLNPQFFLRSFNNTRSKAFTVRSMSSGSRMFQLKVDPLTGNSEWVVIEEDEASGDATKQLLANTSYLDMLNDTRRNKAYREAIDKTITKPCHVLDIGAGTGLLSMMAARAMDLGDSVESSGSKGMVTACESYLPMVKLMRKVLHANGMQRKIRIINKRSDELEVGVDMPSRADVLVSEILDSELLGEGLIPTLQHAHDQLLVDNPKTVPYRATVYGQGQGPLVAGSYFSAKPAIFHELTGYLSSPKTNEFWLGLQKLTDLLKSNNLPAIILLGCDVLKSLEYVSAHWVPFGYKAVIFPQLTFQLVESTDLWKLHDLYNTEKEVLDEICLVPEGMDSALCVKRQQFSMHCDALEEDIKLLSEPFKVFDFDFWRRPDSHRVTKLSVQATDTGAVHAVISWWLLQLDEKGTIFYSTAPKWISCPSSVEGFNSSISFKHNSLFVLLSVCTIGSQNWCDHWKQCVWFIPKKGLSLLKDEEVSLLAVHTDTSISYEMKTLSQNLELEQSEVSAQKYQITLLPEKIALYSDVNWRCSMLKAIKNAMKQKTPSLCVVVDDSIFLAVALAHLAKGSHVLSLFPGLQEKGALYLQAVATANGYSKDHVEVQKMSELLTSQSSQEKQIDLLVGEPFYYGNNSVLPWQNLRFWKDRSLLDSILSEGAVIMPCKGLLKACAMSLPDLWQSHQCLQHVEGFDHSVVNSTLGACGGLPPGQENPTLPFSVWQCGESKKMSDIVTIMEFNFLKTISPCSGKAKVEFISHGKCHGFVLWIDWVMDAEESIVLSTGPEQRYWKQGVKLMKEPVAVGSHRSATTDCHSADIETSFDPSTGDLIVEYAFL >Solyc08g067030.3.1 pep chromosome:SL3.0:8:55998581:56003515:1 gene:Solyc08g067030.3 transcript:Solyc08g067030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSIKVLLLLVLLCAISSISIAVIDGLLKNGNFEMPPSKADLNGTEVLKHNAIPEWSISGFVEYIKAGQKQGDMLLVVPEGYAAVRLGNEASIKQILNVTKGMYYSLTFSAARTCAQEERINVSVTPDFGVLPIQTLYSSSGWDSYAWAFQAEFPQVEILLHNPGVEEDPACGPLIDSIAIRTLYPPRATNQNLLKNGDFEEGPYVFPNTSWGVLVPPNIEDDHSPLPAWMVESLKAVKYLDSDHFSVPHGKRAIELVAGKESAIAQVARTTIGKMYQLSFKVGDASNACEGSMIVEAFAGRDTLKVPYESMGKGGYKRAILRFKATASRTRIMFLSTYYHTRSDDFVSLCGPVVDDVTLLSVRTHRRVL >Solyc08g007210.3.1 pep chromosome:SL3.0:8:1775381:1777799:-1 gene:Solyc08g007210.3 transcript:Solyc08g007210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNCNGNGAPFFSPKLQVEAIQTVIPMKITDPRFSRRIAIPENFHLGNLQRRFHMILYYNKASETDSGWIVAGWFKESLGSAIVENPIFAGRLRKLEDDYELVSNDSGVRMLEANFPMNMVDFIDHKKNENIENELVYWEDIHETSPQFSPLFLIQVTNFKCGGYSIGISCSLFLADPFAMTSFLKSWSKIHNNLVSQIDSPKIPAFYTPNFTKIGSSPTLSTTSPKTKNQLTNTSIFKYPKTPLKMNNNEFIKNLASKCIGEIEEKIGKNISSNFTFLVKENFESIKVENCSKEEIIKEEKSGLLSIISTNWGDLGANKVRFTEGNEAIHISCWIINGENNQDLVMISPTNDEDNSELNIIITISN >Solyc06g007520.3.1 pep chromosome:SL3.0:6:1510971:1513575:1 gene:Solyc06g007520.3 transcript:Solyc06g007520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L10 [Source:UniProtKB/TrEMBL;Acc:Q3SC85] MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDGNANHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYLKYKSENRIVPDGVNAKLLGCHGRLAARQPGRAFLEAAN >Solyc07g065200.3.1 pep chromosome:SL3.0:7:67192358:67195767:-1 gene:Solyc07g065200.3 transcript:Solyc07g065200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTTSPNSESDSDVETSPVANPSPGQNPQFTSDALTTAIPSTPVVCLLRFAGDSAAGAFMGSIFGYGSGLIKKKGFKGSFGEAGASAKTFAVLSGVHSLVVCFLKRIRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALALTSHMNVNSRQQFGVLAPLSLPLPNELKESFSFFCQSLKNRRHGSR >Solyc05g026460.1.1.1 pep chromosome:SL3.0:5:41385768:41385938:-1 gene:Solyc05g026460.1 transcript:Solyc05g026460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCSLSLVFPIKFFVVIPSSHIMFMISSRNCFTKYRVYLICFLPNQWFEQLFLHL >Solyc09g059125.1.1 pep chromosome:SL3.0:9:53651754:53655768:1 gene:Solyc09g059125.1 transcript:Solyc09g059125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEVITLMHCFTLESVQKSWDKKQRVCSKLCCMLPYPFISKNIDHIARLMELPFVEHSGTLPPVLVVNIQEKTMLRLRLIQADLVTSL >Solyc09g015660.3.1 pep chromosome:SL3.0:9:10655912:10669513:1 gene:Solyc09g015660.3 transcript:Solyc09g015660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADASNLDLENVGPRVNGGEMDGFRCTVDELVMNIDKLEQRVNEVENFYLNTSIKQPNTSKNASSAKHKDKDKHVPGFKKLQQEASRREAAAAKRMQELMRQFGTILRQITQHKWAWPFMQPVDVKGLGLHDYYEIIDRPMDFSTIKNQMEATDGTGYKHVREISADVRLVFKNAMKYNDDKSDVHLMAKTLLEKFEEKWIQLLPKVNEEEKRREEEEAEAQLNMQFAQESAHAKLARDLCNELYEVDTHVEELREMVVRRCRKISIEEKRNLGIALTKLSPDDLSKALEIVAQNNPLFPASAEEVELDIDAQSELTLWRLKFFTKDALEVDGKSSSKGDNSNSANVAATNPNISAASGRKREICDALVKNAKKRNKKPSR >Solyc11g005990.2.1 pep chromosome:SL3.0:11:775686:799767:-1 gene:Solyc11g005990.2 transcript:Solyc11g005990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKILLSPSAPLPEERNVVTQPQNVPKPRHRPMKFKQIQDSSVSEKQFHSGNMISFGQSDPQGLFGSSGVGLLDKDTAMSRPAPNMLLLSDQPITTNKEASAVIIKQEPFVAPLIPEYTFRNEKKKLLEDAFAPSFSLMKLAYQQGMLSSPRLRDQENAKILEALDKERSMYRPGQNVVQSLEHQIAKNKECLVPPKILEESLRKGKQKLIEESLPPTFPQMNVASQLPSVSLMNGPCPSRASQINLMLLLQKQMQLSRTYEKLPAVVPDVRSIYTPEMCAQRLKLYMYRKQQRPRDNNLEFWVNLVAEFFAPNGKERVCFSSNECRELIGCIFPKDKWCCGICNVRPTAGFETSAEVLPRLWKNKYDTGMLDELLYMDKPEERYTLCGHIVLNYPKVTEHTVYETGRVVREGRLRIVFSSDLKIRVWELCCSAHEIYVGRKSVAPQVHQLKEATQKYQVFAESSSRISPEEFQRTTNELAPTVRELARTLDKSLINDLGYPKRHVRCLQISEIVSSMKDLMDYSKKYRVGPREAMDRIHRESAAVRVAQNSVAERMAQQHIDDVISQHSSVISFAPYPSTDEGTRSLNCSSPHATSPTSSTASSKRLVHQADLFEPKRKKQMTNSGENSVLRAPTKPMLNQLIPTKTHPSSSIRPPIVQPSVIVPSSTMSIQPNEYVSSMVKVEQDLVTSQNLAVAAADASIGSKLVVDQNENIGKYFYGFETDGTVGKGYYMDQLENLNRASLFHGIHIGSPSTGTSMGNDTHSNNQQINDQLPYLNGKMDPIDDIQFE >Solyc04g011390.1.1.1 pep chromosome:SL3.0:4:3865699:3866010:-1 gene:Solyc04g011390.1 transcript:Solyc04g011390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc02g084265.1.1 pep chromosome:SL3.0:2:47978080:47980379:1 gene:Solyc02g084265.1 transcript:Solyc02g084265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSSRKAAGNNSIKTVHNVPLMPETRAVRGLHHSAEAICWELPQQSPHQCNQSSTPSCGGGVFSDEI >Solyc01g087500.3.1 pep chromosome:SL3.0:1:82315373:82327626:-1 gene:Solyc01g087500.3 transcript:Solyc01g087500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA topoisomerase 2 [Source:UniProtKB/TrEMBL;Acc:K4AYE0] MATKKLPLQSSSNANITNANGKTIEQTYQKKTQLEHILLRPDTYIGSVEKHTQALWVWEDDRMVHRPVTYVPGLYKIFDEILVNAADNKQRDPKMDALEVVIDPRQNLVSVYNNGDGIPVEIHQEEGVYVPELIFGHLLTSSNYDDAEKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSNNMGKKGEPIITKCKASENWTRVSFKPDLAKFNMEELEADVVALMRKRVIDLGGCLGKTVKVKLNEQRIPVKSFEEYCKLFLDSHDAKREFLKVNDANGVLRWEICVSLSEGQFQQVSFVNSIATIKGGTHVDYVANQIANHIMGVVNRKNKNANIKAHAVKNHLWMFVNALIDNPAFDSQTKETLTLRQSSFGSKCELQPDFLKKVEKNIGIVDSLLSWADFKLSKDLKKTDGKKSDKVKVEKLEDANDAGGRNSDKCTLILTEGDSAKALAMAGISVVGRDHYGVFPLRGKLLNVREASHKQVSENKEIESIKKILGLQTGKEYDSVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHTFWPSLLKVPSFLVEFITPIVKATHKSGRILAFYTMPEYEAWRRSLGATSSGWSIKYYKGLGTSTSKEGKEYFQDLQKHRKDFVWADNQDGESIELAFSKKKIEARKNWLRQFEPGTHLDQKEKYISYTEFVNKELILFSMADLQRSIPSMLDGLKPGQRKILFCAFKRNFVKEAKVSQFSGYVSEHSAYHHGEQSLSSTIIGMAQDYVGSNNVNLLQPNGQFGTRNMGGKDHASSRYIYTRLSPIARFLFPKDDDTILDYLNEDGQSIEPTWYVPVIPTVLVNGSEGIGTGWSSYVPNYNPRDLVANVRRLLNDELMEPMDPWYKGFKGKIEKTATKETGATYTVTGIIEEVSETTLRISELPVRRWTEDYKQFLESMTVSTDKAKDSFIKEVRAYGDENSVCFEVIMSEDNLLLAQQEGLLKKFKLTTTISTSNMHLFDSKGKIKKYDAPEEILEEFYHVRLEYYEKRKKALLEILELELLRIENKVKFILGVVKGEIIVNNRKRAELLLELKNKGFTPFPKKKPVEAVVADSTDDADDSEESPEESSRGVRASDYDYLLSMAIGTLTLEKVQELCADRDKLNAEVEDMRNATPKHLWMKDLDVLDKQLDEQDKIDIQTEEAREKLKKKVMNAAGKAAPKPKPRKTNKKAESTAESMDVSFGSTAETANVSEVVKPKGRGRPAKQAKPIVVEDEEEEDEVLALKDRLAAYNLNSSPDRSEVTETEVPKAQTKAPAKRAAAQKKALPSTPDVSDGEDAIEIDDDEFEPAAAVGGKKKGGRKPAAAKAAAAPKPPGKKAPANNQSQSVGQRLITQVLKPAEDAGVSPDRKVRKMRASPFNKKSGAVMGKNTSSSSTSSHESEEVSPLIPSLGSLDEEVSEVVVAPKARPQRATKKTTTYVISDSDTEEDNDDIEISDDDIEPSDDTDSDFE >Solyc06g065380.3.1 pep chromosome:SL3.0:6:40918416:40922431:1 gene:Solyc06g065380.3 transcript:Solyc06g065380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVVAKKRPPISSKPSPNVLPYQTPRLREHYTLGKKLGQGQFGTTYQCTEKATGLQYACKSIPKRKLLCREDYEDVWREIQIMHHLSEHPNVVRIKGTYEDNLFVHLVMELCKGGELFDRIVQKGHYSERQAAHLMKTIVKVVEACHSLGVMHRDLKPENFLFDSSDEDATLKATDFGLSIFYKPGQYVSDVVGSPYYVAPEVLHKFYGPEIDVWSAGVILYILLSGVPPFWAETDNGIFKQILKGKIDFESEPWPHISDSAKDLVKKMLDKDPKARITAHEVLCHPWLVDDAAAPDKPLGSAVLNRLKQFYDMNKLKKMALRVIAERLSEEEIGGLKQLFKMIDTDSSGTITYEELKDGLKRVGSDLGESDIKALMKAADFDNSGTIDYGEFIAATLHLNKMEREENLLAAFSYFDKDGSGYITTDELQQACVEFGLGDVKLDDIIKEIDIDNDGRIDYGEFATMMKKGNTGFAARTMRGNLNFNLADALGASDSEKKE >Solyc02g084240.3.1 pep chromosome:SL3.0:2:47952694:47953856:1 gene:Solyc02g084240.3 transcript:Solyc02g084240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETVIEIFLRIEKMTAIGEVENPAVVQRPTEASKVKEQASATEKAVKEKKPRAPKEKKPKSAKAVTHPPYFQMIKEALLSLNEKGGSSPYAVAKYMEDKHKDELPANFRKILGLQLKNSAAKGKLIKIKASYKLSEAGKKETTTKTSTKKLPKADSKKKPRSTRATSTAAKKTEVPKKAKATPKPKKVGAKRTRKSTPAKAKQPKSIKSPAAKRAKKIAV >Solyc06g050130.3.1 pep chromosome:SL3.0:6:32569161:32576234:-1 gene:Solyc06g050130.3 transcript:Solyc06g050130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4C5G0] MAKWSGIIAVVSLTVFLMSLTAATVLPIHPYLKVEDTFISQLYDTSNYGFLQIDNGLARTPQMGWSTWNFFACNINETVIKETADALISTGLASLGYTYVNIDDCWSRSKRNSKGEMIPDPKTFPSGIKALADYVHSKGLKLGVYSDAGIFTCQVRPGSLFQEKNDAEHWASWGVDYLKYDNCYNLGLPPQKRYPPMRDALNATGRTIFYALCEWGIDDPAKWAGKIGNSWRTTDDINDTWISMTTIADINNKWASYAGPGGWNDPDMLEVGNGGMNYHEYKGHFSIWALMKAPLIIGCDVRNITADALEILSNKEVIAVNQDPLGVQGRRVYSSGPDGCQQVWAGPLSRNRLAMVLWNRCSKAATITAKWSAIGLEPSISVSMRDLWKHKVVSENTVGSFSARVKAHGCEMYILTPQRATRSSI >Solyc08g007930.2.1 pep chromosome:SL3.0:8:2436719:2438606:1 gene:Solyc08g007930.2 transcript:Solyc08g007930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFKALLGFKKNDSSISSTNKKKRGDVKSYKDKDFQQHHEKSHYMNSSAGVDPAIYEVHSSLTTSSVIRATMWSGEEWAAVVIQSHFRAHLSRRALRALKGLVKFQALARGHIVRKQAADMLRRMQALIRAQSRARLGRSMVFESPPFNAKSTQSIHHGPTTSSRCTRSRTGPFTPTKSSTRSYTSDEYSNNHPNYMSYTEAAKAKTRSMSAPRLRSQYDKK >Solyc05g041960.1.1.1 pep chromosome:SL3.0:5:55226050:55226304:1 gene:Solyc05g041960.1 transcript:Solyc05g041960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTKSTKYMESRLGMNKIELEMDNFDDNLKKMKAVEKNGKTNRPSSKKGRNNFDCFFLCLYFRCKSVFQRLLFLNREVDSRRLP >Solyc01g090770.3.1 pep chromosome:SL3.0:1:84296503:84304043:-1 gene:Solyc01g090770.3 transcript:Solyc01g090770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAESSEANVNSPSPSLPPERVNHEDKSNTWGEAVPWIDNALQQAQLARKTAEDTFENAIVVTKSRLNRILTTSSAHFNQTLDTLQDLKSEYSVYEDLAFGKIKEGLLLAASHPLATTGAVLGVGVLVLKLSSCLDWTFLS >Solyc01g098180.3.1 pep chromosome:SL3.0:1:88632666:88647213:-1 gene:Solyc01g098180.3 transcript:Solyc01g098180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKGGSKGMGAAEDAEEELARTPLQAIILADSFTTKFRPITLERPKVLLPLVNAPMIDYTLAWLESAGVEEVFVFCCAHSKQVMDYLDKSNWFGQPNFSVTTIESHNAISAGDALRLIYEQHVIRGDFILVSGDTVSNMSLSQALKEHKERRRKDSNAVMTMVIKQSKASPVTRQSRLGTEELFMVIDPETKQLLYYEDRGDNLKGYLSLDKALLTYNTSISLQNDKQDCYIDICSPEVLSLFTDNFDYQHLRRDFVKGLLVDDIMGYKIYSHEIHSSYAARIDNYRSYHTISKDIIQRWTYPLVPDVQFFGNSATKLERQGMYRAPEIKQSSSSKIGPFTVIGSGTSIGNNTEISNSVVGEGCSIGSNVTIENCYIWHNVTIEDGCKLKHSIVCDGVTMKSGAALEPGVVLSFKVVIGRNFLVPAYSKVSLHQQPIKQDSDEELEYADNSSGITESPSVSGTSDLLNEEERTELPDSQEYEVGDGGVGFIWLVSEAGQEEEWRHSVAPIPVDKLVEIMQISNDETDLPNEDGAFLPPSGELGPDSITNDSNEDAEDIRDDSVIFEREVEATFQRAVEEDVTDDHVILEVNSLRLSYNMASADCAGALFYSVMKLALDAPHDSPNELYKNVVSTVRKWKKLLKYYLSSIDEEIEVILKFEEMCLESAREYSPLFVQILHHLYDQDIIQEEAILDWASEKEGAEESDKIFVKQSEKFIQWLKEASEEEDED >Solyc08g068100.3.1 pep chromosome:SL3.0:8:57237348:57246355:-1 gene:Solyc08g068100.3 transcript:Solyc08g068100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAQSRIDNEESVSRCKDRRNFMKEAVNYRNFFAAAHSAYSIALKNTGAALSDYAQGETPPELPPPAVNEPPPPPPPMSNMEASPLPPPPPPPPTFSPLTPLQRSFTMPELSKPRGRKMKGIGIDEHDEEIEEEEEEEEEEEGEGLKLREKRNGLGYERPMKEPEPPRPPGPGESWDYFFENVDTGHSLEEVEEEEEEEEEEELNEENIQIQNKRFDNMGRNEYRDDQFKTPEKKGKVESEVEETPTADEPERVFKHSNTAPSEMRGGVVMGGGNVVYGNNADFFKVLGEIDDHFLKASENAQEVSKMLEATRLHYHSNFADNRGHIDHAARVMRVITWNKSFKGVPNGDGSKDDYDIDEYETHATVLDKLLAWEKKLYDEMKAGELIKQEYQRKVALLNKLKKRNATLESLEKTKAAVSHLHTRYIVDMQSLDSTVSEVNDIRDKQLYPKLAALVQGMVSMWEFMFSHHKNQLQLATDLKAIEISGFPLETSKHHHERTIQLGNVIKEWHDHFDNLVKNQKLYIQTLHSWLKLNLIPIESSLKEKISSPPRAQSPPIQALLHSWQELLEKLPDELARSAIASFEAVIRTIIIHQEEEMKLKDKCEETKKEYIRKRQAFEDWYQKYMQRRTPPDMTDPDRAADSNPKDPVVEKQLLVDTLKKRLDEETEAHQRLCIQVREKSLGSLKIRLPELFRVMSEYSYACLEAYGRLRLIVQSQHSNGSS >Solyc10g074436.1.1 pep chromosome:SL3.0:10:57963307:57970935:1 gene:Solyc10g074436.1 transcript:Solyc10g074436.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNEYVMNLWHETEMRRKEKEDQKNMMQKQADVNSDEKKGQNQHDFIEKTMINKKTLKQELSKSFAMKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMNNAKVVSTPLAMHFKLSTKKCPSSDDEKEDMKKVHYASAVGSLMYAMVCTRQDIAHAFGVVSRFLSNPGREYWNTVKWVMRYLYGTSSLSLCFRTGKPIICGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAELIAIVEACKVLLWMKRFLGELGCAQERYVLYCDSQSAIHLGKNSTFHGWSKHIDPAKENAQTSPQQSDVVQSPPKVKQEKECILLPTCAWVSVGVMMLPNTVGV >Solyc04g050180.3.1 pep chromosome:SL3.0:4:45697938:45702531:-1 gene:Solyc04g050180.3 transcript:Solyc04g050180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVQVCRSHGCATIPCLNNVRSSGTTKFQRGADWGGVE >Solyc12g056000.2.1 pep chromosome:SL3.0:12:62936352:62940338:1 gene:Solyc12g056000.2 transcript:Solyc12g056000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFNWKFAFAALLVLVMQAYQATSRDLYEASIVKRHEQWMARFGRVYKDDAEKAKRFKIFKDNTEYIDSVNMAGIKPYKLDVNEFADLTNDEFRVTRNGYRMPSHKKSPEITSFKYENVTAPATMDWRKKGAVTGIKDQGQCGCCWAFSAVAATEGINKIKTGKLISLSEQELVDCDTSSDMGCEGGLMDDAFKFIIKNHGLTTESNYPYEGTDSTCKTGKESNHAAKITSYEDVPANSESALLKAVANQPVSVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGEASDGTKYWLVKNSWGTSWGENGYIRMQRNASQATSRGLYETSMVQKHDQWMTRFGRVYKDDVEKAKRFKIFKDNTEYIDSFNKAGTKPYKLDINEFADLTNEEFRATHNGYRMPSQKKSPEITSFKYENVTAPATMDWRKKGAVTGIKDQGQCGCCWAFSAVAATEGINKIKTGKLISLSEQELVDCDTSSDMGCEGGLMDDAFKFIIKNHGLTTESNYPYEGTDSTCKTGKESNHAAKITSYEDVPANSESDLLKAVANQPVSVAIDASASDFQFYSSGVFTGECGTELDHGVTAVGYGKASDGTKYWLVKNSWGTSWGENGYIRMQRNVDTEEGLCGIAMEASYPTA >Solyc02g067270.2.1 pep chromosome:SL3.0:2:38017642:38019685:-1 gene:Solyc02g067270.2 transcript:Solyc02g067270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASVLIPSLEGLTQTEVRSMIYDHILRFAQSIPPSEDERNIVAVVDATNNSISFFEAHPINAEPILEEQLPASIASIQAIPLVKILGEGIDCSICLSNFELGEEAKEMPCEHHFHSICIDTWLGINGSCPICRYKMPVDEQCDKTHEEGDEDEIGDDGGSDIHADRVIFVFHVAIIVETTDLAVSITEMNRISEDRFLTIRVPRHFDVSAARDGVGSRVGNSDVLEASGNGDSLTLMIILDFGSEL >Solyc01g057190.1.1.1 pep chromosome:SL3.0:1:59683598:59684761:-1 gene:Solyc01g057190.1 transcript:Solyc01g057190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus F-box protein type-12 [Source:UniProtKB/TrEMBL;Acc:K4AVT2] MMNGTIKKLSEDVEIYIFFKLPMKSIMRFKCVTKTWCNLMQLFSFINLHHNYTSSKKDEFVLFKQSLKEQNVFTNPLSFLRTPNGDDDLDYITRDLEVPYLSTGYGSIFHQFNGPCHGLIVLTDYVNFVILNPATRNYRLLPKSPFVCSRGFYRAIGGVGFVYDAIQRTYKVVRISEISGEEPFNDPSVVDWIGEVYDFSVDSWRNLPFGEEEFPWPYNCPFAEMYYKGVFHWYAHRNLVAILCFDSSTEVFRIMQVPEICSLYDEKVHWLTILDECLTFICYPDPRRVSSPLQEITDIWIMKEYNVNDSWIKKFTIRCPPIESPLAIWNDSLLLLQDKRGIVISYNLNSDKVEEYKLHGYPGSLRIIVYKESLMSIPKGSTQVENY >Solyc05g054540.3.1 pep chromosome:SL3.0:5:65287509:65291985:1 gene:Solyc05g054540.3 transcript:Solyc05g054540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFPPLYFVLVFHSMDCLFDWMFVILIVSLSDLCKKPVDGFSAGLVDESNLFEWSVTIIGPPETLYEGGFFNAIMSFPQNYPNSPPTVKFTSEVWHPNVYSDGKVCISILHPPGDDPNGYELASERWSPVHTVESIMLSIISMLSSPNDESPANVEAAKEWRDNRDEFKKKVSRCVRRSQEMT >Solyc06g076940.3.1 pep chromosome:SL3.0:6:47960350:47964520:1 gene:Solyc06g076940.3 transcript:Solyc06g076940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLAPEKRHSFVHNGQKVFEWDQTLEELNIYITLPENVPKKLFYCKVESKHLEVGIKGNPPYLNHDLMNPVKTDCSFWTLEDDILHVTLQKRDKGQTWSSPILGQGQLDPYASDLEQKRLMLQRFQEENPGFDFSQAQFSGNCPDPKTFMGGISST >Solyc02g088470.1.1.1 pep chromosome:SL3.0:2:51191897:51193705:1 gene:Solyc02g088470.1 transcript:Solyc02g088470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMPTPIRAPTWVSRRRYFEQKLWDLDKCRDINQLKQMQALVYKSNLEQDPFIAPKLIAAFSNCRQMGSVLKIFDQVRDPNVHLYNALIRAHIYNFQPSQAFDTFFDMQSSGIFPDNFTFSFLLKGCSGKCWLSVVSMIHTHVVKWGFEDDIYVPNSLIDAYSKCGLVGVRIAGQLFWGMKERDVVSWNSMISALLKVGDLSEARKLFDEMPQRDRVSWNTMLDGYTKAEQMSVAFELFKTMPQRDVVSWSTMVSGYCKAGDLEMARMLFDKMPSKNLVSWTIMISGYAEKGLINEAILLFMQMEETGLRLDVAAFVSILAACAESGMLSLGKKVHDSVERSMYKCNTLVCNALIDMYAKCGCLHKAYKVFNGLKKRDLVSWNAMIHGLAMHGRGKKALELFIRMKQEGFVPDKVTLVGILCACNHTGLVDEGILFFYSMEKDYGVKPEVEHYGCLIDLLGRGGYVREAFELARKMPLEPNIKIWGSLLGACRMHKDVELADDVRSLLVKLEPKNAGKLSALSNIYASAGDWDNVANIRLMMKNIGRPNQSGGSLLLLNDEYREFTVMDKSHVKSDKIYQMIDRLGQHLKLLSPVPAGLCDE >Solyc01g056850.3.1 pep chromosome:SL3.0:1:56980456:56987295:-1 gene:Solyc01g056850.3 transcript:Solyc01g056850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVLVTGGVVSGLGKGVTASSIGLILKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTGDNNITTGKIYQSVIDKERKGDYLGKTVQVVPHITDAIQEWIERVAVIPVDGKDGPPDVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLSVVGEQKTKPTQHSVRGLRSLGLTPNILACRSTTELEENVKEKISRFCHVPLDNIITLYDVSNIWRVPLLLRDQKAHEAILKVLNLKGVAQEPALGEWTSRAALCDKLQEPVRVAMVGKYTGLSDAYLSVLKALVHACVACHRKLCVDSIAASDLEDETSRENPENYKSAWKLLKGANAILVPGGFGDRGVEGKILASRYARANRIPFLGICLGMQIAVIEFARSILGLLDANSTEFDPDTQNPCVIFMPEGSKTHMGGTMRLGSRRTYFKVEDCKSAKLYGNQSFIDERHRHRYEVNPNMVQQLEDAGLSFTGKDESGHRMEIVELPNHPYFIGVQFHPEFKSRPGTPSALFLGLIAAATGQLETLLKKGVPKTWSLSNGTSGLKSHRYVNGTKMANGSLDGIYCNGNGIHV >Solyc01g108760.1.1.1 pep chromosome:SL3.0:1:95855531:95855710:1 gene:Solyc01g108760.1 transcript:Solyc01g108760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIITNVGLLVHGSKLAQNRTPLITKRLVMIHIMCTFLERRKKRLTSLVVLILNLIRA >Solyc09g082850.1.1.1 pep chromosome:SL3.0:9:68949071:68949220:-1 gene:Solyc09g082850.1 transcript:Solyc09g082850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSSHHHFVLCLFLLSFLCVNSQPPHDVFIVIIKTKLQVWLQDIVL >Solyc01g090960.2.1 pep chromosome:SL3.0:1:84548508:84551424:1 gene:Solyc01g090960.2 transcript:Solyc01g090960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITKITTLLMMIFLLFHACNARSLGIMNKNEEKPNVARVPSTPSDVKAKHSPSKPNKSVKENDEKDHHYGVSVSWKVHQQKKEDPPPEFNLDYLPARTHPPVHN >Solyc10g084970.2.1 pep chromosome:SL3.0:10:64449134:64451175:1 gene:Solyc10g084970.2 transcript:Solyc10g084970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDSKECEFWLPPEFLTGDDVFMGFKGNSKGEGNERKMYFGCDFQNEFSYGFNMFGPHSDLSSPVESVVGSTETESDEEDYITELTRQMAQSTLENRKVYKLSSSPQSTLCGVLGSKQGLQSESPNSPFQVCSPTEVQGVKGTVDLLYAAVGEVARMKKMEEEVGIWAPPRKPTPVYVDPKMSQPNLGSFYSKQPPLSYQQFKMAQFQRLKQQQLMKQRQVVLGPEKEGFWQYQLRQNHHNQSIQGRDRNGAERPVNMAMSGAWPALQQSHHHHHHQKQPPPPPPVSGMRAVILGNAAPKRECAGTGVFIPRRVGAQTETRKKPGCSTVLLPDRVVQALNLQPQVQPRCNNGGGVMKYRNMGEQQWRNLRSQAAVEAISQEHQLPQEWTY >Solyc12g019760.1.1 pep chromosome:SL3.0:12:11634959:11635373:-1 gene:Solyc12g019760.1 transcript:Solyc12g019760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVVHGGLCFGICKPPLKVMVVEKLHEREQVEDTDLHELHSSTEPSLFLSVQHLSISYIEDLIADNIRVLLF >Solyc10g086680.1.1.1 pep chromosome:SL3.0:10:65576479:65577183:-1 gene:Solyc10g086680.1 transcript:Solyc10g086680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVPLFNGRKNHSRCVQNSPPTTTITPQSQKNHNRSDSYDPTTTIMHQYKPNRGNEKDLYNYPPPTRNQDLHNLFNQLATTKFTHQFQTSRGQKDPYDPSHKFYLETPRSLIAPSLSFPHVTPFLAQFECNVTPEAYVFRANNLHGYKKEEVKVQVEDDRILKISGEKKIVEKEYDNWHHFQKKVGKFSTVFNLPEDAGVDKVISTMEKEVLIVTIPKKGAVKKSHVRTVRIF >Solyc08g067995.1.1 pep chromosome:SL3.0:8:57127957:57131936:1 gene:Solyc08g067995.1 transcript:Solyc08g067995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHISDIKLIRTDTTLDLSQKAEKGPKICTRIGGVRQAQAVVQRMGDAQEPRLLDAAYRFSTTEELTSQK >Solyc05g013150.3.1 pep chromosome:SL3.0:5:6231374:6238941:-1 gene:Solyc05g013150.3 transcript:Solyc05g013150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFSSSFFCPLKTTKLRIKLQTLQSSQKPFLISSLQLRELDPKIPQPVQTFWKWLCDEGVVSIKTPVKPGIVAEGLGLVAKRDISKGETVLGVPKRFWINTDAVAESEIGNVCTGLEPWISLALFLLREKWKDDSKWKYYMAVLPESTDSTIYWSEEELSEIQGTQLLSTTLSVKEYVQDEFQKVEEQVILGNKQLFPFPITLDDFFWAFGMLRSRAFSRGGIQNLMLVPFADLANHSVKVTTEDHIHEVGGPAGLFSWDLLFSFQSPLRLKAGDQFLIQYGLKKSNADMAIGYGFIEPNSARDAFTLTLEISKTDDFYGDKLDIAESNGLGETAEFDIKLGQSLPPPLFPYLRLVSLGGTDNFLLESITGNAVWNLLELPVSRANEELICKVVRDSCRSALSGYHTTIEEDEKLMKGGNLSSRLQIAVGVRAGEKKVLQQVDDIFRERELELDELEYYQEKEA >Solyc08g013980.3.1 pep chromosome:SL3.0:8:3489355:3494179:1 gene:Solyc08g013980.3 transcript:Solyc08g013980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMNIKEGNNQHMNVTNSCSGNNNNNNNNSIGGRDNSSSPTNFQQNQVSMDWTPEEQATLEEGLVKYASETSISRYAKIAIALKNKTVRDVALRCKWTTKKENSRRRKDDANLLKKNKDRKEKLIDPTAVSPPVVMQQPSYAPYAQGVVSNKSEGFALYHATVSMTTQLIHQNARIFEQISANLVAHQIHENTRLLCQARDNIFKILHKLNESSCTLKQMPPLPVKLNEELANTMLPPSTHALG >Solyc08g029270.2.1.1 pep chromosome:SL3.0:8:37229250:37229444:1 gene:Solyc08g029270.2 transcript:Solyc08g029270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIFQGSWLAYQELGRCGWWLRYPIENAFFMPRALTTAFIHSVILPLLNYWTSFLSTITFPCCVS >Solyc01g006430.3.1.1 pep chromosome:SL3.0:1:1033439:1038597:-1 gene:Solyc01g006430.3 transcript:Solyc01g006430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSAPNGGTEVKKNPLQKVPTSKPPFTVGDIKKAIPPHCFQRSLIRSFSYVVYDLILVSIMYYVANTYFHLIPSPYCYIAWPIYWICQGCVCTGIWVNAHECGHHAFSDYQLVDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKSKSQLGWYSKYLNNPLGRVITLTVTLTLGWPLYLAFNVSGRPYDRFACHYDPYGPIYNNRERLQIFLSDAGVLGACYLLYRVALVKGLAWLVCIYGVPLLVVNGFLVLITYLQHTHPSLPHYDSTEWDWLRGALATCDRDYGVLNKVFHNITDTHVVHHLFSAMPHYNAMEATKAVKPLLGDYYQFDGTPIFKAMWREAKECLYVEKDESSQGKGVFWYKNKL >Solyc02g086600.3.1 pep chromosome:SL3.0:2:49883082:49902833:-1 gene:Solyc02g086600.3 transcript:Solyc02g086600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVRNKINPLLCNLPYVLTWRRFGFRTICSGRLGFAPSTSPSVANTDTPVARTKVLETFTEEFEIGSRKITLETGKIARFANGSVILAMEETKVLSTVASSKGDAISDFLPLTVDYQEKQFAQSVIPTTYMRREGAPKERELLCGRLIDRPIRPLFPPGFYHEVQVMASVLSSDGKQDPDILAANASSAALMLSDIPWGGPIGVIRIGRISGQFVVNPSMEELSISDLNLVYACTRDKTLMIDVQAREISEKDLEAALRLAHPEAVKYLDPQIRLAAKAGKQKKEYKLSMVSEKTFEKIQNLAKEPIEAVFTDPTYGKFERGEALEKITQDVKRALEEEGDEEGLKILPKTVDTVRKQVVRRRIISEGLRVDGRCLDEVRPLYCEAGNLPVLHGSAIFSRGDTQVLCTVTLGAPGDAQRLDSLVGPSSKRFMLHYSFPPFCTNEVGKRTGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSMALMDSGIPVREHVAGLSIGLVSEVDPSTGEIKDYRLLTDILGLEDHLGDMDFKIAGTRNGVTAIQLDIKPAGIPLDIICESLDPALKGRLQILEHMEREISAPRIQDNIYSPRLVTSKYSNDALRRLIGPVGALKRKIEDETGARISVSDGTLTIIAKNQSVMEKVQEKVDFIIGREIEIGGVYKGIVVSVKEYGAFVEFNGGQQGLLHISELSHDPVSRVSDVVSVGQQLSLMCIGQDVRGNINLSLKATLPRPKSKTDICVDEPVAPTSQEVNVWAAIEDKSNEQENQGASMGPVTNDSTLNSATPAVLIRSAAECDEEEKSDALNSKSDNGSQSASKSEKKTRIPSSLSESGFSSRSAKKSKRSKDAILDLISDDESEQKHTPEVGLHSQIGSDKDDATSETPMSANKLKLGMKVTAKVHQIRALGLVLDLGGGIRGMYRFESGMKKDFEVGDELRVKCSSFSTKGIPVLSLVKEEESQNTEMLEFCFQEPAFLRAHADRCYELDRENKESRRPPKISSSLLMKIEMNISSSNFPLVNWMVILLLNFALICEADPRISESGLICGTNRTTAAIIIPQFVKLMEVVSQRVTDNNWGNHGVNSTNISIYALANCYQDLPHQDCLLCYAASRTRLPRCLPGKSGRIYLDGCFLRYDHYNFFNETTDSAEDRVNCSSSNGVATGQEVATLNASAGNLIDELTKTAVANGGYAAANLNGVYGLAQCWRTLSTSGCKKCLDKASRDIKGCLPSRDARALIAGCYLRYSTQKFLNDPSGNSSGGISKGVIVAIVLGVTAFTMLALSAAYTARKRSLRRKRARINLGKISNSYKKSSLNFKYENLEKATNYFDPSTKVGQGGNGSVYKGTLPNGNVIAVKRLFFNTRQWVDDFFNEVNLIHGIEHKNLVKLLGCSIEGPESLLVYEFVTNKSLDQYLFDKDKVKILRWEERFRIIVGTAQGIDFLHGGSEIRIIHRDIKSSNVLLDENLEAKIADFGLARCFGADKTHLSTGIAGTLGYMAPEYLVKGQLTEKADVYSYGVLVLEIVSGRKSIAFAEDSGSLLQTVWKLYTTNQVTEALDPLLKGDFPREEASKVLKVGLLCTQASVALRPSMSEVVQMLTCEGYQIPEPCQPPFLNSNLLAGGSIKSSIRSLVSNALYKLDESSSYATTTESLSMQSSSTGPHKSDEFLLKESENTK >Solyc02g070140.1.1.1 pep chromosome:SL3.0:2:40523579:40524166:1 gene:Solyc02g070140.1 transcript:Solyc02g070140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKINEYWKSTDKNMMDYVIDNKPFPHKHTIMKVDDIYGIRMFTPSQIFQSTEEPKNKNVRYLITNQKNERARSSAYKDSRGVWKFSGKIDSIFDPNKRLLGYVKISRWFYYYGEKNKRIIKSEWHMREYYVTPTINSRCNVDKKGVIFVMMFKNQKRNDNDNNIDQDDETPGQLDDHQDFVANQITQSLQGIHL >Solyc01g105490.3.1.1 pep chromosome:SL3.0:1:93527132:93528861:-1 gene:Solyc01g105490.3 transcript:Solyc01g105490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMNIDCPKGEQSRYKSEEDIQLHFLYYPNNDQDDVFVEEQNKIITHLMVLLHIANYEFSHWYC >Solyc03g007470.3.1 pep chromosome:SL3.0:3:2043689:2047569:-1 gene:Solyc03g007470.3 transcript:Solyc03g007470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLFFSDGFIIFHLLFFFRVFVLFNQRRIPISLSGVAMASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYSGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYESTARSWTQKYAMG >Solyc09g059710.2.1 pep chromosome:SL3.0:9:55400758:55404207:-1 gene:Solyc09g059710.2 transcript:Solyc09g059710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFSALVPSPLTQDGLKKLAADKTVEYLKSGMVFGRGTGSTITFVVAKLGASFIWPTHEHPRSSHFDASTESQPMQGSRRSSFNREDGGGSVWYSATAGKEITSFEGVVEHGLFLDMTTVVIISGKEGVSVKSK >Solyc07g052880.3.1 pep chromosome:SL3.0:7:61429892:61431770:1 gene:Solyc07g052880.3 transcript:Solyc07g052880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSLFGYIPVDQGKDDLIKVSSSFDQTSQYIQIVDPKKSQNLAILLKALNVTTELYDALDEGNELPPELRRTLLKMAPTNR >Solyc08g005910.3.1 pep chromosome:SL3.0:8:694828:698045:1 gene:Solyc08g005910.3 transcript:Solyc08g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDQVGSTRRSRRMRITAGDTDDRGWTPLHIVARKGDLKQVRRLLNEGMDANVMAGGPKSFGMTPLHLAAKGGHVRVMDELLERGADIDARAKGACAWTPLHHAAKEKKKKAIKFLIRNGAFLPDDISDTRFNPPLHYCPGLEWAYEEMKLLQLESSSSGEASYSSEN >Solyc03g005700.1.1.1 pep chromosome:SL3.0:3:500223:500525:-1 gene:Solyc03g005700.1 transcript:Solyc03g005700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPMSGKPFALTGSSSKNDGVLARISQSTIVTKGKQAACDATYVGKKLAKSTGKAAWLVATTFLVLGLPLIIVMDREQQLNDLDLQQASLLGASPATTQN >Solyc12g008390.2.1 pep chromosome:SL3.0:12:1822474:1828542:1 gene:Solyc12g008390.2 transcript:Solyc12g008390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWLRAAEDLFEVVDKRAKSVVGENSDEQPNVRGPVPNEKGSQPKRSRIKKKPQKRLSSNEPSEPVNFEREQTSQGMSQSDIASDKDKAIVLTEDSRTNPGSPSSKTSTEDKPKVSEDGVSLDAPISETASNNELNHHADHVEAAEPVDVRAVSSESTGEHTSGNTPDISGETLLLPTAEVVDSVQDKSPVGSSQNTVLLDSGSPVNFQQERSKSLTADEPGKIDRQMKDAKTNAEPDLDQKQLPEHRTVNPGEKQLPERKTVKSSMKEQEQLEEAQGLLKNATSTGQSKEARLARVCAGLSSRLQEYKSENAQLEELLVAERELSKSCEARIKQLQKDLSAAKKEVSRADSSMAEALAAKNAEIEALVSSMDALKKQAALSEGNLASLQANMESLMRNRELTETRMMQALREELGAAERRSEEERAAHNSTKKRLLWKGR >Solyc09g007520.3.1 pep chromosome:SL3.0:9:1092996:1099542:-1 gene:Solyc09g007520.3 transcript:Solyc09g007520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CQE1] MANCSRFLLLLLPLLLHIHNVKSELQLNYYTKSCPRAEDIIKEQVATLYHKHGNTAVSWIRNLFHDCMVKSCDASLYLDTANGLESEKESPRNFGMRNFKYIETIKQALEKECPNTVSCADIVALSARDGLLWLGGPIKIEMKTGRKDSKETYFSEVEKYLPNHNDSISSVLSRFQSIGVDTEGTVALLGAHSVGRVHCVNLVHRLYPTVDPTIDPDYAKYLKGRCPSPNPDPEAVLYSRFDRETTMILDNMYYKNILNKKGLLIVDQELVSDPNTSPFVVKMANDNNYFHQQFARAMLILSENNPLIGDQGEIRKVCRYVNSA >Solyc08g061140.3.1 pep chromosome:SL3.0:8:46859054:46863809:-1 gene:Solyc08g061140.3 transcript:Solyc08g061140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTTLGISIRLGCSSNEHLMLVHPRSRTNIALLFHSYSSRLVTFSRRRNNSAITSSNKKKKSPPRKDTEEVGDIDEDAFEALFQLLEEDLKNDELSLDDDDITEEDLAKLERELEEALKDDELLGEIDSIANENTESSMAKDEEAVAEDANGDDDDEDVDMLVKLKNWQLKKLAYALKKGRRKLNIKNLAADLCLDRAVVLEMLRNPPPNLVLLSAALPDEPVPRMLEAASKPLETVPIEMSDAVKPDAKVETPVHVMQSNWSAQKRLKKVQLETLEQVYRRSKRPTNAMISSIVHVTNLPRRRVLKWFEERRSEEGVPAHRLPYQPSSISE >Solyc03g071795.1.1 pep chromosome:SL3.0:3:20886000:20890467:-1 gene:Solyc03g071795.1 transcript:Solyc03g071795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELGLRIGESCVKETAKIIVILFARYVVLVRLAKGLVDLPLQTSPKELLIMHDPSLSQGGQMNSFTFAGNQYLMQNGNAETFICESNVKLEDEVKEIGLQIKQHEDNIKFLQAALAKTYSASGAGYENKESSNGQHELETIE >Solyc10g083340.2.1 pep chromosome:SL3.0:10:63296507:63302620:1 gene:Solyc10g083340.2 transcript:Solyc10g083340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHQAPNMHPSTRMSFPERHLFLQGGNANGDSGLVLSTDAKPRLKWTPDLHERFIEAVTQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNHHGQANISGVNKAAASMEKICESTGSPKSNPSIGHQPNNNIPISEAIQMQIDVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGTQNLGTIGLEAAKVQLSDLVSKVSNQCLNSAFSEIKELSGFHTPQTQATQRLADCSMDSCLTSSEGPLRDLQEMHNNQLGLRNLNFRPCTEEIENQTRLQQTALRWRDDLKENRLFPKIDEDTEKEFAKETNWSNLSMNVGIQGGKRNVNSSYVDERLNGIDADIKLFHQTATDRSDSTKPEKQVSPQEYKLPYFAPKLDLNTDDQTDAASNCKQLDLNGFSWN >Solyc04g005480.1.1.1 pep chromosome:SL3.0:4:323319:323831:-1 gene:Solyc04g005480.1 transcript:Solyc04g005480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTRAKSTSGSGHSSTHKPNSHPKPSKSELMSSAKLVADAAKAKLHHDPKQFEKSELAGAAADLLNAASQYGKLEETGIGKFVGKAETYLHKYETSHHSTTTATAAATAHTQSSGHTSGGKHEKSGSGYGEYIKMAEGLLKKDSDGSQSSESGKKGDYLKMAGDFLKKH >Solyc06g075663.1.1 pep chromosome:SL3.0:6:47165411:47168038:1 gene:Solyc06g075663.1 transcript:Solyc06g075663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQLCNVESFMILEEIVVHSYIVLICFFMTIYSMLLRKQSTNRRGIRYCMSARIPKILSHLNVLLRDNDIVCIDKLRMDRNTFHILASLAKNIGGLTDSKNMSSTEKLAMFLNILAHHEKNRSIKVDYIRSGWSVSRAFNECVRVILKLTPVLLVKPNPVLEDDSDDRWKWFKIEMTSFPAATSNTSRKRARKSTPSCRRIWTPVEGSAADGRVLRDAVVRRNGLKVPHGNYYLCDGGYTNGNGFLSPYRGYRYWLKDWQGDNPSPRCREKLFNMKHARARNVIERTFGLLKGRWGILRSPSWYSVKVHNRIISACCLIHNFIRREMEADPLDVEMDFHMENQHEHENINTIETSDEWTTWRDELAQSMWNERLGNQSL >Solyc01g106030.3.1 pep chromosome:SL3.0:1:93901607:93908706:1 gene:Solyc01g106030.3 transcript:Solyc01g106030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKKYKSTTSKMAEANRRANMYGRETMNAALHQDRHQQTQIDDDDDDDVVAAVGGGGSGGGGIESMDNPTPHIRYDQHHHSHSHALHNGGAGGSMEMNGVEGVSHNALYGPPSEIVPTAGSGASDQLTLSFQGEVYVFDAVSPEKVQAVLLLLGGYEVPPGIPAVNVVPQSQRASGDFPGRLNQPERAASLNRFREKRKERCFDKKIRYTVRKEVAMRMQRKKGQFTSAKSIPDEVGSSADWNEGSGQEEQETSCRHCNISSKSTPMMRRGPAGPRSLCNACGLKWANKGILRDLSKVPAPGTQDQTAKPGEQVQNLHFLFTIIGIVCRT >Solyc09g089865.1.1 pep chromosome:SL3.0:9:69964658:69966644:-1 gene:Solyc09g089865.1 transcript:Solyc09g089865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGISPSIRVDILVFLYPNYVVLLIYEHLWILYDAAAEGISIPGFPGHTTTDWAWKSSCSSPHKGAETIKTPKSYPLHCIHDGIVCVT >Solyc02g083620.3.1 pep chromosome:SL3.0:2:47517780:47522066:1 gene:Solyc02g083620.3 transcript:Solyc02g083620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKVDAEYLKEIEKARRDLRALISSKNCAPIMLRLAWHDAGTYDATTKTGGPDGSIRNEVEYKHGANSGLKIAIDLCEEIKARHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSLSSPEEGRLPDAKQGPPHLRDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFEGPWTKEPLKFDNSYFVELLKEDSEDLLKLPTDKALVEDPQFRPYVELYAKDEEAFFRDYAESHKKLSELGFTPPSSCFKLTVKNSTVLAQGAVGVAVAAAVVILSYFYEVNRRIKH >Solyc06g072465.1.1 pep chromosome:SL3.0:6:44837072:44840147:-1 gene:Solyc06g072465.1 transcript:Solyc06g072465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSIASPSGVTFTSKMNLSKSSFHGIQIPKIMQARAVNAPPHSCSSLVVKMAKREEELKEIRTKTTEELQEEIVDLKGELFMLRLQRSARNEFKSSEFLRMRKRIARMLTVKREREVEEGINKRISRKLDRKWKKSIVPRPPPSLKKLREEEAAEEAKESAS >Solyc05g047718.1.1.1 pep chromosome:SL3.0:5:60130876:60131835:1 gene:Solyc05g047718.1 transcript:Solyc05g047718.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKVNYALIEDDTKRKISYDKRLKGLLKKSDELKTLCDVEVATVIYGPYRNEPYAFPNNNVVRNTFIKFKELPILDRSKNMVTREEFTMKRIKKLEEQLQKIRKENRVKEMTNEMYELFNRKTLSVDMSTSYLNDLRCVIKKNLKQVHELMIKEADGEGFTLNAPQLIVEPMVSSRDNFEGPINPSPLLFSEMFPPMVPQLFSPMPQHKTIGKTSRLTHQMPSTKMDSLMTSQTIDSPMPSLTMSPTLTQQIEPSMDIPSIGISTSTNNDHNLSVNLPDSPTISGLLNAKDDDVMTLLDDPFFKNINVQDPNNAKKN >Solyc04g077450.3.1 pep chromosome:SL3.0:4:62451180:62454675:1 gene:Solyc04g077450.3 transcript:Solyc04g077450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKCSDLEQWKEALSSYEASIESLNKPNLVSLDDFYRNELPGVLRQRNPSYITTPELSKLMQWKLTRGKWRPRLLDFVSSLDDAVVRSASEKAFQSLPDISKAISALTVLKGVGPATASAVLAAYAPDTAPFMSDEAMVAAIGNSKDYTLKQYLVLVDKLQAKSKELSAKEDSFTPSDVERALWSSVVGAKSGGLSQEPKEVNSKRKSKRKRA >Solyc11g066280.2.1 pep chromosome:SL3.0:11:52303644:52309660:1 gene:Solyc11g066280.2 transcript:Solyc11g066280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERRVKEKQEGRESMRPSMIKNKEKRAAVHAKLKQQKKVEKRKKVKAREAAEKKALELGEEPPAKMVPRTIENTRESDETVCLPDDEELFAGNDVDEFSAVLKNERSPKILITTSRYNSTRGPAFISDLISVIPNAHYYKRGTYDLKKIVQYANEKEFTSVIVVHTNRREPDALLVIGLPDGPTAHFKLSKLMLRKDIKNHGKPTSHKPELVLTNFTTRLGHRVGRMIQSLFPQDPEFRGRRVVTFHNQRDFIFFRHHRYIFETKESKQKESKGKKSKDEKNPQERTIARLQECGPRFTLKLISLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >Solyc11g061715.1.1 pep chromosome:SL3.0:11:48530014:48538144:1 gene:Solyc11g061715.1 transcript:Solyc11g061715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGLNSTNRDNFEEYLNQSLEVLEIKDGNEDLLGWWSRRSDEFQPLNKMIRDVLAIQASSVASEAAFNAESEKSKGAVFSFSRGPRDLGLFFCTPGDQIMVIMFTWLMQALSNSVDSKLDVRTGNARYCRSPMRLRKWVISTKGVSAPFVDEETAIGVG >Solyc01g107980.3.1.1 pep chromosome:SL3.0:1:95256403:95257650:-1 gene:Solyc01g107980.3 transcript:Solyc01g107980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B314] MPASLDPLDVGVQIPYHFRCPISLELMRDPVTVCTGQTYDRQSIESWVATGNTTCPVTRAPLSDFTLIPNHTLRRLIQEWCVANRAFGVERIPTPKQPADPSLVRSLLNQAAAQSNHMNSRVAALRRLRGLARDSEKNRSVISANNAREILLAIVFSRMDSDASELHHESLAILSMFPLSEPECVFVASDPGRVGYLVAMLFHPSIDVRVNSAALIETVVAGMRSPEFRAQISNADDVFEGVVGILNYPLAYPRALKVGIKALFALCLVKQHRQRAVSAGAVEALIDRLQDFEKCDAERALATIELLSRIPSGCAALASHALTVPLLVKIILKISERATEYAAGALLSLCSASEQAQKEAVAAGVLIQLLLLVQSDCTERAKRKAQMLLKQLRDCWPEDSIANTDDFACSDVVPF >Solyc05g017745.1.1 pep chromosome:SL3.0:5:18290661:18310589:1 gene:Solyc05g017745.1 transcript:Solyc05g017745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNEYGVEWGVEIESSMNMVIIKGGWTAFREDNKKATRETCRFKLIRAYCKCFAEEEDILVLQDNVNVMNEEEEDILVNLQANTNVIEEEEEDITVNLQANDYVIEQETQKQQQGLELLTHIPMSLLRNRMMSPKICDHMIAERKFINLKSKILDE >Solyc10g074620.2.1 pep chromosome:SL3.0:10:58229772:58236048:1 gene:Solyc10g074620.2 transcript:Solyc10g074620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTSAPSKKTTRTPGGTNPGGSQGAAGKTGSSSGQTVKFARRTSSGRYVSLSREDLDMSGELSGDYMNYTVQIPPTPDNQPMDTSVAAKAEEQYVSNSLFTGGFNSVTRAHLMDKVIESEVNHPQMAGSKGSSCSMPACDGKIMKDERGNDVIPCECRYKICRDCYMDAQKDTGLCPGCKEAYKVGDLDDEIPNFSNGALSLPAPDGSKGMMRRNQNGEFDHNKWLFETQGTYGYGNAYWPDERDGDDGDGSMPKTMLDTSADIPWKPLSRKLPIPHSIISPYRLLIVIRLIVLGFFLTWRIRHPNPDAMWLWFMSIICEVWFAFSWILDQMPKISPVNRSTDLAVLREKFEMPSPSNPTGRSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLACYISDDGGALLTFEAMAEAASFADLWVPFCRKHEIEPRNPEAYFLLKGDPTKNKKRIDFVKDRRRVKREYDEFKVRINGLQDSIRRRSDAFNAREEMKMLKHMKENGTDPAEAIKVQKATWMADGTHWPGSWAVPSRDHGKGDHPGILQVMLKPPSSDPLMGVGDQDKLLDFSDVDIRLPMFVYMSREKRRGYDHNKKAGAMNALVRASAILSNGAFILNLDCDHYVYNCLAIREGMCFMMDRGGEDICYIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMYVGTGCMFRRFALYGFEPANPDKTPQKGAEAQALKATDFDPDLDVNLLPKRFGNSTMLAESIPIAEFQGRPIADHPAVKYGRPPGALRIPKEPLDATTVAEALIEQCSITKVTQFIRYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSIYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSGNNAFLATRKLNMLQRLAYLNVGIYPFTSFFLIIYCFLPALSLISGQFIVQNVNVVFLVFLLTISLCLIGLAILEVKWSGVALEDWWRNEQFWLISGTSAHLAAVVQGLLKVIAGIEISFTLTSKSAGEDEDDAYAELYMVKWTSLMIPPIVIGMVNIIAIVVAFSRAVFAVVPQWGRFIGGAFFAFWVLAHLYPFAKGLMGRRRKTPTIVFVWSGLIAITLSLLWIAIGNPQLGQGQGVAGAGFQFP >Solyc09g090220.3.1 pep chromosome:SL3.0:9:70246538:70248793:1 gene:Solyc09g090220.3 transcript:Solyc09g090220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSENLVKTLLRNPSSIKTKSQAKQLHAQIVKTRGSRSVSLATIILGIYSDLNLLKESLEVFNNFHYVPTKAWKSVVRCYSCNGYFRDSLACFVEMRGWGKLPGRDVFPSVVRACTHLKELRVLSHDYHLFDEIPLSDRVYSRRSSLAQDSEIGILIRSEKSHFESLGGRSVKNATGLDSVSKIFQMMPDKDVVSWNTVIGGNVQSGLYEEALERLREMSNAYLKPDCFTLSSVLPVFARHVDVLKGKEIHGYAIRHGFDKDEFIGSSLIDMYANCTRVEDSYRVFNLLSEKDDVSWNSVIAGCVQNGTFVEGLGLFRQMLAANVKPVEVSFSAILPACAHLTTLHLGKQLHAYIIRVGFNQNMYIASSLVDMYAKSGKIMTARLIFDRMEIHDSVSWTTIIMGYALNGHAREATILFENMQHDKIKPNAVAYLAILTACSHAGLVDEGWKYFTSMNRYGVSPDLEHYASIADLLGRAGRLMEAYKFINDMPIKPTGSIWATLLSACRVHKNVELAEKVAKEMTTADPGNMGPYLLLSNMYSAAGRWKDASKLRTNMKKKGMRKPPACSWIEVRNQVHAFVSGDTSHPYYDQIHVVLRDLYERLKQEGYVPQISEALHDVDEEQKSDLLYTHSERLAIAFGIISTPAGTNICIIKNLRVCVDCHTAIKFISKIMGRDIIVRDNSRFHLFKDGSCSCGDYW >Solyc02g078805.1.1 pep chromosome:SL3.0:2:44021853:44027240:1 gene:Solyc02g078805.1 transcript:Solyc02g078805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCKWLPDMVITGLLGENPVTIKKVTSPSPKVVPPLNSKENKNQLSQKLNSVVGLPAKINGLPVGKSFVVPSVVPRDIPEEKKLDTCRRESVSATSASSGIPQKPSHIKCPSSNNFDMEKISVALQSEIADNLLHTVDSKKESDINSRLMADNDSRERSEAKDSAGKHGGEKLEKTSLQPPLNSRENRNVGSEGRKELHPVRFVNGVAVVRGRTRSLVERFEKREGLNIDDVHKLDVVSHSKTEETDVSPLPPSCCKDEELETFSTKFEEEPETSPLTASRFKTEEAMRPMPLAHSKVEETNSSALPASQYEVEDLEASHKPASKSKSEEVRKNHLPASHSEAEKLEASLMLASQFKYEEVRESHLPASCSKAEEFEASPILASQVKSEDVRKSRLPTSRSRTGELGASSIPASQLKPENVRRSRLSASRSKAEELEASPVPVSKFRSGTRTSRLSAFRSKAEEAKTSRLLASRSKAEEAQTLRLLSSGSNAEQPQIAPLPDANQQIIARCEPVQNDDIIIEDLMQRHDVLLNSFRSRLTKLQVVRHYWERNDIRGAFEALRKLSDYSVSIYSSVIVVQADVVSVLVDKMEIITLDLFSFLLPVLLALLETKIERHANVSLELLLKLVAVFGPVVRSAASARPSVGVDLHAEARQCFAYLQDIQKTLPELISETTEWEVSYYTMFSNLCLFMDTHNFAPRVSVLATIFTNLVTAENWQDGNAVSVLRHCNWVGRQR >Solyc04g056410.3.1 pep chromosome:SL3.0:4:54121354:54136676:1 gene:Solyc04g056410.3 transcript:Solyc04g056410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEGEALSASSDESLRVPEKDIDCVSNSGDDDDDDDEWNVASQTGTSSPDEDRKSQNVDALVRGNLIVKRQSLLPRVYSVTDAAANLRKPFKPPSSNGYSSSNEHLARRLCARKRFVPWGSTSPTLIAITNRLKAPEAAEIDVVEDNLELPPGVEPLVLWQPEEIVEEGYSLVPIIVDLLLVRFLRPHQREGVQFMFDCVSGALSSFNINGCILADDMGLGKTLQSITLLYTLLRQGFDGKPMVRKAIIATPTSLVSNWEAEINKWVGERVKLVALCESTRDDVVSGIESFINPHSNIQVLIVSYETFRMHSSKFSNSGSCDLLICDEAHRLKNDQTLTNRALASLACKRRVLLSGTPMQNDLEEFYAMVNFTNPGILGDAAHFRRYFETPIICGREPTATEEEKKLGSDRSAELSSKVNQFILRRTNALLSNHLPPKIIEVVCCKLTPLQSELYNHFIHSKNVKRAIAEEAKQSKILAYITALKKLCNHPKLIYDTIRGGSPGTSGFEDCIRFFPPEMFSGRCGSWTGGAGLWVELSGKMHVLARLLAQLRQKTDDRIVLVSNYTQTLDLFSQLCRERRYPFLRLDGTTSISKRQKLVNHFNDPAKDEFAFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKVIQQEQTDSDIQGNCLSAEDLRDLFTFHDSRSEIHEKMSCDRCQPDAMMPDDNIIADLHTQGHQPDQEDIGGFAGVAGCLHTLQSSERQIGAPKEEDLASWGHHFSPKSVPDVIFQSAAGDEVSFVFTCQVDGKLVPVESTVKSKQEVENRDSPHFKEMLMRKATFSSPRQTPSLKTLSSSKLSSEKENSFPKQDLILQAQSQALSASTPSGTSMSTFPAFFKPLQKPRSKLNKPLEDTKISEKSKLSSENLLPKKRLAPDYIYDDDFA >Solyc01g087160.2.1.1 pep chromosome:SL3.0:1:81978037:81979940:1 gene:Solyc01g087160.2 transcript:Solyc01g087160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTYICSPSNFLPPPSTKRCTIKTIYTTPPINLICLAKHENELIESYLARRQADQVASAQAKLLKRNYQRNQFGNWFSNSHRMVQQLN >Solyc06g071260.3.1 pep chromosome:SL3.0:6:43985115:43987586:1 gene:Solyc06g071260.3 transcript:Solyc06g071260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASKAGDLLFKAFVGGLGVTTIYLTATFSVNVYRGLSWHKAQSKNEKEGSVVQQEE >Solyc08g078380.3.1 pep chromosome:SL3.0:8:62321273:62324446:1 gene:Solyc08g078380.3 transcript:Solyc08g078380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETFIRPNNKSKKKQRSPLLTFLFLFTLILLFFYFKNFISPSLLPLSKKSIPIIPRPQQCNPENRLQEKFMWYAPHSGFSNQLAEFKNAILMAKILNRTLIVPPVLDHHAVALGSCPKFRVLEPNELRYLVWNHSIQLLRDCRYVSMADIVDLSPLASYSTVRFIDFRAFVSSWCGVNLDVICSKNQNIPSSLFESLRQCGSLLSGYYGSFSGCLSALKEDCRTTVWTYKKDDEDGALDSFQPDDQLRKKKKISFIRRRKDVYKALGPGSAAESATVLAFGSLFTAPYKGSESHIDIHEAPNNPIVQTLIKKIEFLPFVPEIINAGKKFALQTIKGPFLCAQLRLLDGQFKNHHKATFLGLKQKLESLRQTGQKQIHVFVMTDLPMANWTGSYLGNLAKDSDAFKLFVIREEDDLVQETAREVMASGHGLKLGSVSQNTVGISEHHHPQSLTDVLLYIEEVVCSCASLGFVGTSGSTIAESIELMRKHDICSG >Solyc01g110340.3.1 pep chromosome:SL3.0:1:96984647:96990488:1 gene:Solyc01g110340.3 transcript:Solyc01g110340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4B3P7] MKRNIISSKLMLLLLLLLPLLNCHGSYLDYTEALTKSILFFEGQRSGYLPQDQRMSWRGHSGLSDGWEMNVDLTGGYYDAGDNVKFNFPMAFTTTLLAWSVIEFGEIMPPAELRNGLVAIRWSTDYLLKTVSQPDRIFVQVGDPVLDHDCWERPEDMDTARTVYTVDAPNPASDVAGETAAALAAASIAFRPSDPGYAETLLRTSTRVFDFADRNRGAYSDNNNIREGVCPYYCDFDGYQDELLWGAAWLRRATQRDDYLSYIQENRQTLGADDNINEFGWDNKHAGVNVLVSKEVLDGTTYSLQSYKASADSFMCTLIPESSSSHIQYSPGGLIYKPGGSNLQHATTITFLLLVYANYLEKSSQILNCGTISVSPSMLRKIGKRQVDYILGENPKGISYMVGYSNYYPQKIHHRGSTIPSIKDHPQVIGCNEGSIYFNSSQPNPNVLVGAIVGGPGEDDVYDDNRDEFRKSEPTTYINAPFVGALAYFAANPNVN >Solyc06g084622.1.1 pep chromosome:SL3.0:6:49733065:49733763:-1 gene:Solyc06g084622.1 transcript:Solyc06g084622.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDLCQVLVYAYGCPSRLVRPIWKHTIYWVIRIPMSKIPKFFCGRRSRPCLCRRLALTASPTHFEGQTSPEARIPLISMIFESKIPKIFVDVRQYPGYVSSWPSRPIQLIFNIKRAPKRAYPNFDDFVIRIPTSKMPKNFVDVCQDLGCAASWPSRPVRPIFKVKRAPKRAYPICRRFSCAIANHF >Solyc06g008410.1.1.1 pep chromosome:SL3.0:6:2339145:2339417:-1 gene:Solyc06g008410.1 transcript:Solyc06g008410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISNMFIIHGPLFMHLLQRHLDDLLDSNAYSIALIKEEVGLVKQDLEWIRNIEQEWYKDLWPRVLDVAYEAKDVIDSIIVRQTQIELNL >Solyc12g097075.1.1 pep chromosome:SL3.0:12:66702077:66704466:-1 gene:Solyc12g097075.1 transcript:Solyc12g097075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQVLRKQELLQAGKKKIKLCISGLFLYRELSASIEYCSETGGNSGMVVEEIGPRPEAQKISGRRFTSLKLRCEGKKMRLAHHCHEF >Solyc03g118370.3.1 pep chromosome:SL3.0:3:68750063:68754180:-1 gene:Solyc03g118370.3 transcript:Solyc03g118370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4BLY8] MAMAVFLFLLYAYVGIVSALPHPDKITKLPGQPQVGFQQFSGYVTVDDKKQRSLFYYFVEAETDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPRGEGLVINEHSWNKEANMLYLESPIGVGFSYSSDTSSYETVNDEITARDNVVFLLRWFHKFPQYRKSNLFLTGESYAGHYVPQLAKLMMAFNKKQQLFNLKGIALGNPVLEFATDFNSRAEYFWSHGLISDPTYRMFSSACNYSRYVSEYYRDTVSPICSRVMSLVSRETSKFVDKYDVTLDVCISSVLSQSKIISPQENSEKLDVCVEDEVVNYLNRKDVKRALHAELVGVHRWSVCSSILDYQLLDIEIPTISIIGTLIKERIPVLIYSGDQDSVVPLIGSRATVQQLARQMQLNTTVPYRVWFAGQQVGGWTHVYDNILSFATIRGASHEAPFSQPERSLVLFKSFLEGKPLPEVF >Solyc07g032794.1.1 pep chromosome:SL3.0:7:41584294:41589759:-1 gene:Solyc07g032794.1 transcript:Solyc07g032794.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNKKSLRRSPRIVMVLYLESKVYNRSQKKREVSSSTSMDEVPSFSLGISQISGEQNNEEKNNEEQNKKQKKGKKRVKEGLEKKRPVNDRKTQNCLTKTVILPETRYPLSDSVRHELKSTHKYYRISGSPFALQIWIFECSSKVDEDITICVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKFENIVANEDEVSKFRLPETPLEENIDLGFNQIKEFVVNSNKQLLEDISLLFAKSGGSNSVIREVKEPTKKHFGETFSGGLDFNEDEDVAGIAVEKVLLEVFETKHPFLYATGGDDESDLIDSFTKWLYMGTKKRGKKPYTDALNVINPAVELGICTHIDVIFYNLRKKVKYETNSNVRFTMIDCVFKTKITNSFFKYCDALEDKKFFNVLDSDDIARYISGRRLLASTSFDKVNFFLIPLNIKENRHWIFVVFYIGERSLEVYDSFPARGGVNLEVKNIVEMLSVVLPCNLIVVKFYDKRPELKATPKYSEINEVEKIKFHFITKGVPKQQEDSL >Solyc01g103890.3.1 pep chromosome:SL3.0:1:92285314:92291757:-1 gene:Solyc01g103890.3 transcript:Solyc01g103890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQFSIRRRKKGMPPLPTPPVDNGEPQNSISLPVGTVKASKKKVGGARLWMRFDRWGQSELNEWDKNSIIKRAGIPTRDLRILGPIFSHSSSILAREKAMVVNLEFIRAIITAEEVLLLDPLRQEVLPFVDQLRQQLPHKSLLKVNGTSTEQDDNEGHFPNAGHWLPVPEAVEGLQAELPFEFQVLEIALEVVCTFLDSSVADLERDAYPVLDELAMNVSTKNLEQVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMAQLYLTRKWIQNQQPETLLGTIVSNSPVPAAPNLRRLNSARSRSGSLVSNHFNYYDVEDLEMLLEAYFMQLEGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLTLTIASFAVAVETLIAGWFGMNIPCTLYHTEGLFWPFVLCMTIGCIMLFLVILGYARWKKLLGS >Solyc10g009550.3.1 pep chromosome:SL3.0:10:3661106:3663184:-1 gene:Solyc10g009550.3 transcript:Solyc10g009550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKVWNKESLITELTQGKEFVNQFDPLASPEENDFLLEKILSSIDKSLSILNCEVYNGTNDPFPLRDQGQNKKRKKMQQWSKQVRVHGTELESFNHDDGYSWRKYGQKNILGAIHPRAYYRCTHRNTQGCLATKQVQKSEQDPLVFDVTYKGMHSCKTSHSSTFISYEKQKPNQCQIKKQRVENLNTIKEETVPFTPLQCESHNAQCFVNSIEPLASESMYLSLLPYQEEEFEMDKILQSSESDRIVFSSTPTSIIDSPFSRDWDLSVNDQLDVHDPNLMIDISEYFT >Solyc08g007435.1.1.1 pep chromosome:SL3.0:8:2002964:2003458:1 gene:Solyc08g007435.1 transcript:Solyc08g007435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVRGFRLGRRLVRVFKLFIHRRKKGYKRLGSSNCATKAISKLCNFGNLLKHGVKSVNFAKPGSGYIRVGSELMDQNQIPKGHLAVYVGEKQDVACRVLVPVIYFNHPLFVDLLREAEMVYGFNHSGGIQIPCRISEFENVQSRIAATSRGGSCRGGRSWRCN >Solyc01g110310.3.1 pep chromosome:SL3.0:1:96960535:96962073:1 gene:Solyc01g110310.3 transcript:Solyc01g110310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4B3P4] MELIEARALKSSFLSDMAMKNTQQVFLDDIWCVTGINNGASEDFSVDDLLDFSDKDFKDPELHEDDEKTSFSGSSQKRNSQDSTFSGMESFGSLAGELPIPVDDMENLEWLSQFVDDTPSEFSLLCPTESFKDKTGGFTESRSEPVVRPVVKKTRVPCFPLPFPVKPRSKRSRQAGRTWSFPSSAVSGDSSSPTSSSYGSSPFPSGFFTNPVYDGDLFCSVEKPPLKKPKKNPSVETGSGRRCTHCQVQKTPQWRAGPLGPKTLCNACGVRYKSGRLFPEYRPACSPTFSLEVHSNSHRKVLEMRRKKETGEGIDSGLASMISTC >Solyc11g010390.1.1.1 pep chromosome:SL3.0:11:3466388:3466807:-1 gene:Solyc11g010390.1 transcript:Solyc11g010390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFRVFLFLAFFVASSIAQSPAPAPKISPAATPTPAPAPVLSPPTATPTPSPTPTPTPAPSTAPTTSPTPSPAASATSPSPGPSGAGVVSPPAPTPTGGAPADQPSADNTPSSPNAGNRAVIGGAAFAGVIIAAALM >Solyc04g011570.3.1 pep chromosome:SL3.0:4:3992465:3997466:1 gene:Solyc04g011570.3 transcript:Solyc04g011570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEIEMGVDHTEPEESSPLIPPTVITVPNINDDDDIIDLEAGPDEHIQCRICLESDGRDFIAPCKCKGTSKYVHRECLDQWRAVKEGFAFAHCTTCKAPYHLRVHVNDDRKWRTLKFRFFVTRDILSIFLAVQLVIASLGYLVYIIDTYKKSWLRLIWGFDSELSFYYICGALLFFALLGLSGCFITCFDRRVRNDLAQPCREVCFCCCHPGVCADGHLTGALCIWTDCSTCFEGCASMAGECGSCMGGAGEAGLPFLFIVALVVIGLFTIIGIFYSILVITMVGQRIWQRHYHILAKRMLTKEYVVQDVDGEDTGNDWSPPPLPQEHVQQLKTLGLL >Solyc06g068660.3.1 pep chromosome:SL3.0:6:42681391:42685287:-1 gene:Solyc06g068660.3 transcript:Solyc06g068660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAGRGAQSMNFMYIKPILRKAYHRKSTSPEMISDTVKLNGEDQVKSVMKNNHDDSWWVPDDRTGIFYPKGQQKVIEDVPSAAAKDYGAVNWFSNHEDYL >Solyc04g078980.3.1 pep chromosome:SL3.0:4:63688056:63691484:-1 gene:Solyc04g078980.3 transcript:Solyc04g078980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BUY2] MKSLPSKALIIKINLVFLVCFLIAYAALLHWQLSSLYHEYSKSTTRCTSCECHHKVEEDPIFVNGTNAILKKMVKHKKPSFVKEIMGRGMKIGMVNMEDEDVSEWRIHGQIIKVQFKKVSELLEWDDLFPGQIDEELEEMDRRQTCPEIPMPHFYGYNNMDIIVVKLPCNYPQEGWKRDVFRLQVHLVAANLAVNRKKGKNGKMKLIFLSKCMPMMEIFRCNELKKREGDWWYYEPNMAKLAQKVSLPIGSCKLALTLREKGTKKEYDISNVQSREAYATVLHSSGKYVCGAITLAQSLLRTGTRRDLVLLLDDTIPQPKRDALVKAGWKLRLIQRIRNPRADKNSYNKYNYSKFRLWQLIDYEKVVFIDADIIVLRNIDILFKFPQLSATGNDGSIFNSGVMVIEPSNCTFNMLMQHTKDIISYNGGDQGFLNEIFVWWHRLPRRVNFFKNFENLNEVSAKNQLFEADPPQLYAVHYLGLKPWMCYRDYDCNWDVSYLRVYASDIAHRTWWKIHDTMDENLQKFCGLSKQRKIELYFSRKEAEEMGLKDEHWRINVTDPRRLN >Solyc01g058160.3.1 pep chromosome:SL3.0:1:65490724:65493070:1 gene:Solyc01g058160.3 transcript:Solyc01g058160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHTAQQCFNKGDDVEVLKTNPFTIWLPSTVIRSTPCKKTRNGQIYVEFQTLSAEEPSGSRREYVNAGDVRPAPPPELHRYFKVGDNVEVLYEQKGWRKGKVTDILKNSMYFVSLDGVEEEIVKAEQWDLRVYRVWDDGSWLPPLDFQQMPQKNRPEVELKSRGVKLRIMCSRSWKDVFSEGMSVEVKRDIEGCYGSWHTAAIVESVGYDKFLVEYEKLKTVNGTQFLKEEVDASYIRPCPPEIRSFHQYEHHDRVDAWLNDGWWEGHITEVLGGLKYTVCLMNTEDEFVFEHSMLRPRQEWVREKWLTAREFDRSSSDMTLKSKELKIRIKCSGRTSKPKFSKGMREK >Solyc01g105430.3.1 pep chromosome:SL3.0:1:93499938:93501393:1 gene:Solyc01g105430.3 transcript:Solyc01g105430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLRFRLILRELEESVDLSSTSKLWFASLISIDPIISPCIPAPVTMPIKKFGTIAATFIIRLSTTIILMKRTKAK >Solyc07g020966.1.1 pep chromosome:SL3.0:7:15140823:15141387:-1 gene:Solyc07g020966.1 transcript:Solyc07g020966.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINIGRKRIVIARQVTFSKRCIGRFKKESELCTLCGAYVVVVIFSSSNKVYSCGHPSAEFIVDKFLGENQPGFDAPNSTSLSRQNVNLDEINNELNMLENSLEQQKKHGKALQGLREELPYERLNFSDLKKLIELLEASQLMEYYMEFPYQTIGMCLSPLRVDANSSSNFSEASSGSNE >Solyc06g051920.3.1 pep chromosome:SL3.0:6:35681905:35691813:1 gene:Solyc06g051920.3 transcript:Solyc06g051920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHRQEEFSRFHGWKSEKNSEGNFHDKDGVHRTKVVIASNELHKGLEPGKWKAKSIIQAVKSSLSGFVEESLGSNKNILDPQGPFLQKWNKIFVLSCVIAISLDPLFLYIPLIDNDNKCLGIDRTLEVTASVLRSFTDIFYFLHIALQFRTGFIAPSSRVFGRGVLVEDAWEIAKRYLSTYFLIDILAVLPLPQVVILIIIPKLRGARSLNTKNLLKSVVFFQYIPRVLRVYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETTCWKQACANSSACRHASLYCDDNHTGFKTLLNISCPIETPNTTLFDFGMFLDALQSDVVGSMNFPQKFFYCFWWGLQNLSSLGQNLQTSTYVWEICFAVFISISGLVLFAFLIGNMQVALCNLFTCLQSSTMRLEEMRVKRRDAEQWMSHRLLPENLRERIRRYEQYKWQETRGVEEENLIHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLKPVLYTENSYIVREGDPVNEMLFVMRGKLQSVTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSTNLPTSTRTAQALSEVEAFALVADDLKFVASQFRRLHSKQLRHTFRFYSGHWRTWAACFLQAAWRNYCRKKVEESLRVEESRLQDALAKEGSSSPSFGATIYASRFAANALRALRRNKANKARVPDRISPILLQKPTEPDFTAEDK >Solyc01g066450.3.1 pep chromosome:SL3.0:1:74226998:74229801:1 gene:Solyc01g066450.3 transcript:Solyc01g066450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQVEHKTVAVNGINMHFVEIGQGPLVLFIHGFPECWYSWRHQMSFMAKQGYRAVAPDLRGYGDTTGAPNDDPNKFTTLDIVGDLVELLNNIAPQEEKVFVVGHDWGAVVSWALCLYRPDKVKAFVCLSVAFNPRNPLNKPLDTLRKVYGDDYYVVRFQEAGVIEGEFEELGIKNVLKKFLTYRTPGPLYLKKGKPFEDTSSTNDDELPAWLSHEDIDFYANKYKQIGFTGALNYYRSIDRNWEVTAAWTGAKIQVPVKFIVGDLDITYNAPGAKDYLHKGGFKKLVPLLEDVVVLQGVGHFIHQEKPKEINEHIYNYITKISSYKNHSICAIL >Solyc11g044330.2.1 pep chromosome:SL3.0:11:33173969:33177959:-1 gene:Solyc11g044330.2 transcript:Solyc11g044330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSALVAEKVWNDIESTHSVSDEQLSTLHFLFGKNLERAMTIVDQRGVKRILGHPSGRSIFQVVSESKRKEEYLCFPQHYCACYSFFYDIVNRGEQLCVL >Solyc04g050866.1.1 pep chromosome:SL3.0:4:48770942:48771937:1 gene:Solyc04g050866.1 transcript:Solyc04g050866.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSNMEAYSPNLTALWYRCNVIVLSCIMNYVSKELLGGIVYSTNAIVMWWDLKDIYDKVDGSRIFQLINEIASSSQVKDQCQSHKSGCQTEITALVSIRARSNPENRLKKPFSPYGYDPNGFTKAICFRLVGYPQNFERRRSENLNNQQSNKKGLPG >Solyc06g005290.3.1 pep chromosome:SL3.0:6:295454:304943:-1 gene:Solyc06g005290.3 transcript:Solyc06g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAESLSAPLKDNKLFKGVFAVGGIMSTLVIYGILQEKIMRVPYGPNKDYFIYSLFLVFCNRITTSAVSAGVLLASKKALDPVAPLHKYCLVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGTIIMQKKYKGQDYFVAFLVTLGCSLFILYPAEGDTSPYSRERESTIWGVSLMMGYLGFDGFTSTFQDKLFKGYDMEIHTQIFYTTVCSCLLSFTGMIMQGNLLMAIDFISRHHDCFFDIALLSTVATASQFFISYTIRTFGALTFATIMTTRQLLSILLSCLWFGHPLSWEQCIGAVIVFGALYARSFLNNTQMKPPLSLEKTENRASSPPKGNP >Solyc06g069630.3.1.1 pep chromosome:SL3.0:6:43469144:43473773:-1 gene:Solyc06g069630.3 transcript:Solyc06g069630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIPENLEKIEPIVNRVRRNSTGSVGFEMGGYKVLSRYLDVPRSSCHDMCKHGYEHDSPTKAKIPRPSRATLGKILERKKVPGSTKLPSEIRSQTTCQVNGQEMQSSTKRLAPSGNQQSESKLKPLEENASRRHQRRYSDNFIPGNSSKLQESLSNGSSSRQNQSCKMEKDSGSSELSKKKNIVTSTVALSPKSSVKKVSSTISSNNSPRKASQLNSRTSLAPSRTGKISHENVKKKTSHLRESKTKSNTTGSSQENSKAKESSLHRDGSKRGKTVSSSLCSPSFPPSSGGSNSRTIDTTTQSSVSSSSLMSPSSNSANESAHCDGTSSSTSQNGTTTQKQSTKPRKAGQLGLEKEDCSPKQLKFKKGKTIDIQSEKYSPRKQKLRQVTIKDKGENENGNPIGKGLRKSNADGHSYAAKGEVVTVNLRSNGIEDSKETPSLFNNVIEITASKLAKTRRSKVKALVGAFETVISLQDKKSSPVIGRS >Solyc08g078370.3.1 pep chromosome:SL3.0:8:62315395:62320207:-1 gene:Solyc08g078370.3 transcript:Solyc08g078370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFRLQSCNCPLLSGRSPPSTLLLHVPTRCNLTCNSSFTRFKMSISSTPQTAAIPENHSVLGCGMAAVDFLVAVDSYPNPDDKIRSTSFQVQGGGNAGNALTCAARLGLSPRIISKRVVCNTVLELIGEKTVFVPMQMDVADDSQGKAILEELEADGVDTSFMVVSEGGHSTFSYVIVDSQAKTRTCIYTPGYPPMIPEDLSKSNLSSALDDVRFVYFDGVLQETELLSALVVAREAHRRNIPIVIDAESKRERVDDLLNLATYVVCSARFPQVWTEAPSIPAALVSVLLKLPNIKFVIVTLGEDGCMMLERAEAEDLQSEEIDVDELFKKMKQSIDTDATSATSISSDIAKLRAKGIGTVSGKLLLGTAEKIPPSELVDTTGAGDAFIGAVLYALCANMPPERMLPFASQVAGIGCRALGARAGLPQLTDPRLKPFLVNDPQNVATLL >Solyc07g056590.3.1 pep chromosome:SL3.0:7:64530186:64538399:1 gene:Solyc07g056590.3 transcript:Solyc07g056590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADAQVCGETAVIEAPAVQSLLSNTDDNKFSDVGSEESECNSEKNVNSESVDNGGKDCSDVKSDYKMQDIVDMLKKLKLNPLAKEFVPSYFNRDQMLLNNFVQNFVPVIKTVGEGGDAFQNNGKRGNNHNQGRRRMNNRAFKAQREDSIRRTVYVSDIDHNITEERLAGLFSAYGQVVDCRVCGDPHSRLRFAFVEFADEYSARGSLSLCGTILGFSPLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKLSQADVKNFFETRCGEVSRLRLLGDQVHSTRIAFVEFVMAESAILALDCCGQILGSQRIRVSPSKTPVRPRVPRPMMH >Solyc11g066665.1.1 pep chromosome:SL3.0:11:52730328:52732791:-1 gene:Solyc11g066665.1 transcript:Solyc11g066665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANALYLLQLPVRSPEFRFLITGGYAIFRSKMEFPFSRKNHAISLRARKVRVVECVAKSTEMEALMKDGGDDDNDEGDEVRGDDDKVVTHGDSVSSQRSASASGGDSLSLGIREPVYEVVEVKTCGTVAKRKINRRHLLKSSGLRPRDIRSVDPSLWLTNSMPALLVFQLLSTMYFFHKKNTFIYLF >Solyc02g024070.3.1 pep chromosome:SL3.0:2:25404307:25411419:1 gene:Solyc02g024070.3 transcript:Solyc02g024070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLQRGEGESGSKNDMDNGKYVRYTPEQVEALERVYAECPKPTSLRRQQLIRESPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYMKQQINTVSSTTTDTSCESVVVSGQQQRKNPTPQHPERDANNPAGLLAIAEETLAEFLGKATGTAVDWVQLIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDCPSWYRDCRCLNVLSVIPTGNGGTIELIYLQTYAPTTLATARDFWTLRYTTSLEDGSLVICERSLTTATGGPTGPPATSFVRAEMLPSGYLIRPCEGGGSMIHIVDHIDLDAWSVPEVLRPLYESSKILAQKTTMAALRHIRQIAQETSGEIQYSGGRQPAVLRALSQRLCRGFNDAVNGFVDDGWTITDSDGVEDVTIAINSSSSKFLGSQYNTLSILPTFGGVLCARASMLLQNVPPALLVRFLREHRSEWADYGVDAYSSASLKASPYAVPCARPGGFPSSQVILPLAQTVEHEEFLEVVRLEGPAFSPEDIALSRDMYLLQLCSGVDENAAGACAQLVFAPIDESFGDDAPLLPSGFRVIPLEPKSDVPAATRTLDLASTLEAGTGGSGTRPAGEIEAGNYNHRSVLTISFQFTFESHYQDNVAAMARQYVRSIVGSVQRVAMAIAPSRLSSQLTPKSFPVSPEAVTLARWISRSYRVNTGGDLFQVDSQAGDAVLKQLWHHSDAIMCCSVKMNASAVFTFANQAGLDMLETTLLALQDIMLDKILDEAGRKVLLSEFSKIMQQGFAYLPAGICVSSMGRPISYEQAIAWKVLNDDDSNHCLAFMFINWSFV >Solyc01g108035.1.1 pep chromosome:SL3.0:1:95307221:95310525:1 gene:Solyc01g108035.1 transcript:Solyc01g108035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNWGTSGLKKMKKIRGFLQSFIIVIRQRQKKKQEQILVQHIRTLLPGLKSCICAALVTAVKEHASSGEIMESNEVDPCEDLTDDDILTAIQNAMGPKSALFVQEMSHHFMVNELQRLPIFGKCMDEVIGNFLHEELGPSEMDYISTSHQMAPTYMRAGMY >Solyc01g096450.3.1 pep chromosome:SL3.0:1:87419111:87422329:1 gene:Solyc01g096450.3 transcript:Solyc01g096450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNKDTNRLQFLASLVAGKSFVPIASGRQILQTPTYIVKAKIGTPPQPLLVALDNSNDFSWFPCGGCVGCSSNVFSYDKSTTFSNVSCERASCNLVPRRDCDAATCSFNLTYGGSSIGGTLSSETLALATDPVPGFLFGCVKKISGSNTPPQGLLALGRGLLSFISQSESLYKSTFSYCLPSYKSPNFSGTLRLGPKGQPLRIKTTPLLRNPRRSSFYYVNLVGVKVGKRIVDIPPSAFAFDSNTGAGTIIDSGTVFTRLVDQAYTAVRNEFRRRMGRNATVTSLGGFDTCYTVPITIPTITFMFAGMNVTLPQDNFLIKSSSSSTTCLAMAASPTDPVNSVLNVIASWQQQNHRILFDVPNSRVGVARETCS >Solyc11g022615.1.1 pep chromosome:SL3.0:11:15776346:15778637:-1 gene:Solyc11g022615.1 transcript:Solyc11g022615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTKPVCTSLAGNMKLSKKMCPTAREEKENMAKVPYSSVVRSLMYLRGSSDEFLCFGASNPILKGYTDADMAGLFNSAMITRMQNLHQSSVPCGVSLVASEITSLR >Solyc08g079770.3.1 pep chromosome:SL3.0:8:63364139:63374893:-1 gene:Solyc08g079770.3 transcript:Solyc08g079770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGNNHLVDINEVLHEEEEDHKEENSNITMNADKPDTRFNRPMKNREIKRPPRKFSRQVSLETGFSVLNGETSKDKNERKILGRSGNSFGGFGVNGTNGIEARNKGDFNMFRTKSTIARQSSKIPLRKESGIELQNNNVKEGLNDHVKKSVPAGRYFDALTGPELDQVKDSEDILLPKDEKWPFLLRFPIGCFGICLGLSSQAILWRSLALSPVTKFLHVPLFINFAVWLLAVGVLVAVFITYIFKCALYFEAIKREYFHPVRVNFFCAPWIVCMFLAIGAPPKTAPGTLHPAIWCVFMAPIFFLNLKIYGQWLSGGKRRLCKVANPSSHLSVIGNFVGAILAAKVGWKEPGKFLWSIGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIATPAAASLAWGAIYDEFDGLARTCYFISLFLYLSLVVRPNFFTGFRFSVAWWSYTFPMTTVSIATIKYAEEVPSFITKALALALSFMSTTMVCILFVSTLLHAFVWKTLFPNDLAIAITKKRYSKDKKPLMKRWTKHSPLSFVTSIGKHNSGDKECASEEEK >Solyc08g074255.1.1 pep chromosome:SL3.0:8:58484293:58485146:1 gene:Solyc08g074255.1 transcript:Solyc08g074255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIGKSSLARKAYDHPKLSYHFDIRVWITISQEYGRRNVLLEALHCISKQTNIDIEKEYNVKDDNELADLVQKSLKGRRYLVVVDDIWSTDVWDSIHFLITTGIEALFDMKEFLH >Solyc06g033950.1.1 pep chromosome:SL3.0:6:23519536:23521136:1 gene:Solyc06g033950.1 transcript:Solyc06g033950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPPGLCKLSKLISLDLSCYYIQVGRTTFTSLLHNLTNLEGYLSLEGTNMFGNISNSQIFHPPNLQVLRLGSNPLLTGTLPNFNWSFSKSILELDFSLTVFLGRCLMRLVTPISLAFGPLELPFIWFDSIIYWQPHYLSINEFRGSIPESIGNLTAITELRLPGRKKILGDHGNNISGFQELRILDLSFNCFTGAAPHWLFHLPSLFLLSVEANQLTGKLPNELKNRSSSSRHLNVYLSHNKLHGKIPDWMLSSIIMGTLDISHNFLTGFEKQVWCSTFLLSLNLENNFLQGPLHQSICDLINLHVLILAQNNFSGSIPGCLGNSSRRIFIIDLRMNNYHGEIPRFLPTG >Solyc03g082790.3.1.1 pep chromosome:SL3.0:3:54077227:54077992:-1 gene:Solyc03g082790.3 transcript:Solyc03g082790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLGNLGQLPLLAFALAICFVASTVVADYSYGYTSPSPTYTTKKYYKSPSPSPYYKKSEKHAEHSPSHYYKSPTPSKHYYKSPVVVKYYKSPAPSKKYYKSPSPSKYYYKSHTPSKKYYKSLSPAKYYKSPSPAKYYKSPTPSKHYYYKSPSPSKYYKSPSPAKYYKSPAPSKHYYYKSPSPAKYYKSPSPAKYYKSPAPSKHYYYKSPSPAKYYKSPSPAKYYKSPAPSKHYYYKSPSP >Solyc02g091970.3.1 pep chromosome:SL3.0:2:53815326:53822433:-1 gene:Solyc02g091970.3 transcript:Solyc02g091970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVFAGLHPIPGHHNHLLGPSRTAIKLLPPSIDKINFSPLPLKFQKQSHFTSYIGNSAINSGNSFRVASPASDVASELADIDWDNLGFGFMPTDYMYSMKCSQGENFSKGELQRFGNIELSPSAGILNYGQGLFEGLKAYRKHDGNILLFRPEENATRLKMGAERMCMPSPSVEQFVEAVKATVLANERWIPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGLAPINLVVETEMHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDCVQKKYLEEVSSCNVFIVKGNLIVTPAIKGTILPGITRKSIIDVAISQGFEVEERQVSVDELLDADEVFCTGTAVVVSPVGSITHQGRRVTYGNDGVGLVSQQLYSALTSLQMGLSEDKMGWIVELK >Solyc07g007240.3.1 pep chromosome:SL3.0:7:1983929:1984935:1 gene:Solyc07g007240.3 transcript:Solyc07g007240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKLVILFTTLLVVMAAHNSLYSTKVHVMAQEDIQQIARKLLQEDQDPVCGKPCTTHDDCSEAWLCQACWNFRQTCGPFVG >Solyc06g010020.1.1.1 pep chromosome:SL3.0:6:4708469:4708669:1 gene:Solyc06g010020.1 transcript:Solyc06g010020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGNQAETREITSSPTFPWQKTHLFSPFSASQQAATPAFSCLPTRDDAMSRHEYEQKIEAVGCYL >Solyc07g043640.3.1 pep chromosome:SL3.0:7:57734778:57737048:1 gene:Solyc07g043640.3 transcript:Solyc07g043640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFKVSLRFFNGLNRTNHGLRHLSQFAADFETRLLEGVVTNSANYVPLTPISFLERAADVYRDRTSVVFGSSVKYTWEETHSRCLKLASALVQLGISRGDVVAALAPNVPAMQELHFAVPMAEAVLCTLNTRLDSSMVANLLKHSEAKIIFVDQQLLQVAQQALTLLSKDKIKTPILVLIPEYENSSSTYDIHTYENLLSSGSSNFTIRWPKSEFDPISINYTSGTMSSPKGVVYNHRGAYLNAIATFLSHGMGSMPTYLWTVPMFHCNGWCMVWGMAALGGTNVCLRNVSAKDIFQNISLYKVNHMSAAPTVLNMMANCPPNDRKPLPHKVEIFTGGSPAPPQILSKIEELGFGVTHAYGLTETYSAATSCLWKPEWDSLPLEERAALKSRQGVQVLCVEKVEVRDPETMEKVPADGKSIGEIVCRGNTVMSGYLKDAKATEEAFKGGWFHSGDLAVKHPDGYIEIKDRLKDIIISGGENISTLEVERVLYSHPAVIEAAVVARPDDRWGQTPCAFITLKEGFDEITEEQIINFCRDHLPRYMAPRTVLFEDLPKTSTGKVQKFILREKAKALGSLFSTEKRSAASC >Solyc10g046880.1.1.1 pep chromosome:SL3.0:10:38034628:38034783:-1 gene:Solyc10g046880.1 transcript:Solyc10g046880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLLFGGILVHCWFEAVVTAGFRASSLLFGLDLREVGGGFLSAASIYGGK >Solyc01g007880.3.1 pep chromosome:SL3.0:1:1977013:1991492:-1 gene:Solyc01g007880.3 transcript:Solyc01g007880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLPIPARLSTFSRAAVCTFSPANPFNSNSFTCRKVRFRVSCCTKPVRVETNSNFNRRDGNNCEVNREDDDTGEAGGLKNVWEETEFVEVFGIGSRKDALLEFCLASPYLSPALRFWNVLVKDSEKVLLQEKVPIEDVSSRIVEVPSAINSCSKAVILVASAAYGTDHVPALDIIRKLKSRNGLAVGIILKPFSFEGRRRYDEVTDLIDKLQKHATVCIVIDTDGLLKKDLLTLDEALKTSYNAVLMAVNAISILMSEDHIKLLDATDCGTKELSGPELIKILESYKEAKTGFGAGYNVETSILRAVYDCPFLGLGVKGSNGVVICIIASSGVVNSSDVRSILRTFRQTTGCNGDIVISIVQEANLEPNLIVTTVVTCGYATQEPSEKSSLFSRLAQHIPFIFNILKKPDPSLLTAKESEIDESPETSDMAEFVSMDNTPEDMSIYSGELPALFPTNGEETSFLRESLLLCRDYNNVSDERKIELSNSDVATEAPLVFKRELLTRWNPGPGKDTSEGLAAEGTENSEGKNTVDNTSTYKLPVGVKHKEQLQTSAGSSNSRNSGRKSEESKVAQPRDISNLSQDEVDEDYSEIISDVYNSNLPLVEKSYTSGPKRKGVLSTRAASMLEAERDSKKKWIPVVEMKYRGGIYRGRIEGGLPEGKGCLSLEDGSKYDGMWRYGKKSGLGTFYFNNGDTYRGSWRDDLMHGKGWFYFHTGDRWFANFWKGKANGEARFYSKLGDVFFGHFKDGLRHGQFLCINIDGTRSIEEWDEGLLQSRKNLDSDAETG >Solyc02g063230.1.1.1 pep chromosome:SL3.0:2:35837957:35838733:1 gene:Solyc02g063230.1 transcript:Solyc02g063230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIELCSSDESSVGIMSPARISFSHDVSQTGSVPVEQCIRSTSPSSSIDFDFCVFRESFDLESSSADELFFDGKILPIEIKRRISSVPLRKTEQRQLPPPPPPHPLPPCNTTTSLHCGKTSSNEHAIQNNKTGTLESSDEKQNPNSKSFWRFKRSSSCGSGYARTLCPLPLLSRSNSTGSSPSVKRNSTLSKDNLKHHSQRHLSKSMSSNGSNSQKPPLKKAPHNNGVKFSPVLNVPPANLFGLSTFFSSSKEKNKKK >Solyc02g079230.3.1 pep chromosome:SL3.0:2:44396078:44401470:-1 gene:Solyc02g079230.3 transcript:Solyc02g079230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADEKDGKVWGLFKLPFRNAQSTTTSRSSSHNTLHYRSQQHQSLGNTSLDDGSVPRSNSSSSVSSVARSLLPARRRLKLDPSNKLYFPYEPGKQVRSAIKIKNSSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVEHPENNEKPMDQKSRVKFKIMSLKVKGPMDYVPELFEEQKDQVAVEQILRVIFLDVERPSPTLEKLKRQLAEADAALEERKKPVEDTGPKIIGEGLVIDEWKERRERYLARQQVEGGVESV >Solyc07g008550.3.1 pep chromosome:SL3.0:7:3489012:3498110:1 gene:Solyc07g008550.3 transcript:Solyc07g008550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CBX4] MRKKFYLLLVLLSLINSVSCEEKYISLGEHEHGQMEHPLSRIEIHNIVVALSKSASIKVDGPTLLGSKGEDFEWVTINLRNAEPSQDDWVGVFSPANFNESICPPQTSKEKQSGAPFICTAPIKFKFANYSNVKYTKTGKTSLKFRLINQRGDFSFAFFSGGLQNPKLISVSKYVTFFNPKAPLYPRLALGKSWDIMTVTWTSGYNIDEAVPFVEWGWKGQEQKRSPAGTLTFHRNSMCGSPARTVGWRDPGFIHTSFLKDLWPNVEYTYKLGNLLSNGSVVMSKQYSFKSPPFPGQESLQRIVIFGDMGKQERDGSNEYADYQPGSLMTTDTLVKDLDNIDAVFLIGDLPYANGYISQWDQFTAQVEPIASRVPFMIASGNHERTWENSGSLYNGLDSGGECGVPAETLYYVPAENRAKFWYAADYGMFHFCIGDTEHDWREGSEQYKFIEQCFASANRHKQPWLIFAAHRVLGYSSNDWYAKEGSFEEPMGREHLQKLWQKYKVDMAFYGHVHNYERVCPIYQNQCVNKETSHYSGVVNGTIHVVVGGGGSHLSQFTSLNTRWSVFKDYDWGFVKLTAFNQSSLLFEYKKSKDGKVYDSFTISRDYKDVLACVHDGCEPTTLAN >Solyc03g007270.3.1 pep chromosome:SL3.0:3:1829218:1837186:1 gene:Solyc03g007270.3 transcript:Solyc03g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDTGATAKGGPVVDVSLEKDDSSGYASGGWKSEDGKMSCGYSSFRGKRATMEDFYDIKTSEVDGKAVCLFGIFDGHGGSRAAEFLKGHLFQNLLKHPSFSTNTKLAISETYQKTDMEFLDSEKDTFRDDGSTASTAVLVGNHLYVANVGDSRTIISKSGKAIPLSEDHKPNRNDERRRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQDQEIDEEVELLVLASDGLWDVVPNEDAISLAQAEEEPEAAARKLTETAFTRGSADNITCIVVRFNHKKAEAEGSQQG >Solyc01g090520.3.1 pep chromosome:SL3.0:1:84058024:84058790:1 gene:Solyc01g090520.3 transcript:Solyc01g090520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKNAIICMIFIMFVFYSPLIHATQEPLFAPQPITFELFFPSRSTAMTKTKNCSPTVCKKGKCCCAYFFHRCIMCCGRMN >Solyc10g044680.2.1 pep chromosome:SL3.0:10:27352614:27355225:1 gene:Solyc10g044680.2 transcript:Solyc10g044680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPCCYKKKLRKGLWCPEEDEKLINHVTKYGHGCWSSVPKLAALQRCGKSCRLRWINYLRPDLKRGTFSQQEENLIIQLHSLLGNKWSQIASRLPGRTDNEIKNLWNSSIKKKLRQKGIDPNTHKLLSVIENEEKVSAISMNIEKVSEGSSELNIIEDSNYRIKSKSSLVTMTMDNYPSNTTTSAAPLTHKFFLERFVTTHETSTASCNKPLDQLTSYLSFEKLNYGSNIGLSINSNTNNLLLNSKNSEMFTHQVNSSITNDNILTSPIAAIASNDSMRNGSSTSIELQRNSSFFDSNAFSWGTADYDKSEKEVNIHPSVPDPDDIKWSEYLHTQLLPGNAITNDQITQDLYSAKSSIQFTTQGSLLQNQQQQPSLQTANIYNKHYQRISDSFGQFS >Solyc11g030390.2.1 pep chromosome:SL3.0:11:21802990:21806518:-1 gene:Solyc11g030390.2 transcript:Solyc11g030390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHNPIEVAKTVLEVADVAWSAVERCHHHTHSHTDTTSFDVSHSCEEDGLRSLRSENERLKRLLEKNLMLLQSMSQSPSLLQNCPPDLHERLLAAVESGSFLKQLETLNRKSVDGNDCQFPFKEATDVDTETAELLVNMSLEEPSWWVWVTEDMVPGNLEERSEIDNDNYVIVSEEYVVDAVANFMARCVVSNSKAQKMSPEELQKTLAKAFEGIGKVETLFNIWHAAQMFYVLSTWGLAVVGLYKSRSVIRLAAKGVHKTGKMVLKVL >Solyc06g030652.1.1 pep chromosome:SL3.0:6:20430998:20432210:1 gene:Solyc06g030652.1 transcript:Solyc06g030652.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNVEALKEKLSELQKIQSEANERRSSYEPQVENINTVEEVDSYKEYLMGAMERVQRSKLSQAFTESTPIPLSCDEETVVLDDREYYMHVIEQQEQEVREQKKLEVTNSFNQEIENVVPRIVTDKVDHVVEFALPGPTKMSTRNTQTPTW >Solyc12g019205.1.1 pep chromosome:SL3.0:12:9644420:9645348:-1 gene:Solyc12g019205.1 transcript:Solyc12g019205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NWFDNLGVKFAMNDVSPLYFFLGVEVKYFDGDIHLRQSKYDAELVDKTEMTFTKNDSRSLQYLTLTRSDITRSVNLTSQFMQKSNNGHLQGVRRILRYIKGTLHVGLRLISQSPCWLYGYSDSDYGGYTTTRRSTTGYNIYQEMTWITYLLHDLGMFLRFIPTLYCDNMSALYMIINPVMQARTKHVEMEYHFICEKVARGQLVTKFIRSKDQLADIHTIALTKQVFAEFRRKIGVTVPPLTSLRGSDEGS >Solyc10g011710.1.1 pep chromosome:SL3.0:10:3964239:3964699:-1 gene:Solyc10g011710.1 transcript:Solyc10g011710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIPSRWKGICKSEANFNATIHCNKKKNWLDASGHGSHCASTAAGSYVNNLQYYGLNMGTIRGGAPLARLAYIVVYIQDNNILLFAVLKTLNHSSERKSCNAFLSTTTRYSCAFKHSKNYWCFGFHCC >Solyc05g010585.1.1 pep chromosome:SL3.0:5:4805300:4807291:1 gene:Solyc05g010585.1 transcript:Solyc05g010585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYIYKWWSESYSKLKKLFYSHNMAKTLLLTVFLVATFLVISQDVVLCVSTCNNVSDCLPMVCHAGRPMCVRGICRCHPEVTGDKIHTCNGSSECIN >Solyc01g014925.1.1 pep chromosome:SL3.0:1:16010926:16011677:1 gene:Solyc01g014925.1 transcript:Solyc01g014925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHFSTESLTGTNTTEITELMACLDSTFKIKDLGRLHYFLGLEILDIPGGVLVSQRKKRQRRNSTASSHILQKISGKLNFLTNTRLDILQAAFHLLRYLKQDPTLGLHLTKDPDCSIKAYCDSDWASCPDSRRSVSGYLVLLENSPISWKSNKQETISLSSVEAEYRSIRKVI >Solyc05g026320.1.1.1 pep chromosome:SL3.0:5:40819212:40819442:1 gene:Solyc05g026320.1 transcript:Solyc05g026320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKNLYLIGLLPIPINSTRPRNDTLEESFGSSNINRLIVSLLYVPRAEKISENCFLNLKESTWVLPITKKSSIP >Solyc05g007220.3.1.1 pep chromosome:SL3.0:5:1860270:1862369:1 gene:Solyc05g007220.3 transcript:Solyc05g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICFVRTEHRRVAELVFVLGFNLEDMLEDRTCMVQRDCLTENNWTTGCMSYHIDRIDAQNGKRPLENDEEGVNVQCKLQKQSDGSELDGGVFSVGNSSASADEQADNQARAVENFNSAIADEQADNQAHAVENFNSAIADEQADNQARAVENIDSASADMQANNQARAVEKIDSASADDQTDNLARAVENFDSASADEQADNEARAGEYFNSASDDEQADNQVLTWEDFDSASDDEQADNEARAGDYFDSDDEQADNQALAWEDFDSASDDEQADNQALAWEDFVSASDDEQADNEARAGKNFGSASADEQADNKGHAEDDSAAAPPDEQAGNQHQTGDDAGDNADISSLIPAIGRDNSVSSLAWCSRSDYSTLASLNSAFRSLVRSDELYRLRRKIGVVEHWVYFSCQLLEWDAFDPNRRRWMKLPTMNPNECFVFSDKESLAVGTELLVFGKEVLSHVIYRYSLLTNTWTTGMQMNAPRCLFGSASLGAIAILAGGCDSRGIILSSAEIYNSEDGSWRVLPSMNRPRKMCSGVFMDGKFYVIGGIGGADGTLMTCAEEYDLTTGKWTEIPNMSPVRPNAGRTDIPASSEAPPLVGVVNNELYSADYAAMVVRKFDKSSKTWVTMGGLPEGAASMNGWGLAFRACGDRLIVVGGPRAMGDGYIGVNSWVPSEGPPVWTLLGSKSSGTFVYNCAVMGC >Solyc10g082000.2.1 pep chromosome:SL3.0:10:63033261:63037826:-1 gene:Solyc10g082000.2 transcript:Solyc10g082000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSNGIFKTACLQSHSHPLQCKALELCFNVALNRLPASSSSPMLLGHQNHNHSQYPSISNALVAAFKRAQAHQRRGSLENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFDSTQVKTNVEQVVSLELCSQNPKEINKKANPIISAKDEDVMSVVESLMNKNRKNIVLVSESIDNLQGVIKGVMNKVEIKDVHDDLKEIKFISLQLLSFANIQRDEVDQRLGELTCLIKSLVKKGVVLYLGDLKWVADYRANYGEKRIISYYCSVEHMIMEIGRLVYSFSENEKFWLVGIATFQSYMRCKSGKNSLESIWGLHPITVPGGSLGLSLNPDSDTQIEVRSKTFEGEFSCREEKLHLTSCCNSDSTLSNLPSWLKDERHKKDYASVKDLHKKGDTTCNLPFWANRKICETNSSTPNSASNSSDVIMDMEYNVPKFKEFNSENLNILSNALEEIVPWQKGSVVQEIVATILQCRSKMIRRKEKNLTNEAKQETWLFFRGPDVHAKEKIARELANVVFGSYSNFVSIGLSNFCSNFSNKRSRDEERWSYIDKFGQGVACNSHCVFYLEDLEELDYCSLRGIKKAIERGTIVNSSSGEEVSLDDAIIILSCDKLGYKSSRGCSPNVKQKIEEKISTSSSCVSLDLNLSIDDQDLSSDDGDDIGLLQSVDRCIVFQNPTTIVE >Solyc08g061570.2.1 pep chromosome:SL3.0:8:49208943:49210410:-1 gene:Solyc08g061570.2 transcript:Solyc08g061570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFVLLGCSLEERAWLKASSDMNELLSHISTESRLVITSSGSDSSTDVVGSDAICIEVPTDDIRVGDSLLIFLGETIFVDRRVFQSQLVQLTGYAGFKILVGFRYINPLRPISSVWTWCQYITCIDMLFAAF >Solyc06g053640.1.1.1 pep chromosome:SL3.0:6:36572009:36572863:1 gene:Solyc06g053640.1 transcript:Solyc06g053640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSPMISPPGSSSHAGFPIVVIAIIGIVATGLLLVSYYIFVIKCCLNWHRIDLLRRFSFSRRQSVVDRSTVYSPVLENRGLEESVIQSIPIFQYKKGEGKDAIIEERTRSSCECAVCLNDFQDNEKLRIIPSCAHIFHIDCIDVWLQKNANCPLCRTNISLTKIPCDVVIEIAENNPTLSGDRTNGSSFSTNLISSMGDECIDIRKKDEDFAVQHIRRSFSMDSATDRQLYLTVQQIVQQQRQVSDVSSCEESSARVIKRSFFSFGHGRGSRNAVLPLHWEP >Solyc05g024070.1.1 pep chromosome:SL3.0:5:30353118:30353623:-1 gene:Solyc05g024070.1 transcript:Solyc05g024070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGENKIVVADLGGSVVTGILGNQLGLLARQLSYTLHMMLDKASKVKQNLYPIIYLGEALETLRKDFTVAMNNEEMSFFHWHLVNLEYANAGLLSNHSLVFWDQDDPYDFGGDHCLLPDVNGKLVHSLSENVPIIFHTS >Solyc06g075720.2.1 pep chromosome:SL3.0:6:47188924:47192301:-1 gene:Solyc06g075720.2 transcript:Solyc06g075720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVASFNDYIPMSRSRGIGLFNSVINVNVSDNVSNHLGTTVANIYCSQCDKMIGWKFIAVTQASEYITEGRFCMRLDKLSFSNCVAMILSIQERNFRANEENADQDAETTDGEGDSNDPDLGTNNQNIDQDGDTADEGLGANEQNVDQDGDSTDQDGDTADEGLGTNEQNVDQEGVSTDQDGETADEGLGANEQNVDQDGDSDEEYDGAISSYLMHFLGQNVDQEGGANEQNVDQGGGANEQNVDKGGGTSEKNVDQDGGRPMKRPKI >Solyc01g010510.3.1 pep chromosome:SL3.0:1:5452443:5455874:-1 gene:Solyc01g010510.3 transcript:Solyc01g010510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFALAWDVFQILFQKNIAVKSYCSHHLRQNFKQFSRHCSTFSSDQNLISQLQSCKNISEITQFHALMIKTGQDQIPFTLSKLLACSIQFTDYISSIFKYVKSPNLYMYNTMLRSYSISDDPQKGLVFFNYMREQCVVLDQFAFVSVLRSCIRLMEKWTGVAVHSVVLKSGFDLFLDLKNTLLNFYCVCGGIRCAHKLFDEISKRDLVSWNTLMGGYLCVSNYSAVLDMFVELRRDGIYASVTTMLCVLSAIGELRIALVGESLHGYCIKIGFCDSLKVLTAFISMYGKIGCVSSGRSLFDEASQKDVVLWNCLIDGYAKNGLLQEALSLLREMKVQRLKPNSSTLASLLSFCASSGALNMGEYIQNFVEDQQLALDPVHGTALIDMYAKCGLLVKAVNVFDSMETKDVKCWTAMIMGYGVHGEAKDAIALFHRMEDEGFRPNEVTFLAVFSACSHGGLVAEGISCFRKMVLEYGLTPKIEHYGCLIDILGRAGLLETARELIKDLPIEGDATAWRALLAACRVHGSVELGEQVKKELEQRFGEHPADSLLLNCTYAIAGILPEDRDMLEVKEGKLEKEVGSSLSGKKEAGCSSIELYDSSRIFLSQCVVR >Solyc03g120805.1.1 pep chromosome:SL3.0:3:70549285:70550894:-1 gene:Solyc03g120805.1 transcript:Solyc03g120805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTRKLSFLVFLLCILISLQNQDVDDINVKLEFSSRTDKYCSRDSQYSIFDDHSFPPLPMFATTSVEGRKLQQQTASVGFLIYDYYQQTCPQAEGIIRSTVRSLFRKQPQIAPALLRLAFHDCFVEGCDASVLLDSVEGMHSEKESPPNESLKGFDVIDIIKLELEEACPGVVSCADAVVLAARESIVLSGGPFYPLKTGRRDSLSSFAEDATFELPSPQDDLSKIIVSFSSKGFDERETVSLLGIVFTIGFFVSIAFTQMKSSLGSQHWGDPLQILHQQALQLQRD >Solyc10g055025.1.1 pep chromosome:SL3.0:10:56216987:56231554:-1 gene:Solyc10g055025.1 transcript:Solyc10g055025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQSYRIKFAIICEGFQYRLDDFRVRVGKVVPINSENLRGIAMEMEYLIISSWKTSHLIMSEFIEILMDTLEKKSLPEQPNKFYITLSWQRLIVEAESSTQMIMENLQSYRINKSPPV >Solyc07g006095.1.1 pep chromosome:SL3.0:7:944737:945603:1 gene:Solyc07g006095.1 transcript:Solyc07g006095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMGDHILGIQGHPEYTKDIVSNLIDRLLSNGSIQSEFAEAAKSKLYKAEPDRKCLEKICKKFLKREMEFINSNI >Solyc03g111110.1.1.1 pep chromosome:SL3.0:3:63272167:63272394:1 gene:Solyc03g111110.1 transcript:Solyc03g111110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSICGGSAMFNASHFVLMVSLKNKISYSNFIPYAIVILEKYNIVTWIATKRATYSSINLQLSEMVKITYRDKAY >Solyc05g055210.2.1.1 pep chromosome:SL3.0:5:65802892:65804109:1 gene:Solyc05g055210.2 transcript:Solyc05g055210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLIIPPGDQISRITKMLAEEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLLLYTGTIVTDDGKEKKVTFDLTPFKPINASLYLCDNKFHTEPLGELLESDEKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKRLIGKFFEEISQDTGKYVFGVDDTIKGLEMGAVETLIVWENLDINRYVLKNSVTNEIVIKHLNKEQEADNSNFKDSATSAELEVQDKMPLLEWFANEYKTFGCSLEFVTNRSQEGSQFCRGFGGIGGILRYQLDMRSFDEPSDEGEYFVDSD >Solyc06g082230.3.1 pep chromosome:SL3.0:6:48187710:48190491:1 gene:Solyc06g082230.3 transcript:Solyc06g082230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKVSAWAGFVQGSHREELLICQFSDMTNSKGCVWSGGNPFAFSLPLVLAQLIFIFFVTRITFALIQPLKQSMVSAQLIAGIILGRSGFGRFDAYSALIFPPEGRMVLQTISDVGFMFHVFVLGVQVDPTMLRRAGRNAVLIGVSSFFMPFALGGLACYVLPYLTVIDDATAHFLPLLSIINSASFFPVITSLLSDLKILNSEIGRIATLASLVNDGCIYASSILLTTIDASSNYSKWNGVLAIAWIGTFLIVIVFAVRPLVKHIARTIPERGAMKESHFLMIAVLALLCGFVAQSLGQPPAVGTFILGVVVPEGPPLGSSMVYKIDSFCTGLLLPAKFAISGLTLDIFSLGRGKSLIGVEAVILLGYLGKFAGTLVSAVHFAVSFQDAVPLALIMCCKGIIEASFYIALKDTGAITSEAYALLLITMLVITGIVRPLIWYLYDPSRRYLGYRTNSIQHLDPTSELRVQVCIHNEDNVPSLVNLLDVSNPSRRRPIAVFVLNLMELKGSAAALLVPTHNRKGKPKLKSLPSRTEHISNAFNILAHRNQGSMMAQHFTSIVPYATMHDDICTIAVDKGVNIVIIPFHKQWAIDGTVGANFPAIRMVNQQVLHKAPCSVGILVDRGQLADNTQILFGHSVFRITMLYLGGPDDDEALAYCCRMLGHPHISMSLVWLKHSSDNIEKCMESHMIQWFKANNVDAGRVSYQEEVVNDAVGTTQVLRSLEDSCDLCIVGRDHKQSELTLGINEWIECPELGFIGDMLATSDYSFSLLVVQQTPPGTEFINTQPLQPVASSFYSGSDKYSQHSAS >Solyc06g054000.1.1.1 pep chromosome:SL3.0:6:36958664:36958864:-1 gene:Solyc06g054000.1 transcript:Solyc06g054000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKVIAVLFVVMVTMFASSNVNVVEAQQELAYKECYENGMKLGATEESVKRECRNIVKDHNMNV >Solyc12g062210.1.1.1 pep chromosome:SL3.0:12:31414786:31414962:-1 gene:Solyc12g062210.1 transcript:Solyc12g062210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKYLLKDSSSINPANLADLVPGNTSLASHTSKSTKPPVRTYLLPNWPSLMALVFSN >Solyc09g008160.3.1 pep chromosome:SL3.0:9:1617546:1621420:1 gene:Solyc09g008160.3 transcript:Solyc09g008160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPCFLSNITGRKLSRKIRTMNSSGTNTWIKIPETRTTSIGLFTFHKFLQFISMLLFPFEISVDTMNQNIFSL >Solyc02g036165.1.1 pep chromosome:SL3.0:2:30695822:30705544:-1 gene:Solyc02g036165.1 transcript:Solyc02g036165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVRYRDVKKRPKEKYGVDIKNSILKFVVVYLDDIVIYSDTLEEHVVHLKKVFKILRENQLYVKREKCEFAQPKVHFLGHIISQGELRMDEAKKKAIQEWEAPMKVAELRSFLGLANYYRRFISGYSAKATPLTELLKKNKPWVWSQECREHSKDLTLIFLPYKLQVKMMWWFDGGQKGYLLKKNLH >Solyc10g045190.2.1 pep chromosome:SL3.0:10:32055728:32060536:-1 gene:Solyc10g045190.2 transcript:Solyc10g045190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAVSFGDQVEISSGDSSSSNTDDTITESKWMKNTITIDQPVSKFDSEYSIFRRARMYQEYMKVVAMPTQRGFVIPFTSWVGLAASMKELYGQPLHYLTNVHMKKLDSMRFGSDDEDVPLDTIIDPRKAEATIWLIEEVHRSTSSHHYIARLWLADPMYHIHVDAIFPKLQNSLK >Solyc05g016305.1.1 pep chromosome:SL3.0:5:15486485:15486694:-1 gene:Solyc05g016305.1 transcript:Solyc05g016305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVNVTPERCSGLLDFSYNYLLSYVYFSFGMSLEIKNTITSKSREIVMRGRSCGVSGCKTTDVIWV >Solyc03g114625.1.1 pep chromosome:SL3.0:3:66030982:66034037:-1 gene:Solyc03g114625.1 transcript:Solyc03g114625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFLLLEKKNIEVCLNEPLVPLTRPVGVRVPFHSENPGSITGCSGIVWIENPGSITGCSVSTKYSVEAEFWPQKMRKINAKAMVETMTARPK >Solyc10g006280.1.1.1 pep chromosome:SL3.0:10:939284:939655:1 gene:Solyc10g006280.1 transcript:Solyc10g006280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTNFEIPTSFDPEDQIPRSFDPFAESKDLGTRGTNKEYVHIRVQQRNGKKSWTMIEGLNKDINCEKLLKDLKKEYCCNGTIIHDKELGKVIQLQGDQRKNVSQFLHKADIVKKEQIKVHGF >Solyc05g013040.3.1 pep chromosome:SL3.0:5:6146122:6155827:1 gene:Solyc05g013040.3 transcript:Solyc05g013040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYLPATTDSLAQASEATTPSEAISILYRILENPSSSSEALRIKEQAIFNLSDLLSQEKRAEDLQKLLVQLRPFFSLIPKAKTAKIVNKGIVDAVAKIPGTSDLQITLCKDIVQWARSEKRTFLRQRVEAKLAALLMENKEYSEALTLLSGLIKEVRRLDDKLLLVEIDLLESQLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGAIDLQSGILHAEEKDYKTAYSYFYEAFEAFNALENPQALYSLKYMLLCKIMVSQADDVAGIISTKVGLNYQGPEVDAMKAVADAHSKRSLKLFETALRNFKAQLDEDPIVHRHLSSLYDTLMEQNLCRLIEPFSRVEIAHIAELIELPADHVEKKLSQMILDKKFAGTLDQGAGCLVIFEDPKQDAIYPATLETISNMGKVVDSLFVVRLRIVHIDISPCPATIKRALCACDHKDDLLEKPCEARPCLQIQNSIQLHIFFEMGRLRPQSSIKAIEEEPEDCETTSSNKTSIACMINSEVSAVLAVMRRNVRWGGRYVSGDDQLEHSLIQSLKTLRKQMFSWQHSGQTISPALCLQPFLDVIRSDETGAPITGVALSSVFKILTLDILDLDAVNIEDAMHSVVDAVTSCRFEVTDPASEEVVLMKILQVLLACMRSKTSVVLSNQHVCTIVNTCFRVVHQAGTKSEVLQRIARHTMHELVRCIFAHLPEVDNIQHSIVRQHGSSKNEVAGIVNEYSLSSKSENGSGPSEYDSLPPSGGFTSASTGLLSSVTEEGMVMGDNGKDSVPYDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHVGMGPRANTMAFDEDVPLFALGLINSAIELGGPAICSHPRLLSLVQDELFRNLMQFGLSMSPLILSMVCSIVLNLYQHLGTELKLQLEAFFSCVVLRLAQSRYGASYQQQEVAMEALVDFCRQKSFMVEMYANLDCDITCSNIFEELANLLSKSAFPVNSPLSSMHILALDGLIAVIQGMAERIGNGSYSSEYTPINLEEYSPFWMVKCENYSDPDHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPEKLDPQSVACFFRFTAGLDKNLVGDFLGNHDEFCVQVLHEFAGTFDFQDMNLDTALRLFLETFRLPGESQKIARVLEAFSERYYEQSPQILANKDAALLLSYSIIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPRDFLSELYHSICNNEIRTTPEQGAGFAEMNPSRWIDLMHKSKKTSPYIMCDSKAYLDHDMFAIMSGPTIAAISVVFDHAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNPSLVEEPVLAFGDDAKARKATVTVFTIANKCGDFIRTGWRNILDCILRLHKLGLLPARVASDAADDSEASSDPGHGKPLPNSLTAAHMQSLGTPRRSSGLMGRFSQLLSIDTEEPRSQPTEQQLAAHQRTLQTIQKCQIDTIFTESKFLLADSLLQLARALIWAAGRPQKGSSSPEDEDTAVFCLELLIAITLNNRDRIALLWQGVYEHIAHIVHSTIMPCALIEKAVFGLLRICQRLLPYKENLADDLLRSLQLVTKLDARVTDAYCEQITQEVSRLVRANASHIRSQMGWRTITQLLSITARHPEASEAGFDVLGFIMSDGSHLSPANFVLCIDVARNFAESRVGPADRPIRAVDLMTGSAACLAIWSKDTREAMAEAEALKLSQDIGEMWLRLVQGLRKVCLDQREVRNHALSSLQTCLTGVDEMYLSHGLWLQCFDIVIFTMLDDLIELTSQKDYRNMEETLILALKLLTKVFLQLLHELSQLTTFCKLWLGVLNRMEKYMKVKVRGKKSEKLQELVPELLKNTLVVMKSKGVLVQRGALGGDSLWELTWLHVNNIVPSLQAEVFPENELGHVESDQTDARGAAYDVADPS >Solyc01g060310.2.1 pep chromosome:SL3.0:1:70082253:70083844:1 gene:Solyc01g060310.2 transcript:Solyc01g060310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNGRKKIEIAKIQNQTNLQVTFSKRRAGLFKKASELSTLCGANVAIVSFSPSNKVYACGHPSVESIVDKFIGENTPPETDDLNPIIVAHQNKL >Solyc10g080540.2.1 pep chromosome:SL3.0:10:61911853:61914873:1 gene:Solyc10g080540.2 transcript:Solyc10g080540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELALSLGGDNTPKPILFLEKSSSSSSSLHENKVNKDVGFCMVLGKSCNEVERKKRSKKHQEIRSSSIDPSLQLDLIPFSHGVSYNTCSKLSFPWLSQNLATHEPAGLTNGPALDMTNRMQLVVAEEAEEGAALSSPNSEISNFQMNFPIYRNGKLINTKRDQCERDRDNNRWGNGNNYSCSRISDEELDASARKKLRLTKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKKCCETLTEENKRLHKELQELRALKSSQPFYMQLPATTLTMCPSCERVATTTSVTTTTTTTTPATTTTTTVAASNAVALHNHKLISVMTKLECTN >Solyc08g061910.3.1 pep chromosome:SL3.0:8:49941746:49943160:1 gene:Solyc08g061910.3 transcript:Solyc08g061910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIHQECEDEPRFMVENNASTSSPFYPNYHFPPTSHPILQQIHSLPITQHFFPYQHAHYRSMSEEIRVDQSQTAELVAFPASEIRGGQEDALIRGSERYCTQPRQTCVAVWQNQEDSAMKQPVWKGEYSNGNAIEKNKQEEDEELYSLEETNKRRVVFGELEAICIRGIASESADNLPTNHNVTFPELALNEAMVNKMDNTMGKFHKRKRGKDEWGRFFKSLVKKLANHQEDLQRSLMETME >Solyc04g005380.3.1 pep chromosome:SL3.0:4:270777:277047:1 gene:Solyc04g005380.3 transcript:Solyc04g005380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDVERVEFDSSFLVYGGFTMGDADEWRRRRSRVEMENLSLDTSRDLLQRFMGISEKSELEIVEDINEDELNLGLSLGGRFGVDKSSSNLLVRSSSIAACLPTVRDDDAVAVSSSLSQSVSCNPSLVRTSSLPVETELEWRKRKELQSLRRMEAKRRRSEKVQRNLRGEKCMEDENRGTDQMNLRGGNNNNNKKKLEKEQFLATARKFGCKLPTLAALGADDMAMAKGKESYLQEKKKPASQGSMESQGGCSSSISELESKPAQGSADASPSSIQSLHKDLGSRTLGGEVETSPSNTTRSRVQESEAKALGDMPCVFAKGDGPNGRRIDGILYKYGKGEEIRIMCVCHGSFLSPAEFVKHAGGSDIANPLKHIVIKPNPSSFP >Solyc07g063670.2.1 pep chromosome:SL3.0:7:66210008:66211317:1 gene:Solyc07g063670.2 transcript:Solyc07g063670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLSYCLFPTPPTHKISTTVPLKMATSLQFSSDHHPIPQENHQTTNQTSTGGRRRSSKNGQKKKKQPQRGMGVEQLERLRVQDQMKNSTIHGVHHNHQYYSNNNFPKLTPVSSFTGGGSASADPGNYSNSILNSSPVLQFPKLCAVSPNDFFMQQKVVNTGFIGSSSTNQLMISSHDHHQFQSQMNLYGFATSKPSTEKSKELYPMPNLFSSNNSCFSDRCRSCNKKKRMINGEEISVHMEDMIREKEDSGTKPLLHSYSLPSHQQKGVEIVAIHRKGSSSALSSDEGAVMMEYDFFPEKISSKSTNTYKSCFENEATMMSAYNSPESSSFAAAAAAAGNIINGEASSVTTISWAADTTTTSPTSSIDLSLKLSC >Solyc11g007780.2.1 pep chromosome:SL3.0:11:2017879:2025173:1 gene:Solyc11g007780.2 transcript:Solyc11g007780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDVSVEGKVTRASWIKRPENAHLVVIGKSTGTCSSLEILSFNSESTSLSSSPKATYVLEEGGEPVRIAVHPTGDDFVCSTTTGCKLFELYGHEDNIKFVCKEFPLQDVGPQKCMAFSVDGSKLAIGGVDGHFRLFEWPTMRIIVDEPKAHKSFRDMDFSLDSEFLASTSTDGAARIWNTRDGVPVTLSRNTDENIELCRFSKDGTKPFLFTTVQKGNKSLIAVWDISTWKKIGHKSLYNKPASIMTISLDGKYLALGSKDGDVCVIDVTKMEISSLHKRLHLGTNITSLEFCPSERVALTTSSQWGVMVTKLNVPTDWKEWQIYLLLLGLFLASAVLFYVFFENSDSFWNFPDPSTRPKIETLHVDATSEEQWSSFGPLDL >Solyc03g115780.2.1 pep chromosome:SL3.0:3:66871708:66876301:-1 gene:Solyc03g115780.2 transcript:Solyc03g115780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMGDERMEVAFFDVETTVPTRPGQGFAILEFGAILVCPRKLVEQETYSTLVRPTDLSLIPTLSVRCNGINPEAITSAPTFAEIAEKVYEILHGRIWAGHNILKFDCHRIREAFAGINKPAPEPKGIIDTLALLTQRFGRRAGDMKLASLATYFGLGQQLHRSLDDVRMNLEVLKYCATVLFMESSLPDIFTENSWVSPNAITRSRTIGRATPEKTGVSADTPSPSTKIESHVESTAEINPFNMDKLEESLLSEVMEDESGSYSPGSSTTATENFIGWTDFLEPNEISVPSVSVSLIPFYRGSQKIQVLHDYGELLVCCRRMKVRFDISKKYVNKVGWPRLSFVVDASSDLCKILDVVDDRAQKLSVDSGSSSEWRPVVTRKPAFMNYPTVRLNLPTVIDGNIFRWITEIYQKESSTTEKLVIFSRFDVEELESLITAGTFVDAYFSLDSYDVQQNAGIRLVANKLIVHST >Solyc01g014725.1.1 pep chromosome:SL3.0:1:14611129:14611971:1 gene:Solyc01g014725.1 transcript:Solyc01g014725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIALTDNNLTGNLPITICDHLPDLKGLYLRKNSLDGVIPPNLEKCRKLQKLELGDNEFIGTLPRELANLTALTYLYISDLHLKGEIPMEFGNLQKLHELDLAHNELTGSVPHNIFNMSALQNIDFGENKLSGKQAAQPTPYKCTLLAKLRYLDHLNLS >Solyc04g072580.1.1.1 pep chromosome:SL3.0:4:59643614:59645113:1 gene:Solyc04g072580.1 transcript:Solyc04g072580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4BTP5] MGSLVDSFALHNLNKKIVMGDNGYVFEDVPHLSDYIPSLPTYPNPLKKNPSYSVVNQYFVDEDDTVAQNIVVRKNSPRGIHFRRAGPSQDVYFKADDVNACIVTCGGLCPGLNTVIREIVCGLYSMYGVARVMGIDGGYRGFYSKNTIPLTPNVVNDIHKRGGTILGSSRGGHVTKKIVDSIQDRGINQVYIIGGDGTLKGASLIFEEITRRGLNVVVVGIPKTIDNDIPVIDKSFGFDSAVEEAQRAISAAHVEATSFENGIGVVKLMGRDSGFIAMYASLASRDVDCCLIPESCFYLEGRGGLFEYIEQRLKENGHMVIVVAEGVGQDDEQVDVGLWISTRIKEHFSKEKKMMINLKYIDPTYMIRAIASNASDNMYCTHLAQSAVHGAMAGYTGFTVGPVNNTHAYIPFDRITEKQNKVDITDMMWAQLLSSTNQPSFLSTARSADNIEANKDEEPPIQLSDEETNQLSFLTTRVDINEANKNKEPPTELSDGETN >Solyc05g053770.3.1 pep chromosome:SL3.0:5:64644546:64654693:-1 gene:Solyc05g053770.3 transcript:Solyc05g053770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYEKKLRPEEGDLLKEGRVAVPPGASSGGKLHERDEHKDRQISSEKGRDEHKERHISSEKAKLPNQFHVQRGEKPFKTSISVVEAEDPKFAQELDRRIRDEEKGAQSQLAERSPVEWRRDEKSDRVGIKVFGNLVDREKNKEERGSNNNKMDAETFRGEHRIGANATPPSFSTMAKNNFEGFPRPVEQNVGNREETPKPKERHNNKNDFVVLSNNKPPLVSKENIAGTATEGIVKKRKNVETNGFLHESEVRPAKLLRPSSSQQPTQNGKKLDTHPKADIFSSIIQGVASDTIVENKEHKINGSIEGQPMFSIKATASSMIPGADQIAEICKKPLFSVKAKDSSVIPGADLIAEASKKPFFSVKAKDSSVIPGAVPIAEASKMPLYSVKAKDSSVIPGADLTAEASKRPLFSVKAKDSSVIPGADLTAEASKKPLSSVKAKVSSLIPGADQNAEASKRPPHPDSKYLSQILSVPVMDDWSGYDDQEWLSRSKRTLPKSTEMSLDEVNKEHCVWSEALQIDSADVCALPYVIPY >Solyc01g021705.1.1 pep chromosome:SL3.0:1:32537712:32538400:-1 gene:Solyc01g021705.1 transcript:Solyc01g021705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASELLTLSDGTYLTDATRYRRVLGRLQYMSFTRPDIAYAVNKLSQFMQAPSDHHWKAVKRVVQYLRGTIQLGLRVTPIDEIVDRVSTSGYILFLGHNPISWVRVVHVHGADQIADTLTKALSKSAFESNLFMLGLVTHRLT >Solyc08g007370.3.1 pep chromosome:SL3.0:8:1932331:1943690:1 gene:Solyc08g007370.3 transcript:Solyc08g007370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRNGERRLIQPEFHRNPHPAPPNWPSDDSISRNDGNLDNHRRNFPGDGNHYNRRQHHQHHHRNPNFEQKFGGSAPFPVRKRPFDQASSDFLDCGSFVKLYVVGVPRPAEEEDVRSVFAAHGHIVEFVRLTDKGTGLRKECCFVKYRTLDEANRAIVAFNGRYTFPGGEFPLTVRYADGERDRLGILTEHTQKLYVGGLRKQASKKEIEHVFSPYGIVEEVFLIADEHKQRRGSAFIRFACRDMAVAAMNALHGTYIIKVCEHPLVIRFADPKKPKVGESRAPPLMNEQFNGNIAANQSNHQSPNQTPNNRSNPQTVFSTHVGSDNVLPSAASSVNAKSLNAEMVESIDCEWSDHICPDGNLYYYNCVTCESRWEKPEEFALYEKKLEKLDLQQQDQHNLKVPVRNTPEVSQMRQELETASSAVPMACV >Solyc06g008580.3.1 pep chromosome:SL3.0:6:2488393:2489739:-1 gene:Solyc06g008580.3 transcript:Solyc06g008580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPW8] MATIYENNDLATELRLGLPGTTDKSKTRVSSTSTTNKRSLSEMDSSSDIINQNDQQDSSPPPKVQIVGWPPVRSCRKNVGVQAKNSIDISIGMYVKVSMDGAPYLRKIDLRVYKNYQELLKALEYMFKHPIGVFLEKEGYTTSGSDYVLTYEDKDGDWMLVGDVPLDMFISSCKRLRIMKESDAKGLGCL >Solyc09g009480.1.1.1 pep chromosome:SL3.0:9:2904835:2905350:1 gene:Solyc09g009480.1 transcript:Solyc09g009480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFDSYLDGFYYWKKIDENRRCEILAFDFRNEEFQVIQTPDVFNSNLGTLGLYDGYVSMLFHYLVENKTCIEIWLMEKFGFWTKKLVIESNLILKRPIGYGVNGEIFVETKSSNLEMIDPRTQEIVECVGPILGNGYSLQVLVYKKSLVSIKKLTTRNFIKDLEFEQLRI >Solyc03g053023.1.1 pep chromosome:SL3.0:3:23698139:23700503:1 gene:Solyc03g053023.1 transcript:Solyc03g053023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMSSSLTSLALTSPLHVSRKCLSLQPARKCYQRYAFNSYGNITLLIHDQSASPHLFPSSNLKSCCSAIASSNDGTVSMINFEDVMEKDWSFLEHPDSSAEHKQKIDEIISAGEITETSKVMIAISSDEFVDRVVESSICKQLLVVHDSLFMLACIKEKYDKVMCWQGEVIYIPEKWTPFDVVFLYFLPALPFELDQILDALRKCCSPGARVVISHPQGRQMVEEQQKQYPDVVVSNLPEKMLLQNVAAHHSFEVVKFVDEPAFYLAILKFINQ >Solyc11g012610.2.1 pep chromosome:SL3.0:11:5392469:5396513:-1 gene:Solyc11g012610.2 transcript:Solyc11g012610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIKPSSRYAKTSFDSSRSSTKSDPSSSTDKALIKIKKGSNGVTQTTHQSNFSSMVKKFVEHKSASSKLMKQQQQKKGDLKLVIPVDFIAEDLKKTAKRGSGLSSLHKKLFKGSSSGGGVKKEEGSTVKALTEVKGNTRTLGMVLRSERELLSMNKEQEDQIVELKLMLEEKNREVEKLKDLCLKQREEIKSLKNSILFPDVMNSQVQDLLEKQGSELKQAKQLIPNLQRQVTSLTGQLQCLAEDLAEVKADKYAIRGSYDSFGSPSEYDQEEAANSLEFSSEDHTIPGSPDDMFLKDVNPCLTPYYAKTKSKEFDDFNSPDAKDLVKNNIQVYHESSYNSCARKLSKSSDCRQCSNTGNKATRAARRSDESKCTYGKQVHSKFY >Solyc07g014590.3.1 pep chromosome:SL3.0:7:4925740:4941205:-1 gene:Solyc07g014590.3 transcript:Solyc07g014590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLHSPSISTYKPKLNYQNHLFSRRNSKPVDFETIRRKSVVNAAVHRLAAKTAASAVVVEKSTTERCRFEVLSGKPLPFGATATDGGVNFAVFSRNATAATLCLITLSDLPEKRVTEQIFLDPLANKTGDVWHVFLKGDFENMLYGYKFDGKFCPEEGHYFDSSQIVLDPYAKAIVSRGEYGVLGPEDDCWPPMAGMVPSASDQFDWEGDLPLKFSQRNLVIYEMHVRGFTNHESSETKYPGTYLGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSVLGDYKFNFWGYSTVNFFSPMGRYSSAGLSNCGLGAINEFKYLVKEAHKRGIEVIMDVVFNHTAEGNENGPILSFRGIDNSVFYTLAPKGEFYNYSGCGNTFNCNNPIVRQFIVDCLRYWVTEMHVDGFRFDLASILTRSSSSWNAVNVYGNSIDGDVITTGTPLTSPPLIDMISNDPILRGVKLIAEAWDCGGLYQVGMFPHWGIWSEWNGKYRDMVRQFIKGTDGFSGAFAECLCGSPNLYQKGGRKPWNSINFVCAHDGFTLADLVTYNNKHNLANGEDNKDGENHNNSWNCGEEGEFASIFVKKLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNYINYFRWDKKDESSSDFLRFCSLMTNFRHECESLGLDGFPTAERLQWHGHTPRTPDWSETSRFVAFTLVDKVKGELYIAFNASHLPVTITLPERPGYLWQPLVDTGKPAPFDFLTDDVPERDTAAKQYSHFLDANQYPMLSYSSIILLLSSADDA >Solyc06g071910.3.1 pep chromosome:SL3.0:6:44438892:44444942:-1 gene:Solyc06g071910.3 transcript:Solyc06g071910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTFNSVAATSKIRSSVAAANSSVRRQLSHVRLPSSPIQPSSVLQCRWSSSASSGVRAQVTTTEQVGVKAAAKVEAPVVIVTGASRGIGKAIALALGKAGCKVLVNYARSSKEAEEVSKEIEACGGEALAFGGDVSKEEDVESMMKTVVDRWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLSTQAAAKIMMKKKKGRIINISSVVGLVGNAGQANYSAAKAGVIGLTKSVAKEYASRNITVNAIAPGFIASDMTAQLSEDIEKKLLQSIPLGRYGQPEDVAGLVEFLALSPAASYITGQVLTIDGGMVM >Solyc06g069510.3.1 pep chromosome:SL3.0:6:43402285:43408933:-1 gene:Solyc06g069510.3 transcript:Solyc06g069510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVLPITPAPFPSLSAFTASSDEATNWNIQSPAAKSPAPPTTPSTPSSFQDIRRWRPAAQRNLRNQWSKLSSLRTQWLSLSSTARSHATYVVNSYLSQRYMDAMELGVLTEMPDIRKKARRKLFKQQEANRSKLLSSYKDMVAVVTQMVNVSKSMRCYLKGASGTPLTEFSCFPGHLNDTGDCDGIPVFTFWSIFDFEKLAEELVQMFVSETNLKRLLVMELCSIGSENFSQVDRLKWSDHFYVGEFDDLRICDSNSNEVLKQLEPKVESCNSQSTTMQSNSQLERNVLQVYLTTWLTEVNVDRFR >Solyc06g060780.3.1 pep chromosome:SL3.0:6:38909527:38924935:-1 gene:Solyc06g060780.3 transcript:Solyc06g060780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRASQRIPCFTYNGRFSHLHAQRFHFTTFLRKVQATEARASLNRGGSSSNSSSQGNTLLLPGATVATIVMLGLLHARRLYDDQKIEDAREKGILEFQPDVKATFMRLLPLRSISRFWGTLTNVELPMWLRPSVYKGWARAFHSNLEEVALPLEEYASLREFFVRRLKEGTRPIDPDPCCLISPVDGTVLQFGELKEVGAMIEQVKGFSYSVSSLLGASSLLPMNVVDDNTNQDGGQEGSMDDTNQKSWWRVSLASPKVRDPAPARPMKGLFYCVIYLSPGDYHRIHSPADWNVLGRRHFSGRLFPMNERATRTIRNLYVENERVVLEGKWQEGFMAMAAVGATNIGSIELFIEPTLRTNRPWKKLLHPEPPEEQVYEPRGTGVLLKKGDELAAFNMGSTVVLVFQAPISQPSADKSTSAEFSFCIKKRDRVRMGEALGRHMLAETQPSPPHGLGEQGSLKSVKFPFGSSNSLLHGIHVFHCPDEVGIVAKLSDCIASRGGNILTADVFVPQDNNVFYSRSEFTFDPARWPRGEMDEDFFKLSKMFNAMKSVVRVPEIDPKYKIAVLVSKQVLIPTLDHCLVDLLHRWQNGRLPVDITSVISNHDRGPDAHVIRLLERHGIPYYYLPTTMGKKREVEILDLVHDTDFLVLARYMQVFSAEFLKSYGKDIINIHHGLLPSFKGGSPSKQAFEAGVKLIGATSHFVSEVLDAGPIIEQMVERVTHRDNLQSFIQKSEDLEKQCLVKAIKSYCELRVLPYEKEKTVVF >Solyc02g081240.1.1 pep chromosome:SL3.0:2:45823674:45824620:1 gene:Solyc02g081240.1 transcript:Solyc02g081240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDDELRLLDFWASPFCMRVKIALSEKGVAYESQQEDLFGGKSDMLLKSNPIYEKVPVLLDNGKPIVESNNIVYYIEDKYPSTNPLLPSCAYGRSRARFWADFIDKKIFEGGMCIWKSKGEELEIAKKDFIEILKKLEGAMGDKDFFGGDNFGYVDVIAIAMTSWFHAYEVFGDFKVEQECPKFGCWMKRCLERESVSSVLPDPEKIYQCVVMLRKMHGIE >Solyc01g065780.1.1.1 pep chromosome:SL3.0:1:72217392:72217589:-1 gene:Solyc01g065780.1 transcript:Solyc01g065780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWATSNGVSRMLGDLHVRFLGGSGRKLAGAHPTRGTEKLIEYKTYLQALPYFDRLEGDHEVTE >Solyc02g061730.2.1 pep chromosome:SL3.0:2:33796608:33798108:1 gene:Solyc02g061730.2 transcript:Solyc02g061730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNKFTNVQVYSNDFEQETKKPKPAIGDFTIWEEHDTNEAQPVPMCLEQPESFSNDKEVEIEMEDVFEEALIDIDNDDAKNPLAGVEYVGDLYAYYRKMEVYSCVSPNYMEQQSEINGNMRGVLIDWIIEVHDKFELKEETLFLTVNLLDR >Solyc04g051660.3.1 pep chromosome:SL3.0:4:50885346:50889714:-1 gene:Solyc04g051660.3 transcript:Solyc04g051660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIVTKIPLCLHSSTLNHNSNVVQPISLKTLFLTPLYSPKTLNTLYPKLQAPSKSQNSAILTCSWKPQKGISKFFSEKIVVLLVGSFLFMGIRAKRVLSLPQPVQGSSVVETQEGDSEEEVMCMKLLEKNPRDVDALKTIVNVKMRKGKTKEALKYVEKLIKVQPREMEWRLLEALCYEMMGQLSKAKRLFKEILKQKPLLLRALHGLAMVMHKNVEGPAVFEMLNGALEVARQEKRVNEERNIKILVAQMYVVKGELEEALQKFKLLVQENPRDFRPYLCQGIVYSLLDKKKEADEQFEIYRSLVPKEFPQRGFLDDVVLAAKTETREQLEKEFKNEFSYKT >Solyc02g077370.1.1.1 pep chromosome:SL3.0:2:42890880:42891365:-1 gene:Solyc02g077370.1 transcript:Solyc02g077370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTI5 description:Pathogenesis-related genes transcriptional activator PTI5 [Source:UniProtKB/Swiss-Prot;Acc:O04681] MVPTPQSDLPLNENDSQEMVLYEVLNEANALNIPYLPQRNQLLPRNNILRPLQCIGKKYRGVRRRPWGKYAAEIRDSARHGARVWLGTFETAEEAALAYDRAAFRMRGAKALLNFPSEIVNASVSVDKLSLCSNSYTTNNNSDSSLNEVSSGTNDVFESRC >Solyc10g085660.2.1 pep chromosome:SL3.0:10:64891031:64895235:-1 gene:Solyc10g085660.2 transcript:Solyc10g085660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASDSALPEELWRRILEIGIESSNFNYKDLCCLSITCTLLNRLSSDDSLWSSLFFADFPQYQQPSSSCSVSSKWLYKIRYEKVREQKLLAHRRAVLRIQSEINEHSRRIGEMELRSAEEKGKMKNTVAEMLNLRKISQAKVALNVWQPEIVRGKQKQMVEQCNVPIDNRIHAIEMELKLCKQQIQGLEKALSVEKKRMQTAKEKLASVEYHPLREFNLTVHPVDENDMRRKRLKNFIK >Solyc10g007900.3.1 pep chromosome:SL3.0:10:2081035:2084816:-1 gene:Solyc10g007900.3 transcript:Solyc10g007900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLGIINIENKMEISYYNLKIAIFSFAIIFVLRWTWRILNHVWFKPKKLEKQLRQQGLKGNSYKLLYGDMKEMKKMIEEATSKPINLSHDLIWPRINPFIHKTITNYGKNCFVWIGPKPAVLITEPKLIREVLTKNYVYQKARGSPLSKLVISGLAAHEKDKWATHRRILNPAFHLDKLKHMLPAFKLTINEMLNTWKEVVSKDGTEIDVWPYLQTLTSDAISRTAFGSNYEEGKKIFELQKEQIELISKMARSIYIPGWR >Solyc10g047460.1.1.1 pep chromosome:SL3.0:10:40740416:40740601:-1 gene:Solyc10g047460.1 transcript:Solyc10g047460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRASGIEWDLRNVDYYESYDEFDLQVQRQREGDSIACYLVQIGEITESIKIIQQALEGML >Solyc01g068060.3.1 pep chromosome:SL3.0:1:76894610:76896975:-1 gene:Solyc01g068060.3 transcript:Solyc01g068060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIGRKIGLVDGQRIKNARADLIFIFWSSVGLYLEMRKLYNKEACFTHTLAIYLELWTLVTTMLHLAPGKALFVFVNNTLPQTTSLIETVYESSKDKDGFLYMCYSSEKTFGGHS >Solyc06g019180.2.1.1 pep chromosome:SL3.0:4:38564545:38564703:1 gene:Solyc06g019180.2 transcript:Solyc06g019180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGRRRQRKREAAERRSTIDDEKERGDDRRRERERRASTRSVRKRERRAVV >Solyc02g086530.3.1 pep chromosome:SL3.0:2:49833791:49838823:-1 gene:Solyc02g086530.3 transcript:Solyc02g086530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFNEADGNFKIHVDSSDITLKNSKFLVNDQMILSHVPNNISATPSPYYTTRDKPVTSTPGCFVGFKTNEAQSHHVVPIGKLKDIKFMSIFRFKVWWTTHWTGSNGRDLEHETQMIIIDKSDLLGRPYVLLLPLIEGPFRASLQPGKDDFIDVCVESGSSKVTRDAFHSILYMHAGDDPYSLVKDAIKVARIHLATFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVWEGVKGLVDGGCPPGFVLIDDGWQSICHDDDPITSEGTNRTSAGEQMPCRLIKFEENYKFRDYASRRSLGHDDPNNKGMGAFIKDLKEEFNTVDFVYVWHALCGYWGGLRPGVSGLPESKVIRPKLTPGLEKTMEDLAVDKIVNNGIGLVPPEIAEKLYEGLHSHLESVGIDGVKVDVIHYILTILKFVFFSLMDVKLLEMLCEDYGGRVDLAKAYYKALTTSVKKHFNGNGVIASMEHCNDFMFLGTETIALGRVGDDFWCTDPCGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYISDSVGQHNFDLLKTLVLPDGSILRCQHYALPTRDCLFEDPLHNGKTMLKIWNLNKYTGVVGAFNCQGGGWDREARRNICASQYSKAVTCQAGPKDVEWKHGTSPIYVEKIETFVLYSFKEKKLVLVKPKDTVQITLEPFSFELLTVSPVTILGTKSVQFAPVGLVNMLNTGGAIQSIELDDESNSVEVEIKGVGEMRIFASQKPSTCKINGEAVPFEYEDFMVEIDVPWSSPSGSCVIEYLF >Solyc03g063850.1.1 pep chromosome:SL3.0:3:38453734:38454258:-1 gene:Solyc03g063850.1 transcript:Solyc03g063850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVELVVASFKLFCSPIWIGGRKLTVLGDWQQVCWKLLLTAYFAYGGGRLLVLMIASSWWFGVESGWSWKGVLPWLQMQRREDGCWLEAAGRKKIMVRKWILEGGG >Solyc11g030643.1.1 pep chromosome:SL3.0:11:22604628:22606124:-1 gene:Solyc11g030643.1 transcript:Solyc11g030643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRLINEKMWLVQHIIGTEVLKDNGEEDLDEPRMNSVLQPCLDTVKRFLKNDHHNIIIEFQQEESDLHNGGHRN >Solyc07g047940.3.1 pep chromosome:SL3.0:7:59273430:59277245:1 gene:Solyc07g047940.3 transcript:Solyc07g047940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNFSESTRDVYNRIQKLEPDHVNKIIVYLIDQHHGEQDMIRLAFSPENVIRSIVNKAKNDLGLLSPKPAISGPLSPPLKFGLFSSASPRPFTNHQVGNLYWEHQGQAENRPIHSSDILPAGCSDPMTDEYQLQNQLHFLSLYDHSDHNFVGRTFGPRSSRRTSSLPEIPVKICHYFNKGYCKHGNNCRYVHAYPTQESFSQVFNGNLNDVVTDEHAISPGSLKKLEMELTQLLKSKGGDPVSIASLPMLYHEMFGRTLQAEGYLTESQRHGKAGYSLTKLLSRLRNSIRVIDRPHGQHAVILAKDIHKYLECNGERNEHGAIVADSRQIYLTFPAESTFSEQDVSNYFTQFGPVQDVRIPCQQKRMFGFVTFAYTETVKQILSRGNPHLVCDARVLVKPYREKSKLVDRKCPEKAYQASCYNPFAHAVSAHQSLHRRAIEFERRRFEEFQHYQMHVGYSIENLKLASEGHSEQLDFPSAEGFPYMLNVWNNGSASNNKTSLVKTNHYDQERFQVLNLPESPFASPTRNSI >Solyc07g027000.1.1.1 pep chromosome:SL3.0:7:32946408:32946563:-1 gene:Solyc07g027000.1 transcript:Solyc07g027000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAYDFLLENNGWGVTAETNYPYEEAQKVCKTEQSSAPVSINGYKVVPCI >Solyc04g024945.1.1 pep chromosome:SL3.0:4:27392112:27392569:-1 gene:Solyc04g024945.1 transcript:Solyc04g024945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPKGYGNPEFPIMSAISNKPFTDCNKLLVPMYVDDILIIGNYPILVTHVINIMDDKFYLKNCGELNYFLGIKVKHVTNGIILSQSKYILEILSDEDMTKCKGVKTPIWSTSPPK >Solyc08g061500.2.1 pep chromosome:SL3.0:8:48886226:48935936:-1 gene:Solyc08g061500.2 transcript:Solyc08g061500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRKGSNVWVEDKSSAWVAAQVTDFIGKQVQVVTEYGKKVLSSPEKLYPRDEEADHGGVDDMTKLTYLNEPGVLDNLQRRYGLNEIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMSENQSQSILVSGESGAGKTETTKLIMQYLTYVGGRAAADDRTVEQQVLESNPLLEAFGNARTIRNDNSSRFGKFVEIQFDASGRISGAAIRTYLLERSRVVQLTDPERNYHCFYQLCASGMDAEKYKLGHPSDFHYLNQSKTYELDGVSNAEEYTKTRRAMDIVGISQEEQEAIFRTLAAILHLGNIEFSPGKEHDSSVIKDEKSRSHLQMAAKLFKCDVQLLVTTLCTRSIQTYEGIIIKALDCGAAVAGRDTLAKTVYAQLFDWLVEKINRSVGQDPDSLIQIGVLDIYGFECFKQNSFEQFCINFANEKLQQHFNEHVFKMEQEEYQKEAINWSYIEFIDNQDVLDLIEKIDLVMWLRIGKPIGIIALLDEACMFPKSTHETFTNKLFQNFLAHARLEKAKFYETDFTISHYAGKACQTKTFVTYKTETFLDKNRDYVVVEHRNLLSSSECPFIADLFPSLGEESSRSSYKFSSVASRFKQQLQALMETLSTTEPHYIRCVKPNSLNRPQKFENVSILHQLRCGGVLEAVRISLAGYPTRRTYHEFIDRFGLIVLDMLDGSNDEKTITEKILQKLKLGNYQLGKTKVFLRAGQIGILDSRRAEILDLSAKQIQSRLRTFLARRDFISNRMAAIHLQSCCRGYIARNIYTALREASSVIVIQKYVRQWIMRNAYQQLYASALLIQSCTRGFAARQKFLHRKENKAATIIQAHWRMCKIRSAFRHRHSNIIAIQCLWRRKMATREFRRLKKEANEAGALRLAKTKLERQLEDLTWRLQLEKKLRLSNEEAKSVEISKLNKTVESLILELDAAKLAAVNEVNKNAVLQRQLELYMKEKAALERETFSVTELRNENIFLKSSLSALEEKNSALEHELIKGKEESTDTIAKLRAVEETCSQLQQNLKSMEEKLSNSEDENLILRQKALSATPRSNRPGFAKSFSDKFSGALALPSADRKTSFESPTPTKMIAPLAQGFSDSRRAKLTSERQQVAFHIQLVMIDILICYDCLLITVGEENCEILSRCIKENLGFKDGKPVAACVIYRCLIHWHAFESERTAIFDFIIAEINEVLKVGDEDVTLPYWLSNASALLCLLQRNLRANGFFSTSSQRSGGGSALNGRVAQSLKSPLKLIGLEDGMSHMEARYPALLFKQQLTACVEKIFGLIRDNLKKEISPLLGLCIQAPKIQRVHGGKSTRSPGGIPQQAPSSQWDSIIKFLDSFLSRLRGNHVPSFFIRKLTTQVFSFINISLFNSLLLRRECCTFSNGEYVKSGLAELEKWIVNAKEEVLRKIVFSPVFSNLDVELRKNYMGAECSLQVIHQKRKKSLEEIRQDLCPALTTRQIYRISTMYWDDKYGTQSVSNEVVAQMREILNKDSQNLTSNSFLLDDDLSIPFLTEDIYMALPELDPSLMELPKFLSEYPSALLMIQHTK >Solyc02g084290.2.1 pep chromosome:SL3.0:2:47995966:47997540:1 gene:Solyc02g084290.2 transcript:Solyc02g084290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKPESISRLRVILLLCRNRWYCKIFSEFLENQLDGIVRFSWSSWKINSMAFYIKIQPLR >Solyc11g010530.2.1 pep chromosome:SL3.0:11:3583927:3585303:1 gene:Solyc11g010530.2 transcript:Solyc11g010530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINNSIIILLALLCASLFLVSALAVDETKAANEGQLNAETQGHGGGGGHGHGGGSHHGGGGHGGGGHHGGGGHGGGGHGGGGHGRGGHGVNHP >Solyc02g093890.1.1.1 pep chromosome:SL3.0:2:55233346:55234173:-1 gene:Solyc02g093890.1 transcript:Solyc02g093890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLANTWAGPGYTPTPKDTTLINQMMLRFRPIAPKPVDNSSGPPETHVVANRRTKRKYVRVKKNKNNKKEKSDGLLDEVVTLQLLPESSGGVKTSPEDRSYPKTINFLVQLDRSIWINKNILSIGAPDPSVEIRSPMVVESWVTVDGLTNTTFVDLSALGSTDMEKMMNLQRDTCPGFISDGLDSVKWVNLAYRRMIDPEEEGGEATEMVVRLVVKEDKRAPVLLLLPSFACIVRIVYTWNKVKQSRTMPCDVWKMDCGGFAWKFDAKAALSLGR >Solyc12g042990.2.1 pep chromosome:SL3.0:12:59517947:59526943:-1 gene:Solyc12g042990.2 transcript:Solyc12g042990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGTGTGGEDVDYESDPEEAMLSLKMRRREASDDEDGGGESGKTEKPLRSIDSDDESEGQGAAAVYEDDEEYVEEEEEEEELYGEVEAVVEEAAEGVKEVVEQGGGSTPGVVDRDEHDLPQEEEKKENEPYAVPKTGAFYMHDDRFGDNVGGRQKRMSGGRRLWESKDDRKWGHDKFEELTVEQRNYDDDRRISRGRYRGRGRMRGVDSGASQGRKPRAYINGNDQTKDNNQNIQSNPSKAIRGRGPRRYRQSFKDNADAPPPPNKQSGQSVDKPSRYSTNEASASVSDLEKDAVTAANQRSMSRLNYASPPFYPSSSSSKETTITHKREMQTETSNHSVQPSLLGERAASAQSTAIIRKDPNDSFGINKLNIDDSIPAVAGKPSSSLQLSPGLSSSMKSTHPQASRGQGRGFNASPHRNYQSPVRNNQVNSVSLPTQLHPAQRYSAQSRGQPFLQVTGQQLVQQTGAGSQVSSPPKTAQVMNTCEPGELAFTSESNKLTSSVVAKGKGSLQGPGRGYGAHIVGAPGNMGSGHSNQKFSGTPTFLPVVQFGGQHPGGVGVPAVGMAFPGYVGQPGNSEMTWLPVLAGAAGALGTQYCSPYVTADGAYHPLPSGQISSLGAAPIKENKTSKQNSELKPQQSPPEFTNDDFRLRQKNPRRYTEMKFDQ >Solyc03g044245.1.1.1 pep chromosome:SL3.0:3:8578882:8580509:-1 gene:Solyc03g044245.1 transcript:Solyc03g044245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIFLGFLIHDHIVCGLTFQVPSWLNITLML >Solyc11g013020.2.1 pep chromosome:SL3.0:11:5885464:5888195:-1 gene:Solyc11g013020.2 transcript:Solyc11g013020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVGGPLLCIGDLLSDVGESDAGGDQLPSHPINTPPPDFNSSLQPSDLNKLFQEKYEQLNKALAGTDHSWTHLTLELCTALETANKLVHSTNSNVGMLKDKVEELWEVINRRDATIEAAKAFEGSPKQPESS >Solyc06g066580.3.1 pep chromosome:SL3.0:6:41942351:41946622:1 gene:Solyc06g066580.3 transcript:Solyc06g066580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFCGDEEKFGISPFHAAGNSEDQSLNSVHPTSSTANFRVPVADVKPELYHHHHGHNEFQTSSSLQEKLELDTDHFTRPLIASNWSQQDLSSPASSCITTGLSHNLLNFSNNKGEHKHQHPDHNSTECNSTSSGGISKKARVQQSSAQPSLKVRKEKLGDRVTSLHQLVSPFGKTDTASVLSEAIGYIRFLQAQIQALSSPYLGNASGSMGHIPQQSLNDSQHKPMDLRSRGLCLVPISCMANIGSDTGADYWAPALGGGF >Solyc05g006180.2.1.1 pep chromosome:SL3.0:5:859546:861762:-1 gene:Solyc05g006180.2 transcript:Solyc05g006180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNTIKSFMCKRNYGIRSYINEADLPPSKRKRFENLPVRSKKNKTEMNNDLTEPKLLGVSLMDYFSIEQLKNHIRNLRSTETMSERSVTNNVCQLCSMDSLDFVPTPIHCSSCYKCIKRNLIYYWAVDESDRRHCYCNNCFRKCSDDDNVLGKNKFQKAKNNYRNEEPWVQCDKCECWQHQVCGLYNANEDLEGQAKYICPFCRLKEIEAGEHKPLPVSIGAQHLPRTMLSDHIEQRLFRRLELDRNERAKYDDEVPAAADLTVRVVLSVNRNLKLNQQFLDIFQNDEYPPEFQYKSKLILLFQKIGGVDVCLFGMYVQEFGSECASPNRRCVYISYLDSINYFTPDVRTVKGETLRTFVYHEILIGYMDYCKKRGFTACYLWACPSLKGEDYIFYCHPKSQKTPKPEKLRLWYKSMLRKASEEGIVVNHTNLYDQFFGPSTRNSAAHLPYFNGDYWSGAAEEIIRNIEKENRADKAKKLMTKSSLKAIGNDNVYADATKDILVMQKLGQTILPVKKEFIVVNLRFVCINCQQVIVSERRWSCKQCKSFHICNKCVALQKTHRSSSGDEHLLSEIVMEEDDIPVSTEDQDAIIENDIFENRHSVLSFCEKNHYQFDSLRRAKHSSMMILYHLYKNIHLSFEKEHFEGHGALKVKLMGALVHASQCCATLSNHCSFSGCIKVRQLFQHVSRCSHRVAGGCALCRKIWSLLHWHSQTCRDTSCIVPRCKDIKKHGVAYS >Solyc12g097090.2.1.1 pep chromosome:SL3.0:12:66708732:66709181:1 gene:Solyc12g097090.2 transcript:Solyc12g097090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSYIKSPFILLIILFINILNLKNVVASPFHIQVMNNIDPTRFPFNSIKLHCASKDNDMGFHDITPNNKFEWSFKEGYFSNTLFFCHFWWGLKERAFEVFNVFHGCIKNAPLNPDTRLCKWTITDIGFFLEDDKGKKYIAYAWEPLKK >Solyc12g056050.1.1.1 pep chromosome:SL3.0:12:62969316:62970773:-1 gene:Solyc12g056050.1 transcript:Solyc12g056050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDQTGFSSFRFCCWFLVFFFALSSIPLLYWPCFFGYGYSYIQMTTSNKNGSTNLLRFSKAWNHLDFSSQPPQRLLKIALFVKKWPDENKAGGLERHALTLHLALAKRGHELHIFTASSSNSSFPLYPHFHISRPTAAGYLDQAVVWKQFQAENATKRPFDVIHTESVGLRHTRSKNLKNLAVSWHGIAYETIHSDIIQELLRNTTDDPVKSPALSERMKKVIEEVKFFPNYAHHVATSDHAGDVLKRIYMIPEERVHVILNGVNEEIFKPDVSKGSAFRSNLGIPESKSLIVGLAGRLVKDKGHPLMFEALQQIFNENSTFRDNVIVLVAGNGPWGTRYKGLGSNNVMVLGPLEQDQLAGFYNAIDVFINPTLRAQGLDHTLLEAILTGKPLLATKLASITGSIIVSQEIGYTYAPTVRELKKALYKILEDGRESLQKKGRFARKRGLKLFTATKMAAAYERLFLCISSDEKQAHNYCMYQPQ >Solyc10g055195.1.1 pep chromosome:SL3.0:10:56406568:56407309:1 gene:Solyc10g055195.1 transcript:Solyc10g055195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKYLIRLIVDLFDRLGQDKVFTKMDLRKGYYKVRIAEGDEPKTTCVTRYDTFEWLVMPFGLTNASAKICKLMNKLFHPYLDQFVVINLDDIVVYSNSMEDHVEHLCKVFNVLRDNDLCVKREKCSSAQPNVQFLGHTISHGEIRMDGDKVEAIQNWEAPMKNCKWEWSEACQTTFEKLKAAVTDESVLVLPDFTKSFEVHTDASNFAIGG >Solyc07g042317.1.1.1 pep chromosome:SL3.0:7:55627160:55627576:-1 gene:Solyc07g042317.1 transcript:Solyc07g042317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSAYRLDPGPLEPSVLTQQLTHRSRDIWNGSVNMILNTRRCDGKFCDLVKKYPIHPRVLEMMELSGLYGVYRSNRLSIDRSLITSLVERWRLKTHTFHFRTGEATITLQDVEVLYGLPVNGDPVLGDESIRTIGD >Solyc11g028150.1.1 pep chromosome:SL3.0:11:20552533:20553514:1 gene:Solyc11g028150.1 transcript:Solyc11g028150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRLSHLEAAFRILRYIKTSLGRAQVYPGVPFTRYAILGDYIFIGDERVAECYHELIPPLNIPFSLEKSLVSSVVALEISKRFFIRGVTEDLFPVSCHMLRSLVSSISLVPVMKAIMSKNLPLSYRLREDGYRVYTRRMAPPRRHWNRHFLVFHSTNGVCPLPFCIWLSATTGKHLTSYKQGMVRGPD >Solyc06g005670.3.1 pep chromosome:SL3.0:6:734691:743971:1 gene:Solyc06g005670.3 transcript:Solyc06g005670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELGRRPMMGNNENSFGDEFETEIGMLLRDQRRQEADDREKELNMYRSGSAPPTVEGSLNAVGGLFNNSGFMSEEELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRFSQRLQGGSSAIGDRRKVNKNDNGNGGRSPFPMPPGFNSKKAESENETDKLQGSVEWGGDGLIGLPGLGLGSKKKSIAEMFQDDFSRVSPAPGHPSRPASRNAFDGSADTIGSVEGELSHLRHEVSSSKPIRSASSTQIPSAAQHDEVPTSYSYAAALGASLSRSTTPDPQHIARAPSPSLTPIGGGRVVNSEKRSVSSPNPFNGVSSHRTESSELVAALSGINISNGGQNSTKQHDFLKQSESPQFNVASNAQSAKVPYSVAVTGSSSSYLKGSPTSGLNGGGGVLSQYPHLDSPNSSFSNYGLSGHAVSPMSSHLGNYNLPPLFGNAAAASAMAVPGLDSRMLGGSNLSAATSEQTLSRMGNQMGGNAVPASFMDPMYLQYLSAEYAAQVAVLNDPSLDRNYMGNSYVDLFQKAYLSSVLPQKSQYGVPLNSKTSGSGHPGYYGNSAFGVGLSYPGSPLASPVSPVGPGSPMRHSDYNMRFPGRIRNIAGGVMGPYHLDNMENSVASSLLEEFKSNKAKCFELSEIAGHVVEFSADQYGSRFIQQKLETATTEEKNMVFQEIFPQALTLMTDVFGNYVIQKFFEHGMASQRRELASILFGHVLTLSLQMYGCRVIQKAIEVVDVDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECVPELHIQFIVSTFFGQVITLSTHPYGCRVIQRVLEHCDNPETQSKVMEEILGSVSMLAQDQYGNYVVQHVLEHGKPDERSTIIQELAGKIVQMSQQKFASNVVEKCLTFCNSSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQQRELIMSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSLSTA >Solyc08g015634.1.1 pep chromosome:SL3.0:8:5098289:5098680:-1 gene:Solyc08g015634.1 transcript:Solyc08g015634.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEQGLGLPSPDRLRIFNTHLIDDILSRLSFRDFVRVSTLSKDWQYICWRIPHVKFDQTVWKTPEHLTSPTIGFIPILDSFLSVEAFVPQGM >Solyc04g076970.3.1 pep chromosome:SL3.0:4:62009804:62011252:1 gene:Solyc04g076970.3 transcript:Solyc04g076970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSQTPSNIISPYYENWLIQLQYFLEKLNAISSSYGGDDEEENRSNELVTQVLDHYQDYYREKFNSTNRDVFLLVSPPWYTSLEKTFLWIAGFKPSTLFPTINYSIGSELTTEQCENLKRLKAETKREEKVIEKGMAKVQEKVAAPPIFELMKRGGTVVDGEASELESVIDGMKHSMMSMVETAEHLRGSTVKNILDILRQKQAVKLLAAVAQFHLQARKLGLQMDIQSAKRINEDFIN >Solyc08g008210.3.1 pep chromosome:SL3.0:8:2657251:2662967:-1 gene:Solyc08g008210.3 transcript:Solyc08g008210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLVNTMKEAAAKELLNVSHHEHGIIDSILHHHHGGYKKLLHDLIVQSLLRLKEPCVLLRCRKHDVHLVEHVLEGVKEEYAEKASVHQPEIIVDEIHLPPAPSHHNMHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKCLFGQVAA >Solyc06g005115.1.1 pep chromosome:SL3.0:6:141810:142918:1 gene:Solyc06g005115.1 transcript:Solyc06g005115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMHTHTFEQRRQNWIIKNISRPSGLIIDYVYHHLDTNKKFRSLIEVYKFLVYGEVPEITKKLRENEEPSQVRRKPHGKKLVNKYVVGTCTEPCSGIYIKNRGEVNYLSERDIPSNIEKKRKRGNEDLYGHQQVNLGDNATHVNSGDVALSTTVHSFLYLWDFKLIPEARNENLS >Solyc05g005915.1.1 pep chromosome:SL3.0:5:701110:702924:-1 gene:Solyc05g005915.1 transcript:Solyc05g005915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEIRQVEEQPRKGGLRTMPFIIVNESFEMIATYGLQTNMLIYLMTFYNMSAATATTILGLWAALSNGMAIVGAIVADSYCGRFRAVAFGSISTLIGMIVLWLTTMIPELTSLSCSHFQHVCNGTTPIQLAVLFSSFGFMSIGAGFVRPCSIIFGADQLEDKKNPENQRRIASYFNWYYVSTGISTMLAVTVIIYIQDRYGWQIGFGIPIILMFLSVLMFQLGSPLYIKVKAKETENLIIGLFQAAVAAFSKRNNTRLPLTGCDEYYRWPLESNASTPSMDFRWLNRACMIEDPERDLNADGSASNPWDLCSVERVESLKALIRILPMWSTGFMMSVDMGVFSFSILQTKTMDRHMFPHFEIPAASFTMFLLIALTIWIIFYDRILVPLLSKYTGRSRGLSPVTRMRIGLVVSCMSMALSAITERIRRQKAIEEGHEDEPNALVNMSAMWFVPQYALLGMAEAAHTVWTD >Solyc03g063700.1.1 pep chromosome:SL3.0:3:37761620:37765974:1 gene:Solyc03g063700.1 transcript:Solyc03g063700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPDEGVYPDYDAPEGQIDLSNRLCPLLVVRALSKFEFEDVRRLAAELCGRIHPKVLIPIMSYQLKNATCVKDLLKIKACLFSICISLLVNGTDAYAHPDMFWIHQAIETILLWPSVDGDDISKAQHGCIDCLPLILCTELQATKAVKNSISIEVCFEQSIVSSGDSLTKDSVCSYVIHHLVCDEDISVMLGRNEVVKAHQSFRLRMANVLITACQKVPSASKKPFVSKILPRVLHCVEEIANSEVRSACIQVFFSMLYHLKSLVLPYSSDLLKVSIKSPREGSEKERIAGAKLLASLMASEEAVLQKISGGLVEART >Solyc08g041855.1.1 pep chromosome:SL3.0:8:25586283:25588922:-1 gene:Solyc08g041855.1 transcript:Solyc08g041855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLHACCCQFPSVTKGVEFSNGRIIPKWNLCFPRQKWSVSKRCIIAASSPQCCEIEMDGLWTTQLNALYQFSRPHTIFGTVNKPSLPLASGEISMKRGIPIVITSLLMSFGMGIKFQSPPLLAALIVSFLLGSAYSVELPFLRWKRNAALAAICIMVVRAIIVQFAFFAHIQKYVLVRPILYTRSLFFAVTFMCIFTAVIALFKDIPDVNGDRNFGIQSLSVSLGQEQVSQILLNTSSSVMLQLVVYMFLNNLSQTQVFWLCISMLVAAYAAAMVIGTTATTLSNKLVTVRCVMTRHLIFKILSETLSS >Solyc03g013050.1.1 pep chromosome:SL3.0:3:49103958:49104247:-1 gene:Solyc03g013050.1 transcript:Solyc03g013050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSLVSLDFVCNFPFVMQGGYAELHEGGWRVHGVLTASRRIRDWVTKTKTSHAAFDETNSFGLMILM >Solyc11g011020.2.1 pep chromosome:SL3.0:11:4060572:4064034:1 gene:Solyc11g011020.2 transcript:Solyc11g011020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKVLFLFTIFVSIFSLFLPTNSDIVSDRATLLSIRSALRGRSLLWNITSPTCSWPGVICSPDKSSVLELHLPGMGLLGQIPPGLFSNLTKLNFLSLRYNALSGVIPADLFTSLHDLRNLYLQNNLFSGPIPDSIFSLTNLVRLNLAHNNFSGSIPESFNNLTSLGTLYLQGNGFSGQIPDLNLPGLVQFNVSNNQLNGSIPDKLSGQPKDAFLGTSLCGKPLDSCDGSSSSGEGKKKKLSGGAIAGIVIGCVVGLLLLLCLLFFCCRKRGKAETRSADVGAVSKQVEVEIPEERGVEGNGGKDGFLGSAIAAIGVGGGNKDKGKAEAAVNDGKSLVFFGKMAKNFNLDDLLKASAEVLGKGTFGTAYKAALESGITLVVKRLRDVTVPEKEFREKIEDVGKMNHENLVPLRAYYYSRDEKLLVYDYISMGSLSALLHGNKGAGRTPLNWETRAGIALGAAHGIAYLHAQGPSVSHGNIKSSNILLTKSYEARVSDFGLAQLVGPSSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPTHSVMNEEGVDLPRWVQSVVREEWTAEVFDLELLRYQNVEEDMVQLLQVAVDCTAQYPDRRPSMAEVTSRVEELCRMDSGGDIIDNDAEVQTA >Solyc02g092530.3.1 pep chromosome:SL3.0:2:54232495:54237659:-1 gene:Solyc02g092530.3 transcript:Solyc02g092530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTPRLVIPIDLKKKPWQQKLPLHNRWHPEIPPVTEIKTGEMFRIEMVDWTAGSIQDNNSAIDVKTVDLSTAESYNSPMQVHYLSGPIRVVDTDGNPAEPGDLLAVEICNLGPLPGDEWGFTAIFDRENGGGFLTDHFPRATKAIWYFEGIYAYSPHIPGVRFPGLIHPGIIGTAPSKELLNIWNERERKLEETGPRSLKLCEVLHSRPLANLPSTKGCILGKIQDGTPEWIRIANEAARTIPGRENGGNCDIKNLSRGSKIYLPVFVEGANLSTGDMHFSQGDGEVSFCGAIEMSGFLELKCEIIRNGMKEYLTPMGPTSLHVNPIFEIGPMEPRFSEWLVFEGISVDESGQQHYLDASVAYKRAVLNAIDYLSKFGYSKEQVYLLLSCCPCEGRISGIVDAPNAVATLAIPTAIFDQDIRPKVNKVPLGPRLIRNPGIPQCTYDGNLPITKNPLLHQQGSSCNMDASS >Solyc03g097200.3.1 pep chromosome:SL3.0:3:60953205:60957537:-1 gene:Solyc03g097200.3 transcript:Solyc03g097200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKAGKTAGVEAEGNRSREKVCKRCKKIYDSASNNSNSCQFHPSFFVCRRHDDQKRYYELGPDDPPYAAKFYDCCGAEDPQASGCTTNFHVSYDDE >Solyc03g033640.3.1 pep chromosome:SL3.0:3:5255972:5263235:1 gene:Solyc03g033640.3 transcript:Solyc03g033640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLMLTPTHRYAAGALFGLALHQAQIHQTCPLGFPDTPPEERSSSCSSADSVSDDPQLWVHESSALLRPIFKFLEIDDKAWSGLEETAASTPPKHHVGAFLRLLSEESDDGSSEAADMELALANGVDAMASSMEKTSDDESKEEKRREYKHECEEKLSIADVTSKSDMENMKGENYPEKSQKPSSIVGAHLKSVSGFDEKPVEEVSMLGYTRKVTILYELLSACLAQVPEDGKKTTRRRKGYDARHRMALRLLATWLNVRWIKAEAIETMVACSAMALLKEEESKEESQSPDGSWAKWKRGGIIGAAALTGGTLMAITGGLAAPAIAAGFGALAPTLGTLIPVIGASGFAAVATAAGSVAGSVAVAASFGAAGAGLTGTKMARRTGDVDEFEFKAIGENHNQGRLAVQILISGLVFEEEDFIRPWEGQHDNSERYILQWESKNLIAVSTAIQDWLTSRIAMELMKQGAMMTVLHTLLTALAWPAALLTITDFIDSTWSIAVDRSDKAGVLLAEVLKKGLQGHRPVTLVGFSLGARVIFKCLQVLAESENNSGLVERVVLLGAPIAIKDMDWEAARKVVAGRFVNAYSTNDWMLGVAFRASLLTQGLAGIQPVEIPGVENVDVTEFIEGHSSYLWATQEILELLELDTYYPVFGHRTVKT >Solyc03g117330.3.1 pep chromosome:SL3.0:3:67968672:67979373:1 gene:Solyc03g117330.3 transcript:Solyc03g117330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVKKETGLGLSYKKDENFGEWYSEVVVSGEMIEYYDISGCYILRPWSMSIWEILQGFFNAEIKKMKIKNSYFPLFVSPAVLQKEKDHIEGFAPEVAWVTKSGDSDLEVPIAIRPTSETVMYPYFSKWIRGHRDLPLRLNQWCNIVRWEFSNPTPFIRSREFLWQEGHTAFARKEESDAEVLEILELYRRIYEDLLAVPVSKGKKSELEKFAGGLYTTTVEAFIPNTGRGIQGATSHCLGQNFARIFEINFENEKGEKAMVWQNSWGFSTRTIGVMIMVHGDDKGLVLPPKVASTQVVVIPVTYKDANTQGIYDACAATVREMNESGIRAEADFRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNGDKTDIPMANLAEQVKDMLATIQQNLFDVAKQKRDACIQIVRTWDEFTVALGQKKLILAPWCDEEDVEKDVKARTKGEMGAAKTLCSPFDQPELPEGTLCFASGKPAKKWTYWGHSY >Solyc11g068750.2.1 pep chromosome:SL3.0:11:53676520:53682181:1 gene:Solyc11g068750.2 transcript:Solyc11g068750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPFKFFKYFFLTRQSDLYKLLKYCYFLGLKKLEKKFVFGVMEDEDLLGCNSEKKNGEIIEKTKISNSNGVEISVATASTMFPGFRFCPTDEELISYYLKKKVEGSDKCVEVISEVEIWKHEPWDLPGKSIVQSDNEWFFFSPRGRKYPNGSQSKRATESGYWKATGKERNVKSGTNIIGTKRTLVFHIGRAPKGQRTQWIMHEYCMTANPLYQDSMVVCRLRKNNEFHLNDTPGNQRNDLAVTNGTVDLSRAVQLNGLGLINGGECCSKEASSSFSSHSVEQIESGSESDKLNKELPQHHSSSHWKDCDEEDCFADIMKDDIIKLDDSSYKAKHNLLPTITRKLESSTKSPTSQEAQNLMSNRLPFQGTANRRLKLQTEKVPRGVETLELHEANKKNIHARGISRRLINIAPVKRMKQWSIPLLLISLMLLIVLLCLFGVPQPVKWHYSS >Solyc04g028470.2.1 pep chromosome:SL3.0:4:15488186:15491450:1 gene:Solyc04g028470.2 transcript:Solyc04g028470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPGNNNYIMNPSAYKANFEPYGISYFKYPTGRFSDGRRLPDFITEFANLPTIPAFYQALHNHYINHGVNFASAGAGCLDETYREKKKVDGTTREKGSKALLSNAVYLFIIGNNDYLRLYDIPDIPSDSSCLSYTTEHEYMNMVMDSLVTVMMEIYKLAGRKFGIQDLLPLGCLPRFRGLALLKKGPHSDCLDELNSVVKKHNLALSRKFKQLKKELRGFEYSFFSIFDALKELYENPSTHGFKEAKAACCGFGPYRGFGSCGMAEAYELCENVKEHVIFDFYHPTGKAFHHFAQLWWQGNSNVVESQSLKSLLALLFA >Solyc01g106170.3.1 pep chromosome:SL3.0:1:94008927:94010941:1 gene:Solyc01g106170.3 transcript:Solyc01g106170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSNFYLLYLISLKINKSRLIKEMGRGKIVIQRIDNTTSRQVTFSKRRNGLLKKAKELAILCDAQVGLIIFSSTGKLYEFANNSMKSTIDRYNKIKEENNNMNPMSEVKVT >Solyc02g062050.2.1 pep chromosome:SL3.0:2:34177079:34182936:-1 gene:Solyc02g062050.2 transcript:Solyc02g062050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNAKVAKLVASGSGHLIKGILWCGDVTADRLKRGNEVLKNRFKTGSKSEVSPETLKRIKREVLLFTWECSQIEVEENFCSPTSTLIGDRLKYFNDWDESLQDRLRQRNNIVALLVCVGNEIQWPLTKDLAAVKFDDSHYFFSFQAPKDDESDKESVGDSLNYGLTIVSKGQEKLLKDLDGYPPWYKGKKKDGSNMQHNVPPVFKSEYDSRNAGPSYGNLSFSHNHNSAGEVHKSIGGNSQTEVGTHAHGYVGLHGSHNCSGSNVTADRCAHGYGSTGPYNHGGAGIVNCSVMHNSSSHRWIVDTGATNHMSSTPDLLHETQLLPTTKFNKTHFKIKDLGEMRYFLGLEIAKNKDGIMMSQRKFALDLISDFGLAGTTPASTPLEVNQRFTSQDFDMSCEAQDAHEDVALSDPAGYQKLVGKLLYLTMTRPDISYAVQNLSQFMHKPKKSHMEGALRVIRYLKNAPGLGIMLTSKVCKQLSVYCDADWATCPMTRRSVSGFVVKIGDSLIMEVEETECCIKKLC >Solyc02g077330.3.1 pep chromosome:SL3.0:2:42870288:42873734:1 gene:Solyc02g077330.3 transcript:Solyc02g077330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMRLLIILFLGLVALHDHNGVVQGIEVAVLRKLAAKNNVTCLLVFGDSSVDPGNNNHLSTINKANYLPYGMDLNSQPTGRFSNGKLATDFIVEALGYVNMTRAFLDPQINKVDMLHGISFASAGSGYDDLTANFSNAMTLAKQREYLRHYEIHLSKMVGVDKARETMKNALYILSMGTNDFLQNYFLEVIRSMQYTVEQYQNFLIRSLFTHVKIIHSRGARRLAVVGVPPLGCEPLIRTIRDDETKCDDDLNKVAFTFNLKIKRELQALKRLFGIKVAYIDIYSIILEAVQNPQKFSFTETSKGCCGTGTVEYGESCKGLKTCGDRTKFVFWDAVHPSEKMHKIMANEALKAINVDLLD >Solyc11g066740.2.1 pep chromosome:SL3.0:11:52831304:52836783:1 gene:Solyc11g066740.2 transcript:Solyc11g066740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLQSSLSHISNLSISSNTTQIPQFKGLNLSKFVSLQQSIMKTDRFFRITCTGQESIVRTVDGGDGGNGKGGFPSDNNGGGDDGDGGGDYDEKEFGPIVKFDEVVKEAEKLGAKLPIDMLEAAKTTGIRRLILSRYLDMQGSAWPLGFLMRHCSMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWAEFELYAADLLVGIVVDIALVGMLAPYVRIGKQSVSSSSFGRFRHACGALPSSVFEAERPGCKFTLQQRISTFFYKGFLYGSVGFGCGLVGQGIANLIMTAKRSIKKSEEDIPVPPLIGSAALWGFFLAVSSNTRYQIINGLERLVEASPVAKRVPPVALAFTVGVRFANNIYGGMQFVEWAKLSGVQ >Solyc09g009850.3.1 pep chromosome:SL3.0:9:3341926:3345185:1 gene:Solyc09g009850.3 transcript:Solyc09g009850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPNELSYKPPSEFEEVKKDSLVNLNISDSTELWLIQWPFNQSLSLTSVLSFDISNWLLQHPGLDGQEVSLKLHHDGHIGSFEDSSGKFSKSYEVVSCRAQDPDALVFLSSDSEPKIAGKISRRVSLVHYPEPSELKQNSINLKQMMAQRSSGTTLTNSSRRFATPSQSTRTRSIMRSESSSKSTKRKHSDGGPAKSNNQSVQDSGKSGRSALTSSGSFDHSQDQKSKKKRKIDG >Solyc07g008100.3.1 pep chromosome:SL3.0:7:2816806:2819013:1 gene:Solyc07g008100.3 transcript:Solyc07g008100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPGPRPYECVRRAWHSDRHQPMRGSITQQIFRVVIERHSVVTKKNREWQQKLPVVVLKAEEIMYSKANSEAEYMDPETLWDRVNDAIDTIIRRDETTEIGQLLPPCVEAALNLGCIPERASRSQRNSNPRSYLSPRTQEPHCVPPKVFSRSANELNSNSSLPIRSTNQPLFLRPTNVNTSRLASEFDRPVMPRINNLGASPSAKAIVIPESSSSLDVGSVYPLFYGTDLQPEVSPLVLREPQHNVIVGKPIYPSIVEPAKISCFPSLFPSRRDDVQEKSCQADFRDKTRRMPELDCDLSLRLGLSANSGLQLQQGQISCIGDFRPSGNSNEGDQFKLLSTSKGKEFTFFPAESANSPSGLPSRLHRAHGNLEGYGLNTETLFMKHKMPVRPSDGFQERYGFEAVSTSKDKEYPFFFAESANSHSGLQAGRANLEGEDQTAATLLRKRKMPIHNNMELGQFLWQDERTLNRFPGQMKRPGL >Solyc08g066030.3.1 pep chromosome:SL3.0:8:54560215:54564006:1 gene:Solyc08g066030.3 transcript:Solyc08g066030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVSTSLCSCFSILILVLAPISFAQGYMMDIKVLQVGQELMKETLPLQSGSRLYELQGLNSNKWYEVKISYPASIPATFTLQLSKGSSGLNVGRKLLNTEKIIFQADNSLQLLGDEGKMFVLVNVEPEGIVAIPGVKEREHIIYNIVCDELLFGIPHQAWYVVVLVVLCLALALVIPSFLPSYLLPRNQGLDAADHGISKDS >Solyc10g049255.1.1 pep chromosome:SL3.0:10:44640274:44641355:1 gene:Solyc10g049255.1 transcript:Solyc10g049255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRGERIESYVYDVPSAIDDHRYLTNKSYRALIYSGDHDIIVPHLSTEEWIDTLKLPIVEDWEPCFVDGQVAGYKLKYLQNDCELTYATVRGVGHNTPEFKPEKCLPMLDRWCSGYPL >Solyc03g006320.1.1.1 pep chromosome:SL3.0:3:924296:924946:1 gene:Solyc03g006320.1 transcript:Solyc03g006320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKPKCTTAATAATAAVVKEVHYRGVRKRPWGRYAAEIRDPGKKCRVWLGTFDTAEEAARAYDKAAIEFRGAKAKTNFQMQQQPDDVIRSPSDTSTVESSSAAVAVAKAPAMVESIPLDLSLGSSSSAVGIFSSGVGKFLFQNSPPMYYFQGAGVIRNGGGGGGGSGDGGGAGASGAGGMMKSESDSSTVIDFMGNNFKPKAKFDLNLLPTPEDM >Solyc05g018300.3.1 pep chromosome:SL3.0:5:20369172:20390631:-1 gene:Solyc05g018300.3 transcript:Solyc05g018300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVYSRACIGEICAPRNVDVKEPENVKPAEIPVFSPASSNGEDGETRDQLNQLSLSRDNEIGITRLSRVSAQFLPPDGSRVVKVPSGNYELRCSFLSQRGYYPDALDKANQDSLCIHTPFGTSPDDHFFGVFDGHGEYGAQCSQFAKNKICENLLRNSKFHLDAVEACHAAFLMTNSQLHADAIDDSMSGTTAITILVRGTTLYVSNSGDSRAVIAERRGNEVMAVDLSIDQTPFRPDESERVKLCGARVLTLDQIEGLKNPDVQCWDTEEGDDGDPPRLWVQNGMYPGTAFTRSIGDSVAETIGVVANPEIVVLELTSDHPFFVIASDGVFEFLSSQTVVDMVTKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVQVNGLTNGAVGQSGSSDVALRPPLPQVVELSGSESPSVMNWNSRIQRARQDISRARLRAIESSLENGQIWVPPSPAHRKTWEEEAQIERVLHDHFLFRKLTDSQCQVLLDCMQRVEVQVGDVVVKQGGECDSFYVVGSGEFEVLATQDEENGEAPRVLQHYTADKLSSFGELALMYNKPLQASVRAVTNGILWELKREDFRGILVSEFSNLSSLKLLRSVDLLSRLTILQLSHIADMVSEVPFSDGQTIVNEKQEPLGLYIIQKGVVKITFDMDLVKFENASSLLCENQKQDDIQNKKSITVEKSEGSYFGEWTLLGEQVASLSVIAVGDVVCAILTKEKFDSVVGPLAKLSQDDLRTRGHQTILSSESVQTFDTLTLERLQLADLEWQTCLYSTDCSEIGLVRLRDSDKLFSLKRFSKQKIKMLGKEAQVLNEKNLLKQMNTVASVPQVLCTCADEIHAGIVLDTCLACSVVAILNNPLDEESTRFCAASVVIALEDLHNNDILYRGVSPDVLMFDQTGHIQLVEFRFAKKISSELDERTFTICGMADSLAPEIVQGKGHGFAADWWALGTLIYFMLQGEMPFGSWRESELTFARIAKGQLTLPHTFSPEALDLIAKLLQVDENLRLGSQGVDSIKSHPWFLDVDWKAIADHRSPVPAEILSRISQRLENHGDENIASLHSPNRDMEELNTPEWLQDW >Solyc06g073165.1.1.1 pep chromosome:SL3.0:6:45222416:45223642:1 gene:Solyc06g073165.1 transcript:Solyc06g073165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSHWFMFFLSSVLSLFASSTCNSVPFVGSNQLKFWKENVVNEMPPSLVSKLSPMNMIDSQYYTSLVSKKAFSFDTQSCLLADIFCTSETNAQTSKITNLDSKIFYTTRTPDQAKVYAKLPNQAKVYPSKIPNQAKVYTTKIPNLDSKIFYATRTPDQAKVYPANLPEQAKVYTSKIYATSHSHSHHLGEVSFFRVSTLKPGNTVHLDNLVNPFPHRSFLPSQIASKISINSNHLQHLFPQTFTSPYTKDTTKTTILNCNAPTLKGEVKTCAKSLEEMIEFSKRSLKQNHLISLTTESIQGSGQKLKIEKFHKINSQRSVSCHEIFLPFATYFCHLLSSTNIYAVELVDLNTNVHVNKAMVVCHMDTSSWPADHPVFKKLNFSPGNGEVCHWMSQADLVWVGDDAHA >Solyc08g068800.3.1 pep chromosome:SL3.0:8:57970092:57977530:-1 gene:Solyc08g068800.3 transcript:Solyc08g068800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSMVLSSPLSGLSHSKLIIFSNKYSSSPSKAHCIYFSNKISLESSSKSSFIHGDFTVPSPNLSTFVSKSSSSNTITAQTSPLGEHVIYDFQAKEKKRGLSLGCFRGKVFLIVNIPEQGSIWTVSQYELLHYLYDKYKSQGFEIAAFRYNKKETDKAGYSNAQKAPSGGQQQIKAAKFRVFDKVKINGRRAHPLFVHLRSKFGMGEAITTEFHKFLVDRNGVPYKSFGLDTPCNVIEEEVKHLILEDAD >Solyc12g010670.1.1.1 pep chromosome:SL3.0:12:3614788:3615462:1 gene:Solyc12g010670.1 transcript:Solyc12g010670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQIFQDQIVEFDQRDNSFEKWKTSNLDKLEENSPQGFECNICLDLVHDPVVTLCGHLYCWPCIYKWIHYNALSSENIDNKQPKCPVCKAEVSQKTLIPLYGRGQATKTSTKAMSLGLVIPQRPPSPRCTSHGLIDNNNISPLSHDDHRNHITLEHMLSPGGTTTGEIVYARMFGNSLNTDSYTYSSSYSPRLRRQLLQTDQSLSRICFFLCCCLVTCLLLF >Solyc10g084080.2.1 pep chromosome:SL3.0:10:63872275:63875807:1 gene:Solyc10g084080.2 transcript:Solyc10g084080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELVWCICYEKAKNDMLPCSSFFRQMVKFEVKFTVYSMTVVIDELCKMGEFHKARKFMDDKFVKPNSCSYKKLLNTCIKKPDFLIVKVILRTMEKEGWDFDPKSYTLLIKRYSNFGEFGEMKRLFMEIEEKGVKPDVYLYTSMISGYCKLGNVRKAYQLFDEMTKRGLVPDGHTYGALINGLCKAGLMQEAEVLVNEMQSKGIWINRAIFNTMMDGYCKQGNVDEALRLQRIMEDEGHEPDANAYNIIATGLRKLDLHDVAKRLLLSMVDRGVAPNVLAYTSLIDIYCKQGDFVQAKRTLREMETKGIKPSTGTYNALVDGYFKHGYFIDAKRALIEIETKGVKPNTTTYTVLIDGYSKQGDFVRAKKTLIEMENNGVKPNTNTYTALIDGYCQKGMMNEAYKLRNLMESKDLIANVYTYTSLVHGECKLGKVDDALKLLNEMPTKGLVPNVVTYTALISGLSKEGRSGEAIRLYNQMIEAGVVPDAAAYSALSNASIMPRFLADNWSSKGPLANDYHET >Solyc08g041683.1.1 pep chromosome:SL3.0:8:26385364:26386132:1 gene:Solyc08g041683.1 transcript:Solyc08g041683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSTCSSVMILSFILLNLGVTIYVIIYVDGIILTCISLKYLRLLNYFLGIEIHMDDNGLFLSRYKYTQEVLHDSKTEDLVDDGARKTDGTENRIILGKLQYLSLTRPDVTYVIDKLTWFNISKYVAHWQGVHIFPHHSTNLYANTDTDWVGNINDHRSILGHIIFLVTTSISWCSPKQPTISRSSTEAYIG >Solyc02g081130.2.1 pep chromosome:SL3.0:2:45754981:45759045:1 gene:Solyc02g081130.2 transcript:Solyc02g081130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRPEKRNLPLHIITGTTNVVRVGDCVLMRPSENDKAPYVARVDKIEADKNKHTFVHVTWYYRPEESMGGRREFHGSKELFLSDHHDVQSAYTIEGKCTVHTFKNYTKLKCVGPDDYYSRFEYKVATGDFIPDRVAVYCKCEMPYNPDDLMVQCEHCKDWYHPGCVGLTTEQTKQLAEFVCSDCSASLKKSVNTPVPLSSGKVLTELACFFSCCAKAPEEVSGAVVKMDEDSFHHAHQDFVQCTALELMPVLFIYYLISVVSCFCQ >Solyc03g078560.1.1 pep chromosome:SL3.0:3:52603534:52604950:1 gene:Solyc03g078560.1 transcript:Solyc03g078560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYIFKIIIFPGEENRKWERVDSDLWEGLINQPFDVNFCWKNLVSINGRILHWYVNSSEYVVLMDVKEGKYSIAYLPERDEVVNKTNNYALIQLDGFLSFITCDSETTMDVWILEDFHGQDWSKKHTIVAELTHYVCPSKSTRPNERSLPETGKLVAVGGTRNGELLILKNQKNSKEYLYDTTSRMMNMFIIYNTRSS >Solyc07g041210.3.1 pep chromosome:SL3.0:7:52113380:52119756:-1 gene:Solyc07g041210.3 transcript:Solyc07g041210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRRRKGTENKKTEPEYEEFKEKKSLRELKGRGRWSCVDGCCWFVGCICCIWWLLLFLYNAMPASFPQYVTEAITGPLPDPPGVKLAKEGLRAKHPVVFVPGIVTGGLELWEGHQCAEGLFRKRLWGGTFGELYKRPLCWVEHMSLDNETGLDPSGIRIRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKNMYMAAYDWRISFQNTEVRDQTLSRIKSNIELMVASSGGKKVVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGSDWCAKHLKAVMNIGGPFLGVPKAVSGLFSAEAKDIAAARSMAPSFLENEMFNFQTLQHVMRMTRTWDSTMSMIPKGGDTIWGGLDWSPEEGHECNEKKPKNNSTQTSESDRTRKSANATRVKYGRLISFGKHVANLHSSEIERIDFRDALKGKSHANSTCRDVWTEYHDMGIGGVKAVADYKVYTAGSVLDLLHFVAPKLMKRGSAHFSYGIADDLDDKKYEHYKYWSNPLETKLPNAPGMEIFSMYGVGIPTERAYVYKLSPGGDCYIPFQIDTSAEGGSESPCLKGGVFHIDGDETVPILSAGYMCVKGWRGKTRFNPSGIRTFIREYNHAPPANLLEGRGTQSGAHVDIMGNFALIEDIIRVAAGATGEDLGGDQLYSGIFKWSERIKLKISWVQSIGLRNWWCSTIRLASRLLAETSAVYLKQ >Solyc09g060110.3.1 pep chromosome:SL3.0:9:57841042:57847059:-1 gene:Solyc09g060110.3 transcript:Solyc09g060110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVDFIEGSSSSTLPIRFFPDSPRRKCYKIPVKNVSSLVLVRTQFVYKNYDGHNKPPAFSVSLGRAITTNVNLTHTDPWIEEFIWRVDKDILSLCFHSLQGGGFPVISSLELRPLPQEAYSNALGDFPDKLLRKCYRINCGYNWPLRYPIDQYDRIWDGDEDFSPFHVSSGFDIQANFNVSVLKEGPPVAVLQTGRVLARWNDMTYKFPIDHQGDYHIVLYFAGILPVSPSFDVLINEDIVQSNYTVNRWEVSSLFFTMKGIESLNITLKTVHYYPYINALEVYEILDIPLETSSTTVSALQVIQQSTGLDLDWEDDPCSPKSWEHIECEANLVTSLAEHNKIKTLYREFFDVNLRSISPTFGDLLDLKSLDLHNTSLAGEIQNIGSLQHLKKLNLSFNQLTAFGSELEDLINLQILDLHNNSFQGTVPESVGELKDLHLLNLENNKLQGPLPQSLNRESLQVLSSGNLCLSFTMSLCNEFSRNPTIETPQVTVFAPTKHKRHNRFIVIVGAVGGAVFVLFIVFISVLLYMRRRKSGDTYASRTAAEMKNWNAAKVFSYKEIKAATNNFKEVIGRGSFGSVYLGKLPDGKQVAVEVRFDKTQLGADSFINEVSLLSQISHPSLVSLEGFCHESKQQILVYEYLPGGSLADNLYGAMSKKLTLSWVRRLKIAVDAAKGLDYLHNGSEPRIIHRDVKSSNILLDADINAKVSDFGLSKQVTQSDATHVSTVVKGTAGYLDPEYYSTRQLTEKSDIYSFGVVLLELICGREPLSHSGSPDSFNLVLWAKPYLQAGAFEIVDESIKGTFDTESMRRAALIASRSVERDALRRPSIAEVLAELKDAYSIQLSYLASEGLAN >Solyc11g010240.2.1 pep chromosome:SL3.0:11:3290779:3300985:-1 gene:Solyc11g010240.2 transcript:Solyc11g010240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVVPKTFRALVESAEKKYARVRDLPAYGRWGTSNHYFHKVFKAYMRLWKYQQENRMRLLECGLQRWEIGEIASRIGQLYFSQYMRTSEARFLLESCIFYEAILNRKYFEGSGKDRMVRFKELRFYARFLMVSLILNRTEMVNLLVEQFKAIVDDSKTAFPGTNFKEWRLVVQEIVRFARVHSPSPDARPLRYCALFDSHPSSRPYVARFHAKKVLKFRDALLTSYHKNEAKFAELTLDTYRMLQCLEWEPSGSFYQRSPVEPRANGAVTDQSITSGLIDINLAAEMMDPALPPNPKKAVLYRPNVPLLISVVATMCEELPPESVVLIYISASGNTIQNTTSHTESSSSSRKSSKNSVFSRTSHEQKSALHENYTNTNGDTGQYFESCLCLGPSRSGGSNNLYPGDLIPFTRRPLFLIIDSDNSDAFKAGLSFHSVSFSAGFSFHSVTSNLSAYPENPKREFLPELQTSKISKCLPILRPLDDCTAIIVLHGAERGEKSALFLSPLRPSFKNPGTEVTQTGSQFTLFLAAPLQAFRQLVGLVSSDEDMDCSDAADGIISTAFAEWEVILCTSTNLDLVWAQVLSDPFLRRLILRFIFCRAVLGLFCLHERGNEYLPVCLPKLPDTFSPDSETVQPAIRRLARLLKMARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc11g039590.2.1 pep chromosome:SL3.0:11:43762813:43767457:1 gene:Solyc11g039590.2 transcript:Solyc11g039590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILILSDYIYKHSHITHILEKSCFNMPVSLLSRIFLSFQLHIVVTCLLAVLPFMSFQIHVIATCSPPVLSVDIKVLLFSSAFYRVSIFNLGLAMKLKIFPIDSATTPPTYDAIDIVPSHIHSEAIGAVVKGCTLLIKGDDKT >Solyc12g096450.2.1 pep chromosome:SL3.0:12:66349985:66353131:1 gene:Solyc12g096450.2 transcript:Solyc12g096450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTCNACDKEFVDDTEQKLHYKSEWHRYNLKRKVAGVPGVRETIFLARQAALAEEKEKLSETPLLYACGLCDKEYRSSKAHAQHLKSKSHLARASQQPGHPNENSVTIKPLLRHPRNETSRKAKEDVIEENDESDESEWEEVDPDEEMISEATDSLTDLKMDEHTSNGNMDEDSDDEYVGNLDPSCCFMCDKEHNTIESCMVHMHKNHGFFIPDVEYLKDPKGFLTYLGLKVKRDFMCLYCNYRCLPFSSLEAVRKHMEAKNHCRVHYGDGDDDEEAELEEFYDYSSSFVDESGKQLISSDDTGNSVELGSGGSELIITRRNNDGRSVRTLGSREFLRYYRQKLRPTRTNDVAISAALAARYRSMGLATVQSREQMVKLKVLRAMNKSGVEIMRSKIGMKSNVIRNLPKNIPY >Solyc09g020077.1.1 pep chromosome:SL3.0:9:18388346:18399439:1 gene:Solyc09g020077.1 transcript:Solyc09g020077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTGTSQFNGYGGQSSGNNGGYGRGYQAANNISNDHCDHPNVVSSNQKEEIKCAQEIVTAGAVTQDTEIWHRRLGHLSQQILRPQLDPLVDVHGSPLESQNIEAEIDSSGHADTNVVHEDNQSNETEDPSEDQMSGPSTTEEASENTHARPSQTDSYVRISSRSIKEPIWMKDYTITKGHSSTKHPMASYLNYEKLKPEHRSFLSIEVLRSSGGIILNQRKYILELIAEAGLKGAKLATTPMESNLRLTSVEHDKANGYNKDDVLHDITSYQILIGKLLYVTITRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGLVGQGVWLHSEPTNTITCWCDSDWAACPIKRRSITGYVIKFGESLVSWKSKKQQTVSKSSAEAEYRSMASAVSEITWLLGLFNELGVNKINYS >Solyc12g100350.2.1 pep chromosome:SL3.0:12:68091939:68124245:1 gene:Solyc12g100350.2 transcript:Solyc12g100350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNEHELMLACVISGTLFSVVGSASFALLWAVNWRPWRIYRFGSLLENGRDSYKGPSWELYVVFSLCLPG >Solyc06g051220.1.1.1 pep chromosome:SL3.0:6:34508946:34509326:-1 gene:Solyc06g051220.1 transcript:Solyc06g051220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSECNFPRKLRYDISMSKRTRKTASNTKEDVVVESVEYHEELINLDEKKSLKQLIEGRGTSLGHHFIEEEEEERQVQIVVKQAENNGVKFKEMVSRYAKVLRHMIKLKRKKPAGYILKMQVHNKS >Solyc06g073510.3.1 pep chromosome:SL3.0:6:45438544:45457980:1 gene:Solyc06g073510.3 transcript:Solyc06g073510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILMIMEENREIEQGYLIGVDEQSPSQCFICHADGGSCSASLGAASSWIKPSYEVQIFSDHSGISPKPVRWNQYGSALGDWKKVYYSGSTVVWLFPDETMCSYTCAPLLYSFRTENPFFGAAQSHWLAVGHESSLSRISVAADYPDSVPDSPNYVRNSGYHPLEGMRDQRRVRDTELTAAEIARTTVEANNNALLIFPGTVHCEPHEQVSWAEFQYVIDEYGDIFFEIYDDKNILRNRDASNSVNALIGMEFSQYEKRRVESPDDINLAGDSVDDSNFFDDYFEGESSEMYDYQVDWGMPDSSSPLHPVYFAKCLTKAVHMKHAKMMDHPSNGISIWGRLKPAFLEEEYYVRRLFSGDEVSDGSTLDWKDGEILSFSSRYDKSRTLSSIYRLEIMRVDLFSVYGAQLAVNLYDFHDAEPDSLVYSAPAILEWFRQQGIRCKYALKALCRKKGLHVERANLIGVDSLGMDVRVLSGTEVWTHRFPFKVRAHSEIAAEKQIRQLLFPRSRHEIEEEEFGFSRNYFLAKELGSSSRKKSARKLSEIDLVDEEELREVAASIEPKHEKEVNELISSYQSLYSKWLFVLRSGFALLMYGFGSKKALIEDFASKSLTEYSVIVVNGYLQSINLKQVVITLAELLWDQVKLRQKTTSGSLCKSQQPFNTRSMSDLLTFLDSPDLEVEDCFVCILVHNIDGPGLRDSDSQQCVASIAACSHVRMVASIDHVNAPLLWDKKMVHTQFDWYWCHVPTFAPYKVESMFFPFILAHGGSAQSVKTASIVLQSLTPNAQNVFKVLAEHQLAHPDEEGMPINNLYSTCRERFLVSSQVTLNSHLTEFKDHELIKTKRNSDGQDCLCIPLTNEALQKLIADIV >Solyc08g066935.1.1 pep chromosome:SL3.0:8:55870201:55873471:-1 gene:Solyc08g066935.1 transcript:Solyc08g066935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTSHSSSSSDLNALFTAQSSVPKPRKKRGSTGPTYNGQGRGRSSNDRRSYPSANNAISDTDHSDFNRVENPRNQGCGRGDRQADSVDYHKGLNALQEQYNQILQMLGQSNRQNTTERDSNSHSSANLAQENYPSSGNVTALSASIAHTGWIIDSGATNHMTPHSHLLINKHPLPSDAPRSVQLPNEQAGLYLIPHPSSTAASTQAYSHLVHDGLSSSQTVLWHQRLGHTSSNVLAKTLNLPVTQCSNEVNESLEVAPLFQPTPTTVVNTELVPAQDTSSIRRSQRGTKAPLWLQDYVASAQLQSNKPLYSIDKYIGYDNLSSSYRAFLISFVYVDDLMITGSDINLIQQTKSTLQENFKMKDLGNLRYFLGIEFTRSQEGIVMYQRKYSSEIISEAGLSAAKPAATPLDPYVQLTTKEYDEINGTNKDDKLLTEPTVYRRLVGKLLYLNVTRPDIACATQTLSQFLHQPKQSHLNAALKTGDSCLHTRRSVTGFMVKLGGSLISWKSKKQATISRSSTEAEYRSMTSAVAEIVWLVKLFKELGVEVHTP >Solyc12g014180.2.1 pep chromosome:SL3.0:12:5027572:5040487:-1 gene:Solyc12g014180.2 transcript:Solyc12g014180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTVGTGGVRISSYLRLPYTVETLLRRRRLFTSCSASSTKEKVIVISGPTGAGKSKLALELAKRINGEIISADSVQVYRGLDVGSAKPSFDERKEVVHHLVDILHPSEDYSVGQFFEDARQTTRDILDRGRVPIVAGGTGLYLRWFIYGRPDVPKASREIAAEVDSELASLQNDEDWNAAVQLLVKAGDPGAQSLPANDWYRLRRRLEIVKSTGSPPSAFEVPYNSFREQLVSGQIDAADVKTSDDKLQQNEIKDLDYDFLCYFLSTSRIDLYRSIDFRCEDMLLGADGILSEARWLLDVGLLPNSNSATRAIGYRQAMEYLLRCREDGGWSSAGDFHDFLSEFQKESRNFAKRQMTWFRNEQIYEWIDASKPLEKVLSFICDSYNSQDGHLQMPESLRMRKDIRNHRQAAVLKTYRTINRFFSDIAMRTSVVRLLARRTSTAGLTRRGFASESAPDRKVAILGAAGGIGQPLSLLMKLNPLVSRLALYDIAGTPGVAADILGYAGEEQLGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPHALVNVISNPVNSTVPIASEVFKKAGTYDEKRLFGVTTLDVVRAKTFYAGKAKVNVADVNVPVVGGHAGVTILPLFSQATPSANLSSEDIQALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECSFVQSTVTDLPFFASKVRLGKNGVEEVLGLGALSDYEKEGLEALKPELKSSIEKGIKFANA >Solyc05g056380.3.1 pep chromosome:SL3.0:5:66559036:66560142:1 gene:Solyc05g056380.3 transcript:Solyc05g056380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDNLHILDPFLETKIVNNQNNKLSTTLQPYNEQKHDDIEFQTCENITNDNFPCSPPLWMAQRALKDTRTQASTPCYDYFGHPFPGSRLQVIKSGRRNLMEMIQDLPESSFEVSLKDIVDDQERIEGCQQATRVEEKNQKARMPQQRKTLKRSQISRSESMDSGVFLLKMFLPTSIGSKKKLKTRNYSKVSSKTSVDESEKSVNKDWWKIMYVVIKENKYSRSIKKSMSANSSSKNRLVESNCTERKQRGCFF >Solyc02g062870.3.1 pep chromosome:SL3.0:2:35350995:35354488:1 gene:Solyc02g062870.3 transcript:Solyc02g062870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGPSLKKINKYACACSIVASMISIIFGYDTGVMSGAMIFVRKEFHISDVKTEVLAGILNLCALIGSLSAGRTSDYVGRRYTIVIASFLFFLGSVVMGWGPNYTILLLGRCIAGVGVGFALMIAPVYSAEVSAPSTRGFLSSLPEIGISTGILLGYLSNYVFAGLPLRVGWRVMLGLAAVPSVFLGFGILRMPESPRWLIMKGRLRQGKEIMYKVSNSPEEAEFRLTEIKRNLGMDENCDDDVANVSNSIKKQDEGVWKELLLRPTKSLQRILLAGVGIHFFEHATGIEAVILYSHRIFAKAGVHDHKHQILATCGVGLTKFTFIVLSTILIDRVGRRKLVLTSVIGMIVALTGLGTFLTLAEQSGGKLIWALVLSIITTYSYVGFFNVGLAPVTWVYTAEIFPLRYRGLGVGIGVAVNRLMNATVSMTFLSMMSAMTIAGVFFMFAGISVIALIFFYFFLPETKGKSLEEMEALFTKAKDSKNVRKEVEIGDH >Solyc10g018900.1.1.1 pep chromosome:SL3.0:10:10818218:10818661:-1 gene:Solyc10g018900.1 transcript:Solyc10g018900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVYFNYNLSSLFNYKLSDSIQILSSFLLISTIRSAKSTTKSHLDRPYHLNEPTKLPKPEAIHSSSSPLVSILLAAQPKQRTPPASEQPRKPAGNRAPPLLRSSRQKVQAAPARGSARTSSDWRTSLSLLLRSSDDDNNKQRGQLL >Solyc02g090090.3.1 pep chromosome:SL3.0:2:52358179:52363921:-1 gene:Solyc02g090090.3 transcript:Solyc02g090090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAVISVTSPTAEQNQNSVNATIESGVQGGTESTCNNNNNNAESSAVTSDVNREKSLEYADELVVKGSKASEDGDYGEAVECFSRALEIRVAHFGELAPECINAYYKYGCALLYKAQDEADPLVSLPKKDSGSQQDSNRDGSVKSVVSCESSISSTAEPGGSSNGKEKVEDDAAEENEDEGDEEESDDEDLAEGDEDETDLDLAWKLLDVARAIAEKHAGDTMEKVDVLSALAEVALEREDIETSLSDYLKALSILERLVEPDSRHIAALNFRICLCLEIGSKHQEAIPYCQKAILTCKSRLQRLTEEIKLLSESTERLATTDVDQIARQSSSISQSDSVSAKEAEVETLTELSAELEKKLEDLQQCMSNPSSILSDILGMVSAKARSLENADASVAVNSSQMGVGTSGSGSFDSPTVSTAHTNGAAGITHLGVVGRGVKRVHLNTTTESSPAKKPASEQPSDNGDGTAS >Solyc10g079480.1.1.1 pep chromosome:SL3.0:10:61153318:61154820:1 gene:Solyc10g079480.1 transcript:Solyc10g079480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLLKTPNKLEFLQPFHGFAVKGSSFSSVKPLKLGFRKFCENWGRGVCVKARSSTLLELVPEIKKENLDFELPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDATWSGAVVYVDDDKTKNLDRPYGRVNRKQLKSKMMQKCILNGVKFHQAKVIKVIHEEAKSMLICSDGVTIQATVVLDATGFSRCLVQYDKPYNPGYQVAYGILAQVEEHPFDTSKMLFMDWRDSHLNNNVKLKERNRKVPTFLYAMPFSSNRIFLEETSLVARPGLRMDDIQERMVARLSHLGIKVTSIEEDEQCVIPMGGPLPVIPQRVVGIGGTAGMVHPSTGYMVARTLAAAPVVANAIVQYLGSDKDHLGNELSASVWKDLWPIERRRQREFFCFGMDILLKLDLSATRRFFDAFFDLEPRYWHGFLSSRLFLPELMFFGLSLFSHASNTSRLEIMTKGTFPLVTMINNLLKDTE >Solyc09g058980.3.1 pep chromosome:SL3.0:9:52939821:52941447:1 gene:Solyc09g058980.3 transcript:Solyc09g058980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTRFPLNCSTISLQGKVLGDSFRAACCQLVLLDPQITQDCQHYIGLVVSYK >Solyc09g007790.1.1.1 pep chromosome:SL3.0:9:1315466:1315942:1 gene:Solyc09g007790.1 transcript:Solyc09g007790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRKTKNFLFLGGKDKITPLPSSTNTLQFEFDEAEMWSNSEEINNVEPKLSIPSSRLSKKMTKKGERKAINATSLPVNIPDWSKILGDDEKGILGKNIMFDDDGDFDDENRIPPHEYLARTRVASFSVHEGIGRTLKGRDLSIVRNAIWKKIGFED >Solyc10g018790.1.1 pep chromosome:SL3.0:10:10195815:10197181:-1 gene:Solyc10g018790.1 transcript:Solyc10g018790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQEHLHLSLTKGFLSLTSKENAQSILMQNFSTLVGKKLEEELPQVPKNSCTLKAQSKTDEMPQSCSRLIARSMQKPQQNVPPNLSTLKAKIVQKEVPQAPMNSSSLVAKVSEKQSQHVPRESPTLEECDIQDQVEQETQNSEENDLSGELGPITQENKRGSTVMQSAHGKKSEKMIFLESAKRWALDGIKNARRKYKNDLYQKHYKAYDNDDLRMENKPIDVSESDFEDLLKYWASKNSRKKRRKVPLS >Solyc12g094390.1.1.1 pep chromosome:SL3.0:12:65597348:65598076:1 gene:Solyc12g094390.1 transcript:Solyc12g094390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPCKSSKKKITILKLLPKAAAAAAFILQNAHAPFSPSREKRLEHHHKNHHHKGFTGPIIPVIPAHQVSGRKSEPGSPKISCMGQIKQNKEKTLNLMRSSENTSPGKNQRKNITRKSMSNFGSMFGGKSKLISGRKSDVTTENIVCKLPDRAPCLSQMQRFASGREPLTNFDWRSIQITPQDERKYYTDDDDDDDDDEISPFSGPILLGRSRTTICLEPRKEINLWKRRTMVQPKPLQLQY >Solyc02g077860.1.1 pep chromosome:SL3.0:2:43269939:43270551:1 gene:Solyc02g077860.1 transcript:Solyc02g077860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNLIILNSLIIAETCEIKGHYLNATAGTCEEMIKRVVFARELGVPIIMHDYLKGGFTANTTLAHYCRDNGLLRTAIHNIEITLGKDGQLARAANAVAKLITKEEKSVKLKLPSGGGLFSKQCWLNG >Solyc02g038740.3.1 pep chromosome:SL3.0:2:31968485:31971196:-1 gene:Solyc02g038740.3 transcript:Solyc02g038740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRSEEPVYPSKVFAADEKPLKPHKKQQQQQEDKNTLLIDASDALPLPLYLTNGLFFTMFFSVMYFLLSRWREKIRNSTPLHVVTLSELGAIVSLIASVIYLLGFFGIGFVQTFVSRGNNDSWDENDEEFLLKEDSRCGPATTLGCAVPAPPARQIAPMAPPQPSMSMVEKPAPLITSASSEEDEEIIKSVVQGKIPSYSLESKLGDCKRAASIRKEVMQRITGKSLEGLPLEGFNYESILGQCCEMPIGYVQIPVGIAGPLLLNGKEFSVPMATTEGCLVASTNRGCKAIYASGGATCILLRDGMTRAPCVRFGTAKRAAELKFFVEDPIKFETLANVFNQSSRFARLQRIQCAIAGKNLYMRFVCSTGDAMGMNMVSKGVQNVLDYLQNEYPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIITEEVVKKVLKTEVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAVFIATGQDPAQNIESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANREAPGSNARLLATVVAGSVLAGELSLMSAISSGQLVNSHMKYNRSTKDVTKASS >Solyc12g008700.2.1 pep chromosome:SL3.0:12:2069910:2071848:-1 gene:Solyc12g008700.2 transcript:Solyc12g008700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSPKNPNPRISTSAAPKRLAASPTTGDSEINHG >Solyc09g061625.1.1 pep chromosome:SL3.0:9:60352833:60353549:-1 gene:Solyc09g061625.1 transcript:Solyc09g061625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSIGFLKTKSDASLLIRQGLGDTMFVLVYVDDIIVTRSNTFSVDQVIVSLASQFSIKYLGNLHYFLDVKVLRSSDGLILNQSNYVNEILNDKLMTDCKSVHTPMSASELLTLFDGTHLTDATRYLRVLGKLQYLSFTRPDMAYAMHKLSKFMKAPSELHWKVVKRVLHWGRDISDRASTSGYILFLGTSSISWSSKKQNTVSRSSTV >Solyc06g075170.1.1.1 pep chromosome:SL3.0:6:46795941:46796432:-1 gene:Solyc06g075170.1 transcript:Solyc06g075170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRSYFATANYRFLSTEPDVAMTPDSVFEFDESDVWNSSTVSRSPEFRKKSPSSRISRKQCETKSYRKCSGATAASLPVNVPDWSKILKDEYREYGRRDSDDDVDDDDLDNRIPPHEFLAKQLERTRIASFSVHEGVGRTLKGRDLSRVRNAIWEKTGFQD >Solyc10g012255.1.1 pep chromosome:SL3.0:10:4786809:4787174:-1 gene:Solyc10g012255.1 transcript:Solyc10g012255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDMKDLGPLHFFLGFEVNYFVGGIHLNQSKYVTELLAKTEMTFAKDVSTPLARKHGLLKLWEIFRESSNLTLTRPDITHAVNLASHFMQIPKIEHL >Solyc08g067595.1.1 pep chromosome:SL3.0:8:56647704:56648302:-1 gene:Solyc08g067595.1 transcript:Solyc08g067595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNPKILNPVLRIDPLITPGEICHKWKPPVPGSFKLNTDGAVKESPGPGGLGGVIRTIEVTRSLAFISKEAHVNSILARLLMEKLGAAMPTDIFREQNKVSDKLSQEGL >Solyc09g097900.3.1 pep chromosome:SL3.0:9:72391722:72396599:-1 gene:Solyc09g097900.3 transcript:Solyc09g097900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDDDVEEYLFKIVIIGDSAVGKSNLLSRYARDEFNLHSKATIGVEFQTQVLEINGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALLVYDISRRSTFESVTRWLDELNTHCDTTVAKMLVGNKCDLDNIRDVSIEEGAKLAEAEGLFFMETSALDSTNVNKAFEIVIREIYSNVSRKVLNSDSYKAELSINRVNLVKDDGEGSKRSWSSCCSR >Solyc11g005200.2.1 pep chromosome:SL3.0:11:167573:179254:-1 gene:Solyc11g005200.2 transcript:Solyc11g005200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTMRIVFGLLTLVTCGMILGALIQLAFIRRMEDSLDREFSFGGKHASLAGSNFPLARGISNWPHDKDAIALRVGYVKPEIISWKPRIVLFHNFLSAEECDYLRSIAIPRLHVSTVVDAKTGKGVKSDVRTSSGMFLNPDERKYPMIQAIEKRISVYSQIPVENGELIQVLRYEKNQFYRAHHDYFSDSFNVKRGGQRIATMLMYLSDNVEGGETYFPMAGTGKCSCGGKMIQGLCVKPTKGDAVLFWSMGLDGQSDPDSLHGGCEVLSGEKWSATKWMRQRTVS >Solyc04g083015.1.1 pep chromosome:SL3.0:4:66556402:66556874:-1 gene:Solyc04g083015.1 transcript:Solyc04g083015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLAYASSWPSQQVRPILKVKRAPKRAYPSFRRFSCAIANHFLGDPDSNIKNAKYFCGRPSRPCVCRRLALMARLTHFEGQMSPEASIPLISTIFQIIFWVIRFSTSKMPNVFVDVRQDLVYADGWPSHPVRPILKVKRVPKLA >Solyc03g124020.1.1.1 pep chromosome:SL3.0:3:72228598:72229164:1 gene:Solyc03g124020.1 transcript:Solyc03g124020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPSNNKQLLRSGSSCNKIRQIVRLQQLLKKWKKIAAASPSSTHLQRNTSSGTSINKFLKKTLSFSDKEDVSNSNNNNNVPKGCLAVLCVGKEEEKRFVIPMDYLAHQSFQVLLREAEEEFGFQQQGILKIPCQISLFDKILKTIQSNKQQPNEDTTIHNNVVGACCCSPDNHQQQHNIMPPQLCSI >Solyc02g071940.1.1.1 pep chromosome:SL3.0:2:41865710:41866003:-1 gene:Solyc02g071940.1 transcript:Solyc02g071940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIRTLSTQLLLVLFLLLVLSKGFVVGDLVRKPLGSSRRRNSNRVPECGNFGSKSDCSQNQNCRWCRSVVLDDACFFKSEAARLPSQIFTCNLLRLR >Solyc01g090540.1.1 pep chromosome:SL3.0:1:84077146:84077952:-1 gene:Solyc01g090540.1 transcript:Solyc01g090540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLHVNNTEKDIFNKMMSMNFEIDLSRKVMSVWMFLKTYKLNNFYEKIATCDEMVFKNLYVEAKSILTFFESSSSSTFQDVCPLTSQCLNSSLDEILLNKQVSLIYDMICCDNFNDSYVKMNTPLDSKLNPLAKEWHPTIRAHAAHRSVFMTLTAHPISKKDIFDFFESYLYGMFGPGSVRGVYVYHKGGVRANFGKIVFKSSSICAWLLQGKEAKFAMEPGCIWLREYIPRQF >Solyc08g067070.3.1 pep chromosome:SL3.0:8:56046818:56057568:1 gene:Solyc08g067070.3 transcript:Solyc08g067070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVSRSPWRVLEFYSGIGGLRYSLLKAAVDATVVEAFDINDVANDVYQHNFGHRPFQGNIQTLNAADLDGYNADAWLLSPPCQPYTRQGLQKGSSDARASSFLKILELVPQLLRPPSYLFVENVVGFETSDTHAILVDILEKNNFVTQEFILSPLQFGLPYSRPRYYCLAKRKPLSFEVPEFNNQLLRTPGPLLGQTESTMEKEQLLSPEYWDELLQACHPVDDFLVFKTFGNRKDSSTYSFHANDSVKSDRLDEENDVCFVPSSLIERWGSAMDIVYPHSKRCCCFTKSYYRYVKGTGSLLATAEATFQEKPENRMPSLQDLSLRYFTPREVANLHSFPEDFQFPQHISLRQRYAMLGNSLSVGVVAPLLQYLFINHS >Solyc01g056340.3.1 pep chromosome:SL3.0:1:53585871:53606674:1 gene:Solyc01g056340.3 transcript:Solyc01g056340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DET1 description:Light-mediated development protein DET1 [Source:UniProtKB/Swiss-Prot;Acc:Q9ZNU6] MFKTNNVTARLFERQICTPAPGTSIHRARRFYENVVPSYTIYDVECPDHSFRKFTDDGLYFVSFSRNHQDLVVYRPTWLTFSCKEEDCDTHDLPLKARKFESFFTQLYSVTLASSGELICKDFFLYMESNQFGLFATSTAQIHDAPPTGGAIQGVPSVEKITFHLLRLVDGAILDERVFHNDYVNLAHSIGAFLYDDLLAIVSLRYQRIHILQIRDSGDLVDVRAIGEFCREDDELFLNSNSQVLVNHVGNGFHHSLPQSETSFLSGIKQRLLSYIFRGIWNEADQTMRVQCLKKKFYFHFQDYIDLIIWKVQFLDRHHLLIKFGSVDGGVSRNADIHPSFFAVYNMETTEIVAFYQNSADELYFLFELFSDHFHVSSKSSLHMNFMSSHSNNIHALEQLRCTKNKATNFSQFVKKMMASLPCSCQSQSPSPYFDQSLFRFDEKLISAIDRHRQSTDHPIKFISRRQPNILKFKMKPGPEAGSTDGRTKKICSFLFHPILPLALSVQQTLFLQASVVNIHFRR >Solyc06g033830.1.1 pep chromosome:SL3.0:6:23349317:23350857:-1 gene:Solyc06g033830.1 transcript:Solyc06g033830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKVVMKKIEDPESRKHFYSKRKDGLVKKSNELGVVCHTNIALLMFSPTGEVTTYSRAKSSYEPQVENINNVEEADAYKGYLLGAMERVQRSKEPLGDERFDELNWITMER >Solyc08g067470.3.1 pep chromosome:SL3.0:8:56557383:56560315:1 gene:Solyc08g067470.3 transcript:Solyc08g067470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKAVIVGGSIAGICCAHALITAGWDVVVIEKTPQPPTGSPTGAGLGIDPFSHKIIQSWIQQPQLLQQTTLPLVIDQNQATDGETKVSQTLARDEHFNFRAAYWADLHSLLYGALPLDIVLWGYLFLSFSMYGDKSKVKVETKVLETGTTINFVADLLIAADGCLSSIRQHFLPDLKLRYSGYCAWRGVLDFSKKKHSEAIINLKKVYPDLGKCLYFDLSSGTHSVFYELLNHRFNWIWYINQPEPNLKGNSVTMKVSENLVQNMHEEAEKAWVPELVRVIKEIKEPFLNVIYDCDPLEQIFWDKVVLIGDAAHPTTPHGLRSTNMSILDAAVLGKCLEKWEVENLNSALEEYQSIRLPVTTKQVLYSRRLGRIKQGLSLSDHSSFDPKATSPEDCEELQQKNMPHFYDIPSILN >Solyc03g096965.1.1 pep chromosome:SL3.0:3:60775396:60776950:1 gene:Solyc03g096965.1 transcript:Solyc03g096965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWFIIVVVTLCIAVLLKFLFNNTNSSSRKKLPPGPYSFPVIGGLLWAVKIFINVESILRDLKAKYGSLFTINIGSRPNIYVSSHSLAYQALIQQGAVFSDRRKALPTHAILSSNQRSISSAPYGPIWRLLRRNLTSEMLNPSRIKSYSKARLWVLGILIQHLRHAQVDSVKLIDHFQYAMFCLLVLMCFGDKLEESQIKDIENIQRKYIVNYRNSLNYDETRRRNKEQNTEQSDEFVVAYVDTLLNLELPEENRKLNVGEIVTLCRELLSAGTDTTSTALQWIMANLVKNPSIQEKLYREIANVVGEKQRKLSTDEVMVKEDDFQKMPYLKAVLLEGLGRHPPSHVLFPHTVTEEVELNGYIVPKNATINFMVADMGLDPNVWDDPMEFRPERFLVEGSDKVADFDITGSKEIKMMPFGAGRRMCPAYALAMLHLEYFVANLFQWDPVEGDDVDLSEKLEFTTVMKNPLRARICARVNSV >Solyc03g093320.2.1.1 pep chromosome:SL3.0:3:55935961:55936170:1 gene:Solyc03g093320.2 transcript:Solyc03g093320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQHTNIKSARRDFRPVGNPYWSRSFRGKRIIYDEEDELQKNDSVFSQSRTKQYQTRDRSQGLFQISQFI >Solyc11g005940.2.1 pep chromosome:SL3.0:11:757836:762293:-1 gene:Solyc11g005940.2 transcript:Solyc11g005940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMFFSRANPKAPKLSAVSDQTTEGKTLLLLIRLIDGKLPALFDSLQQKHVEVAVSLAELSGVSDFGVAVRTFDLLLHLCCTQFKSVGFDAALDVFRSLASRGVYPSLKTCNFLLSSLVKENELWKSYEVFEILKDGVKPDVYLFSTAINAFCKGGKVEEAQELFRKMENMGILPNVVTYNNLIHGLCKNCNLEDAFLLKEEMILNGVNPSIVTYSMLINCLMKLEKFDEADCVLKEMSNKGLVPNEVLYNTIINGYCSAGDIQKALKVRNEMLTKGIFPNSATYNSLIKGFCKVNQVSQAEEFLEEMLLHGLGVNPGSFSNIILVLCTNSRFVAALRFVKEMTLRRLRPNDGLLTTLISGLCKEGKHSEAVELWYMLLMKGLTANTVTSNALIHGLCEAGNIQEAVRLLKTMLKSGVQIDSMTYNTLICAFCKEGNLDGAFMLREEMVKQGIAPDVSTYNVLLHGLGEKGKVDEALLLWDECRSKGLVCDIYTYGALINGLCKADQLEKGRDLFHEMLRQGLAPNLIVYNTLIGAFCRNGNVKEALKLRDDIRSRGILPNVVTYSSLIHGMSNIGLIEDAENLIDGMRKEGVLPDVVCYTALIGGYCKLGQMDKARSILQEMLSHNIQPNKITYTVIIDGYCQAGKVKEAKEYFAEMVQKGNTPDSVTYNVLTKGLLKEGEIEEAFSLLDHISHTGVGLDEVTYTSLVNLLPQRSASANQE >Solyc01g087385.1.1 pep chromosome:SL3.0:1:82201986:82215000:-1 gene:Solyc01g087385.1 transcript:Solyc01g087385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCNCCGKKYHLSCLKTWGQHRDLFHWSSWTCPSSGFVRAVKQLEIQTSSCFEKGVMQLITVTVCSLHSRKLAVGLICAPNIQSVRLRASWYLGYTCCDACGRLFVKGNYCQVCLKVYRDSDTTPMVCCDICERWVHTQCDDIRWLQVFIGSVNYLFWFIKEQPTLDFEGRRNQRDIVFCYSQEPVADCHQTSFMEVHARHCVLIMKTPLYFSNGAEHYSPPWFSVAPMMKWTDNQYRTLACLILRKTWLYPEMLADETTVYQSGNLFVAEAMCIIAANTNVLVSFKCRIGINEHVHTVNYVHKRAACAGL >Solyc03g096280.1.1.1 pep chromosome:SL3.0:3:59690597:59690761:1 gene:Solyc03g096280.1 transcript:Solyc03g096280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYNCRNSKLRELLIAAICHFNPCNLKFFFVVVSLEISFYLAKINYWLANERT >Solyc02g031820.1.1 pep chromosome:SL3.0:2:27491634:27493717:-1 gene:Solyc02g031820.1 transcript:Solyc02g031820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEIICNVDVPLAYVGLRILLIDPDPTSLSNIAAIVEEHSFKVTAIEQATVALSILRKHINQFDLIMVDANMLEMDYLEFVKSIQLIKEKTIIWICFIYENSLISSLKLKDIWKHVRWHDKKANEESQHYNAKQVNLMDNISCPTKMQDLKGKSKENCSTTYLDQEIDSLMEKDAAKRSKRMRSTNEETQVKHSVSSEKEEEHSFLPKISTERPEKERRNMKWTAELEKKLDEVVHELGDKAGPKNVLERMCVQDLTKECLTYRLKKYRSQKQQVSNVQPVTSTIFNEEHPSKVFNSSNSSTDVNELFQGAYRPQPLEVPLVTLPSSNHSSLIECDEWINEFLELDDFEPKLR >Solyc04g011360.3.1 pep chromosome:SL3.0:4:3839356:3844362:-1 gene:Solyc04g011360.3 transcript:Solyc04g011360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIGKDIKQRLSESDSKTEPQSIRINQSDQAGTAGQGAQKSSCCGS >Solyc04g076745.1.1 pep chromosome:SL3.0:4:61723200:61723516:-1 gene:Solyc04g076745.1 transcript:Solyc04g076745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPNLPRRDGDDMLSQHTSKSREEASREKDNWLRLGNCGIAFKRPPYSPPKRSDDAEERSRKLNPALTTQL >Solyc12g021250.2.1 pep chromosome:SL3.0:12:15026553:15027551:-1 gene:Solyc12g021250.2 transcript:Solyc12g021250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLQIKKINDEKKAVLAVQFAAEATLRTVHTAQKDDEMPSIEAIITPLEAELKLSRLEAKAASVDDLQNRDQDLTKQIEEEKRVLDHELARAKISANRVDVVTNDSKDATDKVMPLKQWLEERKYYQA >Solyc07g026560.2.1 pep chromosome:SL3.0:7:29062350:29075571:-1 gene:Solyc07g026560.2 transcript:Solyc07g026560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSGKKQVGESSTTPKRQRHCHKYDVEKTQQLEEFFEECQRPSEDQQNQLGRKVGLDSKQIMAWFQNKRSQTKAKDDKLDNYTLRKENEFFRYEIMAMKEKKKNNMCPQCDGPSIGEEERMCNLENLKTESQRMREEHLRISRIISSSRGISFGIDSNFAPLSSTLGSLPDSSNDCLLSQIICGSPIPFVQENNHNEDNNVQAQLINNNNIPIMSSLPQGNCGIHHDNRGKSIFDIVDAGMKEMLVLLDVNDPIWVKSSGDERKFPNSYRPYKSSTTRIESSKHFGVVPMTATELIPIFLDPIKWMNMFPTIVRKARNIDVVNPANIEGSIQLVNY >Solyc10g062130.1.1 pep chromosome:SL3.0:10:24750212:24750495:1 gene:Solyc10g062130.1 transcript:Solyc10g062130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALYAAHCLPSRNSNSSFAFVVPVYLVEHPSYY >Solyc02g090600.1.1.1 pep chromosome:SL3.0:2:52788155:52788679:-1 gene:Solyc02g090600.1 transcript:Solyc02g090600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENIPNFGCISISTLKTPPIYFNLTMASMQQICLFSFIVAVIACHLVPFAEGRQLKELKKPKLADNMSANQILEKQRFTIRDASKVVNGKINYAPKQTGNSPGIGHSSSVPKKFNYTPNQTGNSPGIGHSSSVPKTNYQTKHTNVEKSIDDIKAGHSPGIGHSSQSEKIGPNV >Solyc05g006330.1.1.1 pep chromosome:SL3.0:5:979871:980125:-1 gene:Solyc05g006330.1 transcript:Solyc05g006330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFFLVFFMLSFSLPPGESKPTGPTNLDFVCASRRIFFPLQYLLFSLYLFLKIFIFVVFSSSLCDTSLLCCLVRDIYILCWFN >Solyc04g077680.3.1 pep chromosome:SL3.0:4:62697091:62704247:1 gene:Solyc04g077680.3 transcript:Solyc04g077680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVHRSSSVEWKPSPVVALATSVDDSQVAAAREDGSLEIWLVSPGSVGWHCQLTIHGNPNSRVSSLVWCRSGSGGAPAGRLLSSSIDGSVSEWDLFDLRQIALDSIDVAIWQMAVEPCSNSHLNQKQSPKHCENGHDNHRNSESSDSDSSESEDGDDSVELHEDHASDNSRIAFACDDGRVRIYVVDDDKNLTFKRSSPSVKGRTLSVTWSSDANRIFSGSSDGVIRCWNAEVTHEIYRISVGLGGLGSGSELCIWSLLALRCGTLVSADSSGSVQFWDTQHGTLVNALSNHKGDVNALAASPSHTRVFSAGSDGQVVLYKLSVDFAGANEGNVTSGVMKKWVYISHVRAHTHDVKALTIAVPISREDTIVERDLKRPRSRSKLLDSSYHKWAHLGVPMLISGGDDTKLFAYSAREFTKFSPHDICPVPQRPHIQLAVNTIFNQAALLLIQASYWIDVLLVRAVSGGAAKTDLVARVKCKAPRKITCSAVSPSGGLFAFSDHVKCCLFELKRIASSKSPWAVNKSHLPLDLPFAHSMVFSADSSRLMIAGCDRRVYVVEAGSSELVHVFTPRREEHVEELLPAEPPITRMFISIDGQWLATINCFGDVYIFNLETQRQHWFISRLGGHSVTAGGFSPQNSNVLIVSTSSNQVYALDVEAKQLGEWSNHNTFALPRRYQEFPGEVIGISFPPSSASSSVIAYSPRAMCLIDFGKPVDGDDEADLANGQDLASKKLYSTLVNGGMKRKLKGSDLETKLNGRKNFEFHAFRDPVLFVGHLSKTSTLIIDKPWIQVVKSFDTTPVHRHIFGT >Solyc07g044790.3.1 pep chromosome:SL3.0:7:57957816:57966776:-1 gene:Solyc07g044790.3 transcript:Solyc07g044790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVINNLKRISAGVLSRLVQLEELYMVGVEDCSYFTLRELESLLRLTSLTLSRCFGDVIYSNLSLSSKQARYALNVGGPFVVSLSMDDYDKSISLEVTETTQLDDWIYHLLKESEFVVSTGYGSNFVLTQLQLNEFQNIKCLRLTFCKLVTHLLNISRRIHEVIKFPNLYDLKLEYLECLTHFCNTAVEGIEFPRLRKMLFHYLPEFQNFWPTANNSITHSSPLFDEKVSCPNLEYLYINDYNSISSLCSHHLPTTYFRKLETLYILSCGKLRNLMPPSVARGLLNLQKLYITDSDSMEEVITKEEQKGEGIMTLFPLLNDLTLQWLPKVGHFFLTLKDVLIYYCPEMKTFVKQGISVSIPSLERVNYDSKVKVDDLNKWRQQRFNSKGTKTLVMATKSDLVTAEANDVYESNAS >Solyc01g060281.1.1.1 pep chromosome:SL3.0:1:69957477:69958070:1 gene:Solyc01g060281.1 transcript:Solyc01g060281.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNGRKKIEIAKIQNQTNLQVTFSKRRAGLFKKASELSTLCGSNVAIVAFSPSNKVYACGHPSVESIVDKFVRENPPPETDDPNPIIVAHQNANIDELNKKMNKLERSLERERKHGQALQALRTEPSNEKLSFFDLKILCESLEAADKNVEKLASQFMECGIEFPYKTIGIALAPLRARESTSSVFGEGSSGSGE >Solyc07g045210.1.1.1 pep chromosome:SL3.0:7:58451247:58452995:-1 gene:Solyc07g045210.1 transcript:Solyc07g045210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRPSLLLSRSGSFRPENLGQNAMAMIGNLCFTIFVVGVLVFTIIAATYQPEDPLFHPSAKITNFLTSKSNATFRADDSVVRTGEDFLGVNQTAFTTFINLNDVDVPENADGGGVTENNLDCSGKIDDPVDCTDPDVFHLLMRAAIEKFKDIHFYRFGKPVRGSNDSSCHMAWRFRPKEGKTAAFYKDYRSFVVSRSENCTLDVVSIGDYHSGGNARKRKRKNRAGSDRTLGKLDEGFEKVTPKTEGEPIALPVVGEAVNDSLPVVESESSFGNGKYLIYSGGGDRCKSMSHYLWSFMCALGEAQYLNRTLIMDLSICLSKIYTSSGVDEEGKDFRFYFDFEHLKDSASVLDQIQFWSDWDQWHKKDRLSLHLVEDFRITPMKLSGVQDTLIMRKFGSVEPDNYWYRVCEGETESVVQRPWHLVWKSRRLMDIVSAISSRLNWDYDSVHVVRGEKARNREMWPHLAEDTSPEALLSSLQDKIDDGRNLYIATNEPDTSFFDPLKDKYSTHFLHEYMDLWDEKSEWYAETTKLNNGNPVEFDGYMRASVDTEVFFRGKKQVETFNDLTRDCKDGINSCTSSS >Solyc09g082395.1.1 pep chromosome:SL3.0:9:68575287:68576854:1 gene:Solyc09g082395.1 transcript:Solyc09g082395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVGRNPRSTASSKTAQARSGFLFLQRPRTRRAYVPRSMLTTKSTAQQIIRNIVNRTPPDIQTPLSSQTPEMR >Solyc08g083210.3.1 pep chromosome:SL3.0:8:65865328:65872972:1 gene:Solyc08g083210.3 transcript:Solyc08g083210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4CPW5] MAHAHANKASLNMLMLCFTLLNLSHNFAFAFTSQDYSNALDKSIRFFEGQRSGKLPANQRLKWRADSGLSDGSGYHVDLVGGYYDAGDNVKFGLPMAFTTTLLAWSVIEFGSSMHSQLNNAKAAIRWSSDYLLKAATASPDTLYVQVGDPNQDHRCWERPEDMDTPRNVYKVSPQNPGSDVAAETAAALAAASIVFKDSDPSYSSSLLRTAQKVFAFADKYRGSYSDSLSSVVCPFYCSYSGYNDELLWGASWLHRASQDSSYLAYIQSNGQTMGANDDDYSFSWDDKRPGTKIILSKDFLEKSSQEFQAYKVHSDNYICSLIPGSPSFQAQYTPGGLLYKGSGSNLQYVTSSSFLLLTYAKYLRSNGGDVSCGSSRFPAERLVELAKKQVDYILGDNPAKISYMVGFGDKYPLRVHHRGSSLPSVHAHPGHIGCNDGFQSLNSGSPNPNVLIGAIVGGPDSRDNFEDDRNNYQQSEPATYINAPLVGALAFLSAPN >Solyc01g100680.1.1.1 pep chromosome:SL3.0:1:90534949:90535557:1 gene:Solyc01g100680.1 transcript:Solyc01g100680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSYGSSSSGTTSKYNVKFGGQTIETTVTNKAAVANEWANAMLSKYSGRQKVVGLDSEWKPTFSNKSATLQLCIANTCLIVQLFYLDEIPQSLKKFLANPNFVFVGVEVAEDILKLKNEYGLVCNSHEDIRDVAMNKWPGRFSRPGLKDLASAISGLYMTKPDHVCQSNWEASVLSEAQVEYACIDAYASYKIGHKLLMEY >Solyc02g067710.2.1 pep chromosome:SL3.0:2:38398686:38402510:-1 gene:Solyc02g067710.2 transcript:Solyc02g067710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAPRDLKTLPKAGKLTNISRNGNTSETQVENIDEYHEEKERENPILLVEPIHNEDCKVNSGVEVGTSEVEYIESENLEDVEDVDMSLKVWILVCEALNDVRRLCKFHREATLDILVNVISLVVKSLKSPRSAVCKTAIMTSADIFKAYCDSVVDLIDPLLVQLLLKSSQDKRFFCEAAEKALISMTTWVSPVLLLAKLQPYLKNKNPRIRAKASMCISRSAPHLGSDGIKAYGIEKLIQLAASQLSDKLPESREAARDQLLDLQNVYEKTLDMMPIDVSENPQINSWEHFCQSKLSPLSALAVLRVTNVAR >Solyc02g068710.3.1 pep chromosome:SL3.0:2:39200676:39211145:1 gene:Solyc02g068710.3 transcript:Solyc02g068710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfiredoxin [Source:UniProtKB/TrEMBL;Acc:K4B7K3] MANFVLQLPNNLRLRSFTVSSSSSNGATPGVPQSAGPVILELPLDKIRRPLMRTRSNDQQKVKELMDSIKEIGLQVPIDVLEVDGVYYGFSGCHRYEAHQQLGLLTIRCKIRRGTKETLRHHLR >Solyc09g005760.3.1 pep chromosome:SL3.0:9:527318:535247:1 gene:Solyc09g005760.3 transcript:Solyc09g005760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTKRKRREANRQNKGREFKKKNQSSSGGKDGRRKRSGPRLPNAMLKELQLPKRYADSDEEIGSDDALNDLYEYEEGVAEEESRKNRRFDPVENYQYELPEEFEDEDVPSDEEDGGDDEGGRRGDEEEEEEEEEEDDGRHSRLLQEITGLPTDAFDGKKKKNDVIISEAYSESEYNPSRDILDGDGRISIQDLLDPLHGKSDHSKLRKSMSRMEKKSMPIHAPLPKPDQERLERDAAYGFIQKDVTKWEPHVKKNREAPTIYFGKDKNVGYSTVGEIAAEFEPRSDFEKKIASLFDDHEVVEAHRKDGARLLELNKISVEDVRERQDQLAKMRSLLFRHEMKAKRVKKIKSKVYHRLLKKDRLKQAGTTTETDPEAAKEQAMKQEFKRAEERLTLKHKNSSKWAKRILKRGLDVQDDGTRAAIAEQLNQHALLSRKANNMNESSSSEESSDEDDLDEASDGSDQDAAVKLLKKAKEKTAAVLEGDEELPASGVLSLPFMVRGLKRRREAANEEAKLALEEFESSLKELEDKNEPKTQETNILTGRRVFGAQKEQAPEPKKKATSDNYYGDSDSEGETDARENGISAHEENNLSQREVHFDPNLLREESEINHDSLFKSFDDIARDPGSKTSYEVSIFAANSWKKMNDSSAKGKQKKSANAKSATSLQITEPVESKPDGEEIYEDSDTDSGGEMVDGILTSGTKSTYEIPSQEELIRRAFAGDDVEDDFEREKQDALNEEVPEPEKPVLLPGWGQWTNIQKKRGPPSWMLEEHDNAKKKREEALKKRKDANLNHVIISEKRDKKAENLYTPTLPYPFTSQELFEGSIRMPIGPEFNPGTALPALIRPEVVKRSGSIIKPIKFKEVNPHEKGQDHKRGGVQKKKGGKSKGKPTK >Solyc03g114920.1.1.1 pep chromosome:SL3.0:3:66266448:66268016:-1 gene:Solyc03g114920.1 transcript:Solyc03g114920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRITTPSTIRTLLRRCISSSATNQTHHLPCSQISLSKTHFFTSPLPHYDSFSFSHYSTSFRSFSSQSGRDQEETTAQSLSSELVKELDAESLSIPQSLDLSFSHVNLTPSLILTTLNLSPDAGRTVLGFFKWVKSKESFKVDDEVVSCFVDYFGRRKDFKAAQDVLIDGIGLTGRKTLESMVDRLVRAGRPTQTVAFFENMEKDYGLARDLDSLKLVVSRLCEHGFASYAEKMVKSLACEFFPDEYICDMLVRGWCIDGKLDEAQRLAGEMYRGGFEIGASAYNAILDCVCKLCRKKDPFRLQSEAENVLLEMEEKGVPRDVETFNVLITNLCKIRKTEDALNLFYRMGEWGCNPDETTFLTLIRSLYQAARVGEGDEMIDRMRSAGFGDALDKKAYYEFLKILCGIERLDHAMSVFAKMKEDGCKPGLKTYDLLIGKMVAHNRVDKANALYKEAESNDLAVEPKAYMVHPKYAKKKSAPAKKEEKKRETFPEKMARKRTKLKKIRLSYVKKPKKMARRAF >Solyc11g013533.1.1 pep chromosome:SL3.0:11:6653986:6930853:1 gene:Solyc11g013533.1 transcript:Solyc11g013533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSEIWAIFGPGVAGAVFGAGWWFWVDAVVCSAVKISFLHYLPGIFASLAALMFNCVRKEDIDYSPYDEGEWRLKLWLFIAYVVSFVSLAASVGLLIQDALEKSGPSAWTGVAGVLQCVCVLISGLVYWSSHSES >Solyc02g036135.1.1 pep chromosome:SL3.0:2:30584058:30584839:1 gene:Solyc02g036135.1 transcript:Solyc02g036135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLFIFLRIEKCFKEGKRSNHNYYGTMVKVPGKDRTFLEANYATLSKVLKSIYVANFARREIDLIFFLKSGFYMFEQRIDSLLVAWAAMKNDGISLNKIYICSHIEFHILLVPFEVG >Solyc01g094180.3.1 pep chromosome:SL3.0:1:85624251:85626767:1 gene:Solyc01g094180.3 transcript:Solyc01g094180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMGHAEGVPKLGSSTKLLLSDPELDSDGDEEVDTPEKILYMASFDELGEKSLGELEYLYMPMRRYVLKKEISSRKLYITPDEIVYKVSRPSCIPFWKDIKFEKHVPLPFVIDIIIEQGCLQSMLGLHTFRVESIARGRAAPVDELQVQGMHNPGLLRKVIVNEASKVIHVGRSWRPVVQGGDGAPAVSKSSSKTSRLTGP >Solyc03g082650.1.1 pep chromosome:SL3.0:3:53990048:53990348:1 gene:Solyc03g082650.1 transcript:Solyc03g082650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRMDDDSSRRTGFIAEEGIIFMPYWMMQNLCLQEEEGDVVTMKNVSHICQAATSHEGFYGYF >Solyc07g054220.1.1.1 pep chromosome:SL3.0:7:62700982:62702154:-1 gene:Solyc07g054220.1 transcript:Solyc07g054220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPEEPMEFDDNTFERQQRRPVFEEASMSNRRFKKIKSPERQSSVQQPFDHRNNPTPMAFPPPPSSSRLVFPFAFDGTQQSMESSSPLGANAMPLFHPQQQNQQQMISFSPQQCLYPPYFAGELGPSQNQQQMLRYWNETLNLSPRGRMMMMSRLGQDNRGYFRPQQVQVQPISATKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAAMAYDREAYKLRGDNAKLNFPEHFIGKDRGETSTEANSSSITTHESSLPEHNSESLQLQTVNNEQLPPSPPPQPPPEGDNHDEDSGIGSSQVTTNSQSSELVWGDMAEAWFNATGWGPGSPVWDDLDTNNNLMFSPNLHFGNFSQQEPHDSDPHQHHDTNSDPSSPSCPMRPFF >Solyc08g062765.1.1 pep chromosome:SL3.0:8:51943122:51943791:1 gene:Solyc08g062765.1 transcript:Solyc08g062765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVDDLLITGSDPSLIQDTKQVLHNHFKIKDLGELRYFLGIEFCRSASGIVMSQRKYALELISEAGLAGAQPVSTPLECNLNYQRLVGKLLYLTNTRPDIAFTVQTLSQYMQQPKISHWNAAMRVIRYIKGNPGLGLFMSSHREFKLTGFCDADWAACLSTRKSVSGYLLKFGDSLISWKSKKQNTVSRSSAEAEYRSLATLKAE >Solyc11g045450.2.1 pep chromosome:SL3.0:11:30691808:30694734:-1 gene:Solyc11g045450.2 transcript:Solyc11g045450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSNIFCIQRIPPVFAESHCKDMLNHVFLQAPHGKAWEVEVEHSQGQIWLAKGWKEFSNLYAISVKQLLMFTYNARDLLDVTIFGMDTVEIKYPIQDTESYDSMDIFYHSTENLSHGPLVAKIIQKRQEGEEKDDIRLNLQTDANVIEGEEEYIPVNLQINANIIEEEEEDIPVNLQTSANELAEEESQDQEVEEDNHRSEEVGQKNNNRHSVVNLADDTPYFEIVIKKTHTTYLTIPIRFANRTNIVNMKNMRLVNGEGIRWGVEIEYTKCRAIIKKGWTEDGEWK >Solyc09g091730.3.1 pep chromosome:SL3.0:9:71437800:71442052:-1 gene:Solyc09g091730.3 transcript:Solyc09g091730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4CWJ9] MALHFLKSVVFLFLFLFYGLANGRILLWPSIGISIFGFIPTIYVDQSGFAQFQKIQAAIDSIPTNNSHWVCIFIRPGLYQEQLTIPLDKPFIYLKGSDVKNTIVMWDSHDSLITSPTFSSFAENIVVEKLNFTNSYNYPPMNKKNPMKPALATMVSGDKTSFYDCAFSGIQDTLLDDNGKHYFKQCTIEGAMDFIFGNGQSIYEDCTILVNAGLVSENYGGYITAQGRSHPNDASAFVFKNCKVIGTGKTLLGRAWRAYARVLFYKTSLSNIIVPRGWDAWSYKGHENQLTFSEAECDGLGADTSKRVKWEKKLSKDMVESLTDLSFINTDNWINDQPVILLS >Solyc05g015557.1.1 pep chromosome:SL3.0:5:11434956:11436753:1 gene:Solyc05g015557.1 transcript:Solyc05g015557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRIGMARGIPSRKQGRSEKLINEFKQDVMEVFVLSDLGIMTYFFGMEITQGKDEFFICQKKYAKEIVKKFKMINCKEISTPMNQKEKISKDDGAKNVDETYFRSSIGCLMYLTTTRPDILYVKSKPVKLCGYPDSDWGSSEDDAKSTSRYCFSLGSGIFSWSCKKQDIVAQSTAEAEFVKATTTVNQALWLKKILVDLHMEPTGSIKVFVDNEATKAISHNLAFHGRTKHFKVKFFFLREVQKDGDITLVYCKTEEQLLIYSLSFTSHQVSASQIENWSL >Solyc07g042290.2.1 pep chromosome:SL3.0:7:55538863:55539346:-1 gene:Solyc07g042290.2 transcript:Solyc07g042290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERKYELDERSHFTKLTHLNCQCQNIYCMMLFPVEDCVCKICSMKKGLCRECMCLVCLNFDYANNTCSWVGSDACLHGCHVVCGIHQNLIKPGPSLKGPSRTIEMQFYCLGRSHA >Solyc02g081220.2.1.1 pep chromosome:SL3.0:2:45818714:45820303:1 gene:Solyc02g081220.2 transcript:Solyc02g081220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLFLTISSFLFVIHFVPLSASTLSYLSFLQCLSEHSRPSDHILSRVYTPNNSSYKSVLQHYIRNQRFNRSTTPKPDIIFTPLQESHVQVAVTCCRKTDKYLKIRSGGHDYEGISYVSNISFVLLDMSNLHSIDVDIQNETAWVQAGALLGELYYRIWEKSKVHAFPAGVCPTVGVGGHITGGGYGNLLRKYGLSVDNLVDARIVDVHGRILNRESMGEDLFWAIRGGGGASFGVISAYKLRLVKVPDVVTVFRVVRTLEENAIDIVYWWQFIAEKIDSNLFIRLALKPIDEKQKGTKTITATFVSLFLGDSKELLSIMNRDFPQLGLHIEDCKEMSWIESALFWASFPNGTLPDVLLNRKPGAKFLKRKSDYLQKPIPKDELKSLLDKMIELGDTELVFNQYGGRMSEIPEWGTPFPHRAGNIFKIQYAANWGKEGNEAENFYLNQTRVLYNYMTPFVSKSPRCAYLNYRDLDIGVNHNGNNRYIEGRVYGLKYFKDNFNKLVQVKTFVDPDNFFWNEQSIPPLAA >Solyc02g070710.2.1 pep chromosome:SL3.0:2:40932877:40935109:-1 gene:Solyc02g070710.2 transcript:Solyc02g070710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRMVKSTGFLKRKEKCKRMMNRTYRNVVNWLSPIPKFRPLKSSIESLCNAKRLTEALRLAFDNPIEADYTIYSNIIQLCIDLKAHKQGRLVHSHIITTGFPSNVHLGTKLIIFYTMSCDMVSARKMFDKLPERNVVSWTALLSGYSQNGDSQEALNVFVAMHREGVRANQFTYGSVLRACTSMSCIYLGKQIQGCIQKSRFVDNLYVQSALVDFNSKCGEMEDAFCVFELMAERDLVSWNVMISGYAFRGYNIDAFLLFRWMFRQGILPDCFTLGSVLKASVGGGNCGGLAKISMIHGCIIRLGYGSYSIISGALVDAYVKCGNLANASYIYKKMQNKDRISCTALITGYAREGKNINECLELFCQLHRNHREIDSIILCSMINICANTASLSLGRQLHAIAIKYQSTLDVAMSNVLVDMYAKTGEIEDANNVFQHMTKKNVISWTSMISAYGVHGRGVDAVSYFKKMEREGFEPNDITFLSLLFACSHNGLTAEGWECFSEMVRKYKIVPRDEHYSCLVDIFARSGCLNESYDLICRMNIKPSASLWGSVLGACSIYSNMDLGKVAARHLCNIEPTNAVGYVVLASMYSAAGLWDSAWKERDVVQTRRLPKDPGYSLFHSGNDMVALLPTG >Solyc02g093230.3.1 pep chromosome:SL3.0:2:54799559:54801957:-1 gene:Solyc02g093230.3 transcript:Solyc02g093230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNGENSRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATAMALPDDGKILAMDINRDNYEIGLPVIEKAGLAHKIEFREGPALPGQYHGSYDFIFVDADKDNYLNYHKRLIDLVKVGGVIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADSRIEICQLPVGDGITLCRRIS >Solyc04g045530.3.1 pep chromosome:SL3.0:4:33660371:33669878:1 gene:Solyc04g045530.3 transcript:Solyc04g045530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRSQRKSLVSTGVGSTLPLYRSAPPLEVRLEDFELYAIDRLRVLKGISDALSRGKKPDEMEKLVLDLWKTNMRHQHSSELLNKDIISHFVLRLVYCRTEELRKWFLSIETTLFRYRFRDEPPEKQRALMADFDLPYKAVTIAEYESVKEKLNQVARAIGQPITTDAIYYKVPFEEVPELVAGRRVFIQKGNAYIAMNQVVSLVITQFRSHLSKALVLTNRKWTSMIREQEKDRLVPIIEALSTSYLGPDYNQPREHAEISLKDIDQIAKSSFPLCMGHLFEKLQEDHHLKHGGRMQLGLFLKGVGLKLDDALAFWRAEFSRKVGAERFEKEYAYSIRHNYGKEGKRTDYTPYSCQKIISSTPGVGDHHGCPYRHFSEENLRAALTRMRVGNRALEDVIDKVRNRHYQLACTLTFEAVHGSSCDAGVNHPNQYYNDSQRILESQVSSSWTNTKMACKGYLCHCDFVIMDLVV >Solyc01g098460.3.1 pep chromosome:SL3.0:1:88852384:88862681:1 gene:Solyc01g098460.3 transcript:Solyc01g098460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSKKRKRERATTHPRNKYSDNPPDFSLLASKYSTFAPYVFYSGDGRPRIDWTDFNATRELTRVLLHHDHGLNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPKVQADRNTAKGFDIGTGTNCIYPLLGASLLGWKFVGSDFTDVALEWAEKNVKSNPHISELIEVRRVNMENPASSSEELNDEPGKYGQCTDADFNNGKAVVIGPSPPAHLQMPSGIGKGYGGPPILVGVVKDGEKFDFCMCNPPFFETIEEAGLNPKTSCGGTVQEMVCPGGESAFITRIIEDSVQLRQSFRWYTSMVGRKANLKVLISKLWEVGATIVKTTEFVQGQTCRWGLAWSFLPASKKILPPHVAEKNNMSFMLEGLQRHQSAFDVLQSVESYFCNIGASSKLDSASFKVDVTLSAEQCNTILKTQTQIGTDQDILISANCPSDQLNDMHLCVSVFQQIPGTLLVKGLLLQKEIPVPGVFSVIFQQLQEDLKSKFSKGIR >Solyc01g090590.3.1 pep chromosome:SL3.0:1:84134963:84142352:-1 gene:Solyc01g090590.3 transcript:Solyc01g090590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNNTTQESREPFVANDSSLQNNRVIPQLLTSVPALNDAASYLSETTSLFTRCFMDFSAEPASRGLGGQEMVTFGSAESRGSPALNTASSSGSDLAVGKTSQSSLAAPLLHEGLSRTLSRKSSQSGNAAKNSEDLSEGSSAQVLSRSTSPNGISIFQGLIERVRRTVRGSADDIGWLQRASHMPPVDDQTDRFVEIIDDIRHGLHGLPNSMVYLLVPGLFSNHGPLYFVNTKTSFSKMGLTCHIAKIHSEACVEKNAREIKDYIEEIYWGSRKRVLLLGHSKGGVDAAAALSMYWTDLKDKVGGLVLAQSPYGGSPIASDILREGQLGDYVNIRKLMETLICKVIKGDLLALEDLTYERRKEFLSKYYLPKELPVISFRTEASISPAVLATLSRVAHAELPTFSAAQPATTFPVVMPLGAVMAACAQLLQIRYGEKSDGLVTCCDAEVPGSVVVRPKRKLDHAWMVYSELNDNPTEADASQVCEALLTLLVEVGQRRRHELSSKDE >Solyc11g056360.2.1 pep chromosome:SL3.0:11:45627600:45628783:-1 gene:Solyc11g056360.2 transcript:Solyc11g056360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSEFAPICIYLVISPLVSLIPLGLPFLFSSNSSTYPEKLSAYECGFDPSGDARSRPQKQGLCPRVSMRTPKKPNSAPRKIAKVRLSNRHDIFAHIPDEGHNLQEHSMVLIRGGRVKDSPGVKFHCIRGVKDLLGIPDRRRGRSKYGAEKHKIDMNGRYGKKKDAT >Solyc04g056496.1.1 pep chromosome:SL3.0:4:54317352:54317941:1 gene:Solyc04g056496.1 transcript:Solyc04g056496.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHFIHLDTCLTISGRSQEIVKGEELQDQTCSIWTLVDFTQLASELIRNFRDSSRNFKLTSREMSNFAPNQEAVVEMVKDMSSPGLLRSWRSSGVLDEVYVLLSQISTHVSGYLNMPSANCGSGLSKGLNNLLSSNRLVHSSPKLGSNSTKGGVVEQKFNANVVIC >Solyc01g108640.1.1.1 pep chromosome:SL3.0:1:95777579:95778715:1 gene:Solyc01g108640.1 transcript:Solyc01g108640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQCSSRCLLILLLLSSCLLIVSSFTIAKEAVPLGPFDSMYNLGDADSSLSSSSANHIAVTLNLPSPQPYTQEGTEFVESGLNFATPGATIMKPFFFLKNGIPPPSQSHDPSQIVTFMKVFYKHCFSFHDCGKNKLLQKALIFMDQPSINDYKQAFLHGKSISEVSHLVPEVVETIKNSVERLVKEAEAKTVVVSGIVPMGCFPGYRTLFTEGDSIDKSRCHKGLNMFAKLHNDHLWQAILELRMKYPDVHIIYADYYKAFMDLLKNHTFLGFKKNTLMKACCGSGDGLFNFDMQKMCGDDGAATCSDRASYIHWDGFQLTPEALENLIDTLFSHKGFIFPESKFGEDTAALEGHHSRNHGGVKDMSSIVRHLLFV >Solyc02g084830.3.1 pep chromosome:SL3.0:2:48553663:48557237:1 gene:Solyc02g084830.3 transcript:Solyc02g084830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCYDLGKSVAGSLALHVMVSLARKLIASRNPIPTSRSYEFGNPEVFVPFSRLQETKLLESHLVSLLDNCSSLNQIKQVHAHVIRRGLDQCCYVLAKLIRLLTKINVPMDPYPRLVFHQVEYRNPFLWTALIRGYSIQGPLKEAVSLYNAMRRESISPVSFTFTALLKGSSDELELNLGRQIHCQSIKLGGFCEDLFVHNILIDMYVKCGWLDYGRKVFDEMSERDVISWTSLIVAYSKAGDMAAAAEMFERLPVKDLVAWTAMVSGFAQNAKPREALEFFHRMQSEGVETDELTLVGVISACAQLGAAKYANWVRDMAEGYGFGPANHVMVGSALIDMYSKCGNVEEAYKVFEKMKEKNVFSYSSMIMGFAMHGCANAALDLFEEMVKTEVKPNKVTFIGVLMACTHAGLVERGRNLFDTMEKHYSVEPSVEHYACMIDLLGRAGQLEEARELIKAMPMEPNSGVWGALLGACRIHAGRWEDVLGVRKSIKQKLLRKDPSRSWIEGKEGVIHEFYAGDMTHPNSKEIKEALEDLIGRLKSHGYEPNLSSVPYDLNEEHKRRILLTHSEKLALAYGLLITDSAGSTIKIMKNLRICEDCHSFMGGASQITGREIIVRDNKRFHHFRNGVCSCGNFW >Solyc03g045040.1.1 pep chromosome:SL3.0:3:11406496:11406898:-1 gene:Solyc03g045040.1 transcript:Solyc03g045040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNEETKLLYVVDGRSRFDPSIPQGYFENRIILTNRREVSLLLSHGQERRSVNVLLGLTASAMKTFEELMEIYTSILQP >Solyc08g062295.1.1.1 pep chromosome:SL3.0:8:50913398:50913445:-1 gene:Solyc08g062295.1 transcript:Solyc08g062295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFSCNSWFSFFFIFV >Solyc03g093220.3.1 pep chromosome:SL3.0:3:55836171:55839228:-1 gene:Solyc03g093220.3 transcript:Solyc03g093220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQEEVKQLAECSVSNALGTWVFSVAGALLAIPVGIKRKSLAPLVFFGTTGTMLDIIMGISACEREHAERQMKLLEEAQGSVAVDTLTDAATEH >Solyc07g025130.1.1 pep chromosome:SL3.0:7:24833122:24833440:1 gene:Solyc07g025130.1 transcript:Solyc07g025130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNECKLRCPNMIGAYHTRFYRGSNRSQAVTIERLSLSIPSHTEKDVKWQGKGKDHEAPAEREGLLCRMPMGAGFFEKARADSET >Solyc06g071920.3.1 pep chromosome:SL3.0:6:44449318:44453948:-1 gene:Solyc06g071920.3 transcript:Solyc06g071920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4C8R4] MGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITVEYMTYMFKYDSVHGQWKNNELKVKDEKTLLFGEKPVTVFGIRNPEEIPWAEAGAEYIVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDLNIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDLTVRLEKEATYDEIKAAIKEESEGKLKGILGYTEDDVVSTDFLGDNRSSIFDAKAGIALSKNFVKLVSWYDNEMGYSTRVVDLIKHMASVQ >Solyc02g068640.3.1 pep chromosome:SL3.0:2:39162572:39170102:1 gene:Solyc02g068640.3 transcript:Solyc02g068640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVCPIPTDSYKLGFIGAGKMAESIARGVVKSGILPASRIRTAHSGSARRTAFESIGVTVLDNNSQVVEDSDVIIFSVKPQVVKNVVSELKPILSEKKLLVSVAAGIKLKDLQEWAGQGRFIRVMPNTPSAVGEAATVITLGEKATTEDGELISQLFGAIGKVWKADEKLFDAVTGLSGSGPAYVFLAIEALADGGVAAGLPRELALGLASQTVLGAASMVSGMSKHPGQHKDDVASPGGTTIAGIHELEKSGFRGILMNAVVAAAKRSKELSQN >Solyc01g099400.3.1 pep chromosome:SL3.0:1:89545643:89555591:1 gene:Solyc01g099400.3 transcript:Solyc01g099400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSKAKRNLLPVKFLLPYTCTPYLSSIISFSSSSILFSHRLSFSLYAATRNSSAICRRSPPYLMTSTISASSPWPEGPFVSPPMSENSPDSGDRSSLSDLSDISAAVTDVVDDERGSQTSFTSPINAPATSVQNVNPSPNSISLPVDSSTPNVLYLSFNQDYGCFAAGTDRGFRIYNCDPLREIFRRDFTGNSNGGGGIGVVQMLFRCNILALVGGGPEPQYPLNKVMIWDDHQSRCIGELSFRSEVKSVRLRRDRIVVVLAQKIFVYNFSDLKLLHQIETVANPKGLCEVSQVSGSMVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIVCFALTNDGRLLATSSSKGTLVRIYNTLDGSLVQEVRRGADRAEIYSLAFSPTAQWLAVSSDKGTVHVFNLKVDSAALGVDRPRGASESNATSPSAVSHLSFIRGVLPKYFSSEWSVAQFRLQEGLQHIVAFGHQKNTVVILGMDGSFYRCQYDAATGGEMTLLEHHSFLKSEENF >Solyc07g064260.2.1 pep chromosome:SL3.0:7:66608728:66612668:1 gene:Solyc07g064260.2 transcript:Solyc07g064260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVSRSRWHTINSFKRHTTLEVHNPEGGEDEISNLPDSILLHILSYLPTRDVVGTCILSTRWKNLWTCVENIDFDDSFLYSSRVFGYPMKVTSFMHLVHRFLQLREKSVIKKFRLSCRVCFSASHVCSWLSTVIRHNVQDLDLCLFVEEPFMLLQCVSSCKTLTSLKLEMNCVLELPTSTYFPFLKILHLCLVTFRDDSSTQRLLSSCPMLQELAILDCEWMNLKQVAISISSLKSLIIDDLPFFGSTDDLNGCKIKIDAENLTFFKYSGYLSNEICLYSISSSANASIHIPILYEKRNQIAFRAVELFRGLHKISAARISSRAIESLFIADIEKDRLPVFYSLTHLELSMELENHSIGPLMELLQCLPKLQSLHFSEMTGA >Solyc10g079000.1.1.1 pep chromosome:SL3.0:10:60776629:60777213:-1 gene:Solyc10g079000.1 transcript:Solyc10g079000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium load-activated calcium channel [Source:UniProtKB/TrEMBL;Acc:K4D2E1] MAAAGFFSNTKYSDSLTLVGISICTAIVCEAISYLLIYRTTSYKSLKSTIDKASKKLETMKTQEPVPSVLTKKSKTKKIDRVETSLKESTRDLSLFKFKSGFVVAVVLFMVFGFLNSLFEGKVVAKLPFIPFRLVQKMSHRGLPGDDMTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGSAGAGLFPMPDPKTN >Solyc01g012585.1.1 pep chromosome:SL3.0:1:9676290:9676635:-1 gene:Solyc01g012585.1 transcript:Solyc01g012585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNRVDTPFADITHFRSLIRGSTVSGHYPYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLIS >Solyc08g077470.3.1 pep chromosome:SL3.0:8:61516742:61518943:-1 gene:Solyc08g077470.3 transcript:Solyc08g077470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNASVSTKRTMNPRKTDIGGKPFILIICGSLVYYHCAYRNSSLVSLISDVFIVLLCSLAILGLLFRQMNISVPVDPLEWQISQDAANMFFACLANTVGAAESVLRVASTGHDKRLFLKVVAALYVLSSLGRIASGVTIAYAGLCFLCLYMLAENLQLISSRYPRRRDIPYMSL >Solyc06g051080.3.1 pep chromosome:SL3.0:6:34280558:34291283:1 gene:Solyc06g051080.3 transcript:Solyc06g051080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTPVLQQHNNLLFTFTSKYTNSLFLFNSSLKYYVTKPLTSPSSSSLFSSPLQYSRPLVRLTRVTTAPVEYVPPAPDFDFHKEIARLKDLRSKLDSCTNLKDRSRVIDSDSRVNSFFYSHKNTFSRVLDTLHLDKYEVFLLKCVVAAGQQHVFGDVCTEYDATTSSLKSAFYALAEMIDNWDVNEGIRRRGVNGYALGMEEFEALRSMLKIIAEVERFYDCIGGIIGYQIMVLELLAQSTFERPCLSHNSNSSLKRDITGIHPPNVLDLSQDLEYASQAAMWGIEGLPNMGEIYPLGGSADRLGLVDSNSGECLPAAMLPYCGRTLLEGLIRDLQAREYLYFKLYGKQCITPVAIMTSAAKSNHEHVTTLCEELCWFGRGRSKFKLFEQPLVPAVSAEDGQWLAGRAFKPVCKPGGHGVIWKLAYSEGVFQWFHDHGRRGATVRQVSNVVAATDVTLLALAGIGLRQGKKLGFASCKRNAGATEGINVLIEKKNLEGKWTCGISCIEYTEFDKFGMTDNPLSSYSLQDEFPANTNILYVDLPSAELVASSNDETSLPGMVLNVKKEITFVDQFGSKHSVRGGRLECTMQNLADNFFNTCSSQCYDGVEDELDTFIVYNERKKVTSSAKKKRRQGDTSLHQTPDGSLLDIMRNAYDILSHCEIKLPKIEGNEKYVDSGPPFLILLHPALGPLWEVIRQKFYRGSISKGSELLIEVAEFLWRDVQLDGSLIILAENVLGSPRIDENGETVLHYGKRCGRCKLENVKILNDGIDWNARENLYWKHDVQRFEAVKVILHGNAEFEAVDVILQGNHVFEVPDGYKMKITTGDSGLAVELKPIENKLMESGSWFWNYKIMGNHVQLELVEL >Solyc01g096290.3.1 pep chromosome:SL3.0:1:87255246:87258013:1 gene:Solyc01g096290.3 transcript:Solyc01g096290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Solyc02g083870.3.1 pep chromosome:SL3.0:2:47687892:47688642:1 gene:Solyc02g083870.3 transcript:Solyc02g083870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQKAFVAMLIASFLLVHFANAQKVDYSKPPASAPQGPQPLDCIGACKYRCSESSRQNLCNRACGSCCHRCHCVPPGTSGNYESCPCYFNLTTHNTTRKCP >Solyc12g089100.2.1 pep chromosome:SL3.0:12:65203058:65206184:-1 gene:Solyc12g089100.2 transcript:Solyc12g089100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI045 [Source:UniProtKB/TrEMBL;Acc:G8Z272] MLKASVNARYETEAEKEAATGGATVTFNAGDFKLRASMTDATVINGPSLNGLSLAVEKPNSFIFDYNVPKNDIKFQFMNSINVLEKPLNLKYTHSRGEDKTTLDGTLVFDSANKLSVSHKLSSGGCKLKYSYVHGGLTTFEPSYDIKEDSWDFAVSHKVYGNDVCKATYQTPSRNLGLHWSRSTKLGGSFKVSASMCLDDDELKLPKLTAETSWDFDL >Solyc01g006370.3.1 pep chromosome:SL3.0:1:983202:1005282:-1 gene:Solyc01g006370.3 transcript:Solyc01g006370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGGPEPSLQRRITRTQTMGNIGESMIDSEVVPSSLAEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTSLLQRLERENDPTLIGRVKKSDAREMQSFYQHYYKKYIQALQNAAEKADRAQLTKAYQTANVLFEVLKAVNQTQAVEVDREILEAHDKVAEKTQILVPYNILPLDPDSVNQAIMRFPEVQAAVYALRNTRGLPWPKDYKKKKDEDILDWLQAMFGFQKDSVANQREHLILLLANVHIRQYPKPDQQPKLDERALNEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYEVIAREAARSRRGKAKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPVDEEQAERNGDNKALSDRWLGKVNFVEIRSYLHIFRSFDRMWSFFILCLQAMIIIAWNGSGDLSMVFTSNVFKKVLSVFITAAVLKLGQATLDVMLNWKARRSMSFYVKLRYILKVISAAAWVIILPVTYAYTWENPPPFAQAIRNWFGSNSDSPSLFILAVVIYLSPNMLAALLFLFPFVRRFLERSHYKIVMLMMWWSQPRLYVGRGMHESTFSLFKYTMFWVLLIATKLAFSFYVEIKPLVEPTKKVMNVHITTYQWHEFFPHASSNIGVVIALWAPVILVYFMDAQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPEEKSEQPKKKGLKATFSRNFARVPSNKEKEAARFAQLWNKIITSFREEDLISNREMDLLLVPYWADRELDLVQWPPFLLASKIPIAVDMAKDSNGKDRELKKRIEADPYMSSAVCECYASFRNVIKVLVSGRREKEVIEYIFSEVDKHIEAGNLISEYKMSSLPSLYDLFVKLIKYLLENRQEDRDQVVLLFQDMLEVVTRDIMMEDQLSSLVDSIHGAPGYEGMIPLDQQYQLFASAGAIKFPPPESEAWKEKIKRLYLLLTVKESAMDVPSNLEARRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEEVLFSSDDLDKQNEDGVSILFYLQKIYPDEWNNFLERADCISEDDLRFKWSPELEENLRHWASYRGQTLTRTVRGMMYYRRALELQSFLDMAQDDDLMEGYKAIELNDDQMKGERSLWAQCQAVADMKFTYVVSCQLYGIHKRSGDQRAQDILRLMTTYPSMRVAYIDEIEEPSKDRSKKVNPKAYYSTLVKAALPNSHSTEPGQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKVRNLLQEFLKKHDGVRFPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDIFDRLFHLTRGGISKASKIINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTLSRDLYRLGHRFDYFRMLSCYFTTIGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSKEPAIKNNKPLQVALASQSFVQIGFLMALPMMMEIGLEKGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRFYSRSHFVKGLELMILLLVYQIFGQENRGAVAYILITVSMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGIRGIVAEILLSLRFFIYQYGLVYHLKITVKNQSFLVYGASWLVIILVLFVMKTISVGRRKFSANLQLVFRLIKGLIFLTFVATLVILMTLLKMTPEDMVICVLAFLPTGWGMLLIAQALKPVVRRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSRNKD >Solyc01g104530.3.1 pep chromosome:SL3.0:1:92876414:92884980:-1 gene:Solyc01g104530.3 transcript:Solyc01g104530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKQKKLRPRLDRTNALKNVDYDASQSVPSSPSSLIDQPAHRTRSLDLYPVPDRTSFRIDGAAGEFDTICRSLGLSPEDFAIPVAAWEARKHCSRSDRLRSTRFSDDRRDSDTKLDDANELPDSVTTVVRVTVDAESNSRLNNLPENVNEVTISESDVETECSHSDCFGSEDELETADEVRNGARGIVGGKLKHLLYSSPENLIKVRVSESVDDNLPTDVKCGIKGFRPPRLAPPTDVDDFTSAWDFIKSFGPADDEDMVSPLHDESTSDDILVNEQVEEIAKNEERSEDFVRNASQVSESSSEMSTDRDNNSSVLRAENDGACEKPLEQAVIDAAESSKSPFDDSYSLISKTSSKSPTGESNDVISEMSSKSQSNDSYALISKPLPSVSPNGSPSIKSWQKGDFLGSGSFGTVYEGFTDDGFFFAVKEVSLIDPGNQQSLLQLEQEISLLSRFRHRNIVRYHGTNKDESKLYIFLELVTKGSLASVYRKYRLRDSHVSDYTRQILSGLHYLHSREVMHRDIKCANILVDANGSVKLADFGLAKATQMNNIKSCKGTAFWMAPEVVNRKSNGYGTPADIWSLGCTVLEMLTGQIPYSHLEGMQALFRIGRGEPPPIPDTLSTEAQDFIKSCLRVNPNDRPTAAELLEHPFVMKPLSNFSGPLAP >Solyc07g044930.3.1 pep chromosome:SL3.0:7:58098484:58112280:-1 gene:Solyc07g044930.3 transcript:Solyc07g044930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTAPSSSSSGVGKKRQKKGQKQQEVTNVAESTRIRVAQVLEQFRVSNDEVYTFESNLSNRDRAAVHMLCRKMGMKSKSSGRGDQRRISIFKTKQNTDTMKGKDVLSCFKFSEEAKYALQDLFTRYPPGDGETNEPVVGKHSKKFDKLRGKKDDMFCKPVISTSEIAKRVESFASRIEKSPNMRQITLQRSKLPIASFKDAITSTIESNQVVLISGETGCGKTTQVPQFILDHMWGKGETCKIVCTQPRRISAISVSERISAERGESVGDTVGYKIRMESRGGKQSSIMFCTNGILLRVLITNGSASFNKEAPGKMGKDPISDLTHIIVDEIHERDRYSDFMLAILRDLLPSYPNLRLVLMSATLDAERFSKYFGGCPVIRVPGFTYPVKTFYLEDVLSIVKSTKNNHLDSTSSTVMPEESILTEEYKVALDEAINLAFSDDDLDPLLDLISSEGGPKIFNYQHSLSGVTPLMVLAGKGRVGDICMLLSFGADCHLRANDGKTALDWAEQENQTQVVEIIKEHMEKSSSSCEEQQHLLDKYLSTVDPELIDDVLIEQLLKKICIDSEDGAILVFLPGWEDINRTRERLRASHYFNDQSKFSVIPLHSMVPSVEQKKVFRHPPPGCRKIVLSTNIAETAITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKASAKQREGRAGRCQPGICYHLYSKLRAASLPDFQVPEIKRIPIEELCLQVKLLNPDCKIEEFLQKTLDPPVYETIRNAIIVLQDIGALSFDEKLTELGERLGSLPVHPLTSKMLLISILLNCLDPALTMACASDYRDPFTLPMLPNEKKKAAAAKAELASWYGGRSDQLAVVAAFEGWKSAKETGQESRFCSKYFLSSGTMHMLSGMRKQLASELLRNGFIPGDGSSCNLNAQDPGILHAVLVAGLYPMVGRLLPPLKNNKKSVIETAGGDKVRLSPHSTNFKLSFQKFYDQPLIAYDEITRGDGGLLIRNCSVIGPLPLLLLATEIVVAPGNEDDDDDNDDDESDYEDADEDNGEEGNIKADLSEAHQGEKIMSSPDNTVKVIVDRWIPFESTALDVAQIYCLRERLAAAILFKVTHPGKVLPEVLAASINAMGCILSYNGMSGISLPHEPVDSLTTMVGATEIGQSDPGWNNRMDMNPNIRHQHPNMHQQRGGGIHVSKGSSAHRGTMQRGHSKRKRGNGPY >Solyc05g011950.2.1 pep chromosome:SL3.0:5:5172505:5173165:-1 gene:Solyc05g011950.2 transcript:Solyc05g011950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILPIQAEEAKKLKLMLRRKKAENIRLLEIEKRQMQRVEEMRETQKKDVENTNLKEQMRFEVRKELSKVEMTCHDMASLLCRLGITVGDGTSHEVRVAYRKALLKFHPDRSSQSDLRQQVEAEETFKLISRMKDKYLPTL >Solyc03g025350.3.1 pep chromosome:SL3.0:3:2807791:2810584:1 gene:Solyc03g025350.3 transcript:Solyc03g025350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMALTERVILGSIAFAIFWILAVFPAVPFMPIGRTAGSLLGANLIVIFRVLTPDEAYAAIDLPILGLLFGTMVVSIYLERADMFKYLGKLLAWKSRGAKDLLCRICLVSAISSAFFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSATPIGNPQNLVIAILSKITFGRFLFGIFPAMFVGVVVNAVLLLCMYWNVLSVQKDVEKAEVELVLDEQVVSHRFAPATMSHVVNSLHSQDQFSGNEIIKDPNGVFDSSRNFNASREAANDHGSLQMRDKNVSLKTVDEREDQNFTSCEEKHNFSEIWRRLLWKICVYLVTIGMLISLLMGLNMSWTAITAALALVVLDFKDAKECLEKVSYSLLIFFCGMFITVDGFNRTGIPSAFWDFMEPYAKIDHAGGVAVLAVVILVLSNLASNVPTVLLLGVRVGASAGAISEASEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQPSGYNLSFWTHLKFGVPSTIIVIAIGLILIGD >Solyc12g036030.1.1 pep chromosome:SL3.0:12:44654147:44654853:-1 gene:Solyc12g036030.1 transcript:Solyc12g036030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLILLNPSPNFDFEEIHRGKFYPKIGLGDHERRDEALYDDLRQQRLVRCRRHVKESRVLDIQGKNVALEKNVKDPATTKDNGRGMPHDDILDMLG >Solyc11g007730.2.1 pep chromosome:SL3.0:11:1965451:1969004:-1 gene:Solyc11g007730.2 transcript:Solyc11g007730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQVVLLFTLILALGFIPFLVFSAQAIPFERFESLRVVKKVNKNGPFLGLITVYAPEEEAFFKTGVFRPDPRHPFVDLSGRRFRIGKVEGKKVIYVKCGVGLVNAAAATQQMLDLFDIKGIIHFGISGNANSSMQIGDVTIPGQLAQAGLWDWLKPNATMEPNDFAQFNFKSYNDPKGGENQLGKVGYSTEQFYSVAGEVNVPQRPVWFNITNNWLHLASHLHGINLDQCANSTLCLPEKPKLVVGLKGATSNFFIDNAAYTQFLFNTFGVTSLDMESSAVVMTCLSNGYPVIAIRGLSDLAGTQKGDNTIRLFGSLAALNTAKVVIDFVKSLPINHVSQF >Solyc12g099990.2.1 pep chromosome:SL3.0:12:67889371:67892678:-1 gene:Solyc12g099990.2 transcript:Solyc12g099990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Solyc01g103340.3.1.1 pep chromosome:SL3.0:1:91842488:91842799:1 gene:Solyc01g103340.3 transcript:Solyc01g103340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCTQQKTKTQSKVIGSLEQNKLSSIWYSTAPKSQFAALIPENIKLVYLRRSLVMELIKQPESIKTKIIGSFVLVKLDPQRNSHQLVQITGCFNK >Solyc08g077230.3.1 pep chromosome:SL3.0:8:61261820:61267676:-1 gene:Solyc08g077230.3 transcript:Solyc08g077230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APRR2-like protein [Source:UniProtKB/TrEMBL;Acc:K4CN83] MICIENELLGWKDFPKGLKVLLLDEDSNSAAEMKSRLEKMDYIVYSFCNESEALTAISSKSEGFHVAIVEVSAGNSDGVLRFLESAKDLPTIMTSNIHSLSTMMKCIALGAVEFLQKPLSDDKLKNIWQHVVHKAFNTRKDVSKSLEPVKDSVLSMLQLQLEMGEADDKSSNGTEPPTAVAESNTEQSSGCDKYPAPSTPQLKQGVRSVDDGDCHDHTIFSTDQDSGEHDADTKSVETTYNNSLAENNVQTSPTVQQGDIILKEDNVSSPDLKTETDIATTSRSNDCPDNSIMHSAEPSKASGPHSSNGTKSNRKKIKVDWTPELHKKFVQAVEQLGIDQAIPSRILDLMKVEGLTRHNVASHLQKYRMHRKQILPKEVERRWPNPQPIDSVQRSYYPHKPIMTFPQYHSNHVAPGGQFYPAWVTPASYPNGLQVWGSPYYPGWKPAETWHWTPRPELHADTWGSPIMSPSLGSYPPYPQNAGVYRPHGTHNRYSMLEKSFDLHPADEVIDKVVKEAITKPWLPLPLGLKAPSTESVLDELSRQGISTIPSQINDSRCRR >Solyc02g090100.1.1.1 pep chromosome:SL3.0:2:52366317:52366805:1 gene:Solyc02g090100.1 transcript:Solyc02g090100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTTSLTVRTYPPRCVLNANTNPPTKQAAVALRGRRQLISLVTATTVVKALEMPSKAADIGLFGLRKKLKKVEDEAEELVKEGFEAADKGIAAAEKGIEAAEKGLLTAEKGIEAAEEKIESTVSFGGLAQAGAVAGAEFVGVLIAGAVVNGILGPEPQKS >Solyc06g050307.1.1 pep chromosome:SL3.0:6:32979936:32981753:-1 gene:Solyc06g050307.1 transcript:Solyc06g050307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQIGHKLEREELIVENCRIKEELTQTQAALHQEIEVNSWHLQSILILLLYVDDIIFTGSHSDLLGQFINRLSH >Solyc11g045337.1.1 pep chromosome:SL3.0:11:31130774:31132395:1 gene:Solyc11g045337.1 transcript:Solyc11g045337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTHIEDYEEQVEDALKHTHVKEFTFCEKAKERVANTLAKYPELMEGFNEFIERYERVVGFLAKWDEEQDKDQDEASPQRINLEQVVTFVKKVKVAICLNDHPDLLEDFTKYLPESSFPMLKLYLHELTIFFSSL >Solyc08g014570.3.1 pep chromosome:SL3.0:8:4791997:4795558:1 gene:Solyc08g014570.3 transcript:Solyc08g014570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVSFQSEKMEKQQNGVMEKQKSFRIVMERQVSFSIEKKRDKESPGKRGDSPLHLAARAGNLGKVKEIIQKFDNSKGIKELLSLQNQQGETALYIAAENGHTLVVVEILKHLDLQVASLVANNGYDAFQVAAKQGHLEVLKELLHSFPNLVMATDSSNSTALHTAAAQGHVDVVNLLLEIDSNLVKIARNNGKTVLHTAARMGHLEIVKSLLSKDPDIGFRTDSKGQSALHMAVKGQNVGIVLELIKPNSSVLTLEDNKGNRALHIATKKGRPQMVQCLISIECIDLNAINKAGETALDIAEKFGMPEVVSILKLAGAVHSKDHGKPPNNTKQLKQTVSDIRHDVQSQLQQSRQTGFKVRKIAKKVKKLHISGLNNAINNATVVAVLIATVAFAAIFTVPGQYVEKKKDGFSLGEANVADKAAFIIFFLFDSMALFISIAVVVVQTSLVVIEPRAKKLLVFWINRLMWAACLFISVSFISLAYVVVGSKERWLAIFATVIGSTIMITTIGSMCYCVVRHRLEESKMRSIRRAGTHSHSYAISVASDTELYGESYKRMYAV >Solyc04g014570.3.1 pep chromosome:SL3.0:4:4833026:4838070:-1 gene:Solyc04g014570.3 transcript:Solyc04g014570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4BPW1] MCTKFVKEMEKMALYVVVLLIICYASPARSDGSDHKYKSGDQVPLYANKVGPFHNPSETYRFFDLPFCTPDHVKEKKEALGEVLNGDRLVSAPYKLDFLYDKDSEIVCKKKLSKEEVSQFRSAVAKDYYFQMYYDDLPIWGFLGKVDKEGKSDPSEYKYYLFKHLHFEIFYNDDRVIEINARTDPNALVDITEDKEVDVDFMYTVKWKETKTPFDKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPSHKSLMAAALGSGTQLFTLTIFIFLLSLVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGTNWVRNLILTGALFCGPLFLTFCFLNSVAIAYSATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSRAEFQAPCRTTKYPREIPPLPWYRGTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHEWWWRAFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYGFFLMLGTVGFRAALFFVRHIYRSIKCE >Solyc05g014850.2.1 pep chromosome:SL3.0:5:9058471:9059295:-1 gene:Solyc05g014850.2 transcript:Solyc05g014850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLSTVDFLFNPTAYKSNPRDHFLIGWVITPVGKGKNLGSNAKRRSRSSKACLKFPVPRIARFLKDGKYAKRVGAGAPVFLAAVLEYLVVEVNFSMLELGGIAARNDKKTSISPRHIQLAIRFDKDLYQFLRVVTIPNGGVIPKIHKILLPNNKSNTSKAVVAAHEEED >Solyc08g061493.1.1 pep chromosome:SL3.0:8:49023635:49025790:-1 gene:Solyc08g061493.1 transcript:Solyc08g061493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDVWDWEESIWAVETEESMSTTAQAPLIVQGLAPFEVEVAAPRPPFTVYRAASPIQCDTRAVPWNYNKREMNVEETDVATRVTRSGRIYTSKNLVQGSSSKSKAPVVELEDQGIWKKPKNHRYDGLARFRAWERFGAELQGIIEPIQPVRHSTTFGLGYKYTTEEWIDWQPPRDGYYYPLKKPIPPLHPSFRSADFMGGSIDEISDDLKGLSLTKEEGKVCNIVINEEEKGGPSGSKEAKISVSNWTSTPSRPRRASGKIHYKNVESETMAYNETAQLNINDLEEVEDNEVPEELIKRVEEFEEKPNPNLVEIEVVNLGNAKVIQETRVSIHMTKEDKKEYTEFLIENRDIFAWSYADMTGLSTSIVAHRLPTDPACPPVK >Solyc06g034120.3.1 pep chromosome:SL3.0:6:23786625:23794171:1 gene:Solyc06g034120.3 transcript:Solyc06g034120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTSLRCYNLLLPNSFISRFTRAITTSNQKGRKCIPLQTTTVRLAVRAHSVSPASMVKAIRVHELGGPEVLKWEDVELGDPKDGEIRVKNKVIGLNFIDIYFRKGVYKAAAFPFTPGMEAVGVVTAVGPGLTGRKVGDIVAYAGGVMGAYAEEQILPADKVVPVPPSIDPIVVASILLKGMTAQFLLRRCFKVERGHAVLVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAKDDGCHHVIIYKEEDFVTRVNEITSGQGVEVVYDSVGKDTFQGSLDCLKTRGYMVSFGQSSGSPDPVPLSALAVKSLFLTRPSMMHYTMTRDELLETAGEVFANVASGVLRVRVNHTYPLSQAAQAHTDLESRKTSGSVVLIPDDAQ >Solyc12g096220.2.1 pep chromosome:SL3.0:12:66217099:66223532:1 gene:Solyc12g096220.2 transcript:Solyc12g096220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTSGITTYDGLESCILNSQAYEYEKANSRVDVGVADSLYDDDSSSSSSNNAFGSYSSQCTTSPQHLYVNEKSGSTQLSDVEMMKERFAKLLLGEDVTGGSKGVSTALALSNAITYLAASVFGELWKLEPLAEERKIKWRTEMDLLLSPTNHMIELVPARQSGSNGQGLEIMTPKVRADIHMNLPALRKLDSMLLETLDSMVSTEFWYTEVSSRAEGKNTKWCLPSPKVPVAGLSEVERKKLVNQGKLTNQILKAAKAINENVLAEMPVPTVIKDALRKSSRTSLGDDLYRILTAESTSAEEMLISLNLKSENSAVEVVNRLEGSILAWKERITDQASGKSPARRSWSFVRDPISELDKVEFLLNKAESLVQQLKFEYPNLPQTFLNVTKIQYGTDIGHSILEAYSRVLLNLAHNILTRIGEVLQVDFSSNVNSPAATVYSSPISSDETLVYRSDENLNIYLPHLGHSYILSCPPADQSHSLQQFQEMGETPVPESVLKKQKRSEEWALAKKQELESAKKKNAENRKLIYNRAKLYAKEYAEQDKELIRLKREARLKGGFYVDPEAKLLFIIRIRGINAMPPQTKKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSVRELIYKRGYGKLDKQRIPLTDNSVIEQGLGKHGIICAEDLVHEIMTVGPSFKQANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >Solyc02g032560.2.1 pep chromosome:SL3.0:2:29182459:29187456:-1 gene:Solyc02g032560.2 transcript:Solyc02g032560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQNNFPLHFLVLLFLCRYSIASSHVRRLLLSHESEHYAVIFDAGSTGSRVHVFRFDTKLDLLPIGNHIEYFLAINPGLSSYANNPKAGALSLKPLLDKAESVVPKDLQPQTPLKLGATAGLRLLKGDAAVKILQANETTLNYKAEWVSILDGTQEGSYFWVALNYLLGNLGKKYERTVATIDLGGGSVQMTYAISKESATKAPKEPNGEPYVLNKSLLGTNYDIYLELKFFKASGNSSSSPCILGGYNGYNTYNGVAYKASSPKHGHQLKKALKIKAPCKHKKCTFGGIWNGEGGQGFKNLYASSFFYDYAAMVGIIDPKKPSGRAKPIQYLHAAKLACNTKVKDIKSVFPDID >Solyc06g083595.1.1 pep chromosome:SL3.0:6:48991946:48992411:-1 gene:Solyc06g083595.1 transcript:Solyc06g083595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVETMNDNISNKLDSNTSPIRDMHVHTTSIYCFETIHDQFLLKCDVHITFKHYPQWLILNYSMTECSRSGIYWIIITRVSNNIKPARRESYTPRSEFASEYIYLPSRPPIALRPKPIEQSAKRLRLRCQLRSHRQQSSIGFPVLHEK >Solyc09g057875.1.1 pep chromosome:SL3.0:9:51793935:51795712:-1 gene:Solyc09g057875.1 transcript:Solyc09g057875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDESNNHDDEMVQTSSPKEFTTNQDNATDDVGIVETSTEKEFTPNGLEVEGRSNMEPYVGMEFESEEAAKAYYSTYATHLGFIMRVDAFRRSMRNGELVWRRLVCNKEGFSKSRQSQNGKKKCRAIREGCKAMIIVKKEQSGKWLVAKLVKEHNHELVVKPVNTPKGDPRLLNQEPETTHEECMGHSIS >Solyc11g011225.1.1 pep chromosome:SL3.0:11:4283386:4286113:1 gene:Solyc11g011225.1 transcript:Solyc11g011225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDETRCVIEGDSEDRISGLPKNVIDHILEFIPVKDAARTSILSRKWRYIWATFPELVLDNGFRQNFIGKLKSDFTEAVNCILLLHMGDIVKFVLDTKGVFTAKSSYAIIDRWILYVTRNGVKMLTLRTSNDDTYTLPLSIFNCSTLTYLELSNCVFKPPNPFIGFQNLIALHLKGTTFVPATSFCVIKAPLLAKLDLILCRGTQYLNIVSPGLKFLVFRDSHSYLVLDCFMNCKNITLLKLEFNGVVDDRTNDKRSTLEKLLVSSPALEVLRLDSFFVELLSARIVPNLQPSTLSCLWHLHLGVDFSKMCHISYVLQLIKSSPNLRKLHISVHATSDDAEAILKYLDTPSCLEQPLDKLEHVAINCFSSSKAELLFVKLLLSRSPSLLKMCIDQLADIDIDIALELMRFPRASPRAELFYSRYKDNSVI >Solyc03g113080.3.1 pep chromosome:SL3.0:3:64856192:64857960:1 gene:Solyc03g113080.3 transcript:Solyc03g113080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIRSMIGVCPQFDILWDALSGQEHLHIFASIKGLPPGLIKEVVEKSLAEVKLTQATRMRAGSYSGGMKRRLSVAIALIGEPKLVILDEPTTGMDPITRRHVWDIIEDAKKGRAIILTTHSMEEADILSDRIGIMAKGRLRCIGTSIRLKSRFGTGFIANVSFSGGTNGTPDREDTLSTPQHEAVKQFFKSRLDVVPTEENKSFLTFIIPHAKEKLLTDFFAELQDRDKEFGISDIQLGLTTLEEVFLNIARQAELEEVAEGSSATLTLNTGVSLQIPIGARFVKIPGTESSENPIGTMVEVYWDQDDSGRLCISGHSPDMPIPAHVQLIDPPTDTSSRGFLRKRKQIQGIVIDPAQITGASS >Solyc02g014650.1.1.1 pep chromosome:SL3.0:2:18053477:18053686:1 gene:Solyc02g014650.1 transcript:Solyc02g014650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAHRASCLKLRELGCHASGYVILGSAQGVEPQARGSWVALKALHLKLTDHGLRSKRCPQAQEAGVIH >Solyc09g008080.3.1 pep chromosome:SL3.0:9:1551957:1555147:1 gene:Solyc09g008080.3 transcript:Solyc09g008080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSSASSNPSLRVKIPVTGEGKLRINVKSPTSEMYTEVKESDKVKDLEKLIKKAWGDNDNYVSLYYNSIKMNSDYLLSFYNLRDGSIITVSLLAEPPHHHHHRLHHQSKSSCETKKNVKFQEETNSTSNGEINGCQSFLFHMAKMSSQFCSSIFSRH >Solyc03g123650.2.1 pep chromosome:SL3.0:3:71943690:71947080:-1 gene:Solyc03g123650.2 transcript:Solyc03g123650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYSRILAAFVLALLLILTSESNAAHAARFLSNKQHPSQKTSTSQTLKGLHTKQEKPFKKVDSSFRRIPPSRWNPIQNK >Solyc03g115620.2.1 pep chromosome:SL3.0:3:66756121:66759264:-1 gene:Solyc03g115620.2 transcript:Solyc03g115620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIDTKSIESVQAALSLFGEKSCDHKKKYRSTSCINEMEKEKDTESVLKYLANLKIQLEAKDSAHKQVLLKLDHHENTVDELCTLLMSSELEKEIYMIECKGLRFHVHELESRIQEMDDQLLESVMIRDQLSHATDELKATQRELVTATDAKIEALSQVEVMENALSIEKLKTEELSRDVSKLNETVVHLRMTATTAEENAALLELEAANAKEEVAFMRHQLVMMQDLENELLDKFALIDSMKAELQELKELRASTEKAPSFAAASSEVKKLNEALELQERKNWDQSGYISLLESELRQLKGELNKANEESTRANADFGTINIELDQIKKEMIETREKESEAQVEIAFLKSELHKGKSKIAAAEVADSVAKSEKSALHLVLQQLAVEAEEAKNENRRLKEAGKALEEGKGEAKEEYDQLHDEPNEIQILKKELKTATVKINELRTRAEQAISRAEAAEKGKSALENQIKRWKEHKERKKAALAALKEESISREITEYKSDTSSKTLQPLGKVLKMKF >Solyc08g021820.3.1 pep chromosome:SL3.0:8:34584070:34585915:1 gene:Solyc08g021820.3 transcript:Solyc08g021820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPX2] MELELGLAISNCNHFPINAITSYRKNGFDDSFSDMKMKMKQKRCFEEAFHEDEGVVERKTLSLFIWNGQPNEDDDNHGRNKKPFNATCHKDFEEESLKLLGWPPINTWRKKQFHHQGHAGWITNDRNNNNNNNNNVIVGGRNSMYVKVKMEGVPIGRKVDLRLYHSYQLFTQNLLQMFARYQNSGKNSTRFTILYQDREGDWMLAGDVPWKTFVETVQRIEIQKNEK >Solyc12g013510.1.1.1 pep chromosome:SL3.0:12:4379823:4380242:1 gene:Solyc12g013510.1 transcript:Solyc12g013510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:K4DCN6] MAPKAEKKPAAEKAPAAAAEKSKAGKKLPKDGGAAAAVDKKKKRSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc11g027670.1.1 pep chromosome:SL3.0:11:18770647:18771408:1 gene:Solyc11g027670.1 transcript:Solyc11g027670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSAQLPNHGISKITLKVVVFHLRLSAPTYTTPLKSFDKVGLESSSKGSSFPPDSAKPALVDRHRGNLVNPFMQHWAEITLRKHPLGPSQCFVLIKQWDSPCPSPIRHAATRSRRGSSSSSPTTGLGLGSTCPSLRANPFPEVMDPLCTLPFPTLFHRLEVVHLGDLMRLRVRPSMDDIRSPDFQGPPRAHRTPRDVRCSSSSWTLPLAKPIPRWAGC >Solyc07g020803.1.1 pep chromosome:SL3.0:7:13601552:13610617:1 gene:Solyc07g020803.1 transcript:Solyc07g020803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMLDRLVGKGWYCFLDGYSGYDQISIAPEDQEKTTFTIPYGTLTFKRMPFELCNAPATFGRCMMSILSDMVEQNNRANFFKLYAEYDNLRSSKITNESHEKALSEAQRRLDGAKLTHEKLDISMEKLQETLADVERLKSLVCQEKERHRAH >Solyc10g008300.3.1 pep chromosome:SL3.0:10:2435998:2441371:-1 gene:Solyc10g008300.3 transcript:Solyc10g008300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSPMSRKLVRNGGLFYPVIGFALFVTFLYLAFGNLWGFNFNREEIKLSFVERNGTQFFVDGKAFYINGWNSYWLMDHAVDYSNRPRIKGILQAGAKMGLSVCRTWAFNDGGYNALQISPGRFDERVFRALDHVIAEARKNGIRLMLSLVNNLHAFGGKTEYVKWAEKEGVALSSSNDSFFYDPTIRRYFKNYVKTVLTRRNIYTGIEYRDDPTIFAWELINEPRCMTDPSGDTLQDWIEEMSTFVKSIDRKHLLTVGLEGFYGRKSPKRSTGNPEFWAADLGSDFIRNSMLSTIDFASVHVYPDHWFHDKNFEEKLKFAAKWMLSHIEDGDKELRKPIMFTEFGLSNENEDFEPAQRDRFYKMVLDFIYKSAKRNRSGAGSFFWQFLVEGMELYNDDFGIVPWERPSTYELITEHSCRLAKEHGLLHTQMEHLKDFCASTRL >Solyc07g005300.1.1.1 pep chromosome:SL3.0:7:235322:235480:-1 gene:Solyc07g005300.1 transcript:Solyc07g005300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDDEDNDFFGFWANRVGYEDYTTRILAWQILQPWRGRLSSTSISFADLSL >Solyc04g054800.3.1 pep chromosome:SL3.0:4:53206138:53209119:1 gene:Solyc04g054800.3 transcript:Solyc04g054800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEVIGDGRRICRENSPERGKSQSNRVVLMRASFKKVQVVYYLSRNGQLEHPHYIEVTHLAHQHLRLKDVIDRLTVLRGRGMPSLYSWSCKRSYKNGYVWNDLAENDFICPSEGAEYVLKGSEIIEGSTEKFQQIHTSQLMRNGQESRRKSEVPKRHSDEPPEIVENSDNYLDEELFEEKFSSTPNFSCSRGVICSDELNRKNNSTELTHTKSLSPPSTTSSSLSDKPIHSTTEVINTSKRFEDGDPVVTESLLSRNSVLFQLISCGGSVSFRGGKSPPHIAKQQPPCTVAGARKSSSSCASFHKGVLCKVAMNEVEEIKYMSENPRFGNLQSEEKEYFSGSIVESMTTEERTAQLDSQLKKSSSYNEERSVKLRFGLLINNLKWKGKDQKSRDYARTIL >Solyc09g042695.1.1 pep chromosome:SL3.0:9:25152111:25152997:-1 gene:Solyc09g042695.1 transcript:Solyc09g042695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDHTCNSSFWTREKDKIFENTLVIYFNDNNLFTKMEEALPGKSLDYIKDHYNIFLEDTGAIDFGHVPLPNYIKMQSNGNKNTITYIEWRRGTAWTEEEHMLVYCVHFLRVISSGLRHCVITRTPTQLPIPKNISRALKQTRKETEEQEKNQACLILLAWRLNSVETFQVPNTVDLIVPDCGGSQEVPNSGNDSMFPQESTNAEHMTTVVGGELSGQNAY >Solyc02g088670.1.1.1 pep chromosome:SL3.0:2:51314174:51315556:-1 gene:Solyc02g088670.1 transcript:Solyc02g088670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPQGHKFICKFCDKSCDSGKSLGGHMRGHLALISAAKNQKEEADGKMGSQEEEEAANTHEDLSISGQSDGSMNQEKENNISIGDDGDSVGYSLRENPRKSWRVSDLKICSLETTNICKECGKEFPSMKALAGHLRTHSKKGMEKCHICEKCGKGFVSMRALFGHMKVHSKRLRVDNQDSESKQSLSDFDTVCPIRKKRSKIKYKMDASSSFSGLNESSSAISEVDEMEEAARCLMMLSSGVTDWDEYISISENSTNENVILESESLHCAKGISADAFTVKHLSHTVFSDSGCVDGYEKNYEIAEFSDRLMLINTEITKVCYSTEMQFENDPSSSVVMQDLAFLNSCSVKNAGLNMGNSQLGEIRTSPDPIKSKVHKCPICSKVFPSGQSLGGHKRAHYTGFTESKTKETMVKKLDDVADNHKSFFDLNNPVIAMDGEEKDVELKLWWVESGDALMMSS >Solyc08g005920.3.1 pep chromosome:SL3.0:8:698154:700524:-1 gene:Solyc08g005920.3 transcript:Solyc08g005920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRHSVDRHQNIEPRMNSSASRDDMTAFVVALEAALLPCLPARELQAIDRSAHPYHQIDVERHARDFMEAAKKLQLNLVGLQREDLPTRPEMLRKEIEKMEEELETKTDLIAKQERLIQGWRKDLKDQLDKHNMELEKV >Solyc08g067400.1.1.1 pep chromosome:SL3.0:8:56494382:56494573:-1 gene:Solyc08g067400.1 transcript:Solyc08g067400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFVAFKSNYECASHSRPCEWRFDENFTYRYSPKEEKWIAHEYNPNYESLTRGGVIKGYYVN >Solyc12g013620.2.1 pep chromosome:SL3.0:12:4461663:4464796:-1 gene:Solyc12g013620.2 transcript:Solyc12g013620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonic acid 2 [Source:UniProtKB/TrEMBL;Acc:Q9SQL0] MGVQEKDPLLQLSLPPGFRFYPTDEELLVQYLCKKVAGHDFPLQIIGEIDLYKFDPWVLPSKATFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITSQGRKVGIKKALVFYVGKAPKGSKTNWIMHEYRLFESSRKNNGSSKLDEWVLCRIYKKNSSGPKPLMSGLHSSNEYSHGSSTSSSSQFDDMLESLPEMDDRFSNLPRLNSLKAEKFNLDRLDSANFDWAILAGLKPMPELGPANQAPGVQGQAQGHVNNHIHSDNNNMNFLNDVYAHPPNFRGNTKVESINLDEEVESGKRNQRIDQSSYFQQSLNGFSQAYTNNVDQFGIQCPNQTLNLGFKQ >Solyc04g080860.1.1.1 pep chromosome:SL3.0:4:65011845:65012030:-1 gene:Solyc04g080860.1 transcript:Solyc04g080860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNDFSDASNVGGASGAVGVNNPKTKVSLEKGMHHYPVTKLEDLQRENSTGEENERKREKG >Solyc03g078190.1.1.1 pep chromosome:SL3.0:3:51593706:51594359:-1 gene:Solyc03g078190.1 transcript:Solyc03g078190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRVKSQRGRKLGQEEGKPTETSKMATESSSKSVGSKGEVELSMQSGDLTLKQLKNKSQIGTGMSSTTEKAQSNGTVPQNKEIERQQQNQASTIWKGIMQTASDKSPMESSGGKHSWEEEVEEDIASSDKPKSIWDNFDIAKLANAGYKLDFVPPTKKGDIIEIKLEDIESEIMYWVNVVVCYVLGAHPPFQVIKGYIKRFWGKHRIDKVAMLKMG >Solyc01g103866.1.1 pep chromosome:SL3.0:1:92269783:92274539:1 gene:Solyc01g103866.1 transcript:Solyc01g103866.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMGNITIRWDVTTSTENNHHKVLFPDVIQIQLIIEVYSPKMLAAFIDGSCSLTRQNLCYKKIIFHFYVSYRSVCLSQIRVSIFNYQLFRHVEQPGWKLSWDWHGKEVIWQMWGAETTEQGDCSAIKGDTLPHCCLKEPVILDLLPGAPYNKQVANCCKGGVLTSLTQDPEKYVSSFEMIIASASNDGSGPRMPENFTLGIPGYTCGVAVKVPPTKFHEDQGRRQTQAVAKQLCPAPYAVVVVRDSAVQLNIVISCSSNMSCVWNYRRGEVPPVLQLGHNELPTPILECTRHMCPIQVHWHVKQSYREYWRVKMTIRNLNLVRNYSQWNLVVLHPNLRSITQVFSFDYKPLDQYGDINDTGMFYGIKYYNDMLLQAGRSGVVQSELLLHKDAGIFTFNEGWMFPRKISFNGYECVLPSPDKYPMLPNISQFLAPPILIIIVFSFCLILTIF >Solyc11g072100.2.1 pep chromosome:SL3.0:11:55662805:55664899:-1 gene:Solyc11g072100.2 transcript:Solyc11g072100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAEVSEASDLIDFLVNKGNGVKGLSQMGLQIVPQKFIQPHEERLDFTQITSSESIPILDFSNFDDPKVAESICDAAEKWGFFQIVNHGIPIEVLENVIEAGHKFFGLSVDERRKYLKENSPTHTVELKTSFSPFAEKVLEWKDYLFHIYDCGDDDDESSKLWPAVSKDQVLEYMKWAKPIIVKLLKVLLEKLNVKQIDEPMKSVVMGTLIVNLIHYPVCPNPELTAGAGRHADVSSITMLLQDDVGGLYVREPKGDGWIHVPPVKGALVINIGDVLQIMSNDRYKSVEHRVFVNASRNRVSVPIFVNPAPDAVFGPLTQVLENGEKPLYKHVVYSDYFNYFFSKGHEGKQTIEFAKL >Solyc07g064990.3.1 pep chromosome:SL3.0:7:67062622:67065181:-1 gene:Solyc07g064990.3 transcript:Solyc07g064990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLGDNNNNNVVVSNLKLERMLSMKGGKGEASYVNNSQAQGQHARSMLHLLKDTLDGVQLNSPEIPFVIADLGCSCGGNTIFIIDVIVEHMSKRYEATGQEPPEFSAFFSDLPSNDFNTLFQLLPPLANNGCGSMEECLASNSHRSYFAAGVPGSFYRRLFPARSIDVFYSAFSLHWLSQVPEIVLDKRSPAYNKGKIYIHGANESTANAYRKQFQTDLAYFLGCRSKEMKRGGSMFLACLGRTSVDPTDQGGAGLLFGTHFQDAWDDLVQEGLITSEKRDKFNIPVYAPSIQDFKEVVEANDSFKINNLQVFRGGSPLVVSHPDDAAEIGRALANSCRSVSGVLVDAHIGEQLSDELFTRVEERATCHAKELLQNLQFFHIVASLSLV >Solyc05g043230.1.1 pep chromosome:SL3.0:5:56418482:56419570:1 gene:Solyc05g043230.1 transcript:Solyc05g043230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVNNVLVDNPDVEVEEETHIQQYEVLEDDDILKDKRGNNYIFEPPSTVDVALVGTVSAKISFADTLKDPQAGEEVNDEVDQRTDEDASKEVIGDVVEIRTKKTEQSSSVQDIFDKSQHNIPLQKDVPVNIDTSNSTTSTSISDETQEAIGVLIAGIHSFVCSTI >Solyc11g042800.2.1 pep chromosome:SL3.0:11:34501555:34503805:1 gene:Solyc11g042800.2 transcript:Solyc11g042800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQETKKGRAEAGARKAADELHDVNKATSEKGSTVHEEPPFNQGHDQGSAGVIGGIFKSVKDTITGKAHDISDTTRESEDVAAQKIHGQNEGPKELYEETEDNARKRMQQLKLKEEGIYDEARQRAEADRETAAARGSAAKKNIYSAMGNLTGSIKEKLTMPSDTVEETRAARELGGPKRGMRTDVDEGSPVARSGFVFTTARDDTSS >Solyc06g076270.3.1 pep chromosome:SL3.0:6:47529423:47533364:1 gene:Solyc06g076270.3 transcript:Solyc06g076270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQKRLDYGFNGYQVPPIPRATRSVRRRGIIKRKADGNGTCHFDLLATVAGKLLGEGESSPDSKGSVKGKEKGAIVKDEKVKEAEDKSLKEKSYTEGCYERGFFISELVSQAPVVNRSLSELPHVQNDTISRPASASMSSDCSEKLLFAKQFVNGESSEEHENFCSKTEREASGCGVFSSCTLDTENDKQMKIELSNKAKVLTDKGTAISSSKFPDVWDKKPSTLVTSDENVKLSLSTYPAPSRSFPVIRDNVKLAYKDDDENSGCTQPSTPNKASGAAPCLRDRPIKKLLASKYWKENLKFDDEGHANSGGKAMHVYYNRNIGYKRDGYKHQRSQRDFPFKKRKVFKCGSFSNSDGEMSTDGISSSPTNDLHGNASGSSKASSGGCAAVGTSVFSGGRPFLRPGDSHVKFRIKSFKVPELFVDIPENATIGSLKRTVMEAVTAILGGGLRIGVVFQGKKVRDDNKTLLQTGISHDYKLDALGFSLEPNPVQTSQPLGQDCRSCVLPYETPQPLTRCPSPSTVIHTGIHQGRSDDHTGTSLSTFLESDHESAPSPHYAALEKISANSRALVPLTAVNAEALSAVPLRKPKRSEATQRRIRRPFSVSEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQAKQQMKQPSETYLLL >Solyc04g017640.1.1.1 pep chromosome:SL3.0:4:7684113:7685432:1 gene:Solyc04g017640.1 transcript:Solyc04g017640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGATSPQADVMKSSSELYWVHSIIDISGGGLSMTPGSWLGTTSHEESTNKNPFVIPYTLADNFSGEEYVKYYVPRWVSIGPFYYGQSLYAGMENFKRKAVRELLLLNNNCSADEELASVEKVYRSVEQDLRSARDFYKDIPSWNTISNSEWCQMMFRDGCFIIYFITSTGKRSWLMNRDRDLVWRDILLLQNQLPFKLIEVLACAFECKEFTDFQLQIPPVLDNYIHKGFLYKPPNPVHLLQYYIDMWTNVLLNYHILGEEEEEEEEDHQPFSVTDLKKVGIRCSSAISDHCKDIHLKSSILSGKLFLPKLIINESTMTLFKNIVAYEYSYRYEDTFFTISDYLNFMSMLINGEEDVKELHARGVIQINLKFSDDQVINFMRDITTHIMSTILKLLSMSNDRFLLTAKVEIFSLYELAMLNLSKGTLVVHGVSLCSLL >Solyc10g055050.2.1 pep chromosome:SL3.0:10:56235290:56236609:1 gene:Solyc10g055050.2 transcript:Solyc10g055050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSIVVEKVTDYGEAWLTSFDTTTEHVETVRQGITEVERGCFYGGCPNLKSQYSLSRRANKITLELIQLQSEGTNQNAFSFDRPVQSHEAIPRNSSEVFASRNFLEDEFMAALKDDGVTMIGICCMGGVGKTTLANKIRQKAKQERMFNDVVMVIVSQQSDPKRIQDEIARGVRLTLEGDDMLSRRDRLCTRLVDLNSHILIILDDVWKALDLKRLGIPSGSNHQHQCKVIFTTRF >Solyc10g081970.2.1.1 pep chromosome:SL3.0:10:63015386:63015802:-1 gene:Solyc10g081970.2 transcript:Solyc10g081970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNGAYYGPSIPPPSKSYHRHGRGSSCNPCSCFFGCLCNCIFQVIFTILIILGVIALVLWLVLRPNKVKFYVTDATLTQFDYSTTNNTLYYDLALNMTIRNPNKRIGIYYDSIEARGMYQGQRFASQNLERFYQGHK >Solyc03g093970.3.1 pep chromosome:SL3.0:3:57110436:57120901:1 gene:Solyc03g093970.3 transcript:Solyc03g093970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLANKKRKKKGESELRKRSAVSSTMAKQKETSAMEIDDQSSIPSDQISNPKFSVNVLQLLKSAQMQHGLRFGDYARYRRYCTARLRRLYKSLKFTHGRLKYTKRPISITTVTEVRFLHVVLYTAERAWSHAMEKKTLPDGPNARQRSYLIGRLRKAVKWASLFQELCSIKGDSRTSLEAEAYAAYMKGSLLFEQDQNWDVALKCFKSARAVYEELGKYGDLENQVLCRERVEELEPSIRYCLHKIGESNLQTSELVSIGEMEGPALDLFKAKLEAAMAEARSQQAASMTEFHWLGNRFPISNAKTRVSILKAQELEKDIHGSAADSLPAEKKLVLYDKIFAAYHEARSCIRNDLVTAANSENVKDELSGLDKAIGAILGQRTIERNQLLVKIAKSKLNKVRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKMEEIALAEECELKSMIFRAERCFYLAKSYSSAGKRTEAYALYSRAHSLADAALMKLQSANAADQVIINELRTLCNESRSNSYVEHAKGIMEEEKAPENLSKKISNISLNGTDKKMEKLLMEKLDTYESAVGEANMKVAPRIETFPPAFQPVPRNPIVLDLAYNLIDFPSLDARMKKDKKGFISRFWG >Solyc01g065610.1.1.1 pep chromosome:SL3.0:1:71798539:71798865:1 gene:Solyc01g065610.1 transcript:Solyc01g065610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIIIGISSLIDTTLNGALLQIISYGFIGAALFFLVGTTYDMIRLVYLDEMGRITIPMPKVFTMFSRFLMASRALPCMSGFVAELIVFFRRITGQKYLLISKQLIIF >Solyc11g006910.2.1.1 pep chromosome:SL3.0:11:1430930:1432627:-1 gene:Solyc11g006910.2 transcript:Solyc11g006910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIALPSSSLLKVTPSMIFTKPLCSSISKTFGLKSPPSFKFITMATHRVKIISPDGNEKEIEVSDDEYILDAAEEAGLELPYSCRAGSCCTCAAQLASGSVDQSEGAFLDDEQIEKGYLLTCISYPKSDCVIHTHKEEEVH >Solyc06g084510.3.1 pep chromosome:SL3.0:6:49632512:49640455:-1 gene:Solyc06g084510.3 transcript:Solyc06g084510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRTAPFGHTQPNFTTYLYSRTFYMDEDGENFVVDQPPPPEEELDRQDVGKLLYGCDHYRRRCKLRAPCCNEIFTCRHCHNEAKNALTNPKERHELVRHNVKQVVCAVCDTEQQVAEICSECGVKFGEYYCEICRFYDDNRTKGQFHCDDCGICRVGGRENFFHCKKCGSCYSVELRDNHLCVENSMKNHCPICYEFLFDSVKGTTIMKCGHTMHMECHTEMIHQNQYRCPICSKSVLNMSGTWQRLDMEIEATAMPEEYRYEVPILCNDCNSTGKAFFHILGHKCKHCNSYNTRMIGTGEDPR >Solyc03g097100.1.1.1 pep chromosome:SL3.0:3:60878906:60879397:1 gene:Solyc03g097100.1 transcript:Solyc03g097100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDAEPKLDDDQIAELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPSNDQLEDLIQKADRNSNGLIEFSEFVALVAPELISAKCPYSEEQLKKIFQMFDRDGNGVITAAELAHSMAKLGHALTQEELTGMIKEADRDGDGCISFEEFAQAMTSAAFDNSWT >Solyc02g031863.1.1 pep chromosome:SL3.0:2:27617448:27621334:-1 gene:Solyc02g031863.1 transcript:Solyc02g031863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYRMAPPKLEELRKQLKELLDAGHIKLSKAPFGDEPKTACVTRYGVFDWLVMPFGLKNAPATFCILMNRLFHSYLDQFVVIYLDDIVVYSNNMEDHVEHLCKVFEVLHDNKLCVKREKCSFAQSTVHFLGHTISHGEIRMDSDKIDAIKNWEAPTKVPELRSFLGLANYYRRFIFNYSAIAAPLMDLLKKDREWNWSGACQAAFERMKAAVTEEPVLALQYFFKEFEVHTDASDFAIGVKTSIVGRYPNQVRAQPLMVYCRSLTKLRSCSQDNSNHVSKSLMLVPRDFHQEKRTSI >Solyc01g102630.3.1 pep chromosome:SL3.0:1:91263556:91264166:-1 gene:Solyc01g102630.3 transcript:Solyc01g102630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSRVDRDSHKFIDAGNRGKTHELIRKKKSRRNHSAPPCYQGKNKFFAMSGLQERQQEITVLRLFMMCPLMPSLFVLECLFVLLIIDDRKRVQLINVLFHHAETRAVSRLHHSAGSFHSSHPINERPSVKRELVNIRNSNLQAQGECTCTHDGELKEGNHPVSCPCPE >Solyc01g094550.3.1 pep chromosome:SL3.0:1:85850994:85863805:1 gene:Solyc01g094550.3 transcript:Solyc01g094550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTESVIEFLGDVPLLQRLPSSSLKKIAQLVKVKHYDRGDYVIREGEAVDGIYFIWDGEAEVCGFCQADDENRPEFQLKKFDYFGHGLATSRQTAEVIALSKLTCLVLPHEHNNLLQPKSIWNADQERDLCPLVEHILLLEPIEVNIFQGITLPDAPRFGKVFGGQFIGQALAAASKTVDFLKIVHSLHAYFLLVGDLEIPIIYQVYRVRDGKSFATRRVDAIQKGNVVFTLLASFQKDEDGFDHQEAKMPDVPDPETLLSMEDLREMRKTDPRLPRTYRNKVSTAKFVPWPIEIRFCEPNNATNYTKSPPSLRYWFRAKGKLSDDQALHRCVAAYTSDLIFLSVSLNPHRKMGFETSSVSLDHSMWFHRPVRADDWILFVINSPTAYNARGFVWGQMFNRKGELVASITQEGLLRPARKLPPFRPML >Solyc11g071520.2.1 pep chromosome:SL3.0:11:55260733:55264830:1 gene:Solyc11g071520.2 transcript:Solyc11g071520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFIFLCFLLIFSGSIVVHAMTGTYGINYGKISDNIPAPEDVLRLLRMNKIKNIRIYDADPRVLRAFSGSGIEISVCLPNELLKEVSLNGSIALEWIQVNLQPYLPGTSIRGIAVGNEILGGDTSISEALVPAVRSVYRALRRLGLTKTIEVSTPHSEAVFSSTYPPSNGTFKESMMPYLGPLLHFFNRVGSPFYINAYPFLAYKFDPSHIDINYALFQPNKGIVDPKTKLHYDNMFDAMVDATYVALEKLGYTKMQVIVSETGWASKGDDNEAGADPKNARTYNFNLHKRLMKKKGTPYRPKMLAKGYVFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFKGLISSAPSKDFVLQGRFWSSQSLIIVVCTIILVLFM >Solyc07g014705.1.1.1 pep chromosome:SL3.0:7:5155997:5156416:-1 gene:Solyc07g014705.1 transcript:Solyc07g014705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDITFNILLKLDVKSLLRFKCICKSWCTLIEDPKFIKHHYDMSQKDVNCHKFFLTGGEWNNEDDYFYSVDTPLQYDSVASLIESRIPRISHLSSLSFVSSSNNGIILMVFPYDLIILWNPAIDVLWTLLEASEINEN >Solyc11g028123.1.1 pep chromosome:SL3.0:11:20547617:20548965:1 gene:Solyc11g028123.1 transcript:Solyc11g028123.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLEPSALEVVLDRKGRVESLSGIIETHKRIALAGWDYGGPFGQASGAEFEEDHFGIDVLFESWKKSTETGTSMDQPDPEQGHVPPTIQVAPRRNEFGPSNQPPRVVPYPYQLDEVIGGIPFKEKDLFEVKVKILRIMEVLDPTGDWLGRRAWALQNMCTATREHSLDELHTLLLDLE >Solyc04g082590.3.1 pep chromosome:SL3.0:4:66309914:66315787:1 gene:Solyc04g082590.3 transcript:Solyc04g082590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTTVLIQILLHSFCDSGTGRSSQGDGEIGQMAIKLYTRSIVLIVVIAFLSVLPATLCIEDKCSACSAIAEELEHGLLKEKPRNHLDMRHRLDSKGQREGKLIDYRGSELRVVELLDDLCEKMQDYTLEKVDSSTNTWIKVSNWDLLKTNKQEARAHSKALSSFCGRLLEQTEDELAELIKKGSVQVGDVTKVLCEDLSNYCKGTSSSNKAVDDEL >Solyc03g046360.2.1 pep chromosome:SL3.0:3:12346664:12347541:1 gene:Solyc03g046360.2 transcript:Solyc03g046360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIEAQHVEALEILLQELCGVRKQGLRIPELCLKSVPNLGSVESEIRILCDLEKPEDTWTIRHVGGPMRGSGAEKSSFLVRPVQESKVTKNALIFF >Solyc03g115970.2.1 pep chromosome:SL3.0:3:67014499:67016656:1 gene:Solyc03g115970.2 transcript:Solyc03g115970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSDYCHCRCGTRVAFLKDYISTNDELNFENKGIFTDMFNVEVPENDESYHHVVDGKTLVDTFCCNCRNRLGRKFIAVPQGSRFEQGQFLVILNKLSYTNGHDLDPYEEDLDQDGEENVDQAGGPNDDNQDGGANEENAADNQLLVPNNGHIGRNGNI >Solyc02g080420.3.1 pep chromosome:SL3.0:2:45199359:45205579:1 gene:Solyc02g080420.3 transcript:Solyc02g080420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPANSMVPPPQYQQTQQWMAQPPPQYQVAPPQQSGYYYQQPQQQGGVPPPQQQQLQYNASGLAATAGSVQPTSADEIRSLWIGDLQFWMDEQYLLNCFAQTGEVTSAKVIRNKQSGQSEGYGFIEFISHAAAERNLQAYNGTLMPNIEQNFRLNWASLGSGEKRSDNGPEYTIFVGDLAADVSDYMLQETFRANYPSVKGAKVVTDKATGRTKGYGFVKFGDESEQLRAMTEMNGQFCSTRPMRIGPAANKKSMGGQSQASYQSSPGTQNEDDPSNTTIFVGNLDSNITDEHLRQIFGHYGQLLHVKIPVGKRCGFIQFADRSCAEEALRVLNGTQLGGQSIRLSWGRSPANKQQPQLDPNQYAGYYGYTAGYEGYGYAPPAQDPNQYYAGYAGYGNYAQPQQQQQVLQHPQV >Solyc10g052585.1.1 pep chromosome:SL3.0:10:52896858:52897196:1 gene:Solyc10g052585.1 transcript:Solyc10g052585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVIYFSENLRRFSTSIGVSKGHCAVYVGEIQDVLAKVEEEFGFDHLTIPC >Solyc12g016100.2.1 pep chromosome:SL3.0:12:6094973:6122676:-1 gene:Solyc12g016100.2 transcript:Solyc12g016100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGYGMNNASGSGAMAVDNSSVGSNGSHTDILNQQGNHIHNNYSVAASVVGGRVSRLSDDALAQALVDPQFPTTGLVICDEWTIDLSRLTIGQAFAQGSFGKLYNGTYSGEDVAIKLLERPEHALEKAHFIEQQFQHEVRMLANLKHPNVIRFVGACRKTMVLCIVTEYASGGSVRQFLAKRQNRAVPLKLAVKQALDVAKGMEYVHGLNLIHRDLKSDNLLIAADKSIKIADFGIARIEVLTEGMTPETGTYRWMAPEMLQHRSYTQKVDVYSFGIVLWELITGLLPYPSMTAVQAAFAVVNKGARPIIPNDCLPVLSNIMTCCWDFDPDKRPTFSQVVKMLEAAEIEIMTNVRRALPTTDNKGVYANELCIVTEHTRGGGSVCQFLQNQVVPLKLVVKLVLDVEHVHALYLNYRDLKSDNLLIAADKSIKIADFGVARIQVDKMLEDLKSDNLLIAVDMSIKIADFGVARVEVLTERMTPETGTYHWMAPEMIQHRSYTQKVDVYSFGIVLWELITRLLPFQNMTAVQAAFAVVNKGVRPTIPIDCLPWTIDLSRLTIGQAFAQRFFGNLYNGTYNGEDVAIKLLERRKHALEKVHFIEQQFQHEVRMLANLKHPNVIRFVGACRKTMVLCIVTEYASGGSVRQFLTKRQNRVVPLKLAVKQTLDMAKWMEYVHDLNLIHRDLKSDNLLIAADKSIKIADFGIAQIEVLTEGMTPETGTYRWMAPEMLQHRSYTQKVDVYSFGIVLWELITGLLPYPSMTAVQAAFAVVNKGAHPIIPNDCLPVLSNIMTCCWDFDPDKRPTFCQVVKMFEAAEIEIMTNVRRARFSSNSLKIDRRQKTSRVIITIEAHFMEQQFQHEVAMLANLKHPNII >Solyc08g029290.1.1.1 pep chromosome:SL3.0:8:37215963:37216193:1 gene:Solyc08g029290.1 transcript:Solyc08g029290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 1 [Source:UniProtKB/TrEMBL;Acc:K4CJZ1] MVKSAMIGGSCNWYVPIVIGAPDMAFPRLNNISFWFLPPGLLHLIRSDLVELGSNTWWTVYLPLSGITSQSRGAIN >Solyc06g073320.3.1 pep chromosome:SL3.0:6:45318209:45321739:1 gene:Solyc06g073320.3 transcript:Solyc06g073320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-L-galactose phosphorylase [Source:UniProtKB/TrEMBL;Acc:H9D2D6] MMLKIKRVPTLVSNFQKDEADEIAARGAGCGRNCLRNCCLPGSKLPLYGFKNLSYGKSVADETKESPIDFLESLVLGEWEDRQQKGLFRYDVTACETKVIPGEYGFVAQLNEGRHLKKRPTEFRVDKVLQPFDGSKFNFTKVGQEELLFQFEASEEDEVQLYPDAPIDPEKSPSVVAINVSPIEYGHVLLIPKVLECLPQRIDRDSFLLALHMAAEAANPYFRLGYNSLGAFATINHLHFQAYFLAVQFPIEKAPTQKITVTDAGVKISEMLHYPVRGLVFEGGNTLEDLADVVSDSCICLQENNIPYNVLISDSGKRIFLLPQCYAEKQALGEVSAELLDTQVNPAVWEISGHMVLKRKEDYEGATEANAWRLLAEVSLSEARFQEVTALIFEAISLSVEENEDGTDGSPEDLDVTPPQPMEEIDGLNTHSTMVPA >Solyc12g088450.2.1 pep chromosome:SL3.0:12:64794659:64804003:1 gene:Solyc12g088450.2 transcript:Solyc12g088450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYFDRWEKDPFFSAAEEVQESADRMESTYRTWIHALKDTSGRWNSDELCRDLRTTLGTAKWQLEEFDRAVSSSYSNTSTDDAKERHGEFVIAMDNQIKKVEKSLNESAFSQGNQWVRLDERELDELAVFLSGPSTSSSFSDKSSVKVDEVEQKPALWEEDCKQRMPEYSKSSSNLVDGSQVDTKDEMYSGHRKTASACGDISAWKIAVADDICGKQPVPPPRKIPSIQGLLNCVESATKLKWSKNGYRKLRFNSDDHQEADCTLPQSLPLTRGINTCCERSKSCLDCCDERYQKQLNGCFIGVPYLSDEPIFLQTKKKACWVRKINKQLPIIYTLYRQAVKVLAKSPTFAKDPRSLQFEADVNRLFLYTSYNRLGKDAVETDAEEIIDMAGKASLADQQKQVQENVHSQITSFCKYMDHILQPDLTVKDKPETPSSENNSSPRRSGLSFAIGRTAPLKDHSAIPESKPLKRTEVSQSLKDLMGYTLEVKPSQIPHEDAGQGLFIRGEADVGTVLAVYPGVIYSPAYYRYIPGYPRVDAQNSYLITRYDGTVINAQPWGAGGESREIWDGSSLPEPKHIMQADGKGSERIWKMLSKPLDGTRLGGNHEVLERRNPLAFAHFANHPARDMVPNVMVCPYDFPLPEKHMRAYIPNISFGNGEEANMRRFGTFWFKSWKSGKNGLDVPVLKTLVLVATRAISNEEILLNYRLSNSKLRPSWYTPVDEEEDRRRWS >Solyc06g069890.3.1 pep chromosome:SL3.0:6:43629608:43635255:1 gene:Solyc06g069890.3 transcript:Solyc06g069890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDKGKKQKVEEESYIDEKLIFSIEKLQEIQDDLDKINEKASEEVLEIEQKYNKIRKPVYDKRNDIINSISDFWLTAFLSHPVLGDLLTEEDQKIFKFLSSIEVEDSKDVKFGYSITFNFKPNPFFENSKLSKTYTFLEDGPTKITATPIKWKEGKGIPNGVAQEKKGNKRSHAEESFFTWFSEVNKKDDSDDDENEVLEIQDEVAEIIKDDLWPNPLTYFTNVSSILHLLFP >Solyc07g047610.3.1 pep chromosome:SL3.0:7:58954120:58970294:-1 gene:Solyc07g047610.3 transcript:Solyc07g047610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcriptional adapter [Source:UniProtKB/TrEMBL;Acc:K4CEW8] MGRSRAVHQSTDDDPSQRSKRKRAVPNVESFDTAATGQILTEGKKALYHCNYCNKDISGRIRIKCVVCSDFDLCVECFSVGAEVQPHKSNHLYRVMDNLSFPLICADWNADEEMLLLEGLEMYGLANWAEVAEHVGTKSKQQCIDHYKSTYISSPCFPLPDMSHVMGKNREELLAMAKDQGYAAPGGVNVKEESPFSAGIKMEDQREENSTGLASVGGSASGTLAGAGKRTSSLLHSKENHDSIKVEGCPADRSVGEKKPRSSVDEGPSMTELSGYNSKREEFEIEYDNDAEQMVADMEFKETDTNAERELKLRVLRIYNKRLDERKRRKDFILERKLLHPDPFEKDLTPEEKDICRRYRVFMRFSSKEEHEDFLRSIIEEHRIVKRIRDLQDARIAGCRTLAEAERYVEQKRARESEENIRRLKENTQSGPSGKYLQRAGHFKVEHDSSPRGVGRGPEMMDCCNDLSSTTAPHGVGSAVDIWDVSGFSGAELLSEAEKKLCDEMRILPAHYLNMSQTMSMGIFNGNITKKSDAHGLFNVDPNKIDKVYEMLVKKGLAQA >Solyc06g036300.3.1 pep chromosome:SL3.0:6:25893953:25894940:-1 gene:Solyc06g036300.3 transcript:Solyc06g036300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSAPACRASMNSFVPDLAIVPRLLTRSVQPQRKQRNKANKEFRLRIEFTLVRQALEPDLIQCIRRIANEFTKENLLVTVESIDDQTQQLPEKQMSLPQPSAHPPLMLKAQQNQKKKKKADKVKMNKKLM >Solyc01g097730.3.1 pep chromosome:SL3.0:1:88328202:88330896:1 gene:Solyc01g097730.3 transcript:Solyc01g097730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVALFGQRVIQRFSYFSSFSRFLCNQQSNTDSTGNGFGRIEDYLNESWKSMNSNNNMKDNVDFFGVNGFYEGHSQQNFSSRHNFIEKVRNEASMILEILQQDGPGFDAKAALENSHITVSSLLVREVLLGILKIINYANKNRCAKLGYKFFVWSGQQENYRHTANSYHLIMKIFAESDEFKAMWRLVDEMIEKGYPTTARTFNLLICTCGEAGLARKVVERFIKSKTFNYRPFRHSFNAILHSLLGVNQYRLIEWVYQQMLVEGHIPDILTYNILLCSKYRLGKLDQFHRLLDEMGRNGSW >Solyc05g024483.1.1 pep chromosome:SL3.0:5:32195917:32198423:1 gene:Solyc05g024483.1 transcript:Solyc05g024483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSWLMNNVSKELMSGILIHSNGALVWSDLKERYEKVNMSRIFHLHKSIVTRNQDLEETVLEAKVLEVTTYFIDDIQDIVLLDESQASALTIRPVGLDYIDDPIDTTRHSFDIDLRGHLPIPNLLMRNKCLSSEKQQSTRTSRPPLWQ >Solyc06g050140.1.1.1 pep chromosome:SL3.0:6:32587936:32588208:-1 gene:Solyc06g050140.1 transcript:Solyc06g050140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSKLCYKVSQITVTFLVVFLFISQRSCARPFNIVLVTGKDSNSWSDEKYFDEDKMNVRRERVNMLLNRLPKGKKPVSGPSKRTNNLKD >Solyc11g033250.2.1 pep chromosome:SL3.0:10:27288211:27291166:1 gene:Solyc11g033250.2 transcript:Solyc11g033250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPLSKTTSPNSIRGPFFQLLTHYYTDVVMSKITSASQLEDESGESPIVDFSKIYMDEVGGVKKACIYGLGSQAVF >Solyc09g065000.3.1 pep chromosome:SL3.0:9:62905540:62907639:-1 gene:Solyc09g065000.3 transcript:Solyc09g065000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSSSSAVLSSITKDHETLLYTLSLYLNSPPQLTNLILDLSASYSWIDCSNSSTHRSLSCNSPLCSAIGSRACAKCFHSTNSDDCSTSPCVLSPLNSVTHKSSTGKAIVESIALPVTDGRNPGQVRVFSEFLLSCSKKSLLKGLTKGVVGLAGLGRSRFSLTTQVSTSLSSTRTFALCLSGSPSAPGVAFFGSTGPYYFLPEIDLSKTLRYTPFIPNPVQSNYFINLTSIKVNGVTVQLNHNNISALDQQGFGLTKLSTVTPYTTLHSNIYKPFTETFINESTKLNLTVTNPVEPFKVCYNADEVLDTKVGPTVPTVDLVMDSDDVFWRIFGSNSMVRIARNGVDVWCLGFLDGGSKTETSIIIGGHQMENNLLQFDLEQEKLGFSSSVLAYSTSCSNFNFTTSGNLS >Solyc10g085310.1.1.1 pep chromosome:SL3.0:10:64657715:64658356:1 gene:Solyc10g085310.1 transcript:Solyc10g085310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSSSDSSVLLQRISSNNTHDFAYKQSHHQLQRRMPIPCSTEVPDSVSRYHTHTVSPDQCCSAVIQRISAPVSTVWSVVRRFDNPQAYKHFVKSCHVVVGDGDVGTLREVRVISGLPAASSTERLEILDDERHVISFSVVGGDHKLANYRSVTTLHTEPSSGNEAAAETIVVESYVVDVPPGNTREETCVFVDTIVKCNLQSLSQIAQNSAR >Solyc08g068770.2.1 pep chromosome:SL3.0:8:57962612:57963926:-1 gene:Solyc08g068770.2 transcript:Solyc08g068770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKDADSYNFPLAPSLQQPIPSEAITSDASSDVTITGKIYTRVRLATKSDLSHIYRLFYQIHEYHNYTHLYKATESSLANLLFKENPLPLFYGPSVLLLEVSPTPFKEAKNEEFNPVLTTFDLKFPVVEGQVEEFRSKYDDKSDAYIAGYAFFYANYSCFYDKPGFYFESLYFRESYRKLGMGKLLFGTVASIAANNGFVSVEGIIAVWNKKSYDFYINMGVEIFDEFRYGKLHGENLQKYAHNKDKNDEGNQV >Solyc07g005765.1.1 pep chromosome:SL3.0:7:608427:609101:1 gene:Solyc07g005765.1 transcript:Solyc07g005765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVLDSRFFDEFRVGGGWYSTCSKEDVGGSLARRSVRSMNGGTATERPRAMSDHVLMKWMDERPSDNVWNTPPPRATPPHLKRYIK >Solyc07g055730.3.1 pep chromosome:SL3.0:7:63785988:63796591:1 gene:Solyc07g055730.3 transcript:Solyc07g055730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQIVRRRVNMITAHLTAHDDISASATHLFPMSCSSSLNSAIPRRYDNRMNYARQSSSSQACFMRTSEQGSCTESTAAFKASDYAKKSSRAFEGPMFSRPANNCKHNGTVEEAPKFARPRFQLKERRNELESNGSEWSPKMDVAESGSMYVVSIELPGVNINDIKVEVSHKSLIVSGNRSTQCKVASYLNGLVSAYHKKEIVQGPYRVFWPLPSNANKNRVSAEFVWDGSDFMRRIKNKKVLFVGDSLSLNQWQSLACMLHSAFPSLNYSVTRNGPLMSTFSIPSKQVRLSYVRNALLVDIVKEKSKRVLKLDSVAISSKLWTGYDILIFDTWHWWIHTGRKQPWDLIRDGKILRRDMDRLKAYEKALITWGKWISNNINFKKTKVFFQGISPDHSNGTQWGKKSNQMQCKGEQNPVKKLSYSGGEDEADILLGKILSKTKKPIHMLKLNKMSQYRVDGHPSIYGNPRYKGMDCTHWCLPGVPDIWNQLLYANLI >Solyc05g009310.3.1 pep chromosome:SL3.0:5:3443226:3445227:1 gene:Solyc05g009310.3 transcript:Solyc05g009310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSERKLASAMGGKTTRACDNCIKKRARWYCPADDAFLCQNCDASVHSANPLARRHERVRLKTSSLKQTSSPSSSSDDYFPDLESPLSISSVSVSVSVPSWHRGFTRKARTPRQGRKASKSAGDGDVIRKNPIHLVPEILSDENSLDENEEEQLLYRVPILDPFVGHLYSSSTAPTDADSEFKLESKEMTLQDDICNVDLNRFHEMLPSEMELAEFAADVESLLGKGLDDESFDMEGLGLLGVCNKEENSMISHEKVKIEDEGEMEVVTKTTSPTTHNHQYNHTHDHDIDINEDTFEFKFDYDSSINIIGDDEVVTNDENKKKILLNLDYEGVLKAWADQRCPWTNGERPELDSNESWPDCMGNYMGIMNENVTIVDRGREARVTRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRANFVTTSTPNYPLVK >Solyc01g065670.1.1.1 pep chromosome:SL3.0:1:72050980:72051183:1 gene:Solyc01g065670.1 transcript:Solyc01g065670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHFSFIHWSSLTCVKNKIVKLYKHVTPLEEHPEILSKGFTETRVSTIVVKDKLLFVGGLQGELII >Solyc01g094640.3.1 pep chromosome:SL3.0:1:85950422:85958267:-1 gene:Solyc01g094640.3 transcript:Solyc01g094640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRSHRHALRYEKDRAGCIWGLISIFDFRHGRATRKLLSDRARGSKPVLAGSASSSSMQEIPNPSDDRLNIEDDEESEVAVPDPRTSVKELMEEEMVNEQSLKDQCNGSEIDTEDVDSQKSWRSRKNSRRTRRAFSRPSNTLSHDLDDAGNLRSEAPCHQDSGGTALDDLDIVMEELRQIHQKNRKFVKLRQGSHNAHNNQSDQTHPVVEEKVNAAIEVFINQRSRNNKQLGEDNKTLQSKEFMDALQTLSSNKDLIMRLLQDPNSRLVKQIGSLEDAQFEEKQRPNLISESNMSEENRVHAKTDDVINHKQRKFFRRRSKSQEVYPPMGNETPRSSSKIVILKPGPTGLQSPSAQINVNTPARSRYTEKHTIQNERNTSQFSFTEIKRKLKHAMGKDRHGISPEGTIRRFPSEQLKRCNSDRGVFGENLGWSSPNRDHFYTEKFAKSPLGMKSGDKIVKSKGVEAVTLTGTSDVPRPEMSNIYIEAKKHLVEMLDNEDETTEASSGHLSKSLGRILSFPEYNSSPGCSPRNNSKDGMLPFQVRKPLTDSIQVETDDRLQHVREDHVTGPSPSSQDLEIESSCSDKYPNESTKSASTNLDVPCENGNTMDEIAASTGHTSPEGDLTEEAIKTRCQVEGEILSVPIDREIQIDGDATNAVDDGNSPHVFEVSFDCLKEHPSGKDQNSLSSSPASPAESSSLVKVEDPDSAVDRKERPSPISVLEPLFLEDDVSPASTICRPVDPEIQPRKIHFEEPVSSISEQDCPIVCFENEESAFEYVEAVLLGSGLSWDEFLLRWLSSDQILDPSLFDEVELFSSRSCHDQKLLFDCANEVLKAVCERYFGCNPRVSLGKHNIRPVPKGMDLINEVWEGVEWYLLQYSAPHSLEQLVKKDMERSGTWMNLRLDLGHIGVEMGEIILEELMDDTILSISGDTLECAEDVLFPVTSETESSVDQ >Solyc12g098150.2.1 pep chromosome:SL3.0:12:66750043:66754634:1 gene:Solyc12g098150.2 transcript:Solyc12g098150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQYKNLGRSGLKVSQLSYGAWVTFGNQLDVKEAKTLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGSGPNDKGLSRKHIIEGTKASLKRLDMDYVDLIYCHRPDTSTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGVAQRLDLVGPIVEQPEYNLLSRHKVESEYLPLYSNYGIGLTTWSPLASGVLTGKYTSGNIPPDSRFALENYKNLASRSLVDDVLRKVNGLKPIAEELGVPLPQLAIAWCAANPNVSSVITGATKEYQIQENMKAINVIPMLTPAVMEKIEAIVQSKPKRQDSYR >Solyc06g073350.3.1 pep chromosome:SL3.0:6:45341443:45344107:-1 gene:Solyc06g073350.3 transcript:Solyc06g073350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLTISNTCIRLHNRLSTIYGQLIRTGLCFCNRYEFGKELGRGEFGITYQCVDKTSGENVACKTIAKSKLRTEIDVEDVRREVVIMRHLPKHPNIVSYKEVYEDKDAVYLVMELCEGGELFDRIVARGHYTERAAALVTKTILEVVQVCHKHGVIHRDLKPENFLYANVNENAQLKAIDFGLSIFFEPGQRFGEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEEGIAHAIVKGTIDFNRDPWPRVSDEAKDLVKGMLDANPYNRFTVEEVLDHHWIQNADKVSNVCLGEGVRTKIKQFTLMNKFKKKVLRVVADNLPLDQVHGIKQMFYMMDTDKNGNLSFQELKDGLHMMGQTVAEPEVHLLMDAADVDGNGMLNCEEFVTMAVHLQRLSNDDHLKQAFLQFDKNKSGFIEYEDLKISLFDDSLAPQNDQVINDIIFDADLDKDGRISYQDFKVMMSTGTDWKMGSRQYSKAMLNALSMRLFKDKSMQLTN >Solyc12g014420.2.1 pep chromosome:SL3.0:12:5390271:5405218:1 gene:Solyc12g014420.2 transcript:Solyc12g014420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFTTLTSLFLLLSLLSIANSGSIGINYGRIANNLPTPSEVVQLLKSQGIHRVKLYDTDSAVLTALSGSNISVSVALPNEQLSDAASKQSFTDSWVQSNIVRYYPKTNIESIAVGNEVFVDPNNTTKFLVPAMKNVYASLVKYGVASSIKVSSPVALSALQNSYPSSAGSFKTELIEPVIKPMLSFLKQSGSFLAVNIYPFFAYVANTDTISLDYALFRDNKGVTDPNNGLVYKSLFEAQIDAVYAAMKALNFDDVKMEITETGWPSKGDENETGASTENAAAYNGNLVKRVLTGSGTPLKPDEPLNVYLFALFNENQKPGPVSERNYGLFYPSKEKVYDITLTLEGLEAGVNNGSKSQVVKIPVPSPSSSPSPAPVVGGGVEESKVVNTWCVANEKAGAEKLQAALDYACGEGGADCRPIQPGATCHNPDTLEAHASYAFNSYYQKKARGTGTCDFKGAAYVVTQHPKYGSCKFPTGY >Solyc09g008970.1.1.1 pep chromosome:SL3.0:9:2346453:2346863:1 gene:Solyc09g008970.1 transcript:Solyc09g008970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVQETKNGKVSIKTECRANEEGRKHIEKLDLDTKNVDTVKYVEKKLTEKGVQRLERHPSDGLPLKHDPKKGHGGKYTWEGPDKYNADERDLNHNVNEEAEDDEGGVIVGEIEVAKLAEEGVARIEIDPNLKID >Solyc06g064860.2.1 pep chromosome:SL3.0:6:40549785:40551389:1 gene:Solyc06g064860.2 transcript:Solyc06g064860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCGTLFDGWEFDKTEARTVVLIGCAGDGKSSTGNSIVGRNVFRSMPHSAGVTSTCQHQSTNLPNDQILHVIDTPGLFDFSAASEIVRNEFLRCVDLAEDGIHAVLLVLSVRYRFSKEQQAAVQSFQEFFGGKIIFTGGDDLEDHDVTLDDYLGSDCPEPLKETLAMCQNRVVLLDNKTQNHNKRSEQLRELLFEVNLVVEENDGKPYTNNLFTKSKEGLMNFHDEKANVLEEDIEELKDQMQRSHEEQFSRITEMVASKLEEKMQRLEKQLNMDRAAEQKVKKDQKKSKHKNRRLNDLHESSILELYAPFNFQHTCTTPNFWPGGLLLSFQTQFVL >Solyc02g005180.3.1 pep chromosome:SL3.0:2:7348734:7354686:1 gene:Solyc02g005180.3 transcript:Solyc02g005180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKPESSKLLCGSSSKVETRSPITFVLLLSTFAAACGSIAYGFAVGYSSPAEAGIMDDLGLSLANYSAFSSLLTLGGAIGALISGRVAESVGRRVTMWLLELCFIIGWLSIIFAKNIWWLNAGRLLMGIGAGLHCYVAPIYVAEITPKNIRGSIPCFIHVVCIFFIPESPRWLAKVGNGNLVEASLRCLRGDDYDVSQEADDIKDYTETSQKLTEFRFLDLFNLKYAHSLIVGVGLMLLVQFGGTDGISSFAGSIFKAAGCSTGFASTMMAMIQLPFASSSILLMDNIGRRPLLMVTAAGACFGSFLVGLGFLLQDYQQSKELTATLVFTGILVYSAFFSAGMGGTPWVIMSEIFPINIKGQGGTLVTLANWFSSWIVTYSFNFVFQWSSAGVFFVFAIFCASIVLFVAKLVPETKGRTLEEIQASMILLQ >Solyc03g059123.1.1 pep chromosome:SL3.0:3:30040243:30042213:-1 gene:Solyc03g059123.1 transcript:Solyc03g059123.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVKRLVLEKLVGSYVDDFNKLEGYAQELRDNNLGTDVIINISKEALLEHGQRKFLRMYIGIQALKSGWRAGLRPFIGLDDTFLRGKFKGILLGLIGAVSALLPKAQHRWCAKHIEANWSKSWSGVQMKKMFWWSAWSTYGEKFEDQLKSMGSVSKKAIEGLLWYPPQHWCRPFFDTVCKNYSCDNNFTESFNKWILEARAKPIIKMLEDIRIKVMKMLKKLEEEGKKWTEEYSPYSMDFHQSSEEFNEAAGPSKSKRKNVSKDKVDALPKRSKNDGKEKVVAPLIAIVDQDEVEDGIESEDEDTVLAPRVIS >Solyc05g005070.3.1 pep chromosome:SL3.0:5:65837:78599:1 gene:Solyc05g005070.3 transcript:Solyc05g005070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSKCFFVFTLFSLINVSICDPRATEAALICSNRTAAQSDRQVYVESFLEAMDSITPLVGREKFGAVINGTGNNTVYAFGECMKDLSQTDCNLCFAQCKTQILRCLPFQRLVTGGRLYYDGCFLRYDYYRFFNESLSSVDRTICGNKSFAGNQSLFSDNVGKLVRDLEFGGLRNDGFLTAVVSSGNLSVYGLAQCWEFVNGSACQRCLSDAVLKIGSCAPKEEGRVLNTGCYVRYSTQRFFNNSATDTPPAGNGGGSNRLAVILATTLGISAFLLFVSAVSFFVRRKILKQKREKKQLGALIRTVNKSKLNISYETLEKAANYFNNSNKLGQGGSGSVYKGILPDGQVVAIKRLFFNTRQWVDHFFNEVNLISGIHHKNLVKLLGCSITGPESLLVYEYVPNHSLADYVFDTKNLQPLTWSQRYKIVLGTAEGLAYLHEESKLRIIHRDIKLSNVLLDEDFTAKIADFGLARLFPEDRSHISTAIAGTLGYMAPEYVVRGILTEKADVYSFGVLVVEVVCGKKNNYVFKNTNSLLQQLWNLYGMGKSNEAVDPLLEGNFNKEEASKLLQVGLVCVQASAELRPSMSSVVKMLSENHEIPQPTQPPFLNSGSSEFSPFNLHGKRFFGQPSSSTQSSGNKLTEKAEPRSQTVQIICSTQPEHNATAFVPNFVATMETISDQMRTQGYGIALTGSGPDANYGIAQCYGDLSLLDCVLCYAEARTVLPQCFPFNGGRIYLDGCFMRAENYTFDHQYLGPEDTHVCGNRTRKNSLFQQTARQAVQQAVANAPKNNGYARAELAVPGATNETAYVLVDCWRTLSANSCRACLQNASASMMGCLPWSEGRALYTGCFLRYSDVNFLNAIATSEDSSPNVVVIVIAVVSAVVVFVLGAIIAFYMWKNKQIEKKRKGSNDVEKLVKTLNDSSLNFKYSTLEKATASFDEANKLGQGGFGTVYKGVLQDGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLVRLLGCSCSGPESLLVYEFLPNQSLDRFIFDSTKGKTLNWEKRFDIIIGTAEGLVYLHENNRTRIIHRDIKASNILLDSRLRAKIADFGLARGYMAPEYLAHGQLTEKADVYSFGVLLLEIVTGRQNNRSKNTEYCDSLISITWQHFQHARVEELFDPNLMLHNYHTSNVKKEVVRVVHVGLLCTQEVAGLRPSMSKALQMLVKKEEELPAPTNPPFIDENSMELVDSHDDSASIANISHSSFYPR >Solyc05g052365.1.1 pep chromosome:SL3.0:5:63473266:63475769:-1 gene:Solyc05g052365.1 transcript:Solyc05g052365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVHPLTGTLAVFLRGGTDGKSVEKTPGTSTFLGLLNLVVKAVAVVVSLYAGNNGKMGLVVVQLEEQDDFLYSRMAVAVRAKFS >Solyc02g093140.3.1 pep chromosome:SL3.0:2:54692847:54699869:-1 gene:Solyc02g093140.3 transcript:Solyc02g093140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPSGSCLHRTPAAFHRQLPCLLLSLPGHILAASTKFYLPLRLSDSRDTFRLASANTLTANSVPPRNGVYTVGDFMTRKEELHVVKPTTSVDEALEALVEHRITGFPVIDDNWKLVSSDLQSLPSMCETTYLKVGLVSDYDLLALDSVSGTGTADAGIFPEVDSNWKTFNEVQKLLGKTKGKVVGDLMTPAPLVVRESTNLEDAARLLLKTKYRRLPVVDGKGKLVGIITRGNVVRAALQIKRATEMED >Solyc01g112307.1.1 pep chromosome:SL3.0:1:98313306:98313513:1 gene:Solyc01g112307.1 transcript:Solyc01g112307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLQTCGQSNISTTKLIPGLGKPLKDHSKYRRLVFKLNYLMITRPYITLILANFRKHLVIVTGMQ >Solyc08g081400.3.1 pep chromosome:SL3.0:8:64592271:64592747:1 gene:Solyc08g081400.3 transcript:Solyc08g081400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINNHQSSHIDQKPNLVRIESECISSIINHHPHDKNDQNYGVIRGGDQSFGAIELDFSTNIAYATNDDHDQVQYSSLLDSENQNLPYRNLMGAQLLHDLAG >Solyc06g005150.3.1 pep chromosome:SL3.0:6:170183:173377:-1 gene:Solyc06g005150.3 transcript:Solyc06g005150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ascorbate peroxidase [Source:UniProtKB/TrEMBL;Acc:Q52QQ4] MGKSYPTVSAEYLKAVDKCKRKLRALIAEKNCAPIMLRLAWHSAGTYDVCSKTGGPFGTMRFKAEQAHGANNGLDIALRLLEPIREQFPTLSHADFHQLAGVVAVEVTGGPDVPFHPGREDKPEPPVEGRLPDATKGCDHLRDVFVKQMGLSDKDIVALSGAHTLGRCHKERSGFEGPWTANPLIFDNSYFTELLSGEKEGLLQLPSDKALLSDPAFRPLVEKYAADEDAFFADYAKAHLTLSELGFAEA >Solyc08g060975.1.1 pep chromosome:SL3.0:8:46225567:46230105:1 gene:Solyc08g060975.1 transcript:Solyc08g060975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGDSAGEITGTSMASTTIDHLHPLYLHPYDSPGSLNIEIMLTGTDNYTLWSKAMQLALLGKNKVGFIDGTYTTQDSKIFGINMIASCHHQFARSQIMLMHQLPSINQVYAMISQDESQKLAANLSRSMPESLNPTAMYTSRSNSRNKKPYNPNAFCDYCHMKVHMRSDCSKLLKCDHCHKTGHVKLDCFKLIGYPSEFKGKRDTVVAGNSTYEESFIHQHAPQPTQKEFHPAAESGMMPMPMFTPQQHQKLIQMLNKTTVGDTQSVANMAGNSYLFKDDSLQWVVDTGATHHMINDAKYLHCERLIENAGSVQLPTGDSTKRKQDKIMIVLIYVDDLLLTGNDQAMIQQTKERLQQAFKIKDLGELRYFLGLEFARNNAGILIHQRKYALELISDMGLAGAKPVSTPMELNQKLTTVEFDASISSRCPDETLKDPTGYQRLIGRLLYLTTTRPDISFVVQCLSQFMHSPKTSHMEAAMRLVRYVKSEPGLGILMASTGGNDLQVFCDADWGAYINSRRSITGYLVQYGGSPISWKSKKQVTVSRSSVEAEYRAMASTVAEIFWIVGLFDELGIKINLHFNEEIFSLSIVLHSLCVILRSNRALAMDSC >Solyc05g013090.2.1 pep chromosome:SL3.0:5:6185415:6188423:-1 gene:Solyc05g013090.2 transcript:Solyc05g013090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISHFVHKAISFYPYELDNTMLFSIFVLGIFTLVVGAIGIPFNQERVNLDTLYIEMSNNSLDWNEFLKDAIISVSISNNSLDWNEFLKDAIISVSIVYL >Solyc11g065643.1.1 pep chromosome:SL3.0:11:51466490:51469442:1 gene:Solyc11g065643.1 transcript:Solyc11g065643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPFLKEIILFQLVVEIEENEKVVQGDRNEEVGLGGGGETSSSSRAIGNEVGERSTTDVEIRSEVQHSIPSAPGFVKTFSIDKFQEAVGDPIGVVGSGFDDSGGGDELDDVAHHSVFENGIDHDDASPSLCATCQCKSCNERRAELVNRIHALTEAVNNLAPKRGINPSKMISNSCIPIEIRKRKTEISKALSTIKVISKVVNPLPRPAVQLELQKGKYLQVPPLELNSAQLFWEMPPSSEKLWLDDKVVPHPSGG >Solyc08g006430.3.1 pep chromosome:SL3.0:8:1030899:1040605:1 gene:Solyc08g006430.3 transcript:Solyc08g006430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKKRKKMEKPLEKFDVIVIGAGIMGSCTAYEASKRNQKTLLLEQFDFLHHLGSSHGESRTIRATYPEDYYPKMVLKSETLWREAEQQIGYRVYFKTSQLDIGPSNDKAIQSVISSCDKNSIPVRVIDRNAMSLEFDNLIQLPHDWIGVVTEHGGVIKPTKAVSMFQTLAIINGGILRDKIEVVEIKKDGKTGDVLVMAKNGEKFSGKKCVVTVGSWMNKLVRNISGVVIPIQPLETTVFYWKIKKGYESKFTIGNGFPTFASYGEPYVYGTPSLEYPGLIKIPVHGGRPCEPTDRTWAPTQSLDPLSEWIQERFRGLVDSTRPVLTQSCMYSVTPDEDFVIDFLGGEFGEDVVVGGGFSGHGFKMGPIVGKILSDLVIDGETKEVELMHFRIKRFEKNSKGNLKKFDDQFKLGEGLCSFKIQYYYYYYYYHHHHQSLSITHNFSNVFPKYFILKCQTNEPRKLIYLIFNLYFFSDYISPFHSGGLGFYSLAFFTRVSGYYSEMSRVYVGNLDPRVTERELEDEFRVFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIRDLDGKNGWRVELSHNSRGERGGGRGGGRGRSGGSDLKCYECGESGHFARECRVRGGPGPGKRRSRSPPRYRRSPSYGHRSYSPRGRSPRRRSVSPRGRSYSRSPYRGRDEVPYSNGWVIRPNKWCQGPNQKQELNGEVDYLSHSGVFRCVLALLCLT >Solyc09g082535.1.1 pep chromosome:SL3.0:9:68679837:68681389:-1 gene:Solyc09g082535.1 transcript:Solyc09g082535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFDHADDNIPSNSVDSIEDHVEEINLIQSEVDVSLESNLLEPQHSEITSDGDLTPARRTARHSRPPLWHKDYIISTKSNMTCSYPIANNLSYDLLTPQRKYALELISDCGLGGSKPASTPLESGVKLTTVEYDEATAKTDDPLYANVTAYQRLIGRLLYLTTTRPDICFVVQVLSQFMQKPKVSHWEAALRLVRYIKGCPGQGILLSSEDSNEMEAFCDSDWAACPNTRRLVTGYVIKLGNSLISWKSKKQHTVSRS >Solyc12g098820.2.1 pep chromosome:SL3.0:12:67173114:67180743:1 gene:Solyc12g098820.2 transcript:Solyc12g098820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWLCCTCQVEESYPSHETEHLKHPASHADGYQKGSRVSDPIRAEADKAVPTIEVPALSLDELKQETDNFGSKALIGEGSYGRVYYANLSNGKAVAVKKLDVSSEPETNVDFLNQVARVSRLKHDNLVELLGYCVEGNIRVLAYEFATMGSLHDILHGRKGVQGAQPGPTLEWVQRVRIAVDAARGLEYLHEKVQPSVIHRDIRSSNVLLFEDYKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVQQCVDPKLKGCPPKAVAKMAAVAALCVQYEAEFRPNMSIVVKALQPLLRAPAAPAPEI >Solyc01g099430.3.1 pep chromosome:SL3.0:1:89570137:89570538:1 gene:Solyc01g099430.3 transcript:Solyc01g099430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDFCREIFVFSDFGIQQDQGNLCFQIPALLTGKFAIVISPLISLMHDSV >Solyc09g059730.2.1.1 pep chromosome:SL3.0:9:55425516:55427142:1 gene:Solyc09g059730.2 transcript:Solyc09g059730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHVACFVFDLDCFILIMQVKKYDLT >Solyc01g091760.2.1.1 pep chromosome:SL3.0:1:85216621:85217581:-1 gene:Solyc01g091760.2 transcript:Solyc01g091760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDLENTKTTITTNTNTTNSSSTEAKKSSITRKFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAAHAYDEAARALRGENARTNFASTTPNSDMDQSNILHSKNGLSFSSIKAKLSKNLQSIMARNSENKSSSSKSITRVSDHFTFARIFHFKNNYDQPYQNHRHVDMNKVVQPSIRVLPHDVTDNNNNNDSSWENSSSVSDCSSEWAAFRQLGLDCDNYGSDGSEYFVGSDPLMAGWMSSPDIMSSTSTNEGSSRSKRFKVSSSVVVPPTFTESPLHDAQNYVPF >Solyc11g030907.1.1 pep chromosome:SL3.0:11:23594023:23594312:-1 gene:Solyc11g030907.1 transcript:Solyc11g030907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLHYTYYGALDCKRTIMRRTTDPLTIIRRSSGSNPHREF >Solyc10g018194.1.1 pep chromosome:SL3.0:10:7006005:7007152:1 gene:Solyc10g018194.1 transcript:Solyc10g018194.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNKKPIFYKFAIPRKKVILSLIENETERKVSYKKRLIGLLKKAEELSTLCDVEMALIVYSPYSDEPKVFPNLVVMVTKEEFTKKRIKKFISIDMNLFYLNDLSYVIKKNILLIRKIMKENDDDEGSTSNVPHAMTPQIDPLAEIPSMGASIPRNNYQNSTDIPQSPSFIDLLNLNDDDFVTLLDDLSVNNANDQDSNPSNNK >Solyc11g061940.1.1.1 pep chromosome:SL3.0:11:48949409:48949774:-1 gene:Solyc11g061940.1 transcript:Solyc11g061940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKNEECEISIRLAIVGNVDCPNRFDYWFNPFFGCKRRRNKRNILYADYYHQPLLRPQPSPRPQFDFGLEHFMSIKRSTSVPLKKHEIGSNKRIKKLRLNNELDFQSKYPFLAEIGMLSM >Solyc02g065080.3.1 pep chromosome:SL3.0:2:36814359:36825557:-1 gene:Solyc02g065080.3 transcript:Solyc02g065080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTEPEMISFRYVESLKPDIHLLLRHSQTSNFCCSKLLPIAAISNHTLRIPALRSNSIPPLLHISMADSTSRVISQPECGGDAKSENVSPNFTEIIVIRHGETEWNAGGRIQGHLDVELNDIGRQQATAVAARLSKEPRISVIYSSDLKRAHETAEIIARSCGDLEVIKDPDLRERHLGDLQGISLREAAKSQPLAYKAFLSDRNDQVIPGGGESLDQLYQRCTSCLQRISENHRGKRVVVVSHGGAIRALHMRASPHRRSKSKIWNTSVGILHLSDKDEWTVKLWADVSHLNKTEFLNSGFGGDKTSG >Solyc06g073760.3.1 pep chromosome:SL3.0:6:45657115:45661920:1 gene:Solyc06g073760.3 transcript:Solyc06g073760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFSIPMKGFVLFCLWAVIAEAEYLKYKDPKQPMVTRIKDLMKRMSLEEKIGQMTQIERKVALPDVMKQYFIGSVLSGGGSVPAPKASAEDWINMVNEIQKGALSTRLGIPMIYGIDAVHGHNNVYNATIFPHNIGLGVTRDPDLVKRIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHNIVRTMTEIIPGLQGDLPANSRKGVPFVEGKLKVAACAKHFVGDGGTVKGIDENNTVINSNGLFGIHMPAYYNSIIKGVSTVMVSYSSWNGEKMHANRDLVTGFLKDRLKFRGFVISDWQGIDRITSPPHANYTYSVQAGVSAGIDMIMVPENYKEFIDALTLLVKDNIIPMSRIDDAVKRILRVKFTMGLFENPLADLSLVNQLGSQEHRELAREAVRKSLVLLKNGKSTSQPLLPLPKKAPKILVAGTHADNLGYQCGGWTIEWQGVAGNDLTVGTTILSAIKKTVDPYTQVVYQQNPDANFVESNKFDYAIVVVGEVPYAEMMGDSSNLTITEPGPSTINNVCGAVKCVVVVVSGRPVVLEPYVEKIDGLVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKSVDQLPMNVGDRNYNPLFPFGFGLTTQAVKLN >Solyc11g039840.2.1 pep chromosome:SL3.0:11:42125617:42128903:-1 gene:Solyc11g039840.2 transcript:Solyc11g039840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRVAGRRLSSSAARSSSSFFTRSSFTVTDDSSPARSPSPSLTSSFLDQIRGFSSNSVSPAHQLGLVSDLPATVAAIKNPSSKIVYDDSNHERYPPGDPSKRAFAYFVLTGGRFVYASLLRLLILKFVLSMSASKDVLALASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTDDDIKLANSVDLGTLRDPQQDAERVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFLEENKLLIG >Solyc07g062940.3.1 pep chromosome:SL3.0:7:65689197:65705819:-1 gene:Solyc07g062940.3 transcript:Solyc07g062940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAALIEASGSRFSDLELIGRGSFGDVYKGFDKELNKEVAIKQELVFIYSPSPWRESATIANMEAAILEDEVEDIQKEIAVLSQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLIQPNQPLDEMSIACILRDLLHAIEYLHSEGKIHRDIKAANILLSENGDVKVADFGVSAQLTRTISRRKYHFLLSSYRGLWVTCHMFAGSCIRYCRLETFVGTPFWMAPEVIQNSDGYNEKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLEEHFSRPLKEIVSLCLKKSPAERPSAKELLKHRFIRNARKSPRLLERIRERPKFQIKDDMDSPRNGQKPVGEASGTVRVTRDVGTEGTVKVSGQGKTLKTAGWDFSIGGSSSTGTVRSVKPPQVRDRKPEVPLNQPASRKNLDSASNWSSASGTVHYTSSEGFNQKDGGDANTEKGDYSHEDEELSVSGTGTVVVRSPRGSPRGIQSTSLFSDQSSLSSSTLASFEDASSSGTVVYRGRHDDPDSPRTPKSRLGIQERSSSASLEDSSANLAEAKAAMLAGLKKENVRDRSKLGKVQRDGLENKTEQPTMSSDSSRHSRDYLDAQKVFSRSRHTSDEEDGARTYPIPSSATLSVLLIPSLKEAATSETDGSLMQAMVSSFMDMERMKAGSCDLFMTRLLQRLASAKDPSLKDLQDLAGRIFSKGKIETESTTTESDSKKKQQSKELNSNANLSPLARFLLSSLAEFGAHTWDENDSQPTCII >Solyc09g059625.1.1 pep chromosome:SL3.0:9:55165113:55167472:-1 gene:Solyc09g059625.1 transcript:Solyc09g059625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYADRVEAVTKRSIRDRLNGNTADDFARRRQVTGKRHREEDDKWEHDLYERHELPGSSQRIGAKDLRLKLQKKSVQQATQSVKGSVSGGVRDLREKLSGTVYSQKVETDPPKAKLKVAPEISKHVRRSSTAEAPAMETKKIASTVSKKKSQQKARSPYSD >Solyc04g072510.3.1 pep chromosome:SL3.0:4:59601023:59604503:1 gene:Solyc04g072510.3 transcript:Solyc04g072510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARLLRPHCHGAAVGQFFFIYNSKFLFQYSTIADKTACDQTRFLVNYLVNSLGFSRGEAISTSTKVTRSKSTANPQSVLNFLEKSGLDKTHIGNIVSAVPKLLVCDVDKTLKPKLDILQGIGLTGSDLVKVITGSVSILKSLEVSDLEFCISYLRKILGSDEYVVKAIKKRTCLLSVKACERVKINMLFFQSIGFTDDDIKKFILQNPYTLLASPEVVEEKVHRLENEFHISRASGLFIHGVDVFISMKESTVDTKLDVLRDYGWSKWEIIKLVQLLPYCLRLSKEKLRAALDFYMVQLGLKPAYLASHPTLLMFSMKKRVLPRLEFMRSLVEKKLCDEDYNLYTVLLPSEQKFYQAYVLAHKLPDVCELYNKIQQHGKDKNILAQ >Solyc10g079237.1.1 pep chromosome:SL3.0:10:60939041:60940735:-1 gene:Solyc10g079237.1 transcript:Solyc10g079237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLLPSNTHHHHLVRGEETTDIECN >Solyc08g065265.1.1 pep chromosome:SL3.0:8:53268331:53270665:-1 gene:Solyc08g065265.1 transcript:Solyc08g065265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTELNMGVADQSRISMAESTDNTPSNSNDLSIETWKFEQEVCRRALVEMIILDELPFSFVEKEGFKKFMSKVQPLLHIPSRRTITRDCYELYGELRINLKQSLREIQPRICLTIDTWTSVQRINYMCLTAHFIDRDWVLHKRILNLCPIISHKGEHLAESISNCLLDWKLDNVFTVTVDNASSNDVIVLELSKKLDMWGTNLMEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVKMQKIECDKMLSLDVPTRWNSTYLMLDTTKKFEKAFERFDLYDGNFNSFLATDVCEDGNICELDAYLKLCIASDDLDLSKMASGMKEKFKKYWGTPEKMNKMIFIASFLDPRNKSVYVSFALEELLGEETGNVVNTKVESYLRDLFAIYYEKGSKSQPSSSDSSDSSGSGISQNMSKNSFRAKLHMKKQKNDSGSLGVKSELDKYLFEDQEPESEDFDILINSPRFPVLSQLARDVLAIPMSSVASECVFSTGGRILDPFRSSLTPKCVQCLICVQDWLRQETKPICAEESPRVKTMKK >Solyc01g005080.3.1 pep chromosome:SL3.0:1:67817:73169:-1 gene:Solyc01g005080.3 transcript:Solyc01g005080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLAFESPSCCSIHTSPTCHTLLRELEQIWKDIGETEADKNRMLSELERECLEVYRRKVEEAANTKACLHQSVATKEAEVATLMAALGELNINSLKQPEKKSASLKEQLAFVTPLIDDLRVKKDERVKQFADVKTQIDKITSEISEYSNIINAMSSLILEDHDLSLRNLSEYKSHLRALQKEKSERIQKVVDCVNEVHSLCGVLGLDFGKTVSDVHPSLHETSLGQSTNISVSALESLEQAIFRLKTERKVRYQKLKDVAGLLFELWTLMDTTREEKSKLSRITSVLRLSEAEVTEPGALSLEVIEQISTEVERLTKLKASRMKELVIKRRAELEDICYKNHIDPDPSTSADKSSAMIDSGLVDPCELLANIEAQINKAKDEALSRKDIMDKIDWWLFACEEENWLEDYNQDYRRYSGGRGAHINLKRAEQARIKVTKIPVVVKALINKTLAWEDEKQKLFLYDGVRLVSILEDYKVVRKQKEESKKRAQDQKKLHDMLLAEKKSLYGSKPSPRRSSSFRKGNGYNANGHGSVTPSPRRSSMSCATPELLTPRSNSVRHNGYFKEMTRLSTGPLNFVSMAKEDTVSFSSISGSDPESPLQA >Solyc09g025310.3.1 pep chromosome:SL3.0:9:65351752:65356472:-1 gene:Solyc09g025310.3 transcript:Solyc09g025310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGATLPPGFRFYPSDEELVCHYLFKKIANEDVLKGTLIEIDLHTCEPWQLPEVAKLNSSEWYFFSFRDRKYATGFRTNRATISGYWKATGKDRTVVDPKTRSIVGMRKTLVFYMNRAPNGIKTGWIMHEFRLENPHIPPKEDWVLCRVFYKAKVENNNNNDNNNTFSNTQNMYEVGVNTSPNIDQSHIALPYTAISQSQKLFDLLEPNNNQSSYLQLMSQEMKEPQSNDEMVENDEYGFLLDMNFEDPNIQDGSRSAFEHWGHVI >Solyc10g084950.2.1 pep chromosome:SL3.0:10:64421890:64425909:-1 gene:Solyc10g084950.2 transcript:Solyc10g084950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIKSREELVEFDEQKWVNDSSLDHKGRVPLRGSTGVWKASLFIIVIEFSERLSYFGLATSLIIYLTKVIHQDLKTAAKSVNYWSGVTTLMPLLGGFLADAFLGRFSTVLASSIVYLVGLILLTMSRVIPSLKPCDNDLCHEPKNVHEVIFFLAIYLISIGTGGHKPSLESFGADQFDDDYAEERKKKMSFFNWWNFGLCCGLLLGVTLIVYVQDRVSWAMADLILTLVMASSIIIFVAGRPFYRYRKATGSPLTPMLQVFVAAIRKRSLLFPANPSHLYEIPKSDTSQRRLLCHTEKLKFLDKAAIVDGTQDSTVQQQNPWRLATVTKVEELKLVINMVPIWLTTIPFGICVAQTTTFFIKQGVTLDRKILHDFEIPPASIFALAAIGMIISLTIYDKVLVPVLRRATGNERGISILQRIGIGMIFSVSTMVVAALVERKRLNLVQKDPLEGSSRMSVFWLAPQFLIIGIGDGFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFVSSLLITIVDHITEKNGKSWFGKDLNSSRLDYFYWLLAIMTAVNLCVYVIVARNYSYKNVHSKATMSVAVCNDTDDREAMA >Solyc01g008660.3.1 pep chromosome:SL3.0:1:2653073:2657841:-1 gene:Solyc01g008660.3 transcript:Solyc01g008660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPDSQIIEVFRFDEFVRIIPHLSFSAQKMSDIPPEILSDILSRLPVKSLLRFRCVSKSIKTLIDSPEFIEAHLKNQVLKPNSDMKLILKAHIDADNLFSLDFASMASTQIPRELAHPLKHLYGPTQVLGSCRGLVLISNNMNDNGVWNPSTKVFRKLPFCHINPPRKPLGGQGPGLSQIRGGFGYDRLADDYKVVTIAQLYHPDAEPSLVSETMVFSLKLDVWKKVQDCPYWLLKEDNGTCAGGALHWIVTKEPSAWWSPLILVGLNLQNGTFQEVEYPEDLGNPQQINLAVLGECLCLLKGHLTCSNAKNHVLDRIDVWMMKDYGVKESWVKLFSVEQLEGRQHFRNLRPITYSVTGKEVLMEMDNRKFLWYSLERKSLKYAKMNSKLDTFESIVCLGSLVPLYGGRNEKDRKKGIEQRE >Solyc02g070200.2.1 pep chromosome:SL3.0:2:40535736:40540853:-1 gene:Solyc02g070200.2 transcript:Solyc02g070200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTIFLFLSFCLISSSYSLAYKSTHDDFVECLSHKIMNSTLISQVIHTPKNSSYSTLLNSFSFNLRISSNFEPSTIFTPTNESQIQEAIHCSKKHGLQIRIRGGGHDYEGLSYISEIPFVVIDLRNLRSISIDTEKKTAWIQAGATLGEVYYRIAEKSKKLAFAAGVCPTVGVGGHFSGGGYGMLSRKYGTAADNIIDAKLIGANGRIHDRESMGEDHFWAIRGGGGTSFGLIISWKIKLVDIPEKVTVFNVPRTLEQNVTQLVYKWQHIADKVDDNLLLRIFVRNSEFPFGGGQRTIHASFVALYVGGVDELLHEVQKSFAELGLVKDDCIEMSWIESTLFFAGFPRNTSLDVLLNWNTTTNQKGYFKGKSDYVQQTISLNGIEGVLKLLFNQLGGENSGAELQFSPYGGKLSDISEFEIPFPHRAGNIFMIEYAVYWGNINYSQSNIDWSRKIYRYMGKYVSKSPRAAYFNYRDLDLGMNNISGNTSYAQARIWGVKYFKNNFDRLVKIKTKIDPTNFFRNEQTRIRQLYEYMAKYVSKSPRAAYFNYRDLDLGMNNKGNTSYEQGKIWGRNTSRTTLID >Solyc09g005523.1.1 pep chromosome:SL3.0:9:348126:354880:1 gene:Solyc09g005523.1 transcript:Solyc09g005523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFALLLAKFTWAWRRWEHQGKESTELSRGQDNFFAIVFIGGMLNKFSGESAFALISLLGASIMPHNFYLHSSIVQILNCDYKTNVMGTLNMLGLARRVGAKFLLTSTSEVYGDPLEHPQKETYWGHHLGVSSCYDEGKRTAETLTMDYHRGANVEEMKISLFRNKFNIKVRNQQSFQGELCVRTIFLPLFSYSAANVSYSTGLLLLTFPDTLSLLDQVFRSSVAPFTIMLVTFISNQVTPLTWDLGRQAVVHYLEWHPRLVSLCDDQRAEGLYQLLILTQVVVALVLPSSVIPLFRLMEFLSLGTFIGLFVIEMIFGNSDWYWGSSVSTPYVFLLIAASLISLSHAVVSSYSTEICKFQVRCSGILQTPMPEPYLECNQLEGSSQKQEGAFHVEKSLVSHPYLSTKDPDQLLPESLLNFEKVHHLATIDEGKSETTYSAPAVGHPEVSVSAGASSANDRDDGDSWEEPEEAIRENTQSFISDGPGSYKSLSEKLEDTGIVTGSLPRLAEVLNEFWWQLFGYHGMHGHSRSEVQKTGYNTWSGLIGESKTCPSITKSGKQWVYSIGECKDTRVSDQLAYVLPQVAICIKHCGLIFDIFYMV >Solyc01g088540.1.1.1 pep chromosome:SL3.0:1:83201082:83201735:1 gene:Solyc01g088540.1 transcript:Solyc01g088540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERLPLPWLLQMSIYNVKIGGENVITRVADREATMSHGISELRHDMKADPNPIVGIDVVNSGDLLLFYVKKRCLIIQYNRILALNDKYQVPSFLASFLQDKNITFVGPRHINNKSFTWSGVYQKFDFKTVVDVGYLAAQICKKPRLLSSTLEELMLEVDVEIMRPIIGNGSLRHKWESSAVLSEEEVKVAIYEVYSCYQIATKVIEVMQTGVTTHG >Solyc09g092025.1.1 pep chromosome:SL3.0:9:71667403:71668537:-1 gene:Solyc09g092025.1 transcript:Solyc09g092025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNFNIQVQSQDGSITILSVSSDMLMKRVFLIYCREKLIDWKIVRFVLNGKRISPAKTVDELGLKDGDKIDAMF >Solyc07g019530.3.1 pep chromosome:SL3.0:7:11860685:11871900:1 gene:Solyc07g019530.3 transcript:Solyc07g019530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTDNDYDLRRASTPPSGMGISECNFADINNLEHCAKYLNQTLVTFGFPASLDLFAHDPVSIARTCNCVYALLQQRQRDIEFRESTNEQRQRLLSDISRLEAKVERLESQLQVKDREIATITREEAKATAALKAQIDKLQKERDEFQRMVLGNQQVRTQQIYEMKKKEKEYIKLQERLNQVMMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKTDNDFYKKIVDAYEAKNQELAAENADLRALLRSMQADMREFLNAPNGSSRQSSSTSERLDTDHLQSPLGGRTDVFDLPLHMARDQIEESLRNKMASIKERMGQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLTKSEKPRRLSGHMNSERDLLISSPTEGL >Solyc01g096770.2.1.1 pep chromosome:SL3.0:1:87662036:87662703:-1 gene:Solyc01g096770.2 transcript:Solyc01g096770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHWYGAMFSSPLESHLCYRFCRKHLGWRLDPLPLPLPLLLLRWRWLSWSRLNLRRWVASSLRLGDGLKPWLD >Solyc08g029220.2.1 pep chromosome:SL3.0:8:37492903:37503460:1 gene:Solyc08g029220.2 transcript:Solyc08g029220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIHYLCISIFSFLVVLSTIYCLAAHKLMALEHFLAFTQEFKDIFLFFGTGINVYCILLINIFIGIVMECNKDEALRAKELAEKKMQSNDFLGAQKVAQKAERLYPQLENISQLLAVCNVHCSAQSNTVGSEKDWYRILQIEQSADEVTIKKQYRRLALVLHPDKNKFPGAEAAFKLIGESNMVLSDPTKRALYDSKYKFFSKGAAAKRQVNRNPLAKQNNIPNGIGTQFSNLNNIQKPQQTSSAMPETFWTGCPFCNIRYQYYRSFVNRALRCQKCSKPFIAYDLGSQGAPPGPGPKWNYPGSQDVPLRSNTSQPYQQKEASNQGTSRMAAGAGFTPAQMGSQQGPSSKTMGSQPEVRRENTAPVFEDFKAKRKAEKYDKEMGDTNEGAAAPKVNINNRKRSRKQTVESSESINASTSTEPETADIESGSYPPVGEDSEFDGFGPRRSSRLRQHVSYNEGASDDENDLANPRKKVRANQSAEDDTSKQKEAVSGDDFRNAKPTDSNGSSKANTTQNEVASPKAKVQNENINNRKFDKQASGPPSSEVEKVQVVDSDSEPDSELSDNPPEIYDCPDPEFSDFDKHREESCFAVDQIWACYDTADGMPRFYCQIRRVSSPEFELRFTWLEANPEDRRDMEWVEAELPAGCGKFKRGSSQISNDRLTFSHLVQFTKGKRGAFIVYPRKGETWALFKNWDVSWSSDPEKHSKYKYEIVEVLSDFVKDVGIKVNYLDKVSGFVSLFEPTSQTKDGSFLVKPNELYKFSHQIPSFRMTGTEKEGVPVGSFELDPASLPLNPDDIWYPEKLNKDSGNPKSELENVTTPKKLVELKGTGATDGESSKVRRSPRVCLVRLVDFVISLTSRDTSRINRTIVAGLNSLLTAVCVPATFIAISDPFMTDGSRIFSLNKTVLVKTL >Solyc07g053410.3.1.1 pep chromosome:SL3.0:7:61978725:61981441:1 gene:Solyc07g053410.3 transcript:Solyc07g053410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSATGGGEIVQVEGGHILRSTGRKDRHSKVYTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKNAIDKLDELPPWNPNQIPGNTTEADALVLKQQPEYQLQRELEENNQSRVNNSSNSYLMQGGSGGGGGGGEVQQQSLGDTMKAFFPMNLGTSLMNFQNYPHEIMPRSSLQNEDLGLSLHTTFHRASTSSHHHEDDHSTLFSNQNFEANYPRMASWNLLPQTFFNQNANNAFTQREPLQSNFSHLINHHANWEERPLMNGLIPNQVEISNNSRHFTTDFQVPARIHGEHHDSASPNAHH >Solyc04g064840.1.1 pep chromosome:SL3.0:4:56016588:56019428:1 gene:Solyc04g064840.1 transcript:Solyc04g064840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGIVHTHVHVQLAIIERLMQSWTSLLVLVGVEVTINKGETRSENGLLQEAKENVVKASHARNSFKKVMNNGMRRPMHSILGLLCILQDENTSSNQKIIIIDTMVRTSTILLNLINDAMDKPDKDEGRFPVKIMSFQLHSLIREASCLVKWVCVFKGVGFSMDVLSSLPNLVMGDEKRT >Solyc01g098810.3.1 pep chromosome:SL3.0:1:89100553:89104593:1 gene:Solyc01g098810.3 transcript:Solyc01g098810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPWRWEPPKQHTKVYYSRASQTSLPNYGDNNGSAPLHSEPLNVAPISCVPYTGPPLPYGYHSSVSSDINTGSVPVEANSKHMSSQQHAGGQPAMIFYTACPAREEWDNLINCANGGVALTGSALLGKVGPLVGSVDIAESEDDYVFRVSLPGVTRDERVFRCDVGPNGVIVIKGVSETGENMVRRDNMVFKMQTQNLCPPGEFSVSFQLPGPIDHQNLNCVFGSDGIFEGVVKKRTGPLRDGRREAKFEKTRSNAAVDFRTSVQL >Solyc10g062160.2.1 pep chromosome:SL3.0:10:24889464:24898420:-1 gene:Solyc10g062160.2 transcript:Solyc10g062160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGLVNRIQMACTSLGDYGATNNSFYSLWDQLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTDEGQKEYAEFGHLPRTPFTDFSLVRKEIQDETDRITGKTRQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEEQPESVVRDIEDMVRSYVEKPDCIILAISPANQDIATSDAIKLSREVDSTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQQPWVGIVNRSQADINKNVDMIYARRKEREYFASSPDYGHLASKMGSEYLAKLLSKHLESVIRAKIPGIMSVINKSIDELESEMDHLGRPISDDAGAQLYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRYLPIQNVRKIVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSVGECQELKRFPSLQSAIAAASYEALEKYREEGRKTVLRLVDMEANYLTVEFFRKLPQEVEKGGNPAATPAVDRYAEGHFRRIGLNVSSYINMVTDTLRNSIPKAVVYCQVKEAKQSLLNYFYTQIGKKEGKDLAELLDEDPTLMGKREQCAKSLQLYKKARDEIESVSLVR >Solyc10g080570.2.1 pep chromosome:SL3.0:10:61933567:61936235:1 gene:Solyc10g080570.2 transcript:Solyc10g080570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4D2U7] MAPGGGVSSCKELVESWQKVSRFDTTNIHDELEKSITCIGEKFQKCSIHELLECPICRRFMYPPFYQCPNGHTLCTNCKIEATDFCPTCKVELGNIRCLALEKVAESLELPCRHQNLGCHKILPYYRKLKHERHCKFRPYHCPYAGSECSIKGDIPTLMLHLKEDHKVDMHSGCTFNHRYVKSNAQQVDNAIWMLTVFDCFGRQFVLHFEAFSLGMTPVYIAFLRFMGEDNEAKMFNYSLQVGGYGRKLTWQGVPRSIRDSHGKVRDCQDGLIIPRSLAFFFSGGNGEELTLKVIGRIWKESA >Solyc10g006840.3.1.1 pep chromosome:SL3.0:10:1274887:1275018:1 gene:Solyc10g006840.3 transcript:Solyc10g006840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEADLHVTYEVHRNYTQILYVYYGIDGISIDTLIVNPIIILYQ >Solyc06g068065.1.1 pep chromosome:SL3.0:6:42303604:42305770:1 gene:Solyc06g068065.1 transcript:Solyc06g068065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNVNSLLHAKNFEEVIDGRGRIHEAHLGPDHFGRVEAPGCSEPANSASRILLFRPIGSSNQETTIPVRSEFELVIGAHQATAASSTSADFTLLFLFPLADSPSLIWNFRFGKGNGSCAPFVSSDSEAVQKF >Solyc03g110880.3.1 pep chromosome:SL3.0:3:63088055:63088743:1 gene:Solyc03g110880.3 transcript:Solyc03g110880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPFSEYTYCSCSSVCLRKSSKKFHQKGAKQSRIYAT >Solyc02g070880.1.1.1 pep chromosome:SL3.0:2:41048641:41049381:1 gene:Solyc02g070880.1 transcript:Solyc02g070880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNYQFSPQIQKPFVSLEDQAAGGNIFDIPVPSVFDTMALPTSFKSSVPFHGFEFRSSEACPKNFIIFDQTDYRSQIMYHPAMTSKFPYPDLNYNSTCFHDCMERKIANNENTEVSSYLKEDSDDINALLSLEEEECEEYDEEEVSTARTDANYGCSSPESYSNYHCQSKKSRTSSFRESSGSSTSNCSERKRRKLKKMVKALKGIVPGASRMNTVTVLDEAVRYLKSLKVEVQKLGVDNLKTYA >Solyc07g045040.3.1 pep chromosome:SL3.0:7:58266526:58269955:1 gene:Solyc07g045040.3 transcript:Solyc07g045040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGRSKHVQEISAKGIEPPSEFFVKDTILAGNLGSISLIQIPIIDLNLLLLDPNSEAYKDEINKLLDALSSWGVFQVIGHGMSSSYLEEIRNVIKKFFCLSNEEKQKYSRAADGFEGYGNDPIFVEGQVLDWCDRLFLTAYPQDQRNLQFWPQNPPNFMNVFEEYCNKVIVLTRTLLKIFARSQNLEDDAFYKEIGEKTLVQARFNLYPKCPKPDKILGVKAHADASIITTLLQDKEVEGLQVLKDGKWYGVPTIPHALLINIGDQLEIMSNGIFKSPIHRVAANSEQERISLAVFYFPDYEKEIEPLQGLINSQRPQMFRKVKNYPSISFKSFHSGQIAIDTLRISPSQ >Solyc10g047985.1.1 pep chromosome:SL3.0:10:43334583:43337091:1 gene:Solyc10g047985.1 transcript:Solyc10g047985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSDVVDTPLFNTDKSEHMIEFNWLLIAMVLIFLFFLSLGRIRYVSSIYQNATLSYIREIKDTFVDQEGKYEMLVDIPYRINPPSLILGFNAYLPNGYEIMLNDEEKTSLKNATNYEEERHFVENIKDNEYKLYIGIMMMYKKERKDLNEVYHEVAVLFNDHHDLLDDLDPHLPCGYDIIINDEVKPLKKSIHFEQVFNFLANNHEYKSILDIMNKCRKDDRIDLLYEFFGFLPDSITTNMLSNLDDYLGVRSWVEK >Solyc06g019170.3.1 pep chromosome:SL3.0:6:17989978:17999091:-1 gene:Solyc06g019170.3 transcript:Solyc06g019170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:K4C4E2] MDSADPARAFVKDVKRIIIKVGTAVVTRGDGRLALGRMGSLCEQIRELTSQGFEVILVTSGAVGVGRQRLRYRKLINSSFADLQKPQGDLDGKACAAVGQNGLMALYDTLFSQLDVTSAQLMVTDNDFRDPDFRRQLNETVNSLLCLKVVPIFNENDAISTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYTGPPTDPQSELIHTYVKEKHEGLITFGDKSRVGRGGMTAKVKAAVYAAYAGIPVVITSGFANNNIIKALDGQRVGTLFHREAIKWASIGDFDAREMAVSARECARRLQTLSSQERSKILLDIADALEAKEEEILAENEADVAAAQQAGYENALISRLAMKPGKISSLANSVRVLANMDEPVGRILKRTELADGIILEKTSSPLGVLLIIFESRPDALVQIASLAVRSGNGLLLKGGKEAKRSNAILHKVITSSIPPIVGERLIGLVTSREEIPELLKLDDVIDLVIPRGSNKLVSQIKAATKIPVLGHADGICHVFIDKSADLDMAKRIVLDAKTDYPAACNAMETLLVHEDLVQTGGLNDLILELQEKGVSLFGGPKASSVLNIPEANSFHHEYGALACTVEIVEDVNTAIEHIHRHGSAHTDSIITEDKEVAELFLRQVDSAAVLHNASTRFSDGFRFGLGAEVGISTSRIHARGPVGVEGLLTTRWLARGSGQVVDGDKEIVYTHKDLNLEA >Solyc01g108190.3.1.1 pep chromosome:SL3.0:1:95449057:95449614:-1 gene:Solyc01g108190.3 transcript:Solyc01g108190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSFLDFQYNLSKRSFLRKPTRMFTRERQNSRSLPVYQPSVDELKKVFDRFDSNKDGKISPEEYKAILKAMGKKNLLTREVQKIFDVADADGDGFIDFNEFVAVQKKEGGVKTTDLQSAFHTFDKDGDGRISVQEVYELQKGLGQRCSLQDCRKMVKAVDANGDGVIDLDEFVTMMTRTMTLC >Solyc04g007220.3.1 pep chromosome:SL3.0:4:913278:918072:-1 gene:Solyc04g007220.3 transcript:Solyc04g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPMLLSNQQDLCDSRAGADLLDIILTKGDGSSMDQSAAQVASSPPFFCGSPPSRVSNPLIQDARFGDEKVTPISPRAIPVPSGLASSPSTSSARKGGCVSRANFGHNPAVRVEGFDCLDRDRRNCSIPTLA >Solyc07g047870.1.1.1 pep chromosome:SL3.0:7:59222736:59223284:-1 gene:Solyc07g047870.1 transcript:Solyc07g047870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLIFLFVVCVLVQATYARKLLQFPSMDIPGIGGLDKIMGGIVGGGGGGGGGGGGGGENGGSGGGMGAGYGSGSGSDGGGGGGGGGGGNKNGAYGWGIGGGSGRGSDSEGGGSGGGGGGGGDGASGWGTGGGYGNGSGSDGGSGGGGGGGGGGGENGGNGFGIGAGEGHGVMAAIDPIEV >Solyc12g017360.2.1 pep chromosome:SL3.0:12:6606925:6610490:1 gene:Solyc12g017360.2 transcript:Solyc12g017360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTYPHSMLYVLRLLFCFGALFIIYTDARKSNGMSQSSQEIIKINGLKHFNMYLPMAGSSYGVGSPFNLPPYDSLPPIPNTPTTPYCVNPPPANGNSGPIINQPSSPSNSPIINQPSSPSSGPIINQPPSPSYGPIINQPSSPSSGPTIIPSPPPQFLPPIIVPNTPQYVSPNPPTNVPSPTQPIFSPPYYYEPSPPRYVPINPPSYDVPISPPTGYFLPPVVYPPPAVPPPPHIADAIALWCVAKPSVPDPIIQEAMNYACASGADCDQLQPSGSCYQPDTLFAHASYAFNSYWQRTKMAGGTCDFGGTAILVTVDPSFDGCRFIYY >Solyc03g117230.1.1.1 pep chromosome:SL3.0:3:67911955:67912713:-1 gene:Solyc03g117230.1 transcript:Solyc03g117230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSLSQKAEPFFFSTQNPLYPNEPNRHHNFSIDNTNYSLDPFWDNYSVSANENFNEKSPVLEGIAAVVGEHVLFGHSNNNNNNQNKNDDPNSSAISILKRTCPEEKKKNNNNVQSVEKSYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTPEEAARAYDAAARWLRGSKARTNFQIPPIVPLPTSPTSTSSSSNSSREMKKKNKNGGSIANNQRKCSVVTSAAHLFSSNELSKGVSVTVELDLNLGFRRK >Solyc08g067215.1.1 pep chromosome:SL3.0:8:56290837:56295155:-1 gene:Solyc08g067215.1 transcript:Solyc08g067215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTNSVNEKADFELGFHELTLCRNHTRQTHRNENFQTKALDIVEYFLGTEIAQSKSKNFISQRKCALYFLEETGMIECRPTTIPMDRNASGGSPPPGCVQ >Solyc12g036915.1.1 pep chromosome:SL3.0:12:49010567:49013740:1 gene:Solyc12g036915.1 transcript:Solyc12g036915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDLNGIIVSVAINLRGKGFLISLASQNRPWRVRVNTPACFFTHESSSESRIGGGGIGLIASSFVRSIRCPRKRFDIGIKSLCEEECILSSATHRLMMNLTHEPLKTRMVTVPDPLLKVW >Solyc01g020401.1.1 pep chromosome:SL3.0:1:30291832:30302578:1 gene:Solyc01g020401.1 transcript:Solyc01g020401.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNLITLKTSDDDKFKLDQSIVMRSQVIKNIVQYVDCTSNVIHLTNVDGKIMYKVVEYWKKHSEEAADFPNNKEMMDVMCQDVFDRIKEKLITFKTSDGEDFKLEEVIVVRSEVIKNIVQDVDCTSNVIPLLNVDEKTMKTIIKYWEKHSEEGVMKDQLKNFD >Solyc03g120890.3.1 pep chromosome:SL3.0:3:70606502:70608822:-1 gene:Solyc03g120890.3 transcript:Solyc03g120890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4BMN8] MEASDLFVSGFFSHAGDEQIPNNINNNCNNFSVDDLLVIPKDDEVMADAFFNSITGNSADSSNVTVVDSCNSSVSGGDGQFNGNLSGRSFTDAPFPNSELCVPFDDLAELEWLSNFVEESFSSDDVQNLQFIPVANINSSSTVTTDSSSSATTFSTGPNSPPAFPADTSVPGKARSKRSRAAPCDWSSRLQLLLSPATSSSESNNISPPSVNNTTFATAKATKAPSKKRESVETPGRKCLHCASDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPASSPTFISARHSNSHRKVLELRRQKDLQRHQAHHHQHQLLSQPTIFGVSNGGDEFLLHHHQNCGPNFRHLI >Solyc11g045440.1.1 pep chromosome:SL3.0:11:30821944:30826242:1 gene:Solyc11g045440.1 transcript:Solyc11g045440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAHLMKELYKRHCPSSLTRYCWIGLSPIVQDSPLLPLSESGSCLSPSVADHPKRPAKHHWHGQPVPDQLPNTTQAHQTALFSFLQDLARTVRQIPTRYAPVRHFVLNSSHLLGETTTSTDCDNTRPWIKALTCSRRRCATGLTPFLPAWGVAIDAKMKTPQRQLGGTRDSWIMGIDLTIVGQNPSLSISMFAKLSSFLAFMKPTLTDISSIFVDGGSDESIKLDAAYLDAVLVSRSKVSGDHFLFRALPGKQAGLSLKRIILGLISSWNHGKNLRKRARRWIRQNENQDMCRMLFK >Solyc03g031860.3.1 pep chromosome:SL3.0:3:4350647:4356442:1 gene:Solyc03g031860.3 transcript:Solyc03g031860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSY1 description:Phytoene synthase 1 [Source:UniProtKB/TrEMBL;Acc:A1Z0Z4] MSVALLWVVSPCDVSNGTSFMESVREGNRFFDSSRHRNLVSNERINRGGGKQTNNGRKFSVRSAILATPSGERTMTSEQMVYDVVLRQAALVKRQLRSTNELEVKPDIPIPGNLGLLSEAYDRCGEVCAEYAKTFNLGTMLMTPERRRAIWAIYVWCRRTDELVDGPNASYITPAALDRWENRLEDVFNGRPFDMLDGALSDTVSNFPVDIQPFRDMIEGMRMDLRKSRYKNFDELYLYCYYVAGTVGLMSVPIMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGRVTDKWRIFMKKQIHRARKFFDEAEKGVTELSSASRFPVWASLVLYRKILDEIEANDYNNFTKRAYVSKSKKLIALPIAYAKSLVPPTKTASLQR >Solyc03g044540.1.1.1 pep chromosome:SL3.0:3:9946740:9947111:1 gene:Solyc03g044540.1 transcript:Solyc03g044540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSAHVQGHQMIKKTVTQFERSTKLLVLPFAIALEYVFRHLMKEMVQLVEKGNILCVQLWDVTDDNNHKNYENTYVVKMEPCEDYAIYCDDLFENCELSYGDEIEIYWDSNTNNFKFKLIN >Solyc04g080740.1.1.1 pep chromosome:SL3.0:4:64931431:64931874:1 gene:Solyc04g080740.1 transcript:Solyc04g080740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSGNSSQIPNNSASDEVFMVVDERKRKRMQSNRESARRSRMRKQKHLDDLMCQVTQLKKENSNILNSITMTTQQYGNVEAENSVLRAQMMELTQRLQSLNEILTYINNSNNNNNYYQEDFQMNPWNLMYVNQPIMASSDMLYQY >Solyc01g058240.1.1.1 pep chromosome:SL3.0:1:65704715:65704891:1 gene:Solyc01g058240.1 transcript:Solyc01g058240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASWFFTESSSIFQNNFRTTTSSTGFFSLHTLPLNLCKSSMFFMLLPNNISFSTFLD >Solyc04g078530.2.1 pep chromosome:SL3.0:4:63326643:63328156:-1 gene:Solyc04g078530.2 transcript:Solyc04g078530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQGGVHNFTWDGVIPRECQPNSNNILRLSVTTKWEIAHEPLNYAVDCLHNCGVGPGMAFANAILKQDPNFGVIGLVPCSKSGTGIHTWIRGNMPYDQLISRAKFSLKHGGTIRGLLWFHGESDTKDKYTARYYKAKVMKFIQDLRDDLKSPLLPVIVVVLRYPKEPFDRKFKFVNVVRQAQMDIDLPNVIKVDANDLPVESDGLHLTTQGQIQLGNRMAQAFLNTKFQSLKYDSNKIYHMNS >Solyc09g015035.1.1 pep chromosome:SL3.0:9:7533100:7536902:1 gene:Solyc09g015035.1 transcript:Solyc09g015035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRENLGFATGPPNISSALPHPKILRLLYINPARLLEKGVGALRQNVHTNLNSKSGSVQTSRAKLGRL >Solyc11g005950.2.1 pep chromosome:SL3.0:11:762434:766381:1 gene:Solyc11g005950.2 transcript:Solyc11g005950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDPTRLKSQPHHHNRRREPPSHHRRAWCCSFTVPPHSPENPAVVPAQNPKKTQSFLKPEVPTKSSSNSLSSFPNSPQNPSRLTRIDPRRILSPGRVSPIDSIDETLNPTGPVIFPEIPKSPTPVDCEQQASGGVGVRDDCSLGIFDVRLNLKGKNGSGLVLELSSEVLSTNSSVFANLIADYRKNSRGFCRIEVPDVENLGVFRDTIELMFEDDIPRKLLRVGAYRAIDVLEVSAGIKFNRSVLSCLKYLEAVPWTEEEEEKLRRLFNKMKFDHETARDISARLYALDMTDSQQTLSKQLVWSVTTCIDANSRNELKSLVKGLLCRSSVYEKDCSDLNKVDIFAICLSCISSLVSLLEEATATSPSVKLAKKEDRTLIDRISKQVDNIIWLLEILLDHQMAEDLVDIWTKQSQLLAMHNCASPLVRYELSRVTAMLFIAMGTGKMHCRSEARSGLLQTWFRPMLLDFGWLQRCKKGLDMKALEEAMGQALLTLPLKEQYALFMDWFKCFSKHGTECPNLSKSFQIWWRRSFLRGSETHASESR >Solyc03g059505.1.1 pep chromosome:SL3.0:3:32317116:32323027:-1 gene:Solyc03g059505.1 transcript:Solyc03g059505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVRANYYKLHGYPADWKSKRRNNTAHISANHVGFNNTPGLPMHDQNTTNESSSSKFPPTVYSGVFCQQQQLHSHQQQFHPHFSPQQYMQLLKLIEPENAKINCDTTATAHASGIGTSLIPDADKWIIDTGASKHMLKTQGAQIKSQQTQATTANVHTGSSLIVVLLYVDDLLATGSCKSLIVQTRNDLQLKFNMNDLGELTFFLGIEFARSKEGML >Solyc01g088480.3.1 pep chromosome:SL3.0:1:83136644:83142965:-1 gene:Solyc01g088480.3 transcript:Solyc01g088480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:K4AYN8] MGTVVESANQGAVSLPTNKKVTVIFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMISNMIKEGKIVPSEVTVKLLQRAIQENGNDKFLIDGFPRNEENRAAFELVTGIEPEFVLFFDCPEAEMEKRLLGRNQGREDDNIETIKKRFNVYMESSLPVIEHYNSKGKVRKIDAVKPVGEVFEAVKAVFAPSNEKVAA >Solyc02g091680.3.1 pep chromosome:SL3.0:2:53552249:53556463:-1 gene:Solyc02g091680.3 transcript:Solyc02g091680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNIHFLILFILLNILEFNVNLTKSNPQPQYPCQPPHHKYKFCNKKLSISNRVHSLISLLTIDEKILHLSDNTTSIPRLGLPAYEWWSESLHGIGINGPGINFNGSIKSATSFPQVILTAAAFNRTLWHSIASAIAVEARAMYNTGQSGLTFWAPNINVFRDPRWGRGQETPGEDPMVVSSYAIEYVTGFQQLNSKADKESSNGHVFGNTRRVLKEDDDAGDKLMLSACCKHFTAYDLEKWGDATRYSFNAVVTRQDMEDTFQAPFRSCIQQAKASCLMCSYNSVNGVPACADKVLLDKVRTDWGFDGYITSDCDAVATIYENQNYTQTPEDAVALALKAGFLAVSSNLLFYVTLSSLSNSYSTILAGTNINCGTYMLRYVKSAFQQGSVLEEDLDRALQYLFSVQFRLGLFDGNPAKGQFAKFGPQDVCTSNNLELALDAVRQGIVLLKNDQKFLPLDKRRISTLAIVGPMANVSSPGGTYTGVPCKLKSIRDGFHRHINRTLYAAGCLDVGCNSTAGFPDAISIAKEADYVIVVAGLDLSQETEDLDRYSLLLPGHQTNLVSALAAVSKKPIILVLTGGGPIDVSFAEKDPRIASILWVAYPGETGGKALSEIIFGYQNPGGKLPMTWYLESYSKVPMTNMSMRADPASGYPGRTYRFYTGDLLYGFGHGLSYTSFSSRLLSAPNRLSLSLGKSNWKRSILAQEHSRLGYIHVDEVPSCSLSKFFIHISVTNDGDMDGSHVLLLFSRVPQNIQGSPQKQLVGFDRVHVPARKSVETSLSINPCEFLSFSNDQGNRILALGEHTLVLDDIEHVVSIEM >Solyc07g039295.1.1 pep chromosome:SL3.0:7:47004783:47007746:-1 gene:Solyc07g039295.1 transcript:Solyc07g039295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATFAGRLRRSLPMLDSTQAKVGSSSWKSTARCMVREVGTGVPLPNPSAVDGLLELLLQRERVTTCCPGDELGMVPSGAFSGHRKANSILVKKFNQARVNGESNYDCLKGTFPLFGPKARFAGQSGRKTLSDEINENKDLVWDRRLKYNLIRISITNKNRESKA >Solyc12g056390.2.1 pep chromosome:SL3.0:12:63262565:63271352:1 gene:Solyc12g056390.2 transcript:Solyc12g056390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRIINFFFIFFYVMISHLFSIQADSTIDIHNNCPFTVWAAAVPHSRGGRQLEYGETWKIEVNTTNNGRIWGRTNCNFDSSGKGQCQTGDCNGLLECEGNGKPPTTLAEYALNQFNNNDFLDISVVDGFNIPMEFSPVSADECSVRIRCTADIIGQCPNELRTPGGCNNPCTVFKMNVYCCTSGNCGPTNYSRFFKDRCLTSYSYPRDDSSSTFTCPNGTSYKVAAASPGRGRRLDSGQTWNINVNPGTTMGRIWSRTNCNFDGNGRGKCQTRDCNGRLKCQGFGSPPNTLAEFALNQPNNLDFFDISLVDGFNFLWNSAQSTECVVISYAKHLLMINVQTNYGHPIGVTTREEFSRRMNFVVQMVEDAYSYPRDDPTSMITCPVGTNYKVVFYPEAVPGGGRQLEYGETWKIKVNTTKNSQRLWGRTNCNFNKLGRGQCQTGDCNGLLEFQDYANMSFIKSFFISFYIMNSHFLNQANAIIDIQNNCPFTIWAAAVPGGGRRLEYGDTWKIEPDMTTSSTKKGRIWGRTNCNFDSLRRGQCQTGDCNGLLECQTFSSTPPNTLAEYALNQFNDADFIGISLVNGFNIPIEFSPVFADECSTRIRCTADIIGQCPNELRTPGGCNNPCTIFKTGEYCCTFGNCGPTNYSNMHFLKFFPLFVFLYFGQYYLYVTHAATFDITNRCTYPVWAGASPGGGRRLDSGQTWNINVNPGTTQARIWGRTNCNFDGSGRGKCETGDCNGLLECQGYGSPPNTLAEFALNQPNNLDFVDISLVDGFNIPMEFSPINGGCRNLLCNAPINDQCPNELRAPGGCNNPCTVFKTNEFCCTNGPGSCGPTDFSRFFKQRCPDAYSYPQDDPTSLFTCPAGTNYKVVFCP >Solyc08g007330.3.1 pep chromosome:SL3.0:8:1892855:1906754:-1 gene:Solyc08g007330.3 transcript:Solyc08g007330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDAGERSSFVAGFIENRAKEVGVAAFDLRSASLHLSQYIETSSSYQNTKTLLQFYEPMVIIVSPNKLAADGMVGVSQLADRVCSSTKKVIMARGCFDDTRGAVLVKGLAAKEPSALGLDSYYKQYYLCLAAAAATIKWIEAEKGVIITNHSLLVTFNGSFDHMNIDSTSVQNLEIIEPMHASLLGTNNKKRSLFHMLKTTRTIGGTRLLRANLLQPLKDIETINTRLDCLDELMSNEQLFFGLSQALRKFPKETDRVLCHFCFKPKRVTNEVLASDNGRRSQIMISSIILLKTALDALPLLSKVLKEAKSCLLGNVYKTICENEKYTSISKRIGEVIDDDVLHTRVPFVARTQQCFAVKAGADGLLDMARRSFCDTSEAIHSLANKYRQDFKLPNLKIPFNNRQGFYFSIPQKDIQGKLPSKFIQVVKHGNNVRCSSLELASLNVRNKSAAKECWLRTALCLEALMDAIREDVSVLTVLSEVLCLLDMMVNSFAHTISTKPVDRYTRARFTCDGPLAIDSGRHPILESIHNDFIPNGIFLSEASNMAIVMGPNMSGKSTYLQQVCLMVILAQIGCYIPARFATLRVVDRIFTRMGTMDSLESNSSTFMTEMKETAFIMQNVSHRSLIVMDELGRATSSSDGLAIAWSCCEHLLALKAYSIFATHMENLSALSTMYPNVKILHFDVDVRNNRMDFKFQLKDGSRHVPHYGLMLAGVAGLPSSVVETAKRITSRITEKEMKRMEVNCRQYEDVQLIYRVAQRLMCLKYSDQDEDSLREALQNLKENYIGGRL >Solyc06g009780.3.1 pep chromosome:SL3.0:6:3781847:3786343:1 gene:Solyc06g009780.3 transcript:Solyc06g009780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGALSFSVASVVEDVLQQHGSRSRNLDLDARRAEEAATRRYEAAAWLRKVVGFVGAKDLPAEPSEEDFRLGLRSGIILCNVLNKMQPGAVSKVVESPVDSALIPDGAALSAFQYFENVRNFLVAAQELGIPSFEASDLEQGGKSSRVVSCVLGLKAYSEWKQTGGTGVWKFGGNVKSTTSAKQFVRKNSEPFSSSLSRSVSMNEKSTNGVCTEAESNKMSSSSLSNLVRAILIDKKPEEVPNLVESVLNKVVEEFEQRITSQIQLNKAITPKDSAVSCGNKFVQKHSSASTKADQRTVTLMKEENRIVSEELQRRYMMQNTFVDQQQQDIKDLKQTLLTTKAGIHIHGLAHAASGYHRVLEENRRLYNQVQDLKGSIRVYCRVRPFLPGQSSYISNVDHIDDGSITIGVPSKNGKGRKSFNFNKVFGPSATQGEVFSDTQQLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTEQSRGVNYRALGDLFLLAEQRKDTFLYDVSVQMIEIYNEQVRDLLVSDGVHKRYPFQFFSIAFL >Solyc12g040747.1.1 pep chromosome:SL3.0:12:55966402:55968172:1 gene:Solyc12g040747.1 transcript:Solyc12g040747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGHPIAFISKALSPRHAALSVYDRELLTIVQAETKWSHYLLGQKFIITTDQKALKFLMEQKIHTNSQLLWLTKLMLFDYAIEYKKGVDNKVADALSRVSGAELLALVVSAAGTDLFQAIVDSWSSDAELQQLITDLQTDPTTRNLFTWSQGHLRRKGKLVIGKDQSLRTEIMTLWHVGSQGGHSGVEATLKRLLTLFYWKHMRTDVKQFIQSTYCPEPELELERRMVKQGNKAVAQVLVKWCGFPADNATWEFATVLKTRFPLFDP >Solyc12g039075.1.1 pep chromosome:SL3.0:12:53162098:53162588:-1 gene:Solyc12g039075.1 transcript:Solyc12g039075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCEYAQQEIKFLGHLVTPRHMKDLSSFLGLANYYRKFIAGYSKRAAALTDLLKKDTKWNLKNAIASEPIVKLPDFELPFEVHTDASEKAISGVLVQEVIQWPLKVGN >Solyc05g045665.1.1 pep chromosome:SL3.0:5:58253345:58255691:-1 gene:Solyc05g045665.1 transcript:Solyc05g045665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAGTLNLSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSKEQPPKTAEQRDHMTLVPYASAVGSLMYAMVCIRPDIAHAVGVVSRYMANPGKKHWEAVKWLLRYLRGTSNTSLCFGKGKVTLQVFVDADLGGDVDSRKSTSGYIYTIGGTTDLFLMKDLETKGILHTVPSIDGLYSFQVKKLLSPASFPASLGVWHAHLAHTSYPIVHQALSTTIFRSSKSSSLCTACAASKSHKVPFSESTFKTSCPLDSICSDVWGPAPVVSNDSYRYYV >Solyc12g011340.2.1 pep chromosome:SL3.0:12:4182959:4191013:1 gene:Solyc12g011340.2 transcript:Solyc12g011340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAKEVSGETTVVEAPAVQSLSSPNTDNTSNNSESNGVKDSSDSIANAKSEFHMHDIADMLKKLKLNPQAKEFFPSSYNRGTVGAGDQMILSNFVPANKTTGGDGFQNNRRRGSNFNQGKRRMNNRAYKAQREDSIRRTVYVSEIDSNVTEEQLAALFSAYGQVVDCRICGDPHSRLRFAFVEFADEYSARAALCLCGTILGFSQLKVLPSKTAILPVNPTFLPRSEDEREMCARTVYCTNIDKKVTQADVKNFFETRCGEVSRLRLLGDHVHSTRIAFVEFVMAESAILALDCCGEVLGSQRIRVSPSKTPVRPRLPRAMMQ >Solyc06g065040.3.1 pep chromosome:SL3.0:6:40672692:40677415:1 gene:Solyc06g065040.3 transcript:Solyc06g065040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGTTGDGGGGLSRFRSAPATWLEALLESDTESEVILNPSSPILHTPNKPPPHPSTPKLKLETGGATRFTGDPGLFESGGSSNFLRQNSSPAEFLSHISSDGYFSNYGIPSSLDYLSPSVDVSQSAKRTRDDDSESSPRKLVSQLKGESSGQLHGSGGSLDAEMENLMDDLVPCKVRAKRGCATHPRSIAERVVHVLLLCKVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVEYVKFLQRQIQVIHEFTPVIEYPEIQWKRK >Solyc06g011360.1.1.1 pep chromosome:SL3.0:6:6728760:6728996:-1 gene:Solyc06g011360.1 transcript:Solyc06g011360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHYRGGHATKGPGDGVNSGRDEFEVSLIGVAASSFCICPFSDDVLLSLALAFFEFVTSYFRRKILQLCSPFSSENC >Solyc04g007860.3.1 pep chromosome:SL3.0:4:1532495:1539503:1 gene:Solyc04g007860.3 transcript:Solyc04g007860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI028 [Source:UniProtKB/TrEMBL;Acc:G8Z257] MGTLQTWRKAYGALKDHTTVGLAHVNSDFKDVDVAIVKATNHVECPPKDRHLRKLLVFTSAMRPRADVAYCIHALARRLAKTHNWTVALKTLIVIHRTLREGDPTFREELLNFQQRGHVLQMSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRVLKYDIEGERLPKPAQGQEKGYSRTRELPSEELLEQLPALQQLLYRLIGCRPEGAALGNYVIQYALALVLKESFKIYCAINDGIINLIDKFFDMPRHEAIKALDIYKRAGQQAMNLSDFYGVCKGLELARNFQFPVLREPPQSFLVTMEEYIKEAPRIVSVPIETLDYPERLMLTYKQEDEPSASEDAQDSANETPPPLPLDDAVVSTTEAPSPPMPPPPSSLESDDLLGLNAPSGYASAIEDSNALALAIVPSGTTPFDSNPAQPKDFDPTGWELALVTTPSSDLSAAQERQLAGGLDSLTLNSLYDEGAYRASQRPVYGAPAPNPFEVADPFAMSTTMPPPPSVQMAAVPQHQMNPFGPFEPAYPQPQNPMLNPHNPFGDAGFSAFPTNHVAHPQTTNPFGSTGLI >Solyc11g018837.1.1 pep chromosome:SL3.0:11:9679700:9681450:1 gene:Solyc11g018837.1 transcript:Solyc11g018837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRSDLYMFILIFVYGMLFFNLTFTSTTSSVQPIIFELKHPFIFDLISGNRDIIMWDAHRSWIREGLFAKHENNQGNPSGTFDVMFSRSRYATLIWNYAKQKQDNGAISESEAPPRHAMPQSVRVVSAPIEI >Solyc02g092110.3.1 pep chromosome:SL3.0:2:53960693:53961812:1 gene:Solyc02g092110.3 transcript:Solyc02g092110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokine peptide [Source:UniProtKB/TrEMBL;Acc:Q7PCA6] MSKASASFFFIILLLCFALSYAARPNPLFHEATLNNIQHQDVVEPKEVGKEESCKGVKEEECLERRTLAAHLDYIYTQNQNP >Solyc02g085430.3.1 pep chromosome:SL3.0:2:48970744:48975421:-1 gene:Solyc02g085430.3 transcript:Solyc02g085430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPPSTASSDKDETTSSSPSNSSPSPPPPAPPTSPPPESPPPVNSPPPSKESSPPSSPPPSASESPPPPSDSTTTSLNAPEASPPTSSKFNPPPPVSSGSPSLSAGKLSPPPPSEKSAPFEKPDGAQHSGKPAAGSSGNNNGSPSSNSGSSDMFVVTGIAVAGLMIFATIIVCLIYWRRKKKEHYYTNPPPGPSRRPPKGSTDPYYKGPKPMEHIIKVPTTVYTSNDSTFAVNGQALVPSPSLGGFSKSQFTYEELARATSGFSKANLLGQGGFGYVHKGVLVDGTVVAVKSLKSGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIADRQRMLVYEYVPNKTLEFHLHGTSPVTEYSLYDTLLKYFRKSLYALARKGHRVMDWGTRLKIALGSAKGLAYLHEDCIQFFLFTMLPFSFTLCFSFSTLFLHTGHPKIIHRDIKAANILLEDNFEAKETYDVGFQHKSPLLLEALLNNHDNLGNYKSVADFGLAKLSSDNLTHVSTRVMGTFGYMAPEYASSGKLTDRSDVFSFGIVLLELITGKRPIDPSNIMEDSLVEWARPLLKTALEEGKYDELVGAPFEGNNVPKELHRLVVCAAASTRYSAKRRPKMTQIMRALDGDSSLEDMSDGAKTDTYDTSAYNADMIKFREMISNQEFNSSEYGGTSDYGLNPSSSSGDSSELDHHQRSRG >Solyc05g026427.1.1 pep chromosome:SL3.0:5:41322072:41322422:-1 gene:Solyc05g026427.1 transcript:Solyc05g026427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNSSSNISGDMIIKYDICLKNHSTNFGDYSVDGCREFVKKGDNGTKEKYICANCGCFRSFHRINFHPHVNPHGGGNAPIIFNPFMTRFASVQYIRRPIFH >Solyc04g010070.3.1 pep chromosome:SL3.0:4:3364900:3379013:1 gene:Solyc04g010070.3 transcript:Solyc04g010070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFNGDVASLDAELLQLPELSPLAIKTNPFVAEKLFDQWLSLPDTAALVKSLIKNAKGGGPLNVSTTSSGTNVVATNSLPSMFPAGSTPPLSPRSSSGSPRISKHRAGPSSLGSPLKLINEPAKELIPQFYFQNGRPPPNELKERCLFRINQFFYGHTDGLQMNEFKPITKEICKLPSFFSAVLFKKIDVDGTGVITRDAFVDYWIHGNMLTKDIATQMYTILKQPDLRYLVQDDFKPILRELLATHPGLEFLQSTPEFQERYAETVVYRIFYYVNRSGNGRLTLKELRRSDLIAAMQHADEEDDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDMDGNGVITRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIVDMVSPENESYFTLRDLKGSRLSGSVFNILFNLNKFMAFETRDPFLIRQERENPNLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >Solyc01g104100.3.1 pep chromosome:SL3.0:1:92455500:92457411:-1 gene:Solyc01g104100.3 transcript:Solyc01g104100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILSSKKADKTIVEVEGVGGYYTWSSSQFPVLSQKQIAAGLLLLQPRGLALPHYADSSKIAYVCEGECIAGLISPEDSKEEVVKIQKGDTIPVTLGTVSWWYNVGDSKLTIIFLGESSDEYTPGEYCYFFLTGAADILNGFPNEVIAKSFHMKKTESEKLMKDQSSLNILIKVNEGIPIPNPSNSAKRKLVYSLYDAKPCVDVKNGGVLSSVSGKNIALLGEIGLSANRLVLERGAVLGPIFTADSSIHLSYITKGSGRVVIVGLSGKVVLDTKVEEGQLFFVPKFFPFVVEADEGGIELFSLKTSSKQTYGELSGGKKSIWEAASPSILEASLNMTPDLTKSFKSKIAKGSVIAPPSTA >Solyc10g054290.1.1 pep chromosome:SL3.0:10:55166572:55168860:1 gene:Solyc10g054290.1 transcript:Solyc10g054290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLILCFIYRQEFLLLSENHISGSLPEELGYLPNLANFQLDLNDISGPIPKSFANLTKVAHSLLDNNKLSSHLLPETTRMPSLMIFQIDNDYFEGSVVPASYNNMSKLVKLDLSRNQLTGNIPTNKLSDNITTIVLSGNMLNGFIPLNFSALPNLQRL >Solyc03g031880.3.1 pep chromosome:SL3.0:3:4368182:4373909:-1 gene:Solyc03g031880.3 transcript:Solyc03g031880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKGNDSGRRHGSSPSVIVIGGGISGVAAACFLHNAYFKVLLLESRDRLGGRIHTDNSFGCPVDMGASWLHGVCNENPLAPLIRRLGLTLYRTSGDDSVLYDHDLESCMLFDMDGHQVPHKIVAEVGDVFKKILDETEKVRNENSNDISVLQAISIVLDRHPELRQEGVSHEVLQWYICRMEAWFSADADTISLKTWDQEQVLTGGHGLMVQGYHPVIEALSKDIDIRLNHRVKAITDGYNKVMVTLEDGRNFVADAAIITVPIGVLKANLIEFKPELPDWKLSAIADLGVGNENKIALRFDTVFWPNVELLGIVAPTSYACGYFLNLHKATGHQVLVYMAAGRLAYDVEKLSDKEAANFVMRQLKKMFPDAPEPVQYLVSRWGTDPDSLGCYSYDLVGKPTDIYDKLRAPLGNLFFGGEAVCMDDHQGSVHGAYSAGIIAAEDCCQHLIKRLGSVQLVSSREEILKSIVPLKISRM >Solyc03g007395.1.1 pep chromosome:SL3.0:3:1936375:1941061:1 gene:Solyc03g007395.1 transcript:Solyc03g007395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEILSCYVEPNSECSTIAIAFQSEKPSQLLINRGSITSGYRIVKYEAILLGEGLTLVSVRVERKILSTRATDQLYYGPFMADLNLIMYKVTVISSISDMLSAYAQISGIVSGWLKKVSMLKETTVPQEFTPTMTNFRIFEIWALSLLLHFLLKFMEQDNNKCTSQNVYPNLWAVSSSTINLKRDLKLKTFLLYGVGLEMGARNYIIPTTSVAH >Solyc05g042007.1.1 pep chromosome:SL3.0:5:55341636:55343432:-1 gene:Solyc05g042007.1 transcript:Solyc05g042007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKYSQLLEIGNLIKSYVYFNCKTQNKHNFRKLPNYLSLSHFSHCRYSTSPTSTAVLLRRLYRTPPPSPHSSINFSYSRDSKWDRCCKVSLATQPSPVLALSDRENVSSLSLA >Solyc05g044610.2.1 pep chromosome:SL3.0:5:58027439:58037488:-1 gene:Solyc05g044610.2 transcript:Solyc05g044610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNYAGRGAFPSTRVPSLKRELLQFLLEEVSSPNSSTAMCLPYNVPNPNLLSLLEIDTEATLDVLRYAFVEGENESYSPASNPADSKTETTEVNISTIEGISLVQKVVDVLAVILNLSYFQTGGTINNKDEICTDIWPTRKDTEYILDFISFLIASEKAKVSKDTLCQIFEYLTLGNETYTNVSGRIVETFNRKQKQLSALLEVLPEEDWDAHYLLNLCERAQLHQVCGLIHAITHQYLSALDSYMKAVDEPILAFVYVDDMLRQLRGKEYDDFRSAVISRIPDLLKLNRLQYNSIMEGTFFLIVNHFGEESDYILSQLQANPESLFLYLKTLIEVHSTGTLNLSSLRKLDASDFPSGRNKKHMSSEVYLEALSDLPKLLQNYPIHITDEMTELYIESIILCPGVDHPESNKSLKLYTPKTRNFSRDSQQHVEMRSKGIATGIPLLKTRTDVSTIIYLEMSVLVKLIRQCTDVFHGPSLLPPTDRYERKSVLRFLETSESYRVERCLHLCQEYGVIDAAAFLLERVGDIGSALLLVISSLSDKFILLDTAVESEHCATAPEHFKAILSKKEVTDIIEILRTCIGLCQRNSPRLDSDEAESLWFQLLDSFCEPLMDSHDHMIRYKEDECVQEGERACKIQWKVSKSHRNAHILRKLLSVFIKEIVEGMIGYVSLPRIILKLLSDNETQEFGDFKPTILGMLGTYDFERRILDTAKSLIEDDTYSSLSLLKRGASHGFAPWNLLCCICNCSLTKDFSASSIQIFTCGHATHQQCEPQESEASIRGNSTGCPICMPRKNSEKLRSKSMLVENGLVKSISKSHQTNGTTGLYPHENDGFDNSYGLQSVSRFDLLLNLQKTHQSMQLENIPQLRLAPPAVYHEKVKKRNIPSAGESSNGLAKPEKPSRSKHLRDVKRRTLSCDSNTFKLEAANPIVIFLVYLRYVVHYSRN >Solyc04g025650.3.1 pep chromosome:SL3.0:4:21290588:21295307:1 gene:Solyc04g025650.3 transcript:Solyc04g025650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGCDEMQEIVIVGGGLCGLATALALHRKGVKSVVLEKSESLRSEGAAIGVLPNGWKALDQLGVAPYLRTTALPLQGMRITWMDKGNEKFTPYKNIGEVRCLKRSDIVETFADALPPRTIRFGCDIVSVEMDPITSLPSILLSNGNRIGAKVLIGCDGSRSIVASFLGLKPAKTFRTCAIRGLTSYPNGHSFPLEFVRLIVGQTAVGRLPITDKLVHWFVSVQQGTDAKFPQDTQVIKQRAMEAVIGHPADVQEMIKKCDLDSLWFSHLRYRAPWDLMFGNFREKTVTVAGDAMHVMGPFLGQGGSSGIEDAVVLGRNLAKTINGSCFDHEEAVNQYIKERKMRVVKLATQSYLTGLLFENRPMLTKIVIVAVMAIFFRNPSAHTQYDCGLL >Solyc09g056185.1.1 pep chromosome:SL3.0:9:47651344:47654149:1 gene:Solyc09g056185.1 transcript:Solyc09g056185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTAHFIDRDWVLHKRILNFCPITSHKGEHLAESISNCLLDWKLDNVFTVTVDNASSNDVAVLELSKKLDMWGTNLTEGKHLHVRCMAHILNLIVQNGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDELLGEETGNVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSGISQNMSKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLEDQEPESEDFDILSWRKVNSPRFPVLSQLARDVLAISMSSVASECAFSTGGRILDPFRSSLTPKSGKKSHVQCLWRSWIVTTLGTRMIRMIPSFHIASELEFCSILAEFSYRGAGCPSEILEPFELK >Solyc02g085580.3.1 pep chromosome:SL3.0:2:49048578:49052936:1 gene:Solyc02g085580.3 transcript:Solyc02g085580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPADPDNSSAMNDSTGSGEASVSSSGNQVVPLKESAKKKRNLPGMPDPDAEVIALSPTTLLATNRFVCEICSKGFQRDQNLQLHRRGHNLPWKLRQRSSNEVKKRVYVCPESSCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDLKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAQESAKTLPEKPPSTNEEPKTQAVASSSPPPSPPAPPPATESQPPPPPPAAPKSLMTPTVPPSTAVMSFASSVQNRELRENPVTNSAGAATKQVVEEAAVVASLTGNCSSSCSNGSSSSNVFGSLFASSTASGSLPSQAPVFSDIFRAMAPEHTLEMAPPSSTEPISLGLAMSHSSSIFRPAGQERRQYAPAPQPAMSATALLQKAAQMGAAATSSSFLRGIGVMSSTSSSNGHQEWSGRPSDANGASLAAGLGLGLPCDAGSGLKELMLGTPSVFGPKHPTLDLLGLGMAASVGPSPGLSALLTSMGSNLDMVTSAGSFGSADFSGKDLGRNS >Solyc12g088940.2.1 pep chromosome:SL3.0:12:65106274:65112108:-1 gene:Solyc12g088940.2 transcript:Solyc12g088940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSRKTFSLFLSSSASTSPSHESPKTSGGGGGKRLTRLRKLRHVGDDEIDLQHNDFGSQSMPVSPDSYKNSGSSGSQSTQIKQRCRWSKSVEPHPLPLPEFNSVPKQSTSDANLPTRVLQGDASNPAVIRDPSHQTPVEMANPVHKRSSTPTYRRRRFSQDQNSKSVEENFRLNVPARSAPGSGFTSPNLSPIRYSTVDLFHPTFHQASPPTATSSDRWVGYSAQLLTARVTQSADHSPLSSPARQNLVNKTLNHQNVAVHSHHKSLPESPVDWPEGNNVHPLPLPPGVSQQPQLPTTQNNTDKPDTPYVKGQWQKGKLLGRGTYGSVYEATNRETGALCAMKEVDLNPDDPKSAECIKQLEQEIRVLRQLKHQNIVQYYGSEIMKDSFCIYLEYVHPGSINKFVRDHGGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGIVKLADFGLAKHLSSHATELSLKGSPHWMAPEVMQAMLRKDANPELACAIDIWSLGCTVIEMFTGQPPWSGLDGVKAMFSALNKSPPVPETLSSEGKDFLRCCFQRKPADRPTALMLLEHPFLSNTNSRENSATVSGSSEDFSRMKLHSPKDMTNHIMELNSSRQTKSPYNGY >Solyc09g008650.3.1 pep chromosome:SL3.0:9:2103703:2112617:-1 gene:Solyc09g008650.3 transcript:Solyc09g008650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4CQQ1] MNLFLYHPLKATPFLHSLPSTPFSGNRPFSANCSRTALPCVCLSKSSLDVPEKNISQQNEGRRAMMGSFLIAAAGLCLCDVAGAASTSRRALRGAKIPESEYTTLPNGLKYYDLKVGAGAEAVKGSRVAIHYVAKWRNITFMTSRQGMGVGGGTPYGFDVGQSERGTVLKGLDLGVQGMRVGGQRLLIVPPELAYGKKGVQEIPPNATIEMDIELLSIKQSPFGTPVKIVEG >Solyc06g054660.1.1.1 pep chromosome:SL3.0:6:37539491:37539814:1 gene:Solyc06g054660.1 transcript:Solyc06g054660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFTHYIIMIIVFPIFCFILLCMALLALRCYLKKKTTMVEEIEVKHVDKNMRVKENIVKGSHGEAEVSVEGDCHVDDDIVTKRKELKAKISAETTPSDLEAGHSY >Solyc01g097490.1.1 pep chromosome:SL3.0:1:88196045:88196899:1 gene:Solyc01g097490.1 transcript:Solyc01g097490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRMHSLMVIQLRARVQRVQMTEEAHTPNSRKSQKVSSGNNQLTRACRIEKMDASIQEKGRVQKNNSTKNRSAGMENGLSTSEARRFSVSRRSHQVLQTCPSPSTLSDMSTISYDRNIEDFSFKTPEKGFEHCSNVSTTTSSKTPFSIPHSENPNSIFSSATLALTYMSNTESSRAKARSHSEPRQRPNWSIIRKSKRTPSMDGITGIPDSRREETPTHSRRHNVPESHEAWLLKLYKQAKSIKHVKVDSASIVSTI >Solyc03g032020.3.1 pep chromosome:SL3.0:3:4527816:4545973:-1 gene:Solyc03g032020.3 transcript:Solyc03g032020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRRAVKPLDASKHISSGEPLRPRNQDSSVKASDALPLPLYLTNGLFFTMFFSVMYFLLHRWREKIRDGIPLHVLNFSELVAMFSLIASVIYLLGFFGIGFVQSFVSKGNNDSWDVEDETPEQFIDATVTSPPVRRNIPMKSVPVDENAAQIITPFSGEDDEVVIKSVVEGRIPSYSLESKLGDCKRAAFIRKEALQRTSGKSLDGLPLDGFDYESILGQCCEMPIGYIQIPVGIAGPLLLNGNEFSVPMATTEGCLVASTNRGCKAIYVSGGATSVLFRDGMTRAPVVRFGSAKRAAELKFFVEDTMNFETLSVVFNKSSRFARLQNIQCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQNEYPDMDIIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTEVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNDGKDLHISVTMPSIELQHSQYFLTFLHFFSVNSGDRKIFTMDVRRRAVKPLDASKHISSGEPLRPHNQDSSVKASDALPLPLYLTNGLFFTMFFSVMYFLLHRWREKIRNGIPLHVLNFSELVAIFSLIASVIYLLGFFGIGFVQSFVSKGNNDSWDVEDETPEQFIDTTVTSPPVRRNIPMKSVPVDEKDAQIITPFSLEDDEVIIKSVVEGRIPSYSLESKLGDCKRAAFIRKEALQRSSGKSLDGLPLDGFDYESILGQCCEMPIGYIQIPVGIAGPLLLNGNEFSVPMATTEGCLVASTNRGCKAIYVSGGATSVLFRDGMTRAPVVRFGSAKRAAELKFFVEDTMNFETLSVVFNKSSRFARLQNIQCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQNEYPDMDIIGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVKKVLKTEVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNIESSHCITMMEAVNDGKDLHISVTMPSIEGATSVAAMRNASFEALS >Solyc03g115840.3.1 pep chromosome:SL3.0:3:66907819:66911074:-1 gene:Solyc03g115840.3 transcript:Solyc03g115840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTLADGVNYLPHKTMRRIQETLSSDGDLTAVDQDQPSAPALLALKQKRLTFAWLDGEAQKANSFSVLQSYCFFYINSENSHETCGPMRDITDTAQLFIVRYDRNDTEDVGKQPTSKFSELYNVETDPAAQLVARYSGSNKIEQITASTVDNIKVVPSTDSYARSLIKIMKKHIIQWISEIIKDGDSKNLPSFKTRTPELVPEDADSSWSSWSQGTVSPSRGLIYWVKSFLNKVHDFSGDPRVGPFLLLAALISFGSVWFKRSQVAQSSGLDHSSQKSNEPERSNQQTREPDQSNQPKAKDATMRKRRTRPRNDLVPPSMTDVDPKNAYQVEFSDSDTG >Solyc02g086950.1.1.1 pep chromosome:SL3.0:2:50169128:50169601:1 gene:Solyc02g086950.1 transcript:Solyc02g086950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQEVGTATRVIILAMVISVLSLFVLVGVLVLIHICVLVREFNRRSDNINMAERGSTNMSMSKEDIEKLPCFIFQAKEKGTSTPVDCAICLDNFKVGDKCRMLPQCNHSFHAECIDLWLLKSLYCPICRTSTDILRDCSISAGESSGCSGSGQTRN >Solyc12g009390.2.1 pep chromosome:SL3.0:12:2672946:2680986:-1 gene:Solyc12g009390.2 transcript:Solyc12g009390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRKEPCRNFMRGSCQYGERCKFLHAAQQQPKPNPFGFGSQSTNFQSTNMQQTKSNPFGFGVQSNSQPRGSSDLGLKQNQYKPFENKWTRSATTNSSSSRQTDNQPVAPNHTCTDAESCRRQIVEDFNNEKPLWLLTCYGHRKNGPCDITGDVSYEELRAVAYDDAKRGQSLMSIVERERSQVNSKVAEFENLLQNPYASSSTSALNAQSPFPGATPSASLSAQSPFPGATPSASSPFPGAAPNASLSAQSPFPGAAPNALSSAQSSFPPSASSFSQLGTILNTGTSTPPTSTFGQPSLPGNSFKTSNSSGVNAFSFGNTSTSGSFGFGTQVPTQSYQNPSTPSSIFASSGRNLFSTSTTSPHFANPSGGQLPTTSQGLFPVATSPVSINLTNIASTEDFSGDNSIWTKKEWKIGEIPEEAPPDRYVF >Solyc07g014640.3.1 pep chromosome:SL3.0:7:4982916:5031189:1 gene:Solyc07g014640.3 transcript:Solyc07g014640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVSPYRICPLGAHIDHQGGTVSAMTINKGILLGFVPSDDTQVTLQSGQFEGEVRLRIDEVQLPKHMYGTNGLTEQMDSSPPQEEWKWGNYARGAIYALQSKGNHLKTGITGFICGSEGLDSSGLSSSAAVGVAYLLAFESANGLVVSPTENIEYDRLIENEYLGLKNGILDQSAILLSSYGCLTFMNCKTIKHKLIHPPTVENNHEGEFGNAYKILLAFSGLKQALTTNPGYNRRVAECQEAAKILLQASGDEEMEPILSNVKPEVFEAHKSILEPNLAKRAEHYFSENERVMKGIEAWASGNLREFGELITASGLSSIQNYECGCEPLIQLYQVLLKAPGVLGTRFSGAGFRGCCIAFVEADKAEEAATFVVDEYSKLQPELASHLNQGPAVLICDASDSARVISNTFS >Solyc12g015925.1.1 pep chromosome:SL3.0:12:5964620:5976999:-1 gene:Solyc12g015925.1 transcript:Solyc12g015925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFVGLNSINNDDFEEYLNQYLEKVEDKDNNEDLLGWWRRQNVAFSILNKMRNRKIKESVTDSQIHKCYKFFVGKIFKFQFVELQSNHCFPNLKWQLKVKMQIQFKTDQGHNKEFCHKIVGYLPDFKSKRKVQGTSPAYGNTGSNNYSQTRLTHANLTYGMNTNVPPPRWGNISEQYQSSSETPSVNRTVSPAEKEVQQLLQGCTFTKDQYDHILKMIQQNFEPTTSVCNTANNTGKTSFVSEHSNMWIIDIGATNHMVSSLNMLTNNTVHELEVSKPVYLPNGTTTQMSHIGSCNPSLQERVFVDPMQISDMLSSDVQCINPVIPRCPSTTVSHDDNVSHETHILSSVDEVEQSPTGDVVDNEMNQESASLTTHRRSTRQKLKPTWMKDFVSLSVNKDSEEGTILMLVYVDDMLITGSSLKLIEDTKKALQQAFKMKDLGELKYFLGIEFTRSAAGILMHQRKYTLELIAEFGLTAAKPAGTPIDINVKLTSKLYDEHVKKAESDDSLIDQTTYQKIIGKLLYLNMTRPDISFSAQTLSQFLQQPKRSHLDAALRVIRYLKKQPGQGLLLASDSDGQVTAFCDADWASCTLTRKSVTGYMVKIGRSLISWKAKNQTTISRSSAEAEYRSLASTVSELLWLLGLLKEVGTKAQVPVQVLGMSMISSIDVIAFSWCILVIVSIA >Solyc10g006390.3.1 pep chromosome:SL3.0:10:996419:1004741:-1 gene:Solyc10g006390.3 transcript:Solyc10g006390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSASRSPARGRGSPRRRSPSRRERSPAHKRSSHAASSAVAEKPSRRARSRSPVPLSPAREKPSSRNKSPKRRKSISPASDSPVREKPSSRMKSFKRAKSRSPDSKLLQGEKSSGRARSPKRAKLQSPEQRSPSPRTKRLRRAERETEEKLRERDPEKNHRRSNDRATYREKDSDKMLPESRSPSPRTKRLRRAEREAEEKPREREPEKNHGRASDRATHREKDSDRMLPESRSPSPRTKRLRRADREAVEKSREREPEKNHGRASDRAAHKDSDRVMQIEKRETKSGKDSKDNGSYKSRNGLSASLSERQHRSRHRSRSPVAADSRAHSEVTNLTRDELRNGEDDSLSKMMEAEEALEAKNKDKPSFELSGKLAAETNRVRGITLLFNEPPDARKPDIRWRLYVFKGGEVLNDPLYVHRQSCYLFGRERRVADVPTDHPSCSKQHAVLQYRQVEKDKPDGTSSKQVRPYVMDLGSTNGTFINENRIEPERYYELFEKDTLKFGNSSREYVLLHENSA >Solyc08g079150.1.1.1 pep chromosome:SL3.0:8:62916996:62917484:1 gene:Solyc08g079150.1 transcript:Solyc08g079150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRGFRIGRRLVRVFSWLIHRRRNGNKRLSCASRAISKLCKLGVLLKQRAKGLCFGKPNSGYVRVGQEPIDLKQVSVPKGHLAVYVGEKEDDTCRIVVPVIYFNHPLFAELLREAEMVYEYNYPGRIQIPCRISEFENVKSRIAATGGGGGELRWRQSYR >Solyc12g033060.2.1 pep chromosome:SL3.0:12:37828907:37831999:1 gene:Solyc12g033060.2 transcript:Solyc12g033060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRDYDPTTRYNDLLDRVLRHLFHSFGLYIHNDTMRDLGRPQDMFSDTSIQLQPVFIQWIQNTHALAPGATASGATTSTSLTWGGGDLVAVGGKVALLPILLGTTNFFVHHIHACTTLVTVLILLKGVLFAPSSCLILDKENLGFYFPCDGPGRMGTYQVSTWDHIFLGLFWMYNSISVGLAKDPTTHRIWFGIATAHDFESHDDNTEERLSHNIFASHFGQLAIIFLWTFGNLFHVAWQGILSRGYKTFCIPIAHAIFDPHFGQPAVEAFTQEGTLGPVNIAYSGVYQWWYTISLHTIEDLYTGTLFLLFLSAISLIAGWLHLQSKWKPSASWFKNAESCLNHHLSGLFGISSLAWTGHFSIYITASHGLGSLFTGQLNLYGQNPDSSSHLFGTVEGAGTAILTLLLGFHSQTQTIAFVFLVAGHMYRTNFGIGNSMKDLLDAHIPLGGRLGYGHKGLYETINNSLHFQLGLDLASLGVITSFVAQHMYSLPAYAFITQEFTTQAALYTHHQYIAGFIMIGAFAHGAIFFIRDYNPEQNKDNVLARMLDHREAIISHLSWASLFLGFHTLGLYVHSDFMLACGTPEKKILIEPIFSQWIQSANGKTSYGCGLKYLVAGNSLFLTIGPGDFLDHHAIALGLHTTTLILVKGALDARGSKLMLDKKDFSYSFLCDGPGRGGTCDILAWDAFYLAVFWMLNTIGWVTFYWHWKQITLWKGNVWAWMFLFGHSVWATIFMFLISLRGYWRELIVTLAWAHEHTPLANLIRWRDKLVALSTVQARLVGLDHFSVGYIFTYATFLIASTSGKFG >Solyc08g006530.3.1 pep chromosome:SL3.0:8:1142694:1144828:1 gene:Solyc08g006530.3 transcript:Solyc08g006530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWSTTAKRCDACKATPSTVFCKADMAFLCLTCDSKIHAANKLASRHARVWVCEVCEHAPASVTCKADAAALCTTCDQDIHSANPLARRHERIPVVPFYDSASASSSRGAAADGNDDPQQHDDDTEEEEAEAESWLLQAPSTNNNTQGIEYKSVEYLFSDVDPYVEMDIIADQKPSNDIAQLHNQEEYKEDCVVPHVQNNKNDIQLQGPVVDGYPTYEIDFSGGSKPFMYNFTSQSISQSVSSSSMEVGVVPDHNTMTDVSNTFVRNSAIDGLPNPVSSLDRKARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTENEVGDSLVASDASYGVVPSF >Solyc07g063160.2.1 pep chromosome:SL3.0:7:65853765:65857414:1 gene:Solyc07g063160.2 transcript:Solyc07g063160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRICGSVPIDVKRSKEFVHINLFINPLFSEKKKKKKKTNLKPSLRMGGASSQLGDGVSKENTSTTTSSSQLPKDEKLAPATVPADSKPKKKICCACPETKKVRDECIVEHGESACEKWIEAHLKCLRAEGFNV >Solyc09g031550.2.1 pep chromosome:SL3.0:9:30440207:30440609:-1 gene:Solyc09g031550.2 transcript:Solyc09g031550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINSYERVSVVRIHASFIRTGRVAQDQPLSIGSWVCWDLQKAGPYDVHGQLDLDIPVGTRRDRYDGYYVCVKEMR >Solyc07g008970.3.1 pep chromosome:SL3.0:7:3992080:4000153:-1 gene:Solyc07g008970.3 transcript:Solyc07g008970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKLLLYSFLFLQILTTISSNGVGDSDADSSIRLQLDQLNSKISILESRIDDSTRELRTKDERIKELENTVDLKLAKLASLQSELQLFQEKGSLNAKELVAKANVRATELERQIDALRRETDAQNKKKNLLEVSTNEAEKKIQELNLKLESLQRINEGQNARIRKTKRALQVAEEEMMKAKLDAASVSEQLEEVKKGWLPPWLAVHLVHFRSIVMTYWTEHGRPALDLTLKKALETKSEVVKMAEPHIHSFKTEWIPAMKKRSVEFVRDVGPHIQRLKTKSIHLYHESKKFMEPHIMNAQEVIQPYVKEVRKVADPYVDQVSLVMKPHIDKARILLQPYTKRVHRHYRKAKKTVSLYHHQAQENIHHMLKNHHITKPYATKELAWYLASALLALPVIFLLNLVSDFGRKKPKKHSHRHHTSHTRRRAKRAHSDK >Solyc12g070210.1.1.1 pep chromosome:SL3.0:12:29860519:29860854:1 gene:Solyc12g070210.1 transcript:Solyc12g070210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGSCRSCKFLWLKMHLILQKKREREKRGRRGKDAALGMLVAAVARREEEKRSKKRESERGRRVEGREGGGGGRERGKEKKREREEERRWGVYGPLLMLPVGVVWQLVGV >Solyc03g013304.1.1 pep chromosome:SL3.0:3:47777501:47780169:-1 gene:Solyc03g013304.1 transcript:Solyc03g013304.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGDFAEIEGQMLQSLESEKPVLAFCDVKSSIYQGDFVLSTTPVSSLLINPQFEKANNLQKWNDNMKAEKVDISLMPSRLMQTARQVKISNILNGSLAIVKIDVTAEDQFLSITMFDAAKYYFGCNVKEYVLSPSEKKEQSPYYHKMVLSKGKEFSILVKIDRKFPDVDTNMNVIAMEIHEVSKKLPPDQTKVKIPITKQRSKRTKILSDDEKMKGIVAGIPHIEKDIAAVETDIENPHKKNTCKRTNNIKQVIADDNPQKLRIHEVEKHIVLDESDDEAPLIQLQRKRTRKVKGKNIMPYPMEKKIKEEKNNI >Solyc11g010320.1.1.1 pep chromosome:SL3.0:11:3400784:3401341:-1 gene:Solyc11g010320.1 transcript:Solyc11g010320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWLMNNQKLSPMGLMINKDEMNYVFGQKQKSSLDFLMQNCDLPPPLKVFSGPDMNDDNVKEVNIEVRRELGSDRLEVLKALRRSQTRAREAERKYLALQKEKEALSNLMLDESARALAYRNWIKVLELQLLQLKTQKQQKQQQKQYEQWNRTKEDENGTNGVTWLIAVAFCLGIAAIGYRYLL >Solyc03g071710.1.1.1 pep chromosome:SL3.0:3:20502232:20502579:-1 gene:Solyc03g071710.1 transcript:Solyc03g071710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLQSRRPLSEIQLPNIISQNENISKDHDLERCNKEQAVEIRTPGSPQNLIPKILSCPPAPKKPKRGISCKRKLLSDLEFYDVTAREEVDSFFSSVDENSKSCAGNRKRRCIL >Solyc06g030470.3.1 pep chromosome:SL3.0:6:18831406:18833893:-1 gene:Solyc06g030470.3 transcript:Solyc06g030470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERVRKYRQLSPERAKVWREKSPKYEQQQPSQSDGKVPVVYYLCRNQQLEHPHFMEVTMSSPDGLYLRDVIKRFNVLRGKGMASSYSWSCKRSYKNRFVWHDLSEDDLILPARTTEYVLKGSEFCEELNSGRSSPAKNEKLSNRKVLPEPPSQYDTSPPSNTNERCAKNSCDGEPSLPAVKANSGNANMCDVLADASVQIHEKTNTANTTHNNICTRGISTDDRSCAVEINQVQVNELTEIRVESDTLPPDTLISLIRSDVSKLSSFRKLDSEECRVPSKLKPHNMLMQLISCGSISVKDHRFGFIHTYKPRLNLGKLDCITRNKSLMGLNLEDEEYICASWTESTIPKENQSSFVTAERFGQIESLKIQFKVKRGQVLDAQSTLDIPPPMCQKMSHGDDPIFRKEKHEVCES >Solyc06g076010.3.1 pep chromosome:SL3.0:6:47331112:47333654:1 gene:Solyc06g076010.3 transcript:Solyc06g076010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGTLFINPKNFGSLQKPCVKDMVTFLNCLTLNHNKDDKCSRQKSLLSACMEAQGKNRKPWGSINYHLQRLNRGRR >Solyc09g082330.2.1 pep chromosome:SL3.0:9:68512481:68520891:-1 gene:Solyc09g082330.2 transcript:Solyc09g082330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFTKPKLLFIFFLILSLVLVSQCYDQNPRGYQDPQEKLRECQQRCERQQPGQQKQLCKQRCEQQCQSEQQGQRLQECQQRCQQEYQREKGQHQGETNPQWEQQEKSNNPYLFESQRFRSRFRASHGDFRILERFNQRSQLLKGIEKYRVAILELEPQSFVLPHHCDGEAIYVVVKGQGVINIAEQDNKNSFNLQKGDVIRLFAGSNVYLLNKDNNEKLFVYVLAKSVNAPGNLQEYFSAGGQNPESFYRAFSSDILESAFNEGIIIKASEEQIRAISEHASRSTQQTRGRTQGPFNLMKERPVFESRFGQFFEARPERYEQLRDLDAAVGFMNINQGGMVLPYYNTKSTKLVMVIEGNARFEMACPHLGRQSQSPWSRGQGREQEREQEQEQEEGDVHYQKIRGNLNVGDVLVIPAGHPITFVATGNSNLRIVGFGVDAENNKKNFLAGKQNIWRNIDREAKELSFSMPGREVEEIFQRQDQSYFVAGPEHRQQRERENNNPYLFESHMFKSRFESKHGEFRVLDKFTQLLLGIENYRIGVLEFEPRSFLLPHHFDAQLLLLIVRGRGSISIAEEDEKNSFNLEYGDVLSVSAGSTIYFTNTDNKEKFSVYVLAKAINVPGQFQTPRSRLERLFGLQKQGIIIKASEEKIRAISQHASRSTRGETRGPFNVLNQRPLIGNRFGQYFEAAPESFQHLMDLDVAVGIMNINQGGMILPVYSTRTTWLVMVAQGNGRFEMVSSQSQERRGHRKAVRDCLSVGDFFVIPAGHPITVIANADSNLSMVGFGINGHNSMLNFLAGQESIWRNVNRETKELSFNMPAREVEEILQNQNESYFVAAPNEEGKKMGQQYESLILDLVF >Solyc08g005880.3.1 pep chromosome:SL3.0:8:675540:680889:1 gene:Solyc08g005880.3 transcript:Solyc08g005880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYLNERLIKNGEDEEEKKRGNNNNIIIVEKKEKLKDRILHENKKLWVVAAPAIFTRFSTFGVNIISLAFIGHIGASELAAYALIFTVLLRFCIGILLGMASGLETLCGQSYGAKQYHMLGLHLQRSFIVLTITTTLLLPLFLFTTPILKALGQENDIAQVAGVVSLWFIPVAYAYVVSFACQMYLQAQSKNIVIAYLAAITLIIHAFLSWLLTIKYKFGLNGAMISTILAYWIPNIGQLVYVMGGWCKDTWTGFSFLAFKDLWPVVKLSLSSGVMLCVELWYNSILVLLTGNFKNAEVQIDALSICLNINGWELMIALGFMSAACVRVANELGRGSSKAAKFSIMTIVLISSAIGFILSLFFFFLRGRLAFVFTKSLEVAKEVDRLSPLLAFSVLLNSVQPVLSGVAVGAGWQTIVAYVNIICYYLVGIPVGVMLGYFLQLQVTGVWMGMLIGTLVQTIALVIITTKTDWDEQVRLTQQRVKGWAIEDDNNVTSQGA >Solyc02g060600.1.1 pep chromosome:SL3.0:2:33615692:33617910:-1 gene:Solyc02g060600.1 transcript:Solyc02g060600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTYQTVKDDSGDIAGGGETPTDIPAEEQKVIYNGWILKDDQTLKSCGLEADHTVHLIRDSAAAASASATNVVNPNVNQDAPRVAVPTTGGLFVRVGGGPRLGSKGGSFGAGLPDFEQVQQHDSNMMREILNMPLVQDLVNDPEIICNFIVNSPRMREYVNLNPEIPHIFNDPAIFLQTWEAAYNELMHETIRTIQWPLSHTESSPEEFNMLRHMYENVQEPFLNATSMAGDTRNYSGTNPVMALLGAQEQGRNRSTNPPATGSDTTANPPAPNSNPL >Solyc04g081630.2.1 pep chromosome:SL3.0:4:65681359:65684047:-1 gene:Solyc04g081630.2 transcript:Solyc04g081630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEQHVYYEWKPKYCNECLRYGHTRIKIRGMVIQGEVEKKLQVPKQVWQVVVQKGKPPIEREGRKWENLANVSKVILLTDDIDPYVVQHLLAYKGNRFHDICRDGFKFHEEDLEKSFGELVKWWTKLLDVDVKINHLLFDTPCVVVESRYGLTSFLEMLPHWEPQAQMPGRRVLEINPGHLMIKDLCQHEPKDENVQRLAIAMYGIALIESGLLLTQSEISTFHPFRFAQGSLNSFGGVKKEPEIEIGKNLFEKIFPLPWSRSSRKL >Solyc01g066203.1.1 pep chromosome:SL3.0:1:73223628:73224413:1 gene:Solyc01g066203.1 transcript:Solyc01g066203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRGVDSRIPCLHNLRLLTLAQMLHTQQSDSLLDEVNKVQLQRGLDEWRQTHSTSEAHSTSSSDITSIWINVAQSSKEMEAMRRQISKLTERLQLSEVNFSKVRKFMEKHMVETDESEGTDSDEE >Solyc11g073090.1.1.1 pep chromosome:SL3.0:11:56484946:56485935:-1 gene:Solyc11g073090.1 transcript:Solyc11g073090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRTFDYICSLAEEHMQAKSAHYVFSSGKPMSLHEQVALAMRRLSSGNSLISVGDSFGAHHSTVSQVTWRFIEAIEEKGLHHIRWPSTEEDMTAIKSKFERIQGLPNCCGAIDATHITMMLSSSEQTADVWLDQNKNHSMVLQAVVDPDMRFRDVVTGLPGKLNENSVLQSSTLFKLCEKGERLNGNKMKLSEETELREYIVGDSGYALLPWLLTPYQDKELSESKADFNKRHLATRIVAQRALARLKDVWKMIHGMMWRPDKHKLPRFILVCCILHNIVIDMEDDVLDELPLSSHLHDPGYRQEVCESVDKTASVLRDNLSLHLSGR >Solyc05g008520.3.1 pep chromosome:SL3.0:5:2849724:2859153:1 gene:Solyc05g008520.3 transcript:Solyc05g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVEEGLLLKERELVKWGVIWEEVKEIGYLAGPMIIVTLSQYFLQTISLMMVGHLGELALSSTSIAISIAGVTGLSLLLGMGTALETLCGQAFGAKQYQRLGTQTYTAILSLFIVCIPIAVLWLYVGKLLTFIGQDPQISHEAGKFIKWMIPALFAYANLQPLIRYFLMQSMIVPMLISSCITICFHIPLSWVLVFSSGLGNIGAAVAFGLSMWLNVIILASYMKLSPACAKTRAPVSWEVVNGMREFFQFAIPSAVMICLEWWSFELLILLSGLLPNPQLETSVLSICLNTISTLYAIPFGLSGAVSTRVSNQLGAGNPLGARVSVISVMLLAATETILVSAAVFASRNVFGYIFSNEKEVVDYVAKMAPLLCLSVITDSLQGTLSGVARGCGWQHIGAYVNLASFYLCGIPIAASLAFWLNFRGKGLWMGILSGAALQTILLSVITCCTNWEKQAAMARERLHADEKSSVDNALCQTPSSSLEKVSWKEVIQMGEQLYKQATMVGMLWTGEAPEVKALEENMASYFNMLQGLLLLSHSSTVGGGPTLCSCIHASIKQVVDSSFMLMKESVSSYGSNNKTQKLSIPQLVGTVWEACSALKKTPATNITAIGRAMTQLAVSMKDVLREMNELKPASSDVGDESSVQDSAEGDQDSDDSFAGDLGNDLSAEEMEIARLTTDVVSATLVVIKELIRSITSLLKQESTADTATFVPSLETLLKLSQEIGLQIDELGASLYPPQEISSLKTAIEKISCATDEILVELEKLKGCSEDFVKTCNGLRSSLKQLEAELDHSDATDTMPKIENLAIA >Solyc06g065130.1.1.1 pep chromosome:SL3.0:6:40742415:40742588:1 gene:Solyc06g065130.1 transcript:Solyc06g065130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLRLSHEEKNIFLDMACFFRGRKRDDVITILNSFGFQIRDWNRYPHPKITLIYF >Solyc09g010880.3.1 pep chromosome:SL3.0:9:4210418:4220738:-1 gene:Solyc09g010880.3 transcript:Solyc09g010880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:K4CRB4] MAGGAHSQPEIQIQVHSRKGGNSVYPVEPAMTTTGGPAFYREIKHFKKWFPWLIPSFVIVNVVTFLVTMYVNNCPNNSVSCYAGFLGRFSFQPFSENPLLGPSSTTLEKMGALDVNKVVREHQGWRLFTCMWLHGGVFHLLANMLSLLVIGIRLEREFGFVRIGVLYIIAGLGGSLFSALFIKSNISVGASGALFGLLGSMLSELIINWTIYANKIAVLVTLVVIIIINLAVGLLPHVDNFAHIGGFVSGFLLGFVFLIRPQFGWVSQKYASRTYSASAKPKFKMYQMVLWVVSLILLIIGFTSGLVMLFRGVDLNDHCSWCHYMSCLPTSRWSCNTEPVSCMSEQTINQLTLTCSNSNKTRTYPLSNPSTSKIQGLCTQLCR >Solyc01g079300.3.1 pep chromosome:SL3.0:1:78238773:78241850:-1 gene:Solyc01g079300.3 transcript:Solyc01g079300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPNDPINSILNVMKTHKEDNFFELSNGELIVKNIPLLFEVPSNVSFSSFSSICQTTTAPLPLFRRAHSTSSNGGFLGFKKDDPSHHLMNSLGKFNDRNFLSIFRFKTWWSTQWVGNSGSDLQMETQWVLLDVPEIKSYVIIIPIIEGKFRSALHPGTNGHVLICAESGSSQVKASSFGAIAYVHVSDNPYILMKEAYTSLRVYLNTFKLLEEKSVPSLVDKFGWCTWDAFYLTVEPAGVWHGVKELSQGGVSPRFLIIDDGWQSINFDHQEPHEDAKNLVLGGTQMTARLHRLDEGEKFRKYKELEYYSEDSGMKAFTMDLRTHFKGLDDIYVWHALCGAWGGVRPGTTHLNSKIIACELSQGLDGTMDDLAVIKIVEGGIGLVHPDQADDFYDSMHSYLSEVGITGVKVDVIHTLEYVSEEYGGRVELGKKYYDGLSKSLAKNFNGTGLISSMQQCNDFFFLGTKQISIGRVGDDFWFQDPNGDPNGVYWLQGVHMIHCAYNSMWMGQIIQPDWDMFQSDHVCAKFHAGSRAICGGPVYVSDSLGGHDFDLLTKLVYPDGTIPKCQYFAHPTRDCIFKNPLFDGKTILKIWNFNKYGGVIGAFNCQGAGWDPKEKRIKGYSNCYKPMKGSVHVNDIEWDQLIAASEMGNAEEYVVYLNQAEELFLTKSTSDTIPITLEPSTFEIFSFVPIKQLNHIAKFGPIGLTNMFNSGGAIQGVQYGDGANYVSAKVEVKGGGNFLAYTNVLPNKCYLNGTEVEFEWSSQDGKLIINLPWIEENNGISNVNFIF >Solyc07g052300.3.1 pep chromosome:SL3.0:7:60924463:60928881:1 gene:Solyc07g052300.3 transcript:Solyc07g052300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDDRGTRNGGGTRQALKKGPWTAGEDAILMDYVKKHGEGNWNAVQRNSGLMRCGKSCRLRWANHLRPHLKKGAFTPEEERIIIDLHAKLGNKWARMAAQLPGRTDNEIKNYWNTRLKRRQRAGLPIYPQELQSQNQQENNQPQSLISSPFDPQRATYNNPPPLSLLNVFNPSTMRPSITHQFPLSSNAIFRDPPKGLPLTLPSTLRNSPLFSGSASMPNNNFGPTLSNLMPVSSFQQNYPNFSFTTRPFMGIPSNQNELMSGMGLSSINYPSGPSVMTASSCSDFGSSDANNYAHVELSQGNSGLLEDLLKGTQNFPRSTNIEENNSLDLNGKGKSLWQDYGLVGEEARDHQDEAFLTEESVYSFAHGGDVNLNNSSESSSTDPNASSGIFLRKEGSLQGINQVDEHIMSLLNNFPLDQEPVPNWYDETDDKKMNSEIGADDHKAESLGEDSSKSQVAITTTSGLKQHDWELGGCCWNNMPPFC >Solyc04g071825.1.1 pep chromosome:SL3.0:4:58921159:58927252:1 gene:Solyc04g071825.1 transcript:Solyc04g071825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPWVFIVFGSWLFVLAFVLKILNHPKRKLPPGPKPWPIIGNLNLLGSLPHKSLHHLSQKYGDLMLLKERWVEEEDFSKLPYIDAIIKETFRLHPVCALLAPHYSLEDCNVAGYDIPKGTAVFEEIQSIGSGRRRCPGYSLGLKVVQTTMANLLHGFNWKLGGDMKPEDISMDEIYGLTIHPKNPISLIMEPRLPLHLY >Solyc11g009050.2.1 pep chromosome:SL3.0:11:3177292:3178653:1 gene:Solyc11g009050.2 transcript:Solyc11g009050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGFEFRPWDELLPDALGLIFRNLSLQEVLTVVPRVCKSWGKAVKGPYCWQEIDIEEWSKNRCPENLDRMLRLLIPRSCGSLRKLCVSGLSDKSSFEFIANNAKSLQTLRLPKCELSDSVVEQVAGTFSNITFLDVSYCIKIGARALEAIGKHCKYLTGLRRTMHPLEVIDKLSQDDEALAIATSMPKLKQLEIAYMLVGTLSISEVLQNCRQLELLDVRGCWNVNLDENFVKKFNKLKVVGPVVVDCYDKNGWDNCSDYSGSSGYIPWDFVAEDVEMWFYDDLNAVDAGYDWPQSP >Solyc07g041350.1.1.1 pep chromosome:SL3.0:7:52653576:52653842:-1 gene:Solyc07g041350.1 transcript:Solyc07g041350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSPIDRNDGANVEAYQILRISFLALSLLAATVFYVKRSDNNMHPVVVHADRVPSKKFATHHVFKEKYFSQNWPTKVTVTESLVPHK >Solyc03g119450.3.1 pep chromosome:SL3.0:3:69545017:69553772:1 gene:Solyc03g119450.3 transcript:Solyc03g119450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTAKFTQLTPQLFSSFSTPTDRPPFFLFLRRTITAGNTRTNIPRKDNRKPYRDSNSSSTPVKSNNSRSSTWLNKWPNTSSPVKHSSNSRTVESKTETRYFDENTRVGTTAIDRIVLRLRNLGLGSDDEGEGEDEEEGNLKLDSSSTMQVNGEEEKLGDLLKRDWVRPDMILEESDDEGDTYLPWERSVEEEAVEVQRGGKRTVRAPSLAELTIEDEELRRLRRIGMTLRERINVPKAGVTGAVLEKIHHSWRKNELVRLKFHEVLAHDMRTGHEIVERRTKGLVIWRAGSVMVVYRGSNYEGPSSRSQSVNEEDNALFVPDVSSDKSITKDNKSFNPVIENRNQVHPNRVQSMTEEESEFNRVLDGLGPRFEDWWGTGVLPVDADLLPQTIPGYKTPFRLLPTGMRSRLTNAEMTNLRKIAKSLPCHFALGRNRNHQGLAAAIVKLWEKSLVVKIAVKRGIQNTNNKLMSEELKMLTGGVLLLRNKYYIIFYRGKDFVPPTVAAVLAERQELTKQIQDVEEQTRSGPAKVAPLITDGQAVAGSLAEFYEAQARWGREISAEERERMLKEAAMAKMARVVKRLEHKFEISQTKKLKAEKILAKIVESWIPAGPSDDLETITEEERVMLRRVGLRMKSYLPLGIRGVFDGVIENMHLHWKHRELVKLISKEKVLAFVEETARLLEYESGGILVAIERVPKGYALIFYRGKNYRRPISLRPRNLLTKAKALKRRVALQRYEALSQHIGELETTIEQTKSKIVDFGDTSNLEVLDQFNHVSESLSEDEDSSLESGDDEDEDPEWENDDDSEYSSLEDDETG >Solyc09g057650.3.1 pep chromosome:SL3.0:9:50309021:50311890:-1 gene:Solyc09g057650.3 transcript:Solyc09g057650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:K4CTF6] MGISRDSMHKRRATGGKKKSWRKKRKYELGRQPANTKLSNNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGADIGRKKKGAAKKDTTEEGEAAAPEETKKSNHVQRKIENRQKDRTLDPHLEEQFGGGRLLACISSRPGQCGRCDGYILEGKELEFYMKKLQKKKGKSGAGAAA >Solyc10g007140.3.1 pep chromosome:SL3.0:10:1530674:1540091:1 gene:Solyc10g007140.3 transcript:Solyc10g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSNQITDSEAEQRSQDVQPEPYAFYRSLATFPQPNVHAILPAPGNAGNFYLHHPQYHQEGALVYGKLQYDGVQYQHPATNLDPAIASSSNHYNHYMAAPSTPRDFPIPVNHGQHEQLPFASTQGNLGVNEGNYGRNNPYVDGVGGSFKRKNAEGIPVNFQYQHALVGSSFPLASMNAASFVPPEYRGNGSLSFTEDGAPRGMNNHQLQGNYVGQACEFPGNFWSGLQFNSSARESETWAWNHSARLPYLPGDTQGCEDGGNISVRGYQVTNGNGGLTSFIYPSIPQGHPNLRHLPPHIQGVRPQFITLPPQMTASSHRHLPSSSFDSTINPFALVEAGSRYIRPFPPTAFRLYRPQRGEFMLGTNTRLHNLPNMRVLPEDGVAMLDIPGYHEVRDSVDQHREMRMDVDHMSYEELLALGEQIGTAKTGLSEEVIVGHLKTRSFSSTEIPCNLENAACLDHKTDFCVICQSDYKDQENVGTLDCGHEYHAECVTNWLILKNNCPICKSTALCAEAKDS >Solyc07g017405.1.1 pep chromosome:SL3.0:7:6895093:6898591:-1 gene:Solyc07g017405.1 transcript:Solyc07g017405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTFTDGDMALMLLSSLPDEFDHLETTLLHGNDEVSLEEVCSALYSYEQRKREKQKGGEAEALVVRGRSQNNMRTKKGRSKSRWRLSKDECAFCREKGHWKKDCPKLNSKDKPNNGKAVMDSDVADCDDSDYSLIITDPSKSSDVWLMDSACSYHMCPNRDWFIDLQEGEWIKRGNYRIFPLEGETVEEEVPSQEPQPQLESIAIGKPKRTLRKPARLIDMVACADSILEDNSFIYLLLYVDDMLIASKSQEEIEKRKNQLRKEFEMKDLGEAKKILGVEIKRDRHSKKLYLSQKEYLKRLNENICQEYHANAIGSLMYAMVCTRPDISHAVEVVSRCWLVFEQKDSQYLVGYCDSDYAGDLDKRRSTTGYVFTIANAPVSWKSTLQSTVALSTTEAEYMAITEAAKEAIWLQGLLRELDNPADMLTKVVTAVKF >Solyc05g008740.1.1 pep chromosome:SL3.0:5:2986637:2987413:-1 gene:Solyc05g008740.1 transcript:Solyc05g008740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFAFIQVIEFTYTMRSNNLLLFTSKGIQGNHLVEQMKAPQVVEEVMQNLNRKILTYYAANHQRTAIFQRVKSVPSNGFYLYGRRYFFLAFSAKPVEGYSRYVAEMRKKDMNICLPFGSSKKKNPREEKLPS >Solyc09g082220.1.1.1 pep chromosome:SL3.0:9:68469578:68469895:-1 gene:Solyc09g082220.1 transcript:Solyc09g082220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISLHGLTTKTLHIIYLRWNTITSIEAATNYIQQVVIPHPWRRSICLNNHSIGYVSVRPESRSDQNHRAHIGYAIGSDYWGQGIVTKALKMAIPIVFNDFPNF >Solyc09g065880.3.1 pep chromosome:SL3.0:9:64385352:64390186:-1 gene:Solyc09g065880.3 transcript:Solyc09g065880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRHSHPSTPIPEDFVQKRGKKRGSYNCGRCGLPKKGHVCDLTKEFSEVPTPTSSDAKSFVLPSQLSVIRSNPTPPLPPPRQPVVQLRRALSFDDIGVTDESPGSDDEGEGEFLDFESELDLGGSGKLPANCLWEVLKRLPPSSLLSSAKVCKGWRDVSRRIWKSAEELRLEVPMKAQIGLVGSVLQKCPGLVKLSLRMKSDVDATMLACIAFSCPNLDSLEILTSDTSVNRITGDDLGRFVADKRCLTNLKMEGCSNLGGFTLSSTSLSTLCLLELFCHSKMVFNCPNLKEISLIFSCQENENTDLTAMVNGLGRSCPRLQNIHVASVRLTHAVVLALTAANLRGLRMLSLVLGSEITDASVAAIVSSYSRLELLDLSGSSISDSGIGMICNVFPETLLKLLLALCPNITSSGIQFAAAQLPNLELMDCGMSICDPDLDSPAAQENDNVDIQRTPSSKLHLIYQKLIIKHSHLKKLSLWGCSGLDALYLNCPELNDLNLNSCMNLNPGRLLLQCPNLESVHASGCQDTLVEALQNQVCGDFMVEDDHFPCKRLPDGSKRIRVPHSYSPQPFDDGKRKRRLSKQRCAVLVY >Solyc02g072130.3.1 pep chromosome:SL3.0:2:41975622:41980515:1 gene:Solyc02g072130.3 transcript:Solyc02g072130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVKPFLSFLPEVQSADRKVQFKEKVIYTVIALAIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGMVMQLLAGSKIIQVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVSQLGVGNAILIILQLCFAAIIVMCLDELLQKGYGLGSGISLFIATNICESIIWKAFSPTTINTGRGAEFEGAIIALFHLTITRSNRISALREAFYRQSLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYGGNFIVDMIGTWKESEYSGQSVPVAGLAYLVTAPSSLAEMVSHPFHALFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRDSNLQKELNRYIPTAAAFGGVCIGALTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKATELGMFGL >Solyc08g008220.3.1 pep chromosome:SL3.0:8:2664349:2668052:1 gene:Solyc08g008220.3 transcript:Solyc08g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC9 [Source:UniProtKB/TrEMBL;Acc:K4CIV6] MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATLMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYEATARSWTQKYAMG >Solyc06g071700.1.1 pep chromosome:SL3.0:6:44304347:44310351:-1 gene:Solyc06g071700.1 transcript:Solyc06g071700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:K4C8P2] MAETSQNVIPTPTNTTAAAAASFIPPTSVSVVRRENTAVSVSDSAVDSVVVDNQKEQTPATASSKGIQIMHRAHTCHPLDPLTAAEISVAVATVRAAGDTPEVRDGMRFVEVVLLEPDKTFVALADAYFYPPFQSSLMPRTKGGLLVPSKLPPRHARLIVYNKKTNETSVWIVRLTEVHAAVRGGQHRGKVISSKVIPDVQPPMDAQEYADCESVVKNYPPFIEAMKRRGIDDMDLVMVDPWCVGYHSEADAPSRRLAKPLIFCRTESYCPMENGYARPVEGIHVLVDVQIMQVIEFEDRKLVPLLPADPLRNYTAGETRGGVDRSDVKPLQIIQPEGPSFRVDGNYVQWQKWNFRVGFTPREGLVIHSVAYLDGSRGRRSIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGYIKYFDANFTNFTGGVETIKNCVCLHEEDHGMLWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALQPGESRKYGTTISPGLYAPVHQHFFVARMNMAVDCKPGEAHNQVVEVNLKVEEPGKENVHNNAFYAEETQLRSELQAMRDCDPLSARHWIVRNTRTSNRTGQLTGYKLVPGQNCLPLAGPEAKFLRRAAFLKHNLWVTQYAPGEDFPGGEFPNQNPRVGEGLASWVKEDRSLEESDIVLWYVFGITHVPRLEDWPVMPVEHIGFMLQPHGFFNCSPAVDVPPPRGCDSESKDSDASENGESKPTTTGLTAKL >Solyc05g015600.1.1.1 pep chromosome:SL3.0:5:11515289:11515456:-1 gene:Solyc05g015600.1 transcript:Solyc05g015600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFTFKSSLMLYLLQEGSKKILLDKKVFKMFSKMEKLNWKAISYHGTYIFNLDL >Solyc12g019705.1.1 pep chromosome:SL3.0:12:11181718:11192285:-1 gene:Solyc12g019705.1 transcript:Solyc12g019705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSSENHKTQKEAHAKTPKKRGRKLAMAISRPPLPPHNCTNIQPTGEECTALQLPQPSQVTHDEPGTSNVNIDVGKPQEVPGFEDFSSEPPDQLLRRSTRVSGTGSTPPPKRRKVVHPHKTKVDQQVGCLVELIKKNHSELMKVVGEKDNKTEKKNNVDQDIGGSAADADEQVNETEKDYTTGEASHSDTKILNADEHDVDTLQHNIEHTTSMFSVDTSTEVENNVQPLCLISHVEQNESAFWLSDSQLPTQLPVKKSSLPPDTETPAPRHRMPARILRSPYLTDFGSNDKGKAKIDDDVLPLYPFEGCGILEQLPLGKFTEESATALSDSLFRRNTWKGKLRTVVLRRLLPLQQSDAARQSYCCSRPASPSLAAQD >Solyc08g005725.1.1 pep chromosome:SL3.0:8:588649:594591:1 gene:Solyc08g005725.1 transcript:Solyc08g005725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSFIFTFFLIFLPSCDRKNHKKSKNLPPGPWKLPIIGNLHQLDISRPYITLKELSKKHGPLMHLKLGERSTIVISSYKILKELMKTSDTILSHRPELLVSKTMAYNGGDIAFAPYDPHYEKNSLELSSYGRGDFAFGENIKETSSKGTLINLYKCLNSLSCAIICRATVGATCNDSDSLISTIRKITPLVGLFNISDLFPSLKFLDRYITGSNQKLLKMHHELCDRLLEEIVHEHEESIQKNNVDEEDLLHLLLRVREKESHNFQVPITRDNIKAVILDMFIGGTDTTSILLEWAMAELLKNPNMMKKAQVEVREALKGKKKVDHIDVQNLKYLKLIVKETLRLHPPGPLASPRESIEEIAINGYVIPNKTIALMNLYAMGRDPEYWHDPEKFMPDRFNNYVDNDVDDVKMIKGSSNVPMEFLAFGFGKRVCPGMLFATASSELTLARLLYHFDWTLPNGMNPQDLDMTESFGAAATMKNNLYLVATPYD >Solyc05g024495.1.1 pep chromosome:SL3.0:5:32412610:32416575:1 gene:Solyc05g024495.1 transcript:Solyc05g024495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDVIADSDNTSAATMETRTQQETTLLKRIKHVRNPSKRSLMEDHKILKAHIDDLIKEVKDSFSKELTEIRNILMEMVSIKHPMGTYRHELGMEITRQEHQLAITIPRHKPSSMEFILFRELKKIRGRPWRHYISTAKHCNGTNGCLGKRNYFEVSEGRLENSYMLPLSQRFKDEDIKNSVLSEEPKTFDEAVEQVHIQERWIKAEKGPIRPALANKGAPLLPNPNVASSYENTSAAGCGPRGILTLYIRKSGHRLWERTFEFSYQGTNNIWKGLEPTSVKRIQLHSLRQDTLIDAILVYYCLQIVSTVPTHDDQLAERVIRASTSPLTYSRLLVRKKDGTWRFCVDYRALNAVIIRDRFPIPTVDELFDELHGAMYFSKLELLAGYHQIRVRPKNTEKTYFWTHEGNYEFLVMPFRPYSKNFNDTTLEGMLGLPEPIIELLQIFIERGTALTMSTVNHTQTDGKSEWYNTAYRSSAGMTPFRVVYGSDPPVVSWYIKGSIPSEFIESYLVDRYDVLALHEANFACAQNQINGFTDKNRREQTY >Solyc12g017268.1.1 pep chromosome:SL3.0:12:6473243:6474288:1 gene:Solyc12g017268.1 transcript:Solyc12g017268.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQEVIRDVFAQLQQVGLIDPNILATLSVPYPRGATFAQTAEQAVSGPFIAAAANPAALPS >Solyc07g009030.3.1 pep chromosome:SL3.0:7:4015660:4016275:-1 gene:Solyc07g009030.3 transcript:Solyc07g009030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRNQQKIRAMAKYTTFLALLFCLFLVVATEIQMVEGKYCWKKSNKWNGPCQYSYKCSHHCKYYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc05g007060.3.1 pep chromosome:SL3.0:5:1644488:1649230:-1 gene:Solyc05g007060.3 transcript:Solyc05g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNTFFFSVDKAQLRNNKQPKKMSSMIKLPSCMLSVNSSSFSIKSSIFPKSISFSSSHINNFKNPFLNSKTPMENQSFSMLSASKPQNGAVIQDSSFQGSESFFRSVLGNMEAIYLNKNPTAKAILELVRSADDDQICYDHFAFRTFGVNGHGIDSMSKFFLDFGYERREELRFPAKKLKAFWFSPPKVSTSSRGSGVHGPLPRIFISELLVDQLSPEAQEIIKKYTNISHCGKEYAALASAFGILTWEKPSYSEFQLLARESEYAAWTLVNGYALNHVTISTHRLASNLRSIGNLNQFIEENGFNLNSEGGFLKVSPDGLLLQSSTVADSTFFEFSDGITEAVPCSYIEFAERLVLPQYKDLPAEKVEEFHRRDGFEVGNADKIFESTSKDQLTRRAA >Solyc08g080360.3.1 pep chromosome:SL3.0:8:63784615:63786981:-1 gene:Solyc08g080360.3 transcript:Solyc08g080360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSVLIPQFSSTVTQQTHPSLGDLPESCVASVLVYMDPPQICKLSMLNRAFRGASSADFVWESKLPMNYTSIIQRVFAGRNFPANLCKRDIYAKLCRPNSFDGGSKKVWLDKKTGRVCMSISSSGLVITGIDDRRYWSRIETDESRFKSVAYLQQIWWFEVDGEVDFPFPVGSYSIFFRLQVGRASWRFGRRVCNSEHVHGWDRKPVRFQLSTSDGQQATTQCYLNEPGIWKYHHVGDFVATGSVEPMKVKYSLTQIDCTHTKGGLCVDSVLICPVEFTERLKQSF >Solyc06g066670.3.1 pep chromosome:SL3.0:6:42003975:42009283:1 gene:Solyc06g066670.3 transcript:Solyc06g066670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERREFPAFPYEPYSIQLDFMNALYQSLNKGGVAMLESPTGTGKTLSIICSALQWLVDKKQHQKTQMDSSLNLGGNQEDQLGVDDEPDWMRDFVINKDTKSPEKKTKEKKQMGFNKKIDRKGKRDNVRDLITNGGGKDEETDTEKVKNLLTKHEVEGMDEEEFLVEEYESEDENGGRSKRKGGGVPLGSSTQINEKCLELQKSRKKEISKISKTKNIRACGRAGRSKTSSGCPMLRNQKRGKEFRSEVSQQGPLDIEDLVQIGNDLKICPYYGSRSMVHTADLVVLPYQSLLSKSSRESLGLSLKDSVVVIDEAHNLADSLVSMYNAKITLSQLELVHSHLESYFIRFRNLLGPGNRRYIQIMMVLTRAFLQVLRDENCQSTFDPLCNAERSKSGFESSTAINEFLFALNIDNINLYKLLLYIEESNIMHKVCGYGHKLALSVEVSGLKNDDQSSHDESALSGFQALVNMLLSLTNKDGDGRIIISRPRPKCSMQQGGYLKYVMLTGEKIFSEILNQAHAVIFAGGTLQPIEETKERLFPWLPPDQLHFFSCGHIIPSANILPVVVPQGPSGHSFDFSYSARSSSVMIKELGLLVSNLVNVVPEGMVLFFSSFDYEGQVYDAWKESGIIGRIMKKKRIFREPRRSTDVETVLKEYKETIDALSHRSSKRDPESRNGAILLAIVGGKVSEGINFSDGMGRCIVMVGLPYPSPADIELMERIKHIEGFDTSSGKNTKFQAERSWYNGDAQAGLDILKSCKHRGKQYYENLCMKAVNQSIGRAIRHINDYAAILLVDKRYTYDPSERSSSQSTNKLPQWIKGRLVSGTKNYGELQRLLHQFFKFHKGKEDNHCCHDLQNGCSYPQTEKRFSSRHFINH >Solyc12g042290.2.1 pep chromosome:SL3.0:12:58359301:58362348:-1 gene:Solyc12g042290.2 transcript:Solyc12g042290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKNIIILNENSRLYTRDGSVDINDDPVLKSETGNWRACPFILGNECCEHFAFFGIGGNLVTYLTNNLHEGNVPAARNVTTWLGTYQTIATFSIIYFMGMSTLTLSASVPAFKPPDCVDYVPFSNSAQYAIFFFGLYLIALGTGGIKPCISSFGADQFDDTDPKERVTKGFLLQLVLFFYQHWSTLIVWIQ >Solyc07g039510.3.1 pep chromosome:SL3.0:7:47738868:47745967:-1 gene:Solyc07g039510.3 transcript:Solyc07g039510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILAYNVNVVSPNFVLPKRSLFISEFPLPQIRFNPSSRRSIKLQVAFRMTQNPAVQKKISVLNQHNEKLAGVLHDTGSMEIVVLCHGFRSSKDFNTMVNLAVALEKEGISVFRFDFPGNGESEGSFQYGNYCREADDLHSVVEYFKGANRKVTAVLGHSKGGDVVLLYASKYHDVHTVINLSGRYNLEKGIAERLGKDFLEIIKKESFIDVKNRAGNVDYRVTEESLMDRLNTNMHDACLQIDKGCRVLTVHGSADEIIPVEDALEFDEIIPNHKLHIIEGANHCYTSHQAELMPVILPFIKEEFVSPHYQGIDSSY >Solyc05g014725.1.1 pep chromosome:SL3.0:5:8903268:8905979:-1 gene:Solyc05g014725.1 transcript:Solyc05g014725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPERQILGMQIVRNRKAKKLVLSQEKYIQKVLRTFNMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVRKPILCGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTKAELIAIVEACKELLWMKRFLGELGCAQESQSAIHLGKNSTFHGRSKHIDAPSFSIGVE >Solyc03g116990.1.1.1 pep chromosome:SL3.0:3:67741459:67741788:-1 gene:Solyc03g116990.1 transcript:Solyc03g116990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:K4BLK1] MARGSSSQSTSSSTTRPGTAAPRGSAAATAGMRRRRLGASSSAGGGGGNAVVGSGNASNMLRFYTDDSPGLKISPTVVLVMSLCFIGFVTTLHVLGKFYRYRSGSGSGA >Solyc01g058350.1.1 pep chromosome:SL3.0:1:66285804:66286085:-1 gene:Solyc01g058350.1 transcript:Solyc01g058350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKMLWLLFAVLWLMELVGCVTCHLTGAVINSSLPVGFWTYEFRQIVVLL >Solyc01g066730.3.1 pep chromosome:SL3.0:1:74707498:74717598:1 gene:Solyc01g066730.3 transcript:Solyc01g066730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKQKIEIKKITKESARKVAFSKRRKGLFKKAVQLESKTGAKVAILVFSSSGKPYTCGDVETLCGISDSFNLQTHSESNSIWDSFNLQTHSESNGIWDSFNLERPCESNGMWDSFNIIEGPCSSSGKNGMWDSFNLERPCSSSGQSGMWDSFNLGRPCESNGMWDSFNIIEGPCSSSGQNGMWDSFNLERPCSSSGQSGMWDSFNLERHCSSSGIPSGSNGIWDSFNVETHCSSSESCGMSDSFNVETHCSSSGQSGTWDSFNVEACHNVNELLLLKAHLESTREKLLESQFLDSLWFYFYCRVARIKLEDLLSCFLLIIFQLCAVFLSAKSGCVMYSSSCISGGVNGKSNAVTSASIIINDAAGGVIRSVLRLHLSSCTCSFAVKKSWGAVFYRADNHVKSWTSDIHQIYYQSSVSPVALLVLHCYHSYAYSGIRLNFKTEFGSNFSMKKPRRNRKSLEKMHSNLPLIPLEFSNNREP >Solyc07g037960.1.1.1 pep chromosome:SL3.0:7:44459078:44460535:-1 gene:Solyc07g037960.1 transcript:Solyc07g037960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSVSFKPMAVLTKEDEDPPISSTSLLSFDTDDCSPSSPTKTPFNSVILTALILVTCVSLSTAVTFAFLFFSHSSISSISHVTRSRPLQKLKHPVVLLISSDGFRFGYQYKTDTPNIRRLITNGTEAELGLIPVFPTLTFPNHYAIVTGLYPAYHGIINNNFLDPISGEHFTMGSHDPKWWLGEPLWETVVNHGLKAATYFWPGSEVNKGGWTCPESLCKRYNGSVPFEERVDTVLKYFDLPNDEIPSFMTLYFEDPDHQGHKVGPDDPQITEAIARVDSMIGKLIKGLEARGVFEDVNIIMVGDHGMVGTCDRKLIFLEDLAPWIDIPKDWIQSYSPLLSIRPPPSYSAKDVVTKMNEGLKSEKVKNGQYLKVYLKEELPDRLHYSASDRIPTIIGLIDEAFKVEQKSSKRFECGGAHGYDNAFFSMRTIFIGHGPKFASGRKVPSFENVQIYNVVTKILNIQGAPNNGTTTFPDTILLPSH >Solyc07g032650.1.1.1 pep chromosome:SL3.0:7:40806995:40807297:1 gene:Solyc07g032650.1 transcript:Solyc07g032650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHTPMQFMTEHFFYMMQAWLPSETPVKLHWYRKEELLNLSGNGIRKLEEWDRIYDYAYYNDLGEPKKGSTYVRPILGGSTKYPYPRNIVGYAKLKAIK >Solyc08g079140.1.1.1 pep chromosome:SL3.0:8:62910127:62910573:1 gene:Solyc08g079140.1 transcript:Solyc08g079140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLVRVLLHRRRKGRIFSRAISNLCKLGHFLKQSTNRLCFGRPNAGYIRVGQEPIASKQVSVPKGHLAVYVGEKKDDTCRVMVPVIYFNHPLFAELLKEAEMVYGYNHSGGIQIPCRVSEFENVKSRIAAMGGGGNSRGERSWKGK >Solyc01g020440.3.1 pep chromosome:SL3.0:1:30760205:30774214:-1 gene:Solyc01g020440.3 transcript:Solyc01g020440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVDKYRPKTLDKVIVHQDVAQNLRKLVSEGDCPHLLFYGPPGSGKKTLIMALLRQIFGPSADKVKVENKIWKVDAGTRTIDVELTTLSSTHHVELNPSDAGFQDRYVVQEIIKEMAKNRPIDTKGKKGFKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCSNSSSKVTEAVRSRCLNVRINAPMEEEIVSVLEFIAKKEGLQFPQGFAARIVEKSNRNLRRAVLTFESCRVQQYPFTNNQTVPPMDWEQYVSEIASDIMKEQSPKRLFEVRGKLYELLTNCIPPEIILKRLLFELLKKLDSELKHEVTNWAAHYEHRMRLGQKAIFHLEAFVAKFMSIYKSFLISTFG >Solyc02g094620.2.1 pep chromosome:SL3.0:2:55790294:55846765:-1 gene:Solyc02g094620.2 transcript:Solyc02g094620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQCIPQVLLCKVGFLRDVLLLPALNSGDETVISGLACFLSEIGHAAPSLITEASPEAFVLTDALLSCVSFPSEDWEIADSTLQFWCSLAGYILGLDADRGENVKSVKILFFPVFSALLDALLLRSQVDDSTFYGEGAMVDLPDTLEQFRMSLTELLVDVCQLLGSAAFIQKIFLGGWTSNNVHIPWKEVEAKMFALNAIAEVIIMETQDIDFSFVMQLVTILSSTPQDDAKGFMKLVYKSAAEVVASYSKWISCQTNTRSLLLFLAKGISEPFCSAACASALLKLCEDAATPMYEHSSLEILLWVGESLDGRHLPLEDEEKVVSAITLVLGSLPNKELKNNLLARLVSPCYEAIGKLIDENQNHSLRHNPASYSQLTNAARRGLHRLGTVFSHLSTESSAGSDVDDPLVALLGVFWQMLEKLFQSMHIGNAVLSMAACRALSQAIQSSGQHFTTILPGVLNCLSTNFVSFQSHDCYIRTASVLIEEFGSREEYGHLFVSIFERFSKSASIMALTSSYICDQEPDLVEAFANFASIFIRCSPKEVLVVSGSILELSFQKAAICCTAMHRGAALAAMSFMSCFLETGLNALVESLAHCPELEGIVGISDSSIDAMAIQVISHSGDGLVSNLMYALLGVSAMSRVHKSATLLQQLAAVCSLSERTTWKAHLCWDSLHGWLHSAVHNLPAEYLKHGEVESLVPLWIKALAAAASDCIQSRRNVGGTSDYGHMQGKGGRILKRLVREFADGHRNSPNFT >Solyc03g096308.1.1 pep chromosome:SL3.0:3:59805392:59823418:-1 gene:Solyc03g096308.1 transcript:Solyc03g096308.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMETQEMVQAQVNSMDMGDKVQEIMVDMEEDFKLQTTSLMITILRLLKTDTSSKSDEISTHFDVHGSPLEKAENQTIEVEIDNSEPADTGIVHEDSQSNEAENSSEDQMFRPSTTEEVAENTHVVPSHVDSYVRRSSRSIKEPMWMKDYAITKGHSSTKHPMASYLNYEKLKPKCRSFLSKLSDQSAHDYSLFTLKKEKDIVIILVYVDDLLITGNNTQLIAEVKVCLYKQFKLKDLGELKFFLGIEVLRSFGGVIFNQRKYILELIAEAGLTGAKPAVTPMESNLRLTSVEHDQANGYVNDDVLHDITSYQRLVGKLLYATMTRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSVGQGIWLHSEPTNIITCWCDSDWAACPNTRRSITGYVIKFGESLVSWKSKKQQTVSRSSAEAEYRSMASAVSEITWLLGLFKELGVNPSNVWGVIAIKTDNAATRFPNSTSKSRMRYLTNDEDGETVNLGEKGEACNLSGEGGEGEAVNLTSEGGEGEHVSLGGEEVDDNLGGEDASDFLSRFKLELEEDWEEKKANARGTPFVTERDSFSSELPPLSGHKRPYSSASFAAATGENRRPATGFGVYSNPTTGAQVFNVCSLNMFTCFSVYFIAL >Solyc01g102900.3.1 pep chromosome:SL3.0:1:91446646:91447620:-1 gene:Solyc01g102900.3 transcript:Solyc01g102900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKYAVLIIAILAVVTSISHASDPSPLQDFCVAVNDSMTPVFVNGKVCKDPKVVSANDFFKSGLNVAGNTSNNVGSAVTAVNVNNLPGLNTLGISLVRIDYAPYGLNPPHTHPRGTEVLTVLEGTLYVGFVLSNPGPNMKNKLFTKILHPGDVFVFPIGLIHFQFNVGKTKAVAFAGLSSQNPGVITIANAVFGSDPPINDDVLAKAFQVDKKVVHYLQSQFWWDNN >Solyc01g087334.1.1 pep chromosome:SL3.0:1:82173672:82176000:-1 gene:Solyc01g087334.1 transcript:Solyc01g087334.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKGLYQRLVVIYLSHTRPNIAFVVSVVSQFMHDPRERHLQAVNQILQYLKGSPGKGLLFKRGDMILEAYTDVNYACSLVDRRSSSGYFTFLVGNLVTLRSKKQNVIARSSAKSEFQSIAMGAKPPRIQMGRKICFCPDGFPKGKNEFLSDVVKLEEFLKDPWGLKAKQPATIQVKVPKLNVAPPPQVPVGDGGGGSSGDGEEVAAIASAKTKCVALQKKAASASMMAKDFARQFESGDVEVRNRSSKKLFHYRRRKDTQMN >Solyc08g021870.2.1 pep chromosome:SL3.0:8:34344673:34346074:-1 gene:Solyc08g021870.2 transcript:Solyc08g021870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVNAELCLARDCDGGNPLHLAAIKGRVEVIKELIHVRPHAALGTMINGENILHLCVKHNQLEVLKVLMAIGWDHVFLNAKDGDRHNILHLAVAHKQIEQNNAADKWIALQAQAFHVGFDGNHVVDSFLDSTQLWHINLHSHAWQGQSSTWSDH >Solyc09g009743.1.1 pep chromosome:SL3.0:9:3190548:3191612:1 gene:Solyc09g009743.1 transcript:Solyc09g009743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFLDVLQVLVYAYGWPSRLVQPIWKVKRAPKRAYPSFRRFSFDIAHDFLGDPDFNVKNTKKFRGCPSRPCLCIRLAITACPTHLEGCPSRLVRPIWKVKRAPKRAYASFRRFSCAIADHFLGDPNSDVKNVKWFRGRPSTPCLCIQLSITACPIHLEGQTSPEASIRLISTIFVCYSTTFFW >Solyc02g050205.1.1 pep chromosome:SL3.0:2:4552668:4561561:1 gene:Solyc02g050205.1 transcript:Solyc02g050205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIESCVGRPLFLVLGSSTRANLSIRFHSKVSSVFSQRAMAKTTPSPSLSPSPPTPKKVRHEMELFGDVRVDNYYWLRDDSRSNPELLSYLHQENAYTDSIMSGTKQLEDHIYTEIRGRIKEDDISAPMRRGSYFYYTRNLEGKEYVQHCRCYVPTSGVPTSVYDTMPTGPDAPSEHIILDENVKALEHTYYSIGAFKVSPNNKLVAYAEDTKGDEIYTVYIIDAESGMLVGKPLVGSITSYLEWAGDEALVYVTMDDIFRPDKVWLHKLGTDQLDNSCLYHENDETFSLDLQASESKKYLFIASESKITRFVFYLDTSKPENGLVVLTARVTGIDTSASHRGNHFFIKRRSDECFNSELLACPVENISATTVLLPHRPR >Solyc04g063345.1.1 pep chromosome:SL3.0:4:55460622:55464123:1 gene:Solyc04g063345.1 transcript:Solyc04g063345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSRYHSYNISMSWMPHHRTSFNELCSTLTALPTAYPYSSSYYHQREVDVKYRKFRVLGSPSMNPEKRGEPLSKLHCAIFFSLCYLIFLMNENYLVKLNKR >Solyc07g015925.1.1 pep chromosome:SL3.0:7:5768736:5769671:-1 gene:Solyc07g015925.1 transcript:Solyc07g015925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLAPLTPYQVSEDYWVMKELWERIKTMESKDERESSTIIPKDESALAKNKKNKCMIAKPSKFLKGVDERSFIIDLRSGYHQIRMKPGDEWKMTFKTKFGLYEWLVMPFGLTNAPSTFMRLINHVLKPFINKFIVVYFDDILVYSKTMEEHVSHLRQVFDVLL >Solyc10g078190.2.1 pep chromosome:SL3.0:10:60178918:60185478:-1 gene:Solyc10g078190.2 transcript:Solyc10g078190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNLSGEDNDDIDWDTEDELEIQEIQDTVFSSCTDLRTTGQHVVCCDVEASSSSVPFRSKFIQQFVVMGFPEESIAKAIEQNGENSDLVLDSLLTFKALDDSPEEQPSVSPPLEPSISSDDSASEYNKIVLDNVYEDDSWSSDSDNYINTVKQCYLNDEGSSLSEKEKMLLFLGNMGYPAEEASIAMDRCGPKASLPELVDFICAAQMSRAEDPYLLEDVKPNLKDILNDCGGYKKRKMYNELCKRKKQREISVEEPIRLPKPMIGFGIPTESVPRMVQRILPEKIIGPPYFYYENVALAPKGVWDTIKRHLYEIEPEFVDSKYFSATARKRGYLHNLPIENRFPLFPLPPRTIHEALPLSKRWWPSWDTRSKLNCLQTAIGSARLTDKIRKAVEKYDGEPPMEIQKYVLYHCKKWNLVWVGRNKVAPLEPDEVEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLRDLFPNGINVLSLFSGIGGAEVALYRLGVPLNNVVSVEKSEVNRNIVRSWWEQTNQRGNLIHFDDVQLLSRDRLKKLIESVGGFDLVIGGSPCNNLAGSNRVSRDGLEGKESSLFFDYVRILDDVKSIMSRHR >Solyc01g099460.2.1 pep chromosome:SL3.0:1:89578638:89597162:1 gene:Solyc01g099460.2 transcript:Solyc01g099460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSIESRKLKYFPTSETVDAVISDMDPDRVVAELVGMGFELSDITDAVEVVGPSIDSAIDYLLDDSRRKTASASTSTACFTSCAGMLGKRGSSSSSCSAGKIRQSSINEFIQSESRPKRSKTINKLNMSQTEVLQRDTGGQNVHPPLEDSDLHIATEKAVTSSYCKDEDIGPDWQKKVKALLQKHFGFPLFKDFQKDALEAWLSHQDCLVLAATGSGKSLCFQIPALLTGKVVIVISPLISLMHDQCLKLAKHGVSACFLGSGQTDKSVEQKAMAGMYSIIYVCPETILRLIKPLQSLAESRGIALFAVDEVHCVSKWGHDFRPDYRRLSVLRESFSMDTMKFLKFDIPIMALTATATTRVREDILQSLHMSKATKIVLTSFFRPNLRFLVKHSKTSSLASYKKDFHELISIYSRKGKSSSKNKLMSTNLVENSESSDNASNGRMDECNGINEVDVDDVEGYAVSDSDNEVSSPGRYGLDSSKDRQLSVEYLEDECDVVQDVDDLDVYSLAITTRESIVTLVQDCLSGLMLIIAAVSCGEFSGKLPLEGCSGFLLHKTPDLANDPKERVKLQHKLLEDGPTIIYAPTRKETLSISKFLSKFGIKAAAYNAKLPKSHLRQVHKEFHENTLQVIVATIAFGMGIDKLNVRRIIHYGWPQSLEAYYQEAGRAGRDGKVAECVLYANLSRTPTLLPSQRSEEQTKQAYKMLSDCFRLYGMNTSCCRAKTLVEYFGEHFLLEKCLRNFADISYGGYEGRLSERPNIKALVSRIRELYQQFSASDLLWWRGLARLLEVEGFIREGDDMTRVQIKYPEVTVRGRQFLSSETEQPFHVYPEADMLVSITSPKSFSSFAEWGKGWADPEIRRQRLQRKRTWKSPRKRKSRKRQPDSNTILSFPSRNIIHFKQVMPIFDSKQSFTSSFLLYLRKRQKKEIAAEKLRIVTEALERAEDRVLRYEERHDNILNQIGSHYIVSQEIVEALCGAREAMNEALEFAITLRNLQLEIIRLYPDGYESASRPVWLIRKLRRQGNSIN >Solyc07g045520.3.1 pep chromosome:SL3.0:7:58764518:58767514:1 gene:Solyc07g045520.3 transcript:Solyc07g045520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIAAKPLNNIWIRRQQCPCGDWKCYIKADGDDHIANVSQMTKSETASSSSQDVVFTPYVGQIFKSDDEAFEYYSNFARKNGFSIRKARSTESQNLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSVRCGCDAKLYLTKEIVDGVAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPVNRIVKVLELEKGVQPGQLPFIEKDVRNFVRTCKKTVQENDALITEKRENDLLELLEACKASEQKDEGFVYSFTTDENGRVENIAWSYGHSLQAYSFFGDAVIFDTTYRSITYNMLFGVWFGIDNHGNALFLGCVLLQDETSQSFSWALQSFVRFMRGRQPQTIVTDIDSGLRDAIASEMPTTKHVICIWQVLSKLSSWFSLPLGLQYPDFKSEFDMLCRLENVEDFEHQWNQLVARFGLGSDKHIALLLSYRASWQISYMRNFFLARTMSIEYWKLVETFMKNILSPQSSLLLFFEQVGLACNFGNHKKEKQLYMPAKTCLPLEEHARSILTPYAFNIMQHEVMLSMQYAITEMANSTYLVRHYKKMEAECLVIWIPEDEQVHCSCKEFEHSGILCRHSIRVLVSKNYFQVPEKYFPLRWRPESSLAPLDDSVIQSSGNKYSQVFHALSGSLYSESFISKQRFNYVNRELKQILEHVQKMPTVDEVAASSAPISVSEL >Solyc03g082840.3.1 pep chromosome:SL3.0:3:54113477:54114808:-1 gene:Solyc03g082840.3 transcript:Solyc03g082840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPQWPQGIGIVKGVDEAKLDQRKPRPQKEQAVNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSNSISISSSSTTSSLSLSSSSKKLFTDLANPNDLNLTYNPIPSGGTTTTTATNFSNFSDFMALPLLHPSANSASTFMTSSNLYPSSTTGISNLHDLKSSNGINFSLDGFENGYGSLPSHQEAKLFFPMDDLKINVSTVGDEQFEENRGQAADQSNGFWNGMLGGGGTSW >Solyc08g005300.2.1.1 pep chromosome:SL3.0:8:231146:232261:1 gene:Solyc08g005300.2 transcript:Solyc08g005300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLMARVREKRMRRAALKGDGLQDGGRRGDSSSKRCGKGSRQDDSEIMADNEKTVRLNLMEKESEERKETSLSLIEDEDEVEMESPIARVREKRIRRKVLKADGLEDGERRRNSRIKKGTLSKSSKKDKGSRLDDLEKAEESFDLYNFDKDRVERSFKKGHIWAVYDDDGMPRDYALIDDVISAHPFEVRLNWLEFQNNSDEALLHWGKKGFHISCGKFKVSRQALLKSLKKFSHVVDSERAARELYRIYPLKGSIWALYKENALGAGSSSLMEDNQCYDIVISLSSYTDLHGVSIGYLERVDGFRTIFKRREVGAHAVKLLGKDELRLFSHQIPARKLSDEEASNISKNCWELDHASLPRELLAINRRS >Solyc08g065260.3.1 pep chromosome:SL3.0:8:53205472:53210710:1 gene:Solyc08g065260.3 transcript:Solyc08g065260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMAISVATLFSPNHHQLLCFPSSSTQYLKTHHFHNQRFIPRIPFSSFRNNNPLPETECPVPLDQQPVNEFKALSDSFPFSWASGDLVEYCSRLFATGLAFALFVGLPVNLFGSAGPIPEPLKPFLGAVSGGLIVVTLAVVRMYLGWAYVDEETGWYDGQIWVKTPEVLARDRLLGSFSVKPVLSRLKNTLVVLATSLLVCVVVFVNIENGQKDSYIPSEEAGGRSVPGVYDDESARSFEPDAFCGGEPADP >Solyc07g052990.1.1.1 pep chromosome:SL3.0:7:61547293:61547532:1 gene:Solyc07g052990.1 transcript:Solyc07g052990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERALLIADPPAVKSSIEDENENEPSYSIVEAPETEHHHSDKSVAGGGVIIGGLVTAIFATVYCYIRVTRKKSDAPDH >Solyc04g063200.1.1.1 pep chromosome:SL3.0:4:55353741:55353953:1 gene:Solyc04g063200.1 transcript:Solyc04g063200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANRRGGMGNNNNGGKTKGGSSSSVIPAKKKLVQKMMAEKLVQIASSAFKNDDKNKNKVNPHPDDDST >Solyc05g007780.3.1 pep chromosome:SL3.0:5:2237430:2239500:1 gene:Solyc05g007780.3 transcript:Solyc05g007780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFTNPTTLFHPKTKITKTHHTQCKTLPKIQSNLSTNEQNFSCRRKLIATFLVTPLTLGLQFTPLALAENWGVRSFLREHFFEPGLSPEDAVARIRQTADGLHSIKEMLETNSWRYVLFYIRLKSAYLKQDVKNALSRVPESRKESYIKTVNELTDNMAEFDYYIRTPKVYESRVFYEKTLKSIDELVGLLA >Solyc10g045627.1.1.1 pep chromosome:SL3.0:10:34885716:34885721:-1 gene:Solyc10g045627.1 transcript:Solyc10g045627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding G >Solyc04g064480.3.1 pep chromosome:SL3.0:4:55618737:55644882:-1 gene:Solyc04g064480.3 transcript:Solyc04g064480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRRQLPKDLLVEVLLWLPVESLVRFKCVSKHCS >Solyc10g044640.2.1 pep chromosome:SL3.0:10:27146601:27169267:1 gene:Solyc10g044640.2 transcript:Solyc10g044640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQSWKDVYKGMSSDNIKGLVLALSSSLFIGASFIIKKKGLKKAGASGVRAGVGGYSYLYETLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLARIILREKLHTFGILGCALCVVGSITIVLHAPQEREIQSVKEVWDLATEPAFLLYAVAVIIAVLILIFHYLPQYGQTHIMFYIGVCSLMGSLSVMSVKALGIALKLTLSGTNQLIYPQTWAFTLIVIVCVLTQMNYLNKALDTFNTAVVSPIYYVMFTFLTILASIIMFKDWDRQNPTQIVTEMCGFVTILSGTFLLHKTKDMVDGPAMLPVRLPKHGDDENGFGQEGIPLRRQDSLRSP >Solyc04g076440.1.1.1 pep chromosome:SL3.0:4:61417275:61417613:-1 gene:Solyc04g076440.1 transcript:Solyc04g076440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVQVSRVLNSMNKAIWYIMILAVLLNITAKLYLNLVPEKIKLVLRDEAENELLPWLSGSIITLIIKYLNFCIAKMLQFRYLAPLQTAPSTIMSLLFWVALTRSVSSLYS >Solyc08g005525.1.1 pep chromosome:SL3.0:8:392379:395480:1 gene:Solyc08g005525.1 transcript:Solyc08g005525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVFLQFSVFIVKWGRRNWIKLISFRSKSPQVPVRWRRRNWINFLLFSRTLCNIRWRGRRMGNYKCNIVEETGLIWKRTEFKLFNMF >Solyc10g036830.1.1.1 pep chromosome:SL3.0:10:17104937:17105203:-1 gene:Solyc10g036830.1 transcript:Solyc10g036830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISCKGRWPMECDISQVLHAVTWHVCMFQATSANNLQCQQRPTRVILLCVHRLCDFSRGISASAMACVHFPRDNGQWKEATAKACMH >Solyc01g005295.1.1 pep chromosome:SL3.0:1:210837:211044:-1 gene:Solyc01g005295.1 transcript:Solyc01g005295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLIARSMNPEKAAKMFCQWKKWRAEMVPLGYITDSEVCPRMDILFQ >Solyc02g070510.3.1 pep chromosome:SL3.0:2:40805105:40810898:1 gene:Solyc02g070510.3 transcript:Solyc02g070510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4B832] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQYNKDLTLKEAETIALSILKQVMEEKVTPNNVDIARVSPTYHLYSPSEVEEVISRL >Solyc02g080690.1.1.1 pep chromosome:SL3.0:2:45420415:45420591:1 gene:Solyc02g080690.1 transcript:Solyc02g080690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTLVVILIITSVLAYPINARSLMAIKEKLKASADEHNEYFQNPLLSFLAISIHLV >Solyc06g075667.1.1 pep chromosome:SL3.0:6:47169018:47170644:1 gene:Solyc06g075667.1 transcript:Solyc06g075667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLWGFPIDVVDIDDASGTRENQAAQEEPNVSTGATQSPFTAQAEPNESTGAAKSSFTATKGETHQSQKKGNCFKASSSKVNEKGRCKKRKTVEDDNETVLKGLMEVMKQFTESHDKRMASLIDKLGERDLSEIRGKIFSIIGSPAYEIYNSDERVKATMGITQDIKRMEFFLSIIEIERHKCPQMCIYVNAVGLKLFNSWGNHKDPVRSTKYSDAILSSVVEAAVYIKPKDMISKGYMPNEITYTILVEGIIHEDYKELASVVLRELHQKEVISRNTVERLAMQYELEDMAVC >Solyc10g081620.2.1 pep chromosome:SL3.0:10:62791692:62800688:1 gene:Solyc10g081620.2 transcript:Solyc10g081620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNSPPTTSQVNCCYCGCSSCSAMNRSYSGTWLRSVKRKFDEYNENKFMIPGFVLPLNARIEIENECTALREMVSKQQHTIQDLGAELEEERNASSSAANEAMSMILRLQGEKAEVQMEFKQFKRYTEEKTAHDQQEIMALEDILYKREQTIQSLTCEVQMYKHRMMSYGLTESEAEGDCETEKGRFSRNNSISETINGQFEVPPFDYPPLKCTINENQVYTEFDNDIVDVEKYAFEETPRSCDQLRDLEHRINQLERTPRSTDGDLFKNNILEKVIVGHSPRRNRHLRKFSTDSVGSPFITNKEINSDFISDSPRFGGSIRKTEYSQTEERSNLRKVDNSSEIGDDMSDRVYTIDSVHQGAGYNGVSELKSSAGMVDDYTPRDSLNNTDFGDPEVTKLYFRLQALEADRESMRQAMIAMRTDKAQVILLKEIAQQLCKEMSPAVRKPAKKTSVIGSFSCMSIFKVPIWIIDQQSRLANAFRQGTSCGAVEMSDEHAANCGFTLHCVLPLNSWNCNLWLNQCHRKYRCSTASLELEKLQILLSVKNLKALAAQTILHNFNNAGFQELVIQAAKTKEKKCLKSTAQSDSYGVQPLGNLYFNPSSHNSRNTGLGNLQTLTDELVLDILGLLEGTHLGILSTVSKGFYIFCNHEPLWRNLVLETCKGGFLFKGCWRSTFISAYRPSFPVLSFGLKVRDFYSDYLFQSWLCANLEMKPEWLERDNIVRRKGISLDEFVMDFEEPNKPVLLEGCLENWPGLEKWNRDYLVKKCGDVKFSVGPVEMKLEDYFKYSDQVREERPLYLFDPKFAEKIPQLGKDYDVPMYFNEDLFSVLGNERPDYRWIIIGPAGSGSSFHIDPNSTSAWNAVTKGSKKWILFPPDVVPPGVHPSPDGAEVASPVSIIEWFMNFYNATKNWKKRPIECICKAGEVIFVPNGWWHLVINLEDSIAITQNFVSRRNLVNVLEFLKRPNACTLVSGTSDRVNLHDKFKNAIEAHLPGTIDELTLKAEEKKAQQNKPSFWESVTDSNAGVFRFSF >Solyc08g067880.3.1 pep chromosome:SL3.0:8:56994430:56998667:-1 gene:Solyc08g067880.3 transcript:Solyc08g067880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGNRREEGSVMVKNSNVFAALDTLRKKKKSDKEKSKGSSKKEQEPEVLWAPAPLTVKSWADVDDEDDDDYYATTAPLQSFVGSNESGKKTEPVEETESEDDLLDEDEDVEDNDHESEVAEHAEPVRQKIEASPAPKEAERQLSKKERKKKELAELEALLADFGVEQKEDGPEDLPDVANEKKEGKPAEDVEKKNGGATEPKSAKKKKKKDKASKGVKESEDQPNNVDGTTGPEETGGAGPVEDVSTVDMKERLKRVASAKKKKSGKETDAAARAAAIEAAARNSKLAAAKKKEKSHYNQQPTR >Solyc01g105620.3.1 pep chromosome:SL3.0:1:93622238:93625970:1 gene:Solyc01g105620.3 transcript:Solyc01g105620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGIAIYILCAYAIHKFRNSRTSSQPEQQVGGGGEEEFSDIQTVGLQQSVISAITIHKYKKGEGLIQGTECSVCLSEFQEDETLRILPNCNHAFHIPCIDTWLESHINCPMCRADIVIKIPNTAAFIEQNAIRKARILSRPQEPVEEEEEEFGDIVDEDIHGPMVDHPIWYIRTVGLQPSIISAITICKYKTEEGIIEGTDCSVCLCEFQEDETLRILPNCNHAFHIPCIDTWLRSHTNCPTCRAGIVIAPAADPSFPEQISGRRHEEEAHSGNSENGTELSLDMENEGESLELRSMDMSGNSKEDVGNGTNEGSNARTSNDKKKPECCSSSVKLGYSKAPNSSSFS >Solyc06g048470.3.1 pep chromosome:SL3.0:6:31195374:31203089:-1 gene:Solyc06g048470.3 transcript:Solyc06g048470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQGVDADVLLEYVEFKIFPSQGRYETLMIYGDKAEAASSGLLKQLMLHSPKIKSLHSKGSDSCFKFKPLGNLSDAKWFTKSTLIRFLRIISSSDIIDVAKAMVNEISQLEDARKFHLSLYSKGPQDHTGSEETADVSYSNSTAPTVDHDGNPSSSDASKNELLRAMDLRLTALTEELAAVFDQAVGTNCSFGDITNIEKFSYYFGAIDLRNCLQKFVALRQENTNGDSLGKEPPLSKNDARNDRTGSVGSTSKTSKPPQSDTAVKYSASPAKAAQLERQSSSASEESTLTSEEEQPSEERSRTLIRSASPRRSASPMRRVQIGRSGSRRSTALTIKSLNFFPARERSVSHRDESASDCDEQAHELTSKKSEKNLQRMSVQDAIHLFENKQKGQMVDFQKTKSLLNVSVANKAVLRRWSSGVCGSANPVDVASGDPTSLAANKLEDQEFESTLEMKPESYPTPEIYDAEAVDNDSKSNLPEERASSPEEMRKECLPNQGEETDQKLNASVEWTRKKEAELNQLLVRMMETKPTKYQNLAPGDSKLQRLPNERRGGFYGHYKEKRDEKLRDGTTRKQAEKGKQFKALQQILDERKAEMVSKKASNDSKKSNIKRTQKAVKNLPESSNPRSGTPNPAVVKKVPLKTSPLPATRKSWPSAPSPRAAGISPAKTPGTTPTRRISQPAPTTPRSSEKVEKLQPKTVRATENGTKRTVKGVSEKKLETVTKTSKPRRSKVQPASEDSAFSAKSKLSKVTKRSSVMPLESKETKPFLRKGSRTGSAPSSGLGPVVKVKVASQPVESVMDSVDSVKMEEKEIGSVSFDLVNQVQDWGLEGLKVHEDKDCEAQAESPQICENAEKFDKVTSNDTDDFGRIEDSTPKEEVEGEPNISPSAWVEIEELEAKSFPSNGDLCNNDSLGDVAPVRVSSPRVRHSLSQMLLEDNGEADVIDWGNAENPPTMIYQKGEPKGLKRLLKFARKSKTDASSTGFSSPSVFSEGEDDPEDSKVLTRRSSDNLLKKATHHAKNAGQQKSSSSEVYDLSAPTSIGNIGAKKLQEGHISASATTTKATRSFFSLSAFKGSKQNDAKLR >Solyc07g006160.3.1 pep chromosome:SL3.0:7:996235:1003206:1 gene:Solyc07g006160.3 transcript:Solyc07g006160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVLQSARQIKSALRYRKDNVINSLVKRQYFSTNISHGCICKLSYNGGITRHSQPYYMLSRAMFAGVATVSNGVETRGGPLVEYERRIAEGDLLDGDACQVGTLQELQRLYDQLIEKAEVCRLDKYASSDKASRSRWLWSRLLPQSSYAPVKGLYLYGGVGTGKTMLMDLFFDQLPGNWRKKRIHFHDFMLNVHSRLQKHKGVPDPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFKQLFMNGAILVATSNRAPDNLYERGLQRDLFLPFIATLKERCVVREIGSAVDYRKLTSAEQGFYFIGKDISSVIKKQFQMLVGDAQPVPQEVEVVMGRKLQVPLGANGCALFSFEELCDRPLGAADYFGLFKNFHTLALDGVPIFGLHNKTAAYRFVTLVDVMYENRARLLCTAEGSPVELFEKIVTISDAQQIAPRTSSRSRKNDDFDLCVDNELGFAKDRTISRLTEMNSREYLEQHAEMLAKKLQLPSENHGEKAIEV >Solyc08g078310.3.1 pep chromosome:SL3.0:8:62246411:62248177:1 gene:Solyc08g078310.3 transcript:Solyc08g078310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPVKSQPLHYFSLPQLKWGNKSNTNANHRFRRRDSPPSNGDNPTQTADVDGGSDSEKVQPRSEAEADPNGVSSLQGREEHEEKVKEEEEEEVGCEEGEVKLWNLRPRRGVTKVETTSLKNVEMRVESSNHMQRSQRLKDNADGNGVGSGKKGKKKLWISLSREEIEEDVYSMTGSRPARRPKKRSKTIQKQLDNVFPGLYLVGVTADSFRVNDTTK >Solyc06g035930.1.1 pep chromosome:SL3.0:6:25372734:25374544:1 gene:Solyc06g035930.1 transcript:Solyc06g035930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRFITTQQSRFCRPPNPVYFTFHVMQDATLYSSATIRVSFSVYKHLARKYLSMLGPCIST >Solyc03g032160.3.1 pep chromosome:SL3.0:3:4684895:4695240:-1 gene:Solyc03g032160.3 transcript:Solyc03g032160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMGGGDASVPAGDSGDSNKVSNTGAGGGVTINIRCSSGSKFSVQVSLDSTVGSFKSILAQQANIPAEQQRLIYKGRILKDEQTLESYGLEADHTVHLVRGSAPAPSANPACAPNVGGPNTAQNAPRSAASDAGGPFPGSGLGASMFPGLGSGGGGGLFGAGLPDFEQVQQQLTQNPDMMRDMMNMPLVQNLMNNPETIRNLIMNNPQMREIMDRNPELAHVLNDPATLRQTMEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYENVQEPFLNASTLSGDTRNDAGSNPFAALLGAQGGLGRQQANNPPTAGSETTDNLPAPNTNPLPNPWASAGTGAAQANTAARSNTAGDTRGAPLGLGGLGSPGLEQMLGGMPDTTSLNQMMQNPAISQMMQSLLSNPQYMNQVLGMNPQLRSMLDSNPQLREMMQNPEFIRQLTSPETVQQLMTFQQGLASQLGRQQTNQQPGQNAGGAALDNSGMEMLMNMFGGLGTGGLGVPNRSNVPPEELYATQLTQLQEMGFFDTQENIRALTASGGNVHAAVERLLGNLGQ >Solyc04g081740.3.1 pep chromosome:SL3.0:4:65754071:65758197:1 gene:Solyc04g081740.3 transcript:Solyc04g081740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSWAEIFPEPTAPGAQFKLLTWQSLKPSLNPKLSCLQIATKAHRIKVCIFQIFGRGKRIAGMRRVSRIAALYRAVDGAAAMEVPQHRMSTAAQFSTSSNKSSTRSNWLFNNLLTDLSARTSAHAVAGTMLFSVAATTLTEEVHAKEVVPPELRPKDLVLYQYEACPFCNKVKAFLDYYDLPYKIIEVNPISKKELKWSDYKKVPVVLVDGEQMVNSSDIIDKLYEKVRSGDSTFDADEESKWRKWVDDHLVHMLSPNIYRNTSEALESFDYITSHGNFSFTERITAKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVDALKGRDFLGGSKPNLADLAVYGVLRPIRYLKSGRDMVENTRIGDWYSRMESEVGVSARIQA >Solyc08g081990.3.1 pep chromosome:SL3.0:8:65018269:65032558:-1 gene:Solyc08g081990.3 transcript:Solyc08g081990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAVDEAIGDEVNGLDAIDGRQLRIKEIEYALRSGNSIMLQSHEVVTLGEGDHYQTTPNFYTHILDRKNLDRIGSSEHASSSPRCMNDAGLMVEELTLRNYNGKNLAVVGTLGNKETMHIRPNQWFYQLAGGSACASSHGEAAYRDRCRASSGIWEEEDGDTLFTGLLNQNQNTSNENHNLGGENLQSNGDKAILNNVLSSPEGIRTKIISKSGFSQYFVKSTLKGKGIICKTQLPRVSASESRGQIHSQCTNASSTVASMDAFVNPNVYHDGISLRERLKAGGNKLNKDEGLYIFKQVLGLVDFAHSQGISVQDLRPSCFKLLHSNQVVYSGASVRSQLNEYVVDRGVSLSENNQKERSSAGKNISSLVDPCVKKQKLSENMHQKMKWPQYPFMSGHKSASRNTKLNAAPGYEDESNEEDCLKKEPNNPSKFRLPQLSIMSKPSLTSMSFKLEEKWYTSPEQFTEGGCTFSSNIYCLGVLLFELLASFDCESSHAAAMLDLRHRILPSCFLSEHPKEAGFCLWLLHPEPSARPTTREILQSGVIAEIKELPGDVSLSSIHEEESESELLLYFLMSLKDQKQKDATKLVEELKCIEADVQEVQRRRSSKALFPSSHPESLVQRQTRFIQKGASSSDEYPKLPPVCENETRLIKNIKQLESAYSSMRSNIQPSDNVAMVRRTEELFNNQENFVSPENDKEKYRPTDRLGGFFDGLCKYGRYSKFRARGILRNADLNNFANVICSLSFDRDEEYLAAGGVSKKIKVFEYHALFNDSVDIHYPIIEMSNKSKLSCICWNNYIRNYLATTDYDGAVKLWDVSTGQAFLHLTEHNERAWSVDFSRVDPTKLASGSDDHLVKLWSINEKNSVCTIRNKANVCSVQFSPDSSHFLAYSSADYKTYCYDLRNTSAPWCILAGHEKSVSYAKFLDAETLISASTDNSLKIWDLNKTNPSGYSTDACVLTLKGHTNEKNFVGLSVNNGYITCGSETNEVFAYYKSLPMPITSHKFGSIDPISGKETDDDNGQFVSSVCWRQKSNTVLAASSSGCIKLLELV >Solyc02g055430.2.1.1 pep chromosome:SL3.0:2:2816902:2817126:1 gene:Solyc02g055430.2 transcript:Solyc02g055430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTTSSSQANCGQQDPPATMQRHLFCLLFSFTLSLPILLLIFSSPFCRDNSTRRLHPRADETPATTKRGFQA >Solyc09g031640.1.1.1 pep chromosome:SL3.0:9:28927261:28927587:1 gene:Solyc09g031640.1 transcript:Solyc09g031640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWAQQLYGIGHGLCASALPHSLMDGIIIKGMYTLTMECVHTLHYMDCGLHALDKAATNRQHQLRSSCILHSFCMYGKSHHTMVYNIIQGLQGASAKLVALRESDMGQ >Solyc12g035910.1.1.1 pep chromosome:SL3.0:12:44541965:44542114:-1 gene:Solyc12g035910.1 transcript:Solyc12g035910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQARDDKERSLSIDEQMIDGALGIAFFLYHFLSVGFDPFVRNFFVCT >Solyc10g055140.2.1.1 pep chromosome:SL3.0:10:56342801:56343493:1 gene:Solyc10g055140.2 transcript:Solyc10g055140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKDEGVTMIGICGVRGVGKTTLDDKIMQKAKKERMFNDVVMVIVSQQSDPKRIQGEIDRGVGLTLEGDDMLSHGDRLCTRLVDQNSHILIILDDVWKALDLKRLGIPSGRNHKHQYEVIFTTRFRFVCEAMGAQKIMEIGMLSEKEAWILFKQKVGNFVDIPSLLDIAKEVDKEYKGLPLAIITLAGALKNLKTKPSWDCALEQLRSAETRIIPVCVCVRACVRACVC >Solyc03g123940.1.1 pep chromosome:SL3.0:3:72142324:72142642:1 gene:Solyc03g123940.1 transcript:Solyc03g123940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVPNTYKTYCKSKERKKHTLHKVTQYKKGKDRLAAQDKAHLPPKKAKTTKKIVMRLQCRGCKHVSQHPSKRCKHFVIGEDKKGKGTSLF >Solyc05g018307.1.1 pep chromosome:SL3.0:5:20386308:20387489:1 gene:Solyc05g018307.1 transcript:Solyc05g018307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRPIDTPMDRMLNFLRDRGSHLVILKVTRPNISFLVSVVSQFMTSPCDSHWEAVVRILCYIKSAPGKGLLFEDQGSPSDKRSTSEYYVLVGGNLVSWKSKKQNVVARSSTESEYRAMATTTCELVWLKQLLGELTFESHLPSLESHLPPRSGIT >Solyc08g079420.3.1 pep chromosome:SL3.0:8:63073151:63075192:1 gene:Solyc08g079420.3 transcript:Solyc08g079420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAPLNDLWIAALTVSIVFLTILWYKLNKVESNLPPGPRGLPIVGFLPFLRANLHHQLTELSQQYGPIYKFWLGGKLCVVLNSPSLAKEVVRDQDSIFANRDPPIAGLVATYGGLDIGFSPYGSYWRDMRKLFVREMLSNRNLESCYSLRRQEVRKTIKNVHTKIGSLTDIGELAFVTEMNVIMSMIFGSNFVEEMEKHGTEFRELVIKYPQILGRPNISDFFPMLARFDLQGIQKDAEALLKSVESILDPAINEHLKMLSDRREGEIQGNEKKDFIQILLELMEQKDIGISLDLVKIKAILVDIVIGGTDSTITTIEWVMTELLNNPETMSKVQQELKHVVGMNNIVEESHLPELHYLDAVIKETLRLHPALPLLIPKRPSQSAIVGGYTIPEGTKVFLNVYAIHRDPHAWESPLEFQPERFLNRSTNLDYAGNNMKYLPFGSGRRICAGLPLAEKMIMFMLASLLHSFDWKLPEGENVDLSEGFGLVIKKSKRLFAIPTPKLPNLDLYQ >Solyc10g006080.2.1 pep chromosome:SL3.0:10:797041:807616:1 gene:Solyc10g006080.2 transcript:Solyc10g006080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFNYVNDTGSHEMVVPITVFVAILCFCLVIGHLLEENRWVNESITAIIIGLISGTIILLISKGKSSHILRFNEEVFFIYLLPPIIFNAGFQVKKKQFFHNFLTIMSFGVIGVFISSSIIASGSWWIFPKLNFNGLTIRDYLGIGAIFSSTDTVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAVQKIDVARFNGWSAFHVFLDFLYLFSTSTCLGVAVGLITSYILKGLYFGRHSTVREISLMLLMAYLSYMLAELWNLSGILTVFFSGILMSHYAWHNVTDSSRITTRHAFEAMSFIAETFIFLYVGMDALDIEKWKMSQQSLAGSVWTSMGIYVTVLVLMAIGRAAFVFPLSVLSNFMNRNARRTPPITFKHQIVIWWAGLMRGAVSIALAFKQFTFSGVTIDPVHAVMVTTTVVVVLFSTLVFGFLTKPLIHHLLPQNDSRRGIDRESSISKETLPLLSFDESATTNLLRAKDSLSMLLQRPVYTIHSYWRRFDDTYMRPIFCAPTTNEESV >Solyc04g011465.1.1 pep chromosome:SL3.0:4:3902996:3903787:-1 gene:Solyc04g011465.1 transcript:Solyc04g011465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGHFSSTLIKIIILLRSSQSKSPFLFILLYTSPPLISITFFVIFFADSEYFIRIVWNQEFGVFVLESGLSESRIGVLVLESDCRLCVESRIGVLVLESDCKLCLESRNGV >Solyc09g010640.2.1 pep chromosome:SL3.0:9:3969591:3971704:-1 gene:Solyc09g010640.2 transcript:Solyc09g010640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHHPSTRLSMDSSASSSHDELDLEMNRQVVITCPPDINLPLSAERSPPSQPWNSEHCDILDVGLGTQVYETESSISASKVGRKCAKRLDSIWGAWVFFSFYFRPVLNEKSKAKMVRDSNGYSGFDKSDLQLDLFMVQHDMENLYMWIFKERPENALGKMQLRSYMNGHSRQGERPFPFSADKGFVRSHRMQRKHYRGLSNPQCIHGIEVVSSPSLMVLDEEERKRWMELTGRELNFSIPHEASDYSSWRNLPNTEFELERPLPPIKSNPHPNPKKLANGSGLNLLTQPSSHSNGDAMDLLPANGKRKKDFFPHGNDDECFLQVNPPSYQTPDLEIHPTEPHWLHDFSGVMRDVYGPVTAAKSIYEDEQGYLIVLSLPFVDLQRVKVSWRNTLTHGIIKVSCLSTSRMPFINRQNRTFKLDESSSEHCPPGTVLEMLVPKLCEGPEEHEVRVCLRPHLGGNDLMLT >Solyc10g081330.2.1 pep chromosome:SL3.0:10:62553996:62559088:-1 gene:Solyc10g081330.2 transcript:Solyc10g081330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASIHRDFGSDGEDEETKRCTIRKLLEPFSKDQIIQQFKTAASKDPSILSRLTSFADSDPTHRKIFVHGLGYDATSEQLLDAFKPYGKIEECKLITDKVTGRAKGYGFVVFETRVGATRALKEPQKKIGNRTTSCQLAALRPAGTGQDSGGRKIYVGNVGQDVDGEKLRAFFAKFGEIEEGPSGIDSVSGKFKGFAIFVYKSVEGANKALEEPQKLFDGCQLFCKKFVENLNNAGSSNANQGVQQNEMSYGFGVTPGILSGASMNALPLLIGQNMGFGINPLLAPGFNPLFGGIGAGYGISGISPIVIANFGSDPALQGLGPYQGLQMWQPSFGGSAAMATRTTPADDSSGAGGVTYPSSLGR >Solyc06g082320.3.1 pep chromosome:SL3.0:6:48224875:48228690:-1 gene:Solyc06g082320.3 transcript:Solyc06g082320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVSHQISLFRFQVESRRLDEGALRILESVLAWDDYKSLDGVVCILKQFMRHESLRIIEEIAGKAAEHKLLIVDFLVRVFALIGDTEITYIPVQVAVILMVEAGGNSCLALRYEALLMREQKAICDQRLLVSYSEWLTFAEHSLESGFCSIAKKACEKALLCFDVNIVDDPENYFVIEKIKNLKDVAVISASSKSVQAQAATYFKNKNIQQNSQGSYVPVEAKSSGSTLFRDGIKRRHRRQLDEYRHLKLSGITDGNMQNILVGQYAK >Solyc10g005080.3.1 pep chromosome:SL3.0:10:55713:79438:1 gene:Solyc10g005080.3 transcript:Solyc10g005080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYSSGEELVVKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFTKLEKEALTKGVPTSQALDIEIPPPRPKRKPSNPYPRKTSAAGHSSQVGAKDGKYSTTFSSICEERNLFDLEKEPITEKPGGNEKLGNVKETQNKKNCSQGLTKEGASAASMSSGKSLQAHVAPTDVCAFSESVSVTKGVVNNDNANKSFLIVESKEHQQSEILDIRQSFQGNSSCNTFDGGKSCQSSEKLAQGEKKHPSFQPNHLGEFSRNDMQVLHNYPRHVPVHILDGTNGSQIAPDMFNHESTSQQINGVPGLPNLYSNPASSTTSEHHSNAPQSSIHQSFSCFHPIFTPIRDPDDYRSFFQLSSTFSSLIVSALLQNPAAHVAASFAASFWPYANMERPTDSPTDNTASQINSAPSMAAIAAATVAAATAWWAAHGLLPLCSQFQSSFTCVPTSATSMQVDACQPRVDKNEGREGTHDSPHVQEPVPECSEALQEQQSGSKLPPSLSSESEESEGRKLKTGLTATDTEQGAAVTKINEPNAEKGGKQVDRSSCGSNTPSSSEIETDALEKDEKGKEEPQESNINLLAGEAANRRYRNFISPTESWKEVSEEGRIAFQALFTREVLPQSFSPSLDLKNKGKIILEKLKQKPDEKVQCGPQLDLNDMASNICSSHQTMEDNVLLIGNKEDVETCLPMIELGQVRLKARRTGFKPYKRCSLEANDSRVTSSNCQDEEKSSKRLRLEGEAST >Solyc06g048930.3.1 pep chromosome:SL3.0:6:32052419:32056459:-1 gene:Solyc06g048930.3 transcript:Solyc06g048930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYSSSSSTISAQEEPHVLAVDDNLIDRKLVEKLLKKSSCKVTTAENGLRALEYLGLGANQENSTNNNGSKVNMIITDYCMPGMTGYELLKKIKESSILKDVPVVIMSSENIPTRIDQCMEEGAQMFMLKPLKHSDVKRLRCQLMQC >Solyc01g111760.3.1 pep chromosome:SL3.0:1:97853576:97859508:1 gene:Solyc01g111760.3 transcript:Solyc01g111760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAPNSIEMEEGTLEVGMEYRTVSGVAGPLVILDKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYRDISGKH >Solyc09g065645.1.1 pep chromosome:SL3.0:9:64052558:64055153:1 gene:Solyc09g065645.1 transcript:Solyc09g065645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSLSQLQFFFGDFNFLSPLQFKAYPPSQTSSKPKKKKETNQVMKSIPGDLSNFTLQNYCHDNKLKIKHAPSHCLSIPLKLFKGHEHDMS >Solyc10g079750.2.1 pep chromosome:SL3.0:10:61371310:61375612:-1 gene:Solyc10g079750.2 transcript:Solyc10g079750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLEDSLKTLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLVFRKFFCGPESPGGGPDPSVGFGSPRTSTTSSSQVVIPVNSVGYEVFLLMLQFLYSGQVSIVPQKHEPRPNCGERNCWHTHCTSAVDLALDTLSAARSFGVEQLALLTQKQLTSMVEKTSIEDVMKVLVASRKQDMPQLWTTCSHLVAKSGLPPEILAKHLPIDVVAKIEEIRLKTSLARRSLISHHHQHDLSSTSELEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESIALHYAVENCSREVVKALLELGAANVNHPAGPAGKTPLHIASEMVSPDMVAVLLDHHADPNVRTMDGITPLDILQTLTSDFLFKGAIPGLTHIEPNKLRLCLELVQSAAMVISREEETTNNVPSSTAIFQPINNEDHGSSTSNTTNVGGNNLNLDSRMVYLNLGAGTSIAQQIGCNRMTNQDDHDNSHNKQNRHGEFDPSSMYRPYS >Solyc09g018790.3.1 pep chromosome:SL3.0:9:17014509:17018822:-1 gene:Solyc09g018790.3 transcript:Solyc09g018790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGFLGIGIMGKAMAVNLLRHGFKVTVWNRTLSRCDELVQHGASVGETPAAVIKKCKYTIAMLSDPAAALSVVFDKHGALEHVCAGKGYIDMSTVDADTSSQISQAITSKGGSFLEAPVSGSKKPAEDGQLVILAAGDKDLYDQVVPAFDVLGKKSFFLGKIGNGAKMKLVVNMIMGSMMNAFSEGIVLADKSGLDPHTLLDVLDLGAIANPMFKMKGPAMIKNSYPPAFPLKHQQKDMRLALALGDENAVPMPVAAAANETFKKARSLGLGDLDFSAVFETLSK >Solyc01g087810.2.1.1 pep chromosome:SL3.0:1:82571871:82574409:1 gene:Solyc01g087810.2 transcript:Solyc01g087810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNIWFPSSLHLVLLSWAFFAHLFLALAQRSTYIVHLDKSFMPNVFAHHHHWHSSTLDSIKAVVPSSVDRFHSAPKLVYSYDNVFHGFSAILSKHELAALRKSPGFISAYKDRTVEPHTTHTSDFLKLTPSSGLWQASGLGQDVIIGVLDGGIWPESASFRDDGMPEIPKRWKGICKPGTQFNTSMCNRKLIGANYFNKGILANNPTVKISMNSARDIDGHGTHCASITAGNFAKGASHFGYAPGTARGVAPRARIAVYKFSFDEGTLSSDLIAAMDQAVADGVDMISISYGYRFIPLYEDAISIASFGAMMKGVLVSASAGNEGSGSSVENGSPWILCVASGHTDRRFGGTLTLGNGLKIRGWTLFPARAFVRDSPVIYNKTLAACDSDELLSQVPDPERTIIICDYNADEEGWGFSSQISHVIRAKLKAGIFISEDPEVFRSSSFPYPGVVVDKKEGKQIINYVKNSASPTATITFQETYVDGSPAPVVAGDSARGPSKSYLGIAKPDIMAPGVLILAAFPPNLISDSIQNIQLTTDYELKSGTSMAAPHAAGIAAMLKSVHPEWSPSAIRSAMMTTANHLDNTQNPIRRDNNRVATPLEMGSGHVDPNKALDPGLIYDATPQDYINLICSLNFTEEQFKTFARSSANYHNCSNPSADLNYPSFIALYPFSIERNYTWLEQKFRRTLTNVGKGEATYKVKIETPKNSTISVSPRTLVFKGKNDKQSYSLTIRYIGDSDQSTNFGSITWVEEKGNHSVRSPIVTSPIIEVWGS >Solyc03g121930.3.1 pep chromosome:SL3.0:3:71417060:71422478:-1 gene:Solyc03g121930.3 transcript:Solyc03g121930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGAKGQKKAKPIDVFKNVKGRYTGLIKALTTDVDEFYKQCDPEKENLSLYGLPNERWEVNLPAEDSPPGLPEPVLGINFAREGMEQKEWLSFVAYHSDSWLLAIAFYAGARCGFGKADRKKLFDMINDLPSIHEVVIGVPKTQQKEKPTVANQSKNKSKPNATKRAAEPQDMFTKMQQKYEEDDDDDDDDDEAGEEDEDEWGIEMDVEEEEGETLCGICEGKYAKDEFWICCDHCETWFHGQCVKITAATAEYMKQYKCPPCSSKRARTHFTEY >Solyc06g072750.3.1 pep chromosome:SL3.0:6:45006923:45011410:1 gene:Solyc06g072750.3 transcript:Solyc06g072750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDGEKTKGGVHEIGNSVPPFLSKTYDMVDDRSTDAVVSWSKSNNSFVVWNVPEFSRDVLPKYFKHNNFSSFVRQLNIYGYKKVDPDCWEFANEGFLRGQKHLLKTISRRKPSQMQVHQETASQVQSLSVGSCVEVGKIGIEEEVERLKIDKSIHMEELVSLRQQQKATDHRLENVGQRLQLMEQREQQAMTFLAKALQSPGFIAELVHQQNEGKRRIPGMNKKRRFPNQEEENYAAKQVSTLRDRQIVRYQPLMNEAAKALLQKLLKTNTSGRLETIVKNTHGFLTNRARSFENTLETGGISPHISEVTLSQLATSSQSHLMSDSGFPFNSSLSVIPEIQYSPSLVPGQAKVPQFPELNALYSKTDNVNPEFSGHGFNTPETHEITNLKRPETGDMPYIETMQDIVDDVTSIIPDGFSMDDVFSDEMPKLPGINDTFWDQILLASPLTGDKDEIGSLALDDGLSKEEDVPEVQESDCDKL >Solyc12g096830.2.1 pep chromosome:SL3.0:12:66569113:66571142:1 gene:Solyc12g096830.2 transcript:Solyc12g096830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DHF6] MEEKTNKSHVLVLPFPVQGHINPMVQFSKRLTSKESVKVTLITIDSVTKSMPILESSSIKIHSIPHNDETPPQSYDLFLEWFHVLVSKNFTKIVEELSDSEYPVKVLVFDSITTWAIDLAHDLGLKGAAFFTQSCALSVVYYHMDPEKNKICVFDDDDGVCLPSLPLLQKQDLPSFVCQTDLYPSLKKLVFSRNINFKKADWLLFNSFDVLEKEVISWLRTQCRIKTIGPLIPSMYLDKRLKDDKEYGLSLFKPNSETCMKWLDSREIGSVVYVSFGSLANLGEQQMEELASGLMMSDFYFLWVVRVTEENKLTEEFMSKPKEKCLIVNWCPQLDVLSHQAVGCFFTHCGWNSTLEALSLGVPMVAMPQWSDQPTNAKFISDVWQTGVRVKAGENGVVNRDEIASSVRRVMREEEGIMLKENAIKWKKLAKEAVDEGGSSNKNIEEFLKLVIN >Solyc05g010440.2.1 pep chromosome:SL3.0:5:4681641:4685680:1 gene:Solyc05g010440.2 transcript:Solyc05g010440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYIGAHGVQALHRYKYSGVDHSYVAKYVLQPFWSRCVNFFPLWMPPNMITLTGFLFLVTSALLGYIYSPLLDSPPPRWVNFAHGLLLFLYQVCLS >Solyc02g030350.1.1 pep chromosome:SL3.0:2:26015677:26016738:-1 gene:Solyc02g030350.1 transcript:Solyc02g030350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADLISLFGWYRKSFLTKNNDRKAIIRQFYTFVSSSIYNWPSPSLLNNPFRFGNLFISVYFDAELILSLASRSRCFHLSTKT >Solyc09g075820.3.1 pep chromosome:SL3.0:9:67858733:67864623:-1 gene:Solyc09g075820.3 transcript:Solyc09g075820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexose transporter [Source:UniProtKB/TrEMBL;Acc:Q9STA8] MAGGGFTTSGNGGTHFEAKITPIVIISCIMAATGGLMFGYDVGVSGGVTSMDPFLKKFFPTVYKRTKEPGLDSNYCKYDNQGLQLFTSSLYLAGLTATFFASYTTRKLGRRLTMLIAGCFFIIGVVLNAAAQDLAMLIIGRILLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKISGGWGWRLSLGLAGFPAVLLTLGALFVVETPNSLIERGYLEEGKEVLRKIRGTDNIEPEFLELVEASRVAKQVKHPFRNLLQRKNRPQLIISVALQIFQQFTGINAIMFYAPVLFSTLGFGNSAALYSAVITGAVNVLSTVVSVYSVDKLGRRVLLLEAGVQMLLSQIIIAIILGIKVTDHSDNLSHGWGIFVVVLICTYVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLLFTFVMAQAFLSMLCHFKYGIFLFFSGWIFVMSLFVFFLLPETKNVPIEEMTERVWKQHWLWKRFMVDEDDVDMIKKNGHANGYDPTSRL >Solyc10g085590.1.1.1 pep chromosome:SL3.0:10:64835763:64836974:1 gene:Solyc10g085590.1 transcript:Solyc10g085590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPNPQQFQQFLSSVLSQRGPAALPYAEDVKWLIRQHLLSLIDMYPSLQPKTASFTHNDGRTVNLLQAVGTVPMVYLDRTYNIPVIIWLMESYPRHPPLVFVNPTRDMVIKKPHPFVNPSGIVSIPYLQNWIYPSSNLVELVRNLSHFFGRDPPLYAKVQAHPSNPNPSPRPTPTPNPHPPPSTSFPNHSSPVGSYGIRPAIPPRPVQQPPYGAVKHDDPEEVFKRNATDKLLVDLHNDIVGLRNAQEKDMDGLFNAQGVLKQREEQLKQGLKEMQDEKEGLEQQLQMVLMNSDVLEGWLRENEGKATNLGNVDVDTAFEPCDSLSKQMLDCTASDLALEDVIYSLDKAIQGGAIPFDQYLRNIRLLSREQFFHRATASKVRAAQMQAQVANMAARTSQYAL >Solyc01g090440.2.1.1 pep chromosome:SL3.0:1:84001770:84004825:-1 gene:Solyc01g090440.2 transcript:Solyc01g090440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASTDYSQQPHFVVPQRASTVKQQQRLSKSRSDIFLKSVLVMIVLVVVPLFPSQAPDFITQSIVTQFWELFHLLFIGIVVCYGLFCKRSSCKNYAETHSRFDGSDAYASGMSNVVSIFDDGLENYCGSDEKRMIPNWDSQFLNHEGREQERFELVEGQRSRSFSGENGAEILCGSDDKRVIPNWDSQFLHYEYGGQERSNLDEVEKSRTFTEIGGVENTEVFNEREVAQVWNSQYFLGESMVVVANGNYGVEKVSHIDHKPLGLPIRSLRYRVNAENSESIVEDTVNSGSSSGCNGYEVSEENIRGMASVNLRSKFEEASGPRQVSWRSRSQRRELEEVNTVRPHSHSRPHSVGQLEFGYLKSRSFNKPVSSRTSPTSCSPSITSPSSSYSSENESGKLPHTEPHYAFESMPISTSQQTGASVGESAVLTSNVKQSSNESCSEMNVLLRDDYEEDEKKMKMYPSSTETCHVQGHPHSKSHSAGETESEHQEPWSFWTRVRAQIIPNSSSPRTISPISSSSPEMPNSRKQDHERLKNVKPPPIQVSQPTARSIDDAAAFVASKAQRSSVGSSSEFDTLRTSKEKLKDVAPVNGEATHHISKSRAFSIGSSSEITMQESSKDKLEHVNKDLKQDSSYTRKQSVNSLVSDMKQQSPVNNSSRGKSVRTFRSRRSYIDRSKRKVDCPKEGGDVNEIRCNQFDTTSSFKCINRKGDSKPPVNSREGIIDNSGPIPSSAFFESEPEAKQHFTNTDIMEAKGNSETVLTNSHMSSDEEADFDLADDVDLGSEVDRKAGEFIAKFREQIRLQKIESFRRTSA >Solyc06g066160.3.1 pep chromosome:SL3.0:6:41590770:41593021:-1 gene:Solyc06g066160.3 transcript:Solyc06g066160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKVLIVGGTGYLGKRLVKSSLANGHDTYILQRPEIGVDIEKVEMLISFKMQGAHLVNASFNDHRSLVDAVKLVDVVICAISGVHIRSHHILLQLKLVDAIKEAGNIKRFFPSEFGTDPSRMENAMEPGRVTFDDKMVVRKAIEEAGIPFTYVSANCFAGYFLGGLCQIGHILPSTHSVVLLGDGNQKAIYVNEDDIATYTIKAIDDPRTLNKTLYLRPPKNILSQREVVQIWEKLIGKELKKSTLSKEDFLAPMEELKYAEQVGLCHYYHVCYEGCLANFEIGEEEEASTLYPEVKYTTAEQYMKRYL >Solyc02g067390.3.1 pep chromosome:SL3.0:2:38159456:38164821:1 gene:Solyc02g067390.3 transcript:Solyc02g067390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGHYTAEVTSLSTKATEKDVDDFFSHCGEIEQVEIIRLSEYASIAYVTFKDTYALETAILLSGSTILDQCVHISRLEAHVDEDDPWDNYIDMVENGSSSAVHTNQFVSTPGEAVTMAQQVVETMIVKGYQLSKDALTKAKAFDESYHVSSTAAAKVADLSNRVGLTDKIRSGMETVKCVDEKYHLSELTMSAASFTGKTAAAAATAVVSSSYFSKGAFWVSDVLHRAAKVAADLGNNGVKKETAPIEMNQIGK >Solyc12g006890.2.1 pep chromosome:SL3.0:12:1329230:1334825:1 gene:Solyc12g006890.2 transcript:Solyc12g006890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYCFSAHSRRILLRFRFGYIGCFFSSFTCQFSIS >Solyc12g088515.1.1 pep chromosome:SL3.0:12:64823432:64825616:-1 gene:Solyc12g088515.1 transcript:Solyc12g088515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLVKHSSAREVEEMSRTGRDPNRSASGLFRRSDNAHSIVIAVVSMPPTSHQNRHRIASQQSSSSSYPVSSQRKPLSYPPPSSLGRLAKTTSFLVKHSSAREGEEISRTGRDPNRRYRWPIMGKEINGLAGRFWNFLRKWFFSK >Solyc12g095830.2.1 pep chromosome:SL3.0:12:65927206:65932666:1 gene:Solyc12g095830.2 transcript:Solyc12g095830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDMPQLRSGVRRGRKPIAAIEPEEKQMDEYDSGGQSGDKGLAAEDEGSTAPLPERVQVGGSPAYRIDRKLGKGGFGQVFVGRRANPPNPHERTGPGAVEVALKFEHRSSKGCNHGPPYEWQVYNALGGSHGIPRVHYKGRQGDYYIMVMDMLGPSLWDVWNNNAHTMSVEMVACIAIEAISILEKLHSRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWRDASTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMASSPETLCCFCPAPFKHFVEYVVNLKFDEEPNYARYHYNVADMRLAQHIEKGNEDGLFISSVASSSSLWALIMDAGTGFSAQVYELSPLFLHKEWIMEQWEKNYYISAIAGATNGSSLVIMSKGTQYLQQSYKVGESFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGVHRRWDAGYRITSTAATWDQAALVLSVPRRKPADETQETLRTSAFPSTHVKEKWAKNLYLASICYGRTVS >Solyc02g011800.1.1 pep chromosome:SL3.0:2:14139694:14140166:-1 gene:Solyc02g011800.1 transcript:Solyc02g011800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDTTEIETLNSFSKFESLKEVYGIVWMLFPIVTLVLGITIGLLVIVWLEREISAGIQQCIGPKYNLIPSISDTRLFSIGTSIVVISIFLSYSVIPFCDYLVLADLSIVVFWIDISSIAPVVLLMSGYGSNNKYSF >Solyc09g037133.1.1 pep chromosome:SL3.0:9:21218381:21227190:-1 gene:Solyc09g037133.1 transcript:Solyc09g037133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPHAAHVPPQPVGPGPAQPPPAPVAAPDLHSLLTQILAAIGDMRQAPAPAVPAPVLQDQPPPVHVAAPQDLEVTEMPLRDQKMLGVFQRFQQGQTSRPIQAALPISEGGQYQQSGPSTGQNSRGSDSFPPCRGRVTTGRSTSGCYDCGALDHWSRECPRRGRGVIVPAPPTSKPISAVSSSARGGGQIQDRRESRQVTRGGARGGRSENFQDGSCNGALVLESRLCPQYCCYPLELREDILVNSATKFISGYSDLMAGFSIACLTLFTYWLVDSSLYFFEVELANSGKLGSLTDGLREARESEKVFPGLFG >Solyc03g006200.2.1 pep chromosome:SL3.0:3:833529:834622:1 gene:Solyc03g006200.2 transcript:Solyc03g006200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMFNSSLIFATLLVLNFLIIQATSRTLYESSMVEKHEQWMAKYGREYKDEIEKAERFKVFKQNFEYIESINKNGTRSYKLGINEFADRRKEEFKSVRNGYKIPSKQRIKTKSFRYENASSPITMDWRKKGAVTRIKDQGQCGCCWAFSAVAAIEGLNMIKTGKLISLSEQELVDCDIGLNEGCEGGLMDNAFKFIIKNNGITSENSYPYKGIDSSCNKNKLLNHMVKISRYEDIPSNSEWALLKAIANQPVSVAIDAGGSDFQFYSSGVFTGHCGNQLDHGVTAVGYGVTKGGTNWGEDGYIRMLRGVNDMGGLCGIAMQASYPIA >Solyc11g012540.2.1 pep chromosome:SL3.0:11:5353703:5355414:1 gene:Solyc11g012540.2 transcript:Solyc11g012540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKIKNKGKLADSSSALEIQKQKMKSIATPKLEVDLSNQILISSGIDAIQKFNQLSKGKSAVEPSNDNTDQMDVDAMAIHFSEVILMDILSRLPVKSLLRFKCVSKFWEKLISDPYLKMKHLNRAKNDQDSQKLLTSLSCRNNGICSMYCCPLSPVQLVEDVQKLDFPSNPTPFPCTIHCCYDGLAVMEVPGNLNEDTTFLLWNPSTRESIELPSPEFRLNKSSCFGLGYDSTSGKYKIVQIFQHMDLPCEIFVLKGGSWKRSVKHPHGIYSLMFAVQFLTFAHAAFHWIAMSRNHAVMVSFSILNEVHGEIPLPEEFSVTDVIGVTLLDGMLSLHSNRPCDQSNSTIKLWVLKEYGIKKSWIPFLSIEDPYIVYAIPKYRFPDGELLFRCFVGGSLRTRSGPFGAWPEGHTLLEAHPFTESLISPRSPVFGVQCDI >Solyc03g121120.3.1 pep chromosome:SL3.0:3:70817160:70826693:-1 gene:Solyc03g121120.3 transcript:Solyc03g121120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVPNMQALRALRFQKHLLNLPPTNPLSSLRFTIINTSRQFHFTRRKHFPEESTALTNDAVQGWAAPSAARENPSGENVPQRESKSSANYSNVLGSKSRVYEVTGNGNKKKGKGKSKTVWVCSDCGYDDGQWWGICKQCNGVNTMKRFSEGVEHLTSGFEVLENVTRSWLPHQSVRALPTKLTDVNKGINQSNWRIPLSGLFGAEVGRVLGGGLVPGCLVLIGGDPGVGKSTLLLQIAAIVAEGCDMGGPAPVLYVSGEESIEQIGNRADRMRIGTDELFLYASTDVELTSSLKLACGGTTMTRVGDKLILPTLEHKDILEKTQTLPLRALVIDSIQTVYLRGVTGSAGGLSQVKECTEVLLRFAKKTNIPVFLIGHVTKSGEIAGPRVLEHIVDVVLYMEVEFNVSGVRSCKTEHYTSAVEELSLLFFLPLGVKNRFGSTDELGVFEMSQSGLQAVSNPSEMFLSEQQSDSEFLAGLAVTVIMDGSRAFLIEIQALCVAGSSVSRQVNGVQAGRAEMIISVSDYSFQSLNELVLIKQAGLKLQENGVFLNVVSGVSLSETAGDLAVAAAICSSFLEFPLPVGIAFIGEIGLGGEIRMVPRMDKRINTVVKLGYKKCIIPKSAETLLSALDLGDTEIVACRNLKEMINIVFRKR >Solyc04g011380.3.1 pep chromosome:SL3.0:4:3851128:3858513:-1 gene:Solyc04g011380.3 transcript:Solyc04g011380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTCYLFPFLHSKDKTEHAAELGSQYNQPLLPKKVSSGLAGVNVTEKSGSNLVRSNENTQKPSPDGNNIAAFNHGAGSNAVANVTSLNFPSFWNFCDKPNISGSNRIDLQPLLNLEELQDKELEEAQEYRRKCEIEERNALKSYRKAQRALIEANARCSHLYSRREQYSAQLRDLMMGNPNLLLPCGFPDETGIGLGSLHAISDVNLHSVPSSSCAVQPTFDFNNQHEANLNVHPNNVALQNVSSFQEHYNLASDPCSEPDCITFKPHKEDNGANNMCSPSEDFSMSRNEDEGTFLFEDKSPENHLDYQGKEKSIVDMDKNMNKASEGQSTMDNSQDSLILEATLRSQLFERLRMRTLCQKECPQESLEAVAEGRTENNELVGRVVMGDRLCSDSEREIEPQQGSDFQGRDVMSTMFKMPAEVDRQGNNEKFDSTSASPSSYICLDSCINTSDDKSQFASSFTFSYPILKSAILDFKASDSMDLLKLQIGNSSVQTSHDQGEDNFGSSTIPSISSAVSVEAASLDLISSKSGSYSCNFSIDPLWPLCIFELRGKCNNPECSWQHVRDYSSGSRMKVTLDNDDRVGSPTQVQLSSAERTLTKSLDCLGLAPPTYLVGLDVLKADLQSCKSILSHEYSQLWVKCFSLTFVLSSQLPTDLPFDGPLFHGANARVEVQGGWNRQSLYFQSRNGSSGPCKELSADDDQIVEMALLNLSQEANKPKGRSQALKLLARALEVNPTSAVVWIVYLLLYYSSQKSIGKDDMFKCAVEHAEGSYELWLLYINSRTQLDERLAAYDAALLALCRHASVSDRNALFGSDGILDILLQMMNCLCMSGNIATAIDKINELYPTEEKSDSPFRLSLPDIITCLTISDKCVFWVCCVYLVVYRKLPVTVLQRFEYQKELSSIDWPSTDLNFDEKQRGVSLMELAVDSLALYINRESLEDEANLRAAHLFSVNHVRCVVVLKGLECSKSLLENYVTLYPSCLELVLMLARAEYDFADGSFEGFEDALDNWFDEVPGVQCLWNQYVQCALQDRKRDFVEGLMARWFQFSWKHKYFQNSCLDAVDSDNSQSLPESASVSDIAALFSSSSPNDYVFGMLNCSIYKLLQNDYTEAQLAIDRALEAASADSYNHCVRERLLFPRAENLDNDGKVLRLLSGYLADKRASITSEPLSRQFIQRIKKPRVRQLVGKLLCLVSFEPSMVNTVLEAWYGPSLLPEKKDELTNFVDMVESLMGMLPSNYHLAICVCKQITKTSIPANTSGGVSFWGSALLISALFQAVPVAPEYVWVEASDILHGLTGSPSLSLSFLKRALSVYPFSVMLWKSYLSLSKAEGNSEAVKEAAMAKGIELQ >Solyc03g083170.3.1 pep chromosome:SL3.0:3:54430441:54440163:1 gene:Solyc03g083170.3 transcript:Solyc03g083170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEQQRMSIDNEKVQKLGDDICRYGLCIRQCDANVVSLKIKQSNIELEMSNLGAWNGLDSFHDLVYDKDVILEKIEGKADSAAAVIYKLLRSPKPEQLYLKYAHDILGVVALLGEVQTHKLSSMLSTYLGEDQMLAVVCKSRAATRALEINQMDGNVNCASVLDILAAKLGISIKGQYMVICLEDIRPYKQGVSSDPQRELAIPQPTLSNRETPPGFLGYAVNMIFLPEEYLQFRTASGYGLRDTLFYHLLGKLQVYKSNEHLYMASSCIEDGAVSLDGGMMRGNGIVSASVGSEDPYILFPIICLERQLLLSPEKVERLKRIEELKLEQNQLQDRIQEELRNEAKYKKKLAKKLMDKKQIDDQFEDALLRIVPEAPLMHDPSLNQGGQTSSITFAGNRDIIQNRTAEAVSCHSKVKLEDAIEETGLQIKHHDDNIKFLEGQKNRLDDSILDLEAALAKTYSASGTGSENKESSNGQNEEETIEQILSFDKSAAAICVQLQKRTGAQITNIPFMKDIVGIVALLGKVDDDNLSRTLSDYLGQGTMLAIVCKTLDGLKALETYDKEGLIIKSSGLHGVGASIGRPLDDRYLVICLENLRPYTSEFIADDPQRRLSIKKPRYVNGKTLPGFLGFAVNMINIDTDNLYCVTSNGHGLRETLFYGLFSQLQVYKTRADMMQALPFIAGGAISLDGGIIKSAGIFSLGKREVQIKFPKSCGRSYIPENYFETEIRMKELKWERVRCVEDLEREQTLLTNAKNNFEIRKEEFVKFLSQSSSHL >Solyc10g008870.3.1 pep chromosome:SL3.0:10:2906352:2909089:1 gene:Solyc10g008870.3 transcript:Solyc10g008870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKAKSKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNLIGEANCRICQESFSTTVTALTEPIDIYSEWIDECERVNNYEEEDVSYRS >Solyc12g098100.2.1 pep chromosome:SL3.0:12:66724320:66735003:1 gene:Solyc12g098100.2 transcript:Solyc12g098100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCLCSNLPPSAKIVTIEEFHKAQRAEGLANVLAIGTANPSNCIDQSTYPDYFFRITNSEHKSELKRKFKQMCAKTMIKKRYLHLTEEILKKNPNICDYNTPSFDAKQKITIIEVPKLGQEAAQKAIKEWGQPISKITHLVFCTTSGVDMPGADSQLTKLLGLDPSVKRFMLYQQGCSGGAAVLRLAKDLAENNKKARVLVVCSELINLMSFQGPRDTDLDVLVGQAFSSDGASAVIIGSDPIIPIERPLFELVFTTQTLLPNSESAIYSNLSEAGLIIHIHKEVPMLISTNIEKILLEGFQPLGISDWNSIFWVAHPGGRAISDQIELKLGLKPEKLKATRNVLSGYGNMGCATILFVLDEMRKASISEGLISTGEGLEWGVLCCFGPGLTMEAYLYQYRLDNRSRFKFGLLRVFVMSGVYDSRTGLILIWIVILLASVTMVCPAEGLNAEGMYLLELKKSLKDESNNLGNWNPSDETPCRWKGVNCTFDYNPVVQSLDLSLMNLSGTLSSSIGGLVSLTVLDLSFNRFTGNIPKEIGNCSKLQSLQLHDNEFYGQIPDELYNLSHLKDLNLFNNMISGSILEEFGRLSSLVSFVAYTNNLTGSLPRSLGKLKKLETFRVGQNPLSGTLPPEIGDCKSLQVLGLAQNNVGGNIPKEIGMLKRLKQLVLWDNKLSGYIPKELGNCTKLELLALYQNNLVGEIPAAIGKLKSLKRLYLYRNGLNGTIPRVIGNLSSAIEIDFSENYLIGDIPNEFSQIKGLKLLYLFNNQLNGVIPRELSSLRKLERLDLSINYLYGSIPFSFQYLTELVQLQLFQNSLSGTIPQGLGNYSRLWVVDFSYNYLTGGIPPNICRDSNLIWLNLGSNNLHGVIPSGVIKCDSLVQLRLDGNWLQGNFPYGLCKLSNLSALELGQNTFSGLIPPEIGNCRKLQRLDLSGNYFTHELPREIGNLETLVTFNVSSNLLSGQVPLEILKCKELQRLDLSRNSFSGTIPDEIGKLAQLERLLVSDNKFSGKIPVSLGRLSRLNELQMGGNSFSGEMPSELGDLTGLQIAMNLSDNNLSGSIPPKLGNLILLESLYLNNNHLSGEIPITFRNLTSLMSCNFSYNNLTGPLPNLPLFQNMDVSSFIGNNGLCGGRLGGCKESPPFNSDPPTKNAVDQMVASVKDKDMSFPASDIYFPPEEEFTFQDLVEATNNFQDSYVVGRGAVGTVYKAVMQSGRKIAVKKLASNREGNNIEKSFRAEISTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMEKGSLGELLHGASCGLDWPQRFMIALGAAEGLSYLHHDCKPQIIHRDIKSNNILLDEKLEAHVGDFGLAKVIDMPQTKSMSAIAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVTYVRHFIRDNSLTPGVLDIRLDLTDKTAVSHMLTVLKIGLVCTCLSPADRPSMREVVSMLMESDEQEGNFILSQS >Solyc01g095880.1.1.1 pep chromosome:SL3.0:1:86888558:86889097:1 gene:Solyc01g095880.1 transcript:Solyc01g095880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRLFGNNITVLRLFGVQFSPLNNNNVQQRDDRVMNMPDLLPPSLRLNIESVRGSEPVHMGRKYLENSDTNSSLSRFLIPAECATNLLQHMTESEREKIQSDDDKGIDISVMDPKGDLHNMKFTEWKSLKRLVFNRGWNKLVENNQLHKGDLLSLWHYRSFNNKPCFAVNIIPKPKQ >Solyc08g067080.2.1 pep chromosome:SL3.0:8:56058500:56061065:1 gene:Solyc08g067080.2 transcript:Solyc08g067080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSKTKSRNMSLSSPPAFNFSVLPSNLIPGDANPPWMSKGDNAWQLVAATLVGLQSVPGLIILYGGAVKKKWAVNSAFMVLYAFACVLLCWVCWGYRMSFGEKLIPIWGKIDVALEQDYLFQKAFLGMFPNATMVFFQFVFAAITLVLIAGALLGRMNFYAWMLFVPLWLTFSYTFGAYTIWSSNGWLSVNGIIDYSGGYVIHLSSGVAGFTAAYWVGPRSTKDRERFPPNNILLMLAGAGLLWMGWSGFNGGDPYAANIDASLAVLNTHVAAATSLLTWLILDVIFFGKPSVIGAVQGMITGLVAITPAAGVIQGWAAIAVGLCSGSIPWFTMMVVHKKSELLQKVDDTMAVFHTHAVAGCLGGLLTGLFAHPRLCYLFYGYYNNYYGLFYGLHDGQAHKGLRQMGLQLLGILFIVVVNVVMTSLICLLVQLIVPLRMSEEDMEIGDEAAHGEEAYAIWGQGDRLEKSAGFSDTAAGAAKSSYNTSRSQVEMV >Solyc01g099020.3.1 pep chromosome:SL3.0:1:89230102:89238677:-1 gene:Solyc01g099020.3 transcript:Solyc01g099020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGMRVVVFHLLISLLLLQLIKGDDDMIIKLKEPKLKKCGIDRIFQFGDSLSDTGNCLRESYCGAQTKTGKLPYGMNFYQNATGRCSDGFIILDYIAMECGLPLLNPSLEENADFSHGVNFAVSGATALSAEYLISRDIAMSFTNSSLSVQMRWMSSYFKSVCSNDCAKYLENSLFLIGEIGGDDVTYGFKQGKPIEEVRRIVPDIVKNIIHSVRTVIGFGATRILVPGNFPSGCFPIILTLYMNDSSTVYDEYHCAEEWNNFTISYNNLLQQSIHELNEEYPNISIIYGDYYNAYYWLLRNAVALGFNKKTLQISCCGIGGEYNYTESRRCGKPGAEKACADPSSYLSWDGSHLTQKAYGWITKWLIDDILPQLNCLKIGDAEQQVLLKLKNPRLMNCRFDKIYQFGDSISDTGNCIRESLCKAQFWCKRPPYGMDFYKHVTGRCSNGMLIIDFIAMECDLPLLNPYKDENAEFRHGVNFAVAGSTAISAEFLAENNIDNIGATNSSLSVQLDWMSSHFHTTCSPNCPEKLNNSLFLVGEIGGNEFNFGFLQDKTIEESRKIVPEVVQTIIHSVKRIIGFGATRIIVPGNFPIGCIPFFLTKFMTNNSTAFDKHHCLKDLNNFVIFYNRYLQQAIDELKNDYPNITLIYGDYYNAFMWLLENAVSLGFDNNSLQKACCGIGGEYNYNGHISCGDPMVPACVDPNTHISWDGIHFTQNAYSWLARWLIDDMLPKLNCQV >Solyc11g007110.2.1 pep chromosome:SL3.0:11:1567512:1574239:-1 gene:Solyc11g007110.2 transcript:Solyc11g007110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSVADDLGAPPESWEVADLDASMRRLILSSSKKDADSSSSSVNDNQSELPDSSALAGAGSAAVSGSVSEDVVNTVDQFLREALQNPRERLSVLRMEQDVEKFIRDPGRQQMEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTSECRMPLIRLADIPVNLPQGDTGVVKVAIKQRPQKGSQLASGLNSNSSKGNSAKSVEERKEEYNRARARIFNSNSLSGSSNVKPETESRSQDTYQYGPIGIPQLEEKASPAGGSDLNTGRSLIDSSTSSSRSARSRTEKEPVGRSKSYNKVAIFRDREIDRKDPDYDRNYDRYMQRFDPGFGFAGGPYTIQPMYAPAINYNTEFPQLGSALRSPISAENQPRPLPQHLPGPWTTPTTPGIGYGPAESMMPPPFSHNHVSARSNSAIYLHSTQYPCQRPGMTFIHPHEQVHQPFSQSHALQPDGFGLARPR >Solyc04g014780.1.1.1 pep chromosome:SL3.0:4:5029276:5029638:1 gene:Solyc04g014780.1 transcript:Solyc04g014780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4BPY1] MAIKFNPILVTLFVVATTILLHISDARSVGRQDDWEPITNITKEVIEIGKFAVDEHNKEAKTTLKFQKVIKGENQVVVGMNYRLVIEAKDGDSTHNYLAQVWDKPDNSKSLTSFKQLLEA >Solyc04g063320.1.1.1 pep chromosome:SL3.0:4:55451358:55451552:1 gene:Solyc04g063320.1 transcript:Solyc04g063320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMPWQLIVLFVQLAKWQLRNKSRGKNSYQCSSLQHNVAKSGVLNVEIVKHKSLLKLLDLTFF >Solyc03g121670.3.1 pep chromosome:SL3.0:3:71253953:71258412:-1 gene:Solyc03g121670.3 transcript:Solyc03g121670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLGIVRPPSHSLFSITRFTRQFSFTNNNSISISRKSFSRFKPIVTASLHSSSANSPNGAEISTNQSELIFLGTGTSEGIPRVSCLTNPVKTCPVCSKAAEPGSKNRRLNTSILIRHSRPSGNRNFLIDVGKFFYHSAMKWFPAYGIRTLDAVIITHSHADAIGGMDDLRDWTNNVQPSVPIYVASRDFEVDGTVQQSLHIESCPSAEGFLFLATGWNSQKLRYLFYSSLVMKKTHYYLIDKSNIIPGAAVSELQFNIIKEDPFVVHDLKVIPLPVWHGSGYRSLGFRFGNTCYISDVSDIPEETYPLLQDCELLIMDALRPDRSSATHFGLPRVSSNLFIFNLFWAGLGAVALEEVRKIKPKRTLFTGMMHLMDHEVVTERLLKLRETEGVDVQLSYDGLRVPVSL >Solyc08g006410.3.1 pep chromosome:SL3.0:8:1011701:1013468:1 gene:Solyc08g006410.3 transcript:Solyc08g006410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CIC9] MNTHKAHCLILPYPVQGHINPMLQFSKRLQSKRVKITIAPTKSFLKNMKELPTSVSIEAISDGYDDDGINQAKTYESYLARFKKVGSDTLAQLIKKLAKSGCHVNCIVYDPFLPWAVEVAKQFGLISAAFFTQNCVVDNIYYHAHKGVIKLPPTKSDEKILIPGLSCTIESSYVPSFESSPETDKLIELLVNQFSNLEKTDWVLINSFYELEKEVIDWMSKIYPIKTIGPTIPSMYLDKRLHDDKEYGLSMFKPMTNECLNWLNHQPISSVLYVSFGSLAKLGSEQMEELAWGLKNSNKSFLWVVRSTEEPKLPNNFIEELTSEKGLVVSWCPQLQVLEHESIGCFLTHCGWNSTLEAISLGVPMVAMPQWSDQPTNAKLVKDVWEIGVRAKQDEKGIVRREVIEECIKLVMEEDKGKLIRENAKKWKEIARNVVDEGGSSDKNIEEFVSKLMTISSK >Solyc10g055750.1.1 pep chromosome:SL3.0:10:57271607:57275803:-1 gene:Solyc10g055750.1 transcript:Solyc10g055750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTEVSSERRRVGRSISSFSSFRIIPINDDDTPQSQRTNFSPVDSLRSIDEKKDTNVDEHDNDNDNNNDNENANVAEEIESWLPITESRKGNAYTAAFHLLSSGLGNLAFVLPFCFYLSRMVRRQGSGGILILTVFFAWRVYAICLLVSLHEKADGTRYSRYIQLAIVAFGEKLGKILAIFPIVYLSEGTCVMSTITGGNTLQLFYKAICKNDQKCLDRSPSGAEWYLVFICLAILIALFSPNLDSLSWVSFVGSIMGASYFTILWTLSISKGRLNGVSYNPSHNVTSNMERFRDVLNSIAIITMGTLPSNPKHPTRTRMYKGVIASYSFVAMCEFPLAIGGFWAYGNMMPTSGIVVAVTKYHQESRPKGLISTLYLMIVIQCLCSFQLFVMPVFDNLERIYVTKKHKACPRLVRSCIKVLYGGLAYFTAVAFPFLISLAKFIGGIALPLSLVYPCFMWILIKKQFNVLAQHVSGVFGHIDQCCASCWCILVFDC >Solyc03g120870.3.1 pep chromosome:SL3.0:3:70590012:70591721:-1 gene:Solyc03g120870.3 transcript:Solyc03g120870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BMN6] MINGKNPPDVGIQLPESKMGIDTVTDSSSGPPVRNVNGKAQVMQVILRTLCLVTSVTALSLMVTAKQASTITVLGFNIPLHSKWSFSRSFEYLVGISAAVALHLILQLLISGSRLVRGSPTISSRNHGWLIFAGDQVFALALMSAGSSASSVSSLNHTGIRHTALPNFCKPLHHFCDRVSASIVFTFFSCFLLAILVVLDVLWLSSKH >Solyc03g059310.3.1 pep chromosome:SL3.0:3:30865440:30876980:1 gene:Solyc03g059310.3 transcript:Solyc03g059310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPSVVLATASYDHTIKFWEAKSARCYRTIQYPESQVNRLEITPDKRFLAAAGNPHIRLFDINSNSPQPLRSFDSHTNNVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLRANSCSCELVPEVDTAVRSLTVMWDGSLIVAANNRGTCYVWRLLRGTETMTNFEPLHKLQAHDGYILKCLLSPELCEPNRYLATASSDHTVKIWNVDGFTLEKTLIGHERWVWDCVFSVDGAFLITASSDTTTRLWSTSTGKDIKVYQGHHKATVCCALHDGPEPSS >Solyc11g045673.1.1 pep chromosome:SL3.0:11:28277387:28279998:-1 gene:Solyc11g045673.1 transcript:Solyc11g045673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLGPARQILGMQIVRDRKAKKLVLSQEKYIQKALRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVDIAGDVDTRKSTSGGAVSWQSRLQKCVALSTTEDEFIVVVEACKELLWKKRFLGKLGYAQDSVVWNHRRWTPGKERCGWMDPTGRDAGKLAVMADVTTGERDWTKLTPTQ >Solyc08g013910.3.1 pep chromosome:SL3.0:8:3387226:3390353:1 gene:Solyc08g013910.3 transcript:Solyc08g013910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIDGNNENIPTSPHHQLSPQNSIQRDISLQSLPPRSQSQNSMHRHLSSSSSSSSQNSMNESHLAIPATPPPIMSNSISSPPKHPLYGPPPPSQLSSLSTQNPWPLIPLQHQPPPYHQPPMMPYYPPMGVPPPFPPHFPMPFPHDQFHSFHHPMLHGNNNNNISNGQIYSQPWSTGLFDCFSDIKNCFITCLCPCVTFGQVDEILSQGQMTWWEAALMFGLLEAFCCQASLVFAWYHRVQFRKKYNLMGNLFSEFAITLICMRLVLCQNYRQLNKLGFDVALGWKANKKKQRRIASQNAVQFVPPMANPGMFR >Solyc08g075600.2.1 pep chromosome:SL3.0:8:59860483:59874784:-1 gene:Solyc08g075600.2 transcript:Solyc08g075600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRTMIIAILVLLMYLSVTNASNISTDEAALLAFKAQITSDPNGTLSKNWTRGTHICKWIGISCSKKHKRVTSLDLKSFGFRGSIAKEIGNLSFLNFFDIGNNSFHGQIPDEIGNLRRLNYLSLQMNNLTDQIPESLGFLTRLQVLDLSENDLFGNVPFSISNVSSLKIIDLGFNRISGNLPRGFCARLPNLQGLFLSKNQLAGQIPSELNQCTQLIYLSLSYNQLTGSLPRDMWNLTKLQELYLGWNNITGHIPSEIDNLSAIRRLSLPRNNLVGILPPSMGNLSNLEVIDLGENSLHGGIPQEFKDLANLKELFLGQNRLSGEIPGPMYNISGLERISFVGNGLSGTLRSNIGHTLPNLVGLYFGNNQFTGLIPTSIVNSTKLIQLDFGRNLFSGPVPMNLEKLQQLQFISLQFNQLTNDPSTGELSFLTSLSNCKYLKTVQIGSNQFNGSLPKSLGSGNWSFSLEYFIATNSGIRGKIPPNISNFRNLEWLSLGDNKLIGSIPQDLGNLRNLKRFSLEKNNLDGIIPTSLCSMENLYQVILGKNQLSGELPSCFGNISSLRELYLDSNALVSHIPSTFWRNKDLSVLDLSFNLLNGSLAVEMGNTRSLRMLNLSGNQFSGQIPSTIGQLQNLVSLSLSKNMLDGPIPELFEDLISLEYLDLSSNNLSGMIPKSLRNLEHLMYFNVSFNGLMGEIPDGGPFVNFTAESFMGNPALCGSSRFRVMQCRVTSLERKGKSRVLTSVLASVSSGVVVTTIFIIWFLKCRKRSTELPLVHKRISYYDISQGTNNFDEANLIGRGSLGLVYKGTLADGMVVATKVFNTELQHAFRSFEVECQVLRSIRHRNLVKVISSCANFDYKVLVLEYMPNENLECWLHSTDKFLDITQRLKVMIDVASAVEYLHGGHLFVVVHCDLKPSNVLLDGDMVAKVSDFGISKLLASETLIAHTKTLGTIGYMAPVSTKGDVYSFGILLMETFTRKSPVDDLFVGDFTLKRWICQSFPDRLVDVVDINLFSLDKENFTSKERTNFTDESALLAFIKQITNDLDETLSKNWTQGTEVCSWIGVSCSPRHHRVVSLNLKSLRLRGSIPKEIGNLSFLSFLDFGNNTFNGVIPNELGRLTRLKYLSLQMNNLTGEIPQSLGLLSRLQVLDLSDNDLYGYVPSSIFNISSLKIVDLNLNDLSGNLPNDMCSNLPMLQYLFMDKNSLVGELPSHLDKCTQLLALSLSYNRFTGNLPRDMWNMSKLQDMYLGWNKLTGNIPSEIQNLPAIQHLSLRNNELVGNLPPTMGNLSTLVMIDIGANNLHGNIPTAFAELVNLKEIYLGSNNISGQISNSLYNISGLEQIALAVNDLSGTLPSNFAHNFPNLTGLYLGLNQFSGKIPISICNVSKLTFLDLGHNFFSGDVPMNLGNLQQLQVINLQWNQLTNDPSTRELGFLTSLSSCKHLKRIQLGYNLLRGTFPKSLAFSNWSNSLETFLASGNDITGEIPVEISKLSNLVWLGIEKNGLSGSIPHELGNMGKLQKLTLRENKINGTIPESLCNMEVLFLLGLSENQLSGEIPSCLGSLSSLRELFLDSNALSSNIPPNFWSNIGISTLSLSSNFLNGSLPLGIGSSKSLRNLYLSRNQFSGEIPSTMGQLQNLVFLSLSMNNFEGRIPQSFGYLVALAYLDLSGNNLSGMIPESLVNLKQLSYLNVSFNALTGKIPNGGPLANLTAESFMGNAELCGPSQFNVAECRTGGMKSKNKRRALTFVLASVAVALVITTIFMVWFLKYRKRSRQLPIPDLIGQSHQRISYYEVVRGTNNFDEANLIGRGGLGLVYKGTLQDGIIVAVKVFNTEVQDAFRRFDLECEILRNIRHRNLVKVISSCANLDFKALVLEYMPNGSLDAWLYSHNNFFDLNQRLRVMIDVASAMEYLHGGHSFVVVHCDLKPSNILLDGDMVARVSDFGISKLMTADKLIAQTKTLGTIGYMAPEYGSEGLVSTMGDVYSYGIMLMETFTRKKPMDDLFVGELSLKRWVFESFPDRVMDVVDANLYSRQDEQFSSKETCIKLVMELALQCTSESPQERVSMKDVLVRLNKIQTNFLHCPTRSQNRM >Solyc08g005090.1.1.1 pep chromosome:SL3.0:8:62425:63249:-1 gene:Solyc08g005090.1 transcript:Solyc08g005090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYYNEEEAWKCPKHPLKKRRTTTGVCSICLRDRLSELCPICAKVRPCSSSCAASTTSLSSSSGEEPVFCRSKSVGIPFLKSRNVNSSSRKNQSETEKCSKVGDGREMELCRSKSVGIPFLKSRNVDSRKTTESEKSNETGDNNDSSETALCRSKSIGIPFLKSRNVDSGDRRRKTESEKSNKTAPFWWIFKLRKRGKENESESKAYYCNDTRIKEFAITTVMRSRSVNVAMTSVSGGNDVNCSTEKLKGWYLGSPMKVFRQSSKAPKLVNLKG >Solyc04g080220.3.1 pep chromosome:SL3.0:4:64552742:64558140:1 gene:Solyc04g080220.3 transcript:Solyc04g080220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSPNLDKEDGLDTVLEVPLPEEMFSKTDGSSAAIRWKKMLNLIRADKLPNRSKAVSSGNNDQFMFLLKIVGSALIPFQVQLDHAINLPVRDGCIKASSAKYIVQQYLAASGGQAALNSINSMYAVGQLQMAMSDIQQSGNQMNSKRTCEDGGFVLWQKNPDLWFLEFVVSDCKVSAGSNGTVAWSHSSTNSNASKGPPRPLRRFFQGLDPRSTANLFLNAVCIGEKKIKDEGCFMLKLETSKDMLKAQSTANTEVVHHTIWGYFSQRSGVLIQFEDTKLVRLKSAKDNNDSTFWETSMESTLKDYRYIEGINIAHCGRTAATIYRYGKNLDYRAKVEETWKIEEIDFNISGLSMDCFLPPADVINKENEQEWDSRASLAENRTV >Solyc05g007510.3.1 pep chromosome:SL3.0:5:2062291:2071245:-1 gene:Solyc05g007510.3 transcript:Solyc05g007510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:Q9ZR58] MGKTIQVFGFPYLLSAEVVKSFLEKYTGYGTVCALEVKQSKGGSRAFAKVQFADNISADKIITLANNRLYFGSSYLKAWEMKTDIVQLRAYVDQMDGITLNFGCQISDDKFAVLGSTEVSIQFGIGLKKFFFFLSSGSADYKLQLSYENIWQVVLHRPYGQNAQFLLIQLFGAPRIYKRLENSCYSFFKETPDDQWVRTTDFPPSWIGLSSSLCLQFRRGVRLPNFEESFFHYAERENNITLQTGFTFFVSQKSALVPNVQPPEGISIPYKILFKISSLVQHGCIPGPALNVYFFRLVDPRRRNVACIEHALEKLYYIKECCYDPVRWLTEQYDGYLKGRQPPKSPSITLDDGLVYVRRVLVTPCKVYFCGPEVNVSNRVLRNYSEDIDNFLRVSFVDEEWEKLYSTDLLPKASTGSGVRTNIYERILSTLRKGFVIGDKKFEFLAFSSSQLRDNSVWMFASRPGLTANDIRAWMGDFSQIKNVAKYAARLGQSFGSSRETLSVLRHEIEVIPDVKVHGTSYVFSDGIGKISGDFAHRVASKCGLQYTPSAFQIRYGGYKGVVGVDPDSSMKLSLRKSMSKYESDNIKLDVLGWSKYQPCYLNRQLITLLSTLGVKDEVLEQKQKEAVDQLDAILHDSLKAQEALELMSPGENTNILKAMLNCGYKPDAEPFLSMMLQTFRASKLLDLRTRSRIFIPNGRTMMGCLDESRTLEYGQVFVQFTGAGHGEFSDDLHPFNNSRSTNSNFILKGNVVVAKNPCLHPGDIRVLKAVNVRALHHMVDCVVFPQKGKRPHPNECSGSDLDGDIYFVCWDQDMIPPRQVQPMEYPPAPSIQLDHDVTIEEVEEYFTNYIVNDSLGIIANAHVVFADREPDMAMSDPCKKLAELFSIAVDFPKTGVPAEIPSQLRPKEYPDFMDKPDKTSYISERVIGKLFRKVKDKAPQASSIATFTRDVARRSYDADMEVDGFEDYIDEAFDYKTEYDNKLGNLMDYYGIKTEAEILSGGIMKASKTFDRRKDAEAISVAVRALRKEARAWFKRRNDIDDMLPKASAWYHVTYHPTYWGCYNQGLKRAHFISFPWCVYDQLIQIKKDKARNRPVLNLSSLRAQLSHRLVLK >Solyc01g005530.3.1 pep chromosome:SL3.0:1:353904:356524:-1 gene:Solyc01g005530.3 transcript:Solyc01g005530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESSLMRVLFCKIHCPFVCFCKPSAAHLYTPGPLKLESSTTPHVSLPCGATHQQSEVSLDAGNGLKSCIRKSPVVGPKEIIDKKRVQWMDNIGKELAEIKEFESSETGDTDTEEETRHCLCIIL >Solyc01g102850.2.1 pep chromosome:SL3.0:1:91415132:91420737:1 gene:Solyc01g102850.2 transcript:Solyc01g102850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFTYHAFLSLATKIGKSFGNHLHSALSNAGIRAFSVDELDIDEKGCKELQKTIQESRILIVVLSKDYTSSERCLDELVFILESKKLFGRFVLPVFYDVDPSEVRKQKGCFEQDFLMYEERFKSGTEERRLEWLQKVKKWKTSLTEVADLGGMVLQNQSDGCESRFIQEIVKVVAGRLNRAVLSVALHPVGIDSRVKDINLWLQDGSTSIDMMAIYGMGGLGKSTLAKTAYNLNFDKFDGCSFLADVNKTSERYDGLVSLQRQLLSDVLGRKVEKIYNVDEGVIKIQEAIHRRRILLVLDDVDDRDQLNAVLGMREWFYPGSKIIITTRNQHLFDASEACSCKMYKVMPLNAQESIRLFSWHAFGKEKTPEDHEDLTEKVILHCKGTPLALKVLGSSLCDRSVEVWESALRKLKAIPDNKILEKLRISYDLLDDDDVQKIFLDIVCFFVGKDRDYAVTILDGCGFFSVVGIQILSDRCLIEMDKDKLKVHSLIQDMGREIIRLESPWEPRKRSRVWRYRDSFNILSTKTGTENIEGLVLDKGMSNKLSKAVKSVRSYFFSEDAGPIGHGYPRKRRKHLEHFDDASTEGSDSIEFEADAFSRMQRLRILQLSYVSLTGFFSLFPKSLRLLCWSGFRMKIIPEDLPLESLVALEMKKSYLEKAWEGIKILTSLKILNFSHSPFLKRTPDFSGLPHLKTLILKDCIKLVNIHESIGCLDGLVYLNLRDCKNLRRLPGSFYKLKSLEKLIISGCSRLVTSAIELGKLESLTILQADGMNFGHLVPVGGNMNSWSALWRTWSSKLRRSPGSNQFSFSSLSSSLVSLSLSTCNLTDDALSFGLSNLPSLCFLNLSENLIYNLPQSIKNLGKLQDLWLDGCQSLLSLPELPSSLVKLKAVRCSSLQTVTNLPNLMTTLFLDVMESESLTEISGIFKLKPIDNFEAEILNTLRLLVNLDNTFDTVVEIFNRFTKTKRMYSVQQGLYEFGIFSTSFPGNEVPSWFSNKSEQRLLTLYVDSLPNIKITGLLICIVYERSSPRIFRYFSDSKFGGSHTIDIKVQNITQGLKWIYAPSFIGIPGENNRLTFLCHWKFGKYLQTGDQINVSLPCWDKTFKMKEFGATLAYNNPDLDQSSASTSETRVLATRDTPISEYQSEEIVMEGFMPSYQLAVHHYYLSHPEYYVMRDNADFVVRSILNEKLFEDYDVQTAGSVPSFHIASSHSQSLSLRRSITPLAIVSRKVIPARDRVIDFGKYKGKMLGTLPSKYLKWVTKNLRARDFEEWAQLADQVLSDPIYRDRIEWEFAQNLLNGDVSPARTQSSVSELLEISTRFRWDNEDKLGWSKIDFELLGTSKGGRIPRLSDSPNNSIRVEDKKKGTDGVDSSQDDGEKDNRERRRERIKLQRRPNESGQRSTSVTQRRANSDHFNPLKSDPIGATNNNTSSGRTETPSRFPGRESLLKKALSRKNTSN >Solyc06g054060.1.1 pep chromosome:SL3.0:6:37007382:37008448:1 gene:Solyc06g054060.1 transcript:Solyc06g054060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMGLNVKIRTTLVAILVIGNNYMVSRGAMAQSNCMNAFLSMYSCLSYVTGSTPRTPPSSSCCSALSRVLQSQPRCLCTVANGGGSSLGIQINQTLALALPAACNLKTPPVSRCYDDGNEPAMSPISRVSLVGSPEGSFSDETADPPMPGLEENWE >Solyc07g008980.3.1 pep chromosome:SL3.0:7:4005506:4007106:1 gene:Solyc07g008980.3 transcript:Solyc07g008980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTVFISLLLCLLLVAATEMQMAEGHDCGKKSDKWHGPCQYTFKCNKHCKHWFGHKYYGVCKKYKGGHDNDWSNYACYCYSPCH >Solyc03g120790.1.1.1 pep chromosome:SL3.0:3:70547804:70548493:1 gene:Solyc03g120790.1 transcript:Solyc03g120790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYKKIEPQMLLKKTIQKTKNFLYRTPHNLKSFLFGGHHKLPKTACHFNPFLSVSKRFSSSKRIPKTNVKELDDLYRDYYQQWNQPDHNEIQERKMTSKNARKFQGMAEGDYSESQRELAVRFGMEDIESERRKEDEKKGREVLTRSTSKGSLTLLKKMEELEMVEGEDMDHVLDIEEVLQCYTLLNSPVYVDIVDRFFMDMYTEFSIRKPSGSVNSSMRRLGPLKL >Solyc08g076497.1.1 pep chromosome:SL3.0:8:60616279:60617025:1 gene:Solyc08g076497.1 transcript:Solyc08g076497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEIYTLLVKINVPLDVVAIKQENTSIYALRVLIKEFQLSTCSRPPVLSKIVGNERTPSLQPKGLTLDVIP >Solyc09g008020.3.1 pep chromosome:SL3.0:9:1498029:1504377:1 gene:Solyc09g008020.3 transcript:Solyc09g008020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEPEYDAARGVLSFLDVDQLFSSNYYGDSTQHDIEICHEQYSTENPYHPSYCNVDNDEVIAHALQEEWSELCITEDAQSSHADDQYLQASTGVQHWHGSPREYYAGHDAGVEANDVGPSSSCSSPGDRSYDGEEYAYTLEIQDEFEIDGEVGKRINQLSAIPHVPRINGDIPSVDEATSDHQRLLDRLQLFDLVEHKVQGDGNCQFRALSDQFYRGPEHHKFVRQQVVNELKEHPEIYEGYVPMAYDEYLKRMSKNGEWGDHVTLQAAADSVLIIALTLLPLFYFSPFDCGLLVGHFTVEIRTLSYC >Solyc07g065820.3.1 pep chromosome:SL3.0:7:67558046:67563449:1 gene:Solyc07g065820.3 transcript:Solyc07g065820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like molecule [Source:UniProtKB/TrEMBL;Acc:G5EM34] MLQCLGSYLSRCCDLDFKQSGGLDDPEILARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >Solyc08g066420.1.1.1 pep chromosome:SL3.0:8:55119783:55120292:1 gene:Solyc08g066420.1 transcript:Solyc08g066420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQKDSQPKWEAKVSTTLHKASADQIFSLFKDFFGLNKWFPSLSTCYGIHGENGEAGCIRYCTGFSLPPERGGGATGEAPVSGWSKERLVAIDPTERILSYEMVDCNIGFKSYFSTVKIVPNGVDGCVIEWFITVDPVEGMRLEDLVNKYDVGLQGMAKNMQNALTSS >Solyc09g055325.1.1 pep chromosome:SL3.0:9:39825007:39835179:1 gene:Solyc09g055325.1 transcript:Solyc09g055325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVTGWRVCMDYRKLNSWTKKDHFPIPFMDQMLDRLAGKGWYWFLYGYSGYNKISIAPEDQEKTTFTCPYGTFALRRMTFGLCNAPATFQRCMMSIFSDMVEDTIEVCMDDFSVNRNFLTHFEFKTPKEIRNERNSTWGRKREHTLNRRQRLGVLPTGSSTAHTMNASHGFVAFVDEMRCYVVFSEMTPVEEENRGNKAGFGNNGLEIWALLKKEF >Solyc07g066385.1.1.1 pep chromosome:SL3.0:7:67963535:67965380:1 gene:Solyc07g066385.1 transcript:Solyc07g066385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVVSWLKLLLFIIAVHLCGSSKEWRLSVV >Solyc12g100090.1.1.1 pep chromosome:SL3.0:12:67934346:67935476:1 gene:Solyc12g100090.1 transcript:Solyc12g100090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQMKKQWLQFACTLTFFFIATCTMAYSPYESSDSTYNKVSTTEVKSEDFKVPSESEKEYKSSFLPKNDYYKKPIVSEDNYKKESSIPEQENKVTFFPKNDNYKKPLVSKDNYKKVPSISEQENKVPFFQKNDYSKKPSFFDDTYKKSSYVHEVPSMAKPEYKESFFSKFDYFKKPSVPEDNYKKVSYVPKVTSVPKEEYKVPSLPKNDYYKKPSIPEDKYNKASSVPEEPSMAKPEYKESFLPKFDYFKKSSEDSYKKVPYVPKVPSVPKEEYKMSSLPKNDNYKKPSIPEDNYKKVSYVPKVTSVPKQEYKVPSLPKNDYYKKPSIPEDKYSKVSSIPEVPSVPKPEYKVPSLPKNDYFKKSSPSPSPPPPYY >Solyc01g095580.3.1 pep chromosome:SL3.0:1:86668329:86672465:1 gene:Solyc01g095580.3 transcript:Solyc01g095580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKIEMKFDPEEVIEEFEALTKEAGKVQEETLKKILEENGSTVYLQQWGLNGRTDPEIFKSCVPLVTHKDLEPYIQRIADGDLSPVLTGKPITTISLSSGTTQGRPKFVPFNDELMESTMQIYKTSFAFRNREFPIENGKALQFIYSSKQFKTKGGLAAGTATTNVYRNAHFKKTMRAMQTPCCSPDEVIFGPDFHQSLYCHLLCGLIFRDEVQVVSSTFAHSIVHAFRTFEQVWEELVADIREGVISSRVTVPSMRSAIMKLLKPDPEQADSIYNKCSGLSNWYGLIPVLFPSARYIYGIMTGSMEPYLKKLRHYAGELPLLSADYGSSEGWIGANVNPKLPPELVTYAVLPNIGYFEFIPLRVNLDGLEPTPVGLAEVKLGEEYEIVVTNFAGLYRYRLGDVVKIKGFHNATPELQFICRSNLILSINIDKSTEKDLQLAVEAAARLLVDEKLEVVDFTSHANVATDPGHYVVFWELSGEASDETLQECCNCLDKSFVDAGYVGSRKVNVIGALELRVVKKGTFHKILDHFVGLGGAVSQFKTPRCVGQNNSRLLQILCYDVVKSYFSTAY >Solyc09g075160.3.1 pep chromosome:SL3.0:9:67375675:67379249:1 gene:Solyc09g075160.3 transcript:Solyc09g075160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAAAVKGGKKKGASFVIDCSKPVEDSIMEIASLDKFLQERIKVGGKAGALGDSVTVTRDKNKITVTCNSTFSKRYLKYLVKKYLKKNNVRDWLRVIASNKDRNVYELRYFNIAENEADEED >Solyc11g069100.2.1.1 pep chromosome:SL3.0:11:53984356:53984909:-1 gene:Solyc11g069100.2 transcript:Solyc11g069100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQYLANLALKVNVKVGGRNFVLVNAISRQISLGALLSSGIISADMSFATKRVATKSNFTFKIFLSPNSIIELKNPNEEKLMKVFFEGPCQTEKKRKREDDFSSKNRIGSD >Solyc11g017310.2.1 pep chromosome:SL3.0:11:8189116:8189595:-1 gene:Solyc11g017310.2 transcript:Solyc11g017310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSGFSHQQSAILVWLTWRVGRLDEAHNFSELLGVEGNVLGRWGSFLAACRVHRNFDMGKIVSKEGNWQSVDNVRRGIHKVGLSKEVVFSWIDTSGYPHCFVSKDRKHPQYYMIYDVLGYLTINMKDAGAWL >Solyc10g084890.2.1 pep chromosome:SL3.0:10:64387356:64389166:-1 gene:Solyc10g084890.2 transcript:Solyc10g084890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERKQSVILVPCPYQGHLTPMLQLGNILHSQGFSVIVAHTRHNAPNYTNHPQFVFHSMDDGLQRIDMSFPSVETLYSMNENCKVHLRNYLAAMMEEEGNELACIIYDSVMFFVDDVATQLRVPTVVLYTFSAAFLHSIIMILQQPEKYFPFEDSQLMDPLPELHPLRYKDLPFYVVNNKIPEWDLEFHRATCDIGSSVAAIWNTMQDLEDSTLLRLQEHYKMPFFPIGPFHKMGPLDSLSSIFEEDNSCLEWLDKQAPNSVLYVSLGSLAMINDKELIETAWGLANSEQPFLWVIRPGSISGFQYAEALPDGYEEMVGERGRIVKWAPQKQVLAHPAVGGFYTHCGWNSTVESICEQVPMICRPFIADQLVNARYLSQIYKVGFEIEVIERTVIEKTIRKLMLSEEGENVKKRVVDMKQNIVAAMEIDGTSHKNLKDLVDFISALPSRLPPPTPVVVGS >Solyc05g046017.1.1 pep chromosome:SL3.0:5:58922577:58924332:-1 gene:Solyc05g046017.1 transcript:Solyc05g046017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFSPQSQEEKEYIGTSDVGLIYGGDTQCLVTGYSDPDYAGDVDTRRLITGYVFTLGGSVVSWKATLQPTVTLSTIEAEYMALAEAAKEGIWLKGLKNRWATIKN >Solyc06g066240.3.1 pep chromosome:SL3.0:6:41672193:41675751:-1 gene:Solyc06g066240.3 transcript:Solyc06g066240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIYSSSYNLVALLLIFFPFLFTQLLRKSSTAKEKLPPGPWRLPIIGSLHHLRGALSFPHRTLKNLATKYGPIMYLQLGEIPAVIVSSPIMVKEILKTHELAFATKPQLTSIDITTYNYKDIAFAPYGDKWRQMRNICVTELLGTKTVKSFSSIRKDEITRLLSSIRSTNGTRLVNLTELILRYTNSVTCRSAFGKVCTNQHHLINLLRDVLDTLGGFDVSDLFPSWKLLHKMSGVKSELLRMHKKVDEALENIVNEHIKNRALGCKGNGDKLIGGEDLVDVLLRIKENGELQFPITSDHIKAIISDMFAAGTETSAATIIWALSEMMRKPKIMAKAQNEVRQVFKGKITFYEDDLDKLTYLKLVIKETLRLHPPSTLLPRECRKQTYINGYTIPPKTRVLINTWALGRDSESWDDPESFIPERFENSPVDYMGNYYKFIPFGSGRRICPGMQFGLTNVKHPLAQLLYHFDWVLPYGANPEDLDMIEKNGLSAAKHRDLCLIAIEHKNDDKI >Solyc10g051220.2.1 pep chromosome:SL3.0:10:51711978:51716348:1 gene:Solyc10g051220.2 transcript:Solyc10g051220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGQQQQQHHSWKLNVHAKAKNFNFKFVASNFLPNSLYFPFSLKFKYCRFFIHLNSQTFIYPNPKKPKSFKSKLHRFLQRLRRRSSNNNRVTNSKPKNKATISQSSVVLGNLLLFIQSIYQGNKDGIFILGSIVIICFTSLFKQIMARKAWILLVFLTGAIVFFSNAMHLRFRSQFDHQHYLSGFFWKALKNVASSAETLDVRSNLLQGSVPIPPNSICYFFISNNNLSEEIPPSICNLTTLRGKFLEVWQLQRLQVFDRDNHLIDTFPTWLGTPPKLQVLSLRSNKLHGHIRMLRSENMFPQLRIIDLSYNVVAGNLPTRGIPSITGDLIALRVLNFSHNRLQGSQFATFENNSYEGNDGLRGFHVSKGCGRDRVATTNHTVTGLDDEESNLGFLSDF >Solyc09g010095.1.1 pep chromosome:SL3.0:9:3484700:3488175:-1 gene:Solyc09g010095.1 transcript:Solyc09g010095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQFGPIQISSAQPAICSVYDAWIDQNAIGYALISLKDDLDKNLSDLLIHFGNSIYGKNDESIRCARILPLLRIGVCPLFFCLVKHLEYSVVHESTCISSIAPTPGPGLKTTSLFFSRIRTIVSLTVVTSEPSIVCCRKLIGLYTAPYLIFRRNWIL >Solyc12g057160.1.1.1 pep chromosome:SL3.0:12:64174014:64174412:1 gene:Solyc12g057160.1 transcript:Solyc12g057160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKVIIALMLAFIAGSAFAQAPGAAPAASPKISPAPVASPPVATPPSTTSTSPISAPANAPTTASSPLASPPAPPTSETPASSPSGAASPPSIAAAPGGSPSESPNSASLNRVAVAGSAVVAVFAAALML >Solyc03g005340.3.1 pep chromosome:SL3.0:3:196939:204089:-1 gene:Solyc03g005340.3 transcript:Solyc03g005340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILSPLAAIRTHSIVSVLLHSHRFPPLSSETRLLKQHRPISCRPFWLTKQSFSVFSKMDCVGASSPKEFPLGLDASLEEEYFSQSSLLQDFTSIPNIDKAWTFTSDGGSQGMFSISQPNLLANKKRRYILPCHISKESTNAVSFQWAAFPIEMSNVSMMIPSPSGSKLLVVRNPENDSPTKFEIWGSSLVEKEFYVPASVHGSVYSDGWFEGISWNSDETLIAYVAEEPAPSKPTFTTFGYKKDNSTDKECGSWKGQGDWEEEWGETYAGKRQPALFIIDVNSGVVRPVEGIGKSLSVGQVVWAPSREGLEQYLVFVGWPSDNRKLGIKYCYNRPCALYAVKAPFSKVEVHQSGTNAAKDVSPIKLTQSISSAFFPRFSPDGKLLIFLSARSSVDSWAHSATDSLHKIDWSFSGKPTPDATIVDVVPIVMCPEDGCFPGLYCFSVLSRPWLSDGYTMILSSIWGSTQVIISVNVISGNISRISPGDSNFSWNMLALDGDNIIAVCSSPVDVPAIKYGSLARKASAETSWSWLDISSPISRCSEKVISLLSSRQFSILKIPVRDISENLTKGASKPYEAIFVSSKTQSRNVCDPLIVVLHGGPHSVSLSSFSKSLAFLSSLGYSLLIVNYRGSLGFGEEAVQSLPGKIGSQDVNDVLAALDHVIEKGLADPSKISVVGGSHGGFLTTHLIGQFCRHQISLQQQLQGTLSATSL >Solyc03g063110.3.1 pep chromosome:SL3.0:3:35463717:35467869:-1 gene:Solyc03g063110.3 transcript:Solyc03g063110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:K4BH59] MALNFNPPTFQSIQTTRRPCSPLRSHRVLMASTLRPPSVEGGNVKKPFSPPREVHVQVTHSMPPEKREIFDSLQGWAENNILVHLKPVEKCWQASDFLPDPASEGFEDQVKELRERCKEIPDDYFVVLVGDMITEEALPTYQTMINTLDSVRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENNPYLGFIYTSFQERATFISHGNTARHAKEHGDMKLAQVCGIIAADEKRHETAYTKIVEKLFEVDPDGTVMAVADMMRKKISMPAHLMYDGRDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWEIEKLTGLSGEGHKAQDYVCGLAPRIRKLEERAQARAKQKAPVPFSWVFGKDIKL >Solyc01g087010.3.1 pep chromosome:SL3.0:1:81809577:81810904:1 gene:Solyc01g087010.3 transcript:Solyc01g087010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSMVLLLIMALSYFTSIANSQVKNIFLLAGQSNMSGLGGVVKNIWDGIVPPECSPNPAILKLDANLQWVKATEPLHADIDVNVTCGIGPGMPFANSLLNKTSCFGIVGLVPCAMAGNKISEWQKGTFLYNQLVMRAKASTMQEYGIIRGMLWYQGESDTMSQSDANSYKEKMQQFFTDLRNDVGIPELLIIQVALASGGKHYTEIIREAQLNPGIANVVTVDAKGLQLQKDNLHLTASSQVLLGQMMVDAFLETILTTTSSINC >Solyc01g067820.3.1 pep chromosome:SL3.0:1:76610289:76613203:1 gene:Solyc01g067820.3 transcript:Solyc01g067820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWDWYLKIAVVSAMIGGSMEFFMIKTGFYDKVTVLEAEKRAWENSPEAKAVRDALNPWRHQDAEARKDS >Solyc07g039450.3.1 pep chromosome:SL3.0:7:47605627:47615351:1 gene:Solyc07g039450.3 transcript:Solyc07g039450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation machinery-associated protein 22 [Source:UniProtKB/TrEMBL;Acc:K4CDS1] MAEKPQPVQVLYCGVCGSPAEYCEFGPDFEKCKPWLIQNAPDLYPEFLKESNGTETDKVSDKLQSTSISEGSSTSKPEEVKRLPGGKIKKKDKQEIIIEKVTRNKRKSITTIKGLELFGVKLSDASKKLGKKFATGASLVKGPTEKEQIDVQGDIAYDIVEFITKTWPDVPESAIFFIEDGKKVPAA >Solyc11g020260.2.1 pep chromosome:SL3.0:11:10681005:10685891:1 gene:Solyc11g020260.2 transcript:Solyc11g020260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRKYALEMISEVGLAAAKPVMTPLECNMKLTSVEFDEGSVTTDDLFLDINKYQRLVGKLLYLTNTRQDIAFAVQSLNTKSQLTGFCDADWAACPNTRRSVTGFVLKFGDSLISWKSKKQNTVSRSSAEAEYRSLATLTAEIVWLVGMNLLIFCSWIGITCGNKHRRVIMIVLNSSELVGPLSPAVGNLSFLRVLWLSRNSFTGQIPGEIGKLSRLRRLNIANNSFSGEIPTNISRCSNLNYIHLGDNQLHGKIPDIFGGLRNLVFLDFALNYLSGGNIPIEILQLENLQVLGLEKNNFTGMTPESIGQQRKLRRLYFDHNRFSGEIPHSLGNLTRLIELDLGSNKLQGTVPSSLGSCKFLSRLYLNGNQLSGLIPKELFELSLIEFDLSNNHLTGHFPVTSLRELYLGNNDLQGVIPASLSSLRSLEYMDLSHNHFVGRIPKFLDELVTLHELCGGIPEFKMPKCSNKVASKRHRLSHRLIIVMLVIGGLLAATTVALFIFLCARRKKRSTSSENSSLDVIPRVTYNSLHKETNGFSMSNMIGSGALSFVYRGILEENGKFVFIKVLKLQVTGASKSFLTECEALRHIKHRNLVKLLTSCSSIDYQGNDFKALVYEYMANGNLANWLHNRSTEGEENHEPKTWNILQRLNVIIDVASALDYLHHQSGTPLTHCDIKPNNVLLDEDFVAHLGDFGLARFLPDAAKLLSLSQSASSLNIRGTIGYVPPGN >Solyc07g064790.3.1 pep chromosome:SL3.0:7:66912500:66913876:1 gene:Solyc07g064790.3 transcript:Solyc07g064790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWARILLLLFVFSLGAFLVCGRNLPSENESLVEKKYGVTDYQGGLDDNIGAGGFAAGEPTGPSGPTGPGLGGSISGGVSAGVGGRLGIGAGPVSGGAEGGSGGVSGGVSGSGRIGAGVGGSGGVGDNVGGGAGDHGSGTGGGFGGPGSVSGGGFGGPGSGSGGGYGSGYGGGWP >Solyc09g059150.1.1 pep chromosome:SL3.0:9:53699504:53700445:1 gene:Solyc09g059150.1 transcript:Solyc09g059150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEAQVMKGNSQRGRKPGINTESSSKVTEVGMRSVDAIGAEESRQLSPDLATLKQQQAKAVETWTEIIQTPRRGSQGESSSSKQTWADEVVSQGKKKSIKDEFDIANLSNAGYKLEYVARSKQGKITGGHPQVFFQRLWGKHGIDNVSMLKNGVTVVRFEYEIGKQEELQGLDFKYWSKKGLSKIGKPLMVDQNTEERNGLNFARLLVEVQNGSTITG >Solyc04g026350.3.1 pep chromosome:SL3.0:4:16851339:16859900:-1 gene:Solyc04g026350.3 transcript:Solyc04g026350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIAEGSLYRGQSRREKPRGRNQGLTQQKRQEIREAFELFDTDNSGTIDAKELNVAMRALGFEATEEEINQMIAEVDKDGSGAIDFDEFVHMMTAKFGERDTKEELMKAFHIIDQDKNGKISFADIQRIADELGERFTDREIQEMIEEADRDRDGEVNVEDYMRMMRRTNFGH >Solyc04g081260.3.1 pep chromosome:SL3.0:4:65367412:65373530:1 gene:Solyc04g081260.3 transcript:Solyc04g081260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWPWKKKSSDKTASEKPAALTVESASAPSDSTESKVEQTKQEIKKPKYVQISVESYSHLTGLEDQVKSLEEQVNGLEDEVKDLNEKLSAAQSEMTNKENLVKQHAKVAEEAVSGWEKAESEAATLKNHLESVTLLKLTAEDRASHLDGALKECMRQIRNLKEEHEQKLHDVIQNKAKQFDKMKHEFEAKIANLDQQLLRSAAENSALSRSLQERSSMVIQLSEEKSQAEAEIEMLKSNIESCEREINSLKYELHINSKELEIRNEEKNMSVRSAEVANKQHLEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRVKKSQGRPSSPQFSSLPDFSFDSVQKFHKENEQLTERLLAMEEETKMLKEALAHRNSELQASRSICAKTSSKLQSLEAQLQANLEQKSPQKSTIRRQPSEGSFSHEANHLPRLASMSEDGNDDNVSCASSWTTALMSDLSNVKKEKNFDSPHKSECASHLDLMDDFLEMEKLAYQSSDTNGAVSSPDIPRNARPETTKVDTSVHVSTSPDTQLKERNETIVSEDQASQQEEVSSQSHQPLLDASISMKLQSRISTVLESLSKEADIQRIQEDLREIVQEMRNAVVPQSTKSIVEITLSPKTATESQASLDDGEANLEKEIPVSEDSKSCNESIHGISKELADAMSQIHDFVLFLGKEAKAIQGTAPDGSGINEKLDDFSATYVEVISNRLSMVNFVLDLSHVLSNASQLHFNILGYKNSETEISTSDCIDKVALPENKDLQHSGEVYANGCAHFSDSTSDPDIPHEGSLVPTSESTSTSLKCSLEEVEQLKLEKENMALDLARYSENLASTKSQLTETEQLLADVKSQLVSAQKANSLAETQLKCMAESYNSLETRTEELQTEVNRLQAKIESLDNELQEEKKNHQDTLASCKDLEEQLQRMETAADLNAKSNQEKDLTAAAEKLAECQETIFLLGKQLNSLRPQTEFMGSPYIDRSSKGEGFREESTTTSMNIHDNDVAEMDSASSVKATCESPVDIYNVSYSPSDTEVNNPLRSPISSKSPKHRPTKSGSSSSAGPTPEKQSRGFSRFFSSKGKTGS >Solyc06g053360.3.1 pep chromosome:SL3.0:6:36180485:36204549:1 gene:Solyc06g053360.3 transcript:Solyc06g053360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVERLGGLSMHIILSKLTPQDAASVACVSKRFRNWSSDDLLWSKFCADDLDLSSPIDPLGNPMPSFKASYQTWREDFNQYPWPLITRVKRCWDRLKEWLAINFPEGLSTLRKGASEEEILELEKSLKVKLPLPTRVLYRFYDGQELSSEESSGSAPGSLLGLMGGYSFYDHLVNVSLLPLHQMIIETKETIRHIGLGNRSKYVVVAASCGHNEKVFFLNCSTGQLNVGTRNLTTEGEVIPCVPSALISSVHNVKATQPQDAMLLWLEEHVRRLQHGMINVRKEGKVRSISLFPEVPPLCSLAVTNGVKTRLIVKSCQVRASSVFVPEFSTIQDEYEKSFFAYSIRMSLSPEGCIMNGMAFNSCQLYCRHWIIRCNDNVVDNVNGEAVIGKFPLLRPGEEEFVYQSCSSQQDSPGSIEGSFTFVPGRVSARGFMFWVGVFSVWIWRVCMDKDKSSSSHIGGSLPPSGRYSLFSPPGSSSNVKSEQPGLANLPPLGPGNASESGHFGHGLSADSSLFSLDISRMSDNPPRKFGHRRAHSEILTLPDDISFDSDLGVVGLDGPSLSDETEEDILSMYLDMDKFNSSTLSSEFQVGESSSAAPGSSQTTAMASGTNKFAPTVSEKPRVRHQHSQSMDGSTTIKPEMLMSGVEEPSSAETKKATSAAKLAELALIDPKRAKRKLFLLPEHFISISCPLQLFLEPTSEQAQLPKSWIWANRQSAARSKERKMRYIAELERKVQTLQTEATTLSTQLTLLQRDTNGLNAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQGIANGGPMMNFPATFGGNQQFYSNNQAMHTLLFSLHMANLDGDNEPEWIKRVKSEGSIPFLDPDNCSNGWASPPGNSFMVRGPEYFSTKVKVPGGEFLLKPLGFDWIKGPKKISDILNNPKHRIRMALQDETPTGCKPFIWAFNLQVPSKENFSAVVYFVGLEPVPEGSLMEQFLKGDDAFRTKRLKLIANIVKGPWIVRKAVGEQAICVIGRALTCKYSIADDFIEVDVDIGSSVIANAIVHLAYNYISTLTVDLAFLIESQTQSELPERILGAVRFSELQTSSATLVEMPSNGNMGEFLPSFPSRLWKSFGNSFSHLVQADTQDGSSSSSPSLVKEVVDNGLSEEGTKK >Solyc04g056741.1.1 pep chromosome:SL3.0:4:54784989:54785286:-1 gene:Solyc04g056741.1 transcript:Solyc04g056741.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAPVPLLVPRECREETEINGYIYCTESFKPERLEQTLLVTILSILRLVEGEGFVPGYHLPLAQLLYHFDWNGAKRLALD >Solyc10g081340.1.1.1 pep chromosome:SL3.0:10:62568018:62569499:1 gene:Solyc10g081340.1 transcript:Solyc10g081340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTTVKTLTEHPLQYRAFVQNHVLKDASFSSYLKPEDHSPDDSEINVFDAKKYFSEGNESSPVAQKNVNDQCELPSGHRHSSVSSVDNAYGRNFRANSFRATPTASSEASWNSQTGLLANPPGAVSVSLKSINTNDKRSGSFNTRKWFFCRKCPCSGKKSVQVEEASSEPRTETGSEQHFPVKHSSKVVVEKSTNLSQKSSIEIQHRGVVVRSETNKIGAIQRRSSESESGTAGTISCQQRVLAPVRPFTEVPGGGFSFPILNHSGQSKLGIKPPSTRSSISPILEDPPRDSLEVFQPSTRKSIETDHHRCNNFPFPGSPMSRITATDDDVASDASSDLFEIESFSTSMANSSCPLYRQRNSLEEPGTPSVAATECYAPSEVSIDWSVTTAEGFDRTSVTNYSTISEIETNTQFFGGGGGGDGGKWKGGGLLSCRHEKAVNVGPQPVKYGSPDGPPSQLPLKSTAGHVGSRANKPPLTSSHSARLSLAFAA >Solyc01g099880.3.1 pep chromosome:SL3.0:1:89887618:89890786:-1 gene:Solyc01g099880.3 transcript:Solyc01g099880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4B122] MANFSFILGIIGNVISILMFAAPIKTFKRIMKKKSTEDFKGIPYITTLLSTCLWTFYGLLKPGGLLVVTVNGSGAILHIIYVTLFLIYAPEPLKIQSMKLVAIIDIAFLGAVIAITLVAVHGTTRLTLVGFLCAALNIGMYAAPLAATRTVIKMKSVEYMPFFLSFFQFLNGGVWTAYAVLVKDYFIGVPNGIGFILGAAQLILYFMYYKSSPTKSTEEKGSAHLMKREIQMKDVNGAHENENSRNLHKWKSLPKPSLVRQYSEKLVKTLSNTPSSLGSHNVHDIEKGLKEAH >Solyc01g006940.3.1 pep chromosome:SL3.0:1:1511618:1514428:1 gene:Solyc01g006940.3 transcript:Solyc01g006940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQKPKFSVVEHISTSINNTHFNFKINNSINPIKSKPHFPISSLLASFSRISTHFSTSAVSDGAGVVGLDEEAELSAEEEIEEDEENEGGESLEKEEDGVVDEPKSIEDGRLYVGNLPFSMTPSQLSEIFAEAGKVANVEIVYDRVTDRSRGFAFVTMGSVEDAKEAVRLFDGSQVGGRTVKVNFPEVPRGGERQVMSAKIRSTYQGFVDSPHKLYVANLSWNLTSQGLKDAFADQPGFLSAKVIYDRASGRSRGFGFITFSSAEAMNNALDTMNEVDLEGRPLRLNIAGQRDPVSSPPVVKTSESENSVIL >Solyc04g078020.2.1.1 pep chromosome:SL3.0:4:62970395:62970457:1 gene:Solyc04g078020.2 transcript:Solyc04g078020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGEDNMCHSAGTIGGGEGNK >Solyc05g051425.1.1 pep chromosome:SL3.0:5:62601726:62606117:-1 gene:Solyc05g051425.1 transcript:Solyc05g051425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYQYEKKPRVLCLHGHATSANIFKKELELGWPQYLLDKLDLVFLDAPFLLQDKVDVHDIFYPPYYEWFQSTEDFKEIYNFEECIQYVEANMEKYGPFDGVLGFSQGAVIAASMPGMQRDRVALTKVPKIKFVMLIAGGKFGGIELGCPIECPSLHFIGEKDPHLLHEEELAGCFVNPVVIHYPEGHKVPNLDAERTEIVIEFINKVKKIKMPLQGNSRL >Solyc02g085180.3.1 pep chromosome:SL3.0:2:48793530:48798997:-1 gene:Solyc02g085180.3 transcript:Solyc02g085180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLVEAQKPLLHGLMKLAGIRPHSIEIEPGTIMNFWVPSETIIQKTKKNKKITTTTPLSNNQYAISPDSTTEPDPNKPVVVLIHGFAGEGIVTWQFQIGALTKKYSVYVPDLLFFGGSVTDSSDRSPGFQAECLGKGLRKLGVEKCVVVGFSYGGMVAFKMAEMFPDLVEALVVSGSILAMTDSISTTTLNGLGFSSSSELLLPTSVKGLKALLKVAAYKKLWFPDRLHRDFLEVMFTNRKERGELLEGLVVSNKDTSIPNFPQRIHLLWGENDQIFNLELAQNMKHQLGEKTTFHGIDKAGHLVHLERPCVYNKCLKQFLTSLHAEKAQK >Solyc12g042807.1.1 pep chromosome:SL3.0:12:59177901:59180085:1 gene:Solyc12g042807.1 transcript:Solyc12g042807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLDLMSTQAWGPVTLSYLYNCLCRASMKKSNEVCGFLSLVQIWAWERIIPLQPLSKPLRTNQLEASTALARKWTRRRNHQNEARTVIGVIRDVLDNLTDEQTSEKFQVTNFRIMMGIVKGGIKEIIYETKRCSDNMHAIGSVIG >Solyc02g092000.3.1 pep chromosome:SL3.0:2:53857265:53861159:-1 gene:Solyc02g092000.3 transcript:Solyc02g092000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPGNPTRRFAGGGSLGGLFHPKSRPTPLLPTVLLILGAVLVIGYFYRGSGTSGSSALSRLEGEFTCTSEVHQAIPYLKKAYGDSMRKVLHVGPDTCSVVSKLLKEEETEAWGIEPYDVEDVDVNCKNLIRRGIVRVADIKFPLPYRPKSFALVIVSDAVDYLSPRYLNKTIPELARVSADGLVIVTGYPGHSRAKVAELSKFGRPAKMRSSSWWARFFVQTSLQENDAAIKKFEQAAASDGYKSRCQIFHVKSFH >Solyc03g116750.3.1 pep chromosome:SL3.0:3:67513987:67525804:-1 gene:Solyc03g116750.3 transcript:Solyc03g116750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSRELVFLILQFLDEEKFKETVHKLEKESGFFFNMRYFEDSVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDRHDQAKAVEILVKDLKVFSTFNEELFKEITLLLTLQNFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFREKLQFPSLKNSRLRTLINQSLNWQHQLCKNPKPNPDIKTLFVDHACGQPNGARAPSPVANPIIGSMPKVGGFPPIGAHGPFQPAQAPIASLGGWMTNPPSMPHQAISGGPIGLSPPTNAASMLKHPRTPPASNAALDYQTADSEHVLKRPRPFGMSEEVNNLPVNIFPVTYPGQSHAHSLHSSDDLPKTVVVNLNQGSAVKSMDFHPVQQTLLLVGTNIGDIAIWEIGGRERLAFKNFKVWEIGNCSMTLQASLANEYTATVNRVMWSPDGNLLGVAYSKHIVHLYSYHGGDDLRNHLEIDAHVGNVSDLAFSHPNKQLCIITCGEDKAIKVWDAATGSKLYTFEGHEAPVYSVCPHYKESIQFIFATAVDGKIKAWLYDNMGSRVDYDAPGHSCTTMAYSADGARLFSCGTSKDGESYLVEWNESEGAVKRTYVGLGKRSVGVVQFDTTKNRFLAAGDEFIIKFWDMDNTNLLTTADADGGLPASPCIRFSKEGTLLAVSTSENGVKILANADGVRLIRALESRALDPSRGPPGAVAKAPMISTYGASSSTAGTSISIADRTAPVTAIVQLNGDNRNLQDTKPRIPDELEKSKIWKLTEISEPAQVRSSRLPDNQLSVRIIRLMYTNSGGAILALAYNAVHKLWKWQRNERNVTGKASTAVPPQLWQPSSGILMTNDISDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKSKLKGHQKRVTGLAFSSVLNVLVSSGADAQLCVWSMDGWEKKASKFLQIPSGRAINPLAQTRVQFHQDQTHLLVVHETQIAIYEASKLECVKQWVSPNFAVTDATYSCDSQSIFASFDDGSVSIFTAAALKLRCRVNPAAYLPSNPSSRVYPLVVAAHPSESNQCAVGLTDGGVYVLEPLESEGKWGTPPPNENGVAPGMSSAATGLDQASR >Solyc03g031590.3.1 pep chromosome:SL3.0:3:4098257:4100730:-1 gene:Solyc03g031590.3 transcript:Solyc03g031590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQVFESTIKVTISDDDNNITKDHVTKKSTSSNISPLTKLHAGYFRISLSLGGQTLLWKVLTQHLDKSQTLQHKFHSLPSTTFLLLWWISLCTLMLLSFLYILRCIFHFKLVKSEFLHPIGVNYLFAPWISWLLLLQSIPFTIPNLDSCQFVWWIFVVPVVILDVKIYGQWFTTEKRFLSMVANPTSQLSVLGNLVGAWIASKMEWKESAICIFTLGLTHYLVVFVTLYQRLSGSNRLPAMLRPTFFLFVAAPSMASLAWASISGDFDMPCRMLFFLSLFLFTSLVCRPALFKKSMRKFNVAWWAYSFPLTFLALASAQYAHQVEGHVANGLMLLLSALSVLVFVGLTVSTALNLDMLLSDHDRYLNFTKRT >Solyc06g074520.1.1.1 pep chromosome:SL3.0:6:46253387:46253617:-1 gene:Solyc06g074520.1 transcript:Solyc06g074520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCTDDHIISFFICAWLKRIDKSCNILNLGTHNHNWISNSNFFWSYNYNKKYPNKLKQSIRYFTDHILYLNSAYVI >Solyc05g009210.1.1.1 pep chromosome:SL3.0:5:3352223:3353101:-1 gene:Solyc05g009210.1 transcript:Solyc05g009210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEVCCEIASLVVSPRISFSHDDGIPLECHQQQQQQHHYRSDAFLLESSSTIDFDFDFCVSEKQLFISSADELFSNGKILPFEIKNSTPLTQITNKSLQKQPKSFSQLQKQPLLENDKENTKKKSLKEFLSTDIDDDDDEEEQETEKLIQPKPFWQFRRSSSLNYQNSHILQFLTRSNSTGSAPIQKNPKVYQKQSSQREQREKIKVLRKSSSLSSSSSSSSSSSSSFSTNFSSFNQSHSSSSKKSQSHPLKKSYSRSYSNGVHVSPVLNIPQALFGLGSLFCNCKSKKKK >Solyc01g057473.1.1 pep chromosome:SL3.0:1:61024052:61026248:1 gene:Solyc01g057473.1 transcript:Solyc01g057473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIYRPEKGCYEISSSNKREQVLPSFYVFEKVASCVRYASKFFRFICYAQYPAHVGNNKMSLLRSFLSQTQHPTHVQNNKMSLLKSRYRERLTIEQTSNGKNSYPLYKRRNTGKTVYMRKHHMDNSWVVPYNPILTL >Solyc01g100270.3.1 pep chromosome:SL3.0:1:90214008:90228738:1 gene:Solyc01g100270.3 transcript:Solyc01g100270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDEKLLHLHNQNKVRHPLAVSRHALCDLSNVSPVVFLYLLKECYVYGTCKATAKFRVLQQQAYGFLYNDPQPGAAIFVAQCLYVLPIFESHCEGFSHLIISALRHFLKVGNDMNGIYKAKLLAAKLFLAIVDGTLHHEERVLVKILEVFDVSLSNIEKAMYDVDEKGHTCQTAKVLVEQYISRLVDSQSYMTAVSLLEHFSFRESGESFLLKMLESKEYRAAEKWATFMGKPILCTLVREYVNRKLLKHAFDVIRQNNIREEFPEIYRQYKERQVYLAMEAGYMEKVEELCERYSLEGFINVKELEESIPTHRYLQLDELSIKEVVWVDEMNSLLDATFDIEECKVVGVDCEWKPNYEKGCPPNKVSIMQIASDNKVYILDLIKLNGDAPDVLDDCLTRILHSPRVLKLGYNFQCDVKQLAISYGQLQCFKHYDMLLDIQNVFKEPRGGLSGLTKKILGTGLNKTRRNSNWEQRPLTQFQLEYAALDAAVLVHIFRHVRGYTQPTGDQDGHSKIEWKSHIASHIDNSNSKISKKEVKKRKAKAKTDKASQSAETKELTEQTLS >Solyc01g097820.3.1 pep chromosome:SL3.0:1:88399157:88406364:1 gene:Solyc01g097820.3 transcript:Solyc01g097820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMLSYIIPKTMGYMKPEIRQRKMAGMCCYSSLSQTLIKKKLQGGFYCCSPAPNNQKVKTQTPKLLKIAVSGVTELLRLLSSSSTNRLGISDDEGGGEPLVYNVEDVLKIIKLDYEKAYFVTGLFTSGIYAEDCVFEDPTIKFRGRDLYSRNLQLLVPFFDSPSIKLEKIEKGNDSNAGVIVAYWKLRTSLKLPWQPLISVDGKTVYDLDEQLKIVKHVESWNISALEAVGQIFTPGLRSSGSYSLRTA >Solyc07g020870.2.1 pep chromosome:SL3.0:7:14335195:14339416:-1 gene:Solyc07g020870.2 transcript:Solyc07g020870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYISHPDTLMESSGKNTPPKDFVCPITTHVLEEPVTLESGQTYERKAIQEWLERGNVTCPITRQKLHRTQLPKTNYVLKRLIASWQEKDQNSAPLHRCEPENQPVKKPAPRTSLRGLGSLDGTISKLCRAITNLCTSEILRESEMAVLQIEQFWREGQMVDIQTMLSKPPVVNGFVEILSNSVDPDVLMAAIFLLSELGSRDNSVIQTLTRVDTDVECIVALFQKGLLEAVVLIYLLMPFIENLAEMELLHSLLKVLISREEDLVSMFMKPKSASVLLLGHALKNIEDERASKIVKRLTSAKVIEAILCSLEAELFEERLSAVVILLRCMQQDGRCRNIIADKAELTHLLESFIESNDADRFEIIRFLSEMVKLNRRAFNEKVLHIIKNEDYPDSQIAAADTLLVLQGRFSCSGNPLIREILVKCAGLDRTDSNAAQNDTGYLSSSQEAVEEELAAEDWERKMAFSLVSYEFGLLFEALADGLKSKSEHLFSACFLSATWLVYMLTILPDTGIRGAARVSLLKQFVSIFKSSRDTENKALCLLALRSFISEPEGLHDLTIHVKDILKGLRELKKSSTLAVEVFNLFSEERESSAVWTVKAKSLHLIQEIRDHLKAVTSLVVLQSGEKLYSGSLDRTVRVWSIQDEGIECEEVHEMKDHVNNLLVSNSLSCFIPQGAGIKVHSWNGATKLLNQQKYAKCLTLVKGKLYCGCVDNSIQDIDLPTGTINSIQSGSRKLLGKSSPIYAIQVHDGQLFSAATSLDGAVVKIWNTSSYSMVGSLQSTIDVRTMAVSSELIYLGGKGGIVEAWCKKKHNRVDTLQTGINGKVVSMALDTNEETLVIGTSDGRIQRIVELKYVVQ >Solyc02g092380.3.1 pep chromosome:SL3.0:2:54099478:54106141:-1 gene:Solyc02g092380.3 transcript:Solyc02g092380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQHSKDRMFITKTEWATEWGGAKSKELKTPFKRLPFYCCALTFTPFEDPVCTKDGNVFEIMHIVPYIRKYGRNPVTGAPMKQEDLIPLTFHKNSEGRAVILVVVIFQERVENWCFIVSGEYHCPVLNKVFTEFTHIVAVRTTGNVFCYEAVKELNIKTKNWKELLTDEAFSREDLITIQNPNALDTKVLLDFDHVKKNLKVDDEEIQKMHSDPTYNINITGDIKQMLKELGSEKAKEIALHGGGGNKAQNERAAALEAILAARSRIKDDAKTKENGEGTAQQTFSIVDAASASVHGRSAAAAKAGSTDKTAARIALHMAGERTPVNAKLVKSRFTTGAASRSFTSTSYDPVTKNEYEYVKVEKNPKKKGYVQLHTTHGDLNIELHCDITPRACENFITLCEQGYYNGVAFHRNIRNFMIQGGDPTGTGKGGESIWGKPFKDEVHSKLLHSGRGVVSMANSGPHSNGSQFFILYKSATHLNFKHTVFGMVVGGLPTLSTMEKVPVDDDDRPLEEIKIISVEVYVNPYAELDEEEEKTNDDNKTEDADNEKVGSWYSNPGTGTSEIQAVGSGIGKYLKARAAQADSKTYSDSSLPPISVVKKRKTGSSTAELKDFSAW >Solyc09g075060.3.1 pep chromosome:SL3.0:9:67305954:67316000:-1 gene:Solyc09g075060.3 transcript:Solyc09g075060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAKQLRDLFTAILLVFIIAAAANLPVMVLSGAGDVRRSDFPDTFVFGAGSSAYQVEGAAFEDGRMPSIWDTFAHAVRLLVYLQEDVKLMADMGLEGYRFSISWSRLIPSRLHYPTTSYNRIYKVVISDDFTAYADVCFKEFGDRVSYWTTLNEVNVFTIGGYDNGITPPNHCSPPFGAKPCSVGNSSTEPYIVAHNILLAHSAAVRLYRRKYKSTQHGFVGLNLFAYRPLPCTNVTADINAVQRVYDFYVGWFANPLIFGDYPDVMKRNVGSRLPEFTHEESMQVKGAIDFLALNHYQTIHVKDSSSSLESQMTRRNGTLNDTRRVEYLQAYIGNVLEAMRNGSNVKGYFVWSFLDCFELLDAYGSGFGLYYVDLDDKELTRYPKLSSHWYTNFLKGKDSKHSEIHQIEDKSRLPAHKKDDNSGEPPAPTYIHGGDPCFSGSSFRPPENRLPGYFRLRFRFFCLSGATSEDGRTPSIWDTFADDGGYGGATADVSCDAYHKYKEDVKLMADTGLEGYRFSISWSRLIPNGRGPVNPKGLQYYNNLIDEIVRHGIQPHVTLCHSDLPQVLEDEYGGWMSRKIIDDFTAYADVCFKEFGDRVLHWTTLNEVNVFTLGGYDNGMSPPNHCSPPFGMRPCPIGNSSTEPYIAGHNLLLAHSAVVRLYRRKYKSTQHGFVGLNLFAFWSLPYTNKTADVIAAQRANDFYLGWFVNPLIFGDYPDIMKKNAGSRLPKFTKQESKQVKGAVDFIALNHYLTVRVKDSSNSLENDIRDFTADSAFEFILKNGGTLPGQYSATEPGLQGVLEYFKQAYGNPPMYIHENGQMTPRNATLNDTTRIEYLQAYIGNLLDAVRNGSNVKGYFTWSFLDCFELFNAYESAFGLYYVDLNDKELTRYPKVSAHWYSNFLKGKSYKHSDKLLLHSSQ >Solyc01g016360.2.1 pep chromosome:SL3.0:1:19002921:19004683:-1 gene:Solyc01g016360.2 transcript:Solyc01g016360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINLSKNRFEGHIPNIIGDLVGLRTLNLSHNALEVTTPAELDQQQQEEDSPMISWQGVLVGYGCGLVIGLSVIYIMWSTQYPAWFSRMDLKIHVDKVSEDADKSLYLS >Solyc06g062295.1.1 pep chromosome:SL3.0:6:39415935:39419631:-1 gene:Solyc06g062295.1 transcript:Solyc06g062295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDGSTDETHGRTGGVVSRGEHVKSNCYQLIGYPADFKSKKKVNAAIGGKVCDDQIVTQDQLMQLMKKATPEQMTQMLNVLNMNTTNQPHRSAHMAGKVQLPTGESASISHIGSVHLNEEGMHFLDLGGTEMNGECETPTTTTTEHSNGKPSHSEVTSSPPSCMLEEEHVEEEHVTDVHDQVVLRKSHRTVRPPIWQADYVLPRKATRNCLYSIGDVVDYNSISVPYKRNDHQLILETKTMLKDTFKIKDLGDLMHFLGIEFARNKDGIIMHQRKYCLELISDMGLSGSKPIRAPIELNQKLTSAEFDLYFPQESKTDKLLKDPSVYQ >Solyc01g058500.3.1 pep chromosome:SL3.0:1:66782261:66782775:-1 gene:Solyc01g058500.3 transcript:Solyc01g058500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LDGIMAHPCYAAGRLKKHAQGQCPASDSRNKLNNIKSSGISLSPFSSLLYYTSQVISQSRTRVKLNMVFFPY >Solyc09g066080.2.1 pep chromosome:SL3.0:9:64670110:64679969:-1 gene:Solyc09g066080.2 transcript:Solyc09g066080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSLENISGGKCIQRLLPFKKSILRTIDQLTFWARSSDGPKEWVLCGSALSSEDKYMLVKFADMCGATVCKFWKPNVTHVVATTDVKGACTRTMKVLMAILSGKWILTMDWVKACVAANGPVNEELYEISLDNYGRSGGPKAGRLRASTNAPKLFDGFKFYLVGDFMPAYKSDLLDLVEKAGGTIIQSEEQLVKQNHAAQGTQPSSLVVYNCDLSQGCTFEEESSILQQRLAEAEDLAKQICFHTVQHTWILESIAACKLVPFC >Solyc06g017970.3.1 pep chromosome:SL3.0:6:15717466:15738760:1 gene:Solyc06g017970.3 transcript:Solyc06g017970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSRNHHSAIETAVSSSSSAEQSHPAVAPPSMTSSPATSSSEKPTAPVEDVAASRDPTSVTAAVAEFVTVERRSAYGAVCKWAIANFTRVKARALWSKYFEVGGFDCRLLVYPKGDSQALPGYISVYLQIMDPRNTTSSKWDCFASYRLAIDNPTDSSKSIHRDSWHRFSSKKKSHGWCDFTPSNSILDPKLGFLFNNDCILITADILILNESVSFSRDNNELQSNSLSNVVVTASSGDVLSGKFTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSAVNGVEYLSMCLESKDTEKTLISDRSCWCLFRMSVLNQKPGLNHMHRDSYGRFAADNKSGDNTSLGWNDYMKMVDFMGSDSGFLVDDTAVFSTSFHVIKELSSFSKNGGLVGVRNGGGSRKSDGHMGKFTWRIENFTRLKDILKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNSNSDWSCFVSHRLSVVNQKMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKESSIVQESVVEDIELANAGAHLDEAGKRSSFTWKVENFLSFKEIMETRKIFSKYFQAGGCELRIGVYESFDTICIYLESDQSIGSDPEKNFWVKYRMAILNQKSHSKTVWKESSICTKTWNNSVLQFMKIPEMLESDAGFLVRDTVVFVCEILDCCPWFDFADLEVLASDDDQDALTTDPDELIDSEDSEGISDEEDIFRNLLSGAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTNISGCSDGKKVNKNDKSSPSLMNLLMGVKVLQQAIVDLLLDIMVECCQPSEGSSSSGSSEVNPKTFPNGNGAGSQLGSDRANGANEPLQLYTHDRLDTVTDESMNSSAVQSSDIDGINAHERAFNGKPMHPHPPETSAGGSSENPSLRTKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAEKIALVLDKAPKHLQPDLVALVPKLVEHSEHPLAAYALLERLQKPDAEPALMIPVFGALGQLECSSDVWERVLFQSFDLLVDSIDEPLAATVDFIFKAALHCHHLPEAVRAVRIRLKKLGNEVSPCVLDYLSRTVNSCSDVAKAILRDIDCENKSGDNCSAVPCGIFLFGESCHTSERPREVDEQAFLSNHHFSDIYILIDMLSIQCLALEASQTFERTVARGAIVAQSVAMVLERRFARRLNLTSQYVENFPHTDVIVEGETIEQLTAQRDDFTSILGLAETLALSRDPRVKGFVKLLYTILFKWYADESYRLRILKRLVDRLTISRESACEVDLYMEILIILMCEEQEIVRPVLTMMREVAELANVDRAALWHQLCAIEDEIMRIREEREVENASMAKEKSIMSQKLNESEATNNRLKSEMRIEMDRFARERKELAEQIQEVESQLDWLRSERDEKIAKLTAEKRAIQDRLHDAEAQLSQLKSRKRDELKRVMKEKNALAERLKNAEAARKRFDEELKRYATEKVTREELRKSLEDEVRRLTQTVGQTEEEKREKEEQVARCEAFIDGMESKLEACEQYIRQLEASLQEEMSRHAPLYGAGLEALSMNELETLSRIHEEGLRQIHVIQQRNGSPAGSPLVSPHNLPPTHALFPAPPPMAVGLPPSLVPNGVGIHSNGHGHANGSIGPWFNHS >Solyc05g007140.3.1 pep chromosome:SL3.0:5:1733113:1737686:-1 gene:Solyc05g007140.3 transcript:Solyc05g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVGSPARVEATLSSTTPSAYEASRFPDKKSNSSVPSSLSIPSYGRKSSSESLPTPRSESEILYSPNVKSFSFNELKNATRNFRPDSLLGEGGFGCVFKGWIDAQTLTASKPGSGIVIAVKKLKPEGFQGHKEWLTEVNYLGQLRHPNLVKLIGYCIDGDNHLLVYEFMPKGSLENHLFRRGPQPLNWATRIKVAIGAARGLAFLHDAKEQVIYRDFKASNILLDAEFNSKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDNTKVGIEQNLVDWAKPYLGDKRKLFRIMDTKLEGQYPQKGAYTAANLAWQCLSNEPKLRPKMSEVLTALEELQSPKGLSKLSHTYHRAIPSPVAVSPMRHHRSPLHMTPSASPLQAYQKSPRGR >Solyc10g079370.2.1 pep chromosome:SL3.0:10:61043906:61047822:-1 gene:Solyc10g079370.2 transcript:Solyc10g079370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYCSDCKRNTEVVFDHAAGDTVCSECGLVLESRSIDETSEWRTFADESGGDDPNRVGGPVNPLLGDAALSTVISKGPNGSNGDGSLARLQNRGGDPDRAIVLAFKAIATMADRLSLVSTIRDRASEIYKRLEDQKCTRGRNLDALVAACIYIACRQEGKPRTVKEICSIANGASKKEIGRAKEFIVKQLKVEMGESMEMGTIHAGDYLRRFCSNLGMNHEEIKVVQETVQKAEEFDIRRSPISIAAAIIYMITQLSDSKKPVLRADISVATTVAEGTIKNAYKDLYPHASKIIPEWYVKDKDLKSLCSPKA >Solyc04g081500.3.1 pep chromosome:SL3.0:4:65532690:65539307:-1 gene:Solyc04g081500.3 transcript:Solyc04g081500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDAVPPLIAAQLNYIVTHAPFSVKVENMCSGCKNTGLLDRFSLVIPFCLDFIKWDVMYNAVYPLAAPDVIFGSEDETFRPYHAVSDASDSKSLKNPLTDWNNRDPTSLFTLVLQLRELYKAYQKKRVEQVDDDRLKFEISTMLSREGIEMSLSSNIDKPEEVKFAVPLLDLDLNKMVAGSTWRHPQKIYLQVIYPVGRKYSSAPSAPRLKLVSSPELKAVFSIDDFRLPPWLDGMCMAEYLPTLEEMLESQIKDAVASIESRRKLIIALAPLFGRPVEADPLFCRKATFLSSSGVFTFLVHVAIPLQFPKQQPSLMLQSSQHFNSQGLPIKSPVTAEYPWSPRWETSDMAERIFDFIVEECLNFKKYCNESMLQNR >Solyc06g008170.3.1 pep chromosome:SL3.0:6:2051067:2053646:1 gene:Solyc06g008170.3 transcript:Solyc06g008170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Solyc03g114165.1.1 pep chromosome:SL3.0:3:65666506:65667255:1 gene:Solyc03g114165.1 transcript:Solyc03g114165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPKPAAKEVKMDLFEDDDEFEEFAIDQGRSSIVDKVEYPLALCFQQGCIVAVAFEFHVVYFLL >Solyc08g081450.3.1 pep chromosome:SL3.0:8:64626466:64631744:1 gene:Solyc08g081450.3 transcript:Solyc08g081450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSQIFQHNVWSNSKFTRTSSVPPLLKANHEPVKKLSQRNLKIRRIKNKSNSLVTFSMSDPENGTSMSEVSPSDTIRKFYSSINNKDMNQLALLLAEKCYYDDFSYSQPFLRREEVLKFLKQLATCMGKNTEYCIEHIYEGVDLTTVVNWHLEWNKKQVPFSRGCSCYELSRDGEELVIRKAQVIVESPIKPGSIALEAFQKVISVCDASPQSAEWLFLLGPQLINSYSRYTSWLNYMSTLSPWRDSKDQDQTPKND >Solyc03g078140.1.1.1 pep chromosome:SL3.0:3:51551680:51552003:1 gene:Solyc03g078140.1 transcript:Solyc03g078140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETDVLPDNYVVSSILGACSSLYYIKERKEIHCYVLRWGAEMNVMVSNVLIDFYMKCGKVKTARSVFDRMKVQNAISWTTMIFGYMQNFSDWKSISMFRDLNGFGW >Solyc11g069910.2.1 pep chromosome:SL3.0:11:54816366:54819255:1 gene:Solyc11g069910.2 transcript:Solyc11g069910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPDRYERFVVPEGVKKVSYERDTKIMNAATFTVEREDHTIGNIVRMQLHRDDNVLFAGYKLPHPLQYKILLRIQTTSQSSPMQAYNQAINDLDKELDHLKSQFEGELAKHTRDY >Solyc05g007350.2.1 pep chromosome:SL3.0:5:1971012:1974828:-1 gene:Solyc05g007350.2 transcript:Solyc05g007350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGEYLSSTKHHPLKFLQRIEDEWGSYMPETIKERVYLLKTEFKFMDMFLSIQSFTDKPNMLTVTQKVHALFQDSAFDVSKIYQNLNRLTSLLQNKIRVTKMEIGANYSFFSEISLQQLSEKSGVDNSEFVMKFINGVVENVSELAEMDDLCSREIQEVLKELKLLKSLVGFLSNRWYAEPQSVRTFFGHVLFVAGSAAMVVWLYIPSYDNNRDQDLVPGEMNFLLSYLVRMRIKPVNPCIRKIYVDVLQALKWTMQSELSLNIQNVYVAEIEAGFIEILIHNLEEIRSISTLSRIEFLNHLMATLVEMLKFLRASLIHLPKLGLEFHLKDIDTISIDVGLLVYSLYDREEQDEEVNQTLFRDMPKSIQHIKEVIFLVSRKAFQSNLPRVHGLGCVDFLLNNLKEFQDRYSDSQYSFVKSQLQVIQKELEGLQPFLKDVAEEWYNKHERLHHCAALLNGKAYEVEYIVDSFIKKEVPEWCLVRWLFDIIKEVILIKEEVTKIRKKELLKFDFVLNDTLDTTPAHISSESINTPRITDEEVVGFEDVMEKLREQLIRGTKQLDVISVVGMPGLGKTTVANKLYSDELVVSRFDIRAKCCVSQAYSRRSVLLSILRDAIGESPTLTKLPTDVLADQLRKTLLWKRYLILVDDIWEASVWDDLRCCFHDSNNGSRIILTTQHADVAENAKSVSDPLHLRILNDDESWKLLKQKVFGEESCFMLLSNVGQEIANKCRGLPLSIVLVAGMLTKMEKSEKCWKQVAKNLCTNVLSNSKAIIEQSYQNLPYHLKPCFLYFGVFLEDKEINISILTWLWISEGFIKSCDDKSLEDIAEGYLENLIGRNLVMVAKWGSGGKVKTCRIHDLLLYFCKEIAREKNLLLWMRRDQNVNTSSSIYSHKQLVQRRMSINSQVVDLVKWSSLVGTVRCREDRNKGSFSIVQFSHIYFRFLKVLNLELIVIDSFPTELVYLRYFAARTSQKSITSSIVNLRNLETLIVKPMGGKLILPLTLLKMVKLRHLQIYSKAHFSTLNAADELLENSKFDNLITLSSPTFCCVRDAELMLRTPNLRKLRCSFVGWGYPSHVMSSLTRLETLSIKMDSCGSSPSNFPPNLKKLTLSNFTMHWLQSSIAMLPNLQVLKLVAVFFSKAEWEVTSDKFHQLKVLKVVDCPCFKKWNVSDNAFPCLEHLVLRRCRYLEAIPSRFGDITSLISIEVKSCKESLVESAMVIRESQVEEMQNYDFKVFINK >Solyc05g005960.3.1 pep chromosome:SL3.0:5:721496:723940:1 gene:Solyc05g005960.3 transcript:Solyc05g005960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEIQDEVNTRKGGLRTMPFIILNESFERIASYGSQTNLIIYLMTYYSMSAATGTSIIGLWGALSGGLAIVGAIIADCYWGRYNAVAYGTIFTFIGMVILWLTSMIPQLTTFACSHFQHVCNGPTAFQLAVLSTSFAFTSIGAGFVRPCSIMFGADQLEQKGNPENEKIIERYFNWYYASNGVATMIAVTTIIYIQDRYGWQIGFGVPVLLMVLSISTFLIGSPLYIKVKTDTNNLLVGLSQACVAAFRKRKTRLSLTGCDDYYHSPYETEVLTPSKDFRCLNRACMIEDRERDLNPDGSASNPWNLCSVERVESLKALIRIIPMWSTGLMVFVDMNVFAFAVLQTKTMDRHILPHFEVPAASFSVFLIIALTMWIIFYDRLLVPLLSKYTGSPRGLSPVTRMRIGLTVSCMSMALSAITESIRRKRAITEGHEDDPNALVNMSAMWFVPQYALLGIATATHGVGQIEFFYTLLPKSMASIASAIDTVGNAASSLIGSFLVSSEDWLTSTGGKTSWLSSNINKGHLDYHFWLLTFLSLLNLLYFHFICRFYETGNDALPHVADEEECDYRLLHES >Solyc12g056890.1.1.1 pep chromosome:SL3.0:12:63905270:63905923:-1 gene:Solyc12g056890.1 transcript:Solyc12g056890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVDHYSALDLPSGEEGAKLSEIDISKAYRKKALELHPDKRLDDPINAHFNFQKLKASYDILKDQKKRRLFDEMIQQQQQKQQQSKCRKMMSEPIFVPDISLARLEEEERIAIKLLGEIARIREILMSKKESSEMFVDKERVLKVSWSKSSEDYTCQRLRELFSNFGEVEHVIMSSSSKKKKRYALVEMLSKDHAAKAVSSCVLPGLLVVPLAGL >Solyc10g047747.1.1 pep chromosome:SL3.0:10:42124194:42125158:1 gene:Solyc10g047747.1 transcript:Solyc10g047747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVLEELRKKLKELLEAGHIRPSKAPYGAPVLFQKKKMGRCITIRNKYPIPLITDLFDRLGEVKYFTKMDLRKGYYQVRIAKGDEPKTTCVTRYGAFEWLVMPFGLTNAPATFCTLMNEILHPYSTLQEHVEHLKKVFKVLQENQLYVKRKKCEFANKDTFLGPCDQPRFISGYSASAAPLTELLKKNRPWLWSEECEEAFEGLKAAVTKEPVLVLPDFTKTFEIHTDASNFAIGG >Solyc07g043450.2.1 pep chromosome:SL3.0:7:57334539:57336036:-1 gene:Solyc07g043450.2 transcript:Solyc07g043450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHSTTSSHMNNKDDVAIVIAPLHLQSHLRQLLHFACRISSFGLPVYYLGLATSNTQVRQHSTTLNPCDIAKIHFHDLQILNQDPPPNIPMHIWHASMRTREPIASFLQDISSKNVQNISSYLNGESYVFHCLPIFDMYCSHYAPKEGLPIPLKEQLLIRLPSNDGCYNPKDIKHHAKYLSHCMGMSAGDIFNTSQVIEGNAFIDSMTHLACTKNKKLWSLGPILPTQKDHKIENKHLCLDYFGTSTSFSAEQIKEIVIGLELRKHKFIRVFRDVDKGDPVNNKVEERVKGMGLVVRNWAPQQEILAHSSTNGFMSHCGWTSCLESIIGFSVTEILKIGLHVREWEYELMSASTIQNIVSKLMALEEGDMVRKRAHELSKAVKRSTEEGGVFLIELQTFVTHMTR >Solyc11g039820.2.1 pep chromosome:SL3.0:11:42306370:42306878:-1 gene:Solyc11g039820.2 transcript:Solyc11g039820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNWLMKTVRGTLRSREEEEFSHVGDEDSVVKVKNIEVLNAFHIISLSEGFDLAPLFEENNKEKEQLKFAITKPPRTVISKLQEVSKTSKLNLKRSGSSVRLQGHESEEKGMVEVKEACGGTFVFNQFCTKELRPALKDIVLKSALENSTIT >Solyc10g078180.2.1 pep chromosome:SL3.0:10:60170075:60180871:1 gene:Solyc10g078180.2 transcript:Solyc10g078180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLMTGDPSTHHEAYEGGSFRASQERPDENGGRWYLSRKEIEENSPSRLNGIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHSRNDRRTVATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDSEAIQRIKQKLTVVQFGVNMVLLEVYEQQKELILSGERVVLATLAFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPQASEAEGSAGGNQRPSGKSSAAQEEHGANNSNSVGGGANTNAGSSNPASSRETPDQPYADNHSGLTRTAQNSNNDYGSAEHNFSDGIGDGEMNDRQTHEREQVSYQGSTAEVQSRSRYGSESNTEDDQEGNTRKSEPRHKGESKEKYHGRALENMDDAVGQSPQEVRKIDKDKVKAAVENKVKAALEKRRKAQGDVTKKTDVMDDDDLIERELEDGIELSAGNEKNKRERKESWSKPSNRPEHENTYHTKLQDEAGDGHHQGSKWKSSRREELDYVEEGELEPYDDADKGYRSPKSNSRKRKAGSPSDKPMEGKRHEYFPDDRNRPGRLDYSERDHKRHMQENHA >Solyc10g078450.2.1 pep chromosome:SL3.0:10:60406092:60409483:1 gene:Solyc10g078450.2 transcript:Solyc10g078450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAGEKGSTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLGEGA >Solyc06g010240.2.1 pep chromosome:SL3.0:6:5432162:5433694:-1 gene:Solyc06g010240.2 transcript:Solyc06g010240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLVTTERMASETRSGRKNKDTKSNKSKNKQSDKGSLSSGSGKTDGSNVRRSSRETKQVASSPSSIRKSKRLEKQSPTPPIVKRRAALIKKPNSPSPLRRSDRGKKHTLSSSSRSNYVGIEFDSSSVKKEKKEKSVKELIMESERYNTSKENGESSVGLKRKRMDARSYKALFKMQRKRYTTG >Solyc09g058977.1.1 pep chromosome:SL3.0:9:52888247:52888660:-1 gene:Solyc09g058977.1 transcript:Solyc09g058977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNHVESLRVKSLLMMSGSCKKRVLNFFQTRDHKNWKIEKLLTSTLDNATTNDASITHLKGRISD >Solyc05g006570.1.1.1 pep chromosome:SL3.0:5:1207099:1208931:1 gene:Solyc05g006570.1 transcript:Solyc05g006570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSTNPIFFFTLFFLIFTLTPSFSIENDVKCLEGIKSAFSDPLNKLSSWSFSNTSVASICKLVGVSCWNEKENRLLSLQLPSMSLSGSLPSSLQFCSSLQSLDLSGNSFSGPIPVQICSWLPYLVNLDLSSNYFSGSIPPEFINCKFLNTLLLNDNKLTGSIPFEIGRLDRLKRFSASNNGLSGSIPDDLDRFSKDDFDGNDGLCGNPIGSKCSNLSNKNLVIIISAGVFGAAASLILGFGIWRWFLVQPSKKDREFGDGKGVGGGGINDYWIDKLRAYKLVQVTLFQKPINKIKLNDLLVATNSFASENIVVSIRTGVSYRAMLIDGSALAIKRLSSCKLSEKQFRSEMNRLGQLRHPNLVPLLGFCIVDTERLLVYKHMQNGSLNSILHGNLSTGSSELGWLARVRVAAGAARGLAWLHHGCQPPYVHQYLSSNVILVDDDYDARITDFGLARLIGSTDSNDSLFVNGDLGEFGYVPPEYSSTLVASMKGDVYSFGVVLLELVTGRKPVGAEEGFKGSLVDWVNQLSSSGHSKDAIDKSFAGSGRDDEILRVLQIACLCVVSRPKDRPSMYTVYQSLKSMVKDHCFSEHFDEFPINLTKENHDHKD >Solyc08g062090.1.1.1 pep chromosome:SL3.0:8:50431868:50432236:-1 gene:Solyc08g062090.1 transcript:Solyc08g062090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDDGSASRWFCQKCNRPITKTGCKDCECGCKTWVNDGTNEKWLIVDSPLASPSLNNKGPILLSAEGESEEDCQVVGRKRGVVELAESENHDKSKSKNEEESEDEESCEEVTDYEECKCS >Solyc07g066250.1.1.1 pep chromosome:SL3.0:7:67865221:67866507:1 gene:Solyc07g066250.1 transcript:Solyc07g066250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lateral suppressor protein [Source:UniProtKB/TrEMBL;Acc:Q9ZSP2] MLGSFGSSSSQSHPHHDEESSDHHQQRRFTATATTITTTTITTSPAIQIRQLLISCAELISQSDFSAAKRLLTILSTNSSPFGDSTERLVHQFTRALSLRLNRYISSTTNHFMTPVETTPTDSSSSSSLALIQSSYLSLNQVTPFIRFTQLTANQAILEAINGNHQAIHIVDFDINHGVQWPPLMQALADRYPAPTLRITGTGNDLDTLRRTGDRLAKFAHSLGLRFQFHPLYIANNNHDHDEDPSIISSIVLLPDETLAINCVFYLHRLLKDREKLRIFLHRVKSMNPKIVTIAEKEANHNHPLFLQRFIEALDYYTAVFDSLEATLPPGSRERMTVEQVWFGREIVDIVAMEGDKRKERHERFRSWEVMLRSCGFSNVALSPFALSQAKLLLRLHYPSEGYQLGVSSNSFFLGWQNQPLFSISSWR >Solyc07g015980.3.1 pep chromosome:SL3.0:7:6056556:6058403:-1 gene:Solyc07g015980.3 transcript:Solyc07g015980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKAAALLVVSPTYHGICSNLDEICHICHSYNIPVIVDEAHGAHLGFHPELPSSSLSQGADLAVQSTHKVLCSLTQSSMLHMQGNLVNRERISKSLQMLQSSSPSYLLLASLDAARAQLSENREAVFDKAMDLALEARSLISKIPGISIIEFPSFSSFFQIDPLRLTIGVWQLGLSGFEADDILCNDFGVVCELVGTKSFTLAFNLGTQRDHVLRLVAGLNHLSQTSHFPQPVKEEGKNVNHFVCLDDIRIRMSPREAFFATKRKVSIRDSLGEICGELVCPYPPGIPVLIPGEIITAEALNYLLEIRSKGAVITGAADFSLSSFVAAAVLGIVQVQKQYLSEL >Solyc03g093710.1.1.1 pep chromosome:SL3.0:3:56774568:56777084:-1 gene:Solyc03g093710.1 transcript:Solyc03g093710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKKKLHQMVDTKSPSTVKRLKVDATRNFPENCGPFVGENDGTGDKYPEFPSATKPVKVETTRNYPENCGPCVLQKKNGCDTQSSANVDIGSCSEVEMDVVELGDPLSVFVPKDMQFDLDATGVCEEEGGDSSHLNTSCQPVTNGNQVLTTKEVNLMYDDSTQLNEVLVNQILQKTSTDTGNTCDWFINGDPIENGPELPSEETNKGFQYKEVADDESTSRVDNSSCSQSNSQNSGLKTPSASKKGGKGEIVQEEAVKCPEPLHKCKVIFEHESVVRKKQIDIGVSPEDLRNSDVFCGASGNGLLMEHENIQKVKEVKETLKLFDDEYTKLLQEDKAKKHEGRSKRRIHIEAAMNLKKQKKWVNCEWTFGHVPGVQIGDQFRFRAELVAIGLHHQFIKGINYVTIGRKNVASSVVDSSRYDNEAISSETFIYVGQGGNPMVSLNGRVEDQKLEGGNLALKNSMDLGYPVRVICGRQRLNGEKSDTRYIYDGLYTVTKCWEERASTEKYIFKFELKRNLGQPKLNRELVSRPAKLVKVTHSCVNKSTKSVMQSEFVVDYDVSQGKEKIPIRVVNAIDDERLPPFTYITNMQYPDWYYISRPQGCNCTSGCSDSEQCSCASRNGGEIPFNTRGSIVRAQPLVYECGPSCKCPPSCKNRVSQHGPRYHLEVFKTESRGWGLRSRDHVSSGSFICEYVGELLDEKEAENRIDNDEYLFDIGNYDEEIPKRNVARNNNLKVDSNSSMRKDEDGFTLDAIRYGNVGRFINHSCSPNLYAQNVMYYHGDKKVPHIMFFASESIAPLKELTYHYNYHIDHVYDKNGDVKRKNCRCGSRKCEGRMY >Solyc01g110080.2.1 pep chromosome:SL3.0:1:96789596:96790886:-1 gene:Solyc01g110080.2 transcript:Solyc01g110080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B3M1] MGNAIKKFQEHELENQNLCVHPFCIDCITTYISVKLVDNIVEIPCPFPNCNHFLDPIGCRNLMDSDLFDKWSEKLCEYSVLGLTRCYCPYQNCSALILDECGGVATLSKCPNCKRLFCFRCKLPWHAGFQCEESGALRDENDFTFGMLAEREKWQRCPKCRSFVQLSEGCRYITCRCQANFCYKCGMLLDRSHGWCACYFPSNLSMFSRICLFVLIHLAACLFLFIYIEVFRLYKT >Solyc06g066650.3.1 pep chromosome:SL3.0:6:41995453:41998393:-1 gene:Solyc06g066650.3 transcript:Solyc06g066650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKEKFSKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEMKRLEPMPAERKAKWRKEIGWLLSVTDHIVEFVPCKQVSKAGTNMEVMVTKQRSDLQMNIPALRKLDAMLIDCLDGLNDQSEFSYVSKDDESQEEKNSRKDDKWWIPTPKVPPNGLSDPMRKWLQFQKDSVNQVHKASMAINAQVLSEMEIPESYIESLPKNGRASLGDSIYKSITDEYFDPDYFFTTMDMSSEHKILDLKDRIEASVVIWKRKMHAKDGKSSWGSTISFEKREMFEERAELILLILKQRFPGIPQSKLDISKIQYNKDVGQAILESYSRIIESRAFTIMSRIEDVLQADAMAQNPSNGEVKRPLSDKEEEGKLNSAENGASMTLLDFIGWTPDQEDNNPKKDLKEDPTYKDINAKIKCSPPSIVTNKRFSYLENLGMCRSPTARH >Solyc03g043890.3.1 pep chromosome:SL3.0:3:7587635:7590225:1 gene:Solyc03g043890.3 transcript:Solyc03g043890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:Q4LDM0] MKLLSDMASCNSHGQDSSYFLGWQEYEKNPYDEIQNPKGIIQMGLAENQLSFDLLESWLAQNPDAAGFKRNGESIFRELALFQDYHGLPDFKNALVQFMSEIRGNKVTFNPNKLVLTAGATSANETLMFCLANPGDAFLLPTPYYPGFDRDLKWRTGAEIVPIQCTSSNGFRITQSALEESYKLAKTRNLRVKGILVTNPSNPLGTTLTRNELELLVSFVAEKGIHLISDEIYSGTVFNSPKFVSVMEVLIENNYMYTEVWDRVHIVYSLSKDLGLPGFRIGAIYSNDAVIVSAATKMSSFGLISSQTQYLLSAMLTDKKFTKKYISENQKRLKKRHAMLVKGLESSGISCLESNAGLFCWVDMRHLLKTNTFEAEIELWKKIVYEVRLNISPGSSCHCTEPGWFRACFANMSEDTLNLAIQRIKSFVDSSDVIGINVDQSNQTNQNTSTSPKKKLFAKWGFRLSFNDRER >Solyc06g065420.2.1 pep chromosome:SL3.0:6:40952923:40955002:-1 gene:Solyc06g065420.2 transcript:Solyc06g065420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVMVPYLLALLFLPLCLMFILRKRDSSSKSLPPGTCGWPLLGENVEFALLGPQKFIKDRMEKYSAQVFQTSIMGEKMAFFCGAQGNKFLFSSENKLVTSWWPQSMKKALLFPEFVESSLKQVSALKRSFLHDILKPEALKQYIPLMDAMARQHLHQNWDSNRVVTVFPLSKKYTFDLACRLFMSLVDPEEIKRLADPFTLVTNGMFSMPIDLPGTAYHRAIKGGTMVRDELMRIITQRRKELTENQETSGRDLLSKMLLVTDEDGQFMSEMEISNNIIGMLVASFETTSSAVTSVLKYLAELPHVYDQVYREQMAIAKSKGAEDLLTWEDIEKMKYSWNAARESLRLTPPAQGSFRETITDFTYAGFTIPKGWKTFWSVHSTHKNPKYFSDPEKFDPSRFEGSGPAPFTFVPFGGGPRMCPGKEYARLEVLVFMHNVVKRFKLEKEIPDEKIVFHASPVPVYGLPVRLLPHGN >Solyc03g098560.2.1 pep chromosome:SL3.0:3:62318868:62320494:1 gene:Solyc03g098560.2 transcript:Solyc03g098560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGELNVWLEKFEERMFGELNVRLEKIEERMFGELNVRLDKFDEQILELKKCIKFSRDHDKGEKIIEAAMNPSSNSHENSSMHQLEQVKQRREPTLATYSRKRNKKKDVISLTEDASADAPIVGYVPTEKATIDVIEHNNVRISIVDDVATEEDMIAKDNNNSSIVDVVSTEETEMNMELAVSCEFCVTGYHKEMPLAVLMGKKRLHTISSMNH >Solyc03g113860.2.1 pep chromosome:SL3.0:3:65392993:65400520:-1 gene:Solyc03g113860.2 transcript:Solyc03g113860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCGGRRFNLLAPTISLSFGTFIKHLAASKSSSLSLTAKSFTASASSEVFSSSRFEALSSRQKEQVHLYIDSLLEWNQKMNLTAVKEESEVMERHVEDSLSIIEPIRTSYLSHCGPSSENLNLVDVGSGAGLPGVILAIASPGWKVTLLESMNKRCSFLEHVVSQIGLSNVQVKRERAEKLGQDVCFRESFDVAVARAVAEMRVLAEYCLPLVRTGGIFVAAKGHDPQEEVQRAERAIQLMGASLLQISCVDSHSKHGQRTAIICLKGKPTPKKYPRDPGTPAKIPL >Solyc11g011535.1.1 pep chromosome:SL3.0:11:4589826:4592524:1 gene:Solyc11g011535.1 transcript:Solyc11g011535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKLCYMDFLTGTYSVWVDCEDEEDDRTTPETQLIKLLLAKSRVLLRILIDTRYLPDKPLDTRSKIFAKVHCGDEE >Solyc09g075400.3.1 pep chromosome:SL3.0:9:67537449:67540277:-1 gene:Solyc09g075400.3 transcript:Solyc09g075400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDEYSKSNKKTYKLEENQRVMMKINTTKNVSGIGCVILLGGALITVAAMGSAFLISRKNKKSTKNVTKKEGNEKIEDESSNKGLHFILPEQQSSSNVTDKLCHNDSLNVIVSDHLKVEEKSPSFERLELSETKISLLSDPDQELYEVSRVKTDVIQGNEKIEVPSRVHQAHATIFSNPDSQILVDSDKGESNELQRTGVIKTNDFEEDLIQEQEKQPTGDQATSICNEHEPLNSAFKLMRAADEGQCANAETQIDQIAKKCDVQNQFSDESECANENDQATSANSHCITYSMDAQNLEASEIRKASVDDQNSAEVIKEANAMDSAVSEEQSLETEQLAEKIEPDCEGNEHKVENMKEGTKIEEDEQCIVENCNLESTQNDVAITSCQQNYDCIDGYRTKLMYLNDIAAITRRRRVLLGALLVLIWYLVLKRILSSVIGGFKILNDERVPNRR >Solyc01g110160.3.1 pep chromosome:SL3.0:1:96844868:96851067:-1 gene:Solyc01g110160.3 transcript:Solyc01g110160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKKKKVPKPSSKMATILSLNPLAIRRFSSNSQCYLHRTMRIGQAAIHFQKNYPVSFVGFLQFSKRRNFICAVSKDAEESFKKTVEVDRLIDTLREASDKELPQIVVENVLAFNESFWIRLAARADTCKSDDDKKDYEELALTVMNIVDRLVHKTNEKIEASTDVLKAILKPVIDEVEEISWPLRDPEAVSLMEKEINQREQEGQLDEGFLAEVNAQLRQATKDGDKPGLEAMLQKVLQLYASRVLSKRSYAKKGNEVLKAEQFLETIIKAPEEVWNKLLLDGMTLGKGDISPEELYAVIKKRMERTLIRTEGGSYQQRVLIEYLKGIESRAGEIVRVLQG >Solyc01g096660.3.1 pep chromosome:SL3.0:1:87572005:87575486:1 gene:Solyc01g096660.3 transcript:Solyc01g096660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAALSANSCTIASSSTGRLSFSTYQKDSKLRQRHSLVRFRVRASTDDSDCNAEECAPDKEVGKVSMEWVAMDNTKVVGTFPPRKPRGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGTSSDGAENTKAISAGIALISVAAASSILLQVGKNSPPPIQTVEYRGPSLSYYINKLKPAEIVQASITEAPTAPETEEVAITPEVESSAPEAPAPQVEVQSEAPQDTSSSSSNIS >Solyc06g034232.1.1 pep chromosome:SL3.0:6:24065862:24067939:-1 gene:Solyc06g034232.1 transcript:Solyc06g034232.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSKIMVEREGNDVVTQDCLDRTLVSPKWRENFEKANVVNVDTEASDHAALIISIESSPRRKKKRFNYDKRRCENKERRRTNSIKGFQLDNGEWVLDPNEGSHTNGNRHITDNVVLAHEFLHLLKNKRRGKEKFMVMKLDMSKAYDRVEWNFVKEMLFKMGFDQIFVAWIMECVTSTTYRFNINGEIAGEIKPTRGLRQGDPLSPYLFLICAEGLSTLLKKAKKKFRFEA >Solyc12g096290.2.1 pep chromosome:SL3.0:12:66256724:66261150:1 gene:Solyc12g096290.2 transcript:Solyc12g096290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKEITRDARIIVGNISWCSWNNGKVVTMTAKTRRRTATENGDTTTAEDSVLVTMISNGEDLGPMVRLAFESGKPDALLQQLKNVVKKKEVEIEELCKLHYEEFIIAVDELRGVLVDAEELKAELQTDNLKLQDVGSVLLLKLEELLETFSIKKNVTEAIKMSGNCVQVLELCAKCNNHVSEGRFYPAIKAIDLIEKSYLQNIPVKPLRTMIEKRIPLIKLHIEKRVTSEINEWLVHIRSTAKDIGQTAIGYAASARQRDEDMLARQRKAEEQSCLGLGDFTYTLDVEEINEESVLKFDLTPLYRACHIHGCMGIQEQFREYYYKNRLLQLSSDLQISLSQPFIESHQIFLAQIAGYFIVEDRVLRTAGGLLLPNQVETMWETAVGKVTALLEEQFSHMDSASHLLMVKDYVTLLGSTLRQYGYEVSAILGTLNSSREKYHELLLAECRQQITAIVTNDTFEQMVMKRESDYQANVLLFHLQTSDIMPAFPFISPFSSMVPECCRIVKSFIKDSVNYLSYGSQMNFFDFVKKYLDKLLIDVLNEVLLETIYSGTTGVSQAMQIAANIAVFERACDFFLQHAAQQCGIPVRLVERPQGSLTAKIVLKTSRDAAYIALLSLVNAKLDEFMSLTENVHWTAEDAPQQGNECMNEVVIYLDTLLSTAQQILPLDALYKVGIGALEHISNSIVSTFLSDSIKRFNVNAVMSINHDLKALESFADERFDSTGLSEVYKDDSFRSCLVEVRQLINLLLSSQPENFMNPVIREKNYNALDHKKVSTICDKYKDSADGLFGSLSSRNTKQSARKKSMDILKKRLRDFN >Solyc05g016723.1.1 pep chromosome:SL3.0:5:18076902:18084865:1 gene:Solyc05g016723.1 transcript:Solyc05g016723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITHPDIQFAVTRVAQRMHQPSEHDYHCLKCILRCIFGTLGRGLLVRPGDLELRGFSDSDWANDKNDRKSTSGFLVFLGANLIYWYTKKQPKVSRSSTEAEYRALALLAAETTMDELWKAPMHVALAMKSTYTPDKHQKILKFLNEEEKNEEMANMAGFKRVKIFFGTEFCRSEQAIVMSQRKYALELISEDGLSGGRPSATPLECNIKLTSVEFIQDTVDEFFTDVNKYQRLIGKLLYLINTWTDIAFSSIYAEANTFSLECNIKSGKAQLTGFCDADWVACPNSRRPGTCYLLKYGKSLIVWKSKKKNTVSRSLQKQNTEV >Solyc11g069490.2.1 pep chromosome:SL3.0:11:54378836:54390760:1 gene:Solyc11g069490.2 transcript:Solyc11g069490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLPVLSSCSPVEAVLDKENFTLEELLDEEEIIQECKALNSRLINFLRERTQVEQLLRYIVEEPSEDADSKRTFKFPFIACEIFTCEIDVILKTLVDDEELMNLLFSFLEPSRPHSALLAGYFSKVVICLMVRKTAALMNYVQAHHDVFQQMVDLIGITSIMEVLVRLVGADDHMYPTTMDVMQWLTESNLLEMIVDKLSPSCSPEVHANAAESLCAITRNTPSPLATKLSSPSFVARIFGHALEDSPSKSSLVHSLSVCISLLDPKRSIPSSMMYSFRNQQIYESPVHVNPDTIDAMLPKLSGLLELLNVSSDEKVLPTTYGELRPPLGKHRLKVVEFISVLLKTGNEVAERELISSGTIERVLNLFFEYPYNNALHHHVESIIYSCLESKTNTIVDHLFEECNLIGKIIQTDKQPTVSGDGNQPTLPATGKQAPRVGNIGHITRISNKLIQLGNNDNCIRAHLEKNMEFSDWHTTVLQERNTLENVYRWACGRPTALHDRTRESDEEDVHDRDYDVAALANNLSQAFRYTIYDNDDAEEGRGALDRDDEDVYFDDESAEVVISSLRLADDQGSSLFTNSNWFAFQDDRIGDASMSTSPADVMEDINLNGMSNSSNSNSDDEVVVGEEDELAESKNSNTIPNSSSNPFNGFSIAHSGNDGDFNQQNEKAGSTTDMTFETSGSDDPFGDRSMPEWVAWGDASNFQVGGSTVNPFLDHSNSADHLANPGETGTTPLNSTSCSGESIPNGVSSPDSSKSSGSDSSQKATTVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKRSIVPKVPEKESTDDGGAGTKEYNDANYWRVDQEVAVSE >Solyc01g096580.3.1 pep chromosome:SL3.0:1:87523105:87529194:-1 gene:Solyc01g096580.3 transcript:Solyc01g096580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAMKPTKPGLEEPAEMVHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIAES >Solyc08g079120.2.1 pep chromosome:SL3.0:8:62882521:62886852:-1 gene:Solyc08g079120.2 transcript:Solyc08g079120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAHVPPGFRFHPTDEELVDYYLRKKIASKRIDLDVIKEIDLYKIEPWDLQDKKYPTGTRTNRATKAGFWKATGRDKAIYRKQCLVGMRKTLVFYKGRAPNGQKSDYIMHEYRLETNENANTIQASLLNLKIIPDELSEEGWAVCRVFKKKLATSIRREGDQLYQHESLCWYNDDQLVSNFTSNFDSPPRNNFPNPYNVTPSYHHQLPYNNMHQNPHNNDALFLQLPQLDTQQDGYNGACSSSTASEKMNMQLQSDTKGQEMSSEIASLSASSSQFDNIWK >Solyc03g114800.1.1.1 pep chromosome:SL3.0:3:66171281:66172231:-1 gene:Solyc03g114800.1 transcript:Solyc03g114800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BKY2] MASLSSIPLLTTGVTKEQIILSELPKERGWLSEHIHQYKGFWYATQVVQGLLALEQQHFKPKPNTVLLASFPKSGTTWLKALLFAIKNRGEIDFNTHPLLSSNPHELVPFLEAYAFNHPTNPTPNTCLMHSHLAYNSLSELADCKIVYVFRDPKDVLTSCWHFIQKLRSKELPFISLPEAFDQFTKGYSPFGPFWDHVMGYYKASLEFPKKVCFLKYEELKKDPIFNAKKLAEFLEQPFSLEEENEGIVERITELCSFEKLSNLEVNKEGSHTGFFTPTVSNNIFFRQGKVGDSKNHLSEEMIEVLDEITKKKIGF >Solyc01g095610.3.1 pep chromosome:SL3.0:1:86696293:86699588:1 gene:Solyc01g095610.3 transcript:Solyc01g095610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFNGTNVIKKQFSLIFVKFTVFVLLLGLAYRLILSNFEQFSQIEVKNTALFSDNTLPQAPVTVSEPPVTVNEPLVTVDEPTVTVSESLVTIDLPENQTLRNGTCDLFIGNWVYDPSGPVYTNATCYSIESHQNCMKNGRPDTEYLNWRWNPTDCELPRFSRKKFLNLMRNKSFTFIGDSIMRNHVQSLLCILSQEEEADDVYHDEQYKSRRWYFPLHDFNLSVIWSPFLAKSTVFEDDNGASTDITQLHLDKLDDVWTQQFDNFDYVFVAGGKWYLKSTIYLENDTIVGCHNCPGKNITQVGFEYAYRKALNTTFKFIMNSKNKAYTFFRTTTPDHFENGEWNSGGYCNRTGPFKEGEIDIGYVDEVMRKVELEEFKRASGLGLKVKLFDTTLLSLLRPDGHPGVYRQYQPFAVENKKKKIQNDCLHWCLPGPIDSWNDILIQML >Solyc06g082440.1.1.1 pep chromosome:SL3.0:6:48296596:48297855:-1 gene:Solyc06g082440.1 transcript:Solyc06g082440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CAA3] MPEKPIFGKYELGKLLGCGAFAKVYHAREISNGKSVAIKIINKSNILNKDGILNNRIEREVCIMRQLQHPYIVRLYEVLATKTKIYFVMEYVKGGELFNQISSKSRFTEDLSRKCFQQLISAVNYCHSRGIYHRDLKPENVLIDENGDLKVSDFGLSATTDQIQSFDGLLHTVCGSPAYVAPEVLTIKGYDGAKTDIWSCGIMLFVMIAGYFPFYDQNLMLMYKKIYKGEFRCPKWISPDGKRILSRLLDVNPATRITIEEIIRDPWFRKGLKFIKFSEEEENSKINSLTNSLNAFDIISFSQGLDISGLFKSNNPVDDLERIVVEESPESVIERIEEVGKKENFRMKKKKDWGIDMKVQNGKLTMNLNLNVYRLIERLTVVEIQKIDGNDDLYKDVWRNKLKPVILSQRGTELHISDS >Solyc08g061277.1.1 pep chromosome:SL3.0:8:48561404:48566178:1 gene:Solyc08g061277.1 transcript:Solyc08g061277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHDIEAFMAKVCGSGAWQKCPMGRHKGRDPMLRFDNLGRTMMDKSEKAPSLARNELQMSHFCGRVNNVSDRDQTRFAFVNWSKKVGLLKFKNIE >Solyc02g093740.3.1 pep chromosome:SL3.0:2:55106151:55111924:-1 gene:Solyc02g093740.3 transcript:Solyc02g093740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDRVGLKRGPWTIEEDHKLVHFILNNGIQCWRTVPKLAGLQRCGKSCRLRWINYLRPDLKRGALSEAEEDQIIELHARLGNRWSKIASYFPGRTDNEIKNHWNTRIKKRLQVMGIDPLTHQPIDKEHETDELLPITETYYDKRNETENNIENANDISLPNNRLSENINGELWNKSFKTISTCYSPSISLESINFSTTSTATESSSNVAAAPAEEDDSVQQWMDSIFSFDPIQLVDVRILLTDIAPVGRFDLRKMNVIRRGVRSIYTTVDSPRLTRFALQPPKFVEVEFENGSLYKLSAEYLRIYSPAVDSKIRSICGEKVISGRRHVGIMSAEPIGNYGVRLLFDDLHKTGIFTWDYFYHLGSNKFALMRNYIRTLKKHGLSRDPPRRR >Solyc12g049425.1.1 pep chromosome:SL3.0:12:61975903:61984146:-1 gene:Solyc12g049425.1 transcript:Solyc12g049425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHTLGSGLSCAGLRNKTFVQEQSMDIDYFTYYSHTVPDSRRQKFMVELQKLVANWPLESDKRMRGRKKTLHPLLKPVFFTLLHQTLDYKKSACIFLDLFLESRINRENGSDVADRDGNPEAVGRFCLKSQIPLSSQFKIYFALDNMDIPAIKVEVMSRFQSMRRLYRVLPLQLRDLIYKQRAHSQGVSSQHQECLLHFQVKHLESSLLTGKDHRKDHTIGVDESHLQKNELDSRIEICISNLLRILFQLDLPKFLHVKGHSSVNKSITISPKLVTIMTDIAKLRKNSKFLVNTEKRDE >Solyc09g059840.1.1.1 pep chromosome:SL3.0:9:56399434:56399979:1 gene:Solyc09g059840.1 transcript:Solyc09g059840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISDCPIFVFTTLSSIKKLQIWGEADAKGFSSISNLRTLLSLKIFSNHTAISLLQEMFKSFENLKYLSVFYLENLKELPISLASFNSLKCLDIRYCYSLESLPDEGLEGLTSLTELFVEHYKMLKCLSEGLQHLIDLRSLRVTGCPEVAKRCEGNWRGLTRNCSHTKCVYWLVFIYFFSL >Solyc02g071597.1.1 pep chromosome:SL3.0:2:41569624:41573775:-1 gene:Solyc02g071597.1 transcript:Solyc02g071597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIEDTHISQNNLILQTSGIKNSYDSTVPSRLERLLRERELRKSSKSQNANEGVRDGNRDSNVFGNGNEFCLSDGDRRVREGELIEGFAGSSLANGFERQDGRLQRQRLLVVANRLPVSAVRKGEDSWNLEVSVGGLVSALLGVNEFEANWIGWAGVNVTDEIGQRSLTEALAEKRCIPVFLDQELVHQYYNGYCNNILWPLFHYLPLPQEDRLATTRSFQSQFAAYKEANQMFADVVNKHYQEGDIVWCHDYHLMFLPKCLKEYNSEMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGMLPHL >Solyc11g028310.2.1 pep chromosome:SL3.0:11:21217691:21249505:1 gene:Solyc11g028310.2 transcript:Solyc11g028310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGMFLTFIYMKKEKTKTDDMVLKSKLSFKGTEQRAGEEMKAYMMILRHPRLVRLPKEAWVLEIQAKNVALRKKVKDDPAATKAAKGREALYYRVTCKDNGRGMPHDDIPNMFVRGVQDRC >Solyc04g051376.1.1 pep chromosome:SL3.0:4:50389454:50390145:-1 gene:Solyc04g051376.1 transcript:Solyc04g051376.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILVPVVLFYAFVARNPVVFILLLSTSAKDPDIVIIILQRKFEGMIPQLALKLAVDCLASMKSL >Solyc11g005290.2.1 pep chromosome:SL3.0:11:227134:246379:1 gene:Solyc11g005290.2 transcript:Solyc11g005290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSRRLLREATMSPPPAARISHDTPLGHRVNTIDANVIMVLAVLVCALICSLVLNSIIKCAFKCSSLILADPSSNHTSNNNSSSTKLVNRGIKKKALKTFPVITYSTTEPKHPGLDSECVICLSEFGVGDKIKVLPKCNHGFHVRCIDKWLNSHSSCPTCRHSLIDTCEKIVNGGNSSTTNNNIREAAVQQVIVRIEPLQREEFMENFHHSRRLLWEVAAVVPPPMPAGITSPETIDDLQIGKNVNTFDANVIMVLAVFVSAIICSLVLNSIIKCFFRCSTLVLIDSYSNHTNPSSTNKGIKKKALKTFPIVRYTTELKHPGLDSECVICLSEFIVGDKVKVLPKCNHGFHVKCIDKWLNSHSSCPTCRHCLIETCQKIVNGDNFVTTNAISSSTVEEIVTRIEPLEREEFMENFHYSRRLLPESTMAPPPAAGISHSTTNHQPFGHKVNTLDANVIMVLAVLVCALICSFILNFIIKCVCRCTNLILVDSSSNHTNNNPSSTKLVNRGIEKKALKTFPVITYSTTEFKYPGLDSECVICLSEFGIGEKIKVLPKCNHGFHVKCIDKWLNSHSSCPTCRHCLIETCQKIVPISSALVQEVVIRIEPLQQFMENFHYSRRLLREATMAPPPAAGISHDTTNDPPPLGHKVNTFDENVIMVLAVLVCAIICSLVLNFIIKCAFNCSTLILTNSSSSNHTNNNPSSTKLANRGIAKRALKTFPIITYSTTELKHPRLDSECVICLSEFGVGDKIKVLPKCNHGFHVRCIDKWLNSHSSCPTCRHCLIETCHPIQQVIIRIETLQREDV >Solyc11g072520.2.1 pep chromosome:SL3.0:11:56003540:56009792:1 gene:Solyc11g072520.2 transcript:Solyc11g072520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIVRSPKLSLSLSTPESTTSNLPSLNQSFFTPLPKRRRCISIYACSAGDQSNSAFGGEIKKGQAIELNKVNDENPYEFNAKDSPNPLPRPLTSADLNNMASQGSRLRVAYQGVRGAYSESAAEKAYPNCEAVPCEQFDTAFEAVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKLAVRHCLLANNGVKIEDLKRVLSHPQALAQCENTLTKLGLVREAVDDTAGAAKYIAFSKLKDAGAVASLAASRIYGLNVLAQDIQDDSDNVTRFLMLAREPIIPRTDKPFKTSVVFSLDEGPGVLFKALAVFAMRNINLTKIESRPLQKQALKVLEDSADGFPKYFPYLFYVDFEASMADQRAQNALGHLKEGGEFATFLRVLGSYPSDSGIA >Solyc08g061220.3.1 pep chromosome:SL3.0:8:48270071:48279311:-1 gene:Solyc08g061220.3 transcript:Solyc08g061220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVVFENMGSLCSRNKHYSQADDEENTQTAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQTGFDEEELKNYIPVIHANVYQTIKILHDGSKELAQNELEASKYLLSAENKEIGEKLSEIGGRLDYPHLTKDLVQDIEALWKDPAIQETLLRGNELQVPDCAHYFMENLERFSDVHYIPTKEDVLFARIRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDERKNRMMETKELFEWVLKQPCFEKTSFMLFLNKFDIFEQKVPKVPLNACEWFKDYQSVSTGKQEIEHAYEFVKKKFEESYFQCTAPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Solyc10g080610.1.1.1 pep chromosome:SL3.0:10:61968404:61969438:1 gene:Solyc10g080610.1 transcript:Solyc10g080610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNKFTELLPGLPEDIALECLTRLHYSTHGVASRVCRRWCRILQSKAFYYHRKQTGFTHKTACLVQALPSPVESKPTGQPRFAISVFDLVSGIWDRVDPIPKYPDGLPMFCQIATTEGKLIVMGGWNPSSWDPIKDVFVYDFMTRRWNQCMDMPEARSFFAMGATGGRVFIAGGHDESKNALSSAWVFDISSNEWTELPRMSEERDECEGVIIGSDFCVVSGYDTENQGRFKSSAELYELSTAQWRRVEDAWGSSQCPRACVGVGKNGNLTCWAESDPNVKVGACGVDLGYRTLVTGSAYQGAPHGFFFVENNKKQGQNSKLTKINVPDEFSGFVQSGCCVEI >Solyc01g006610.2.1 pep chromosome:SL3.0:1:1209394:1212551:-1 gene:Solyc01g006610.2 transcript:Solyc01g006610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVKIPTIDFSNEELKPNTPLWESTKIQLFEALQEYGCIEAILYDKNLNEIREGLFDFSKKLFEFPLETKMKNISEVQYHIGYIGQIPHLPSYESLGIPDFLAPQSVENFANIFWPHGNHEFCNLVKSYASSLLKLDQIIKRMILENLGLEKHINELLDNFALFRFSHYKGSLSINKDENDKYDGLSAHTDNNFLTFIAQNQVNGLQINKNGEWINATISPNSFVVLSGDSFKAWTNGRLHSPLHRVEMPKEGDRLSLQFNTLSKPGHFIEAPKELVDEKHPLLFKPYEMLGLLNYVASNAGTPNAFQAYCGV >Solyc03g116260.3.1 pep chromosome:SL3.0:3:67247654:67249317:1 gene:Solyc03g116260.3 transcript:Solyc03g116260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDIPTIDVSPFITSEENEEGKKKAIEQMREACVNYGFFQIANHGIPLDLLSRIMDMYKTFFACSDEEKLSVPSDNYFKSTKKSAGTYEQLLFHLSSSGFNVCPENPPRFKQVLEEMASHFTNLGFVLGRIISECLGIPPNFLANYRNDQAKDFLLGIHYSPATEAENVGKSAHKDPGCITILYQPEVGGLQVQKDEQWIPIAPSKDKLVVNIGDVIQVLSNNKFKSATHRVIRPRETNRYSCAFFYNVQGDKWVEPLPQCTKEIGESPKYRGFIFKEYVQRRRRDETHPPARPEDLFNISHYSIST >Solyc09g082980.3.1 pep chromosome:SL3.0:9:69098887:69101164:1 gene:Solyc09g082980.3 transcript:Solyc09g082980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTKIQPIDSLLDRESIQSDTTKPVLKSRIKRFFDRPFPSVLRISSGTEKPNAAGASNELANGKDGAVPEFEPSSLCLAKMVQNFIEENNEKPSPAKCGRNRCNCFNGTNNDSSDDEFDFADSAHSSFNDSSDALKSLIPCATVVERNLLADISQIVEKNKACKSKNDLRKIVTDELLKLGYNASICKSKWEKAPSVPAGEYEYIDVIEKGERVLIDVDFRSEFEVARSTSSYKAVLQLLPFIFVGNSDRLLQIVSIASEAARQSLKKKGMHIAPWRKAEYIKAKWLSPHIRTGEATVKSEVKEKKLVEEVESKQLSDSEFGELELIFGGQSSYDSNSFTLLSSSSPPPVKFSGSEEEKPVQPVMMTWEPPALKPKNCERGNKVIVPGLASLLREKP >Solyc06g071840.2.1 pep chromosome:SL3.0:6:44395264:44400104:1 gene:Solyc06g071840.2 transcript:Solyc06g071840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHDKVMLLGHSDSHVQDKNMQVTIAFNHFGEGLVQRIPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFLASDIRFSKEVTKHENAPESEWKNWNWRSDGDLMLNGAFFVKSGAGASSNYAKASSLSAKSSSLISSLVSGAGALSCWKGSRC >Solyc05g016727.1.1 pep chromosome:SL3.0:5:18112762:18113043:-1 gene:Solyc05g016727.1 transcript:Solyc05g016727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNISIVEPPSCKPRSDDTYEEGKLQDSILVWWKNRESQFSTLYKIVPDELAIQASLVGSEKEFSAT >Solyc12g021150.2.1 pep chromosome:SL3.0:12:14392319:14393351:-1 gene:Solyc12g021150.2 transcript:Solyc12g021150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLKIMTSPPKYLQKDNLSEGCKKLLSFLPKEKEWVGPYIYNYQGFWLPPNFLQRVISFQQQFQAQDSDIILVTIPKSGTTWLKSLLFALVNRVKHPIFESNHPLLVKNPHVLVPFLENTLYIDGPVPNFLTFTSPKLLATHVPFTSLPTAVQDSKIKLVCLCRNPRDTFISMWHFANNLIVHQEDTKSIEEMFDLFCEGRKTSKSNFLMYEEIKKKPKIELKRLTEFLECPFSIEEENSGVVDEILKMCSFENLRNLEVNSNGKLPTGEEYKFFFRREEVGD >Solyc08g077460.3.1 pep chromosome:SL3.0:8:61500002:61503231:-1 gene:Solyc08g077460.3 transcript:Solyc08g077460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVLFLNRNVQEGKTPLGNDYSLQSQPGGGAGSFLDQTQMLFNPGVGANSRKRGRELTSTTAAMNPLMSMQSQPQPQLIDLTQLHTSPTSQQQPHNLVSTGLRLAFGDQHQHQHQQHQLQQQQQQQQHHHHHSLSPQSSQSSAFYSILTEDLATHIKQQRDEIDHLLLIQGEQLRRTLAEKRQRHYRALIGAAEESMARRLREKEAEMEKAARRNAELEARVAQLSAEAQAWQARARAQEVTAATLQAQLQHAMINGGGCNEINDGNGGEPEDAESAYIDPDRVVESTGGPSCKACRKRVASVVVLPCRHLCMCTECDAVAQACPLCFAIRSSSVEVFLC >Solyc02g021390.1.1.1 pep chromosome:SL3.0:2:23093860:23094048:1 gene:Solyc02g021390.1 transcript:Solyc02g021390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSFTNMQARKMLFAYILSICASSSKLISFYNEEMIVISIYCRLYHIHSKEFRQYFQSYSR >Solyc03g063770.2.1 pep chromosome:SL3.0:3:38368977:38370224:1 gene:Solyc03g063770.2 transcript:Solyc03g063770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSLQNVYELALLPPPYKFCDDREKQNEISIWPKNNQGYGYHDRSPSIKKNIFKSLHVSGAFKHSKYPGIENDIAFLIENDDSFRKTKLFFFFPKKSRSDRPTCHLLKRTLPAVRPSLNYSVMQYLLNTKKKIHYDPVVVLKKFVALSNTFLHRFFLESSTSEKKYLAEAKTRVTHFIRQVNDLRFTGITKTTISLFPFFGTTYFFQGWGWGCPGTTPRSIKEKMLEPHGRIDKGNKDDDRDHTEKQKNFVLSSSGGYSICNEKGVEGIRICFSGGLEGLKIARTEYGKYGKTSHNVFNQKIDYNHVEVSTC >Solyc10g055355.1.1 pep chromosome:SL3.0:10:56555337:56556872:-1 gene:Solyc10g055355.1 transcript:Solyc10g055355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSTKSGRSAKPPLWLKDFVQPLKSKNVANSCFYPAENNMVIVLVYVDDLLITGTGIQLIQDTKLMLHSRFKIKDLGELRFFLGIEFARNKEGSVMNQRKLRSVKFTTCGSLVELNHKLTQIEFDEHVGSSTDRLVRRLLYLIVTRPNISFAVQNISQFMHQPKQSHMQATIRVVRYIKHSPGLGIFLLAKASSNLQAFCDVDWASCLLLGDQLLFGDSLISSKAKKQPTISRSSAEAEYRSIASTVVEIVWI >Solyc10g080530.2.1 pep chromosome:SL3.0:10:61899524:61902087:-1 gene:Solyc10g080530.2 transcript:Solyc10g080530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKRFPPPSDPQLNTKNESLPSDLPNLSLPQPSDQPATVIGAKTTTSWVLFGGNNNNPPKIEKKDEEYEDGKLWREKKESIDSNIDNDNKKALSFLSTTQIGSLLHQPSSSSDLDGRWCEEDHKVIPLKKRSRDNYHHHHHHDTSNINTMKSKTNKKCPQENGNEGEQEHEEHYQRINSDHKVEHVNKKNKRGSVILEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMNSTTVRNSMNNKKKKKKGEEKDKESYALSNDHEYYDVDDDNDDDDDKKKTKKKKVKKLGMVKARSLSSLLGQTDNSVAMMIIDSNNNNNG >Solyc11g010730.2.1 pep chromosome:SL3.0:11:3769440:3777804:-1 gene:Solyc11g010730.2 transcript:Solyc11g010730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESVVQFPKNGGKEEIKSQEVEKEQEEVEITISSGEKNEKKENNEQLSPRAVLEISISGTSDSDNSSISSGERSRSFGSSPSPVGEKSSVSDGSGSGGGGGGGEETGQGLRFKNLFDQMKRKSIRRLSAIPLLVGYENLLAKKNIKRKLLSRIRSAEEETIDCHDFVVPKPSWRNFSLDELAQATDNFSPDNLIGKGGHAEVYKGHLQDGQVVAVKKITKKEKNDEDRVGDFLSELGIIAHINNTNAAKLIGFSVDGGLHLVLQYLHHGSLASVLHGREECLEWKIRYKVAVGVAEGLRYLHCDCQRRIIHRDITASNILLTEDYEPQISDFGLAKWLPEKWVHHIVSPIEGTFGYMAPEYFMHGIVHEKTDVFAFGVLLLELITGRRAVDSSRQSLVMSAKPLLEQNNVKELADPRLGDAYDVVEMKRAMFTASTCIHHLPNMRPNMIRAVQLLKGENLPIDMKQKSTGGRALMLDACDLEDYSSTTYLKDLNRHMQLVME >Solyc12g017915.1.1 pep chromosome:SL3.0:12:7764316:7765163:-1 gene:Solyc12g017915.1 transcript:Solyc12g017915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLSNPRGGKTDPDPNPLWHHISEFISIAGRRSPTSKSVKLSNIEYWWCLFVESELLL >Solyc12g098690.2.1 pep chromosome:SL3.0:12:67094875:67099918:1 gene:Solyc12g098690.2 transcript:Solyc12g098690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQRAERLGYMNLDEEMADTMDEFEEVFYNEDPNLDDFEMVTEGTDTSAAQARKGKDIQGIPWERLNITRQSYRLTRLEQYRNYENIPLSGDAVDKECKQVKKGGNFYDFFYNTRLVKPTILHFQLRNLVWATSKHDVYLISNYSLMHWSSISNNLTEVLDFSGHIAPSEKHAGSLLEGLTQTQISTMAVKNRFVVAGGFQGELVCKCLDKPGISFCTRTTYEENAITNAVEIYDSLSGGLHFMAANNDCGVREYDMEKFQLMNYFRFPWPVNHTSMSPDSKILTVVGDDLTGLLVDSQNGKTIGSIVGHLDYSFASAWHPDGRTFATGNQDKTCRIWDMRNLSSPTAVLKGNMGAVRSIRYSSDGRFLVVAEPADFVHIYNAGGEYRKRQEIDMFGEISGVSLSPDDESLYIGIWDRTYASLLQYNRRRSSVYVDLFT >Solyc02g084630.3.1 pep chromosome:SL3.0:2:48350795:48354660:-1 gene:Solyc02g084630.3 transcript:Solyc02g084630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKKIENSTNRQVTYSKRRNGIFKKAKELTVLCDAKISLIMLSSTRKYHEYTSPNTTTKKMIDQYQSALGVDIWSIHYEKMQENLKRLKEINNKLRREIRQRTGEDMSGLNLQELCHLQENITESVAEIRERKYHVIKNQTDTCKKKARNLEEQNGNLVLDLEAKCEDPKYGVVENEGHYHSAVAFANGVHNLYAFRLQPLHPNLQNEGGFGSRDLRLS >Solyc04g072740.3.1 pep chromosome:SL3.0:4:59794667:59798732:-1 gene:Solyc04g072740.3 transcript:Solyc04g072740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLANESFSIELQQLDAATDTARNQRTQWLLASPNPPNFFHQLINSVKKNVDRTTKRSTNGVFFSFLKGLFPILSWGRNYKCTMFKHDIMAGLTLASLCIPQSIGYANLAKLDPQYGLYASVVPPLIYAVMGSSREIAIGPVAVVSLLISALISKIIDPAVDPIAYRNLVFTATFFTGAFQAVFGLFRLGFLVDFLSHPAIVGFMGGAAIVIGLQQLKGLLGINHFTTKTDVVSVLEAVYKSLHNEPWFPLNFVLGVSFLFFILMTRFIGKRNKKLFWLPAMAPLLSVVLSTLIVYLTKADQHGVNIVKHFKGGVNPSSVHQLQFNSPHIGEIAKIGLTCAIVALTEAIAVGRSFASIRGYHLDGNKEMVAIGCMNLVGSLTSCYTATGSFSRTAVNYSAGCETVVSNIVMAITVLISLELLTKLLYYTPLAILASIIISALPGLIDITEAFHIWKVDKTDFIICIAAFLGVLFGSVEIGLIIAVGISFGKIILGTIRPSVELQGRLPGTDTFCDITQFPVATETQGVLVIRVNNASLCFANANFIRGRILSIVTNRSEEQSKGKLRILVLDMSSVMSIDTSGIVALEELNRELVSQGIQLAIANPRWEVMNKLKVAKFVDELGNRWIFLSVGDAVDACLNAKMGDLSTINC >Solyc01g106253.1.1 pep chromosome:SL3.0:1:94089694:94105254:1 gene:Solyc01g106253.1 transcript:Solyc01g106253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMETVGSEQSREKMKKDKKKRKAETEGPDTPSTSHISSNPMEKKKQKRAVDKERRRVETEKKTEAQQVVVSSELKSNKSAVISPTTSGLPEFHIAVFKDLAAADASIREAAANSLVAELIEVQKAYDILENKEVVEGQLKLEAEKDDGLNNCAPSLRYAVRRLIRGISSSRECARQGFALGMTVLVGAVPCIKVDALLKLIVELLEISSSMKGQDMKDCLLGRLFAYGSIARSGRLTLEWTADKNTPYIKEFVGSLVWLAKKKLYLQEPAVSIILELVDKLPVEVSLNHVLEAPGLKEWFESATEVGNPDALLLALAIREKTGVDNKDFGKLLPFPYSPSRLFSVEHLSLLSNCLKESHFCLPRTHSVWYSLVNILLPENVQQDFDPSAALNSTRKHKKGRKGSSAEEDIEKNLKNFCEVIIEGSLLPSSHNCKNLAFNVLLLLLPKLPTSCIYNVLSYKVVQCLKDILSAKDTNLFKASQYFLREFSEWVKHDDVRRVAVIMALQKHSNGKFDCFTRSKTVKELMAEFKTESGCMLLIQNLVDMFLDEARASEETSDQSQTTDDNSEIGSLEDKDSVGTVGTPDFLKGWVVESLPNSLKHLSLDTNARFRVQREILKFLAVQGLFSSTLGTEVTSFELEEKFRWPKSAISSALCRMCIEQLQLLLSNAQKGEGPQVVPSGLEANDLGAYFMRFLTTLRNIPSVSLFRSLGDDDEKAIKKLQAMESQLSRQERSLGPGIAKNKLHSMRYLLIQLLLQVLLRPQEFSEAASELVICCTKAFRSSDLLASSGDDEAEGDDSPEFMDVLVDTMLSLLPQSSAPMRTAIEQVFKCFCEDVTDDGLHRMLRVIKKDLKPARHQETDSENEDDDDDDVLDIEEAEESDEAEMDETAERHAHVDDSETVVGVEGVTSELPVASDDDSDEGLDDDAMFRLDTHLAKMYNAKKNQAGSETAHSQLALFKLRVLSLLEIYLHENPEKPKVVKIFSSLAHAFVNPHTTEGNEQLGQRIWGILQKKIFKAKDYPKGEVIEFPVLKSLLERNLVLAAKHFKKKKSASSLSKKKLSAALNRFKMINSLAQSSIFWILKIIDTKKRPKSELEEVSCIFREKLEGYLDSKSTRMKCEFLKEVFKRRPRIGYPLFGFLLEKCASAKLQFRQIEALELVIEMLKSFVSSNPDDNSHFAELGSHLAKSGCLVNVLLKNMPDKASRRADVRKFFGKVIQVLTDVELRALFLKALEPDCEAQLKGMFPVLNQ >Solyc01g060160.1.1.1 pep chromosome:SL3.0:1:69634291:69635304:1 gene:Solyc01g060160.1 transcript:Solyc01g060160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISVISVNGNDRSVIILPKNSFNEEWGELSLKIHNLISQKSSLQEANTEKGGLSSLGLRGEDSYKEILQKKKWCNGDQSQTSASSPGSDRGPLSRSLVGRFPNCDRIPTRAEVRNWAQQTWRGIHNLQIYDMNGTHFLFEFHSRRDAEHILLGDWRRRNYPLLLEWWKPTAGAFHADTIFDWFWVRILGLPLQLWNDQVMKQNDLCGGWLDMEEKTQLKNHLRWARLRVMGPREKITSSIEISDDNLIFTLPIWIEVPERYRKKEEDGLGDREVNKMKEKRKAIASPSLYQIATEERFRDKISNVYPGDIGKTTVPSTHGYCKARDFMRGGGPRRC >Solyc03g096180.3.1 pep chromosome:SL3.0:3:59490642:59493329:1 gene:Solyc03g096180.3 transcript:Solyc03g096180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYDMLLSCFRLLEPPDTPLFPSLEMESRKTMMSQLGTSKAHPTALTSRLTNSLQEATSRSNLASRQLASSAGSNTSKF >Solyc09g015240.2.1 pep chromosome:SL3.0:9:8248256:8264383:1 gene:Solyc09g015240.2 transcript:Solyc09g015240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNYVMMVGLTTLICFSLCFGDDVMLHTHNNLMTKPNRDESGGNVSIPFPFGMTRDCTLHDQFLVTCNYSFPNPQPFLGNSGIEITSISLSGQLKVLQFISRDCYRDGISTNRTKPMIALPSFLTVNSTANSFIAVGCDTYATIHGCISMCYSIDDAYDDTCYGVGCCKTSIPKEAWNITITLRIYYNYNYTNMTNYPSCSYMFVVDQANFNFSKSHLNSLQNTKKLPLVLDWAVGKDKCEISNNNYCHDVLNGYRCSCMQGYDGNPYLIDGCQDINECLDPQPDYDRCVKNAICNNKDGSYTCICRPDFSGDGYTECIRHNPQRKIQNIYLIIGITSGVALIVIVVFGWSYTAFQRRKMSMLKKRFFQENGGLVLLQQLKVEEGSSNTNTVKIFTVEELEKAINGFDKNRVVRQGGFGTVYKGYLKDNCIVAIKKSKVIDPNQIEQFINEVLVLSQNNHINVVKLLGCCLETEVPLLVYEFISNGSLSEHLHDKLKAPTLSLDIRLKVAVETAGVLSYFHSAAYPPIIHRDIISVNILLDKNYTAKVSDFVASRLVPADQIELSTLVQGTLEYLDPEYLQTNQLNEKSDVYKLLTGRKALCFERPEEERCLGSIFHIFSGKGSFVRYSRPQHRQLKNVVVLAQRCLILKGDDRPTMKEVAAELEAGLKLKHSWAQTDQQSEETKSLLPGFGYEYSEHTIHIDSVTSHVTLPFPGGSIPFPFGITQDCVLYNQFLVTCDYTFQPPRPFFYDSSIQITNISLTGQLTVFQFISKDCYKSGVNTENNTPWISLTQLFAVSNTANMFIAIGCDTYAIVQGYYSKFENMTTKHYSYITGCTSMCNSLDDADNNTCSGVGCCKTSIPKGAWNVTITLSSYYKHTYVNDNPRCSYAFVVEDTNPYANFSKNNLENLKNMDKLPLVLDWVIGKGTCEIAKRNSTAYECKSEKSDCYDSSIGYRCSCMQGYDGNPYLKDGCQDIDECIDRKPDYRCANDAICQNTEGNYTCICPPDFSGNGTVCNRDNPQRITHNIFFVIGVTAGVAFAIIIVFGWSYTAFQRRKMSKMKKKFFQENGGLVLQKRLTRKEGSSHNNAIKIFTAEELEKATNGFDKDRVVGQGGFGIVYKGYLKDNCIIAVKKSKVIDRNQIEQFINEVLVLSQINHRNVVKLLGCCLETEVPLLVYEFINNGTLSEHLHHKLKASNLSLDIRLRVAAEAAGVLSYLHSAAYPPIIHRDIKSVNILLDKSYTAKVSDFGASRLVPADQTELSTLVQGTLGYLDPEYLQTNELNEKSDVYSFGVVLVELLTGRKALCFERPPEERSLAQYFISSVEKGLLLDILDDNIVYDETNEGKLKNVVMLAQKCLNVKGDERPTMKEVAAELEAGLRLKHLWAQTDRHSEEKESLLPGAQTLRFGCEYSEHSIHVDSITSHVTLPFPGGR >Solyc07g062420.1.1 pep chromosome:SL3.0:7:65300124:65301459:1 gene:Solyc07g062420.1 transcript:Solyc07g062420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKISSLFVVQNISNHQQVPFTAQKCDDTTKEKIKMQTAEAESLSQNQQRRIDELEAQLNEAEGLIIDLRAELHDVREQLNEVKNKPLHHLRPHAEEVLNCRNSIMAKSNVNNSELLKFPTELGSKVCKSSRNGKTKNSSCKARSNKFMFSQRLLPAIFRCSARYLHTDTLYDSPNCPSINTEKNNVAGSSFVSGKEGPQYDASQVYVQ >Solyc03g031480.3.1 pep chromosome:SL3.0:3:4036509:4037089:-1 gene:Solyc03g031480.3 transcript:Solyc03g031480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKQAFLFIALFVAISVNLYWSSNKMQAMALRNLPVTVVEMKGKLFDTSTCGKRCNDRSDCQEGFICSNCVTFGNLFSQCV >Solyc09g089690.3.1 pep chromosome:SL3.0:9:69920559:69922418:1 gene:Solyc09g089690.3 transcript:Solyc09g089690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSMNEEFQATLQPNYDKHSELKAFDDTKAGVKGLVDACNSTEVPRIFVHPRESIQNSPGYTEEEFVFPVIDLEGIDHPIRHKDIVDKVRDASETWGFFQVVNHDIPLPVLEEMLQGARRFFEQDVEIKKRYYTRDTTKKVAHVSNFDLFSPSVPAASWRDSLYCFMCPNPPSPEEFPTVCREILIEFSKKMMKLGCSLFELLSEGLGLNPCHLKDMNCAEGLSIAQHYYPACPQPELTIGTRQHSDCVFITVLLQDDIGGLQVRHQNQWIDVPPTRGALVVNIGDLLQLISNDKYISVEHRVLSNKVGPRISVPCFFSTGAFPSPRIYGPIKELLSECNPPKYRATTVKEYTDYFRKKGFDGTSTLLDYKIPS >Solyc09g007760.3.1 pep chromosome:SL3.0:9:1295479:1296698:-1 gene:Solyc09g007760.3 transcript:Solyc09g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKTYQDPPPAPLIDPEELGKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQSSTDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAIMYIVAQCLGAICGCGLVKAFQKAYYVKYGGGANTLNDGYSTGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVVYGHNKAWDDQWIFWVGPFIGAAIAAFYHQFILRAGAVKALGSFRSNA >Solyc11g007480.1.1.1 pep chromosome:SL3.0:11:1758285:1759646:-1 gene:Solyc11g007480.1 transcript:Solyc11g007480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D509] MGTQVTEHGTSNLRVVMFPWLAYGHISPFLYVAKKLADRGFLIYLCSTPINLKSTIEKIPEKYADSIHLIELHLPELPELPPHYHTTNGLPPHLNHTLQKALKMSKPNLSKILKNLKPDLMIYDVLQQWAERVANEQSIPAVRLLTFGAAVFSYFCNLVKKPGVEFPFPDIYLRKIEQVKLGEMLEKSAKDQDPDDEERLVDEYKQIALICTSRTIEAKYIDFLLELSNLKVVPVGSPVQDLITNDADDMELIDWLGSKDENSTVFVSFGSEYFLSKEDMEEVALGLELSNVNFVWVARFPKGEEQNLEDALPKGFLERIGERGRVLDKFAPQLRILNHTSTGGFISHCGWNSVMESIHFGVPIVAMPMHLDQPMNARLIVELGVAVEIVRDDDGKIYREEIAKTLKDVITERIGENLRAKMREISKNLNSISGEEMDAAAHELIQFCKISTN >Solyc04g049210.2.1 pep chromosome:SL3.0:4:40201149:40201521:-1 gene:Solyc04g049210.2 transcript:Solyc04g049210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGIVECMSNEITTLIPRDTKIEVIAPPERKYNTWIGGSILASLSTFKRVSFSLIYLTNKSFKQFLITKGECDEYGPSIVHKRCF >Solyc10g080430.1.1.1 pep chromosome:SL3.0:10:61832436:61834760:-1 gene:Solyc10g080430.1 transcript:Solyc10g080430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPQEDFLLKETKPHLGGGKVMGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSLDPYVEVRLGNYRGTTRHFEKKSNPEWSQVFAFSKDRIQASVLEVNVKDKDFIKDDFVGRVMFDLNEIPKRVPPDSPLAPQWYRLEDRHGNKVKGELMLAVWMGTQADEAFPESWHSDSAAVTGADALATIRSKVYLSPKLWYLRVNVIEAQDLIPGDRSRFPEVYVKAILGNQALRTRVSMSKTINPMWNEDLMFVAAEPFEEPLILSVEDRIAPNNDVVLGRCAIPLQYIERRLDHRPVTSKWYNLEKHIIIEGEKKKEIKFASRIHMRLYLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAHGISPMKTKDGRATTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLQVGDKSGGAKDSRIGKVRVRLSTLETGRVYTHSYPLLVLHPTGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIYPLTVSQLDNLRHQATQIVSLRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANVFRIMGVLGGLIAIGKWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSCADNAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLIAAIALYVTPFQVVALVNGFYVLRHPRFRHKLPSTPVNFFRRLPARTDCML >Solyc07g017760.1.1.1 pep chromosome:SL3.0:7:7934194:7936722:-1 gene:Solyc07g017760.1 transcript:Solyc07g017760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLFPNRPILPIQSTKPTPLPPTQRLKLNPTTTTSPLPPLKQQQQQTPSSSTSTSFPLDSLLQHLLHVSSSNPPRTVKSSRISNTHLSTLPVSLENDDTLFGNTRVTVPKMESFDDGSLEFLPLNCKLMIDSILERPLSHMTEFFDSVKLELLEVDLMSLLKGLDVLGKWDRAILLFEWAVLNIHVENEKLDSQVIEFMVKVLGRESQHLVTSKLFDVIPFEDYSLDVRAWTTVLHAYSRIGKYDKAIALFEYVKEKGLSVTLVTYNVMLDVYGKKGRSWNNILLLLDEMTSNGLEFDEFTCSTVIAACGREGLLEEAKEFFDVLKRKGYVPGTVTYNSLLQVFGKAGIYSEALRVLKEMEENNCPPDSVTYNELVAAYVRAGFLEEGAALIGTMTQKGVMPNAITYTTVIDAYGKAGKEDKALSFFKQMKQAGCVPNVCTYNAIIGMLGKKSRVEEMMDMISDMKLNGCAPNRITWNTMLAMCGNRGMQKYVNHVFHEMKSCGFEPDRDTFNTLIRAYGRCDSDFNAAKMYDEMIQSGFTPCVTTYNALLNALARRGDWRAAESVFSDMKSKGFKPSETTYSLMLHCYSKGGNVRGVERIAKEIYDGHIFPSWMLLRTLILANFKCRSLMGMERAFQELQKNGYRPDLVIFNSMLSIFARNKLYDRAHEVLHLIRENGLQPDLVTYNSLMDMYARAGECWKAEEILNRLQKNGGKPDLVSYNTVIKAFCRQGRMEEAIRVFSQMTEKGIRPCIVTYNTFMAGFAARGMFSEVNELISYMIQHKCRPNELTYKTIVDGYCKAKRYQDAMDFVLNIKEKDNTFDEESLQRFASRVRENMES >Solyc07g005587.1.1 pep chromosome:SL3.0:7:473648:474530:-1 gene:Solyc07g005587.1 transcript:Solyc07g005587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYACGWPSRLVRPIWKVKRAPKRAYPSFRRFLYAIAHHFLGDPASDVTNAKFFRGGPSRPCLCIKLAITACPNHLEGQTSPEASIRLISTIFVPCLCIRLATTACTTHLEAHHCLGDPDSDVKNPKFFRGRPSRPFLAYAHHFLGDPDSDVKIAKFFRGRPSRPFLCIRLAITACTTHLEGQTSPEPSIPLISMIFVCYSTPFFG >Solyc05g007390.1.1.1 pep chromosome:SL3.0:5:1991471:1992325:-1 gene:Solyc05g007390.1 transcript:Solyc05g007390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSTSATLLCLFLLLGCIAAGTATEPETGNNFDTSSIGLWPRWWWRTHPKLPSPPTQSPSPIDNVVSPTHMAPSPFDDVVSPPHTAPNQNCIKVDGCASDLITSVFKRRISLSTQCCQVLSTISDDCFYTEYTHSKRVPFFLGKVRNYCSHVVDNAAPSPSPVDNAALPTHASPSPIDNVASPTHAAPSPSPSPVDDVVSPSHMAPSWGPGPAPTTSCIKVNSCAFNLITFVFKRRISLSTQCCQVLSTISDDCFYTEYTHSKRVPFFLGKVRKYCSHHHA >Solyc02g082110.3.1 pep chromosome:SL3.0:2:46378216:46390407:-1 gene:Solyc02g082110.3 transcript:Solyc02g082110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHRSLRIDMYGAGFCAEDMENSNTPASDSNAAKAEAEQLPTAPVCNFFKKPSKGKNIRKRPTVEEGENEDAEGDSSVIYTKKKPAVANNKLHFSTGPSKSNKDTDSNVDSKAARFHFESSKEIQVQNDSRATATLETETEFSRDARAIREKALKQAEEALKGKSKTGGDDKLYKGMNQYTDYKAGLRREHTISSEKAGGAHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEREEPFVDPVMTKCKHYFCEHCALKHLKYARGWRLKGNDGFSASSLFHDAIEVDLALLLNDDYDSSNRL >Solyc02g088850.3.1 pep chromosome:SL3.0:2:51432888:51437261:-1 gene:Solyc02g088850.3 transcript:Solyc02g088850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKLKAGLPGGKAAEPQYQAAKTSVWWDIENCQVPRGCDAHAIAQNINAALMKMNYNGPVAISAYGDTNRIPSYIQRALSSTGISLNHVPAGAKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNAIHQLRMRRYNILLAQPFKASPALAAAATNVWQWISLAAGESPRELTFGTNTLHQESIPTPVYEPISANQPAYSNANTNINTNANNANANSNSNAKAKSKANANANANANINTNINTSANANINTNSNANANANANTNTTTNANANTKTKVIYVPKNSNQLTMTGRSSMPARIEETSSSYRPHAPAVAPVQFAPHIFFAKSDSSENHNSKFIENKPAQRTQSQPPLVRDNFVKKFNFRQKNLQPSLQRPEGRGDLCGSMNKGDVCPEFSFLPSSSGASKSVSGNSNLELQLPELIQGLIGVILLSLDSLKLEKIVPTKENIGYCLRYGNPKYRHIDVTVALNAALEQQMIVKLKQADIELYVGRNERIWKCENPLGGNPNQYHNATWNVIEKFLCSTVGRSAIAASECRYEAALILRNACLKDLTLGEVLQILNMIITLKRWIKTRSDWHQITITLPETNNDNDTRTCI >Solyc03g058890.3.1 pep chromosome:SL3.0:3:28814054:28815782:1 gene:Solyc03g058890.3 transcript:Solyc03g058890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BGT7] MGGPKIKYSFLFLCITFATIIPSLMAHIGHYDEVWRRRAEEAKEYARKIYEPHPENVTLAFNQKLRDTMKELKKVKGTHNNSTRRGLGTKKYTGPCMVTNPIDKCWRCDPNWADNRKKLADCAMGFGSKAIGGKDGEFYVVTDNSDDYNDPKPGTLRHAVIQKEPLWIIFKRGMNIRLHQEMIMQSDKTIDARGVNVHITKGAGITLQYIKNVIIHGLHIHDIVEGNGGMVRDAVDHIGIRTKSDGDGISIFGASNIWIDHVSMQRCYDGLIDAVEGSTGITISNGHFTDHNEVMLFGASDSSSIDQVMQITLAFNHFGKRLIQRMPRCRWGYIHVVNNDYTHWNMYAIGGSMHPTIITQGNRFIAPPDIFKKQVTKREYNPESVWMQWTWRSEGNLFMNGAYFTESGDPEWSSKHKDLYDGISAAPAEDVTWMTRFAGVLGCKPGKPC >Solyc08g082165.1.1 pep chromosome:SL3.0:8:65148591:65152389:-1 gene:Solyc08g082165.1 transcript:Solyc08g082165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVFPCYCRVRERGWACMVRNLLVFIPKRLSPGLNPKDYDDLHAALLKSHHPHLRARAPLQRGCLHDGNDGGRIIAKDA >Solyc11g070055.1.1 pep chromosome:SL3.0:11:54903978:54904446:-1 gene:Solyc11g070055.1 transcript:Solyc11g070055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRYVRYRESSAISIETAGYKNDPKLIEISKHENVESDSVFIKPVYWKTKICSKWETTGQLPFCDHCHCAHGQLGKLILYSLFLC >Solyc05g012178.1.1 pep chromosome:SL3.0:5:5408042:5421910:1 gene:Solyc05g012178.1 transcript:Solyc05g012178.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISQTLLIFLFLIIGSGSMAVASKNATPCLTYLGPCGRSCDQKCCDDKCINSFQGQNPYSICQLLPGNPGRLCNCYVMNNNSIEITHTPSRDSSQQYNYYLVLLSSFYWCFHCLSSMTGFSSSNVPTTVPSSSSVVPSKEISELLASVAYLTKAFAGQQKLLLDLMSQAVDVAAPIGAPLIPSMRHKPASVEMPRFYGDNPESWVFQAERYFDSYNISEDHKLSLASFYLDGEAREWYRWLFRNKQLSNWANFSLEVTSRFHKCTLFPAEGRLSKLRQTFTVSDFQAQFESIANETTDVPDSWLVPLFTSGLRADIQTTVLVHKQKTLDEAFELANTHEQRLLLERSGSFKPAFTNSPPLLPNPIPYPHNVNRNRLPIKRLSPMEIQQRREKGLCFRCDEKYSAGHKFKAPPQLLLLECEPEVQDLLTDSSLTDEMLAEELQRLEMMHSSSISYHAMAGGDAASALCFTGYVQGSSVQVMLDNGSTHNFIQTRVANFLHLAVEPISPFSVMVGSGQRLPCTGIVRQLIGVVPSNSPETPPAISALLDSFEDVFTKPQGLPPKRLQDHAIHLAPTTEPVNVKPYRYPYFQKQVMEQLVDEMLSEGIIHPSTSPFSSPVLLVRKKDGSWRFCVDYRALNVVTIRDRFPIPTIDELFDELHASLGSSSIGPIRFTRTSIGCQALQMCVWIKDRGLLGSCVITTWVGSGSVKD >Solyc05g053650.3.1 pep chromosome:SL3.0:5:64559897:64568111:1 gene:Solyc05g053650.3 transcript:Solyc05g053650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 1 homolog [Source:UniProtKB/TrEMBL;Acc:K4C245] MATTVSSAGGLLAMLNESHPQLKLHALSNLNAFVDYFWPEISSSVALIESLYEDEEFAQRQLAALVASKVFYHLGEHNDSLSYALGAGPLFDVNEESDYVHTVLAKALDKYASHKTKAAESNDEAVKVDPRLEAIVERMLEKCIVDRKYQQAIGMAIECRRLDKVAEAIVRSDNVDATLAYCSNVSHNFVSRRVYRSEVLRLLVEVYEGTPSPNYLSMCQWLMFLDKPENVASILEKLLRSENKDDVLLAFQIAFDLVENEHQAFLLNVRDRLSSPEVQPSEPAEPNTAQTGDATAAEDVQMAEENQPLIETRVDPREAIYAERLGKIKGILSGETSIKLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHCGHLQQGRSLMAPYLPQGAAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRSTNVEVIQHGACLGLGLAALGTADEDIYDDIKTVLYTDSAVAGEAAGIGMGLLMVGTASEKAGEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALAMAYRGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVMYSEPEQMPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKSKHDKITAVVGLAVFSQFWYWYPLIYFVSLAFSPTALIGLNYDLKVPKFDFVSQAKPSLFEYPKPTTVATTSSAVKLPTAVLSTSVRAKARASKKEAEKANAEKASGASTSAATTSDKGKSTSKDGESMQVDTPAEKKNEPEPSFEILTNPARVVPTQEKYIKFLEESRYLPIKSSSSGFVLLKDLRPDEPEVLALTDTPSSTTSSTGGSAGQQNSTSAVAADEEPQPPQAFEYTS >Solyc07g019650.3.1 pep chromosome:SL3.0:7:12619458:12625703:-1 gene:Solyc07g019650.3 transcript:Solyc07g019650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPFKPTTQFQLQLYSSTILLNPFKFHTSLPRISSSVHVTHVETQTTEQEISHTRRDGKTNSNENGSGFSSFTPKYKKSYSGQENGANRVVLKDRNAKLKPKRRSSTTTTSNNKAVESKKSKIDSTLRIGLDMCSKRGDVLSAIKLYDLALLQGIVMGQYHYAVLLYLCASAATGLIHPAKSGSVNRTLNAPNEQEFSLNDKVIEHDSHLLSSSTVDEFVQFMKSSAKSVRHDDNGIKVSEDVRHYALTRGFEIYEKMLSDKVQINEATLTSVARMAMALGNGDMAFDVVKKMKEDGINPKLRSYAPALSVFCNNGDVDKAFIVEQHMLENGIYPEELELEALLKVSIEAERSEKVYYLLHKLREGVRQVSPSTADLIDKWFNSKVASRVGKRKWDVRSIHKAIKNGGGGWHGQGWLGNGKWTVSHGIVGSDGCCKCCAEKLVTIDLDPEETEKFAKSVASIAAQRERNSSFQKFQRWLDYYGPFEAVIDGANVGLYSQRKFRPSKVNAVVNGIRQMLPSKKWPLIVLHNRRITGDKMDEPFNRSLVEKWKNADAIYATPTGSNDDWYWLYAAIKFRCLLVTNDEMRDHLFQLLGNDFFPKWKERHQVRFSFSETGPVFHMPPPCSIVIQESEEGNWHVPIASELESEEERTWLCIRRANSPMAHQDSSRVNISKNVGGPTHKCPPTSSDSPHNKGDAKSSTRRKNQVKVTGKTRGSHCRGKDAPEEMYRSLKNILQPSITSDHCSILPELKAAEELGRCVVDFQI >Solyc04g078565.1.1 pep chromosome:SL3.0:4:63353396:63358241:-1 gene:Solyc04g078565.1 transcript:Solyc04g078565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDPGPLDASVLTGQLTHRSRDIWIGNDNMILNTRKCDGKFWDLVNEHLIHPRVLDVIKLSGLYGIYRSHRPVIDRSLITALVERWRPETHTFHFRTGESTITLQDVEILYGLPVKGLLLADTSGGLLKLMYLPMLEDITTVGSYSWGSATLAYLYRFLCKASQSSQNEIAGFLPLLQIWAWERVIVLTPQIVAKRDTRNIFPVGLPRGPHAARWYAHFSWTDTTKHVLRVFRDALDSMTENQFIWEPYSSDIIESLPKYCRVGRDIWRARVSIFCWDVVEVHLPDRVMRQFGLVQAIPSSFAFDATHFNHDRRGRSNTNWELEHAQWLHFWNHIDQYVWNAPILHGSLRAGHPPALH >Solyc02g036240.1.1.1 pep chromosome:SL3.0:2:30870326:30870739:-1 gene:Solyc02g036240.1 transcript:Solyc02g036240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFKFLFVMLLSISIAIALFPSLSSQELHLEALDASYSRGKTSFLSPKQRYVATCDKYPRVCAAKGSRGPDCCKKQCVNVLNDRSNCGKCGNKCKYSERCCQGWCVNIYVNKKHCGKCNNECKRGSSCSYGMCNYA >Solyc05g013920.3.1 pep chromosome:SL3.0:5:7352768:7356150:1 gene:Solyc05g013920.3 transcript:Solyc05g013920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNQLTAYTYLSVYRPQTPIPIPNKMFFTQSRELVLKAISRVMEAWKKIFLISLSLAMIFGLVNSLEFTEKDIASEENLWDLYQKWRSHHTVSRDLTEKQKRFNVFKANVMHIHNVNKMDRPYKLKLNKFADMTNHEFRNFYSSKIKHFRMLHGPRPTTGFMHDKADNLPASVDWRKKGAVTGVKDQGKCGSCWAFSTIVGVEGINKIKTGKLVSLSEQELVDCEKDNEGCNGGLMENAYEFIKKNGGITTERIYPYKASDSRCDSLKRNSPVVNIDGHEMVPEKDEDALMKAVANQPISVAIDASGSDLQFYSEGVFTGNCGTELDHGVAVVGYGSTHDGTKYWIVKNSWGTEWGEQGYFRMQRGIDAEEGLCGITMEASYPVKLSPDNPKPAPSKDEL >Solyc04g081480.2.1 pep chromosome:SL3.0:4:65524886:65526877:1 gene:Solyc04g081480.2 transcript:Solyc04g081480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSKQNELCDLFRNPSKDEIEVVKILLDLENLVRERQYCSVIWGSKKRRSNRRIDSSSSPVVNSSSSSKIDGNEIEPKIKAEVTSPASPATPLSFSPSESDEKSKHSSRRSYKRKTREELMDKIKQLSQCRESLTGEVENVRNYYNNQKAYNMKLKAMKEEVTRMVNFQGGGVNLGQLYSNPGIEPNRQQPFIMDQVASRSEAIHRFQYPLGQLQQAQHLYSNGLGPGPMGLPDLNVKVEEAFALDQFDIDRMNVERKAQYAEARRKRRIKRIEIKNSSGFIRPPRRR >Solyc12g038440.1.1.1 pep chromosome:SL3.0:12:51117630:51117875:-1 gene:Solyc12g038440.1 transcript:Solyc12g038440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPPNDVLYKSVRNRPWETYGVEITNPIKKVHVWLGNFKTAEEAARDFDEAAKMYHDPNAKLNFPPTNEDRFQNSNNFET >Solyc06g084615.1.1 pep chromosome:SL3.0:6:49712839:49717121:-1 gene:Solyc06g084615.1 transcript:Solyc06g084615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVPLSSPLFAWPKVYHGKSGIRVSCFSNSTSEPIEARKPKVFDNLFLSLFRNKMVQETGWDSEKPGYQGLIEVAHRLTVSQDNSKTRDASVRILKSLFPPLLLELYRMLIAPIHSGKFAALMVARVTALSCQWLMGPCTVNSVHLPNGSSLMSGVFVEKCKYLEESKCVGVCINTCKLPTQTFFKDHMGVPLLMEPNFIDYSCQFKFGILPPQQEVDDALKEPCLEICPSSVRRNEMNHNMDAHKCPKA >Solyc02g089860.1.1.1 pep chromosome:SL3.0:2:52199378:52200211:-1 gene:Solyc02g089860.1 transcript:Solyc02g089860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHIVRYYLSQHPSVVNFRWSHSQSWGNTWFFLFTSIAAYVIFSLFLHLFLCLLFRNRRPLPLGPIPAVHSLSMVLISLTIFTGILLSAAAEIRETRWFWRRNKTTAFQWLLCFPLGTRPSGRVFFWSYIFYLSRFLHTLRTFFSILRRRRLSFFQLFNHSILIFMSFLWLEFSQSFQVLAILFTTLLYSAVYGYRFWTAIGLPSACFPFVVSCQILLLGCHVVCHVGVLLLHFMKGGCNGIGAWLFNSVLNAAILFLFLNFYVKVHLKNRNGVKET >Solyc08g005630.3.1 pep chromosome:SL3.0:8:487821:495728:-1 gene:Solyc08g005630.3 transcript:Solyc08g005630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:K4CI54] MAYQNGGNMEKVKDDAKKGHFGSLSFSQMDSLSAICDTFLPSIDTNSLHQENMDDSLIKFLHTSASMNGTPQHLAWMVSERIQHPKLNLCKLALWLLSTRIGTFILCGKASLSSQFPYLQNFSRVSPNKREEIVQSWSCSNFKLIKLLFVALKVLTLLVFFTQVDEKGQNPSWKALGYCGQDPDFKKQKQEKMNSKQSKHEELSDKRDEHLYGPLYKGILTLKQPQNALFNKLQKLGFHVSKPNSSSNCPSFIIECDAVVVGSGSGGGVIAGVLANAGHKVLVLEKGSYLARTNLSLLEGPSMDQMFLGNGLMMTKDMDVFLLAGSTVGGGSTINWSASIDTPSHVLKEWCDIYELELFQSEFYKEALETIREKMGVQDKIDEEGFQNMILRKGCEELGYNVENIPRNAHSNHYCGWCSMGCKDGSKKGTNETWLVDLVKSGNGAIIQECEALEVIHEHKNNYNKSKAIGVACEFQHDNGVKEIFMVKSKVTIVACGALSTPSLLKRSGLKNPNIGKNLHVHPVVFAWGHFPDTNSTDNVKNSEVWPESDKKSYEGGIMSVMSKVVANTEEPGYGVVIQTPALHPGMFSVVMPWISGLDIKMRMCKYSRTAHIFALARDKGSGETVSPYSISYKLDQIDEQNLKVGIEKLLRILAAAGAEEIGTHHVKGRKFKVKESSVDEFESFVKEESSRGLKNLSTPICSAHQMGSCRMGIDPKISVVNPKGETWEVEDLFIGDTSVFPTALGVNPMLTVQAISYCTAQNVLQLLKAKKLN >Solyc01g095790.3.1 pep chromosome:SL3.0:1:86849419:86852928:1 gene:Solyc01g095790.3 transcript:Solyc01g095790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRLRHVNAEKKLEEWRAEAEERKLEKMADEFLKKNAKEAAKKDSNGEAEGSLDSVTGRKVDGGASESGSEEEKDTLLLKNLEPGKDVNVNAVHHESESLSSLTPDSPENTGQSAVASSVGTDIASVIESIQTEKEASGASEPIVVEGSSGVKENNAAKPSNNLSPISEPQEDAVSKDSELEKPLNFEQFSSAAELEVLGMEKLKSELQVRGLKCGGTLQERAARLFLLKTTPLEMLPKKLLAKK >Solyc06g018001.1.1 pep chromosome:SL3.0:6:15968376:15968930:1 gene:Solyc06g018001.1 transcript:Solyc06g018001.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLIGPNEASILKNMQASDNAIVIKEIITHFKKMEGQKANLILEVDLEKAGIRQGDPFSSYLFILCLEVLSRKIEKEVKLKHWTPIKTSTNGPNISHLFFADDLTRKTVKPSKAYWTPSVTPMATR >Solyc12g019000.2.1 pep chromosome:SL3.0:12:8936099:8948354:-1 gene:Solyc12g019000.2 transcript:Solyc12g019000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEEYSVSVDSHTSSSASLTYNIDSSSSNTSKLNAQAPAFLPRTSSGRVYATRAAPLHQITTHIAIQNQFLYAPQLSLQSPQSPYYGGGGVARRFVDQEVAAATTADADISAKNGGLTEEAAQKIVNQVEFYFSDLNLATTENLIRHMIKDPEGYVPISVVASFKKIKALIGSHAQLAEVLRCSINLVVSEDGKKVKRKNPLTEAALEELQSRIVVAENLPEDHCHQNLMKIFSAVGRVKMIRTCHPQPSNGGASSASRSAKSDSTMYSNKLHAFVEYDAVELAEKAVLELNDVDNWRNGLKVHLLLRRAAKSGQARVKKVGHESDPNSKEDDDVALELNEKHDGDSSHHVDVQSNDVAEEHGRVGKKKGNNRGRGKVQGQGPGQTRGRGRETPQFRQTNRGGRTGGSVTKVNTGSSVASAPSSTGGVNVTGQPAVVTDQSGGKQSSVPRMPDGTKGFSMGRGKPVAVRTE >Solyc07g056640.1.1.1 pep chromosome:SL3.0:7:64580401:64580562:-1 gene:Solyc07g056640.1 transcript:Solyc07g056640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWHYTLGTKVTNRVSNFSSFRAQTQRRSQNSHRRKYQNIFLINDLYCFVVF >Solyc11g050932.1.1 pep chromosome:SL3.0:11:17019376:17020941:-1 gene:Solyc11g050932.1 transcript:Solyc11g050932.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGQQQQSGSMTPTDIQAAMHTMSLNPLDPSWYMDTRATSHMTSSSDFQTGKPIMRCDSWGDLYPITTLINNQATCTFAAISPKLWHDRLGYPGAPILDALRHHKNIDCNRLSSSTRKSDVYDTFLVLRNHILTQFERNIKNVQCDNGREFDDGPFWEFCKKHGMSFRLSCPHNSSQNGKAERKIRIINNISRTLLVHASLPPSFWHHSLQMATYLLNILQRKLFDSGLNSYVIQHFTNSIVASNDPPHPAAHSPAQSTPPGSASSQQAQPASLSTEFP >Solyc03g121220.2.1 pep chromosome:SL3.0:3:70861593:70862926:1 gene:Solyc03g121220.2 transcript:Solyc03g121220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPESLSSLKMPHRVTARAKSFSSQPSIAQKLKDDPVDPKNAQGSVTCVYQSHIGGYWRNVTVIWRKNMMNHCLTISVDSVENEDHQTCKIDLKPWHFWAKKGYKTFEVDGYQLEAYWDLRSAKFSGSPEPFKDFYVALIAEEEVVLLLGDYKKKAYKRTKSRPALVDALLFYKKEHVFGKKSFSTRAKFENNKKESDIVVESSTSGPRDPEMWISIDGIVLIHIKNLQWKFRGNQTVLVNEQHVQVFWDVHSWLFCEPGSTHGLFIFKPGVSELESDRDGSSVGGDSDCSDHTKYFSTLSHSRASPFCLFLCAWKIE >Solyc06g059885.1.1 pep chromosome:SL3.0:6:37940015:37943115:1 gene:Solyc06g059885.1 transcript:Solyc06g059885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SSTLE1 description:Germacrene synthase [Source:UniProtKB/TrEMBL;Acc:G1JUH6] MAASSADKCRPLANFHPSVWGYHFLSYTHEITNQEKVEVDEYKETIRKMLVETCDNSTQKLVLIDAMQRLGVAYHFDNEIETSIQNIFDASSKQNDNDNNLYVVSLRFRLVRQQGHYMSSDVFKQFTNQDGKFKETLTNDVQGLLSLYEASHLRVRNEEILEEALTFTTTHLESIVSNLSNNNNSLKVEVGEALTQPIRMTLPRMGARKYISIYENNDAHHHLLLKFAKLDFNMLQKFHQRELSDLTRWWKDLDFANKYPYARDRLVECYFWILGVYFEPKYSRARKMMTKVLNLTSIIDDTFDAYATFDELVTFNDAIQRWDANAIDSIQPYMRPAYQALLDIYSEMEQVLSKEGKLDRVYYAKNEMKKLVRAYFKETQWLNDCDHIPKYEEQVENAIVSAGYMMISTTCLVGIEEFISHETFEWLMNESVIVRASALIARAMNDIVGHEDEQERGHVASLIECYMKDYGASKQETYIKFLKEVTNAWKDINKQFFRPTEVPMFVLERVLNLTRVADTLYKEKDTYTNAKGKLKNMINSILIESVKI >Solyc07g005345.1.1 pep chromosome:SL3.0:7:270962:274275:1 gene:Solyc07g005345.1 transcript:Solyc07g005345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGESPVSKNVSPMITNEAITSAAESPALQRGLELLRSARNKDARKRKTIEEGKLEAIAPKGMGIKSKETTSSKEILATIPENYEIMLPIKFLEIDIAGNALILFFQEKEKIFRVHIKK >Solyc03g064030.3.1 pep chromosome:SL3.0:3:39459172:39465235:-1 gene:Solyc03g064030.3 transcript:Solyc03g064030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVNIGMLHYVLDHVYGAFVHRTKLSPPFFSRGWGGTKLDLLEKMIKQLFPEQNWPPTLVQPVWKTVWESQTATLREGFFKTPCDHQLLTALPPESHIARVAFLTPKYTPSHKTACVVHLAGTGDHTFERRLRLGGPLLKENIATMVLESPFYGKRRPLLQRGSKLLCVSDLLLLGRATIEEARCLLHWLDCEAGFGKMGICGLSMGGVHAAMVGSLHPTPIATLPFLSPHSAVVAFCEGILKHATAWEALRDDLSMHEASMTLDEVKERMRNVLSLTDVTRFPIPKDPSAVIFVAATDDGYIPRHSVLELEKAWPGSEVRWVRGGHVSSFLLHNGSFRRAIVDSLNRLKWKESPL >Solyc05g006347.1.1 pep chromosome:SL3.0:5:1004048:1006570:-1 gene:Solyc05g006347.1 transcript:Solyc05g006347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMKVTEKMCATEEVVDALLECMVEPLLGRSFCKSKEVPTLDQQKSMAKQIFLTKYLPTTSQNVYAWTSIYLELRMIKLGHYLDAAVELKVF >Solyc04g017910.1.1.1 pep chromosome:SL3.0:4:8693087:8693356:1 gene:Solyc04g017910.1 transcript:Solyc04g017910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKDYEHEAFLFLWLSRFVFLSKVGAPIFSIAVNIARGMRQALAPAVLASIYRDLGSLRKAMIETSRRNRDIIEIRKLNLWLPLFFV >Solyc03g082540.3.1 pep chromosome:SL3.0:3:53894668:53896385:-1 gene:Solyc03g082540.3 transcript:Solyc03g082540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSPFYVANGSEASLSSFPILAVFFSPLQKRLPIYLHSSSFVSTDNLGNQTILCLVSLPIRPPDDPFHCVSMLVMFLAVAWCITVGEVTLVIKPIADAFAAGNASSCRLNTGATLGQPNGDGGRVEGRS >Solyc02g093060.3.1 pep chromosome:SL3.0:2:54639965:54643218:1 gene:Solyc02g093060.3 transcript:Solyc02g093060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTINLNIEDDCLCSDITTTCSFPLEQKDVEETQSLSLNRPNGYLKGESRIERAWSHWKKLGEPKLIVAPMVDNSELPFRLLCRKYGAEAAYTPMLHSRLFNEDEKYRAMEFSTCKEDRPLFVQFCANNPDTLLEAARKVEPYCDYVDINFGCPQRIAKRGNYGAFLMDNLSLVKSLVEKLANNLNVPVSCKIRIFPNLQDTLSYAKMLEDAGCSLLAVHGRTRDEKDGKKFRANWEAIKAVRNVVRIPVLANGNIRHIDDVHSCLEETGTDGVLSADPLLENPALFAGYRTAEWGLGVAGMKEDDKLDQAELLIEYLRFCERYPVPWRIIRSHVHKLLGEWFRIQPSVREDFNKQYKLTFEFLYDLVNRLKELGVRIPLYVKDTEQAVSAN >Solyc03g063560.3.1 pep chromosome:SL3.0:3:37131991:37173519:-1 gene:Solyc03g063560.3 transcript:Solyc03g063560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSVANVPQLLYGQSPKILTGNKDGLFADFLGFYCKSSKRIRRRIGYAATNRRSLINKKCNAVLDLQRGASNASRQSSDIVPKVADLDDILSERGACGVGFIANLDNKASHGIVKDALVALGCMEHRGGCGADNDSGDGSGLMTSIPWDLFNDWAEKEGIAVFDKLHTGVGMVFLPNDSNQMNEAKKVISNIFNNEGLEVLGWRSVPVDSSVVGYYAKVTMPNIQQVFVRVVKEENVDDIERELYICRKLIERAVNSEIWGNELYFCSLSNQTIVYKGMLRSEVLGRFYYDLQNELYTSPLAIYHRRFSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSREASLKSAVWRDREDEIRPFGNPKASDSANLDSTAELLIRSGRAPEEALMILVPEAYQNHPTLSIKYPEVLDFYNYYKGQMEAWDGPALLLFSDGKIVGACLDRNGLRPARYWRTKDNVVYVASEVGVIPMDESNVTMKGRLGPGMMISVDLSSGQVFENTEVKRRVALSNPYGEWIKENLRSLKPMNFFSTTVMDGETILRRQQAYGYSSEDVQMVIESMAAQGKEPTFCMGDDIPLAVLSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNLGKRRNILEAGPENASQVILPSPVLNEGELESLLKDLHLRPHVLPTFFDVGKGVDGSLKRSLDKLCEAADEAVRNGSQLLVLSDRSDELEATRPAIPILLAVGAVHQHLIQNGLRMSASIVADTAQCFSTHQFACLIGFGASAVCPYLAFETCRQWRLSTKTVNLMRNGKMPSVTIEQAQKNFCKAIKSGLLKILSKMGISLLASYCGAQIFEIYGLGKEVMDIAFCGSKSSIGGLTLDELARETLSFWVKAFSEDTAKRLENYGFLQFRQGGEYHGNNPEMSKLLHKAVRQKSESAYSVYQQHLANRPVNVLRDLLEFKSDRSPIPVGRVEPASAIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWKPLTDVIDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLANADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPRAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPVSSIKHAGGPWELGLTETHQTLIENGLRERVVLRVDGGFKSGFDVMMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNYFLYVAEEVRGMLAQLGYEKLDDIIGRTDILRPRDISLMKTRHLDLSYILSNVGLPEWSSSMIRNQEVHSNGPVLDDVLLADPKISDAIENEKVVNKTVEIYNIDRAVCGRIAGAVAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLIGEANDYVGKGMAGGELVVTPVENTGFVPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDETFVPKVNKEIVKIQRVVAPVGQTQLKNLIEAHVEKTGSTKGSVILKDWDKYLPLFWQLVPPSEEDTPEASAEYEQLASGQEVTLQSAEMPLK >Solyc03g116330.3.1 pep chromosome:SL3.0:3:67298934:67304285:1 gene:Solyc03g116330.3 transcript:Solyc03g116330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVRNYCSISKPAIWIWLISALTFYLLFLMANRNSREWSISNAEQRSRLYEKMEKDLEDHGATFLKQGETTQSLSLSDLFILKDGVVTPVLKPANPPVRANVLYLNPEYSVPIADAVRSIFSPHFDKAIWFQNSSLYHFSMFHGSHHITAVPASESEIEAEANAVRAVSESICPLKITLDRVVLTSTGVLVGCWQVDSGSDPVTIRQKLRNALPHAPAKQLYDAVMLHTSFARLLGHPSSWPEEANKVSVLQFLYELVSRLNNKIHGTKAIVGELWYVEEYDILALALDGRMKVRKFQLGCSKV >Solyc10g047300.2.1 pep chromosome:SL3.0:10:40423995:40443623:1 gene:Solyc10g047300.2 transcript:Solyc10g047300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLNVSNPKVWVVIGVGFAGILIMAEVNRRRLKARNSIKQDFGAFIERIELLPFPQPPPPAARLSLSGLSFAIKDNIDVKEYVTGFGSPAWKQTHEAATKTAIVVTALLKNGSTCVGKTIMDEFGLGITGENVHYGTPTNPKLPSHIPGGSSSGSAVAVAAELVDFALGTDMTGCIRVPAAFCGVFGFRPSHRAISTVGVLPVSQSLDSIGWLARDPSVLHRVGHVLLQIPSIEPKRTRCFVIADDLFQLCNVPKQKTVYVVTKVIEKLSGYQAPKHLNLGQYIASNVPSLKGFIEQSTIQQNGMSTLRALSSVMFLLQRYEFNTNYEEWMKAVKPRLGSQVTNHIAAADTLAPENIKVLYKVRTEMRVAMQNLLKNDGILVLPTVADPPLKLKSRKGLYAEVHDRAFALLGIASMSGCCQAAIPFGEHENYPISLSFIASHGTDKFLLDTVLDMYSSIQNEVSIQSSASPLPDTNGSIDASELLKEKGNAAYKGKQWNKAVSYYTEAIKLNDNATYYSNRAAAYLELGCFHQAEEDCTKAISLDKKNVKAYMRRGTARESLLFYKEALQDIRHALVLEPQNKYASVSEKRLRKLIS >Solyc09g090820.3.1 pep chromosome:SL3.0:9:70709968:70712506:-1 gene:Solyc09g090820.3 transcript:Solyc09g090820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSVKEIQKEGHVEPDPLQQKDAKQTAAVDLISRLNNYRSYTDSGSVKVVEEWRKRKMERARQRELEKNGTTI >Solyc06g053920.3.1 pep chromosome:SL3.0:6:36911300:36916206:1 gene:Solyc06g053920.3 transcript:Solyc06g053920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFPATTHSRVVATSSGEPKVEYPFSVSTRKWQVVTQRRRKSSRIKATDSDSFLEMWKRAMERERKSAEFKRIAENIAPPEVDESPEILEKKTKEFNKILQVSPEERDRVQSMQIIDRAAAALAAAKALIEENPLPRKDDDESDKSKKQGAGNVISIVPRSGTMMGTPGPSFWSWIPPSDSSFDDIQMKSDVSLSPNPPSPVIEKERSPDFLSIPFQSATIDKKHSPPLPPLQSHLEVENLEDSSSTPEIPQQVEERELGILFSANAAEAAHALKQKDEASSEGINPDGSRWWKETGTERRADGVVCKWTLTRGVSADKTVEWEDKYWEAADEFGHKELGSEKSGRDAAGNVWHEFWKESMWQNGGLVHMEKTADKWGKNDKGEEWHEKWWEHYGAGGQAEKWAHKWCSIDPNTPLDAGHAHVWHERWGEKYDGKGGSIKYTDKWAERFEGDGWSKWGDKWDENFDLNGHGVKQGETWWAGKHGERWNRTWGEGHNGSGWVHKYGKSSDGEHWDTHVNEETWYERFPHFGFYHCFQNSVQLREVKRPSDWP >Solyc02g065710.2.1 pep chromosome:SL3.0:2:37427086:37428442:-1 gene:Solyc02g065710.2 transcript:Solyc02g065710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIGPIGCIPSFTKKLRPNGRCNEDYNALAVIFNNQLSDMLKNLTSTLQGSAFILGHGHWLGYDAIINPSVYGLMDPTSPCCITWGNGTSACIPELVPCRDADKHYFWDGYHLTETIYRVIATKCFNETSLCIPKNIKELVEN >Solyc09g008370.1.1.1 pep chromosome:SL3.0:9:1835437:1837284:1 gene:Solyc09g008370.1 transcript:Solyc09g008370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTKFMMNGHGGLNSMASFGHHVFTGRWFMVFANLLIMSMAGATYMFGLYSDEIKSSLGYDQTTLNLLSFFKDLGGNIGIISGLINEVTPPWVVLFIGAIMNFFGYFMIWLCVTGHIAKPRIWQMCLYICIGANSQTFANTGALVTCVKNFPESRGSLLGLLKGFVGLSGAIITQLYHAFYGNNGKSLILLIGWLPSVVSCIFLRTIRILRVVKQANETKILYKFLYISLGLACFIMLVIIIQNKINFARFEYAGSAAVVLILLFAPLIIVFQEEVKLWNAKQQALDEPRLKVVSENPPSVELTQPQKLALSESEESLTSVDLSQRQKLALSEFEEKLSSVDLTQPQKLAQKEYEEKETSCFSNVFNPPPRGEDYTILQALFSIDMIILFIATTFGVGGTLTAIDNLGQIGKALGYPEKSITTFVSLVSIWNYLGRVVSGFVSEIFLKKYKFPRPMMLTLVLLLSCSGHLLIAFGVPNSLYIASILMGFCFGAQWPLIFAIISELFGLKYYSTLYNFGGGASPVGAYLLNVRVTGHLYDKVAKKQMLAKGLKREIGQDLTCIGVECYKMAFLIITGATLLSCAISLILVIRTRKFYKGDIYKKFREQAKHVGSS >Solyc07g009235.1.1 pep chromosome:SL3.0:7:4277125:4277573:1 gene:Solyc07g009235.1 transcript:Solyc07g009235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQVYFLVFLSCFLLITKMELELDPPPIACVDQVSTSSEGSCFNCDFNCKNNEDASDGICQFGVCYCRICSTAIHKP >Solyc04g072560.3.1 pep chromosome:SL3.0:4:59623753:59631016:1 gene:Solyc04g072560.3 transcript:Solyc04g072560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFFSKGFKAAKCKTMLKLTIPRIKLLRNRREFQLKQMRKEIAKLLETGQEATARIRVEHIIREEKMMAAQEIVELFCELISVRLPIIEAQRECPLDLKEAISSVCFAAPRCADLPELLQVQLMFAGKYGKEFITAATELMPECGVNRQLIELLSIRAPAPDVKMKLLKEIAEEHQLDWDPSASETELLKSHEDLLNGPTQFVSGAKVPLPKERFDEVQHSASDQVFDKQTESEADFDLDFPEVPKQPLRPSTGGVSAPEMLPFPASALSDSDEEVGKPSEDGELKSHKQDVERDELLQEKVVSKDFGSADSVSAPEEEKQFLPFMVPPPKSSPLPSTESTTIQSTQPPSATKTKVETDVDLQDVLAAAQAAADSAERAAAAARSAASLAQVRISELTRKRSEEVPVSPSENPFYSEKQESHILEKPNLDLQHQSSNSDGIPSPLHGSPLEHQVSNIPSYDDSTVGVESPISHIHHPGQGLHQPQRLPSMDDETYYSYPNLFNATNGSNPSSRSQSFKDNIRSSHDK >Solyc08g078130.3.1 pep chromosome:SL3.0:8:62085406:62089482:1 gene:Solyc08g078130.3 transcript:Solyc08g078130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAFVAIAKSVGQQCLKRSKPYSNSYFSGYGVQTWRFQSHRTLILQSASESVKLERLSDSDSGILEVKLDRPEARNAIGKDMLRGLRQAFEAVSNERSANVLMICSSVPKVFCAGADLKERKTMILSEVQVFVSTLRSTFSYLENLHIPTIAAIEGIALGGGLEMAMSCDIRICGEDAVMGLPETGLAIIPGAGGTQRLPRLVGKSIAKDIIFTGRKISGKDARSIGTCMTCHSSFVKSQSSSVFRKLPPLLSLSYQYKNEGDVCKPLDNSIRLPACSHDTGLVNYCVPAGEARLKALELARDINQKGPLALRMAKRAIDQGVELDVESGLALEWDCYEQLLDTKDRVEGLAAFAERRKPLYKGE >Solyc04g051620.2.1 pep chromosome:SL3.0:4:50846770:50849726:-1 gene:Solyc04g051620.2 transcript:Solyc04g051620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAGNVNHENGIFRLEANFSPSLWGNTFSNSTRINQMTTVIEYVTEEIEGLKEEVKHMIISTTTTSNDIEQKIYLIDTLERLGIYYHFEKEIEDQLSKMFDQNVIHEEDDLHKIALYFRLFRQHGYPISSDYFNQFKDNNGEFKKALIANTKGLLSLYEAAHVRKHGDDILEDALIFAKFHLEKITNVHTLDSTLEKQVTHALMQSLHRGIPRAEAHFNISIYEECESRNEKLLRWWKDLDFASKLSYVRDRMVECFFWAVGVYFEPQYSQARVMLAKCIAMISVIDDTYDSYGTLDELIIFTEIVDRWDISEVDRLPTYMKPIYISLLNLFNEYEIEIELEQDRFNGVHYVKEAMKEIVKSYYIEAEWFLEGKIPSFKEYLGNALVTGTYYLLGPASLLGMKLASKRTFDWMMNKPKILVASAIIGRVIDDIATYKIEKEKGQLVTGIECYMEENNLSVEEASAQFSEIAENAWKDLNKECIKSTDSMPTEILMRVVNLTRLIDVVYKNNQDGYSDPKNNVKAVIEALLVNSIKL >Solyc05g050540.3.1 pep chromosome:SL3.0:5:61521044:61524473:-1 gene:Solyc05g050540.3 transcript:Solyc05g050540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWVLDHNGEEADQQDSTISRFNLFYQTNDIYLSLKSFFFLFQVQTTRVTKLCNTKEIPTINGMYPGPVVYAQEDDKVIVRVTNESPYNITIHWHGIRQRLSCWSDGPSYITQCPIQTGQNFTYEFTLVQQKGTFFWHAHVSWLRATIYGAIVVYPKNGVPYPFNFPYEEHIIILGEFWMKDMVQIEQAVLASGGAPPPADAFTINGQPGPNYNCSADDIFKIYAVPGKTYLLRLINAALNQEHFFAIANHRLTIVEVDAEYTKPLTTDRVMLGPGQTLNVLVTADKPIAKYSMAMGPYQSAKNVSFQNITAIAYFQYFGATANDLSLPAALPHFDDNLAAKTVMDGLRSLNPVTVPKDIDRNLFVTIGLNVQKCRSKNPQKDCQAKGGGVMAASMNNISFSKPNISILEAYYKNISGYFSQDFPGVPLKFYDFVNGAPNNPPNDTNSLNGTRTYVLHYGTKVQLILQDTSTVSTENHPIHLHGYSFYVVGYGTGNYDPDTANFNLVDPPYMNTIGVPVGGWAAIRFVADNPGAWFMHCHLEIHLSWGLSVVLIVKNGEGPLERLTHPPKDLPRC >Solyc04g025470.1.1 pep chromosome:SL3.0:4:22783959:22784822:-1 gene:Solyc04g025470.1 transcript:Solyc04g025470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLQKSFHFPILGLYISGDTMMGIICQGILKSWPQVN >Solyc11g044815.1.1 pep chromosome:SL3.0:11:32508204:32508591:-1 gene:Solyc11g044815.1 transcript:Solyc11g044815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHHDVSRITLHVNPIKAALVVNIGDSLQIMSNDRYKSIEHLFVNPIFDIFIGTFLQMLKDGERTSVQTCLVFILLRLFLP >Solyc04g054895.1.1 pep chromosome:SL3.0:4:53316802:53317229:-1 gene:Solyc04g054895.1 transcript:Solyc04g054895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSTVTTPLECNIKLLADAGHLHNDPTYYRKLVGKLNFLTNTRLDIAYNVQQLSQYMQAPRERHLKAAMHVLRYLKNDPGLGIFMSRNSTFTLKAFCDSDCASCPDSKKLVSGA >Solyc09g010860.3.1 pep chromosome:SL3.0:9:4181541:4184780:-1 gene:Solyc09g010860.3 transcript:Solyc09g010860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:Q9ZP32] MRKMAANMMLYITITVLLCFLTAVNARIPGVYTGGPWQTAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNAALSTVLFNNGLSCGACFELKCDNDGKWCLPGNPSIFVTATNFCPPNFALPNDDGGWCNPPRPHFDLAMPMFLKIGLYRAGIVPVTYRRVPCRKQGGIRFTINGFRYFNLLLVTNVAGAGDIQKVLIKGTNTQWIAMSRNWGQNWQTNSPLVGQALSIRVKASDHRSVTNVNVAPSNWQFGQTFEGKNFRV >Solyc03g091020.1.1.1 pep chromosome:SL3.0:3:55573749:55574078:1 gene:Solyc03g091020.1 transcript:Solyc03g091020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQASITLFLSLNLLFFALVSADCSTDILKFGACTNILNDLVGVIIGTTPTSSCCSLIGGLVDLEAAVCLCTAIKADILGIHLDIPISLNILLNVCGKNYPTGYTC >Solyc02g088983.1.1 pep chromosome:SL3.0:2:51540097:51543776:1 gene:Solyc02g088983.1 transcript:Solyc02g088983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSFPLFVCLMGPTTVSLVNLGSLLEQIAKLEPKKPKAPNEIRITYIAKIFKTKVLFILINISNDNINNNKGVNQILIITIQDNLEKKEKINLPEPLAAAIAIFLAIFPCKHPAPPPPPPWLHSLVKEGRGCYKCGEEGHFGRDCRQRDGYGRGGGGSISFDYSHSITFAIMLGDALRPTTVSLVNLGALLEQIAKLGSLSRGSSKAWHE >Solyc03g062960.1.1.1 pep chromosome:SL3.0:3:34753808:34754173:-1 gene:Solyc03g062960.1 transcript:Solyc03g062960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVHVDVDVYVDVHAYIYVYIDVDIHVYSDTDVDVHIDVHANVDVYINVRVDFHIDIHVYVDIDCHVHIDVHVNVPVFVDVYIHVDDHVWIYQNIDIYVDVDIFFLVLASDYIIHYYATNK >Solyc11g019920.2.1 pep chromosome:SL3.0:11:9801898:9812262:1 gene:Solyc11g019920.2 transcript:Solyc11g019920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFISISIFTFGFLLLFRCSSSSAEQQQFAIDGKVLELDESNFEAAISTFDYMFVDFYAPWCGHCKRLSPELDKASANLAVLKQPIVIAKVDADKYSRLASKYEIDGFPTLKIFMHGVPTDYYGPRKADLLVRFLKKFVAPDVSVLNSDSAISEFIEEAGKNFPIFIGFGLNESVISHLAVKYKKSAWFSVAKDFSDTTMEFYDFDKVPALVTLHLSYNEQSIFYGPFEEKFLEDYIKQSLLPLVLPINQDTLKSLKDDKRKIVLTIVEDEDDERSKRLVKLLKAAASANRDLVFAFVGFKQWQDFAESFEVSKKIKLPKMIVWDGDVEYFSVIGSDSVEDEDQGSQITRFLKGYRDGSVIQKHIISDDYKAFRNSMFLIGALILVLVVILVAMMMQAVKEEPSREQVDHPGSSTSLSEAREALRSGDKEEKID >Solyc09g064250.2.1 pep chromosome:SL3.0:9:61661512:61664146:-1 gene:Solyc09g064250.2 transcript:Solyc09g064250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWLSKDSEVFCKEDMGKLGPMGNFNRSPGFVLQIKFYAPREWKFSGVELGEIGNNVIADVFLIKDGMTLVIFEIGNLVSPPSVNSRGLNMKDNDGISKVGRVGEAAVGNIFKSRVATPHGFQFEGFISCIRKGVAESNLHEVVVKLGSSQMGERIVGVGFSTRSLITLVERFPGWELRVDEFGVGHEINDRFFVVSSRVLIRKNDMVWSVCAIIMLMAENDMMSWTPTVGFEGGFGSILGSLDFPSHG >Solyc03g083390.3.1 pep chromosome:SL3.0:3:54665202:54669428:1 gene:Solyc03g083390.3 transcript:Solyc03g083390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISDFEEQDSKPSAAAAASKPFKAVLDPADPLGFLQAAFEFVGRESDLFKSDSLINDVNAVVRMVKDKLLTEERKRKVEAEASSSKAAGKKVKEDVPVAAAKKEEVKEAKGKEVMKEAKEVDKNGTQGPAAPNNNNGLDLENYSWGQSLQEVNVNIPVPPGTKSRLIVCDISRNRIKVGLKGQPPIIDGELYRPVKVDDCFWSLEDQKSISVLLTKKDQMEWWKCCVKGEPEIDTQKAEPESSKLSDLDPETRSTVEKMMFDQRQKSMGLPTSDETQKQEILKKFMAEHPEMDFSKAKIS >Solyc02g083500.3.1 pep chromosome:SL3.0:2:47427386:47434232:1 gene:Solyc02g083500.3 transcript:Solyc02g083500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFVPLLSTFIFFSIYYSQSNALSSNYYAKTCPQAEDIVMKVVKEEAQKDRTVPATLLRMHFHDCFLRGCDASILLSSKGKNTAEKDAPPNGSLHGFYVIDGAKRAVEAICPGVVSCADILAFAARDAVVLSGGPYWKVPKGRKDGRISRASETTLLPKPTFNISQLQQSFHQRGLSLDDLVALLGAHTLGFTHCSSFMNRIYNFNATHDIDPTLRPSFAASLKGICPLKNRAKNAGISNDPSPTTFDNTHYRLILQKKSLLFSDHSLLTIPKTKSLVYKFATSKAAFHKAFSNSMIKMSSLTGGQEARLEIVIYSPYHPAYSGSHTHKILSSTQKSYSSMAFAHCFLTVPADTTNFHTATSILTRPFSSSVSSSFSSSNLKTCSLSNFHKNLRIGLKVSVKAQASEDSAAADAFTNFKHVLLPITDRNPYLSEGSRQAAATAAAMAKKYGADITVVVIDEKGKEAYPEHETQLASIRWHLAEGGYQEFKLLERLGEGSKPTAIIGEIADDMNLDMVVMSMEAIHSKHVDANLLAEFIPCPVLLLPL >Solyc01g111000.3.1 pep chromosome:SL3.0:1:97333205:97335238:1 gene:Solyc01g111000.3 transcript:Solyc01g111000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHMPRLIKKSVISGDVPKGHFVVYVGENHKKRYVIPMSFLSQRLFQELLSQAEENLALIIQWVVSQFPAERTRSSTLLLLNIIAIRMPRIIKKSGDVPKGYLAVYVGEEQKKRFVIPISFLSQPLFQDLLSQAEDEFGFDHPMGGLTIPCREDIFIDLISHLRN >Solyc11g065045.1.1.1 pep chromosome:SL3.0:11:50645413:50645436:-1 gene:Solyc11g065045.1 transcript:Solyc11g065045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADYLWNS >Solyc10g006250.3.1 pep chromosome:SL3.0:10:924118:925497:1 gene:Solyc10g006250.3 transcript:Solyc10g006250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVVGRHALFFDDDSLAAFVNSGDALVDWNSLQIDRYDVRHLLSAPPPSRRRSNSSSSSNLVDASIQLELDKERYLDLPLPSDEPDLEEGDESADAGAYRAVGFSYGNTDDLADRRSSEVQESSVFRPSFQVPESLLQCLMLGAGMEFL >Solyc03g095225.1.1.1 pep chromosome:SL3.0:3:57616920:57616925:-1 gene:Solyc03g095225.1 transcript:Solyc03g095225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding F >Solyc12g006760.1.1.1 pep chromosome:SL3.0:12:1195836:1196096:1 gene:Solyc12g006760.1 transcript:Solyc12g006760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGILKIIGFEKIRRSCLDGDDDSDYDYAPAACLERDGDDDGDYDYAPAASLEGDDDDRDYDYVPAASLEGDDDGDYDYAPSGCMK >Solyc03g119940.3.1 pep chromosome:SL3.0:3:69954254:69964765:1 gene:Solyc03g119940.3 transcript:Solyc03g119940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLVFTPEEMVIDQGLGYPKAYAKICKDRSFGPFSRGPPFTFTPYALPQHEVLRAKELDEMFPIIEQNAQPNTKTKMFMSLLWKQLSHLGNAGFDPEVFRIDSYGNVLYYHADSASPLAWEIDHWFPCSRGGLTVPSNLRIMQWQACKKKNNKLEFLIPWWDLQVGISINQFLSIFAISNADFRRRAFSWLFSEGESEELNASQTVDSHVFPQHFVESREKIGLAPAAVVLSRRESFDSSSALKSLDINRRPRSNTPIVACKRSKMDLKENEDPTMETNPYQAIVIARDSLRHRDETAKMQAEIQKLDDEVGELKQKTEEEKAAVQDLELILTKKRRRAEKCRRLAEAQSSYKSMLEKMIRDAMHQSIVYKEQVRLNQAAANALMARLEAQRAICDSAERDLHRRFKQRDELEQQIRPEWDQTRKRSRMDEFPGGERDEKTHLLLPGSCSKAEFEKDDMRVLCLSEMNPKDIMHKELRVFLEEEQKAYEARLSLNGGQERETHRISIGIPSDRSNNTNLQVKDEDPTDQKVQNNEIQEEEEDEITCNRGFPTFHETERQEEDEESRQQRGKGNVEKWLQLLLDNSEEPTDFGIKTAEENDSGKRTLNAEKDETNEIDGTITKLNIKYPQKEMKISESEDAEIPGDVKLPQKVQNEKEDVHQEEETKREEVVQMAARKSFSNRGNGKKEVRTELKGQNLLCRNPPPYSLIPERRASDVGSASKGVGRSSSCERTARKSEKDKERELLRSDSVRLFRRIPSSPSLLLSGMKKRVDCMRKKPSVVGDDSDESLVGGNMGFIKSSIKTIKKAVKM >Solyc12g035870.2.1 pep chromosome:SL3.0:12:44515408:44517650:1 gene:Solyc12g035870.2 transcript:Solyc12g035870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGTITRFSRRNMGFFFRNIFYTNTHKILLTGDGDITNIKKGQILADGDAMVGEFALGKNVLVAYMTLEGYNSEDALLISERLVYKDTYTSFHIRKYDIHTHVTSQGPKKLLRAILCIQESTSKETCLKLPKGCRGRVIDVRWIQKKGYNPKMICVYISQKHEIKVGDKIAGRHRKRQTFECSLGLARSLLERHYQMAPFDERYEQEASRKLLFFELYEASKQIMNPWVIEPEYSGKSRIFDGRTWNPFEQPVIIGNPYILKLIHQVDYKIHGHSSGHDAEVWALEGFGVVHILKEMITYKSDHNREIQEILGTTIIGGTIPNREDAPESFRLLVRELRSFGLELNHFLRKKILYFHYKTNKPEEDGLFCERNFVPINSGICSCGNYRVTGEEKENPKFCEQCGLEFVNSRIQRYQMDYIKLGCSVTHVWYLKRLPSYIVNLFDKPLKE >Solyc09g005543.1.1 pep chromosome:SL3.0:9:355790:356888:1 gene:Solyc09g005543.1 transcript:Solyc09g005543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAAGDLAFPKGKENLASVLKRYKRRLSNKPVGNQELAGISGLRKLMLSASSPLSSCNALFSLYDLIALKMIIKIKEDECEWLEEDNHIPIKNFLILIALVEIIVIIIGSIKT >Solyc12g099320.2.1 pep chromosome:SL3.0:12:67482831:67499509:-1 gene:Solyc12g099320.2 transcript:Solyc12g099320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCFCIPKGQVRHEHFRRKRNEERERRERKKRRFTTHQWRRQCEIWVVEVSMGAQEKSSNSSNPMQRVKVYRLNDDGKWDDQGTGHVTVDYIERSEDLGLLVADEEDHETLLLHRISADDIYRKQEDTIISWRDPEYSTELALSFQETTGCSYIWDHICSVQRNMHFSSLNNETFHSVNSDLKELPPIELSTLPLILKTVVEGGIADQLRVTELILNDQDFFHKLMDLFRISEDLENIENLHIVFKIVRGIMMLNNTQIFEKIFGDELIIDIIGCLEYDPDAPHVHHRNFLKEHVVFKEAISIKDSIVLSKIHQTYRVGYLKDAILPRVLDDAIVANLNSIIQSNNAIVVSLLKDDSTFIQDLLGKLKLPSTSAESKKNLVHFLHEFCTLSKSLQVVQQHRLFRDLVNEGIFDIIADVLESQDKKLVLTGTDILILFLNQDPNLLRSYVIRQEGLALFGLLVKGMLTDFEDDMHCQFLEILRSLLDSYASGSQRETIVEIFYEKHLSQLIDVITSSCPSPTDGITQAVSNSESSDGGTGKQSSYKPEILLNICDLLCFCIVHHPYRIKCNFLLNNVIDKVLFLTRRKEKYLVVAAVRFMRTLISRNDEHLMNYIAKHNLLKPVVDVFVANGDRYNLLNSAVLELFEHIRKDNLKILLKYLVDSFWDELVKFEKLTSIQSLKIKYEQSLDSAGIRSIGNLLDDPRKRVDDRCLEKEEEEYFNEESDEEDSASASVTNASRAQSQPALPNGSVPSVSPMRSGGLVDYDDDEDDEDYKPPLRKQSDNSDEDGSVESFPLKRKLLQKDESEPKRLQLIAKGSKSRDSVFAALCSTLSQAVLPAKKMGSTVQDGPCSDGDEKSVESNHEEKGNSTDNGSAGLDNHDHREPNGPKSYSESMHSSPDNRQRGEDYPLIPPKSSPEMAVNGS >Solyc06g054410.3.1 pep chromosome:SL3.0:6:37296636:37308544:-1 gene:Solyc06g054410.3 transcript:Solyc06g054410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFCINLTLILALLSTSFSYNSWAVDVDTNLGSETELLTRELMESARQPEFLDWLTRTRRRIHEYPELSFQEYQTSQFIRNELDSLGIKYLWPVAKTGVVGTIGSGAQPWFGLRADMDALPIQELVDWECKSKIDGKMHACGHDAHVTMLLGAARLIQNRRDKLKGTIKLVFQPAEEGYAGASYMLEEGALDGFQAMFGLHVWPFMPVGTIASKPGPIMAGSSRFTVIMQGKGGHAATPHNTRDPILAVSMTVLALQQLVSRETDPLEPRVLTVAFVDGGQAGNVIPESVRFGGTFRFMTLEGYSYLKQRIKEIIETQAGVHQCSATVNFMEEMRPYPPTINDPKIYDHSKRVGEILLGNNNVQHSPASMAAEDFGFYSQRMATAFFFIGTQNKTTSSSVKGLHSPYFTIDEEVLPVGAALHAAVAISYLDTHLKSE >Solyc04g011600.3.1 pep chromosome:SL3.0:4:4028644:4032580:1 gene:Solyc04g011600.3 transcript:Solyc04g011600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIVCELEGTLLKDQDPFSYFMLIAFEASSLIRFAILLMLWPLIKFLGICGQKDKGLKLMIFVATIGVKISEIEVVARAVLPKFYFDDIDMKSWRIFSSFDKRIVVTKIPRIMVERFVKEHLRADDVIGSELVVNNFGFATGFIKDDFDSILERVGALFDGETQPSLGLGRPQNGSSFLSLCKEQLHPPFMINKNQDHIIKPLPVIFHDGRLVKRPTPSIALLILLWIPFGIILATIRIIIGLILPLWIVPYLAPLFGGKVIVKGKPPPPASITNSGVLFVCTHRTLLDPVVLSTVLQRRIPAVTYSISRLSEILSPIPTVRLTRIREVDAQKIKRQLEKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGMDPIFFFMNPRPMYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGIVSQNSGTNLANKFKKVVATFKLFIH >Solyc06g069450.3.1 pep chromosome:SL3.0:6:43318001:43322555:1 gene:Solyc06g069450.3 transcript:Solyc06g069450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMHSSRIVVQALPAPGTPDYWDTKKSKGGTKLRKLNSKTAFSRGHCNNDVDDVIPQQLKRIRNKQNRRMNAVCFGINNIDHVVGAPSRTMNLEKNSHIWSSDNIKSSTQVRSCIADLSAWKVKKKRSILNRKFNSLENDVIACELDNAASVPGKNHLDKVRSSVMGVNTFYEGDLVMHSSETGTCLPKNVCDGVEEISRKNPSISSGTDHDIDTCGDRCSDISKDHIFGTSESKSTSEVENYNEPVLNVDNSMTTVSCDFFNNAATETRGLQIYPRVEVLITYSRKRRKNSSASASSLPSIMTDATSSFPIIENKTTTTSPDHCQVSISYSNNFPKEVIAGGGTLLMGVQPMLLEKSAGDNPCSETWSKKETIQIDNQIENINSSMASFENESPIDSKADNHEQEKRNDKDAAVNVSYDCELSKETSHNAVPCDHRVSSDTYSIVSTNEPLNGERQSATEDSPSQSRDRGFVGERSRITNVGVILPFGRALNARSSKKLLVLDVNGLLADIVPLRYIPYSLEANIIVSGKAVFKRPFHDDFLQFCFERFHDQSHCTDTGFPVVGTRRSKPIILKNLKKLWDKYEPDLPWERGEYDESNTLLLDDSPHKALCNPPNTAIFPNSYHYLDEKDDSLGPGGDLRVYLEGLAMAENVQKYVENNPYGQRPITDKNASWRYYRKVIAAATYSQESGANKYSTYKCQY >Solyc02g094220.2.1.1 pep chromosome:SL3.0:2:55454739:55457127:1 gene:Solyc02g094220.2 transcript:Solyc02g094220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIGVVLFILLQPGLLFQIPGNNRTLEFGSMKTNGKAIAVHTLIFFTLYAILILAVHVHIYTG >Solyc11g008600.1.1.1 pep chromosome:SL3.0:11:2789247:2789651:-1 gene:Solyc11g008600.1 transcript:Solyc11g008600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIENWSLLGKLKRAVKKITFLLNFDINKWKLASYLIGKKPYHQLSFNEKILQKQPTGLNVICIDENEDQDLANKNGSSKGLQRTMSYPSSEDDIDKRAEMFIANFYNQLRLERQISLELRYCRGNSFGSSTSP >Solyc07g005940.3.1 pep chromosome:SL3.0:7:776161:782569:-1 gene:Solyc07g005940.3 transcript:Solyc07g005940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIYDLSGFEIFPWNLYRIEEERSIFWLFDKKKTNFGFEGHNHNDNGERGAYYGGGINFEKEKEFVGVRVLRRDIPWETYMTTKLITGTGLQLLRRYDKKAESYKAQLLDDDGPGYVRVFVTILRDIFKEETVEYVLALIDEMLTDCCGKVIGSYKRRANGADANGDASSSKKKITTIDDVLAGVVEWLCAQLRKPTHPTRSIASTINCLSTLLKEPVVRSSFVRADGVKLLVPLISPASTQQSIQPLYETCLCVWLLSYYEPAIEYLATSRALTRLIEVVKGSTKEKVVRVVILTLRNLLSKGTFSAHMVDLGVLQIVQSLKAQAWSDEDLLDALNQLEQGLKENIKKLSSFDKYKQEVLLGHLDWSPMHKDPIFWRENINNFEENDFQILRVLITILDTSSDARTLAVACYDLSQFIQCHSAGRIIVNDLKAKERVMRLLNHDNAEVTKNALLCIQRLFLGAKYASFLQA >Solyc09g090910.2.1 pep chromosome:SL3.0:9:70789955:70793330:-1 gene:Solyc09g090910.2 transcript:Solyc09g090910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPW1] MEPILSEKGTKMEQDYNMGMCSEDETELELGLGLSLNSGGGGGGVGGKTKKSPWGEYGRILTAKDFPNGFSAKRSINVGVSGTKRAADFAGSTTEVGSPPTGASSQVVGWPPIRAYRMNSLVNQSKVLNADDEKGVGGNDKKEHSKKKINHGNTKDDAASVKEKGHLGFVKVNMDGLPIGRKVDLNAHTCYESLAETLEDMFFKSTKSGEKEQATKSFKLLDGSSEFVLTYEDKEGDWMLVGDVPFGMFLNTVKRLRIMRTSEANGLAPRIPQKQEKHKGKPI >Solyc11g007830.2.1 pep chromosome:SL3.0:11:2048309:2053692:1 gene:Solyc11g007830.2 transcript:Solyc11g007830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein tyrosine phosphatase [Source:UniProtKB/TrEMBL;Acc:Q93X44] MNCLQNLPRSSGLPLRSFTGNSRKLYSTVVSLGMTKFADQRLSIVAQVVSGPESTTEKDEEKSDTYSHDMTAAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRSIGVKTIFCLQQNPDLEYFGVDINAIREYANKCGAIEHLRAEIRDFDAFDLRLRLPAVISILNKAINRNGGVTYIHCTAGLGRAPAVALTYMFWVQGYKLSEAFDLLMSKRSCFPKLDAIKSATADILTGLKKMPVTLTWHGDNCTTVEISGLDIGWGQRIPLKFDEERGLWTLQKDLHEGKYEYKYIVDGEWICNEFEPITSPNKDGHVNNYVEVLDENPDNITSAAVRKRLTGDDPDLTSDERLIIERFLEAHADVE >Solyc07g047820.2.1 pep chromosome:SL3.0:7:59152033:59160257:1 gene:Solyc07g047820.2 transcript:Solyc07g047820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNGFKHHSSSCPEIFLKSENNPKIFYSPKPHKKAIGGPFGLWLLRFDAIAFAPTESPLSLYSPLFHHFLLWSPHDHLHELFTLLSSHSLLEMVKPLKPILLYSLNPHRRSRFRGYTYLGRLQFSSKPDLFDQSESIQTEESKRLLFIVSKVSDILSNPRLQWQTNGELQSLSSILRPPHVAKIVEIHENTEVALQFFYWVSKRHFYKHDRNCYVSMLNRLVFDKKFAPADHVRILMIKGCRNQEEMKWVIEYLSELSRKGLGYTLYSFNTLLIQLGKFAMVEAAKSAYQEIMSSGMVPSLLTFNTMINILCKKGRVEEAKMIMSHIYQRELSPDVFTYTSLILGHCRNRDMDAAFVVFDRMVQDGIDPNAATYTTLINGLCSEGRVDEAMDMLDEMIEKGIEPTVYTYTVPVSSLCAVGREKEAVDLVVNMRKRGCEPNVQTYTALISGLSQSGFLEVAIGLYNDMLRKGLLPTMVTFNILITELCRAKYIDRAFNIFRWIEAHGYKPNTITCNALIHGLCLVGNIERAMVLLSEMLKVGPAPTVITYNTLINGYLKRGFLDNAMRLLDLMKNNGCKADEWTYAELISGFCKRGKLDLASALFQEMIKNGLSPNKVNYTALIDGLSKEEKVDDALALLKRMEESGCSPGIETYNAIINGLSKKNRLLEVKRLCNKLAESELLPNVITYSTLINGLCRNGETHVAFEILHDMERRNCMPNLYTYSSLIYGLCLEGQADKAESLLGEMEKKGLAPDYVTYTSLIDGFVALDRLDHALLLLCQMVDKGCQPNYRTFSVLLKGLQKEHELISGKVSIKRETVYSSTAIKKDVSIELLRTLLNRMSEVGFEPNEGAYCTLILGLYREGKTYEADQLIEHMREKGFSPTSAAYCSLLVSYCNNLKVDAALEIFDSLIQQGFQPPLSIYQSLICALCRSSRLKEVEVLFENMLEKKWNNDEIVWTILIDGLLKERESELCMKLLHVMESKSCNISFQTYVILSNDFMRIDLQPQKAEISELISNLTIASHLWFLQLLFCFRSSCVIAGKLSQVHKVSEIAFKTLVFIFFSRYVLIVVNSHLLITMSSKVGIRPKGTD >Solyc11g065920.2.1 pep chromosome:SL3.0:11:51787970:51802185:-1 gene:Solyc11g065920.2 transcript:Solyc11g065920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMKEETIEEESKEAILYVNGIRRVLPDGLAHLTLLEYLREIGLTGTKLGCGEGGCGACTVMVSFFDQNLKKCVHHAVNACLAPLYSVEGMHVITVEGIGNRKAGLHPIQESLARSHGSQCGFCTPGFVMSMYALLRSSKEQPTEEQIEESLAGNLCRCTGYRPIVDAFRVFAKTSNALYTNTSLQDINAGEFICPSTGKPCSCGPKAENSEETVKHNLSNDCGWKPFSYNETDGTTYTSKELIFPPELLLRKLTYLSLSGSNGRKWYRPIKLQHLLDLKARFPDARLVVGNTEVGIEVRLKGIHYPVLISVAHVPELNYIRFEDDGLEIGAGVKLSQLVDVLKKVRNNRPEYETSSCRALIEQIKWFAGTQIRNVASVGGNICTASPISDLNPLWMATGAKFQIIDCKGNVRTCLAKDFFQGYRKVDLTSSEILLSVSLPWNKPFEFVKEFKQSHRRDDDIAIVNAGMRVCLEEKDKKWVVSDALIVYGGVAPLSFAASKTSDFLIGKSWNKELLQDSLKILEKEIVLKEDAPGGMVEFRKSLTFSFFFKFFLWVCHQMDGQTLFLEKVPASHISAVDSSLRPSVSSIQDFEIRRHGTSVGSPEVHISSRLQVSGEAEYTDDAPMPPNSLHAALILSKKPHARILSIDDSGARSSPGFAGIFLAKDVPGNNMIGPVVHDEELFASEFVTSVGQVIGVVVADTHENAKLAARKVHVEYEELPAVLSIEDAIQANSYHPNTERCMTKGDVEQCFRSGQCDSIIEGEVRVGGQEHFYLEPHGTFLWTVDSGNEVHMISSTQAPQKHQKYVSHVLGLPMSKVVCKTKRIGGGFGGKETRSAMLAAAVAVPSYLLDRPVKIILDRDIDMMIMGQRHSFLGKYKVGFTNAGKVLALDLHIYNNAGNSLDLSTAVLERSMFHSHNVYEIPNVRVNGKACFTNFPSNTAFRGFGGPQGMLIAENWIERIAVEVNKSPEEIKEMNFISEGSVLHYGQKVEDCTLGRLWDELKSSCDFINAQNEVEIFNRHNRWKKRGIAMVPTKFGIAFTFKSMNQAGALVQVYTDGTVLVTHGGVEMGQGLHTKVAQIAASSFNIPLSAVFISDTSTDKVPNASPTAASASSDMYGAAVLDACEQIKARMEPIASKSNFSSFEELVSACYFERIDLSAHGFYITPDIDFDWKSGKGSPFRYFTYGAAFSEVEIDTLTGDFHTRRADVILDLGFSLNPAIDVGQIEGAFLQGLGWVALEELKWGDKAHKWIPPGCLLTCGPGNYKLPSLNDMPFKFNVSLLKNAPNTKAIHSSKAVGEPPFFLASAVFFAIKNAIKSARMEAGYNDWFPLDNPATPERIRMACTDEFTKLLVNSDFRPKLSV >Solyc07g007730.3.1 pep chromosome:SL3.0:7:2374983:2380476:-1 gene:Solyc07g007730.3 transcript:Solyc07g007730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defensin protein [Source:UniProtKB/TrEMBL;Acc:B1N679] MAHSIRLFATFFLVAMLLLLSTEMGPISSAEARTCESQSNSFKGTCVRDSNCATVCQTEGFIGGNCRGFRRRCFCTRNC >Solyc01g098660.2.1 pep chromosome:SL3.0:1:88977014:88977408:1 gene:Solyc01g098660.2 transcript:Solyc01g098660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIVNYNINLLLEFSKRLKGIAGELSFFSKIQQKNPLPGSVGTQNIKQNSFPTYFMKPLLST >Solyc09g007680.3.1 pep chromosome:SL3.0:9:1225583:1249318:-1 gene:Solyc09g007680.3 transcript:Solyc09g007680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGSSGVNGRRRSSSRRSHPPPSPQPQPPQPEINANRYVFAAATPYPTQYPNPNAPPYYQYPGYYPPPPAAMPVPLPASYDHHHRMDPGHANWVNGRYPCGPMMPPPAPYVEHQKAVTIRNDVNLKKETLRIEPDEANPGKYLVAFTFDATVPGSMTVIFFAKEGEDCCLTPMKESLLPPVTFEFQKGLAQKFRQPSGTGIDFSMFEEAELSKDSEADVYPLAVKAEATLDNPSESEDGSAASGSTNSQITQAVFEKDKGEYHVRAVKQILWVNDMRYELQEIFGIGNSVESDFDGNDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVERLLEIKVSEGAAEELNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKKYMSRVPYASAVGSLMYAMVCTRPDLAHAVSVVSRLMGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLGFSFFFYKPIVSIKMETSDQRSLAEEDGKNCTNNLNSRVDPPPLLVEKVGESSEIVEELQLCELQTKQLPLEVPERTIDGQTEDFVRIDMSTSPVQTPKRVIFSPSPSPNHVRLNESPSPSLPWAKSSIKSLLPKISFKLRNKTSDIEKAAMLALGVSPLPQDKPSILRTLSIKRIFNSKMNRTSSLPITPIEHSNPESTHGDYRDATFYSVKAGVHPILRSHSVPTLIKDESLKQMDCVGNVYRVVPSTPLVPRHDDTSSNATQTIGAVENANFGGDITQEEAVCRICFIELGEDSETLKMECSCKGELALAHQSCAVKWFSIKGNKICDVCNEEVRNLPVTLLRIQSTIRRGNGSPADSVRYRVWQDVPVLVLVSMLAYFCFLEQLLVTRMGSGAIAISLPFSCILGLLASMTATTMVQRRYAWVYAVSQFALVVLFAHVFYSLLRVQAVLAVLLATFAGFGGVMCGTSFLLELLKWRSRWNYWSNEPHDSQEGAQPNQSSEVTPMPQVESQTRRAEAGTSGVVNGS >Solyc01g110220.1.1 pep chromosome:SL3.0:1:96890611:96891613:1 gene:Solyc01g110220.1 transcript:Solyc01g110220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFPENWLNPHPMHQYLSVPTVPPPPPLHPPTYVNCPLPFAREQYQTVMTTRRQFQPALPPHQYPPALQPLPPPVNSLPRENVLPRWNVPPIRRQTLPAVQPPYPPALQPLPPPPTVNYDKTTILIRNIPFSYNHQRMIHFLDYFCLQENVNAENTHLFAYDYLYLPYDNRNNKIKGYAIVNFTDTRSLRKFIWSFCDGEKVFPGSKRRVDFTIAYVQGKNALVNTYLHAPEAICFNPPRNGY >Solyc07g008210.3.1 pep chromosome:SL3.0:7:2943119:2951283:-1 gene:Solyc07g008210.3 transcript:Solyc07g008210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLRSSSSPLLKQQHYSCLPQEPKKYLSTLIVSSLSQLEYNSIQKKMSRASSESDLNSSNSCCMALHNLQLPITFDEEDKEDEVGLTNGLMLLSNSGLEMTERHEVEEEKHTRAVEQLVVDGGGSGGDGSGKKCGGDGDGDGDSDGDSFEYSTDLYYTKMIQADPGNSLLLGNYARFLKEVSGNMVKAEEYCERAILANPSDGNVLSFYADLIWRAHKDVPRANNYFHKALKVAPNDCYVLASYAHFLWDVEDEEMKKKKEYSVAYATNVGLRESYGNGFIMDGCNEF >Solyc02g080700.2.1 pep chromosome:SL3.0:2:45421198:45421785:1 gene:Solyc02g080700.2 transcript:Solyc02g080700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGQWTPANRAHYNLRDTIARCIVQVYPKSWTAIYMALDNVGMWNIRSENWSRRYLGQQFYLRVHSPANSWRDELPIPKNAILCGKARGHHTRPL >Solyc04g025840.2.1 pep chromosome:SL3.0:4:20754647:20755312:-1 gene:Solyc04g025840.2 transcript:Solyc04g025840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFVSFAVQKLSDFLIQEVSLISNLRDEVTWLRNELLSYSLSSFLRDAELKQHGDQRVVAILETYNFEAGTKEKKFYNVAEEIKSLKKRIMDISCKREIYGITNINSTNSGEGQTNQVTTLRRTTSYVDDQDYIFVGFQDVVQTLKDELLKVEPCRSVVSIYGMGGLGKTTLGRNLYNSSNILNSFPTCPWICVSQEYNTMDLLRIP >Solyc09g091670.3.1 pep chromosome:SL3.0:9:71382958:71405366:1 gene:Solyc09g091670.3 transcript:Solyc09g091670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPANLARDEDDEEALKWAALEKLPTFDRLRKGLLFGSQGAAAEIDIDDIGLQERKNLLERLVRVADEDNEKFLLKLKNRIDRVGIDLPTIEVRYENLNIEADAYVGSRGLPTFINFMTNFLETLLNTLHILPSSKRQITILKDISGIIKPCRMTLLLGPPSSGKTTLLLALAGKLDSSLKVTGKVSYNGHELHEFVPQRTAAYISQHDLHIGEMTVRETLEFSARCQGVGSRYEMLAELSRREKAANIKPDPDIDIYMKASATEGQEANVVTDYVLKILGLDICADTMVGDEMLRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTYSIVNSLRQSVQILKGTAVISLLQPAPETYNLFDDIILISDGYIVYQGPRDDVLQFFESMGFKCPERKGVADFLQEVTSKKDQPQYWSRRNEHYRFISSKEFSDAYQSFHVGRKLGDELAIPFDRTKCHPAALTNEKYGIGKKELLKVCTEREYLLMKRNSFVYVFKFFQLTIMALMTMTLFFRTEMPRDTVDDGGIYAGALFFVVVMIMFNGMSEMAMTIFKLPVFYKQRDLLFFPSWAYAIPSWILKIPVTLVEVGLWVILTYYVIGFDPNITRFLKQFMLLVLVNQMASGLFRFMGAVGRTMGVASTFGAFALLLQFALCGFVLSREDVKGWWIWGYWISPLMYSVNSILVNEFDGSKWKHIAPNGTEPLGVAVVKSRGFFPDAYWYWIGFAALFGFTVVFNFFYSLSLAYLKPYGKSQTVRPEDSGNAENGQAASQMTSTDGGDIVSAGQSKKKGMVLPFEPHSITFDDVVYSVDMPQEMKEQGAGEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQETFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPKDVDEKTRKMFVDEVMELVELEPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIKYFESIPGVAKIKEGYNPATWMLEVTASAQEMMLGVDFTDLYKNSDLYRRNKALITELSVPRPGSKDLYFETQYSQSIWIQCMACLWKQNWSYWRNPAYTAVRFIFTMFIALVFGTMFWDIGTKVSQSQDLFNAMGSMYAAVLFLGVQNASSVQPVVDVERTVFYRERAAGMYSAIPYAFGQVFIEIPYVFVQAIVYGIIVYAMIGFEWEAGKFFWYLFIMFTTLLYFTFYGMMSVAVTPNQNVASIVAAFFYAIWNLFSGFIVPRPRMPIWWRWYYWCCPVAWTLYGLVASQFGDIQSRLTDEETVEQFLRRYFGFRHDFLPVVAGVLVAYLFLPSHLLLLSRHSISREDNSNMEPLDLSNLRGRSIRGSMRENSNSIWRNNGVEVFSRSNRDEDDEEALKWAALEKLPTYDRLRKGILFGSQGVTAQVDVDDLGVSQRKSLLERLVKVADEDNEKFLLKLKNRIDRVGIDFPSIEVRFEHLNIEADAYVGSRALPTFTNFISNFIEDVSGYVKPCRMTLLLGPPGSGKTTLLLALAGKLDSDLRVTGKVTYNGHELHEFVPQRTAAYISQHDLHIGEMTVRETLEFSARCQGVGSRYEMLAELSRREKAANIKPDVDIDMFMKAISTEGQESKVITDYILKILGLDICADTMVGDQMIRGISGGQKKRVTTGEMIVGPSKALFMDEISTGLDSSTTYSIVNSLKQSVQILKGTALISLLQPAPETYNLFDDIILLSDGYIVYQGPREDVLEFFESMGFKCPDRKGVADFLQEVTSKKDQQQYWVRRDEPYRFITSKEFAEAYQSFHVGRKVSNELSTAFDKSKSHPAALTTEKYGIGKKQLLKVCTEREFLLMQRNSFVYIFKFFQLMVIALMTMTIFFRTEMPRDTATDGGIYAGALFFTVVMLMFNGLSELPLALYKLPVFYKQRDFLFYPSWAYAIPSWILKIPVTFLEVGMWTFLTYYVIGFDPNVGRFFKQFLLLVLVNQMASGLFRFIAAVGRTMGVASTFGACALLLQFALGGFALARSKFRALSIYGSSCVVHMLTFLLSPNVVADVKDWWIWGYWTSPLMYSVNAILVNEFDGEKWKHTAPNGTEPLGPSVVRSRGFFPDAYWYWIGIGALAGFTILFNIAYSLALVYLNPFGKPQATISEEGENNESSGSSSQITSTTEGDSVDENQNKKKGMVLPFEPHSITFDEVVYSVDMPPEMREQGSSDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGSIKISGYPKKQETFARISGYCEQNDIHSPYVTVHESLVYSAWLRLPQDVDEHKRMMFVEEVMDLVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDESMPGVGKIEEGYNPATWMLEVTSSSQEMSLGVDFTELYKNSDLCRRNKALITELSVPRPGTSDLHFENQFSQPFWVQCMACLWKQHWSYWRNPAYTAVRFLFTTFIALMFGSMFWDLGTKVSRPQDLTNAMGSMYAAVLFLGVQNASSVQPVVSVERTVFYREKAAGMYSAIPYAFAQVFIEIPYVFVQAVVYGLIVYSMIGFEWTVAKFFWYFFFMFFTFLYFTFFGMMTVAITPNQNVASIVAGFFYTVWNLFSGFIVPRPRIPIWWRWYYWACPVAWTLYGLIASQFADLQDIVNGQTVEEYLRNDYGIKHDFLGVVAGVIVAFAVVFAFTFALGIKAFNFQRR >Solyc09g005460.2.1 pep chromosome:SL3.0:9:326349:327080:1 gene:Solyc09g005460.2 transcript:Solyc09g005460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVFVEHSSCWNRYLVKGGQKYKSPGKAFVEGDASSASYFFGGGGQLSWVELSLLKVVEQAVYRGILSLLRSSRRWGQKLHGRRTVSRLKDLKGTLLEGNIACQ >Solyc10g047220.2.1 pep chromosome:SL3.0:10:40152516:40157358:1 gene:Solyc10g047220.2 transcript:Solyc10g047220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIQSYSSSEEEEEQEEELHYDNSDDDQKDDVPQNRYKPFFNPNPSSSSLLPSALDAFSEISGPPEFLNNSVEEAGKDVNEQRHGRRKYSRNKNDLPAGAVVESKAQLVGLHERVRSDVGGGIPKAATGQGNAFVGTVQGGKSVATASYPGAEDAAELLRMCLRCGIPKTYTHTKGMVCPACSDHPVNSDEEPVKKKGSTVKDKEKNKRMKGQSSHATWKSETEMHLRQQFD >Solyc06g068090.3.1 pep chromosome:SL3.0:6:42313847:42318953:1 gene:Solyc06g068090.3 transcript:Solyc06g068090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D alpha (Fragment) [Source:UniProtKB/TrEMBL;Acc:Q9SDZ6] MAQIQLHGTLHVTIFEVDNLQGEEEGGHFFSKIKQHFEETVGIGKGTPKLYATIDLEKARVGRTRIIENEPKNPRWYESFHIYCAHMASNVIFTIKDDNPFGASLIGRAYVPVEELLEGEEIDKWVEIMDKEMNPTAEGSKIHVKLQFFDVSRDPNWGRGIRSSRYPGVPYTFFAQRPGSRVSLYQDAHVPDNFIPKIPLSGGKYYEPHRCWEDIFDAITNAKHLIYITGWSVYTEIALVRDSRRQKPGGDIMLGELLKKKASEGVKVLMLVWDDRTSVGLLKKDGLMATHDQETEQYFQGTDVNCVLCPRNPDNGGSFVQDIQISTMFTHHQKIIVVDSALPSGELEKRRILSFVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFADGSITKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWRKQGGKDILVNFRELDDVIIPPSPVMYPDDHETWNVQLFRSIDGGAAFGFPDTPEDAAKAGLVSGKDNIIDRSIQDAYIHAIRRAKNFIYIENQYFLGSCADWQCDDVKVEDIGALHVIPKELALKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMEMMYKCIVQAMNAKGIEEDPRNYLTFFCIGNREVKKSGEYEPSESPEPDSNYMRAQEARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAMGAYQPYHLATSKPARGQVHGFRMALWYEHLGMLDETFQHPESEECVRKVNQIADKYWDLYSSESLERDLPGHLLRYPIGVASEGDITELPGHEFFPDTKARVLGTKSDYLPPNLTT >Solyc12g062225.1.1 pep chromosome:SL3.0:12:31519320:31520433:-1 gene:Solyc12g062225.1 transcript:Solyc12g062225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSREPHFKAAIHVLKYLKSDPTLGLFLSNAQTYDLKAHCDSDWASCPDSSKSFSGYLVLLGDCTISWKSKKQETVSLSFAEAEYRSIRNVVGELVWLRRLLIELTIPYTESFEGEALDLTVSCNCQFGAKDDSAQLYVRHHEEASTK >Solyc01g108710.3.1.1 pep chromosome:SL3.0:1:95844216:95844647:1 gene:Solyc01g108710.3 transcript:Solyc01g108710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQRLEMCIGIMELVFEFVIVFIEAVGTVFSQNDTFPAGRNYVAAVPYIGLLP >Solyc08g007070.2.1 pep chromosome:SL3.0:8:1633851:1636051:-1 gene:Solyc08g007070.2 transcript:Solyc08g007070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTETNFPQNIFTINHPTGTYVFRSEASDHLRRFVICRFYAAGNDCFHTKLWPLNSFEQIDERVKSFPGYRINQSNLHINPKCLSIFRTNLTEPTNAATTKNGGPRN >Solyc03g093760.1.1.1 pep chromosome:SL3.0:3:56861895:56864288:-1 gene:Solyc03g093760.1 transcript:Solyc03g093760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTESPSTFKRLKIHATRNFPENCGPFVCQNNGSRKIYPEFPSNTKRVKVDSRRSFPENCGPQKRDGSDTQCSVDADNNSCSEVESAESCNFEATGNQPLKLKEENVIYDESTQHHQVQKQSTDTFDWFIKDEPIENGPAIVSQENLIDCQNDEPSKETCQSVHREEVSDDESRSWVDDDDISILTCSEWNSLTSALKDGKKGGKEGEIIHKCSDILEDFKPLPDIIRPEQQYESVFMKKQMDLGVPQENSRNSAVMCGVSGHGFSTEYEHIHEVKQVRKTLKLFDDVYTKLLQEDKAENPEGRSKRKIHIEAAMTLKNQKKWVNCEWTFGHVPGVQIGDRFRFRAELVMIGLHHQFMNGINYVNIGRKYVATSIVDSGRYDNEAISSETFIYVGQGGNPKVSINARVEDQKLKGGNLALKNSMDMGCPVRVICGRKRVNGEKSDIRYIYDGLYTVTKCWEEIAPTGKYVFKFELKRNPGQPKLNREVVSRPTSLGKVDHFHVNKATKSIMESEFVVDNDVSQGKEKIPICVVNAIDDERLPSFTYITSIRYPDWYYISKPQGCNCTSGCSDSEQCSCASRNGGEIPFNTRGSIIRAQPLVYECGPSCKCPPSCKNRVSQHGPRDHLEVFKTESRGWGLRSRDRVSSGSFICEYVGELLDEKEAESRIDNDEYLFDVGNYDEEIPKRNPMRNNNLKVESDSLGRKDEDGFALDAVRYGNVGRFINHSCSPNLYAQNVMYYHGDRRVPHIMFFASKSIAPFEEFTYHYNYGHVYDKNSNMKRKNCICGSQKCEGRMY >Solyc12g087990.2.1 pep chromosome:SL3.0:12:64467859:64471148:-1 gene:Solyc12g087990.2 transcript:Solyc12g087990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESEKRKERLNAMRMEASQSGDYNEAVGYGGLTNPLTDVPSGNVESYAMPRPRFDYYTDPMAAFSANKRSNNQPHVSPQVSQQCYTRATNPQSPICTPRGNYSVDQRSQGVHHTFNPLGNPGQNSPFGIPQRGSPSAWNNSFDTPKNYLPPNSSMGGNFASPGIQRGGRPGFHYGQGSGQPGSGYGGSPYQGSGYRGNPYQDSGHRGSPSQGSGHRGSPYQHSGNRGSPYQGSGQGRSQWRGNSSSPFSFRGGRRGGRGSHGGTSGESRPDLYYSKSMVEDPWKELKPVIWKAFPEKPWLPHSISAKKAKFPDAPVKSISQQSLAECLAASFNEAASSEAATDGSGT >Solyc01g010393.1.1 pep chromosome:SL3.0:1:5206803:5208650:1 gene:Solyc01g010393.1 transcript:Solyc01g010393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNFEELLNHINKPHIDVIVEFDVGPLLLIFTPKKKWKEKSMQTISVISLCNQLVLQPRQHVSIWISLDTFWYYQVSWFLGINHYTTWYASKNKTNIIGVLLDDSIADSSAITLIRMSLITLLTNYMIHSTDHYIWLYIVSKKSLEEMVRTLKDTKRINYQ >Solyc04g080160.3.1 pep chromosome:SL3.0:4:64505481:64531482:1 gene:Solyc04g080160.3 transcript:Solyc04g080160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAFASASAISDQRQKIEQYKHILSTVLASSDIQQAKQFIDHMLSDDVPLVVSRQLLQTFAQEFDRLEPDVQKEVAHYTLNQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSAMRFVDDTFRISKCVQIARLYLEDDDAVNAEAFINKASFKVNNIKNEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIGDEEIDEAALEQALAAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFAEELKPHQQALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPQKAEKIASRMIFEDRMRGSIDQVEGVIHFEDDTEELQQWDQQIMGLCQALNDVLDSMAKKGLPIPV >Solyc03g098680.3.1 pep chromosome:SL3.0:3:62397152:62399657:-1 gene:Solyc03g098680.3 transcript:Solyc03g098680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQCIKMKRIILLISVVVAFCSIWTSATTTPNQVLQVVRDTNGEILRSDSRYFVVTPPVLGGGGGGVTRGPILEAQDANFVCPFQVMQTALNSDQGRAVFFKPRAPNQIEITESSDVNIKFYLDNPTGMCNNTVWEVEGNIPGTVLKPAFLSTNGGETGNPSKMNTWFQIKKLNDNDEEVNPMYILVFCPNNYEDTCSEITIHYVYKQRRLVLKIGDSFPVVFVKDNNYGIV >Solyc08g008500.3.1 pep chromosome:SL3.0:8:2890334:2891577:1 gene:Solyc08g008500.3 transcript:Solyc08g008500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSQWPQGIVVKTMEEMKIPKNTNTRKIRPQQQQNDEALKNCPRCNSTNTKFCYYNNYSLSQPRFFCKNCRRYWTDGGSLRNIPIGGIISELIQVPNNNSFMPIMPNISDPNSIYLFSSNLDHGLIGSSSISDGGYECNNIIQDLQVCTSTTTSGGILFPFEDLKQVSNTSEQSRDGESSTNGYWDVILGGN >Solyc02g062740.3.1 pep chromosome:SL3.0:2:35049844:35064136:1 gene:Solyc02g062740.3 transcript:Solyc02g062740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHLSEEDSDNIDWDTEDELEIQDTTFSSCRDLRTNGQYAISGDGEASSSSVPGQSTFIQKFLVMGFSEESIAKAIEQNGENSDLVLDALLTLKAIEDSPEEQPSASPHLEPCINSDDSSSEYNENFLDDVYDEDSWSSDSDYCTNSVKQCYVKEESNSLSEKEQTILFLANMGYPVEEVSIAMERCGPEASVSELTDFICAAQMAREEDPYLPEDVKPKLNHGSGGYKKRKMFNQLCKSKKPRAIFDEETIRLPKPMIGFGVPTESVSAIVRRTIPEQAFGPPFFYYENVALAPKGVWDTISRFLYDIEPEFVDSKYFCATARKRGYIHNLPTENRFPLLPLPPRTINEALPLTKKWWPSWDPRTKLNCLQTAIGSARLTDRIRKAVEAFDGEPPMRVQKFVLDQCRKWNLVWVGRNKVAPLEPDEFEMLLGFPKNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDMFPNGMNVLSLFSGIGGAEVALYRLGIQLNNVVSVEKSEVNRNIVRSWWEQTNQRGNLIDFDDVQQLNGDRLEQLIDSCGGFDLLIGGSPCNNLAGSNRVSRDGLEGKESSLFYDYVRILDLVKSIMSRQR >Solyc03g058853.1.1 pep chromosome:SL3.0:3:28404910:28415337:-1 gene:Solyc03g058853.1 transcript:Solyc03g058853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTKVDKVLDNIINVHREIGIMEKFESGAEDLTDVFLRVMESSEFPFLLTNDNIKAVILDMLVAGSDTSSSTALSEMIKSPNVMEKAQAKVRQVFKGKKKTFDDKKEETNINGLTISLKSKVLVNVWAIGRYPESWENPEFFIPEGFENNCIEFTCNHFQFLPFGGGRRICLGILFCLALLTLPLAHLLYNFDWKLPQGINAKDFDMTEENGISAR >Solyc02g021140.3.1 pep chromosome:SL3.0:2:22261065:22263375:-1 gene:Solyc02g021140.3 transcript:Solyc02g021140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Superoxide dismutase [Source:UniProtKB/TrEMBL;Acc:K4B4W4] MSWCCCNRLSTSTSSDLWRQFNIPNVGLRQKKRSVSAYYGLKTPPYKLDALEPYMSQRMVEIHWGEHHRGYVESLNKQIENNDIFYGCTMEQLIKLTYNNGNPLPEFSDAAQVWNHDFFWESMQPGGGDMPKLGFLHQIDKDFGSFTNFKDKFIEAALTLFGSGWIWLVLSREEKRLAIIKTSNAVNPLVWNDIPLIGLDLWEHAYYLDYKNDKAKYVNVFMNHLVSWDAALGRMARAQAFVNLGEPKIPVA >Solyc12g011140.2.1 pep chromosome:SL3.0:12:3975439:3991771:-1 gene:Solyc12g011140.2 transcript:Solyc12g011140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQKVVIRLSLNGHDKKSRSKAFKIAVSQPGVNSAAMKGGENNQLEVEGEQIDAAVLTKLLRKKLKKGAELLSVGLIDKKDGNKNNDPKTELVPMMQWPNHVSYVVYLGEHSHGKEATSIDFDRVINFHHEFLGLHLRSIEKAKEAIFYSYTRHINGFAAILEDEEAEELSKHPKVISIFLNKGKELHTTRSWNFLGLEHEGKIPKNSLWKKARFGEDIIIGNIDSGVWPESESFSDEGMGPIPSRWKGTCQTGSDPTFRCNRKLIGARYFIKGFAAEAGALVTSKFYTPRDTLGHGSHTLSTAGGNFVEGANIFGYGNGTAKGGSPRARVASYKACWPPIIPSDSCTDADVLAAFDMAIHDGVDVLSLSMGGLPVPYAQDSIAIGSFHAMKRGIVVVTSGGNSGAYPGTIANTAPWLITVGASTIDREFSSYIVLGNNKRYRGVSLSSKALPKGKSFPIITGASAKVANATAEEANFCIEGTLDPKKAKGTILVCHRGGSAAFSKCIQATSVGAVGIVILNSAFFGDEMYAEPYLCPATFISYSDGLQVSSYVSSTRKATAYITRPTTELGTKPAPVMASFSSIGPNRVTPEILKPDITAPGVSILAAYTGVQGPADSELDNRRVKFNTMTGTSMSCPHVAGVVGLLKSLHPTWSPAAIKSAIMTSARTRDNTINPMTNSTHLKVSPFAYGSGHIWPNRAMDPGLVYDLTIDDYMNFLCAQGYNETQISFFTQGHFKCPDPISFSNLNLPSITVPKLKGSIVVTRTLKNVGTPGTYKAHIRSPIGITVVVEPNTLEFRKIGEEKSFKITLKVNGQKAPKDYVFGHLIWSDNKHYVRSPIVVKVTKNVR >Solyc05g026540.3.1 pep chromosome:SL3.0:5:41740374:41746604:1 gene:Solyc05g026540.3 transcript:Solyc05g026540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSIPFESSHSVSNNDNNHGWQKVTYVKKQKKKQQKISDSGKVIANGSAISGADNVYKSLEKHSDERRKRIAAQTAAMYGVGDAPVRSAMKHRSDDEDEDYYAEGGAENGAVGEKKKEKVKKPKKPKVTVAEAAAKIDAADLDAFLADITVSYESQQEIQLMRFADYFGRSFAAVAGSQFPWLKLFRESAISKIADVPVSHLPEPVYKTSVDWINQRSFEALGSFVLWGLDSILVDLTAQLAGSKGSKKGGQQTSSKSQVAMFLVLAMVLRRKPDVLITVLPTIRESPKYQGQDKLIVIAWMIVQACQGDLCVGLYLWAHHTLPLVGGKSGSNPQTRDLILQVVERILSAPKARTILVNGAVRKGERLMSPSSLDLLLRVTFPAPSVRVKATERFEAVYPTLKEVALAGSPGSKAMKQVSQQILLLAVKAVGGGNPELSREATNIFNWCLTQSADCYKQWDKIYLDNIEASAAVLRKLNEEWKELSRRQSSTEALKETLKSFREKNEKSLTGGADAHQSHFRDADKYCKMLLARLSRGHGCVKGFVVVLLAMAVGGAIVSQNLEDWDWNKFLALLDIPQTS >Solyc04g080370.3.1 pep chromosome:SL3.0:4:64658210:64664779:-1 gene:Solyc04g080370.3 transcript:Solyc04g080370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVPCCGTEFFVFILVIIGLVLFAGLMAGLTLGLMSLGLVDLEVLSKSGRPQDRSHASKILPVVKNQHLLLCTLLIGNSLAMESLPIFLDKLVPSWAAVLVSVTLILMFGEIIPQAICTRYGLTVGATVAPLVQLLLWLFFPIAYPISKVLDWMLGKGHAALLRRAELKTFVDFHGNEAGKGGDLTHDETTIIAGALELTEKTAKDAMTPISKAFSLDLDGTLNLETLNAIMTMGHSRVPVYYRKSTNIIGLILVKNLLAVHSEENSVPLRKMLIRKIPRVSENMPLYDILNEFQKGHSHIAVVYKDLNETKDMPVNDKDADSTTPKSNSHDIKTALHKADDDQVPKKSPPPTPAFKKRHKGCSFCILDLENTPIPEIPSNQEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKVNMNSSQDFSAEQNSSTQLLQAL >Solyc03g006510.3.1 pep chromosome:SL3.0:3:1090462:1093559:-1 gene:Solyc03g006510.3 transcript:Solyc03g006510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDSFSMDGDDSVAMAASPSFHDGDYSAAGTPVYEEVTVDHVSHTVNNPDPYGFGSGQSIPFGDSEAPIADGNGKAYDLGEDSEGIFSSDGPVLPPPSEMREEGLALREWRRQNAVRLEENEKREKEIRNQIIEEGEEHKKAFYEKRKLNIETNKTNNRDKENVTFFSVYVTNQEKFHKEADKQYWKAIAELIPNEVPNIEKKGRKKDQEKKPSVTVLQGPKPGKPTDLSRMRHILVKLKHNPPPHMLPPPPAPAKDDKAGKDGKDAKNTKDAAPATGDSVPASESTVAQAISQTLEPAATAEVSLFS >Solyc02g085910.3.1 pep chromosome:SL3.0:2:49328482:49329623:-1 gene:Solyc02g085910.3 transcript:Solyc02g085910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSESCSIRPCLQWIKTPDSQSNATVFLAKFYGRAGLMNLINAGPDHLRPAIFRSLLYEACGRIVNPIYGSVGLLWSGNWQLCQNAVEAVLKGTPITPIASEIAVNNNGPPLKLPYDIRHINKDENSTKSSELHRVRTRCRFKRSGANTKAKNSNPVCSGSGDELAHEKMNGSTSHESSLSHQSEEEAAAAAAVAMNVECDSSGMAEVEDSAKDVELELTLGFSSLGTVDSKPKETKRNKDVQLVNGAGECKIELRLH >Solyc02g081050.3.1 pep chromosome:SL3.0:2:45664603:45668686:-1 gene:Solyc02g081050.3 transcript:Solyc02g081050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRAKTINLSSFFLFIILNGEAKSCGNGCEMAIASYHIWSGANLTYISHLFNLTIPVILNYNPQITNQDSITSDTRINLPFSCDCLNGDFLGHTFVYKTVFGDTYKKVATMAFANLTTEYWLKRVNNYDPTSIPDYAMINVTVNCSCGDGEVSDDYGLFATYPIRPGENLSTVAVGSGVPAELLQKFNPGLDFGSGSGIVFVPARDAHGNFPPLKTRSKQAEEESFLQGSSDEHFNENFLKPNEPTKSFKARYLVWFDEILNASGPPNLEKITESGPLFGVISPRPTGITVDKSVEFSYEELAKATNNFSMENKIGQGGFGLVFYGMLKGERAAIKKMDMQASKEFFAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLGEHLRGSSRNPLSWSTRVQIALDAARGLEYIHEHTVPLYIHRDIKSANILIDKDFRAKVVKIGLVNRYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKTNEVITESKGLVALFEDVLHQSGGAREGLCKVVDPKLGDDYPLDSVCKVAQLAKACTHENPQLRPSMRSIVVALMTLSSSTEDWDIGSFYENHLMSGR >Solyc09g018610.1.1 pep chromosome:SL3.0:9:15784029:15784315:-1 gene:Solyc09g018610.1 transcript:Solyc09g018610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLIFIPFLSIIELILGSNQIVVQRPATMEKLSSSPSLQM >Solyc07g045070.3.1 pep chromosome:SL3.0:7:58296159:58319388:-1 gene:Solyc07g045070.3 transcript:Solyc07g045070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGRDFIKAIRRSFFTLHSNTSISQMDQSTRYHYSPTLKWNPTVEEYFIKAYGADHFSRISTALTRPSCYSCIRVNTLKSTSDAVIEKLLEIFKERGMHDVAVQESSTTWEPDNCCKATLKCTGSAESLKAIKSISKCQFPGLDYVVFVKGSGPHAIHYGDNEGKPLKEVIVSRKCAEAVLRGAQVYVPGVLACSAHVERGDAVAVSVAVEQYGPDGGWGVAMTRGTVLQGSQEDPYYFERDGFYIGQATAMMSRAGMFRVTEGVAVDMKERVYRLPSFYDLLEGEIFLQNLPSIITAHALDPQPGERILDMCAAPGGKTTAIASLMKDKGEVVAVDRSHNKVLGIQKLAAEMGLSCITTYKLDALKSVCHGAESDSLPYTCSAEDARTPDLLSTGIDGFDAELLFEKNFNDLQLKDGQYPSKAELRKRIRQLKNGPGRNHTAGGRVEKSKGFSPNSFDRVLLDAPCSALGLRPRLFAGEDTVDSLRSNAKYQRRMFDQAVQLVRPGGVIVYSTCTINPGENEALVRYALDKYEFLSLASQHPKIGGPGLTGSCQLSDGFVEEWLKPGEEDLVQRFDPSSDLDTIGFFIAKFSVGPKNIRVECDAKSGNR >Solyc03g114960.3.1 pep chromosome:SL3.0:3:66295928:66301194:1 gene:Solyc03g114960.3 transcript:Solyc03g114960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCQLGVQGHGFGNARTPLLDRPALGRKRNDAGDGQLGDLEHGDSVPAPNVGFGRVISLAKPEAGSLVLATIALLFAATSSILIPKFGGKIIDIVSGDIQTPEQKSEALNAVKNTILEIFLIVIVGSVCTALRAWLFSSASERVVARLRKNLLSHLVHQEIAFFDVTRTGELLSRLSEDTQIIKNAATTNLSEALRNLATAFIGLGFMFQTSWKLTLLSLAVVPVISVAVRRFGRYMRELSHKTQAAAAVASSIAEETFGAIRTVRSFAQEDYEISRYSEKVDETLNLGLGQAKVVGLFSGSLSAASTLSVIVVVIYGATLTIQGAMTPGALTSFILYSLTVGSSVSGLSGLYTVAMKAAGASRRVFQILDRTSSMPKSGDLCPMGDQAAEVELDDVWFAYPSRPSHMVLKGITMKLQSGSKVALVGPSGGGKTTIANLIERFYDPVKGKILLNGVSLVEISHKHLHHKVSIVSQEPVLFNCSIEENIAYGLGGKASSEDIEKAAKMANAHEFVSKFPEKYQTHVGERGLRLSGGQKQRIAIARALLMNPTILLLDEATSALDAESEYLVQDAMDSLMQGRTVLVIAHRLSTVKSANTVAVISDGQIVESGTHDDLLNKNGIYTALVRRQLQGYKSDS >Solyc11g066020.2.1 pep chromosome:SL3.0:11:51950745:51954077:-1 gene:Solyc11g066020.2 transcript:Solyc11g066020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGLSCGTSDEHGLFSAVQCGDLDTLKSVLDKNPSLIHHSTVYDRQSPLHIAAANGQIEIVTMLLNKSINPDLLNRYKQTPLMLAAMHGKISCVEKLIEAGANILKFDSLNGRTCLHYAAYYGHFESLKAILSTARTSHVAASWGYARFVNVRDGKGATPLHLAARQRRPECVHILLDNGALVCASTGGYGFPGSTPLHLAARGGSLDCIRELLAWGADRLHRDSTGRIPYTVALRYHHGACAALLNPSLAEPLVWPSPLKFISQLNDEAKALLERALMEANKEREKNILKGTDYSPPSPSQSDAGMDDNMSEVSDTEVCCICFDQLCTIEVQDCGHQMCAHCVLALCCHNKPNPTTTSPPEPVCPFCRSNIVQLQAIKVTKNNDTDSDLHSSKLRKTRRSRNFSEGSSSFKGLSAVSSFGKMTGRGSGRIAADNEWIDKPITLD >Solyc11g045430.1.1 pep chromosome:SL3.0:11:30826393:30829264:1 gene:Solyc11g045430.1 transcript:Solyc11g045430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAEIEAKDLFKVKVEILRIMGVLDQTGDLLGRGARALENPRTATGEHSLDKLYTLLSNLESRGVNFESFSQLKGKMKANCLLAGSCMSGNVHVRLREKGGGQKWPCCTSLSSSMGFALSFLGDTHFEMISTPCSPVQVPVRQKNENGKDHAPGFDETKQDFRPSKIKDQGSDYAAPVLAMYLPFPNSSGDILIRTSIIASARALTWIEALEKCWIYP >Solyc09g063015.1.1 pep chromosome:SL3.0:9:61170776:61171848:-1 gene:Solyc09g063015.1 transcript:Solyc09g063015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSVPDFDMDDDFSLPASSGITRTKKSAMAEEEIMELLWQNGQVVMQSQNQRSLKKPHIGNGSGGGGDAVIPSDQAVSREIRHVEETTPHHLFMQEDEMASWLHYPLDDPSFERDLYSDLLYPTPTSTFTTAALPRENRTSTFEIRPPPPQPSPAAPIGTAPRPPIPPSRRTVTENSNRFQNFGHFSRLPKASQRSWIQT >Solyc11g068740.2.1 pep chromosome:SL3.0:11:53665061:53670315:1 gene:Solyc11g068740.2 transcript:Solyc11g068740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MBD5 [Source:UniProtKB/TrEMBL;Acc:K4DA31] MTEAASVSSIPPATVTGDDFRDDSLPRDPLLQSGTYIDAESNVDTTPNKGVRPNAGNVPDLTPAPAEGTQEIQSVDTVAQTVTPLRYGSRSAEVSVERPTWLPESWRFEAKVRTSGATAGTVDRYYYEPVTGSKFRSKTEVLYFLETGGKRKKAITGTTGSGTDATPSETPPIKKQKKSISKTKKVTSFYFDSGNPPQSVCWVQTDTSADTWTPSCNGSVVPGTRKQEWDAVFLSVSKLKRRNTQTGR >Solyc02g071990.3.1 pep chromosome:SL3.0:2:41879619:41886707:-1 gene:Solyc02g071990.3 transcript:Solyc02g071990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCKEAGWIVEEDGTTYRKGCKPVTRIDIGGSVSVSSSSYQLSPGASYNPSPVSSSIPSPVSSHYVANVQNNSDPNSLIPWLKNLSSGSSPSLSNFPHHLCIPGGSISAPVTPPLSSPTASTPRMNDNWENPTANSTWIQQHYPFLPSSTPPSPGRQTPPDSGWLSGVQTPQDGPSSPTFSLVSSNPFGFKEPLSNGGSRMWTPGQSGTCSPAVGPCMDQTADVPMSDAISAEFAFGSNMKGVVKPWEGERIHEECISDDLELTLGNSSTR >Solyc01g105545.1.1 pep chromosome:SL3.0:1:93577700:93578920:-1 gene:Solyc01g105545.1 transcript:Solyc01g105545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNFINNPIIIEHLKNLPPWSYHKHWNEHYKGDFTNLVRVLLKERLALHSPSSATSAAAAATTSFSRLSYHHLRSRSFAIPTAALRQVSSLRSSNSGSRFNLTGPRFNLFHPKPFLFNPLSKPTSRNPPSPKPITASSSPESDKVVIVDVKPKTQGAKLIPLIISVSIGLIVRFLVPRPPEVSPQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTNLSFDQDSNLFKRL >Solyc11g006080.2.1 pep chromosome:SL3.0:11:864220:870530:-1 gene:Solyc11g006080.2 transcript:Solyc11g006080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDLFEDLPPPAAPPSSSNGAAVTTTETSPEPRQPLPPAPAPAPALKSALKRTKPSAESQSETSVPEKRLRFKTTTDASETQVIEAMQKIASHIKNTSKFSKASKLATQLIQAGSVKPATGDHFFAILEAAMSSLTACHEPSVRADYHELFTAAQDTIECLPKKQQNMITTWTMRAVVANDLFTDDSFVFSKATGRIKETISNLPVATEDDDGEEAAALIEKSEAANEDAAQTEDKEESDPFGLDDLIPSTSKKDDRSKGKRVTSTKARKGQEEEETKRFLRSQREALISCLEIAANRYKTPWCQTSIDILVKHAFDNISRFTSRQRDAIEKLWASVREQQVRRKQGKSVSGKLDVNAFEHLQEKYANEKISIRRAVGGSGDRKCQQWLG >Solyc11g071427.1.1 pep chromosome:SL3.0:11:55207724:55208813:-1 gene:Solyc11g071427.1 transcript:Solyc11g071427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIETGLSNGHSEIDSSEREEGIPFDGRDWRLGQSEMFRQLQFLERWKEFWKTLELLTAIDIQ >Solyc02g089267.1.1 pep chromosome:SL3.0:2:51786189:51790455:-1 gene:Solyc02g089267.1 transcript:Solyc02g089267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHILLGHCWSSLAGLPPASLPCTTSPLHFCSCRLLKMYTLYSTVKAMSDQNPKSILLQPTYLLDILSEENQQLVVFLKLHSYYTLRKSKETVVFKNILALKVENCVNWLTQHVLSGLNIIWMICTFMEKSSAFSNNEWKERKPHWTSCLKIQIKLLQVMGFDFLDCLQKPKLYKAIKIVEFSRLIFLKTKLFKFFAAQSGGYFTGFKLWSIQKDVHFDYIEKQNSEGSLAIRVTRE >Solyc08g062140.1.1 pep chromosome:SL3.0:8:50547033:50552356:-1 gene:Solyc08g062140.1 transcript:Solyc08g062140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKRRGMCSPVSSPSATSSGAPLKSNVGGGGWCKSLSDCLILGPKVSRNIKLVQTPQYHHLQQEKVEEREKELEKQKEMKSMYKMRLERTQNYLRYCLQVAQDNGFLELIINNKEKQQESINSSTTIIHATSSPQTPPQQQPHSDITYLIHQAKLNGWYIEPHEIEMKEEVAQGSTAHIYRGKWRGYEVAVKCVLPEFFLLNENGVSFFAQEVETLSRQRHRFVLQLMGACLDPPQHGWIVTELLAMTLKDWLHGPGKRRKERAIPLPLFEERVVKAMEIAQGMQYLHEHKPMVIHRDLKPSNIFLDDSMHVRIADFGHARFLNHEEKALTGETGTYVYMAPEVIRSEPYDEKSDVYSFAIILNELLTGEYPYIQTHYNPSKIALEVAENGLRPQLPEQEDEKLEELIQLIQLSWDEDVALRPSFRAITYTLTNIHHKLILSNI >Solyc12g062910.2.1 pep chromosome:SL3.0:12:35877140:35877835:-1 gene:Solyc12g062910.2 transcript:Solyc12g062910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKLVIVFLMCIVVMSTVHNTTAELFKGCYDECVKDCTESICRNKCEIECDCLDNREKLNSLKPSN >Solyc07g032497.1.1 pep chromosome:SL3.0:7:39573197:39573869:-1 gene:Solyc07g032497.1 transcript:Solyc07g032497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLENLSNSFVEKEGFKKFMSKVQPLFHIPSRRTITRDCYENKFETIFNRNTPRICLTTDTWTSVQRINYMCLTAHFIDRDWVLHKRILNFCPITSHKGEHLAESISNCLLDWKFDNVFTVMVDNASSNDVAVLELSKKLDMWGTNFMEGKHLHVRCMAHILNLIVQDGLKEIGPFIKTVRQMVKYVRSSTSRTRNFFEMC >Solyc10g008710.3.1 pep chromosome:SL3.0:10:2765223:2768698:-1 gene:Solyc10g008710.3 transcript:Solyc10g008710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQPIIIYFIGCNFFLLLACATSCYTSIFGFGDSLTDTGNLVHFYPNGNKPHMYFPPYGETYFHHPTGRCSDGRLLIDLIAQHYGLPLPPPSLDRRNAQNGTNFAVVGSRVMDAQFYEKMGIYDIVTNVSMWDQLNWFKQMLPHLCHNSSGCKEFLESSLFLLGEFGGNDYTHALLSHKTLNDILPIIPLVAQSIASGAHELVELGARTIIVPSVLPLGCSSSYLTNFESLNEEDYDELGCLIWPNELASYHNELLQKELHRLRELHPHVNIIYADYYNASMKIYRAPRKYGFLKSVLVACCGGGGPYNFNVSAQCGTSQATSCEDPKQYVNWDGYHFSEAAYKWITKSLLEGLFSYPPMKNLCLFDVVEAQVSQI >Solyc12g017555.1.1 pep chromosome:SL3.0:12:6834998:6838910:-1 gene:Solyc12g017555.1 transcript:Solyc12g017555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNNNGKGSIGRSYKASFSNDNYSGNTSGNNNYGGSNYFPANNSNRNVHPTSSNLSPNPPSHNEHHSHNTLSPSPPTLLHRRSQRESKIPSHLKDYICSIPILKTPIPTTESIPIHKDLSLNAMFTKHHHITPNDITSVSQALVENICHDSEPLSYEEAAFHLLRYLKQDPTLGLHLSKDPDCSIKAYCDSDWASCPDSRRSVSGYLVLLGNSPISWKSKKQETISLSSAEAEYKFIRKVVGELVWLHRLTNKLTISDSSPIPVFCDSQSAIHIAHNPVFHERTKHIEVDCHFVRNKLQEGLISLHHISTTELLADILTKALTGVKYSALLNKLAVRSSLPT >Solyc05g018240.3.1 pep chromosome:SL3.0:5:20273448:20274987:-1 gene:Solyc05g018240.3 transcript:Solyc05g018240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMPVSCWNAGIPKAAITNWGRFFLLRRFLNGSFMALAASLASLRSANSASTFVVPFLRDTEGLPDCQIQCQLQEDIDQGSALQCSLQKH >Solyc03g120620.3.1 pep chromosome:SL3.0:3:70450223:70457873:1 gene:Solyc03g120620.3 transcript:Solyc03g120620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVDMSNNPPPHETKDFFPSPALSLSLAGIFRDGVGAGSSAGNMETTEEVEEGSAAGSRGVRPREETSTVEISSENSEPMRSRGSDDDLEHDDTCNEDEEDPNNNSKKKKQKKYHRHTVQQIREMEALFKESPHPDEKQRQQLSKQLGLHPRQVKFWFQNRRTQIKAIQERHENSLLKAEIEKLREENKGLRGNSKNPSCPNCGFASSTNNAPTLPAEEQQLRIENARLRAEVEKLRAALGKYQIGTSPNSSSSCSGGNDEENKSALDFYTGIFGLEKPRIMHIVNQAMEQLQKMATSGEPLWIKSFETGREILNYDEYTKEFPPIDKSGDVKSKIMGIEASRDTGIVFMELPRLVQTFMDVNQWREMFPSMISKAATVDVICNGTEGANSWDGAIQLMFAEVQMLTPVVGTREVYFVRYCKQMSAAQWGIVDVSVDKVEASIDASLLKCRKLPSGCILQEQSNAHCKVTWVEHLECQKNIVDSLYRVTVNSGQAFGARRWMATLQQQCERLLFFMATNIPTKDTTGVATLAGRKSILTLAQRMTRGFYRVLGASSYNTWNKIPSKTGQEDIRVISRRNLTDPGEPQGLILCAASSIWLPVSRNVLFDFLKDENHRHEWDVMSNGGPVQSVANLAKGQDKGNAVSIQAVKLRENNMWILQDTSTNAYESAVVYAPVDIAGMQSVITGCDSSNIAALPSGFSILPDGLESRPFVITSRPEDRSSEGGSLLTVAFQILTSNSTTAKLSKESVESINNLLSCTLHKIKTRFQCDNGY >Solyc03g078055.1.1 pep chromosome:SL3.0:3:51274213:51275949:1 gene:Solyc03g078055.1 transcript:Solyc03g078055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKLATLKQSNLVMNYFHSIVGMRTLLECSYRKKRRTNIIASETTLLPMQIFNISQLKQSFHQRGLALDNLGKKKQESTIILQQQHLIILITVIPWGAADGILMMEIYRVLGPGGYWVLSGPHISWKTNYKA >Solyc01g016688.1.1 pep chromosome:SL3.0:1:21220557:21221935:1 gene:Solyc01g016688.1 transcript:Solyc01g016688.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFAHITHFRSLIGALQYLAITRPNIQCAVNRVAQHMHQPSEHDYHCLKCILRYIFGTLGRGLLIRPGDLELRRFSDSDWVNNKNDRKSTSGFLLFFGAEPDLLVYKKITQDDTGNEIKNRIKNMWHEEVEIEIVKGISEMLDEHNVLVKLLHMARDRYREEPNIEFCMCLLSERTNDDRQYNIPTTSEVAGLIIGEIIDVNFQ >Solyc11g005933.1.1 pep chromosome:SL3.0:11:753613:755764:1 gene:Solyc11g005933.1 transcript:Solyc11g005933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMELFRALTSSYLQLSLEPISLSRELLTNDLIPFDALHNMVLGQGSSATFVLSARTLPVAEEKFEELGSDHTMCLQSMTIDNRTSRESEFIDMSTMIISFHVLRPTPQGICYFAIATLHQHE >Solyc05g008140.3.1 pep chromosome:SL3.0:5:2536586:2540107:-1 gene:Solyc05g008140.3 transcript:Solyc05g008140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTLKPVVGSLPTVSEPNGSQTRSAPSLAMLKLNKTIFSPLQLTGNGKELPRQETTATAVSRRELVGLAATTLGGLALFAAEPAEAVEVADIGNSIKELFGFLKGKPKTGADNENKPKSETDEKKPKIETHGNKPKIEADEKKSKVDNHGNKPKVEVDEKKPKNEDDKKAASTPHHSEKEKVSSSSADAPALPSILNNTVT >Solyc10g044647.1.1 pep chromosome:SL3.0:10:27169775:27171179:-1 gene:Solyc10g044647.1 transcript:Solyc10g044647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSGLNSTNRDDFKEYLNQSLEALEIKYGNEDLLGWWSKRSNAFPTLSKMVRDVLAIQASSLASEAAFSAASLAFWIKNLLKI >Solyc07g042927.1.1 pep chromosome:SL3.0:7:56604583:56605665:1 gene:Solyc07g042927.1 transcript:Solyc07g042927.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTSSDDTLWLIEMSFVTLAIRYFFDLNVFVLVAKKNHYATKDPITILKKYIFENNLVNEAELKAIDKKIDESVEESVEFAVQAPIGPDGRYRCEDPKFTEGITQ >Solyc12g088290.2.1 pep chromosome:SL3.0:12:64712219:64721021:1 gene:Solyc12g088290.2 transcript:Solyc12g088290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVYRSSSVEWKPSPVVALATSVDDSQVAAAREDGSLEIWLVSPGSNGWHCQLIIHGNPNSRVSSLVWYQSGSRKLPAGRLFSSSIDGSVYEWDLFDLTQKAVLDSIGVSIWQMAVEPCNNAQLHQNPPKKYENGHVSFTSGVSSDSESSDGEEDDDSVVLHVDDVNENGRIAFACDDGRVRICAISDEMNLSYERLFPKVNGRTLSVTWSSDAKRIYSGSSDGFIRCWDAKLAYEIYRITVGLGGLGSGSDLCIWSLLALRCGTLVSADSSGSVQFWDSRHGTLLQSHSSHKGDVNALAASPSHSSVFSAGSDGQVILYKLAANEVGSHNGDISSVVVKQWVYVSHVRAHTHDVRALAVAVPIAHEEPIVEQKTKKRRFKEKALEFSYHKWAHFGVPMLISGGDDTKLFAYSAKEFTKFSPHDICPSPQRPPIQIAVNTTFSQVSLLLVQASYWIDIFCVGVKNGVVSDSCGPSGGAARTDLVARVKCKTSRKITCSAISPSGVLFAYSDHVRPCLFELKKSGAGKSAWTVSKRKLPSGLPFAHSIEFSADSSRMIISGCDRRIYVVDAVSLELVHVFTPRHKDQREEFPPNEPPVTRMFTSADGKWLGAVNCSGDVYIFNLDKQRQHWFISRLNGSPVTAGGFTPRNSNVLIVSTSSNQVYAFDVEAKQLGEWSNRNTFSLPGRFQEFPGEVIGLSFAPSTNSSSVIVYSSRAMCLIDFGLPVGDDDDTDLANSQDLALKKLHNSSPANGTLKRKLKGNDLDLKQIGRKNFEFCAFRDPVLFVGHLSKTSTLIIDKPWIQVVKTLDAQPVHRRIFGT >Solyc05g018150.3.1 pep chromosome:SL3.0:5:20092379:20106520:1 gene:Solyc05g018150.3 transcript:Solyc05g018150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSENSDGKPSSDPTSESATLLDLTSYQLRDLESVELPPSLTELDLTTNRLSALDPRIGQLPNLKKLSLRQNLITDASVVPLSSWQLISDLEELVLRDNQLKKIPNVVIFKKLLVFDVSFNEISSLSGLSKVSSTLRELYVSKNEVTKMEEIEHFHELQILELGSNRLRVMELLENLKNLQELWLGRNRIRTVNLCGLKCIKKISLQSNRLTSMMGFQECVALEELYLSHNGIVKMEGLSTLVNLRVLDVSANKLTEINDIENLTKLEDLWLNDNNIASLEGLAEAVSSTREKLTTIYLERNPCAKSPNYISTLRQIFPNIEQIDSEVYA >Solyc02g083250.3.1 pep chromosome:SL3.0:2:47261666:47262971:-1 gene:Solyc02g083250.3 transcript:Solyc02g083250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEVEQQQHIEKLEIFKIQGRDKRGRKILRIIGKFFPARNLSVEVVNKYLAEKIFPELEKRPFAVVYVHTDVEKSENFPGVSALRSFYDAIPVKVRENLEAIYFLHPGLQARLFLATFGRFIFSGGLYGKLRYVSRVDNLWEHVRRNEIGMPEFVYDHDEDLEYRPMMDYGLESDHARVYGDAPAVDSPVTMYSMRCIS >Solyc07g039260.2.1.1 pep chromosome:SL3.0:7:46690507:46690857:1 gene:Solyc07g039260.2 transcript:Solyc07g039260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSWCFNGSSVCRSSTILIDPFKLCEPVVGKHFNIFAASLKVTDCVVTVSHRYSWKQTSNGRWRLHQMINENDWKLQDIVNRIDTKESGTLSWTFTYNVRWLPKLLLGHTAVWQALI >Solyc06g060070.3.1 pep chromosome:SL3.0:6:38095058:38098172:-1 gene:Solyc06g060070.3 transcript:Solyc06g060070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPVIDFSKLYGEERAQTLAQISKGCEEWGFFQLVNHGIPVELLERVKKVGEECFKLEREEVFNKSRVVNLLNEFVESNKNGKVENVDWEDVFLLTDDNCNQWPSKTPQFKETMKEYRSEVKKLAERVMEVMDENLGLSKGYIKKAFNNNNNNDAFFGTKVSHYPPCPHPEKVNGLRAHTDAGGVILLFQDDQVDGLQILKNGQWIDVPPIPNAIVINTGDQIEVLSNGKYKSVWHRVLSKPDGNRRSIASFYNPSLKATISPAPELLILEEKKVDQLIATYPTFVFGNYMNVYNEQKFLPKEPRFQAVAAI >Solyc06g042990.1.1.1 pep chromosome:SL3.0:6:30453640:30453846:-1 gene:Solyc06g042990.1 transcript:Solyc06g042990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRCVPKCKNTVQALLCRNLNFKLATLPNATSSSRIPLRNDIVIKMQILVGKGSCHMYNSKEERVEFI >Solyc09g030457.1.1 pep chromosome:SL3.0:9:31753942:31756495:-1 gene:Solyc09g030457.1 transcript:Solyc09g030457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRICSGALVVMKAIQRNNNMYQYQGSTIIGIAATTSYDEKEAEMTKLWHMRLGHAGGKSLKTLLDQGLLKEYMHNPGKDHWQAVKWIIQYIHNTVDVSLVFEQKDSQYLVGYCDSDYAGNLDKRRSTTGYVFTIANAPVSWKSTLQSTVALSTTEEEYMVITEAANEAI >Solyc10g045260.1.1.1 pep chromosome:SL3.0:10:32371570:32371803:1 gene:Solyc10g045260.1 transcript:Solyc10g045260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLCYYLSFDVLILNISRYMWSRFDELYVDSFKIKDVNMLRGEHLSRAIGRLSTEGKKPKFATKNVAKIRILISD >Solyc12g035362.1.1 pep chromosome:SL3.0:12:40026463:40033917:1 gene:Solyc12g035362.1 transcript:Solyc12g035362.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGFRDSSDRINDDPGKDLARPETEKSNSDKSRDDKRLECFADHQIEAVELFSTVAELVPEAGIIAVVTFSRMVLELFQDKMNQIRHSQDAYLKKVIVKILQYPEENVTIRNDGATMLEQTDVNNKIANVTVELLQNQHNKVGDGTIEAYSVQEQTKKLLERGMHLI >Solyc03g093160.3.1 pep chromosome:SL3.0:3:55789350:55796229:-1 gene:Solyc03g093160.3 transcript:Solyc03g093160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQRALLDELMGSARNLTEDERRGFKEVKWDDKEVCAFYMVRFCPHDLFVNTRSDLGPCSKIHEAKLKESFEKSPRHDSYVPKFEAELAHFCEKLVMDLDKKVRRGRERLAQEVDVPPPPPISAEKSEQLSVLEEKIKNLLEQVESLGEAGKVDEAEALMRKVEMLNVEKTTLTQQSQQNSALMIVQEKKMALCETCGSFLVANDAAERTQSHVTGKQHVGYGMVRDFLAEYKAAKEKAWEEERLAREKEAEERKKLREKEHDSRRRRSESSDRDKHRDQDHDRERDRYRGRDRDLDRNGRGGRDFDRGSGWKHGSSRNGRNRSRERYRGRDRSRSRSPIRHGSKRSRSPVHKY >Solyc06g063310.2.1.1 pep chromosome:SL3.0:6:40126494:40129209:-1 gene:Solyc06g063310.2 transcript:Solyc06g063310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRNFYKEIKGLKVKEVPGYLKPMLSVGYAKNAIRKGLDNYHAKYIETSSVDPLLHVCFGGMILSYLVALPNERRHLEHQQHGGGH >Solyc05g020000.1.1.1 pep chromosome:SL3.0:5:25512202:25512561:-1 gene:Solyc05g020000.1 transcript:Solyc05g020000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSNSNPSGDMTIKYGIYLKNHATNFGDYSVDGCREFVKKGYGGTKEAYICANCGCLRRFHRMNSHSLYPPPILRSHFFHLHVHPHGGENAPIISHPFMSQFVSIQYIRRPVFYNYR >Solyc01g081330.3.1 pep chromosome:SL3.0:1:80406770:80427302:-1 gene:Solyc01g081330.3 transcript:Solyc01g081330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLRWTGVSPKSIIKIILSQHSVSANSMINKGNVCTHVSEYLPTLLKNRSLYSCSFIGKPNSSSTRRFPPLKHFFHSTGACYSAERDYYEILGVSRDSTRDEIKKAFHVLAKKYHPDANKNNPSAKRKFQEIRDAYEILQNPQKRAQYDMMKEQPSNNTENINYNHRNGNDFRYTYSTQFSGSFQKIFSEIFENEAENLTQDIQVELSLSFSEAAKGCTKHLSFDADVPCDSCSGLGYPLNSTPKVCPTCQGVGRVTIPPFTATCSTCKGSGRVIKERCRACKASGVVEAIKDVKVTIPAGVDSGDTIRVPKAGHAGRRGVQPGSLFIKLKVAKDPLFAREGADLYVDYHISFTKAILGGKVEVPTLSGKTNIQVPKGVQPGQLVVLRGKGLPKSGFLVNHGDQYVRFRIDFPTNIDNYDNWEKVSNILTHLYTHCSVILFTYLYKNSRIEDDGCHLDVTL >Solyc07g047780.3.1 pep chromosome:SL3.0:7:59121835:59128541:-1 gene:Solyc07g047780.3 transcript:Solyc07g047780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRPSGLPPSLFLSSSDTRASTGNHDPGMNSDQNRESPAESANSRDTWPVIDAATQVKLENQKAEDGYYEQSVTHRPASANKVSLLDIAREQVDIISEKMYLLPNEYLEELKGRLRGMLEGNGGPQQRDELLFLQRLVQTRSDLTANTLIKAHRVQLEILVAINSGIQFFLHHSMNLSQTCLIEVFVYKRCRNIACQSQLPAEDCHCEICTNRKGFCSLCMCVICNKFDFEVNTCRWIGCDSCAHWTHTDCAIRDKQIGTGPSSVNGLGSAEMQFRCRACNRTSELFGWVKDVFQQCAPTWNGESLIRELTVVSKIFRLSENTRGRQLFWKSEELIEKLKGGVAETTACRIILTFLQELEMDSSRSSEAGNKERMIPPQEACNRIAAVVQEAVQTMGVVADEKLRMLKKARQALETCDHELEEKAKEVSELKLERQRKRLQIDELESIARLKEAEADMFQLKADEARREADRLQRIALAKSGKSEEDYASSYLKQRLSEAEAEKQFLFEKIKLQDQSSRSSQGNDIGDSSQELYSKIQEILKSV >Solyc01g058680.2.1 pep chromosome:SL3.0:1:67566089:67566799:-1 gene:Solyc01g058680.2 transcript:Solyc01g058680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDDFTPSTCYREGLGVEDTDIHEFDSYTKDLSFHDQEDITSIGEQGGTTEFDILPVRTRTVTRLLQEKSLLSEVNEDLNLSTILQAKTKKCVPECFMRLW >Solyc12g005093.1.1 pep chromosome:SL3.0:12:70663:76937:-1 gene:Solyc12g005093.1 transcript:Solyc12g005093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFRHRDYKSEEQVHSLHRVAAVTHPLSLQSPSRDQNCLMGKPNGTMSSAYKPHVQINISTLPIKIEGVDVTDYGRDEFFDPLRGDHGKPEDSMKGLGRTSTEIAGEPYRDIAIHFLGKEWTSYKKVLMQKFPVSKMISISSLSSSIMKTGKGPEKPSTDVHLEELDEEGVNYITLQEYVSRLTELKDEISRAWHASDRVTSFNLSIKVNKEKMSGGAILVSNSQFVLLSDKTTLVGSKSYGATTSSDELNDQSGEDFE >Solyc09g061515.1.1.1 pep chromosome:SL3.0:9:59615936:59617671:-1 gene:Solyc09g061515.1 transcript:Solyc09g061515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRLRLHLHLLILLS >Solyc03g044790.3.1 pep chromosome:SL3.0:3:10550001:10552291:-1 gene:Solyc03g044790.3 transcript:Solyc03g044790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylesterase [Source:UniProtKB/TrEMBL;Acc:Q6ED34] MEKGDKNHFVLVHGACHGAWCWYKVVTILRSEGHKVSVLDMAASGINPKHVDDLNSMADYNEPLMEFMNSLPQLERVVLVGHSMGGINISLAMEKFPQKIVVAVFVTAFMPGPDLNLVALGQQYNQQVESHMDTEFVYNNGQDKAPTSLVLGPEVLATNFYQLSPPEDLTLATYLVRPVPLFDESILLANTTLSKEKYGSVHRVYVVCDKDNVLKEQQFQKWLINNNPPDEVQIIHNADHMVMFSKPRDLSSCLVMISQKYY >Solyc01g016370.2.1 pep chromosome:SL3.0:1:19004802:19006694:-1 gene:Solyc01g016370.2 transcript:Solyc01g016370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVKLVFLMLYTFLCQLALSSSLPHLCPEDQALALLQFKNMFTINPNDYCYDTISGIDIQSYPRTVFWNKSTDCCSWDGIHCDETTRQVIELDLRCSQLQGKFHSNSSLFQLSNLKRLDLSYNDFTGSLISPKFGKFSSLRHLDLSHSSFMGVIPSEISHLSKLYVLLLDLGSNNLEGTIPQCVVERNEYLSDLDLSNNRLSGTINTTFSVGNTFRVINLHGNKLTGKVPRSLINCEYLTLLDLGNNMLNDTFPNWLGYLPDLKILSLRSNKLHGPIKSSGNTNLFMGLEILDQSSNGFKGEFTRKNFGEFANHEGN >Solyc10g078310.2.1.1 pep chromosome:SL3.0:10:60270879:60273012:-1 gene:Solyc10g078310.2 transcript:Solyc10g078310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSNWFAKWEEELPKPEELMPLSQTLITPDLAIAFDIPNPTSPNPQSKQQQTPHVQSSQPNSSAEFESTELNGGGGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSNSGSGGSNAQSLSGAGVDPAMDNLFASSPVPAHFLHPGRGNSDHFMPFVPVTPMPHHHHMGVVVGHHPQVQQQYRQFGSPANGHFEHPFLSRQSQQQVQRMGTSVHNGSPVVSSYVEDVDSATAVNGRKVLTLFPTGED >Solyc05g025743.1.1 pep chromosome:SL3.0:5:36231817:36233347:1 gene:Solyc05g025743.1 transcript:Solyc05g025743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRPIDTPMDANIKLLPGQGEPLSNPDSTVRQRLRYFLGIEVAQSKTRIVISKRKDALDILEETGMADYSPIDSPMDSNVKLLPGLGGAS >Solyc04g016410.3.1 pep chromosome:SL3.0:4:7200766:7218887:-1 gene:Solyc04g016410.3 transcript:Solyc04g016410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAAVTDFRSSISSSVQSSKTTADSNSSTSCKLDAGFDCSFSTSSSVPQPSSGFLGRSRRKPRLMKQRKQSGPQIIDPNESSFNRVDPTPKVSGTGTVNGFRFGRSDNVSFGFCAGKSDSTFNTNLDSLGSNKSDVAGNSGAMLNNKNGESFESVEGMGGCRIGKNGKDGFVFGARKIDSDLDSCLGNLGFAFGASNTSSFKFSSKSKHGDWTNKSSSASYLNVSNKEPECSESNGNSKSKMEFGQRKCSGNVGQPQGVKNCLSESYKNGQSSTLQSDKLNANFVFGASKPNFDSEKGACNKDAAYREPEYQGPKLNDTFVFGCGFKGKNKVNEDGKVAEDMENFSREKIQNHNGCWNAPKSDTGCDGKLKFDSSSRNIVDTDFPKTPIYKLSDEMNSLNIGQPAPVNGAEKINGLNSRVNIQNVFLFEFNQSTSNVSTENGASNSCDLPKDVNLKDPVSSSGFDKADTIDGESNAKRACASEIGENFASSFKGGKDKRISGDTVHTNSMFGLSGEQINSFSFSAGISGKENKPINFNSEFVVSSELPQDRPSSDTERDNIPFPLFTTEIFGSRHKVDIPEAPSGHQEEKKEEFSFPRTPFMPGKSFSDFSASNSSKSFSFTADLFSGVNEKLGCGTSSRLRDKKVKKKKSLRQETLVQRVAGQTDLSNGNSSTHNDQSPGCCSPMDFSPYQDTNSSTSADNFTRATESKGDVAANKDTPVFNDSHKKCGEGNEKFSGTDSGKDSDTRRDFSSYTSPSAQDGLSSIRRQYRKKYKLKVDSGSNNINRRKVEFSTDAVQHSSFGCKTSGDIPSGVTSHMRNKFIHVSKVDEDHGMLGLTDREVCEKWRIRGNQAYKAGNLLQAEDLYTKGIKSVSATEISGSCLDPLLLCYSNRAATRMSLRRMREAISDCASAAAFDPHFLKVKLRAANCYLVLGEVEEAVKHYNICLESRINLCLDRRITIEAAEGLQKAQKVSEHLHRCADLLQQRTPDAAKDALAITNETLSISCYSEKLLEMKGEALCKLQMYNEVIELCESSLDIAEKNFTSDFINLNDVDSKSSSLMLWRCLLKSRAHFHLGKLEMALDLIEKQEHLVSVQKRSGNMTQESSSSLAATIHELLHQKKAGNEAFKSGKYTEAIEHYTAAISSSVESRPFAAICFCNRAAAHQALGQIVDAIADCSLAIALDKNYTKAVSRRATLHEMIRDYGHAVNDLERLISLQEAQSQERIRQSEALDKSNGSSAKEAKRTRRQLSTIQEKAKRATPLDLYLILGIKSSDTESDIKKAYRKAALRHHPDKAGQILARSDAMDDGGLWKEISDTVRNDADRLFKLIGEAYAVLSNSDKRAKHDLEEEIRDVQRERGRNSGSCRPSDSYSSPFERTNWSRRQSNFYSSPFGKSSSKHYGQEYWRTYGESHPRW >Solyc05g012340.3.1 pep chromosome:SL3.0:5:5602072:5606182:-1 gene:Solyc05g012340.3 transcript:Solyc05g012340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQQQQRQQGVPARPRSGAGRDGGGDFTPILTVLVAFISIFALIVAPSISTLNNSVSILHQVPEGHVGVYWRGGALLNTITDPGFHLKLPFITQFEPIQVTLQTDLVRDIPCGTKGGVMINFEKIEVVNRLRKDHVYDTLLNYGVNYDNTWIYDKIHHEINQFCSAHSLQQVYIDMFDQIDEKMKDALQADCTRYAPGIEILSVRITKPSIPESIRRNFENMEQERTKVLIAVERQRVAEKEAETQKKIAISEAERNAHVSKIQMEQKLMEKDSARKQEEISNSMYLAREKSLADASYYRTMKEAEANKLKLTPEFLELRFIEAIANNSKIFFGNKARLIFLLHLSSSPLLSLRLTALCAYTKM >Solyc03g007190.3.1 pep chromosome:SL3.0:3:1764498:1769641:-1 gene:Solyc03g007190.3 transcript:Solyc03g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNCVFCGCIEQASVGVVEKWGRFDRLAEPGLNFWNPLAGECLTGTLSTRINSLDVKIETKTKDNVFVQLLCSIQYRVIRQNADDAFYELQNPTEQIQAYVFDVVRAHVPKMNLDELFEQKDEVAKAVLEELEKVMGAYGYNIEHILMVDIIPDASVRRAMNEINAAQRMQLASVYKGEADKILQVKKAEAEAEAKYLGGVGVARQRQAITDGLRENILNFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGDQIRNGLMEAASAKDAE >Solyc01g111470.3.1.1 pep chromosome:SL3.0:1:97607023:97609849:-1 gene:Solyc01g111470.3 transcript:Solyc01g111470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYPPLKPSQLVQTITTLLSSSPKSLPKCSLQSYLPHLTPPIIHSILSSPPLSSRPSTLFSFFQWSQSHIPSFSTHPLPFPSLLPILSSLLSHRRFTVARSLLLTFIPSDHPQHLLHRHLLHPISNFPQPSTELLDTAIGAYCQCGKPHLALQIFKKMKRLRLCPNIITFNTLITALVRYPSTHSLFLCNELFNDALKLGLVPTTITINILIKVYCLAYKYKDANQLLDRMSEFGCVPDNVSYNTILDGLCEKGRLNEVRDLLLDMKGKGLVPNRNTYNILIHGYCKIGWLKDAAQIVELMTQNNTLPDVWTYNMLIGGLCNEGRIDDAIRIRDEMVGLKLLPDVKTYNTLINGCLDNKRSSEAFDLLEEMNQKGIKCNEITYNTLIKGYCKEGKMDKAREVLQKMEEDGLCPDCVSYNTLISAYCKAGNLPEVLRIMKQIGEKGLKMDNFSLNTLLHILCQERKLDEAYELLSVASTRGYLVDAVSYGTLIAGYFRCADTEKALKLWDEMEEREVIPTIVTYNIIIGGLCKSGKTQLAIAKLNELLEKGIVPDEITYNTIIHGYCWEGNIEKAFQFHNKMVENSFKPDVYTCNILLRGLSREGMLEKAIKLFNTWIDKGKTTDVVTYNTLITALCKDQRLDDALGLVAEMEEKNIQRDKYTHNAIVGALTDAGRLKEAEEFMIDRERPSEQRLQMDDREHELRVDELESSSIAYSQQIDELCAEGRYKDAMLIYAQVTQRGIDLQKSTYFTLIKGLIKRRKSISKTG >Solyc10g080870.3.1 pep chromosome:SL3.0:10:62183643:62186642:1 gene:Solyc10g080870.3 transcript:Solyc10g080870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMDVLGYYVIPLIILCFTYWNLKNKWAKSSKPTNWPIFGMLPGLVHNAHRIHSFFTDILLETTSNFEFRGPIFANMDMLFTSDPANIHHILSRNFSNYPKGPEFREIFDILGNGIFNVDSELWEIHRKTTMSLMNHSKFQTLLQRNVWDTIDKGIVPTLDILAKQDTPVDLQDIFQRFSFDTISKLLLDHDPKSLSVNLPHVPCEKAFNDMVDALLYRHVLPERYWKLQKWLRIGKEKNLMKAWESFDQFIYPAISKRQEKLINNEIKDDDFDLFSDYVKAYKEWTNEDDKNLGSVEKFLRDTFLNLMFAGRDTTSSNLTWFFWLLSKNPLVVKKIREEIQQQLHLKEDESLKFFNIQESRKLVYLHGALCEALRLFPPVSIEHKSPLQLDVLPSGHRVSPNTKILISFYTMGRMESLWGKDCLEFRPERWITERGGLKHEPSYKFPAFLAGPRTCVGKEMAFIQMKMVAATMIHNYNIQLVEAQTISPTATVVIRMTNGLMVKVGKKVPI >Solyc01g108087.1.1 pep chromosome:SL3.0:1:95333713:95335860:-1 gene:Solyc01g108087.1 transcript:Solyc01g108087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYMNFKNITDKPQAESNGGKSVGNGDIPLARQSSIYSLTFDELQTTFSGLGKDFGSINMEELLKSIWTAEESQAATSSTGGGEDGIAPVGNLQRQGSLTLPRTLSQKTVDEVWRNFQKETTVCTPDGSETGKSNFGQRQSTLGEMTLEEFLVKAGVVREDMQSTSNSSGITFNNGLSQQNNNNGFNIAFQQPTQNNGLLINQIAANNMLNVVGATASQQQQPQQQQPLFPKQTTVAFASPMQLSNNGHLASPRTRAPAVGMSSPSVNASMAQGGAYTLELEAEVAKLKEINEELRKKQAEIIEKQKNQLTDKRNMTCGYKLRCLRRTLTGPW >Solyc04g074230.3.1 pep chromosome:SL3.0:4:60305274:60309440:-1 gene:Solyc04g074230.3 transcript:Solyc04g074230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAMKAIAKMDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEESKGHEQNVKRIKTYRQRVEDELTKICSDILSVIDEHLVPSSTTGESTVFYYKMKGDYYRYLAEFKAGDDRKEASEQSLKAYEAATATASSDLAPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDEAIAELDSLSEESYKDSTLIMQLLRDNLTLWTSDLEEGGTYFLWRCFNVFLVSILRVMSARERTS >Solyc08g062940.3.1 pep chromosome:SL3.0:8:52436970:52442393:1 gene:Solyc08g062940.3 transcript:Solyc08g062940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASGKWVKALIGFKKSEKEDHEKKVKKWKIWRSDVKGLKQRNGVGSEGSDCSSMNNDAYTAAVAAVVRAPPKDFKAVREEWAAIRIQTTFRGFLARRAFRALKGLVRLQALVRGRQVRKQAAVTLRCMQALVRVQARVRARRVRMSIEGQAVQKILEEHRGKLDPMKEAEEGWCDSKGTLEEVKTKIHMRQEGVLKRERALAYSQAQKQSKSSQNFDSRTTISVPSLKNLDYDKSNCGWSWLERWMAARPWENRLMEQAYTDSMETTPKSKACLETLKDKEKATTEPCSVKVKKNNVTKRISAKPPLVGHTTRSSSSPSSEFRYDESSAASSSFCTSTTPISGNTMLASDRTEDSNSNRPNYMSLTESTKAKQRNQRMLRQSMDEVQFLKLNNGDSKSCAAYDPSVNFSRPLCLPTRMDKFSRLGDKQNCVFE >Solyc01g104040.3.1 pep chromosome:SL3.0:1:92397512:92420530:-1 gene:Solyc01g104040.3 transcript:Solyc01g104040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKHHSIHQNSRMGTRFRFGFWVVIAVAFSICLSGYSVSAANSKPKNVQVALRAKWSGTPILLEAGELLSKESKDHFWDFIELWLHSADENSDCRSAKDCLKRIIKYGRSLLSESLIAMFEFSLTLRSASPRIVLYRQLAEESLSSFPLTDDNSSSSPDEGVLQQSDNAKNKKVNPLLVGENPRSPEGNCCWVDTGERLFFDVAELLVWLQNAKEVSLDTLHPEIFEFDHVHPDSNVGNPVAILYGALGTHCFEQFHHTLTSAAREGKIYYVVRPVLPSGCESKSTPCGALGTRDSLNLGGYGVELALKNMEYKAMDDSIVKKGVTLEDPHTEDLSQEVRGFIFSRILERKQELTSEIMAFRDYLLSSTVSDTLDVWELKDLGHQTAQRIVHAADPLQSMQEINQNFPSVVSSLSRMKLNESIKEEIVTNQRMIPPGKSLMALNGALVNFEDIDLYLLVDMVHQELSLADQYSKMKIPVSTVRKLLSALPPSESSTFRVDYRSNHVHYLNNLEVDEMYKRWRSNLNEILMPVYPGQMRYIRKNIFHAVYVLDPSSICGLETIDAIVSMFENHIPIRFGVILYSAKLIEEIESSGGQLPLSYKEDSPNQEELSSLIIRLFIYIKENRGIATAFQFLSNVNKLRIESAAEDPPEVHHVEGAFVETLLPQAKTPPQDTLQKLEKDHTFKELSEESSLFVFKLGLAKRQCCLLFNGLVHEPTEDALMNAMNDELPKIQEHVYFGHINSHTDILDKFLSESGVQRYNPLIIAEGKVKPRFVSLSALILADNSFFNEINYLHSTETIDDLKPVTHLLAVNIASEKGMRFLREGIHYLMTGTTTGRLGVLFNSIQDPHSPSIFFMKVFQITASSYSHKKGALQFLDQICLLYQHEYMHASSAGTGNSEAFMDKVFELANSNGLSSMGLKSALSGLSDEKLKMHLKKVGKFLFGEVGLEYGANAVITNGRVISLADNTTFLSHDLQLLESLEFKQRIKHIVEIIEEVEWENIDPDTLTSKFISDIVMSVSSSISMRDRNSEGARFELLSAKYSAVVLENENSSIHIDAVIDPLSSSGQKLSSLLRLVSKSVRPSMRLVLNPMSSLVDLPLKNYYRYVIPTLDDFSSTDYTIYGPKAFFANMPPSKTLTMNLDVPEPWLVEPVVAVHDLDNMLLENLGETRTLQAVYELEALVLTGHCSEKDQEPPRGLQLILGTKSTPHLVDTLVMANLGYWQMKAFPGVWYLQLAPGRSSELYALKDDGDGGQETTLSKRIIIDDLRGKLVHMEVIKKKGKEHEKLLVSADEDSHSQEKKKGNQNSWNSNILKWASGFIGGSDQSKKSKNTPVVTGGRHGKTINIFSVASGHLYERFLKIMILSVLKNTQRPVKFWFIKNYLSPQFKDVIPHMAREYGFEYELITYKWPTWLHKQKEKQRIIWAYKILFLDVIFPLALEKVIFVDADQIVRTDMGELYDMDLKGRPLAYTPFCDNNREMDGYRFWKQGFWKEHLRGRPYHISALYVVDLLKFRETAAGDNLRDLPNYAQHTVPIFSLPQEWLWCESWCGNATKPKAKTIDLCNNPMTKEPKLQGAKRIVAEWPELDYEARRVTAKILGEDFDPQDQAAPPAETQKTISDTPLEDEESKSEL >Solyc04g057870.3.1 pep chromosome:SL3.0:4:54906460:54912510:1 gene:Solyc04g057870.3 transcript:Solyc04g057870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGLLLPLPVKLSTFSTAPSSLSTPTLFTVSFAKTISNNLIFVKQSLSSKRPDFRVFADDGDADGGGTDEYDMDEDEVEEADNKKDFDVDYDTLLGGGSAISLAVARGDDDIAMVNSSSFVFTQGWDSEKIVDYRIKEEEFHKICLFDCDFFIRKPPDPDDDVYDFREMYVTPPDTDIYAIPRVLAPMPQKYIRCAMSDYGCYNVTEPPIDAPRDPMYKSEREIMKVFLTKHYRNRRAGDPEFALDFEEIYVIDSKTKSITRAKVVVTVPGGKSRDRKNDLLVIRDNGNSFKIIPSEERDDFTTVIEKEEWKKTRQDMERHLSKLRDFSVSNWF >Solyc09g055710.3.1 pep chromosome:SL3.0:9:44236354:44237350:1 gene:Solyc09g055710.3 transcript:Solyc09g055710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESSPLCCLGHEFRVVLMQIPNMLVDITSWLFESVLDDKHRT >Solyc03g031990.3.1 pep chromosome:SL3.0:3:4505181:4509840:-1 gene:Solyc03g031990.3 transcript:Solyc03g031990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIEELFFLALMPVLKTLLIAVVGLYLAMDHVSILTAEGRHHLNNLVFYVFFPSLCASGLISSSTATGLFSLWFVPVSILITFLVGSALGWILVKITRTPQHLHGLVIGCCAGGNMGNLPVIIIPAICAEKNNPFGDSSTCSINGMGYVSLSMGIGAIGIWSYVYNIIRAYGKRDDGDVSAYSKLRENSHSETSRRALDSTTQTLLPSSNSKGAEVSAELISQGSIDETKVSVPAIIEKKVKSLVEYIDLSVLFRPPTIATVIGIIIASISPIQNFMVGSGAPLRFVESSVVLLGYDSSLTIIMGANLLRGFKRSGVGIWLLFGIIVVRFVILPIIGVAVITVAKNLGMVGTDPLYHFVLLLQYAVPPAMAIGTITQLFEIGETECSVIMFWNYAVAAVALTLWSTYFMYILS >Solyc07g006360.1.1.1 pep chromosome:SL3.0:7:1188979:1190418:1 gene:Solyc07g006360.1 transcript:Solyc07g006360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQLSLLQPPPPPFSTHDSSGGGIFNLNNKVSPSILLVIIILAIIFFISGLLHLAVRCLLRPSNRDPDDLDNVTALQGQLQQLFNLHDAGVDQSFIDTLPVFNYKSIIGVKDPFDCAVCLCEFESDDKLRWLPKCSHAFHMECIDTWLLSHSTCPLCRASLLPDFSSPSHNNHTCSPVVFVLESDSGSSRENSNTNIVPNSHELVGSLRRNNSISRLSLSSSIFDDNISVSDIAKSCDEIQLKEGEIVEKVVQVKLGKFKNVDPQEQHHVGSVDEHEGSSNDIDSRRCFSMGSFAYVLDETTALHVPVRKSPSKKQAIKKLNHRQAMSECGGCDSTREFNGFEGFKFAEKPMKSNKILEQGKCKRESFSISKIWLRGKNDESTNPRRASSFRFPANHNIPVAAQPDDSGSAATKNGCRRTVSEINLGTWENNVGCDEENQCSNRLEYQPKTPSFARRTLSWLMGRQNKVVHSSYSSNL >Solyc12g070113.1.1 pep chromosome:SL3.0:12:29623481:29624962:1 gene:Solyc12g070113.1 transcript:Solyc12g070113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILRFNLGMKSSGEITDLWTNLVLFADENLKLPNLNCISLLYLLGLLASGEEKDGLSSSTFEVRFLFKDDDNDQNAHEAILEVLKGVVRKLVFGEWTSRTKLHDRLHEPIFCCVSLINGY >Solyc10g085830.2.1 pep chromosome:SL3.0:10:65022095:65024774:1 gene:Solyc10g085830.2 transcript:Solyc10g085830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENANGEPSNNNNNDERLAIMELANMISVPMSLNAVVKLKVTDAVWENGSNAPLSPVEILAKIRGPQGGGDAENLQRILRMLTSYGVFKEHVDDGSQRRYSLTKVGKTLVTDENGLSHGSYVLQHHQDALMKAWTMVHEAVNDSSIEPFAKANGEPAYSYYGKNSEMNSLMLNAMSGVSVPFMKAILQGYDGFQGVKTLVDVGGSGGDCLKMILEKHTDIELGINFDLPEVVEKAPQIPSIKHVGGDMFDYIPKGDAIFMKWVLTTWTDDECKEIMKSCYNALPEKGKFIACEPVLPHHTDDSKRTRALLEGDIFVMTIYRAKGKHRTEEEYRQLGRAAGFNECKGFYIDHFFTILEFHKS >Solyc12g087965.1.1.1 pep chromosome:SL3.0:12:64440487:64441324:1 gene:Solyc12g087965.1 transcript:Solyc12g087965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGT >Solyc05g012420.3.1 pep chromosome:SL3.0:5:5665758:5669945:-1 gene:Solyc05g012420.3 transcript:Solyc05g012420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVLKMIQRWRFSQILPQFSHSSTFPFLTLFLYFFNSFKTTILSYLICKQEHSILILTFEHHIYFYIVCLLQFALNMASQKERETHVYMAKLAEQAERYDEMVESMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNEQNVKLIKGYRQKVEEELSKICSDILDIIDKHLIPSAGTGEATVFYYKMKGDYFRYLAEFKTDSERKEASEQSLKGYEASYSLAATATANTDLSSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGEENVKTDEPKAVEPKSADAKSAEAKSTEAKSVEPEEASKDKQ >Solyc09g056225.1.1 pep chromosome:SL3.0:9:47863165:47878315:-1 gene:Solyc09g056225.1 transcript:Solyc09g056225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLPNDNMTREMLAAHAHLWNHTFKDGYLLTPSSCLLLNEEPLSQVPFLQTKLDQNFMDPWNSLSKWIRSDNDDSSTPFAIAHGKPFFEYDERQPNINRQFNEIMARLKSFVDFGGGIGIVAKVIADAFLEMNCIVFDLPHVIEGSEGSKNISYVGGDMFKFIPYADAILLKEKGGKVIIIDIVLMNHNLEKGDDKSYETQLFFDMLMMVHVSVKERNQQDWAKLISGAGFSDYNIIPILGLRSIIEVFP >Solyc00g007150.3.1.1 pep chromosome:SL3.0:2:32641689:32642176:-1 gene:Solyc00g007150.3 transcript:Solyc00g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSKTAPPPASNSSALQTTASTDSSEDNNNWRAVWREAIQAEFQIWLENTSVRQPTSINCLLGSFLCFTIDSFIFW >Solyc01g096820.3.1 pep chromosome:SL3.0:1:87692377:87698141:1 gene:Solyc01g096820.3 transcript:Solyc01g096820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDVIRTSLRDEYEVTDILGRGGFSVVRRGKNRRTNEVVAIKTLRRYEPVPSEKKENNIKINKSSGVVPGLICDTLLTNELLVMRKIVEDVSPHPNVIHLYDVCDDSFGVHLILELCSGGELFDRIVGQPRYNEARAASVVRQIAKGLEALHGANIVHRDLKPENCLFLNKDENSPLKIMDFGLSSFEDFADPVVGLFGSIDYVSPEALSRDKITTKTDIWSLGVILYILLSGYPPFFAPSNRQKQQMILNGQFSFDEKTWKNISSSAKQLISNLLKVDPNMRPTAQQIVEDAWVRGELAKEEETDAEIVSRLQSFNARRKFRAAAMASVLSSSFSLRSKKLKKLVGSSYDLKPQELEKLSHIFKKICKNGENATLLEFEEVLRAMEMTSLVGLAERIFDLFDNNRDGTVDMREIIGGFSSLKYSQGDDALRLCFQMYDTDRSGCISKEEVASMLRALPEDCLPLDITEAGKLDEIFDLMDTNSDGKVTFDEFKAAMQRDSSLQDVVLSSLRPN >Solyc08g048160.1.1.1 pep chromosome:SL3.0:8:14985397:14985747:1 gene:Solyc08g048160.1 transcript:Solyc08g048160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLPDVSRCKSHTTDAHKTQTMSPMYAQKPQEIHTSLCVCCLSLAYVTWSMRAGSIKCRLADTLRPRLMLPSRCARSKADACMPWLTVHVVGRLHLPHKDMARPCMQAFANVASH >Solyc06g073170.1.1.1 pep chromosome:SL3.0:6:45225795:45226301:1 gene:Solyc06g073170.1 transcript:Solyc06g073170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSASKRVSNSLQNSSEFNSACDSVYDDCLSLSQHAFAGVKPYQLFSAVERLHASLSPSVPLIKNWVKSPPTRLQVDKAFKIVSTRRSGEKESEIVLGNEEFKEFSVEVFSDAVVSCAGKELLKRVPVGALGIAGVGAVVKPGKELIAAAIGAYALGVATSVYVSLA >Solyc06g033920.1.1.1 pep chromosome:SL3.0:6:23509126:23512026:1 gene:Solyc06g033920.1 transcript:Solyc06g033920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQKLIVAFCFHSLLILFVHQSQLTYAGKHLCARDEAFYLLQLKQGLTVDPHAYFYGCDSEAEAKTLSWNATRDCCEWGGVTCNVFTGHVIGLDLSSSCLRGTIDANSTLKKLGHLQRLNLAYNELSDFPLGNSISQLSSLTHLNLSHSGNMMQIPAGLTNLSKLVSLDLSWHTKLQFGLTTFRSLLQDLTNLEVLLLDNVDVFGNISELPKNLSSSLRYLSLGDTNMFGNIGESEIFHLPNLQVLRLGNNPLLTGTLPNYRWNFSESVLELDFSNTGIFGKLPGSIANLHYLWRLNLRNCHLSGSIPVSLGNLTTIRELILTRNNFTGNVPSTISQLNKLVYLDLSSNHFRGSIPESIGNLTAITVLDLSYNSFTGNVPSTIQKMNKLSDLSLSSNNFGGSIPDIFANISELSFLGFHTNNFTGPLPYSITTLTRLATLYLQNNSLTRPLPSNISGFQELTVLDLSFNCFTGAAPSWLFHLPSLYNLYVQHNQLTGKLPNELKSNYVEYSDINLSYNNLQGEIPDWMFSPRLGRLDLSHNFLTGFVIQVWPSGSLRYLNLENNFLQGSLYQSFCDMVMLEILILAQNNFSGSIPDCLGNSKSLIYILDLRMNKFHGEIPRFLPTRLEYLGLYGNQLTGQVPRSLVNYTSLEAIDLGNNKLNDTFPIWLEKFPYLRVLILKSNLFHGPIGDFESEFPFPELRIFDLSCNGFTGTLPSKFFKSFRGMMDVNEKKTGITQVTKRTLRGYLYHVSLMIKGNEFNMRITPIMTSVDLSSNRFEGDIPNSIGSLSSLVLLNLSHNIFHGHIPAEFTKLQQLEALDISWNRLIGEIPGPLSSLTFLEVLNLSYNHLAGRIPIGKQFNTFPNDSYCGNPGLCGFPLSKECGNNNESPLEHEDDDSFFMSGFTWEAVVIGYGCGMIFGLLIGGLMFLLGKPKWYVNFAEDIAQQISAKKGTRQKKRRQRRGPR >Solyc06g082980.3.1 pep chromosome:SL3.0:6:48613717:48617915:-1 gene:Solyc06g082980.3 transcript:Solyc06g082980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGEAHPYVPHDLKLPGFVPLFLSQSEIVGVYGISSVLVVVFMWIFSGFVPKLSKTDRVLMCWWIFTGLTHMVLEGYFVFTPDFYQKTSPVYLAEVWKEYSKGDSRYVGRDAAVVSVEGVTAVIEGPACLLAVYAIATKKAYRHVLQLSICLGQLYGTAIYFITALLEGDNFSTSPFYYYAYYVFANHFWVWIPSLIVIHCWKKICAAVRVHEQKTKTR >Solyc11g012567.1.1 pep chromosome:SL3.0:11:5374963:5375940:-1 gene:Solyc11g012567.1 transcript:Solyc11g012567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSLLQKYSKPFSMSYIYPKSPVFPCYEAFIAESDVVDKLTNVALNGTLKNRCLCIFDTLSSNNEEFKLHEMQQQLQWRLY >Solyc10g080490.2.1 pep chromosome:SL3.0:10:61871886:61879235:-1 gene:Solyc10g080490.2 transcript:Solyc10g080490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLIQNLAPPFACRRSLYTSSFLLPITIFPGKYRNVRKKLRVCRAMTEQTPAEGPSSPFVKEIQRLSAKESLLLALKDAGGFEAVITGKTKDAQRIDVNERIIALERLNPTPRPTTSPFLEGLWNFEWFGTANPVFLATRLLFGRVPSTLANLSKLDLLIRNGYGTAIAHVKLLNTIENKFVLSSKFSVEGAFRMKEEYVEGIFETPKVDEDIVPEQLKGALDQAVNTLQQLPVPIRNTVSRGLKIPLGEAFQRLIIISYLDEEILIARNTAGEPEILTRLDPVLDPEPLSDYES >Solyc10g050875.1.1 pep chromosome:SL3.0:10:51025400:51028929:-1 gene:Solyc10g050875.1 transcript:Solyc10g050875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVERESQRSLATPAISTEITSMTAFMNDAATSSSKPEKNWNLQCDFLRRKDIPRQSAIKLLLKLLEKDSTPECSSNITSIVHDFSQNVGASMSGDWMLELGDMAREQGIKNDTVHGNAHEWIVDTRATNHMFSDLSLVENQIALPNNLPRIVHLPNGNMTEHIATPNSFKLASPSVQPPPILPNVPPSLPPPLVVELRHSTRNSQGLIKGILMNQRKYVMEMIGDLGLSGSEPAWTPLEFNQKFTTNKLNDLTGIGDDELLEDKGKYQKLIGKLLYLTPTSNGDNTLTVFFDADWASCPNIRNYVTGFLEHGFNMFRVCVADWIV >Solyc05g009910.3.1 pep chromosome:SL3.0:5:4131395:4135418:-1 gene:Solyc05g009910.3 transcript:Solyc05g009910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLAAARADNFYYPPEWSPKKGSLNKFRGQHALRERARKIDQGILIIRFEMPFNIWCGGCESMIAKGVRFNAEKKQVGNYYSTKIWSFSMKSACCKHEIVIQTDPKNCAYVIISGAQKKTEDYDAEDAETLVLPVDEDKSKLVDPFYRLEHQEEDLKKKKKAEPLLVRLQRVSDTRHSDDYAMNKALRATLRGQKKRVAEEEAAAKKVGLGIRLLPPSTEDAATAASVKFAHKFDKNRRDKRAMIYSGSIFGSSGSSKHSELESKRRKINASAASKLLVGGFKPSSWSEATVPSKKRRV >Solyc12g010210.2.1 pep chromosome:SL3.0:12:3322689:3326453:-1 gene:Solyc12g010210.2 transcript:Solyc12g010210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 6 [Source:UniProtKB/TrEMBL;Acc:K4DCA8] MAIRLQFENSCEVGVFSKLTNTYSLVAIGASENFYSVFEDALPENFPVIKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELWHLRNSLPDRVVVHRIEERLSALGNCIACNDYESLAHVDLDKETEEMIADVLGVEVLRHTIADNILVGSYCAFSNLGGLVHPHASIEEMTELARLLGIPVTAGTVNRGSEVIAAGMIVNDWAAFCGSDTTATEVAVIDNIFKLQGAQPSLNEIRKSVIDMYV >Solyc10g076350.2.1 pep chromosome:SL3.0:10:59393415:59398010:1 gene:Solyc10g076350.2 transcript:Solyc10g076350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLNISTNVNLEGVDTSSVLSEATSTVAKLIGKPEAYVMIVLKGSVPMAFGGTEQPAAYGELVSIGGLNADVNKKLSAAIADILETKLSIPKSRFFLKFYDTKVCPSKSRICTMSSCYTPVLDHGSFFGWNGSTF >Solyc07g053720.3.1 pep chromosome:SL3.0:7:62268313:62271761:-1 gene:Solyc07g053720.3 transcript:Solyc07g053720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTTTGMRRWNFKENEKLVSVSDLTVRSVLNKLRCCVDPADTRPTIPLGHGDPSAFPCFLTTTIAEDAISDAVRSAKFNGYSSTVGILPARRAVAEYLSQDLPYKLSPDDIYLTSGCGQAIEILLNALARPNANILLPSPGFPYYEAWGGFTQMEMRHFNLLPEKEWEVDLNAVESLADENTVAMVIINPGNPCGNVYSEEHLKKVAETARKLGILVISDEVYAHLAFGSKPFVPMGIFGSIAPVVTLGSISKRWIVPGWRLGWLVTNDPNGILKEHGVIDSIMGYLNISTDPATFIQGAIPQILQETKDDFFSKIVNMLREDADICYERIKDIPCITCPSKPQGSMFLMVQLHLNLLEDIEDDLDFCAKLAKEESLIILPGVAVGLKNWLRITFACEPSYLEDGFQRLNAFYKRHAKKQ >Solyc05g025950.1.1 pep chromosome:SL3.0:5:38401235:38401693:1 gene:Solyc05g025950.1 transcript:Solyc05g025950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEIEVFTGYSLLLTALTIPENDNAGSFDFAFIDINKVNYQKYHERMLELV >Solyc08g029170.3.1 pep chromosome:SL3.0:8:38076604:38087732:1 gene:Solyc08g029170.3 transcript:Solyc08g029170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CJX9] MEGILPTTAFSVSSSSSKSDRRSKSKSTQTSSKPSLVLALISCFAWLYVAGRLWQDAENRVLLVALFKKNSQQRPKMLTVEDKLMVLGCKDLERRIVEVEMELTVAKSQGYLQNQLKQSSSSSGKQFLAVIGIYTGFGGRLRRNVIRGSWFPNVDALSKLEARGVLVRFVVGRSPNRGDSLDRNINEENRATKDFLILGSHEEAHEELPKKAKYFFSSAIQTWDAEFYVKVDDNIDVDLDGLIELLQKRRGQNSSYIGCMKSGEVVSEEGKSWYEPEWWKFGDEKSYFRHAAGSVVVLSKNLAQYININSASLKSYAHEDISIGSWMMGLQTTYIDDSRLCCSTTTSQDKVCSLA >Solyc06g082850.3.1 pep chromosome:SL3.0:6:48552576:48554508:1 gene:Solyc06g082850.3 transcript:Solyc06g082850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKTLDSGASLRTHIFISSRCCPPSPFQIRTNKLKLYKKNKMPSTAPSPFPDNQIRRPISGRKPLQPKNTPATPVTSNSNKPEKWIEISVTQNSNKENLHPGISIPKKATNRISCIHDEPFDSSLAEELSAIREKLERLKGDKEKTEKMLKERDLMLDLQMKELLNRGDMQKQLELEVDRLFRLNELRLSCTQKISPIRTLREKIEEKKIKGDHLKELNYDEEDEIMTDSSSDKDPNA >Solyc02g081160.3.1 pep chromosome:SL3.0:2:45779044:45786774:-1 gene:Solyc02g081160.3 transcript:Solyc02g081160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit beta [Source:UniProtKB/TrEMBL;Acc:K4B9Y6] MAAAALSLLNNGELASSVKSPGTGRYAAVYSEVQNSRLDHPLPLPSVLGSPFKVVDGPPSSAAGHPEEIAKLFPSLYGQPSVSLVPDDSGDVAMNQILKIGVVLSGGQAPGGHNVISGIFDYLQTHCKGSTMYGFRGGPAGVMKGKYVGLTPEFIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRSKNLKTRVIGCPKTIDGDLKSKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNVTLIGEEVFAKKLTLKNVTDYIADVVCKRAESGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVVDEAGVWKKKLTPQCLELFELLPLAIQEQLLLERDPHGNVQVAKIETEKMLIQMVETELDQRKQKGAYNAQFKGQSHFFGYEGRCGLPSNFDSTYCYALGYGAGSLLQSGKTGLISSVGNLAAPVEEWTVGGTALTALMDVERRHGKFKPVIKKAMVELEGAPFKKFASKREEWALNNRYINPGPIQFVGPVANKVNHTLLLELGVDA >Solyc08g006150.3.1 pep chromosome:SL3.0:8:874810:878200:1 gene:Solyc08g006150.3 transcript:Solyc08g006150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:K4CIA4] MVFWIFGYGSLVWNPGFEYDEKLIGYIKDYKRVFDLACIDHRGTPEHPARTCTLEESKGAICWGAVYCVRGGPEKEKKAMEYLERRECEYDSKTLVDFYTDEDSPQPALTGVIVFTSTPDKVNNKYYLGPAPLEEMAWQIATAHGPCGNNREYIFKMEKALYDIDHEDDYIIELANEVRKVLEIVGAGIQKEKELLGSSHIPLKTQTSPVKIFSLPEAPAVAVAAADS >Solyc12g036457.1.1 pep chromosome:SL3.0:12:46792442:46794931:-1 gene:Solyc12g036457.1 transcript:Solyc12g036457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNPIGERSKNIMEVLANISKKVAEMNGRVGVMEERGDQDRMGYRGDKMEYIGDRMENIGNRFRERNDQDRGLKIIKVKYALTQFEGYASTWWESKRRERESHHNYELPTWQELITFMDLRYMTPNYYQEVLKKLYMLIQGTKFAEEYCDEFENLRMKSKIKEYMKCSVIRFVENLRYDILKPLKLKHYETLEVSFDDASKVEVDLNEKKSYKAKSSITSTWIKSLDNWKTTSSGSCTNVVSSSMVESMKIHTSKHPNPYKVQWLNESGDMKVLKQSSIRFSVRKYNEELVCDVVPMLACHLLLGRPWQFDRDVEHQGRFNKYTFVIEGKKYVIAPLTPYQVSEDYRVMKELRDRIKTTEEKGDGESSTIVPKEESTLSKNKKNMCMIAKPRNCLKGVDEGSFLVCLVNTNLLLHANQDTSTLPNIISSLFQGYDDLFSVEMPAGLPPLRGIEHQIDFIPGS >Solyc06g008190.1.1.1 pep chromosome:SL3.0:6:2071093:2072628:1 gene:Solyc06g008190.1 transcript:Solyc06g008190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKALGKHRLIYRTRISSYVKTGLVNEALQVFDEMTQSDCRVFSIDYNRIIGVLIRTSHFDLAEGYYNEMKPVGFSLNSLTYSKFICGLCKVKNFDLISRLLNDMDRVGIVPNFWAYNMYLNLLCSENLVDIALELIRVMGEKGREPDVVSYTIVISGLCRIGRFDNAVEMWHTMIRKGLRPDNKACKALVFGLCGSGKVDLAYELTLGILRGQVKFNTEIYNTLINGFCRAGRIDKAQAIKTFMKRNGCEPDLVTYNVFLNYCCNELMLEEAMKLIEKMEGIGLEPDGYSYNQLLRGFCKANRLDKAYKLMVDKMETKGLVDVVSYNTIIRALCKTDQSKKAYILFKEMEQKKILPDVVTFTILIEASLKEGNSSVAKALVDQMTSMGLFPDRVLYTSIIDNLCKTGKLGVAESIFRDMVEQGVAPDVISYNALISGFCKASRVTEALSLYEDMQTRGLNPDEITFKLIIGGLIQGKNLSVACAIWDQMMEKGFTLDRDLSQTLINAINP >Solyc09g074680.3.1 pep chromosome:SL3.0:9:66928991:66936785:-1 gene:Solyc09g074680.3 transcript:Solyc09g074680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSQMKVIELEEGWEFMQRGITKLKKILEGQQHSFSSEEYMMLYTTIYNMCTQKPPNDYSQQLYEKYREAFVEYISSTVLPALRERHDEFMLREFVKRWANHKLMARWLSRFFHYLDRYFIARRSLPGLHEVGLMCFRDLIYQELNGKARDAVIVLIEQEREGEQIDRGLLKNVLDIFVGIGMGEMEYYENDFEEAMLKDTAAYYSRKASSWIVEDSCPDYMLKAEECLKKEKDRVSHYLHVLSETKLLEKVQNELLVVYTNQLLEKEHSGCRVLLRDDKVEDLSRMYRLFHRVPKGLEPVSNMFKQHVVAEGMVLVQQAEDTTNSKAESSGSGEQQVFVRKLIELHDKYMAYVTNCFANNSLFHKALKEAFEVFCNKIVSGCSSAELLASFCDNILKKGGSEKLSDDDIEETLDKVVKLLAYISDKDLFAEFYRKKLSRRLLFDKSANDDHERLILTKLKQQCGGQFTSKMEGMVTDLTLARENQNHFQEYLSNNPAASPGIDLTVTVLTTGFWPSYKSSDLCLPVEMVKCVEVFKEFYQTKTKHRKLTWIYSLGTCNINGKFDSKTIELILGTYQAAVLLLFNSSDRLSYSEIKSQLNLADDDLIRLLQSLSCAKYKILIKEPSNRTVSSSDHFEFNSKFTDRMRRIRVPLPPVDERKKVVEDVDKDRRYAIDACIVRIMKSRKVLPHQQLVLECVEQLSRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFKYLA >Solyc07g007460.2.1 pep chromosome:SL3.0:7:2166047:2167624:1 gene:Solyc07g007460.2 transcript:Solyc07g007460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHHVVSFSLFIFFLFLTIQNWSRNSKILKKKLPPGPWRLPIIGSVHHLTRGTPHRVLRNLSQKYGPIMYLQLGEVPTIVVSSQHMAKQILKTHDLAFASRSVTMLGKIICYNCKDIAFSPYGDYWRHMRKLSVLELLSAKMVKSFSSIRYNELSNLLSSIGSTVGSPINLSKKTFSVYECFDMSIFGKTYKNQNELVMLIQRAVSLSRGFELADLYPSKTFLHGISGMKSKLMKARTKVDMLLDNMINVHRENRANGKNCNGESVTEDLIDVFLRVMESGEFQFPLTNDNIKAIILDMFVAGSETPCSTAIWALSEMMKSPSVIAKAQAEVREVFKGKETCNDDTVLEKLNYLKLVIKETLRLHPPTPLLVPRECREETKIDGFTVPLKSKVLVNVWAIGRDPMSWEKPECFVPERFENSSIEFTGNHFQFLPFGAGRRMCPGIHFGIALVTLPLAYLLYKFDWKLPQGTNLREFDMTKSNGITARRENDLYLIATHSY >Solyc09g098035.1.1 pep chromosome:SL3.0:9:72447136:72448881:1 gene:Solyc09g098035.1 transcript:Solyc09g098035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCNVNVPFGAGLRICPEFPLALRIVPVILGSLLKSFNWKLEANIVPKDLDMEEKFGITFR >Solyc06g018002.1.1 pep chromosome:SL3.0:6:15969536:15970670:1 gene:Solyc06g018002.1 transcript:Solyc06g018002.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEYKIRLGYVYNTLGGGTKTLIYVELPNQILSLIQCTYLNPASNKWDTTKPSTKGTLTASSSYRFLMENEHEDQQNLNNPCWIWALKCPNRIKFFLWLFHHLILTTKSYHQYIRMDVSNLCNLCKNPETIEHIFVECSNVRELWRELGDYLQRSNWLTLIKDLNINIKSHITWKEVYPFYIWIIWLKRNKNYHNNEIKNILWAIYHIVECYILTDNTHTDEKNIIICLKLTAPSQGYKLNSDGSSMGSTSQGGTSGVIRNSKVNVDFNEIITLIHREHPTYENISSDCRDLLQRLRNPPIHHEFIETNQVVDSLAKEGAKMDQIIS >Solyc02g092360.3.1 pep chromosome:SL3.0:2:54087693:54091448:1 gene:Solyc02g092360.3 transcript:Solyc02g092360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKNKSRNLTTIRCYPGRRCSFSVLLWSIVGCLVLLNLYCFIFEKDAPHGGAHLRINQHQHFRELEEVEEDNIQMPPPRKRSARAVKRKPKRPTTLIEEFLDEASQLRHVFFPGLRTAIDPCRSSGNDTYYYYPGRMWLDTEGNPIQAHGGGILHDQRTKMYYWYGEYKDGPTYHAHKKGAARVDVIGVGCYSSKDLWTWKNEGIVLAAEEHNETHDLYKLNVLERPKVIYNEKTGKYVMWMHIDDTNYTKALTGVAISDLPTGPFNYLYSKRPHGYESRDMTLFKDDDGVAYLVYSSEDNSELHIGPLNEEYMDVTQSMRRILVGQHREAPALFKHEGTYYMITSGCTGWAPNEALAHAAESIMGPWETIGNPCIGGNKVFRETTFFAQSTFVLPLPELHGSFIFMADRWNPADLKDSRYVWLPLRVSGPVDHPLEYNFGFPLWSRVSVYWHKRWRLPYRWQEIN >Solyc02g014150.3.1 pep chromosome:SL3.0:2:15678472:15691486:1 gene:Solyc02g014150.3 transcript:Solyc02g014150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAPTNRMALAHSIFTPLKPLTTTPRPLLPHNFPPRLPLCRSANINRRQLIADTAAAIILPPLLGVGVSLSPLPVAKAEDTPLSEWERVFLPIDPGVVLLDIAFVPDDPNHGFVLGTRQTILETKDGGTTWVPRSIASAEEEDFNYRFNSISFKGKEGWIIGKPAILLHTSDAGENWERIPLSSQLPGDMVYIKATGEQSAEMVTDEGAIYITSNKGYNWKAAIQETVSATLNRTVSSGISGASYYTGTFSTVNRSPDGRYVAVSSRGNFYLTWEPGQAYWQPHNRAVARRIQSMGWRADGGLWLLVRGGGLYLSKGTGLTEDFEEVSVQSRGFGILDVGYRSQDEAWAAGGSGILLKTTNGGKTWIRDKAADNIAANLYSVNYQ >Solyc10g087013.1.1 pep chromosome:SL3.0:10:64173308:64176094:1 gene:Solyc10g087013.1 transcript:Solyc10g087013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVYAMGRDPKYWEDAESFIPERFDHSSVDFMGNNFEYLPFGAGRRMCPGMSFGLINVYLPLAKLLYHFDWKLPHGLKPKDVDMTELSGVTAARKTEIYLIATPYYPSRPWKLPFLGSLHHLAIGGLPHHALTKLGKKYGPLMHLQLGEISTVVVSSMDMAREVLKTHDLAFASRPKLASIDIICYKSTDIVFSPYGEYWRQMRKVCVMELLTAKNVRSFSSILHDEASRLVQFIQSSTHGEPINITERILWYTSSITCKTAFGDQLLKDQEKFIQMVKKLVELASGFSLADIFPSIKILGVLTGARSRILKVHKNVDAIVEDVINEHKKNLASGKKGNGAFGGENLVDVLIRLMGSGELKIPITNDNIKAIMVDLFSAGTETSSTTATWAMTEMMRNPRVLKKAQAEVREAFKGKETFDEDVIEELKYLRQVVKETLRLHPPVPLLAPRECREETNINGYTIPLKTRVMVNVWSMGRDPKYWEDAESFIPERFARSSVDFLGNNFEYLPFGAGRRMCPGITFGLFNVYLPLAKLLYHFDWKLPDGQKPEDVDMTELSAITAARKSELYLIATPYHPSQ >Solyc03g111170.3.1 pep chromosome:SL3.0:3:63301903:63308078:-1 gene:Solyc03g111170.3 transcript:Solyc03g111170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNGYCGDTKIFKSLRPSVPLPPLTDPLSIVQYAFSLLNSPTTGINISATTFLIDADTGHCLSYADFLHQTQNLAASIQARYPSLSQNDVAFVLSPTSIHVPVVFFALLSLGVIVSPANPLSSSSELTHMVQLCKPLIAFATSSTVGKLPSSLFPLGTVLLDSPEFRKMIQIPISNPISYPIIHQSDSAAILYSSGTTGKVKGVELTHRNLIALIASLFYSRFNDDAANESEITEQAVAFMTLPLFHVFGFFMLIRLASMGEAMVITARFDFEKMLAAVEKYRVTYMPVSPPLVVAMAKSDLALKYDLSSLQLLACGGAPLGKEVAERFKSRFPNVEILQGYGLTETTGGATGMNGPEESNQYGSAGRLGVNVEAKIVDPESGKTLPPGQRGELWLRAPTIMKGYVGDKQATSATLDPEGWLKTGDLCYFDADGFLYVVDRLKELIKYKAYQVPPAELEHLLQSIPDVADAAVIPYPDEEAGEIPMAYIVRRPGSTISESQIIDIIAKQVSPYKKIRRVAFINAIPKSPAGKILRRELVNHATNGASARL >Solyc07g005140.3.1 pep chromosome:SL3.0:7:161726:166942:1 gene:Solyc07g005140.3 transcript:Solyc07g005140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGNMSGGERGNNYDNFPIGMRVLAVDDDPICLKLLDGLLRKCQYQVTTTSQARMALKMLRENRDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDTKLVRKGIDHGACDYLVKPVRIEELRNIWQHVIRKKKVEPKSQSKSNDQDKSYQKRARVVWSIELHRKFVAAVGQLGIEKAVPKRILDLMNVDGLTRENVASHLQAIKYRLYLKRINSVQTQQANMVAALGGRDYVRMGSLDGLGDFRTLGGSGRYTHAALSSYSSGGMLGRLNSAAGLSVRNLAASQLLQPSHGQNLSNSVNAFTKLNPSIPPASQNASLFQGIPASLELDQLQQSKSSAHIPLDESRLLTTDVLGCSNNSLPNNPMLLPGNPQQPLTGGGFGNQHSQNITPFSSDSFNTGVNGSSNFLEHGRCNDWQNSVQLSKFQSNSFPLTESFINSHLPQISAREAAAHLQNSPLDFTSTTSVSPPFEDSRGEIQFRQSMASAVQSMNQTPSQAWADNKQQYSHNSNNTFGNNLSSQVPNDGSMASLSHSMNQNNENFGRRMDMSLIGRSSGGSSTLVQHTEHEKLTPDSRTRSNEEYLLEPTKQQVGFSPQGYDSLDDLMTAMKREQDGGMLDEGTIWI >Solyc07g056260.3.1 pep chromosome:SL3.0:7:64220617:64247077:-1 gene:Solyc07g056260.3 transcript:Solyc07g056260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGTKAEGGVGPPRTASRRVSKAPTMVDPAAGEDQNSLDSELVPSSLASIAPILRVANEVEKQNPRVAYLFISKLRSRRFHAFEKAHRMDPTSSGRGVRQFKTYLLHRLEREEEETQPQLARSDPREIQKFYQNFYEENIRDGHQTKKPEEMAKIYQIASVLYDVLRTVVPSSKVEDEVIFVFLRSPWNFPTKRYAKDVEEKRDYYEHYNILPIYAAGVKPAIMELPEVIRIALISVSFADMLLLHDYIGLLYLIFITEKIDVFLFLDQIKASLRAIRNMDNLPVLRMPDDKDKSVNDILEWLASAFGFQKANVANQREHLILLLANMDIRNKSVDDDANYNELDTYTVKQLKDKIFKNYESWYKYLHCPTNLRFPPGCDKQQLELLYIGLYLLIWGEASNIRFMPECLCYIFHNMAHEMHGILFGNVLPVSGGAYQPVSHGEESFLRDVVTPIYQVIQKESSRNLNGTASHSSWRNYDDLNEYFWSDKCFKLGWPMDKKADFFVHSDKRNTANVGHNNVATGRRKPKANFVENRTFWHLYRSFDRMWIFFILALQAMVIIAWNQSGSLSVIFDADVFKSVLSIFITAAILNALRATLDIVLSLRAWRSLKITQILRYLLKFAFAAFWVVVMPVAYAKSVQDPGGVLRFFSNLGGYIENESLYYYCVAIYLIPEILAAFIFFFPFLRKSMERSNWRIISLLMWWAQPKLYVGRGMHEDMFSLLKYTLFWIMLLISKLSFSYYVEILPLVQPTRTIMDIRITSYDWHEFFPHMPHNIGVVIVLWAPVLLVYFMDTQIWYAIFSTIVGGIYGAFSHLGEIRTLGMLRSRFESIPSAFSERLVPSSKKEKKHRYEDDSLERKNIAKFSQMWNEFILSLRMEDLISHKERDLLLVPYSSSEVSVIQWPPFLLASKIPIALDMAKDFRGKEDADLFRKIKSDDFMRSAVIECYETLRYLLVGILEDKDDKMVVEQIRKEIDESIKEKRFLRKFRMSGLPLLNDKLERFLNLLVADYEEEEAKRSPMINLIQDIMEIIIQDVMFDGHEILERAHQIDRKEQRFERINIYLTQNRSWKEKVIRLNLLLTVKESAINVPTNLDARRRITFFANSLFMKMPDAPRVRNMLSFSVLTPYYNEDVLYSDEELNKENEDDQWKNFEDRINDPKLKDISKDKNELIRYWVSYRGQTLARTVRGMMYYREALELQYFLDFAEDKAIFGGYRIIDMNRTDYRALKERAQALADLKFTYVVSCQIYGAQKKSSEQRDRSCYVNILNLMLTYPSLRVAYIDERDEAINGKSEKVYYSVLVKGGDKLDEEIYRIKLPGPPKIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEEFLKPHRKRRPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRILANPLRVRFHYGHPDIFDRIFHVTRGGISKASKTINLSEDIFSGYNSTLRGGFVTHHEYIQVGKGRDVGMNQISQFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMATVLTVYVFLYGRLYMVLSGLEKRILEDPTVRQSKALEEAMAPSSISQLGLLLVLPMVMEIGLERGFRTALGDFVIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHAKYADNYRMYSRSHFVKGLELFMLLIVYEVYGESYRESQLYLFVTISIWFLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWNGEQEHLKHTNLRGRVIDIILAFRFFIFQYGIVYHLDIAHGSRNLLMVSMGRRRFGTDFQLMFRILKALLFLGFVSVMTVLFVVCGLTMSDLFAAILAFVPTGWGILLIGQACRPCFKGLGIWDSVMELARAYECIMGLFIFAPVVVLSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKDESSHS >Solyc07g063330.3.1 pep chromosome:SL3.0:7:65943305:65949366:-1 gene:Solyc07g063330.3 transcript:Solyc07g063330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTRDEEEEDERFHDSLDRLLSSTNTSCSSSPSSDNEEDDIKDLSFNLGSPNYGVSEPLPVPRFPRGVSNNYDVWISEPISVEERRIRLLSQMGLARDPSLLRHRPSLSQSAAADYDFDERPEIFGRSISENHLKCPLAGGESISNSINSKISCIESNVCGIVRSKSDGDRNCSHCCCSYSVHKNTDVISLNSTSISSVQVNMANGVDGIVVHNNRNRSKSLCEDLFRNGNGSPKKPPTGKTRADSTNNGTCNSLPVLANNEVEQGLESNGDIGIEEQLCTIKSLDDGKEFVVKEVKEDGTLKKVKEVGTGRQLTIEEFEMCVGTSPIVQELMRRQNVEDGNKDSLDGNTNEDAETGPKSKKKGSWLKSIKNVAGAMTGYKERRSSDERDTSSEKGGRRSSSATDDSQDASFHGPERVRVRQYGKSCKELTALYKSQEIPAHTGAIWTIKFSLDGKYLASAGEDCIIHVWQVTESERKGDLLLDKPEDGNLNLLLLANGSPEPTTMSPNDGHLEKKRRGRLSISRKSGSFDHVLVPETVFAISEKPVSSFQGHEDDVLDLSWSKSQHLLSSSMDKTVRLWHLSSKSCLKVFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPERQVVDWNDLHEMVTAACYTPDGQGAFVGSYKGNCRQYNTSDNKLQHKAQLNLQNKKKKAHQKKITGFQFVPGSTSEVLITSADSRIRVVDGVDLVHKFKGFRNTNSQISASVTADGRYVVCASEDSHVYIWKHEGDSRPSRNRGVTITQSYEHFHCQDVSVAIPWPGLSDNLKLPDSSLGEQNGHADHLDEVSTANHPPTPIEENGTECSPLVSGCSNSPLHGTLSGAMNSYFFDKFSATWPEEKLLLATKNRSPRVSVDTSVDFSNGLNQSKSAWGFVIVTAGRRGEIRTFQNFGLPIRI >Solyc01g095080.3.1 pep chromosome:SL3.0:1:86328345:86331529:-1 gene:Solyc01g095080.3 transcript:Solyc01g095080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACS2 description:1-aminocyclopropane-1-carboxylate synthase 2 [Source:UniProtKB/Swiss-Prot;Acc:P18485] MGFEIAKTNSILSKLATNEEHGENSPYFDGWKAYDSDPFHPLKNPNGVIQMGLAENQLCLDLIEDWIKRNPKGSICSEGIKSFKAIANFQDYHGLPEFRKAIAKFMEKTRGGRVRFDPERVVMAGGATGANETIIFCLADPGDAFLVPSPYYPAFNRDLRWRTGVQLIPIHCESSNNFKITSKAVKEAYENAQKSNIKVKGLILTNPSNPLGTTLDKDTLKSVLSFTNQHNIHLVCDEIYAATVFDTPQFVSIAEILDEQEMTYCNKDLVHIVYSLSKDMGLPGFRVGIIYSFNDDVVNCARKMSSFGLVSTQTQYFLAAMLSDEKFVDNFLRESAMRLGKRHKHFTNGLEVVGIKCLKNNAGLFCWMDLRPLLRESTFDSEMSLWRVIINDVKLNVSPGSSFECQEPGWFRVCFANMDDGTVDIALARIRRFVGVEKSGDKSSSMEKKQQWKKNNLRLSFSKRMYDESVLSPLSSPIPPSPLVR >Solyc01g103590.3.1 pep chromosome:SL3.0:1:92047496:92048349:1 gene:Solyc01g103590.3 transcript:Solyc01g103590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLALNHVSYVCKSVPKSAQFYEQVLGFVLIKRPSSFDFDGAWLFNHGIGIHLLGKEDVQSKRGKINPKDNHISFQCTDMDLIIQRLNDMNVEYVTATVKEGGVTVDQLFFHDPDGNMIEICNCQNLPVLPLSSCPLKKMSSPTFNQTMSDSFYGNGKTNTKMNCSGEVESLMMENLALDMMDISF >Solyc07g006520.3.1 pep chromosome:SL3.0:7:1318166:1325541:-1 gene:Solyc07g006520.3 transcript:Solyc07g006520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITVREISSSSLCFNSKQLHSLKVNRVTPICKASSLSFHKKSTAPSWNLGLFESTNSCDNSVFDPLGTNSGKSSWDNLVSLLSQTFESSSNTRKEKNSSARGLAAAIEDTSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNREAFVGNLDENSILSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQELQKREGEAGRKKVLQYTRYASVGFAVVQAIGQVLFLRPYVNDFSTQWAISSVVLLTLGSVFTTYIGERITDLKLGNGTSLLIFTNIISYLPASFGRTVAQAYQDGNYVGLVGILVSFFLLVLSIVYVQEAERKIPLNYASRYTGRTGGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGVGFLRNAAAGLNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSDQLKRQGASIPLVRPGKSTAAFIKTVLSRISVLGSAFLALLAAGPAVIEQATHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDNKY >Solyc06g011660.2.1 pep chromosome:SL3.0:6:8512216:8515460:-1 gene:Solyc06g011660.2 transcript:Solyc06g011660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGSGFGGFDSFILSDLDASVLQEVLRCYSIPENVFGRVCIIIDKVREFYIAKREEDIGSYAGYIGGNLMLNKYETECNVRLSVVAQYGTMVVFNTLFGLSTNFWMAVVTIFLLGSLNDLLGPIKAYAAEIFREEYQALRMSTISSAWGIGLIIGPALGGFLAQETLHNHDSERPRQDTYKALEAASTTQMAKRSRYKVVFV >Solyc01g079350.3.1 pep chromosome:SL3.0:1:78303470:78308235:1 gene:Solyc01g079350.3 transcript:Solyc01g079350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESGGTRFEGLNATVRKKRSLMLRRPRPEKPFFHEGRDQSPLTPVSDDFGRVSSDENIDDANAGRKMFNLNHCMSRGSVSRVDEDYTFKKNKDGGSSLLYSNGDPGDDTYSQYGSSLRQTGTVQDGVGNDNKLKKVKLKVGGVTRTIQTKTDSHGAYGGGLSTNARALDGSQPRQKSTSQDTSSKDGLSEKKSGLQGIMSRDSPKGSFAAGKGGDMGKMPMTNAFEKGGDKSDPTRKSKRVPKRRVSEWFDEDGEDDEIRYLEKLKTSKISGYKDFEEESTNKRSVSRVSKVSKFEKDENVGRSRKKSEQGSEDTAYEVEEFVSDGEAEGKKKQKQRKESSDSSIDAMRGEMTLTTRQRALLSSKDSSAAASASQIEFPNGLPPAPPRKQKEKLTDVEQQLKKAEAAQKRRMQNEKAARESEAEAIRKILGQDSNRKKREDKIKKRQEELAQEKAAKEQMLAKSTIRVVMGPTGTVVTFPEDMGLPHIFDSKPCSYPPPREQCAGPSCVNPYKYRDSKTKLPLCSLQCYKAIREKEQA >Solyc05g015650.3.1 pep chromosome:SL3.0:5:11600992:11616245:-1 gene:Solyc05g015650.3 transcript:Solyc05g015650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESGYDINDLVREAQIRWLKPAEVLFILRNHENHQLSSEPSQKPPSGSLFLYNKRVLRFFRKDGHSWRKKKDGRTVGEAHERLKVGNAEALNCYYAHGEQNPNFQRRSYWMLDPAYDHIVLVHYRDIIEGRQNPAFMSESSPISSAFSPSPSSYSTPHTGSTGIASECYEQYQNQSSPGEICSDAIINNNGTTDTIGRTEEVISSPGLEMCQALRRLEEQLSLNDDSLKEIDPLYGDAINDDSSLIQMQGNSNRLLLQHHSGESSESHHRDLTQDAHVWKDMLDHYGVSAAAESQTKYLHKLDENAMLQTLSERRAIEAYESYKWRDFSDKETQTAPVQAFKQLEDFKYPTYPPDITTFGSNPDEYTTIFDQDQIGTSLEDEMSLTIAQKQKFTIRHISPDWGYSSEPTKIVIIGSFLCNPSECTWTCMFGDIEVPIQIIQEGVICCQAPRHLPGKVTLCVTSGNRESCSEVREFEYRVKPDDCARNNQPDVEGAYRSTDELLLLVRFVQLLLSDLSVQKRESSELGNDLLEKSKASEDSWSQIIESLLFGTSVPMVTIDWLLQELLKDKFQQWLCSKLQQKDNQIDCSLSKKEQGIIHMVAGLGFEWALHPILNAGVSANFRDINGWTALHWAARFGREKMVASLIASGASAGAVTDPSSRDPVGKTAASIASCCGHKGLAGYLSEVALTSHLSSLTLEESELSKGTADVEAERTISSISNTSATINEDQRSLKDTLAAVRNAAQAAARIQSAFRAHSFRKRQQREFGVSATTSVDEYGILSNDIQGLSAASKLAFRNPREYNSAALAIQKKYRGWKGRKDFLAFRQKVVKIQAHVRGYQVRKQYKVCWAVGILEKVVLRWRRRGVGLRGFRHDTESIDEIEDEDILKVFRKQKVDAALDEAVSRVLSMVESPGARQQYHRILEKYRQSKAELEGADSETASTAHGHV >Solyc01g112230.3.1 pep chromosome:SL3.0:1:98189644:98190390:-1 gene:Solyc01g112230.3 transcript:Solyc01g112230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWCRYIHPSIDMADVRGSSGTCNERCGCPCPCPGGTSCRCASSDANMEHKRCSCGEHCGCNPCTCSKSEGTTAAAGKSNCKCGPGCACPTCAA >Solyc02g091920.3.1 pep chromosome:SL3.0:2:53771082:53773098:1 gene:Solyc02g091920.3 transcript:Solyc02g091920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BCZ0] MATLTCSSLKNSAFVLILVYALTFSFSLVSARPATFLQDFKIAWSDSHIKQLDGGRGIQLILDQNSGCGFASRSKYLFGRVSMKIKLVPGDSAGTVTAFYMNSDTDNVRDELDFEFLGNRTGQPYTVQTNVYVHGKGDKEQRVNLWFDPSADFHTYTIFWNHHQAVFSVDGIPIRVYKNNEAKGIPFPKFQPMGVYSTLWEADDWATRGGLEKINWSKSPFYAYYKDFDIEGCAMPGPANCASNPSNWWEGPSYQQLSPVQARQYRWVRMNHMIYDYCTDKSRNPVPPPECRAGI >Solyc09g090880.1.1.1 pep chromosome:SL3.0:9:70774850:70775086:1 gene:Solyc09g090880.1 transcript:Solyc09g090880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSGHSDGRMREICLELEDVRHHLRGLELQMRDARSAYNLRDFGILLHRRATYLEREAELEKELKTKFNFFYRRFL >Solyc04g079890.3.1 pep chromosome:SL3.0:4:64290987:64291989:1 gene:Solyc04g079890.3 transcript:Solyc04g079890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIHNHSYLFLVFFMFEAIAVSATQFTLQNNCGYTVWPGTLSGNGVPISGDSGFALTPGATIQLSAPGGFSGRFWGRTGCNFNSTGAGKCITGDCGALKCPSGAGGAPPVSLVEFTIAKTNAEKDFYDVSLVDGYNVGIGVRSSGGSGDCQYAGCVADLNAICPKELQVMDNGAVVACKSACAQFNTAEYCCTGAHSTPATCSPTNYSRIFKSACPSAYSYAYDDASSTCTCAGADYLITFCPTAS >Solyc07g043630.2.1 pep chromosome:SL3.0:7:57709139:57711559:1 gene:Solyc07g043630.2 transcript:Solyc07g043630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFFKTSNIALRFFNGSVQLPAPTHRVRQLCQLAGSIESTDESRKLLEGVVTSPANYVPLTPISYLERAADVFGDRTSVVFGSSVKYTWEETHSRCLKLASALIQLGISRGDVVATLAPNVPAMQELHFAVPMAGAVLCTLNTRLDSSMVAYLLKHSETKMIFVDQQFLQIAQQALSLLSKDKTIKPPILILIPESNDSSPPVSNIHEYENLLSSGSSNFTIRWPKSEIDPISINYTSGTTSSPKGVVYNHRGAYLNSISAFLCHGMALMPTYLWTLPMFHCNGWCMNWGVAALGGTNVCLRHVSAKDIFESISVNKVTHMSAAPIVLSMMANASPNDRKPLLHKVEIMTGGSPPPPQILSKMEQLGFGVSHGYGLTETYSGATTCLWKPEWDSLPLEERAALKSRQGVKVLCIERVDVRDPETMENVPADGKSIGEIVCRGNTVMSGYLKDVKATEEAFKGGWFHTGDVAVKHPDGHIEIKDRLKDIIISGGENISTLEVEGVLHSHPAVVEAAVVARPDDHWGQTPCAFVKLKEGSEEITSDEIIKYCRDHLPHYMVPRAVVFQDLPTTSTGKVQKFILREKAKALASLFNTDRKV >Solyc11g005770.2.1 pep chromosome:SL3.0:11:604752:607515:-1 gene:Solyc11g005770.2 transcript:Solyc11g005770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D4J0] MHLRKPAKPTLFRKFKLLFISMASFFTLTIFSLILFFSISSAARHHSSTSPSISLQIRGACKASRDPPTCESVLTDSGYLPSELTTSLIIQSAVKVSSKNNDKAKEMVQAIIDASTGNNQNRTDAGKVCMEVLGYAEYRVGLTGQAVINGGYKSARAWMSSVMVYQYDCWSALKYVNGTSQVSKTMSFINSLIGYSSNALGMMVNFDLYGADTGSWTVPKTERDGFWEGSGSGSSGSGQVKGGVPTGLKPDVTVCKEGGCDYKTVQEAVNAAPEKEQTRKFVIWIKSGLYEEKVRVGLEKMNVVFLGDGMGKTVITGSLSVGLAGVTTYETATVGVVGDGFMASGITFQNTAGPDAHQAVAFRSDSDLSAVENCEFIGNQDTLYAHALRQYYKSCRIQGNVDFIFGNSASFFQDCDILIAPRQLRPEKGETNAVTAHGRIDPAQSTGFVFQNCLINGTDKYMTLYYNKPKVHKNFLGRPWKEYSRTVFLDCTLEALISPNGWLPWSGDFALKTLYYGEYRNTGAGANTAGRVSWSSQIPDEHVNSYSIQNFIQWISTSS >Solyc01g107430.2.1.1 pep chromosome:SL3.0:1:94890013:94891112:-1 gene:Solyc01g107430.2 transcript:Solyc01g107430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILFLASLISSTLRVHLVSIFSKIVGEGSKKDFCIISTASRMRSAEDGSRGTSIFDQGEWLSLSLGRNSPSTSKESESQTRPTPGKVFSCNFCMRKFYSSQALGGHQNAHKRERGAVRQYQSQRMMTMMALPINNPMFRSLGMVPHSLVHRTGRDVSTTVARFSDASTGFQMTSHPNPVDEGFDLRWPGSFRLNPQQSEDQASNTNKIDLNLKL >Solyc01g059967.1.1 pep chromosome:SL3.0:1:69331292:69332527:1 gene:Solyc01g059967.1 transcript:Solyc01g059967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSEYKLDPGPLESNVLTGQLTHRSQDIWEGNVNMILNTRREDGNFWKLIEKYPIHPRVLKVMRLSGLYGVYKSNWPAIDCEATITLQDVEVLYGLPVNGDPVLGNEMIRTIEDWQNICQRFLGFVPSREDFKTNSIKVTAFNSHMLSQPHLLNMATQDMVNQKARCYMFWMIAGMMMADTSGGYLKLMYLSMLEDVDKIGSYSWGSATLAYLYHFLCKDSQSTQNEIARFLPLLQIWAWERVTVLRPQIVAHRDARTICHVGLPRGPHATRWFAHLSWTNTTKRVFKVYRDALDSMIEDQFIWEPYFDDLIESLSLYCHAGRDI >Solyc06g053800.2.1.1 pep chromosome:SL3.0:6:36748881:36750847:-1 gene:Solyc06g053800.2 transcript:Solyc06g053800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTGMFTVHHTIGNVLCCKCGISMQPNAANMCANCLRSEIDITEGLQKHVIICHCPECDSYLQPPRTWIKAQLESKELLTFCVKRLKNLNKVRLVQAEFIWTEPHSKRIKVKLKVQKEVLHGAILEQAYTVEFVIQDQMCEACTRVQANPDQWIAAVQLRQHVTHRRTFFYLEQLILKHDAASRAIMIKQMDQGIDFFFSNRSHAVKFVEFIGKVVPSRSRNDKQLVSHDPKSNNYNYKYTFSVEISPVCREDLICLPPKVSNSLGNLGPLVICTKVSNHIALLDPLTLRNCFLDAEQYWRASFKSLLTSRQLVEYIVLDIEAVSSEVNIGGSKYALADAQVARISDFGKNDTIFNIRTHLGHILNPGDNALGYDLYAANSNDSELDKYKGFVLPDVILVKKSYEEKWQKKRGKPRSWKLKSLNMEIDDNVKGRDDEEKKENEYEIFLRDIEENADMRFRISLYRNKEYQPSEMASVTDDDDAPSVPLEELLADLDLSEAEDDNDSMRE >Solyc04g014230.1.1 pep chromosome:SL3.0:4:4531000:4532308:-1 gene:Solyc04g014230.1 transcript:Solyc04g014230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSYPKTTGTTTITTVDCHKQVRSWRLLRSLVELLIPTCNCTFVENDKENYKNIPSNFNYNYHHQSSLSSSSSVMMGTIFGYRRGKVNFCIQTNPKSTTPIIILELAVSTSTLAREMRGGIVRIALESGNNGGNQSILRMYCNGIKVGFAVKRKPTKSDLQVLGQIELINIGAGIINGKENTNCDDDIMYLRGKFERVHGSYDNSESFHLIDPEGSMGQELSIFLLSSRC >Solyc07g049490.2.1 pep chromosome:SL3.0:7:59891875:59897777:1 gene:Solyc07g049490.2 transcript:Solyc07g049490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHRKSSELKRPGSDLLQQPDADPPRYRGVRKRPWGRFAAEIRDPIKKTRVWLGTFDTAEDAARAYDDAARALRGAKAKTNFNMLPLTDDPYDDEFELFPNPRPASSSMSSTLESSSGPRGGSSSKVTRMKIPRPVRPMEECRSDCDSSSSVVDDRCDVDQTSSFVTKQPLPFDLNLPPPSDNDGVDVDDLHLAIFIIKLGSRASGHPSLDGMLHLSMEIAPEVGKLEEAVYYMAGQLKTSTVCGRL >Solyc12g077467.1.1 pep chromosome:SL3.0:12:20723624:20735776:-1 gene:Solyc12g077467.1 transcript:Solyc12g077467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKKRSSDKVWCYYRDREFDDEKILVHHQKAEHFKCCVCHKKHSTAGGIAIHVLQVHKETVSQVPNAKPSVY >Solyc06g024370.1.1.1 pep chromosome:SL3.0:6:11261059:11261211:1 gene:Solyc06g024370.1 transcript:Solyc06g024370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPNQTPHLTMSSARIGPLSEPWVQKEGQCPNSESNFNVKRHATRLLSP >Solyc01g009020.3.1 pep chromosome:SL3.0:1:2956490:2958478:-1 gene:Solyc01g009020.3 transcript:Solyc01g009020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGGIREAGGSENSLEINDLARFANALLEFGKVVNVKEQVVAGTMYYITLEATEGGKKKAYEAKVWVKPWQNFKQVEDFKLIGDAATA >Solyc11g010220.2.1 pep chromosome:SL3.0:11:3287979:3290065:-1 gene:Solyc11g010220.2 transcript:Solyc11g010220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHFPSFKLKTFLPYFERYSSRCTRKPHKSPEFVYASNVRINELARQGKLQRARKVFDEMLERDVISWNSMITAYWQNGYLRESKELFVSMPEMNVVTWNSMIAGCVENDCIDDATMYFRTMPERNIGSWNAMISGYVKYGMMEEAARLFDEMPKKNVISYTAMIDGYMRKGEIDKARLLFERMPHKNEVSWTVMISGYVENECFDEANKLFQKMPDKNENVVAMTAMVVGYCKEGRVEEGRILFDGILFKDNVAYNAMISGYAQNGHSEEALKLLVEMLRMSLRPDESLFASVLSACAALASPVAGRQTHAVVIKHGADSNVSACNALITMYSKCGSIFECELAFELITSPDLISWNTIIAAFAQHGLYKKAVAFLERMVLRGCEPDGITFLSLLSACAHAGLVSQSVSWFDSMTRNYNITPRPEHYACLIDILGRAGQLDKAYNVIQESPFQADLAAWGALLAGCRAHSNVELGQLAGTRVMELGGESSGPYIMLSNLYAEAGMWGEVTRVRGLMKEHGIRKQPAYSWTEIENKVHYFLGGDISHPKIREIRMILKQMNLQMKKVLDFTDFYTS >Solyc08g028977.1.1 pep chromosome:SL3.0:8:39195643:39208241:1 gene:Solyc08g028977.1 transcript:Solyc08g028977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTGDWVGEDHGNGSGGGKKGGDPIESYPNFSYARPITKNPTFLRLRGFQYISQSRNLYWRRCYPKTISRSRFMNYYREFVARMGRIGGRGAHRE >Solyc07g032240.3.1 pep chromosome:SL3.0:7:36553579:36558674:1 gene:Solyc07g032240.3 transcript:Solyc07g032240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRSNYENQNSGSTSSKMKFEYEDESSNIEVEEDTSMCDPIDESIVGSNKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYKNSFLIKDKVVLDVGAGTGILSLFCAKVGAKHVYAIECSSMADMAQEIVKLNGFSDVITVIKGKVEEIDFPVRKVDIIISEWMGYFLLYENMLDTVLYARDKWLVKDGLVLPDKASLYLTAIEDADYKDEKIEFWNSVYGFDMSCLQKQTIMEPLVDNVDQKQIVTNCQLLKTMDISKMASGDASFTVPFKLVAERDDYIHALVAYFDVSFTKCHKLTGFSTGPRSRGTHWKQTVLYLEDVLTVCQGEAVVGSMTVASNKKNPRDLDIMLKYSVNGQRCSVSRTQCYRMR >Solyc08g065750.1.1 pep chromosome:SL3.0:8:54125920:54129359:-1 gene:Solyc08g065750.1 transcript:Solyc08g065750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRYNIVGVFFLVLVSIFVNIEGRSISQFLAQKTKGTKWAVLIAGSAGWTNYRHQADVCHAYQILKTGGLKDENIIVFMYDDIANNIENPRPGVIINNPHGHDVYKGVPKDYVGEDVNAINLFNVILANKSGVVGGSGKVLKSGPNDHIFIYYADHGAPGFISMPSGELIYAHELFNVLKKKHASGTYDRLVIYLEACESGSMFDGILPKGLNIYAMTASKPDEASFGTYCGNGTSHTPCFGQCSPLEFKGICLGDLYSVAWMEDRTAANLTYRNYGSHVQEYGDLVVSFDPLVAYMGETSKNHSHDSVDAKSFSTSSSRNVDKRSTELFYLFTKHENAPEGSDEKYDALVKLNEVMSHRSQVDNNVKHLGELLFGVEKGNEVLHTVRPAGQPLVDNWDCLKSYVKIFEAHCGRLTAYGKKHVRGIANICNAGITSKKMAAMSARACSN >Solyc09g065630.3.1 pep chromosome:SL3.0:9:64028285:64034795:1 gene:Solyc09g065630.3 transcript:Solyc09g065630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BIG SEEDS 2 [Source:UniProtKB/TrEMBL;Acc:K4CUI9] MSLEQTVYKSPLDKPLYLLTDDDISQLTREDCRRFLKAKGMRKPSWNKSQAIQQVISLKALFETTPESDTGQRKKRHIPRPDTSLQRVQKETSIDAEFAESAEETVPYGRKPPNKPDLSGDKAASAVAVVNNLAPSRTTDSGNASSGQLTIFYCGKVNVYDDVPAEKAEAIMHLAASPLFVPSETPLDANRAAQHSECHLQAANVKLGQDSPMVFMPTMQTGKITEVTRLHLEESNTSYEDNPEAVNHVSRKALLERYREKRKDRFKRKMGMPSSASLDIYLNHRTINHTQSELSSRSNTCSPPAIRLSAAPAPSGSMDNILQMDANASGFLDDKDGKE >Solyc02g071870.3.1 pep chromosome:SL3.0:2:41788099:41792506:-1 gene:Solyc02g071870.3 transcript:Solyc02g071870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMWIIWFYTSVADEISLSNHASPFGYMADECSLHVNCGGNDVAITENNRLIDFVGDAHVEGGSARNFRSDNYWGFSSTGDFMDDDNDQNTRFIETIPSTDLPELYSRARVSPLSLTYFHYCLENGSYNVSLHFAEIIFKNDSTYNSLGRRIFDIYIQEKLVWKDFNIEEEALGVLRPVIRYFNATVTDSVLEIRFYWAGKGTARIPFRGHYGSLISAISVDSTFKFCSNKDRKTTIVYVIVGVLAACITFFVLSILWWRGCLCRKSKRRDLNGVELQMVCFTLRQIKTATRNFDASNKIGEGGFGPVYKGQLLDGTLVAVKQLSSQSKQGNREFLNEISTISCLQHPNLVKLLGCCIEADQLLLVYEYLDNNSLASVLFENSRLNLDWPTRFRICLGIARGLAFLHEESSVKIVHRDIKATNVLLDGQLNPKISDFGLARLTEEEKTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVLLETVSGKNNNNYMPSHTSICLLDWV >Solyc01g099260.3.1 pep chromosome:SL3.0:1:89419161:89423072:1 gene:Solyc01g099260.3 transcript:Solyc01g099260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSSLYNKKFVIGTSAFFPRTPENVNYHTFPSTCTFPLNSTKPFATLSSSFTVKCYGQNYGDEEPLSASLAYDVLGVAPNCSTDELKSAFRNKVKKFHPDVWRDGNGSDKMIRRVIQAYEKLSNFTKSEIIERECLDPFDQPEGEAFDLFVNETVCIGKGCPFSCVKKARHAFTFSSLTGTAQATSQGHGEDYQVHLAAGQCPRSCIHYVTPSQRIVLEELLGSIMSTPYDTSAEADLLYSLIVKARFENNRYQKPKKQPKASTEHVDWF >Solyc10g083830.2.1 pep chromosome:SL3.0:10:63694066:63706461:-1 gene:Solyc10g083830.2 transcript:Solyc10g083830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFAELKKIAESVELVDAHAHNIVAIDSTVPFLNCFSEAAGDALFDVPHAINFKRSLKEIAEIYGSSLSLHAVQESRQRLGLESSTAVCFKAAKISVLLIDDGIELDKKFDIKWHRNFVPTVGRILRVERVAEKILEKGSNGTWTLGSFMEIFTEELKSYPLVILADEVLAFKSIVAYRSGLAINTEVTETEAEEGLNDVICAGHPIRISNKSFIDYIFLHALKVAQSYDLPMQIHTGFGDKDLDLRLANPLHLRNLLEDKRFMKNRLVLLHASYPFSKEASYLASVYPQGKRLLLQVFLDFGLAIPKLSFHGMVSSVKELLELAPMNKIMFSTDGYAFAETFYLGAKKAREVVFSVLRDACVDGDLSIPEAIVAVKDVFAENAKQFYKLDVSSRYSDVKPPLLSFFQAEELHESSKDVTFVRIIWIDASGQHRCRVVPQQRFYSSVQKHGVGLTCACMGMSSTSDGPAVDTNLSASGETRIVPDLSTKCRLPWNKQQEMVLADMYIEPGKVWEYCPREALRRVSKVLKDEFDLVVNAGFENEFYLLKSILRNGKEEWTPFDRTSYCSTSSFDAASPILEEVFASLQSLNIAVEQLHAEAGKGQFEIALKYTDCFRAADSLIFAREVIKAVARKHGLLATFVPKYALDDIGSGSHVHVSLSKNGENVFMTSGEPSRYGMSKIGEAFMAGVLNHLPAILPFTAPLPNSYDRIQPNMWSGAYLCWGKENREAPLRAASPPGVAHGLISNFEIKAFDGCANPYLGLAAIISAGIDGLRRNLSLPEPVDGDPDILKENLQRLPVTLAESVEALEKDPLFKEMIGENLLVAIIGVRKAEVKYYSENKEGYKDLIFKY >Solyc05g016195.1.1 pep chromosome:SL3.0:5:14938915:14958202:1 gene:Solyc05g016195.1 transcript:Solyc05g016195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVAKLKNLDLFTRGIDIHAVNVVINSDFPKNSETYFHRVGQLGRFVKLGLAFSQALDITCSTVKAVKETQFLSGYME >Solyc08g062150.1.1.1 pep chromosome:SL3.0:8:50570612:50570848:1 gene:Solyc08g062150.1 transcript:Solyc08g062150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTPKDCRNYILQQRRSNTLSCDAAAIHKFFASMQIKNDEFFYVIDTDNVGKLRKIVWVHTHCKYAYQEFNDVVCSI >Solyc03g025455.1.1 pep chromosome:SL3.0:3:2892983:2896853:-1 gene:Solyc03g025455.1 transcript:Solyc03g025455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDMIKAEGICDVEDTVFVAVGKNVKEGKSVLSWALKSFAGRRICVLHVHQPNHLFSSKDGKLSGAKLKQHMVKACQELDRLRLHKLLNQYLLFISQAGIPDNHNFADWDFSRLQATVRAQVQQS >Solyc01g094360.3.1 pep chromosome:SL3.0:1:85753346:85757660:1 gene:Solyc01g094360.3 transcript:Solyc01g094360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKNGLTRGPWTPEEDEKLVQFINKNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIIRGPFSPEEQKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLSMGIDPQTHEQYSDPNGLLRRPATSPSARHLAQWESARLEAEARLSRESQFLVPSSVGRSETDYFLRIWNSEIGESFRKFKKGEKNACQSPTSQASTCTKYGSASGITTEFELGVAGSPVTGSNQHEYKEWKIGQPYTEDFLQGSDTSSSNAMEDSSESALQLLLDFPSNNDMSFLGHSDSYSLYPFLSESS >Solyc07g006410.1.1.1 pep chromosome:SL3.0:7:1229992:1230951:1 gene:Solyc07g006410.1 transcript:Solyc07g006410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGENSDHISQEPNNIFLLAKIIINSFKIFLFSTKKISFLIFFFLSFPLSFFLFLLSFLTFPFKNRIQHLEEISLFSSIHVESKHLLEEANEEARSLLFFKILFLFPTFLLSLFTAVTAVNVTFSVCSNGKPAIQTVFTVVKTVWIRVGATTMCIYVIMLACTVVPGMLVAILETRPFVRVVVEVIGSGLELHMMAVTSLALVVSVNEGMYGLDAIRVGSDLMEGKRVCGWVLSGLFVLFSGLVRGTMEISMAMAMDGSDFKRIESTVVINLLWDNVVWIFLYGLMVQWSFIVTTVFYFDLKKRDSTKSDRDSEISLV >Solyc10g047760.1.1.1 pep chromosome:SL3.0:10:42235878:42236066:-1 gene:Solyc10g047760.1 transcript:Solyc10g047760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDLSRGNRRDPGWKYNYMKYPNDTTRVTCNFCVETTLGGINRAKQHLIGNFRNAAKCKKS >Solyc05g026313.1.1 pep chromosome:SL3.0:5:40478327:40479439:-1 gene:Solyc05g026313.1 transcript:Solyc05g026313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRVIEYEGAIVEYADRDIGLALYLKFVDKNSTCTLLNSDWEGVKRITKFIEIYLVHDMLHQIFIFLKFVIVYLNQLILNEDQVLAKVAENMKEKFDRYWGDAEKLNKIGGASSKSELVKYHDEETEIEKSDFDVLLWWKVNSPRFLFLSEMTRDVLAIPDSSVASECAFRTRGLILDSFRMFFQDWLQSEPQPINFAKLGKNFALMTCKIGGKK >Solyc09g098120.3.1 pep chromosome:SL3.0:9:72524661:72526347:1 gene:Solyc09g098120.3 transcript:Solyc09g098120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINISTHPDVPGEPTQTGTSILETATATIQGFGPINKIHQHLCAFHFYGHDMTRQVEAHHFCGHQNEEFRQCLIYDRPDADARLIGLEYIVSEELFLTLPDDEKKFWHSHLYEVKSGVLFMPGVPGPIQRQDHEKTVKTYGKVIHFWQVDRGDTLPLGIPQVMMALTRDGQLDQNLVQDVEKRFGVSFDEEREKRAYMEGPSHGVHPLANAGGKGIRTVLREVDCKPIESVPRVFV >Solyc08g045715.1.1 pep chromosome:SL3.0:8:17732192:17741077:-1 gene:Solyc08g045715.1 transcript:Solyc08g045715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGSHNGLPPPLLTCRILCDVKLSNITMSLEYIGNESRKSRILKTMNYDEALQDKGAEKWIVAMKSEMESMFSNNVWDLNLGETSHIIGIKVLHDRKKRMLGLSQALYIDTILTRFSMHDSKKDFLPLRHGISLSKDQCPKKN >Solyc09g048990.3.1 pep chromosome:SL3.0:9:34831595:34841333:-1 gene:Solyc09g048990.3 transcript:Solyc09g048990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTDVSKQIQQMVRFIRQEAEEKANEISVSAEEEFQIEKLQFVEAEKKKVRQEYERKTKQVEVRKKIEYSMQLNASRIKVLQAQDDVVSGMKESARKALLKISGEKNNYKKILRGLIVQSLLRLKEPSLLLRCREMDVSLVKSVVEDAKKEYAEKAKVRAPNVTIDSVYLPPPPNDADPHHASCSGGVVLASDDGKIVCENTLDARLDVIFRQKLPEIRKQLYSKMAA >Solyc02g005500.1.1.1 pep chromosome:SL3.0:2:9428204:9428530:-1 gene:Solyc02g005500.1 transcript:Solyc02g005500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQNLNLVSWNWEMLRMRKINHIHNRLLVQFIKSVYKELVDTTYQTNQLSRLVVVLPNFIDYTTKDSMKELIDKVENLCVRAEVLESAFTTMYVEVYKRKGKGPYGL >Solyc04g074450.2.1 pep chromosome:SL3.0:4:60517362:60524782:-1 gene:Solyc04g074450.2 transcript:Solyc04g074450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSHFILSLFVLISFINVCFASRKLSALVQEPQMQLLEYHKGALLSGKISVNLIWYGKFKPSQRAIVSDFITSLSSSTPSKTNPSVAQWWQTTEKYYHLANSKNTLSLNLGKQVLIENYSLGKSLTQKQIVQLASKGEQRDAINVVLTASDVAVDGFCVNRCGTHGSSKGAVIKGKTYKFAYIWVGNSETLCPGYCAWPFHQPIYGPQSPPLVAPNNDVGVDGMVINLASLLAGTATNPFGNGYYQGEADAPLEAASACPGVYAKGAYPGYAGDLLVDKTTGASYNAHGTNGRKYLVPALKLTALVQDSQMQLLKYHKGALLSGKVSVNLIWYGKFKPSQRAIVSDFINSLSSSNPSKTNPSVAQWWKTTEKYYHLANSNNTLSLNLGKQVLIENYSLGKALTQKQIVQLASKGEQRDAINVVLTASDVAVDGFCVNRCGTHGASKGAIIEGKTHKFTYIWVGNSETLCPGYCAWPFHQPIYGPQTPPLGAPNNDVGVDGMVINLASLLAGTATNPFGNGYYQGEADAPLEAASACPGVYAKGAYPGYPGDLLVDKTTGASYNAHGTNGRKYLVPALYDPATSSCSTLLTALVEQTQLLHYHKGALLSGKISVNLIWYGKFKPSQRAILSDFINSLSSSNPSKTNPSVAQWWKTTEKYYHLANSNNTLSLNLGKQVLVENYSLGKSLTQKQITPLIVVLTASDVAVDGFCVNRCGTHGASKGAVIKGKTYKFAYIWVGNSETLCPGYCAWPFHQPIYGPQTPPLGAPNNDVGADGMVINLASLLAGTATNPFGNGYYQGEADAPLEAASACPGVYAKGAYPGYPGDLLVDKTTGASYNAHGTSGRKYLVPALYDPATFTCSTLI >Solyc02g065190.3.1 pep chromosome:SL3.0:2:36919601:36922095:-1 gene:Solyc02g065190.3 transcript:Solyc02g065190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVNTLIGVLFACFLVRGLLISLRRTKRLAPGPFALPIIGNLHLLGHKPHVSLTQLAVKHGPIMNLKFGQINTVIISSSVLAREVTQKKDLTFSNRCIPDALRACNHNDFSAIWLPVDSQWRKLRKIMNYHIFSGNRLDANEHLRSKKIQELIDYCGNCGKVGETVNISRATFRTAMNLLSNTFFSIDLTDPFTDSAKEFKELVSNISIEAGKPNVVDFFPFLRKIDPQGVRRRMTKYFTKILHIMSDLIDERLKERSMGKHANVDVLDALLNICPKEIDRNQIEQLCLDLFEAGTDTTSNTLEWAMAELLKNPHTMKKAQEELAQVIGRGKLINAADVANLPYLRCIVKENFRIHPQVPFLIPRKTEEDVDFCGYIIPKDSQILVNVWAIGRDSSLWENPLDFKPERFWESEIDIRGQDFELLPFGAGRRICPGLPLAIRMIPIVLGSLLNTFNWKLQDGITPEDLDMEERFGITLAKAQPLLAIPILL >Solyc03g114670.3.1 pep chromosome:SL3.0:3:66063282:66071786:1 gene:Solyc03g114670.3 transcript:Solyc03g114670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSAANSVSNSPISSAPQSPRPPPPSQPHQEVSNLTVLEAVKKEEVIEDPLDDMETEQDEKFKRYEVEARRYLMSKYFSDKTIFGGNIFDVKMGINGEQVKVSRFPGYQSYADPANFDDDNSSDSVSTMETPSQSTANGHQPSQS >Solyc03g113400.3.1 pep chromosome:SL3.0:3:65039672:65041724:1 gene:Solyc03g113400.3 transcript:Solyc03g113400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKPEVLDAVLKETVDLENIPIEEVFENLRCTREGLTATAAQERLSIFGYNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWDEEDASVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKASGLFTLLFDAMIWINVSDFYEI >Solyc07g054850.3.1 pep chromosome:SL3.0:7:63159014:63160707:1 gene:Solyc07g054850.3 transcript:Solyc07g054850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVELKIRLFTLLLTLLCMCKSSFAGLLAEPAQPLKPGDYGSNTVPAFPVQTESQICRLDLSDELFGGVSAACGQNLDRSRCCPVLAAWLFAAHARSALQVSGSAAPASSDLPMMPDDSQKCVNTLQNSLQSRNIHLPQPNGTCDAVLCFCGIRLHQITSLSCPAAFNLTGSKNATPTAAVRNLEKNCRNSSYSGCTRCLGALHKLNGDGGKNRTHKWDVTGGDRVSKMLSRDCQLMGLTWLLARNKTAYIPTVSAVLRAIMYSAHPPHESKCSPDQENMPLAVDSLQFDKTDSSSPFVGVSGFVILFTFLPLIILLN >Solyc12g096650.2.1 pep chromosome:SL3.0:12:66454881:66460473:1 gene:Solyc12g096650.2 transcript:Solyc12g096650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFVKKPKITEVDRAILSLKTQRRKLAQYQQQLDAVIEAEKQAAKDLLREKKKERALLALKKKKVQEELLKQVDVWLINVEQQLADIELTSKQKAVFESLKTGNNAIKAIQGEINLEDVQKLMDDTAEAKAYQDEVNAILGEKLSAEDEEEVLAEFENLESQLTLQDLPEVPSAIPSGENVEEKLDLPDVPTKAPVVSEAVIEDTQDTSTAVSVQKKVLEEPIPA >Solyc03g078160.3.1 pep chromosome:SL3.0:3:51572023:51574517:1 gene:Solyc03g078160.3 transcript:Solyc03g078160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHFTVFTLFTIFLLSSSASPFSISVNNKFSSSSIYDVLNSHGLPVGLLPKGINNFSIDPSSGRFEVHLPQSCAAKFETHLRYDSTVSGTLNYGQISEISGVAAEELFLWFSVKGIRVDIPSSGLIYFDVGVVSKQFSLSFFEIPRDCTITNDVLPKDLILFDNRGRIVENLSRKLIKERPRNSKARAVS >Solyc10g045545.1.1 pep chromosome:SL3.0:10:34516936:34518547:-1 gene:Solyc10g045545.1 transcript:Solyc10g045545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISHISIAALAAKPAGVRPRESLFVDAKLNGNDVRIMVDTGATHNFVTEQKAKELGLNNVASNTKLKTVNATPTTVNGFAAAVPIELGEWAGQTDFTIAPMDVFDIILGLDFWYEVNAFISPRHNQLHISDVGGSCVVPLIRVPQTGMHLSAMQIIKGFKRGEPTFIATLIEDAGSCNEAVPLLPCIEHVLNSNKDVMPAELPQRLPPRREVDHQIELPKTACVTRYGAFDWLVMPFGLTNAPATFCTLMNRLFHSYLDQFVVVYLDDIVVYSDNMEDHVKHLCKVFEILRNNELYVKREKCSFAQPIVRFFGHTISHGKIQMDSDKIAAINNWEAPTKVPELRSFLVLANYYRCFIFNYSAIAAPLTDLLKKDRAWNWSATCQAAFVRLKLAISPSHSKSTRMHRTSLSVAS >Solyc09g007110.3.1 pep chromosome:SL3.0:9:752323:757831:-1 gene:Solyc09g007110.3 transcript:Solyc09g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTICVVLLFLVELAKGSLDLDALLEFKKGVLKDPSGKVLSSWDSKSLGSNGCPQNWYGIGCSDGHITSIELNDVGLVGVLDFAAISGLKMLQNLSVANNQLSGKITEEVGLIMSLEFLDLSKNMFSGSIPSKLTSLKNLVSLNLSLNSLDGMVPTGFSSLEKLKYLDLHSNAFSIDIMLLLASLGDVEYVDLSSNKFVGSLDLQVGNSSFVSSIQYLNISHNNLDGELFPHDGMPYFDSLEVFDASNNQLTGTIPSFNFVVSLRILRLGNNQLSGSLPEALLEDSSMILSELDLSQNQLAGPIGGISAVNLKLLNLSYNQLSGPLPFKVGRCAIIDLSNNRLTGNVSRIQGWGNYVEVIVLSSNALTGTFPNQTSQFLRLTLLKISNNSLEGVLPTMLGTYLELKTIDLSINQLSGTLLPSLFNSTKLTDINVSFNKFTGSVPIMAFNSENLSLVSLDVSHNALAGPLPPGLDKFPDMVNLDLSDNKFEGGLPNDLSEKLEFLNVANNNFSGPVPQNLWRFPDSSFHPGNPLLVLPKHAEAPSEGDSTLSLRSHGSRMKSTIRAALIAGLICGVSVIALLTLIIYHKAHQRDGGEDNMKGTKEKKGLSLSDIECGQDTREHSVPVSTVQNESLSSSVSVMSSANLSPSKVQDQSKSPKSLRVSSPDKLAGDLHLLDNALKVTAEELSCAPAEAVGRSCHGTLYKATLGSGQVLAVKWLKEGIVKGKKEFAREAKKLGSIRHPNLVSLLGYYWGPKEHERLLISNYTDAPCLALYLLRKDAERCKLHPLSLDDRLKISVDVARCLNYLHHESAIPHGNLKSTNVLIDTSNVNALLTDYSLHRLMTSAGTAEQVLNAGVLGYRPPEFASTSKPCPSLKSDVYAFGVILLELLTGRSSAEIVPGNSEVLDLTEWARLLAFQDRSIECFDPFLLGKQSNDDDMHTILDSMLQVALRCILPADERPDMKSIFEQLCSIAR >Solyc03g083120.2.1 pep chromosome:SL3.0:3:54361877:54364355:1 gene:Solyc03g083120.2 transcript:Solyc03g083120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRHCEDFSTETPRKKPSRVLRIQVDSDEEVGNNEGKVFYFRVLLPNGITLELQVPGPPSEMPVEDFVILVRREYQNIGRRTDSPKPRRQINWTRKDLHFVDAFDNRITKTMDFRKFKSNKSHMLRLCDGSVEADKYEVDSSNMWDLTPDTDLLKELPEEYTFETALADLIGFACCPEYNSDPRVTILNTPVKIKQERIGFYLVAIASPQRYAKRHNHLAFLVFHYPSSYAVSFYA >Solyc01g058660.1.1 pep chromosome:SL3.0:1:67346051:67346478:-1 gene:Solyc01g058660.1 transcript:Solyc01g058660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAASAATGSGLTKRRDVMTAWEYMLFTQVLVCWRVFA >Solyc11g006640.2.1 pep chromosome:SL3.0:11:1242284:1247330:1 gene:Solyc11g006640.2 transcript:Solyc11g006640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAISSLMYTLEQLFKPNQSFVCPCSTQQHVENLNQNLSALQDFLDDTTTKDIETLKVVEKRIRDVVYKAEDKVDSSLRSIILADSTESREGAAKFFEEELVKVEKDVDSLRKEVTVVEFNKHGTKSAELATTPFSPDQKSTIVENTVVGMKDDFNIILDRLTAQTDELIVIPILGMGGIGKTTLARKVYDDSCIRSRFDKLAWVTISQEYNERQMLLEVVSSITTKGSQEMSNDELMEIVYRGLKGRRFLIVIDDIWSTEAWNQMQRIFPNDDNKSRILLTTRLKYVADYVNCPDFPPHSKSFLSLEDSWNLFTEKLFKKDQCPPLLVEIGKHIVQQCQGLPLSIVVVAGLLGKMDLTHDNWKNVEENLSSFFGTVSERCQSILSLSYNYLPQYLKACFLYVGGFPEDREINVSQLIRLWIAEQFVKARNNKRLEVVAEEYVQELIDRSLILMDSLTTNGRMKTFKIHDLLRQLCLSETHTENVVHIMNGNVPMFLLEAIDDQRRVILLSKLEEKQVYPPMHSNGITSIARTFISMQYFHYDDFPEGIYSIFSAFKLLKVLDVLTVWYDFSSVIPELVHLRYVAAGIEEGLSLDKLRNLQTIILHKNIGANRPTKSEQPLDIWRMSELRHVDIDSPRYISNPLEAENPLFLNNLQNLYLYNSHFFEEIIKRTPNLKTLKFLDESEHPDWSAILDSLILLEELEKLVIQLERMNVNIFCGDILYCKIKKLSPNIKKLKLLGTYIPWEVVNLLAYLPNLEVIEGEYAFSGTDWKLDEDVVFSKLKYLLIGEADLERWEAAASDNFPMLEKLILYGFNKLDEIPESIGDIMTLKLIKIDDCSSSVENSAKRIQQEQQSLGNYELQLRITSKKSATSESSSS >Solyc04g049410.2.1 pep chromosome:SL3.0:4:41801065:41805390:1 gene:Solyc04g049410.2 transcript:Solyc04g049410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLWPSMRLRDSFKLGYLKNLEWNLHRMNSQKQRDNDQKLLDEPTTSSNNGTREKFELFCREFLMILSCCYCCFCCGACVADEDD >Solyc03g113600.3.1 pep chromosome:SL3.0:3:65161080:65163576:1 gene:Solyc03g113600.3 transcript:Solyc03g113600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSFKCLVEQKLGRVGVFCVYAVLEWVMILLLFIDGFLALFTNEFAKFFELKVPCLLCTRIDHIFIKRNSSFYYNESICEGHKKDISSLAYCHVHKKLSDIRNMCEGCLLSFATEKEADCDKYKSLVGILHKDIDCFVDDDKRMSIKSVKNEEEVIKTASVVLRNCSCCGEPLKLRTKYARNSSINGNHYTQAPASSPRSPLTWKNEESGNMELPRIQYTKLKFSSDNESILPDDEGHQNAAGREDIKAATAPLLPDPEDIHEESSKTPVSARNKFFGIPLTDSAQASPKWPNKPRKLGGDKSEFISDANDASAVNEADDDIVHSLKRQVRLDRKSLMELYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQVMKDLVLKREEEIKVLEAEIDTYRERYGIIKKVGSEVCEVDADDDYQELRSQSASSFDGRSDCSSPRQADHNGVNGFHIGCPGEYRDENIDESNLDFENERSYLQGLLTTLEKQIKIPPDVDSHVLESNVIQDKGNDNKVILTREVSLLRERLRAVEAESGFLKHAAMTLQRGGDGTKLLTEIAQHLRELRHRDTATEVADA >Solyc08g063070.3.1 pep chromosome:SL3.0:8:52688160:52696106:1 gene:Solyc08g063070.3 transcript:Solyc08g063070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSPSIPNLQEIRRKCVTVHNSHGEKLVGVLHETNSLELVIICHGFKSSKDRIPMVNLAAAYEKEGISAFRFDFAGNGESEGSFQYGNYRREADDLRAIVEHFHKEERFIAAIVGHSKGGNVVLLYASNYKDVQTVINISGRFNLERGIEHRLGRDFKEKIKHNGFIDVRNRKGRFKYRVTEESLMDRLTTDTRGSCQSITNNCRVLTVHGSMDEMVPVEDAMEFAKNVSNHKLQIIEGADHEFTLHQDELSSVVVAFVKAGLGGRNYMAMPSESCKRTSGCIHSRF >Solyc10g086150.2.1 pep chromosome:SL3.0:10:65201133:65203406:-1 gene:Solyc10g086150.2 transcript:Solyc10g086150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVSSLQFLFVTPQTLSSLKPNSTPTSFSFFSLPSSSLNLSLSSSTPSSFIRPFESSSFGSRFIRNVALSEFDQLEDDVGEVEEEPNFSPDLKLFVGNLPFSVDSATLAELFERAGNVEMVEVIYDKLTGRSRGFGFVTMSSKAEVEAAEQQFNGYEIDGRALRVNSGPAPEKRENSFGGGRGGRSENSSYGGARGGRNFDSSNRVYVGNLSWGVDDLSLRELFSDQGKVVDCKVVYDRDSGRSRGFGFVTFSSAQEVNKAIDSLNGFDLDGRPIRVSAAEERPPRRQF >Solyc06g005435.1.1 pep chromosome:SL3.0:6:441133:443555:1 gene:Solyc06g005435.1 transcript:Solyc06g005435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEEGPAIGIDLGTSYSCVGVWQDDHVEIIANDQGNRTTPSFVAFTDTERLIGDSAMNQAGENAINTVFNVKRLIGRRITDPTVQSDMKLWPFKVITGPDDKPMIGVNFKGEEKNFAPEEISSMVLIKMKEIAEAFLGSTVKNAVVTVPAYFNDSHRQATKDAGVIAGLNVIRIINEPTAAAIAYGLDKKASSVGKKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTTGDTHLGGEDFDQKLRKHRKDLTSNPKSLRRLRTACERAKRTLSSAEQATVEIDSLYEGIDFKSSITRAKFEELNMELFKKCVDPIEICLRDARVDKDSVHEIVLVGGSTRIPKVQELLQNFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVKDLRLLDITSLSVGLETARGLMTVLIPRNTTIPAKKERIFSNYSDNQTRELIQVYEGERARIKDNNLLGKFELSGLHPAPKGILQITARFDIDANGILNVSAEDKTTGQRKKITITNDKGRLSKEEIEKMVQEAEKYKADDKEHKKK >Solyc10g054135.1.1 pep chromosome:SL3.0:10:54654135:54655711:-1 gene:Solyc10g054135.1 transcript:Solyc10g054135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVWGDFDCLRVGKTIILPSSHIGGPRCRAQNYQDAMVIWRWDGYPDLFLTFTCNPKWPEINEMQNLISQENDGSHVDIICRVFQIKLFQLMQHIKKQKPFGKLWHKRGLPHAHIRLFLHETLKTPSVDHIDRVIAAEIPNIAQDSDGYNAVKNIMIHEPCGDLNLKCPCEGTQVLELQKNGAKLDNRYVVPYNRNLLVQFDAHINVETCNYSKSVKYLFKPNIEKTKCTEWFEANKENADSRELTYSDFPTRWVWNAGEKKWTRRQKGRTAGRIYFAHPASGEQFYMCMMLNFVKVCICFQSIRTVNGIEHKTYPQAYYALGLLDDDKELNDCLVEASGGPLVMN >Solyc08g081350.2.1 pep chromosome:SL3.0:8:64539662:64541882:-1 gene:Solyc08g081350.2 transcript:Solyc08g081350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELFVFDNFFTDPFSPSFIDNSIFQENNSFTMPTNYLIQELPENKIDEATSFDQITSTLLSSSPPSHQFENLSLYPIVNPNFEFGDYSVKAEEFPVQVQFESDHFGAFQNDVKLMQRSFSSNCFDNNNNNNEKQSFSIFTPNFDSLIESTNFQTSAAISSPENSFSSGQMRRVCSTGDLQKMKTSQTKNTLSTSPLSGERTFIEEASNIKVGRYTAEERKERIHRYRAKRTQRNFNKTIKYACRKTLADNRPRVRGRFARNDEVGIEIPKTSSSTLFNRYEDEDDIWIEGVHEEEEDRQGSIGRKQFYHNFGSMTQYHQQYSRH >Solyc04g073980.3.1 pep chromosome:SL3.0:4:60097874:60102537:1 gene:Solyc04g073980.3 transcript:Solyc04g073980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHEEMLWMLKGDVSYLNHQYHDQGDATAMMGFSSSGSSSLSGGFGSYGDESWNVFDQNIHQGGVLDNTHHPLHLGGSGWSSNMFNDQNFDKVVDMGLSQRFYGMNIDDKVDHFFNGTGNSHHGFCDFQGSGGGGGGGALGHRRENLCDSMGSVHLNPLSRDLCKYQKEKINYDYWCNMNRPCSNEGVRYSEHSGFDDVGVDRSFFSSLHGSQLMGLSSEYDCSIAKQRPTSIMNHPLSPLPSSRSLDSYSCEDSFIMQGECLKYASEQRGLKGQKKKTRSEIKMERLQEKKPARNGLLHSGESCEVGLGENEFQVRGASRNDLALKDGNIQNHVYLAAKDQLGCRYLQRIFDEGTSEDVQIIFNGIIHHIFELMKDPFGNYLVQKLLSVCNDEQRIEFVLMVTKDPGELVKTSRTTHGTRVVQKLIETMKTRLEISLVIRALQPGILNLMMDVNGNHVVQRCLHCLNKDHNKLIFDVATKHCVDIATHRYGCCVLNKCITYSTGKQRDKLLAEICSNGLKLAQDPFGNYVIQFIIELKIPSVAAMLLSQFERHYVYLSRQKFSSHVIEKLLKCFEEGRSKIINELVSEPHFDQLLQDPFANYVIQSALGVTKGPVRTLLLHAVRPHMLLLRTSPYCKKIFSRRLLKK >Solyc01g095130.3.1 pep chromosome:SL3.0:1:86384401:86394658:-1 gene:Solyc01g095130.3 transcript:Solyc01g095130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTSSSVNVPTAGTASMLVETVADLMSMYMQTGHLNNLSVFSDLCLSLAKGIDHAVANNEVPLRSHELPSLLKQVYKYRSDFSIQEASMVLLMYVKSACKVGWFRNEDANDLLKLTQEVSRYFSCAEDVDVEPRCVQPFVSRVLPRFCPKLRMDRIIAAFQIKAECYCFLHVPISSSKIFMQLLLVTNVDNMETSSCMIAPPETSFLLNGNRLEGTTKDCVQQFPTIITKMLKYGVNLLQVIGKFNGNYIAVIGTMSSLPSLASKDCSRAPLAHSDLEIVETSSRVSLNWPISNSCQVSNQAGDELVDIITAANGSRKPILWDDGNPSQIYSKSQSNKQLAHENKYSVDPPTLDEIQMQKKPRIVVHASIQVTPVKINAPASYSQQPQRAFLVPSHLATCGGRSKNVLRHG >Solyc09g082370.3.1 pep chromosome:SL3.0:9:68536862:68542118:-1 gene:Solyc09g082370.3 transcript:Solyc09g082370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDENSKKSKLSWSKKLVRKWFNIKGKTEEFQSDEVVYGGGDEWRTSISEREHCTIKKTKTEKSNSSRSMERPRRGRADLDHPQIINVHNYSVFVATWNVGGKSPSSNLSLDDWLHSAPPADIYVLGFQEIVPLNAGNILGAEDNGPAKKWLSLIRKTLNNGPGSSGGSSGCYTPSPVPDPIAEWNADFEGSGRRKASLFPRRSFQTPQCWRMENDPSISQPRLDRRYSVCDRVISGHRQSDFDPNIRWGHRPSDYGPRPSDYSSGYRPSDYSSSHRPSDYSSGHRPSDYSSGHRPSDYSSAHRPSDYSWGQRPSDFSRWGSSDDDYGPGDSPSTVLFSPVTGAEDGNRMPRNSRYCLVASKQMVGIFLTVWVRSELREHVRNMKVSCVGRGLMGYLGNKGSISVSMMLHQTSFCFVCTHLTSGQKEGDELRRNADFMEIIKKTRFPRVSGADEEKSPENILEHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLEKDQLRIEQRQGRVFVGWKEGKIYFPPTYKYSRNSDRYAGDDMHPKEKRRTPAWYMCDRILWHGGGLQQLSYVRGESRFSDHRPVSSVFWAEVESVPSRLRKSMSCSSSRIEVEELLPYSHGYTELCFF >Solyc09g098080.3.1 pep chromosome:SL3.0:9:72483607:72487492:1 gene:Solyc09g098080.3 transcript:Solyc09g098080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKINFKKLTKKKNSVNMKELIFIPLAGLGHIVSAIEFAKQILNKDENFSISFLIMELPLDYGVKNFIQSLTSQPRLKFIDITLDEKTSSQFLNNHETFLYDFIDGHKPRVREYVHNICKTSPCYGFVLDMFCTSMIDIANEFNVPSYIYFASNASFLGLCLHFETLRNEQHSDTSRYMNSNEALSIPCFKNLCPTKVLPKHLLDSRLASTLFFDGIRRFKETKGIIVNTFFELESFSLQALLDSKMVPKIYPVGPVVSFEKSGHFINNSLEIESTIKWLDDQQDSSVVFLCFGSMGSFEAEQVKEIATALEHSGHRFLWSLRKSPPKGKVDIPTSYSDYEEVLPKGFLERTKERGKIIGWAPQVTILSHPSIGGFVSHCGWNSILESVHFGVPIATWPLYAEQQMNAFLLVKELGLGEEIRLDYAVDFEGKNNQVDTVSAQEIESGLLKLMVKSEENEVRKKMKEKSRVAMEEGGSSYNSLGLLIKDVITNI >Solyc09g083060.3.1 pep chromosome:SL3.0:9:69158028:69164671:-1 gene:Solyc09g083060.3 transcript:Solyc09g083060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVPIGTILAVISSQVIKTSQAANDVVFEKESFKVLGNYLSDIEPVLKELQLQKLNDSPAARQALESIEADLQKANDLVEKYKNRARFYLLVKCRSIVKEVQEVTRDIGKSLAALSLVNMEVLSGISEEVTRLQNEMQRANFEASQSRLQIVNKLNQGLSDQIHDQEFANNILKEIARAAGVPVEPAEITKELDNFKKEKEEAAYRKERAEVLFLNQVIELLSRADAARNYEEVKSQYFERVGIIEGYDPREEYIQPFKAFICCITGIIMVDPVSLCTGTACERASIQAWFDSGEKTDPETGEELQDLSFRPNLQLRQLIQEWKELNYCIIIRACKGKFLSGVDASIEEALAQMQELMKASSINKEWVTIGGLTEIVISKLGMLRSGYLQDKVMITLKDVVDGHARNKDVFVENQGFENVVACFGKNYATSTAAIELIYEVLHDQSSWNLPYCQKLSQQSNSISLLVSFLKNQASPSAEKAEEILAKLCDEEEENIVKAAREGWYGPFIDTLHHGSASSRMSIVRAILGLELRDEDMKLLGEKGIILPLLEMTSGNIESKELSLSALVKLSSFYDNKMLIAAAGGVAIVLKLMISSHVRSVIIAKCCEVLANLSGNGDGVKFLIDETGNQLVLEPVIAYLLAFQQNFTSSSDIVRRHALRALLGICQSQAGLVKSAVLSAGGVSAVLPLLDDPNQEIREAAINLLFIFSQHEPEGVVEYLLKPRRLEALVGFLENDSKGDVQMAAAGLLANLPKSETSLREKLIELGGLKAIINILKSGTMEAKENALSAFFRFTDPTNLESQRNVVELGAYPILVNFLKADSITAQARAAALLTDLSMRSHELSALSRKASCFCIGRARAPICPAHGGACSVSKTFCLLEVNALPDLVKLLKEKIHATSYEAIQTLSTLVCEESPHRGANVLHKEDAISPIIEVLNWGSESLKGEALGVLEKVFTSREMVDLYGLTAKLPLARLTGGRIHEDGHLQRKAARVLLLIERQPRSSRSLIAGISG >Solyc08g065190.3.1 pep chromosome:SL3.0:8:53037426:53040826:1 gene:Solyc08g065190.3 transcript:Solyc08g065190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIEDLTSLFVMDHIRQKTGSLSDTIMLKVSESISNTACGSAVDEMEGCRDNSAALTLKIVAISAILIAGVCGVGIPLVGKKHRFLRTDSNLFFTAKAFAAGVILATGFVHMLPGATSSLTNPCLPKSPWSKFPFAGFIAMMAALATLVVDFVGTQYYEKKQEKQNQKDQTDSADLVSESAIVPVEPKPRNEKLFGEEEGGAIHIVGMHAHAAHHRHSHSHEHGDVREHSHGHSHSHSFGGGDEEGGVRHVVVSQVLELGIVSHSIIIGVSLGVSESPCTIRPLLVALSFHQFFEGFALGGCISQAQFSSLRSTVMATFFAITTPLGIAIGIGAASSYDPHSPRALVVEGILNSISAGILVYMALVDLIAADFLSKRMSCNTRLQVVSYFALFLGAGLMSLLAIWA >Solyc08g074710.1.1 pep chromosome:SL3.0:8:58978798:58979144:1 gene:Solyc08g074710.1 transcript:Solyc08g074710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDIPFHNLNHKDFSLKKDGGEDEDEDGYAKETRKILLKFPRLLVLEEGHTTRNEHNLVWKALKKVEI >Solyc07g064625.1.1.1 pep chromosome:SL3.0:7:66819164:66821621:1 gene:Solyc07g064625.1 transcript:Solyc07g064625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRRQISSICVSFEASFNTQNLFFIKHFSALAPTPSPVYSDTQKLDTQLRSLCEKPNPKYNNAVLLFNHVLDDLGQTPSESTCNFLVVTLAKSKEYNLALRVYRKTRQVQVLPRFLSLAALIECFVYVHKPKLAIGVLGLMLKNGFKVNVYVVNVILKGLCENGMVVNAIKFVWGLDMKEVTPDIVSLNTLMRGLCRDKKVQEAVDLRFSMEKVVGFAPNSYTYAILMEGLCSDGRFDDAIGLLEEMRVKGLKEDVVVYSTLINGLCNKGYVSRGKEFLNEMLEKGISPSVVTYSCLINGFCKQGKLKETTMLYDDMLGRGIQPDIVTFTGMIGGLGNNGMAKKAIELFNLMIRRGEEPGNITYNILLSALCKEGLLADAFDILKLMIEKGKTPDVITYNTLVTGLCKSGKLDDAVTLFDSMLDDETYVQPDVITMNVLIRGLCQEGSLDKAGEIHNKMVENKSLVDIGTFSVLIGAYIKAGDIVKAFELWKQLTQLNLIPDSMTYSTIIDGFCKLCALNIAKGLFLRFRKKGYHPTAFDYNSLMDALCKEGSLEQARRLFQEMLDGNCEPDVISFNIIIDSTLEAGNLQSAKELLVDMSQRGLSPDVFTFSILINRFSKLGQMEEAKKLFVRMNASDLTPHISVYDCLLKGFSLNGETEEIIDLLHKMAAKGIELDLGLTSTILECLCNISEDLNVEELLPNFSQKKSEGFSIPCSELLMKLQKSLPELQLDSAL >Solyc08g006930.3.1 pep chromosome:SL3.0:8:1496954:1500179:-1 gene:Solyc08g006930.3 transcript:Solyc08g006930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTMMTTLPQFNGLKPQPFSASPIQGLVAIQPRRNKGQGGLGARCDFIGSSTNLIMVTSTSLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGTVGHIIGVGVVLGLKNIGAL >Solyc05g052080.1.1 pep chromosome:SL3.0:5:63264980:63265543:-1 gene:Solyc05g052080.1 transcript:Solyc05g052080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSSATRVSDDYNFTSYYSSSSNSSSSLTKVSPALRALSLEASELLPIHEPLSNISKKEKSRAKFAENAVHIIPFVLLLCGFILWFLSNPDIDTPILKGEGIATRIEGLTIEGDLDPPDGTHVTNLPLESRDVDLIKQGQSRQKSYTGK >Solyc09g007840.3.1 pep chromosome:SL3.0:9:1374023:1376996:-1 gene:Solyc09g007840.3 transcript:Solyc09g007840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKQKSIRFPNDFDISKTGSYNVSKFLKAISIKNHEQTSSSNLEKVEIEPKMKVFRKKKLSRVFSEDYDGVQWKILDPRGRLINIWNKCFLIASLTSLFVDPLFFYLPSVDDEICMDASYPMEIVLTIVRSVIDAFYLVQILVQLRTAYVAPSSRVFGRGELVIDSSKIASRYLRKDFLLDILATLPLPQVLIWAAIPSLRGSNRIGAKHALRLTIISQFLLRLCLIFPLSSHIIKTTGVMVEAAWAGAVYNLVLFMLASHVMGSCWYLLAVERQEQCWKKICDQQQPYCQYWYFDCQRKNNSSRIAWYPWSNISTLCGPSSNFFQFGIFKDALTYRVTQSSFLNKYSYCFWWGLRNLSSIGQNLLTTTDINEINFAVVLAILGLLLFALLIGNMQTFLQSTTMRLEEWRIRRTDTEEWMHHRQLPHDLKERVRKYDLYRWVTTRGVDEESIVRSLPVDLRRDIKRHLCLDLVRRVPLFDQMDECILDAICERLKPLLYTAGTCLVREADPVNEMHFIIRGHLDSYTTDGGRTGFFNSCQLGPCDFCGEELLTWALDPRPSIILPSSTRTVTVLTEVETFALAAEDVTFVASQFRKLHSKQLRHTFRFYSNQWRTWAACFIQAAWFRYKRRKEAAALNKAKQCPLAPPLPTAPRDERIRSVSLGQKASEFAVYAATLAASNRKGASMRGELEIISSLQKPVEPDFSVTDR >Solyc03g119300.3.1 pep chromosome:SL3.0:3:69427187:69430212:1 gene:Solyc03g119300.3 transcript:Solyc03g119300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQGREGGGGVAVLCGGGVNAVDSSASSSNGVLEKETGGKQESPILFFLFFHKAIRLELDALHHSALAYATGQLEDIQPLLKRYRFLRSVYKHHCHAEDEVIFPALDIRVKNVAPTYSLEHKGENDLFDHLFEILNSEKQNCERFPRELASCTGALQTSVSQHMSKEEEQLLRFLEARAITSSL >Solyc05g050830.2.1.1 pep chromosome:SL3.0:5:61901156:61901587:1 gene:Solyc05g050830.2 transcript:Solyc05g050830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAHDVAALAIKGCSAHLNFPHLVDQYPHPASTCHKDIQAAAAKAAAIPFPEEDEEEEDQIESDQVELRNCHPSTNLFLENAKESLNSPSREDDDTFFDLPDLSIDVVDQTNSYWCTMSTWQQLIGADTMVYRLDEPFLWE >Solyc01g113620.2.1 pep chromosome:SL3.0:1:90432145:90436749:1 gene:Solyc01g113620.2 transcript:Solyc01g113620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCLQVCVLMSWKQKGRIGEEFDRHEEAKVKKWKEALKQVADLGGMVLQNQADGHESKFIQKILKVVENKLSRPVLYICPHLIGIERRVENINSWLEDGSTDVDTLVICGIGGIGKTTMAKYVYNLNYSKFDGSSFLSNIRENSTHHKGLVTLQRQFLSDICKRKKKPMFSVDEGMTEMRDAVSCKRILLVLDDVDSRDQLDALLAMKDLLYPGSKVIVTTRNKRLLRPFDVHKLYEFETLNRDESVELLSWHAFGQDCPIKGFEVCSEQVAIDCGGLPLALEVLGATLAGRNIDIWRSTIQKLEAIPNHQILKKLAVSYESLEDDHDKNLFLHLACFFIGKDRDLVIAILNRCNFYTVIGIENLIDRNFVKISESNRLIMHQMIRDMGRDIVRQESPMEPGKRSRLWRSKDSYNVLIQNLATQTIQGIILNMDMLKDNDIVSSSFSAIDFKKHKTKNFLNYHNPQRGQFKQKTSGFSPWHLSDVKEVTNQLVLETVVFEKMQKLRLLQFDHVELQGSFDVFPKRLRWLLQHSRLRKIWHEVKVPFVIQFLKYLKIFDLSHSYELLRTPDFSGLPNLEKLILRYCTSLIELHETIGCLESLLLLNLNNCKNLQRLPDSICMLKCLVTLNISGCSSLEYVPMDLDKVDSLRELYADEIAVHQMVSTAEEVQPWYGFLRSWMCKGTICPKVSHISLPNSLVTLSLAGCNLSDNTFPVAFNSLSLLRNLDLSHNNICSLPKGISYLTRLQKLQVEGCEKLKSLIGLPNIEHLNVTNCSLLEKISYQSKSSSLKNLLVSNCVELVEIDGNFKLEPLRNTEAGMLCKLGLLNLAPMDNVMINLTSNILSYYRIHGKGWTPRRKTKKVVLQGLYQPGIFSTFLTGERVPPWFSSKFSKESSASFKVPTCNSRIEGLSFCIVYKRSTIGLSPSILGPSRLTPPPRISHLAMRKAQGRPLRYRLVENKPYESTFDCPCITVNNLTRSLKWSYQPLFYGVPEGREGMMWLSHWKLENQLSSEDVIEVTVTAGDGITVMEFGIKIVHVEETKVLGKPGCEETDIVNPFWDVNLVHATTSKDTFSVRLPPTYRSLRAAHELFMEKALKRNMSDYN >Solyc12g097010.2.1 pep chromosome:SL3.0:12:66667722:66673013:-1 gene:Solyc12g097010.2 transcript:Solyc12g097010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASSSKVCKYDIFLSFGGEDTRRTFVSHLYNALEQRGIHAFKDDERLEAGQSISAELLRAIEDARFAVVVFSKSYASSRWCLEELAHIIKCKNELEQNVIPVFYDVSPADVRHQNSPFADSFFQHEVKYKDDMEKVQRWRGAFAEAGNISGYHLLNFKDEAECVKKLVDDIFPKSLQIISPFPESLVGMRSQVEEVIELLSMESNDARSIGISGMGGIGKSELARVLYERYRHLFEADCFLGDVGELHQKNGQAWLAQVVIRKLLGEKMSVTSEHEGMIILKNRLRWKKVLFILDDVNHREQLEFLVGGTEDKNLLISHVGDNVYEVQLLSEVEALELFSRHAFREKTPKEDFIELSREVVKHAGGLPLALKLLGSSFYRRDKKHWRHIIDRLKRIPHKDILGKLRLSFDGLDKDEKELFLDITFLNIAFLDMDYWARSDFDLYLELLQRDPNRGFLIDYLMEKSLLSITLNNRIVMHNMIREMGENVIREEYANSRIWLPEEICDLFDGKLITEKVESLHIPKYSYFEENLVDYSNIFKVMQSLQILIIGDGTFSSNCAITYLPSSLRFIDWTGYPSISLPESFEPSQLVMLRLRESCLVEVLPISKKLSNLKHLDLTDCYELRKTPNFGDMPNLVKLNLHRCENLEEVHPSLGHCRMLTSLTLSYCYKLKKLPKFVCMESLERLYLIKCTSLQEFPEICGDMQCLSKLFLESPWIRSLSPSLSGLRKLKLADCEILESIPDTIRNLSDLIISGCNKLTTLPDSLFESQQLEHLYIHRCSGLVKLPSSLGVQKNLYELEMDRCENLQKFSSSIRIESLTRLKISNSPKLDTFPEIKGDMHCLKFLTLTSTAIREVPSSIGNLSGLIYLNLSGCEDLVSLPDNLCNLVNLRSLNLRGCKRLEKLPENVGDLQELYIHDTSETAISQPHDRNILGGQLGSLRELDVSGSNISCSPKSLKGHFLLQYLEVHYCENLNELPRELPPNLTWLYADYHLALKSIRDLVMNCLKLCRLGISNCGTVSSEQVNVFLQHFHKTCIQCNFHLRDYFLIVFPGVRIPELFDYNRFIYQQDMSIDLDPSWYTDKFIGFSICYGPIRNHTELRVTLVCKSGPERKYSLGYNNFLGENLESDDPFICFAYIPFETLWNNGEGNKEGKNPNDYYMLELELEFTDEVGWGIRLEYEKEAMSNTSHPNKKRKQ >Solyc12g013840.2.1 pep chromosome:SL3.0:12:4630670:4639854:1 gene:Solyc12g013840.2 transcript:Solyc12g013840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCPMWITMEGSSQSGRERSESSRGLNSSGVVDWNSRFRSASTIRLSSDASHDSGFVSKGWERIESSDVNCVKDQGVRGIDRKDVSLRHWLDNPERTVDSLECMHIFTQIVEIVKLAHTQGIAVHNVRPSCFVMSSFNRVAFIESASCSDSGSDSCEDEPNSSSSPLQLEMIPGKDSAIASESSCLQSSSGHMVQTLEANKNRQEEDNNKHNFPMKQILHLETNWYTSPEEVNDAPGTCASDIYRLGVLLFELYCTFNSSDEKIANMSCLRHRVLPPQLLLKWPKEASFCLWLLHPDPSSRPKVGELLESEFLKTPRHDLEEREAAIELREKIDEQELLLEFLLLIQQKKQEAVENLHEIVSFLSSDVEEATKMQTTLKLKGGSSVEKAEEATKMKGGSSLEPAKHLNSRRTNITEDHDSGSSGSRKRSRPSTGEESDGRPDESQKFERHIENKSSISAKSSRLMKNFRKLEAAYFMTRRRVIKRDKSMSRNCQTSPECKSSATATERSSLSNLSSKGGCNGDRQRGWINSYLEGLCKYFSFSKLEVKADLKQGDLLNPSNLVCSLSFDRDGEFFATAGVNKKIKVFEYNSILNADRDIHYPVVEMANRSKLSSICWNGYIKSQLASSNFEGVVQVWDVTRSQLFMEMREHEKRVWSVDFSLADPTMLASGSDDGSVKLWNINQAILLLHLVDGVSVGTIKTKANVCCVQFPVDSGRALAFGSADHKIYYYDLRNSKLPLCTLIGHNKTVSYVKFIDSTTLVSASTDNTIKLWDLSTCTSRILDSPLQSFTGHMNVKNFVGLSVSDGYIATGSETNEVVIYHKAFPMPALSFKFNCTDPLSGDEVDDSAQFISSVCWRGQSPSLVAANSMGNIKLLEMV >Solyc01g088505.1.1 pep chromosome:SL3.0:1:83156893:83163614:-1 gene:Solyc01g088505.1 transcript:Solyc01g088505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLIGLVNRIQRACTALGDYGGGDNAFSSLWDALPSVAVVGGQVGDPLSLFGMVRKEIADETDRITGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQSETIVEDIENMVRTYVGKPNCIILAVSPANQDIATSDAIKLAREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRAYRLQHPWVGIVNRSQADINKNVDMIYARRKEREYFATSPDYGHLASKMGSEYLAKLLSKHLEQFIKARIPSITSLINKSIDELESELDHLGRPIAVDAGAQLYTILELCRAFDKIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRYLSVQNVRKVVSEADGYQPHLIAPEQGYRRLIEGALNYFRGPAEASVDAVHFVLKELVRKSIGECQELKRFPSLQSTIAAASNEALEKFRDEGRKTVVRLVDMESSYLTVDFFRKLPQEMETPKGGKPEAAAAVDRYGEAHFRRIGSNVSSYVNMVSDTLRNTLPKAVVYCQVKEAKQSLLNYFYTQIGKKEGKALSELLDEDPALMERRMLCAKRLELYKKARDEIDSVAWVR >Solyc01g014480.3.1 pep chromosome:SL3.0:1:13892275:13895626:-1 gene:Solyc01g014480.3 transcript:Solyc01g014480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDEIGIDISSDIEVDDIRCDNIAEKDVSDEEIDPEELERRMWKDRVKLKRLKERQKIAAQQAAEKQKNKQTTDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGSSDNIRAWWKEKVKFDKNGPAAIAKYEAECHSKGEGISNQNGNPQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVSPPWWPSGNDEWWVKMGLPKGQKPPYKKPHDLKKMFKVGVLTAVIKHMSPDIAKIRRLVRQSKCLQDKMTAKESSIWLAVLSREESTIRLQTNDNGSSSISEAPIRGHGDKKKSSVDSDSDYDVDDVNVSVSSRDERRNEPLDARPLTDVPQSHQSKEQGDGQRRRRKRARSNFQQTQLSPSEQQPYDVARNSLPDMNNANAQFSGYIANESQPENNMMVPRKSVERNSEGQSDLPLEESNLPMVPSANAVSTEETFVGNGPSIYPMLENSEVVPYESRFHLGTQDSVVQRQLHDTQLQSRPQFSGMNNEPPNSIFHYGPPNNGLHNGSQSSVLHHELQYSGFTHGSQYSNLHKPTVYQYFTPSAEFGSAHEEQQSHLAFGQPQIKPQDSGVRSAVLHGDRNGMSREDHHYGKDAFQNDHDRPAEMHFASPITSGSPDYARLSSPFNFELDVPSPLDTGDLELFLDEDVMTFFAS >Solyc06g076715.1.1 pep chromosome:SL3.0:6:47785317:47789785:-1 gene:Solyc06g076715.1 transcript:Solyc06g076715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEKLRKQLKELLEAGHIRPSKAPYGAPVLFQKKKDGSMRLCIDYRALNKITIRNKYPIPLIADLFDRLGEAKYFTKMDLWKGYYQVRIAEGNEPKTACVTSQGELRMDEAKVKAIQDWEAPTKMTELRSFLGLANYYRRFISGYSAMATPLTDLLKKNRPWLWSEEWEEAFEGLKVAVIKEPVLMLPDFTKTFEIHTDASDFAIGGPPALAPYRMAPPELEKLRKQLKELLEAGHIRPSKAPYGAPVLFQKKKDGSMRLCIDYRALNKITIRNKYPIPLIADLFDRLGEAKYFTKMDLWKGYYQVRIAEGNEPKTACVTSQGELRMDEAKVHQRVLRYGYTTDRSAEEE >Solyc03g071820.2.1 pep chromosome:SL3.0:3:20972793:20974557:-1 gene:Solyc03g071820.2 transcript:Solyc03g071820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIIGIIALLGKVDEDNFTRELSDYLGQKTMLAVVCKTRGGLKVVETYDKEGLISKVLVFMELVGSSIGRPLDERYLVICLEKLRPYNGEFIAGDPQRRLSIKKQSYLNEETPPGFLGFAINMINIDPVNLYCVTSTCHGQRETLFYRLFS >Solyc12g096970.2.1 pep chromosome:SL3.0:12:66646928:66650530:1 gene:Solyc12g096970.2 transcript:Solyc12g096970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHKMGALKPFQLLEVNIISAQDLEPISKKMKTYASAWVHPTRKLTTGVDNDGGNNPTWNDKFVFRVDDEFLQQDTSAVQIDIFSVHWFRDSLVGSVRVLVGNLIPPPTRLQHQQHHIGMRFVALQIRRPSGRPQGILNIGVTLLGGTMRSMQLYRQLSMSAVGYRDLMDEDAHLPNYDQKTLEAQKDDNNNNNATALKPMLRRTRSERSERVAFDSGSMANSSLVAAVPHKKKVVAVEKESSILSISFEPPKHMMKKKGKASSVISGAELREKPKTNNKKGKSGSVLSDSIVSKESSSYLHRPKPKDYRPKPKDEKPKLKLIELEFGDKEKPINEKKAGTDSPTTKVVDEKSITIKPNKNLGHDVSPKERQTPVIGKPMLRNNGGFDYGGPKGQNGKFVFGGPLKAHSVWSDSEVGPSPSEVAAAIAEKKYPLEEEKSSVLDGWSIDESVEGLRSKLERWRTELPPLYDRGMASSSYHSTGRHTRRHTDGGSGLFSCFGNFYGYECQCVCGKPKRRRVNPKFQSPSVGSRSWV >Solyc04g078380.2.1 pep chromosome:SL3.0:4:63200071:63202872:1 gene:Solyc04g078380.2 transcript:Solyc04g078380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDSAQSQETVTQETQNKPMTTSFSIWPPTQRTRDAVINRLIESLSTPSILSKRYGTLPQDEASETARLIEEEAFAAAGSTASDADDGIEILQVYSKEISKRMIDTVKSRSAPAAASEGESKPSELPADASEPSSASGLTGESLLLQEIPTSSSDLLAFEFGFQDAMWV >Solyc08g007200.3.1 pep chromosome:SL3.0:8:1768428:1774517:-1 gene:Solyc08g007200.3 transcript:Solyc08g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGATNQLISVSPPELKFQFELDKQSYCDLKVTNSTDQCVAFKVKTTSPKKYFVRPNTGIIQPWDSVTLQAQKEYPADMQCKDKFLLQSAIVNNDTDELPPDTFNKDGGRTVEECKLRVVYMSSHSSPGPYEDVFRQSSDFSSNQALQRIKDERDAAVRQTQQLIQDLEMMKRRRSRNDPGFSLRFAIVVGVIGLMVGFLLKLLSSPSVE >Solyc09g061797.1.1 pep chromosome:SL3.0:9:60578411:60585329:1 gene:Solyc09g061797.1 transcript:Solyc09g061797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYFEHVDMHTEAAKIWTTAMYLTDTSMLWWRRKKADMERGACQIDDWEQFKTELKRQFYPQNVVHEARRRLRELKQTSSIRDYVKEFTKLTLQIPSLTSEDLLFYFLDGLQNWAKQELQRRQVHDVDEAIAVAESLNDFRGDAAKGRDNRSRTIPPKVDNNNRGRSRPNTNQSNDTRSNPRDQPSNFRKNYEDRKRGAPQREGCYICGETTHAARYCPSLRKLSAMVAAEKQQEKAAAQASSSAGEKRGQSSGADKGKNVVVGMFNHMALISYISIAALAAKPAGVRPRESLFIDAKLNGKDVRIMVDTGATHNFVTEQKAKELGLNYVASNTKLKTVNATPTTVNGFAAAVPIELGEWAGQTDFTIAPMDVFDIILGLDFWYEVNAFISPRHNQLHISDVGGSCVVSLIRVPQTGMQLSAMQIIKGFKRGEPTFIATLIEDAGSCDEAVPLPPCIKHVLSSNKDVMPVELPQWLPPRREPKTACVTRYGAFDWLVMPFGLTNAPAMFCTLMNRLFLSYLDQFVVVYLDDIVVYSDNMEDHVEHLCKVFEIPRNNELYVKREKCSFAQPIVRFLGHTISHGKIQMNSDKIAAINNWEAPTKVPELRSFLGLANYYRRFIFNYSAYAAPLTDLLKKDRAWNWSAACQTAFERLKLAVTQEPMLALPDFSKPFEVHTDASDFTIGGFRREMGEKLENGEKQEMRVSRWGFQRV >Solyc01g006220.2.1 pep chromosome:SL3.0:1:839412:851308:-1 gene:Solyc01g006220.2 transcript:Solyc01g006220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIYTLCVIEKTTLNNILSLPLFSIAFCCSEPFFSPAIAGDDDHFPPIKATVNECCYLIEPMPATPMKKSATRGGIGNVFNKLTSKIGDPVDFELPDWLSKWQPTPYPYTSIRRNIYLTNKGKRRLEDDGISCTCSSTAESSDVCGMDCLCSMLWSSCTSGCKCGSSCLNKPFHQRPVKKMKIVKTEKCGTGIVADEDIKTKEFVVEYVGEVIDDKTCEERLWKLKHSGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQRWMIDGENRIGIFATRDIKRGEHLTYDYQFVQFGADQDCHCGAINCKRKLGIRPNKLKLPSSDAAALKLVACQVAAPFPKEKVLLSAKHDSQTEVPPKGNWSSDSARKIQHPRNCTGQIIRIIRYSDQSPVDSLESRIPDVSSSFGIIKQFDRITKKHLIMFEDGSTEHLDLSKEDWRFCNFA >Solyc10g074860.2.1 pep chromosome:SL3.0:10:58630766:58635847:1 gene:Solyc10g074860.2 transcript:Solyc10g074860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISSTKLLMAGRSTLGMTCGM >Solyc01g098910.3.1 pep chromosome:SL3.0:1:89152887:89157371:-1 gene:Solyc01g098910.3 transcript:Solyc01g098910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSAFGKSKSLWITLTEGSWSEAFDGLGISLLLTSNPAIQYTVFDQLKQRLLKDKAKTTEKGTSPVVLSAFSAFVLGALSKSIATVLTYPAIRCKVMIQAADDDDDKKAEPKSSKTISSVIWSIWKKEGFLGFFKGLHAQILKTVLSSALLLMIKEKISATTWVLILSLRRILLLRQRKLKSA >Solyc10g008280.3.1 pep chromosome:SL3.0:10:2400135:2407954:-1 gene:Solyc10g008280.3 transcript:Solyc10g008280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGELIAAGWPSLLAAVAGEAINGWIPRKADTFEKLDKIGQGTYSSVYKARDLVNDKVVALKRVRFEKMDPESIRFMAREIVILRRLDHPNIIKLEGLIVSRTSCSLYLVFEYMEHDLTGLAALPGIKFTEPQVKCYMQQLLSGLDHCHNRGVLHRDIKGSNLLIDNHGTLKIADFGLANFFDNQQSVPLTSRVVTLWYRPPELLLGASHYGTAVDLWSTGCILGELYVAKPIMPGRTEVEQLHKIFKLCGSPTEDYWKKTKLSYSAVFKPIQPYKRSVGERFKELPPSAVGLLETLLSIDPALRGTAVGALESEFFTTKPFACDPSSLPTYPPSKEIDAKLREEEARRRGTTNGSKERQAVPAPARDANAKLASSMQRRQSSSNPKSQSDRFNPSKEAVARFPNDPPKPSQAVKETGKDQMENLSDRFSAKDHLDDLSETFSHSVPLALGVFGKKYDDISIGPNRADLSDLVASRSVVSGDNRDRCVQLQSAHQVERTARLLDEHSTKQDQDQKYFKQNFASSHQFESGRTCTKEANLHDHSDKGNKIHYSGSLLVASNKVDQMLKDRDRHIQEAARRARLEKARAGQANAPARSNVKNM >Solyc12g038590.2.1 pep chromosome:SL3.0:12:51528396:51533476:1 gene:Solyc12g038590.2 transcript:Solyc12g038590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLLSLKLLPQIHRNLSNGATNLSKANHCFSAPYTTSSHSWRLQNVKFSHGVFSNPLFSKQLLANTHFRGDPSKVLAYRTVGLGRTQLGRRNFHSNSLVGSIRRSWRSSYGRFSTDGVVLCLIFTNVAVFLLWRVADSRFMMRNFMISVDNFTSGRVHTLITSAFSHISTWHLVSNMIGLYFFGTSVSSPVCPLFF >Solyc09g097980.3.1 pep chromosome:SL3.0:9:72424394:72431016:1 gene:Solyc09g097980.3 transcript:Solyc09g097980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGTKVGRMKLGSEGLQVSVQGLGCMGMSAFYGPPKPEPEMIELIHHAINSGVTLLDSSDVYGPYTNEILIGKALKGGMRERVELATKFGIVLGDEKKAEGKREVHGNPAYVRSACEASLKRLDVDCIDLYYQHRIDTRVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFLSSGPKLLEDLSNEDYRKHLPRFQAENLEHNKKLYERICQMAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSVKLTSEDMAELESIASANAVQGDRYIPGFKMINVSTLFTRLENLRNRLKSRLHLPRFQAENLEQNKILYEWICEMAANKG >Solyc05g055860.3.1 pep chromosome:SL3.0:5:66179124:66184337:-1 gene:Solyc05g055860.3 transcript:Solyc05g055860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCFKKKTESSEDDVPVAQSKGISTTPPNPGITYVHFLHAFWIKRPAEPFHKGDNAGGNVNSNAKTYTFRELASATKNFKQECLIGEGGFGRVFKGTIQGGEIVAVKQLDRSGTQGNQEFIVEVSKLTLLKHQNLVNLLGYCADGDQRILVYEYMPMGCLNDHLLDLEEDKKPLGWLSRMKIALGAANGLEYLHETTNPPIIYRDLKGTNILLDKDFNPRLSDYGLSKLAGGGSRTNMSPMMMGTGYCAPEFEKNGEHTLKSDVYCFGIVLLELITGRRAVDTTRPADEQNLVAWAQPYFKDPKKFHELADPRLGKSFPVKGLNQAVGVTAMCLQDEPMVRPLIGDVVAALTFLTMPQPDDPIPSSPPAPTPTSNNEDQASSENEDQDYSDDEDQGYSDEEYEDSENDEQNDEKVHDKQRSQKVSDKRKSQKNRDSQEDEKASSEYGYGSASGSSEYEDSGDGEKPKITAKSAKYASHSRHKSKVKSRTKSTNSGTSNR >Solyc01g079910.1.1 pep chromosome:SL3.0:1:78933175:78934413:-1 gene:Solyc01g079910.1 transcript:Solyc01g079910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFKLSSSSNIYTFFLLFLLLLNIISSTLVADCESGYTSSTYKPTRCKSKQCSFAKVKFDAYGDYCLTEPKPGCNNNTCHTLVAELAENVLAIGTAPVVLVSQRRFIFTCVESYMMKHLAKGVTESCIHPTSWKFHGLFDSYGVPCQMLRPFESHEKRCHLIKHCYLLMIKDMVEQESAQQHLSQFWTLAFIKVVKTAFINALPKNVTMVDPPMKRFGACFSSKNIRSTNVGPVIDFVFHKKRAFWRIYGANSVVQVRKDVMCLAFVGVDQTWKPSNCYRRISIGRELVGI >Solyc04g076940.3.1.1 pep chromosome:SL3.0:4:61959920:61968032:1 gene:Solyc04g076940.3 transcript:Solyc04g076940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNLSFITCSLLSLIEPCIIVFALRVRSQGRFPIKSAFAAHFFLYTLLTTFFSLFICPFRLLQEVLNAHNIST >Solyc02g094755.1.1 pep chromosome:SL3.0:2:35016113:35022011:1 gene:Solyc02g094755.1 transcript:Solyc02g094755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVDLEGKTYNCKFCKIQLGLADDLVSKLPSWNKMRLFVHAEHLTIEILHIINKMDLQKQKRFFVHGERLAIENLHIINEMDLQKQKRCVLEITNECGAKISDLELQRDLKLTGIRVASAIGNLKSTVATAPLPIASLFLLHHTT >Solyc03g043715.1.1 pep chromosome:SL3.0:3:7256192:7260605:1 gene:Solyc03g043715.1 transcript:Solyc03g043715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAGGITNAVNVGIAVQADWENREFISHISLNVRKLFDFLVQFEATTKSKLATLNEKLDTLERRLELLEVQVSTATANPALFNV >Solyc03g070430.1.1.1 pep chromosome:SL3.0:3:18386485:18386649:1 gene:Solyc03g070430.1 transcript:Solyc03g070430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLTSGVSRFSYLCVLMLSFMLYCGYSMSILHNEIINMCCVAKIHGCSFLFLL >Solyc06g009260.3.1 pep chromosome:SL3.0:6:3222794:3224718:-1 gene:Solyc06g009260.3 transcript:Solyc06g009260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSVFKSFLNLPKSISILTPSVYRPNSISISSSYSTPRISKRIGSFFSAPVGEQFQEFGSLFSAKYSTNGYLLPPNFINVVGCLYGIGDMESLCEPGKKGNSTLLQIRS >Solyc06g060060.3.1 pep chromosome:SL3.0:6:38085365:38095269:1 gene:Solyc06g060060.3 transcript:Solyc06g060060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVTGMATCFRYCPCHLKMGRTGIHLQYCQLNYAKIKGNGNRLGHRRLKFVVSAELSNAFSVNIGLDSQASDTSRFSRIGPLPGDIAEIEAYCRIFRAAEQLHNSLMDTLCNPLTGECNVSYDVPSDDKTILEDKLVSVLGCMVCLLNKGREEVLSGRSSIMNLFQDVDVHMMDDMLPPLAIFRGEMKRYCESLHVALENYLTPDDPRSIVVWQTLQRLKNVCYDAGFPRGEKNPSHSLFANFSPVYLSTSKEETQSATSEVAFWIGGQVTDEGLRWLLERGFKTIVDLRAEVVKDIFYEKVLDEAILSGDIELVNLPVEVGISPSVEQVEKFAALVSDLNKKLIYLHSKEGIKRTSAMVSRWRQYVTRYTPHVVASTYKAMDSIENSSRDARGNEEIFMSPRPEDGKNFNDEVNSASDNRDGPLPTSSDDINSAVEDIKHISEATDLGKNEGDEIISSNPESTVLASYINVNPLNTQMPPSNVFSRKEMSTFFRSRKVSPAAYFTHERKRLEVLSALRYKNKRVPKANETPSTYSATRTVESEDLNGSSSDKLLITDPSTFASNTEMYVGQNGSATPILNGSSNGKVQTSIKNASTVDARNELECIADSRVTTAESRNIEVITPSLEDNLEQIEGNMCASATGVVRVQSRRKAEMFLVRTDGYSCTREKVTESSLAFTHPSTQQQMLLWKSPPKTVLLLKKLGHELMEEAKEAASFLYSQEKMTVLVEPEVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNIFRGAVPPVISFNLGSLGFLTSHPFEDYKKDLRKVIHGNNTLDGVYITLRMRLRCEIFRSGKAMPGKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSAKLELKVTIPEDARSNAWVSFDGKRRQQLSRGDSVRIYMSEHPLPTVNKSDQTGDWFHSLVRCLNWNDRLEQKAL >Solyc02g082730.3.1 pep chromosome:SL3.0:2:46932700:46939491:1 gene:Solyc02g082730.3 transcript:Solyc02g082730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rab proteins geranylgeranyltransferase component [Source:UniProtKB/TrEMBL;Acc:K4BAE3] MDETASYPPIEPSNFDLIVVGTGLPEAILASAASAAGKSVLHLDPNPSYGSHYASLPLQEFTSYIESHSSQSSSILTIPQSDMDSGFTRVPLTTQPLYSSVEITSYSSEPLAQSRKFNIDLSGPRVLLCADAMIDLILKSEVNQYMEFKSIDGSFISDGEGNLESVPDSRSAIFKDRKLSFTEKNQLMRFFKLVQGHLEAATAADGGESSESKSISEQDLESPFVEFLSKMGLSAKLKSIVLYAIAMAEYDQENADVCRSVLKTKDGIDRLALYHSSVGRFPNAPGAMIYPIYGQGELPQAFCRRAAVKGCIYVLRMPVNSLLMDKASGSYKGVCLASEQELFCHKLILAPSFVVQSPLPYSSPDAHDFGSRNTTEKLARSICISKHSLKLDVANCLAFFPPRSLFPEQVTAIHVLQLSSNVAVCPSGMFLTYLSAICEDDVQGKNLLHTAIDVLFHVPVSGSPGNNNFVEDQSETGDAKPAVLWSVFYTQELAKFQDVRDNIIRTPMPDGSPYYHDLLVATEKIFRELYSGEEFFPKSTSSEEGAAQELED >Solyc09g090580.3.1 pep chromosome:SL3.0:9:70538241:70541655:-1 gene:Solyc09g090580.3 transcript:Solyc09g090580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEAPVEATEIPSVAAAETVEKQPHKLERKWTFWFDNQSKPKQGVAWGSSLRKAYTFETVEEFWSLYDQIFKPSKVTVNADFHLFKAGIEPKWEDPECANGGKWTATSSRKANLETMWLETLMALVGEQFDESEDICGVVASVRRSQDKLSLWTKTATNEAAQMGIGRKWKEIIDAEKISYSFHDDSKRERSAKSRYTV >Solyc09g059950.3.1 pep chromosome:SL3.0:9:57176420:57182674:1 gene:Solyc09g059950.3 transcript:Solyc09g059950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDSNKQIRIKLLCPLVSNMVISIMVWEEERLDLGYIARIFGLNPLTLKINGHFISKGVDFIASSVTWKSLLSFFSARGFSTHPLIIQGNLSKLGSKRSHSPTLVENGLLCKKDLNDEREKCHEETNSLSNKKLKESTRGNDRLYNFACAGVALNLKRKLCLEGSGLLKRSRTDEYDSGLREERVEFSNADRPLLCNLASEKLKRFRDDEMVVTTPFKRIR >Solyc02g080850.1.1.1 pep chromosome:SL3.0:2:45520233:45521501:1 gene:Solyc02g080850.1 transcript:Solyc02g080850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQSSSFLNFVPSTSYNYCCKRGLIRATINIPRIKTGKISLPNLQSVHEQEYSTIVNNDNLVDIQLSPATTRTHMLNGPDPLVIEKLYAIKEAVADRVEMHRNIGEQRSQWNSMLLTSINGITLAAATMVGIAASSSGDSVLGLKMSSTLMYLAATGMLTIINTIQPSQLAEEQRKATRLFQDLYNQIETTLSIGHPSGIDVKEAMDRVLALDKAYPLPLLGVMLEKFPSSVEPAVWWPQQRRRQTKSVNNDNWNGWNSKQEEEMREVMGVLGRKDQEEYIRLGEKALKLNKFLAMSGPLLTGVAAIGSAFASHSPHGSWAAMLGIVGGALASVVNTVEHGGQVGMVFEMYRSNAGFFQYIKESIESNLRETETERRENGELFELKVALKLGRSLSGLRNLAAVASLKEEHLDEFASKLF >Solyc12g096170.2.1 pep chromosome:SL3.0:12:66174328:66178514:-1 gene:Solyc12g096170.2 transcript:Solyc12g096170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKEEIAGTRQTNLKTAFELGIHSLLTSCSKEGFCKAFPNFAPAEVERLHRLFIQVITSLHEDIEDEFESLCVETQAGSTLNMVEQLVEEQNLDPLFPEKSNVEEVRHFLSEAKQNEINYLTTMLETAEEQKRSITSRLETLKKQRHDFSDAAATDIVNKIRTGNQKYNTNNNIGFHN >Solyc09g091030.3.1 pep chromosome:SL3.0:9:70857970:70860704:1 gene:Solyc09g091030.3 transcript:Solyc09g091030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPHQIGALSGTSLTAETGGVSCEVPAKGSSATSAMWRTPMTNLKVSVQKTGNEIDRVSPSPSPPMSPMMGGGMRPDLSVACQALMEAQVEEVVEREYKVRNSSEKEKGVPVFVMMPLDSVKTDHTVNRKKAMNASLQALKSAGVEGIMMDVWWGLVERDAPGEYNWGGYAELMEMAKKHGLKVQAVMSFHQCGGNVGDSCTIPLPRWVVEEMEKDPDLAYTDQWGRRNFEYVSLGCDTLPVLKGRTPVQCYSDFMRGFRDRFENLLGDTIVEIQVGMGPAGELRYPSYPEKDGIWKFPGIGAFQCYDKYMIGSLQGAAEAFGKPEWGHTGPTDAGEYNNWPEDTNFFKKEGGGWDSQYGEFFLTWYSQMLLNHGERILQSSKAIFEDKGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRNRDGYLPIAQMLARHGAVFNFTCVEMRDHEQPQDAQCAPEKLVRQVALATQEAQVPLAGENALPRYDDYAHEQILQASSLSINDQSGDREMSAFTYLRMNPDLFHPDNWRRFVAFVKKMKEGKDANKCREQVEREAEHFVHITQPLVQEAAVALMH >Solyc02g072310.3.1 pep chromosome:SL3.0:2:42162429:42172385:1 gene:Solyc02g072310.3 transcript:Solyc02g072310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLLLVFSFLHWVATTPVSMGFLSPKGVNYEVAALMSMKNKMRDEYHVLDGWDINSVDPCTWYMVGCSSEGFVISLEMASMGLSGTLSPSIGNLTHLRTMLLQNNHLSGPIPAEIGQLTELLTLDLSGNQFDGGIPRALGRLVYLNYFSLVGCVCNPLVCQILRRLSRNRLSGQIPKPVAYLSGLSFLDLSFNNLSGPTPKILAKDYSLYFGCCLSIAGNRFLCSALTTQICGGVPKAVNETSSDRRISNHHRWVVSVIVGVSCTFIVTVMLLVCWVHWYRSRVLTGYVQHDCEFAAGHLKRFSFRELQIATANFSSKNILGQGGFGVVYKGYLPNRTVVAVKRLRDPTFTGEVQFQTEVEMIGLAVHRNLLRLYGFCTTPEERLLIYPYMPNGSVADCLRDNGRDKPFLDWRKRMHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDESFEAVVGDFGLAKLLDCRDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGYGILLLELITGHKALDAGIGQGQKGTILDRVRNLFEEKKVEMLADRDLRGCFNAEELEKTVEVALQCTQSNPNNRPKMSEVLRILEGITEQMGHVDESQGGSNICQTSAFSFSRNFSDIHEESSFTFEPIELSGPR >Solyc08g083450.2.1 pep chromosome:SL3.0:8:56877271:56879204:1 gene:Solyc08g083450.2 transcript:Solyc08g083450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFKISNLVDLLFRIFIFIVLKITNLWNSLIIIMRKTSSLPPGPKPWPIIGNFPQMLLNKEPPFHWIHKMMEKMNTEIACIRLGNIHVITVTSPELAREFLHTQDSIFSSRPICMSASLINNGYLTPIFAPMGDQWKKMRRILASHVLSPTSLEWIRSKRDEEADNLHRFIYNQCENQSIINLRNLTRYYCGNVIRNMTFSKPFFDITEEDRDEQVDAVFTLLKYLHALGILDYLPWLSVFDLNGHKKIINNAYVTATKDMDVEVDQRIQIWKDGKKTMEEDILDVLITLKDTNGNALLSAKEIKAQVLELMLAALDNPSNAVEWVIAEMLNQPKLMQKALEELNTVVGINRLVQESDLPMLNYVKACIKEAFRLHPITPFNVPHVSISDAIIGEKYFIPKGSRVLLSRLGLGRNPRVWEDPLKFKPERHLIEDGVGGEVVLTDSKLRLLSFSTGRRGCPGVKLGSTIATMLLARLLHGFSWSLPPNSTCNDLIVSSKIDPFDTLPLLAKAKPRLAKAMYP >Solyc12g042400.1.1.1 pep chromosome:SL3.0:12:58599695:58601575:-1 gene:Solyc12g042400.1 transcript:Solyc12g042400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLPSKFKPPKPKTLISLLTSQTQKSLHHTFSPSLLQTHKLFNHFTQILSHAITSGYFTNPFISSQLLQHCLTNSDFTLTFSHTLFTQIPNHNVFSWNFLIRAYCHSSFPQEAVSLYNQMMRESLSLDNFTFPFVLKACGRLVSFHKGREVHCVSLKMGFEFDVFVQNSLIYMYFQCGVVEFAQKVFDFIPDSVRDVVTWNSMISGYLQGGCCYQAVKVFGKLLRESDVRLNDVSIVSALTACARTELLDVGKRIHGLIVVYGVVMDVFLGSSLVDMYTKCGCLEDAKTVFDQLIDKNIVCWTSMIAGYLKCDMCKEAIELFREMLIAGVMAEPATIACVVSACGHSGALDLGRWVHNYSERSGIEMNLIVKNSLIDMYSKCGLVDKALEVFHSLTNKDVYSWSMMISGLAMNARSKEALQLFSLMEGSSEVSPNEVTFLGILSACSHGGFVDEGFYFFEKMTTRYKIFPGIEHYGCLVDLLGRANLLVEAMDLIGSMSIQRDAVIWRSLLFACSRHGNVELAEVAAKKINELEPEKCGAHVLLSNAYASASRWKDVKMVRRNMGAEGIQKQPGCSFIEIDGFVHEFLVADRAHCQIDIICDTLLAMNVVLKSRAPELVFLDFFH >Solyc11g051020.2.1 pep chromosome:SL3.0:11:17234261:17235347:-1 gene:Solyc11g051020.2 transcript:Solyc11g051020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFVTITIIVGVQSQLTKEIPYNAVVSTDGIGNFNTTSGAILATPDHNVKPLFINLKNGTYQKYIRVDETKTTIILIREGMNTMIITDNRSFVDGNRTYDTAIVGVAGNGFIAQNITFRNNVRPIKHQAVTLRVDADLVSFYECHFDGYQDTLYVKRQIQSYCDCEIYRTIDFICGDATAVFQNYLIEACTPMARQYNTIIEKHQELELFASGILFQNCTIKATHDLEKSVNVTTYLGRPWGLFSRTVIMESYIDRLIDPRGWVDRRPYYLEYKNRGSGAAMKGCVTWAFVTTDPNIALNFTVRNFISGDQWIPVNIPHYLDLS >Solyc09g008340.3.1 pep chromosome:SL3.0:9:1804789:1810306:1 gene:Solyc09g008340.3 transcript:Solyc09g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVACLIPLFLVPIVNLLPVLFHILMAKVYRMCGKEYQKPESAPPACPFKPSATKPNNSVSGEEPSPGAPHPVPKAVGVDDSKQD >Solyc11g016920.1.1 pep chromosome:SL3.0:11:7489652:7490235:-1 gene:Solyc11g016920.1 transcript:Solyc11g016920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:K4D6J8] MENNSEKSAVNAGQSDVYSMLALPHLEKKQEKLEMFWIDKQREMENVIDFKSNLLPSINRIKKIMKTDKDVRMIATESPVLLAKACELFIQELTLRSWFKTEKNHRRILKKDDVTDVIMETDILDFLLDDDADVAFDV >Solyc08g014330.3.1 pep chromosome:SL3.0:8:4150327:4158346:1 gene:Solyc08g014330.3 transcript:Solyc08g014330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:K4CJ66] MATNSKKVTKSFTNSCPSTSLLLRRETTAATAVVVDDGPQKWTVIPSVDDNKQKKTSSSAIASLASNTEPLPSNTSTKGIQIMLRAQTCHPLDPLSAAEISVAVATVRAAGETPEVRDGMRFIEVVLLEPDKSVVALADAYFFPPFQSSLMPRTKGGSLIPTKLPPRRARLIVYNKKTNETSIWIVELTEVHAAARGGHHRGKVISSNVVPDVQPPIDAQEYAECEAVVKNYPPFREAMKRRDIDDMDLVMVDPWCVGYHSEADAPNRRLAKPLVFCRSESDCPMENGYARPVEGIHVLVDVQNMQIIEFEDRKLVPLPPVDPLRNYTAGETRGGVDRSDVKPLHIIQPEGPSFRISGNYVEWQKWNFRIGFTPREGLVIHSVAYLDGSRGRRPIAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKRGCDCLGYIKYFDAHFTNFTGGVETTENCVCLHEEDHGMLWKHQDWRSGLAEVRRSRRLTVSFVCTVANYEYAFYWHFYQDGKIEAEVKLTGILSLGALQPGEYRKYGTTIAPGLYAPVHQHFFVARMNMAVDCKPGEAHNQVVEVNVKVEEPGKENVHNNAFYAEETLLRSELQAMRDCDPFSARHWIVRNTRTVNRTGQLTGYKLVPGPNCLPLAGPEAKFMRRAAFLKHNLWVTQYAPGEDFPGGEFPNQNPRAGEGLASWVKQDRPLEESDTVLWYIFGITHVPRLEDWPVMPVEHIGFMLQPHGFFNCSPAVDVPPPSGCDSETRDSDVTESTSVAKHTTTGLMAKL >Solyc04g054460.3.1 pep chromosome:SL3.0:4:52491523:52497933:-1 gene:Solyc04g054460.3 transcript:Solyc04g054460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCATINACNFNASFPSLTVTKRISKLTTLSSTIKLPRNAKVKVSRQQQQSTTVCLFGGGKGKSSNDNEASPWKALEKAMGNLKKEKSVEDLLKQQIEKQEYYDGGDGGGDRPGGGGGGGDDASGGAEDEGIPGILDELGQVILATMGFIFLYIYIIESEEITVFTKDILKFIFLRQKSIRLGRTIARLESYFKSLSEKEADPYWLESEILNTTTWYDGPKKYRRILRRLQSDSDY >Solyc09g065455.1.1 pep chromosome:SL3.0:9:63790339:63790993:-1 gene:Solyc09g065455.1 transcript:Solyc09g065455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVCVLELLTIKNFCQSSTRGEPINCKAAFGDQLLKDEGKFIEILRELVESASGFSVSDIFPSIKILHVLSGLRSKILKITRRILQVVKKENDVFGDEDLVDFLLRLIESGEVKTQSPMTTSNL >Solyc11g012785.1.1 pep chromosome:SL3.0:11:5556423:5558682:1 gene:Solyc11g012785.1 transcript:Solyc11g012785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNRKQNGGSPRYTPSPMSSPSGSPRIIVTRTIGGSPTSRHEVGEIDTRSPFQSVKAAVTLFGETGTSGCSPKKANNKPITTFKKSKTTEEEKESQLNLALREVDSFKQQIRSTETTKGHALRELQNAKTTLQELTTQLQTLYKSKKAALQETKAANERATQLQVTIAESMESLRKAKEEAIFHSHLQETNKGIQVLQEQLNNVRASDLDSFTKTTSQLHLSKNTFQDIVAEERSLRSLVDSLQAELDTLKGHNSQLKVKADEAEALAENLRLSLDNSKPKGEAIQQFTSEADQFLKEAEEMKKKVESLKQEAVTAQVAAKEAEERLKLALREAEEAKAAETLASDQIHITEDGKIKLAFEKYEALNKKVEEIRNEADTKVAAAMAQVEDITANEKELLMKVEAGLKEKHDMEVAIKEALKATEMAEAAKKAVEGQLRKKASRKRSWRLF >Solyc10g018310.1.1.1 pep chromosome:SL3.0:10:7383816:7384004:-1 gene:Solyc10g018310.1 transcript:Solyc10g018310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQPMPSLADQHRKIATPTLANRISELTSPNQQHNFIPTNGYSSLFYLDSSNWVYFFVLSF >Solyc02g092080.2.1 pep chromosome:SL3.0:2:53928662:53937966:1 gene:Solyc02g092080.2 transcript:Solyc02g092080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAIATPAAIVCSSRRKDQIFSYSASITRATFSLAFVSFNKSSSSVNLCSFLGKFHQTSDEMLTSFSARGSQFLCPVICEVDGCKEGDAKKQRVAYAITVKSDETTNRRVLDIQNSVSSERKKMLKVERNPKRLNNSVSQRNKLECYQVIQYPLKTESTMGNILRHNTLVFVVHKDADKKSIRDAVKKLFKIELKKVNTSIMPDGTKKAYVMLTPNHSALDVAKKIKAI >Solyc01g005770.3.1.1 pep chromosome:SL3.0:1:497949:502223:-1 gene:Solyc01g005770.3 transcript:Solyc01g005770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACRLHGAIELENEIAQLLLESQRNHLCWRREVGLCCCLEKSNFRCWNTQGFSPQVYLVELITTASAKS >Solyc10g086470.2.1 pep chromosome:SL3.0:10:65398820:65403247:1 gene:Solyc10g086470.2 transcript:Solyc10g086470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISCLCSTLCRFPVDYPQWLVSQTHGYGCLFSARKPLQAVATSTVLVDVSQEGRKYVTATELRKPITAAILAAAAACAVIVAVNGAEALAVPAQIETQQEVLGETFSNVPQTLSGDCVDGQKNCKKARIQRPKSRQAETCTVKCVNTCIRGGSGSPGEGPLNVRRPLVVFKQGFRSRQYCLVECSDICNLMKDGEDGP >Solyc09g075640.3.1 pep chromosome:SL3.0:9:67722128:67728806:-1 gene:Solyc09g075640.3 transcript:Solyc09g075640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEITNIAKETFENGTDSSQDDTGTVEEMPEDTILQRQTSVNLIPFIGQRFVSQDAAYEFYCSFGKQCGFSIRRHRTRGKDGVGRGITRRDFTCHRGGYPQLKPSDDGKLQRNRKSSRCGCQAFMRIVKRADHNVPEWRITGFSNVHNHELLKSTEMQLIPAYCTMSPDDKSRICMFVKAGMSVRQMLRLMELEKGVKLGCLPFTEVDVRHFLQSFRNVDQDNDPIDLLKMCKEMKDKDLKFKYDYKIDCNNRLEHIAWSYASSMRLYEYFGDAIVFDTTHRLDAYDMLLGIWIGVDNHGSHCFFGCILLRDENMRSFSWALKTFLGFMNGKTPATMLTDQNLWLKEAIATEMPRVKHAFCIWNITSRFSEWFSTLLGSQYDNWKAEFHRLYNLHSIEEYEVGWNEMIETYQLDGNKHIASLYALRSYWALPFLRSFFFAGMTSTFQSETINTFIQRFLSAQSILGNFVEQVVRVVDAKDQAGAKHKVQRIVQKVPLKTGSPIESHAANVLTPFAFSKLQEELVFAPQYASLMVDESYFVVRHHKEMGRGYKVLWIPHDEFISCSCHNFEFTGILCRHVLRVLSTNNCFHIPDRYLPMRWREFTSSVAKPTLLSLPSDHLGKVQLLQSMISTLINESVETEERLNVVCDEVSTVLSRIKGLPTASNSGNAIAYESPSNSLILAEVEDSEGIGQSFTCSPHECINLAKLKERGSRDGLDFYGKRRRFSIPCCGQYGHDANDCPMMEGEDLNGDRLGFL >Solyc02g093910.3.1 pep chromosome:SL3.0:2:55242968:55245921:1 gene:Solyc02g093910.3 transcript:Solyc02g093910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTAKHSFAKPIILLLAFVALLAISLTRDFHSASSSAYVSLATTWAPDKYRINVSNQNQDVGGGSNKDRYPERALSMTYADLPAPELKWEQMASAPVVRLDGYSLQIKDLLFVFAGYENLDHVHSHVDVYNFTSNTWTESFAIPKDMANSHLGVATDGRYIYIVSGQYGPQCRGPTAKTFVLDTHTRKWESLPPLPAPRYAPATQLWKGRLHVMGGGKENRHTPGLDHWSLAVANGKALEKHWRKEVPIPRGGPHRACIAVGDQLYVIGGQEGDFMAKPGSPIFKCSRRFEVVFGDVYMLDESMKWKQLPSMPKPVSHIECSWVIVNNSIVIVGGTTEKHPVTKRMILVGEVFQFNLNTLTWSAIGKMPYRAKTTQAGFWDGWLYFTSGQRDRGPDNPQPRKVVGDTWRTKLRLL >Solyc10g076730.1.1.1 pep chromosome:SL3.0:10:59779294:59779926:1 gene:Solyc10g076730.1 transcript:Solyc10g076730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSCTLCILITTVLLHLFLIPAPSAALLDPTTVSSVDIVLDDSDYIRSSCKTTLYPDTCYHSLNHYATAVQQDPGRLARVAIGVSLAKAKRMSAFVSNLSREADYGAQPRAVAALHDCFSVFGDAVDQIRDSLRQMRTLGGSSESLRFQMSNVQTWMSAALSNEDTCTDGFEDVSDDEPLKLDVCNRAGKVKEVTSNALAFINSFANKL >Solyc06g009415.1.1 pep chromosome:SL3.0:6:3335999:3336556:1 gene:Solyc06g009415.1 transcript:Solyc06g009415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQPWEIRYGNNYFIFFSKIKNRWSKIAENLPGRSYNDVKNYWRTKVQKHAKELHCEINNSFLREDDSNIWNNLSSFEDGINIPRDFSTPSYMKLHNYSSHDEDDQYYFHNYWTSAEMPSLYEMDHWL >Solyc05g054220.3.1 pep chromosome:SL3.0:5:65026873:65033102:-1 gene:Solyc05g054220.3 transcript:Solyc05g054220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVNGREENEGNIPSGVEGVDGIDSGGVQDIMAVHQVDGEFMGQSPPSSPRASRSPLMFRPEMPVVPLQRPDEGHGPSISWSQTTSGYEEPCDEQGVPTLISWTLDGKEVAVEGSWDNWKSRMPLQKSGKDFTILKVLPSGVYQYRFIVDGQWRCSPDLPCVQDEAGNTYNLLDMKDYVPEDIESISGFEPPQSPDSSYNNLHLVSEDYAKEPPVVPPHLQMTLLNVSPSHMEIPPPLSRPQHVVLNHLYMQKDRSTPSVVALGSTNRFLSKYVTVVLYKSIQR >Solyc01g097120.3.1 pep chromosome:SL3.0:1:87961322:87967552:1 gene:Solyc01g097120.3 transcript:Solyc01g097120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVTGLRSDKKPTVKPNGVTHGAVHVAPRIAKERVEAKEFEAEDHTANGTHVEEAHEKQDVLSVKSTNCEPGPIEGKITKTEAVKSSDKKLGSPMKPSSDSTAATESPAPPVMNSSGNESENHENTQTVDAGSNCSSKSIDLQSPMTSQKLHQNSPMMTRKLRMQDEDDNWSLASSTAASVRTIRSKVTVPVAPTFKCSERSARRKEYYTKLEEKHKALEAEKQEYAARTKEEEEAAIKQLRKAMSYRANPVPNFYREGPPPKAELKKLPVTRAKSPNLTRRKSCSDAVGASPEEKKASARGRHSIGVYKQGSPTPPTPKSKDRVSGRISNGTPRVKEPTKLVKAKKESSLKEMKETPIKEIKETPIKEIIKEAPIAESNGTPMKETDEALVKVTNVASVKEIKEIPVLEMKESSDTMTEQVSEETAAQS >Solyc02g090160.3.1 pep chromosome:SL3.0:2:52431319:52438275:1 gene:Solyc02g090160.3 transcript:Solyc02g090160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPELAMVVDDGVEYCFAMEYDGPPITHHLPRAVPINVDRIPVATVVSQVPLSHKLTLPVVQPISATDITKRFSKDLKRCSESTVSPTSVIAFQRVDEDDSASKELALGSETTLSPSSVTALEERVHSNRVSGLSGQSSSSSPLERCNGDESVGEFSGLINESTDLASTSISRDHSHELLGRVGSSGTFRFSSSFEKSRDLSRSTHNMKASTGRKDRGLEFSDLSQPDWASNESILSLDYPSSRVSSHKYGDSFNETSCDVKRAPVVTFCDIESEDEDINEDVSGAEPEVIRPKKEPAVKVKKGVCYRCCKGNRFTEKEVCIVCDAKYCSNCVLRAMGSMPEGRKCVSCISYQIDESKRGNLGKCSRMLKRLLNDLEIRQIMKAEKMCEVNQLPSEYVCLNGRPLSPEELVILQSCINPPKKLKPGNYWYDKVSGLWGKEGQKPSQIITPHLNAGGPIKPNASNGNTQVYINGREITKSELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGMKLVCAVLSLPVPSKSSNTCGEQVNSVLSQVVPDYLEQRALNKLLLIGYSGSGTSTIYKQAKILYKDVPFSDEEREHIKLLIQSNVYGYIGVLLEGRERFEEESLHELQEGSSSSDSGMTGDKTGIEKKTLYSIPPRLKAFSDWLLKIMATGNLEAVFPAATREYAPLIEELWNDAAIQATYKRRSELEMLHDMSCYFLERAVDILKTDYEPSDVDILYAEGVTSSNGLSCVDFSFPDSEDYDNLDSSDHPNSVLRFQLIRVQARGFIENCKWIEMFEDVRVVIFCVALSDYDEYVVDETGEKVNKMLLTKKLFESIATHPTFDQMDFLVLLNKFDSFEEKLERVPLTKCEWFDDFHPLVSRHRSNSNSSSINHSPSVGQLAFHHVAVKFKRLFSSLTNKKLYVSLVKGLEPKTVDESLKYAREIIKWDEERLNFSLSEYSFYSTDASSFSP >Solyc08g075880.3.1 pep chromosome:SL3.0:8:60087821:60089426:-1 gene:Solyc08g075880.3 transcript:Solyc08g075880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLEKLFGSFFSAITSFCVFQYHHPHHQQGTNKHKMTKARPLSLQTVELKVRMCCSGCERVIKDAVYKLRGVESVSVELEMEKVTVVGYVDRNRVLKAVRRHGKRAEFWPYPNPPLYFTSSNNYFKDMTSEYRDSYNYWRHGYNVADKHGNLHVTHRGDDKVSNLFNDDNVNACCLM >Solyc10g080400.2.1 pep chromosome:SL3.0:10:61808517:61812321:1 gene:Solyc10g080400.2 transcript:Solyc10g080400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRDRLSRQEDPIDIYSRRRRSMGRGGIEIFEDESPESSSRAPIQTTAEAGRMAGTSGGRGGIGRIGFGSPRNRRGRNLFRTPARVIGRQNISPTGQGRNRGRHSVLPAWYPRTPLRDITSIVRAIERTRARLRESEGEQLESVVPQDHTDLGPSESTSGAQLEHTNSLITPRPKTRSRYHTRSVGKVPKILLDITNQSTSEDAECLTPQRKLLNSIDTVEKHVMEELHKLKRTPSARKQERDKRVKTLMSMR >Solyc02g068680.1.1.1 pep chromosome:SL3.0:2:39192315:39193700:1 gene:Solyc02g068680.1 transcript:Solyc02g068680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHLKIKNEFISLRDHPFPCRFRYVSEESIQQSSSIKTHQSNYSSTIDEIQRVGNNERKHFSHRHNLLTYSLRASDSVHCNFCETIISGMSYGCKRCRYFLHESCFEFPQVIEHLAHPEHQLTLKYGNDDQFNCNACHVGDNPALLFNFHYSCDHCDFSLHMGCASMPYKALHKEMALSVFYSNPLRNEAGPLLCDICDHSIEKQSGWIYYNYGHNFLSHFGCVADIVYEKGGDDKDYIIGVKRGLINVDGDDTSIVNYRDNDEGIVKHKRFFHRHVLQQLDRSMDNNNNNNNSVKNRKCGICGMDISSDKKKGCSSCDFIIHERCSFLPEKIQHPFHPHPLSLVPKKDGVEVHCVGCRQSSGCHTNYTVLYRCKICEFQLHPSCAASPRRLKKLDLTLCYSFPYKNEVSKLYCNYCSKVISKDEWLYYGRSSDEKRHITCQLAVGISNCYVTLRDLEVE >Solyc02g081360.3.1 pep chromosome:SL3.0:2:45908494:45919791:1 gene:Solyc02g081360.3 transcript:Solyc02g081360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPKCGANYVPLTPLTFLTRASNSYANRTSIIYANVGFNWRETHERCCRLASSLRSLNIVKNDVVSVLAPNVPAMLEMHFAVPMAGAVLNAINTRLDARNVALILKHSEAKIFFIDYEYIDKAKKAIEILMSDFQMPMPLVVVIDDLDSPTGIRLGELEYEQLVFQGNPEYVVENIDDEWDPITLSYTSGTTSEPKGVVYSHRGAFLSTLSLILGWEMGTEPVYLWSLPMFHCNGWTFTWGIAARGGTNVCIRNTTAQEIYSNIALHKVTHMCAAPIVLSIILEAKPHEQRQIMTPVQVLVGGAPPPAPLLERIERVGFHVVHAYGLTEATGPALVCEFQAKWNKLPWEEQARLKARQGLGILTLADVDVKNFKNMESVPRDGKTTGEICLRGSSIMKGYLKNEKANSEVFKNGWFFTGDMGVIHPDGYLEIKDRCKDVIISGGENISSVEVESAILKHPYVIEASVVAMPHPRWGESPCAFVILRKDSNLKESDVIAHCRKNLPGFMVPKKVQFVDELPKTGTGKVQKNHLRAVAKTFVVASNSYANRTSIIYANVGFNWRETHERCCRLASSLRSLNIVKNDVVSVLAPNVPAMLEMHFAVPMAGAVLNAINTRLDARNVALILKHSEAKIFFIDYEYIDKAKKAIEILMSDFQMPMPLVVVIDDLDSPTGIRLGELEYEQLVFQGNPEYVVENIDDEWDPITLSYTSGTTSEPKGVVYSHRGAFLSTLSLILGWEMGTEPVYLWSLPMFHCNGWTFTWGIAARGGTNVCIRNTTAQEIYSNIALHKVTHMCAAPIVLSIILEAKPHEQRQIMTPVQVLVGGAPPSAPLLEKTERVGFHVVHAYGLTEATGPALVCEWRAKWNKLPREEQARLKARQGLGILTLADVDVKNMESVPRDGKTAGEICLRGSSIMKGYLKNEKANSEVFKNGWLFTGDMGVIHPDGYLEIKDRCKDVIISGGENISSVEVESAIMKHPYVVEASVVAMPHPRWGESPCAFVILRKDSNLKESDIIAHCKKKLAGFMVPKKVQFVEELPKTGSGKVQKNHLRAVAKTFVVSDQTSKREKPIAHNQNHEQILVLSRL >Solyc09g055700.3.1 pep chromosome:SL3.0:9:44220636:44225277:1 gene:Solyc09g055700.3 transcript:Solyc09g055700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLRMHNFKWSTVIHTIRNPRSQKKEYFTMNQESSQKDVERTIGVVMTRRKCRHAPYTDDSRRRFAPPEAQPQPHLQLQLRIHPSDLIDDREVAQQREIEKLLQENRSLAGAHVSLNQELSAIQHELRLLSSTAATVKTERDAEVREVYDEARKKESDVCILDELRVDLARVRSDIQNLVDDRKELTAKLQNMEEDLVKVGSELQQFPVIKAEMESMRKEVQRGRAAIDYEKKMHTSNLEYSQAMEKHKITLASEIEKLHAELANAEKRARAAAAAAAPSNLNHQISAATPNFTYSANYGNPETGYGENLYPAPYAVHQQ >Solyc01g074065.1.1 pep chromosome:SL3.0:1:81471689:81472056:-1 gene:Solyc01g074065.1 transcript:Solyc01g074065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKYPLIEIFHINFILQIGINPRESKVRFLVKYHFDESLIPQRQKEFLNKARELNSLCGVELTVVIYSPYHEEPKVFPSHEATTNTFTNFKKLSELVQ >Solyc08g074660.1.1.1 pep chromosome:SL3.0:8:58939067:58940257:1 gene:Solyc08g074660.1 transcript:Solyc08g074660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSATITIAAADTAAVKRRKKLDQCHQYQSLIPGLPDDIARICLYHVQPSILYSVCHSWRRLVYSPSFPPFLSIYSLLKPSKSEENSVQFANFDPISAKWNLLPPPPLNPPLRLLLRHRSFISRHLPIQSISVSGNFILLAATTDPLLPALSRPLVFNPLTRKWTPGPRFETPRRWCVAGASKGVVYVASGIGSSYNPEVARSVEKWDLKSNCTKYSCNHHDRNKVWKWEKMSGLKDGKFSREAIEAVGWRGKMCMVNVKGDAAKEGIIYDVGSDTWKEMPEGMLVGWRGPVAAMEEEVIYTVDESKGALRKYDPESDSWIMILENEMLKGAQHMAAAGGRVCVVCGGGDGIAVVDVTAQPLSLVVVGTPVGFQVLDVHILPRMNQLDSESKNEC >Solyc11g020950.2.1 pep chromosome:SL3.0:11:12995536:12999070:-1 gene:Solyc11g020950.2 transcript:Solyc11g020950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNGSSSLKNFSFSNKQSIMDSTSPFLQSYVDSNMDGSNGKAKPTEGSSHHHRWNSESILIEEQPSWLDDLLNEPPETTTVVHKGHRRSASDTFAYVGAAAERLDTWEEPKNKNVNVGASWGSVNYVSYKDLSAVPYEPKPNSSHEQKFNKAAQELNGAPSVSHEKHNLREINNSKNQEGSNERSNNAQAKHSMSKADAKRAKQQSAHRSRVRKLQHIAELERTVQALQAEGSELSAELEFVDQQNIILSMENRALRQRLDSLSQEQLIKHLEQEMLERELTRLQTMYQMQRQQMQQQHQQPQQQNHSKHRRNKSRDLEAQLANLSIKNNEANSSRAQVTGSVRM >Solyc08g075900.1.1.1 pep chromosome:SL3.0:8:60105347:60106126:-1 gene:Solyc08g075900.1 transcript:Solyc08g075900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDFLMLSLFPPLSEDNVIDAEPIRYHPSSSSSSTTLPSSAASSSTTYDFSSIDGGKDNPNSPFLTLFTGVSKPIVNSTPPSSTDLCLSLPSLSNTSSTAQSSIDSQPSSSFASSSREVNALGNSAPKRSLGEQKFIPRKKTKLTKGEEGHWMTKKLTRSDVNGASRLLLPRQDVNNYILPFMNEQERSVICQQLCGVDVTVFDMDTQTSHILTLEKWSTNSFHLVKAWTKDFVKRRNDEVSIRWEKTNSRFCFRVVN >Solyc06g006057.1.1 pep chromosome:SL3.0:6:1075065:1082635:1 gene:Solyc06g006057.1 transcript:Solyc06g006057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSFILLFFILLHNNILHATVPNISSDEAALLALKSHISNNIIATNWSSSVPVCSWIGITCSSRHHRVTALDISSMQLHGTIPPHLGNLSFLSSLDISNNTFHGDLPQELARLQRLKFFNTKNNNFTGAIPSFLSLLPNLRFLYLSNNQFSGKIPSSLSNLTKLQVLSIQSNFLEGEIPRELGDLRYLFFLNLQYNQLTGSIPPSIFNITTMRFIALTDNNLTGKLPKTICDHIPDLEGLHLGRNSLDGVIPPNLEKCRKLQILELSENEFAGTVPRELANLTTLTGLFLMDLHLEGEIPMELANLNKLQVLVLSQNELTGSVPCSISNMSTLQSLDFSINKLSGTLPSDLGHRMPNLQEFFCGENNLSGYISDSITNSSRLTMLDLSSNSFTGPIPKSLGNLQYLQILNLQSNNFISDSSLSFLTSLTNCRKLRVLLFSENALDGALSVSVGNFSNSLQNFEGNGCKLKGIIPTEIGNLTGVIYMSLYDNKLTGHIPNTVQDMLNLQEFYLTSNKIEGTIPNALCSLMNLGALDLSGNHFSGSVPSCLGNVTSLRYLNLAYNRLNSRLPANLGSLQDLITFNISSNLLSGEIPLESGNLKAATLIDLSNNYFSVLYVDDMMIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSEKEKEYMSRVPYASAVGSLMYAMVCTRPDLAHAVSVVRRFMGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYVGDVDTRRSMTGYVFTLGGSVVSWKTTLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSDLESFGKMLSLEYLDLSYNNLSGQIPKSLEALVYLKYLNFSFNELSGEIPTDGPFANVTNKSFLSDDALCGDSRFNVKPCPTKSTKKSKRKRVLTALYILLGIGSLFTLTGGIVVLRLRNTKKNATQKDVSLVKGHERISYYELEQATEGFNEANLLGNGSFSRVYKGILKDGIICSKECEVLRNLRHRNLTKVITSCSNLDFKALVLEYLPNGTLDKWLYSHNLFLNLLQRLDIMIDVASAMDYLHNGYSTPVVHCDLKPSNVLLDEEMVGHVSDFGIAKMLGAGEAFVQTRTVATIGYIAPGIL >Solyc05g040050.2.1 pep chromosome:SL3.0:5:49855749:49861818:1 gene:Solyc05g040050.2 transcript:Solyc05g040050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPFLLALSISSRRANSLLHLRKCVLSRPVPPCVHKNVPTVIRCEIPKDLLVDKVVVFEMEKNVEEALQAKANAERKFAERDFVSAKNYALRAQMLCPQLDGISQMVATFGVHSAAEIKVNGELDFYTILGMDPSANRAKLKKQYKRMAVLLHPDKNKNVGADGAFKFISEAWTVLSDRAKRSAYDQRRNLFTLHTSGVGNYANYSNTPASHGRLDTFWTVCTSCQVQYEYHRKYVNKRLSCKNCRGVFIAVETGLAPVNGSYAFSPWPYVPENGYKSHGCGVTYVPTSPAYSANSRVTGHHSKHGSEHASNLSFQWSSFPGTSAGVVDPNGSSTGFSFIQQTNSKASGKKANGKQELTKTVADGSVRSDQLPRRPGRPPKKRKIDLESTNGYGNGDVASNAAAEVIMADGNGSESLKRNAKLPTPEVPIRRWPTAPAFDPRPLLIDKARTDIRKKLEEIRLDAVESEKKRKAHAQFGESSERPKREGLGLTAHQSDMRKTGSMSLTVPDSDFHDFDKDRSEDCFMPKQIWALYDEEDGMPRLYCLIRQVISVQPFKVHISYLSSKTDSEFGIVNWLDSGFTKSCGKFRAFNSEVIEQVNIFSHLLGGEKAGRGGCVQIYPKRGDIWAIYRNWSPDWNRKTPDEVRHQYEMVEVLGDYSEDFGVCIAPLVKLDGFKTIYRRNTNQDAIRKIPRREMLRFSHQVPSCLLKRETMNLPEGCWELDPAATPDDLLQGVHDVQEERPIQTKRSSGVDLDEMSQAETRILAEQDLRQREYSAVPDMFDEATPGLEIQENSNEHQTLFRPSTELPQSARQAHSFEEPSKMHNHSATTPGEHPRAVMNE >Solyc01g008180.3.1 pep chromosome:SL3.0:1:2262320:2269228:-1 gene:Solyc01g008180.3 transcript:Solyc01g008180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYERIDKRNLQLSPRKLRSMLLGAEKKRKQEGKDDVVEDQELESATVSLRSHLPEIHESGCNLENYKDVNVVSVVPESSTSLALDSSMSLEMINDTRIKDQSLVSTRIRSQDDSSLDCDGGIDSVGTVSPLFEFQKAERAAQRVPLAHFSKPAPSKWDDAQKWIASPTSNRPKTGQSSQVVGSRKTSHSGYGYRQQSTKVVVEVPDQKLVPYEEPVDTKQIDSGQPKDSGVQKFVSWEAEPHPIAESYVKPMLMIETSIGQSAINLSRHDSSVSIHSATVIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPTRSPTSSRPSTPGAAPASSPFRPSNDNLDAHTNALSDQELQLKTRREIMALGTKLGKMNIAAWASKDGEDRNASSLLKTDKQDQPNTTVTETRAAAWEDAEKAKHLARFKREEIKIQAWENHQQAKTEAEMRKTEVEVEKMKARAQDKLMNKIAAVRYKAEEKLAAAEARRNKHAVKIEKQAEYIRKTGRLPHSFSCCRWCF >Solyc01g057070.2.1 pep chromosome:SL3.0:1:58093620:58095713:1 gene:Solyc01g057070.2 transcript:Solyc01g057070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKGNLNLPPLPPPSSLSCINQSRCRLELVEYPFRTDMLDSCGCLVKISDRRFGRTHFAIYGPKWAVKKKYVEKTKRKQEKLEFLR >Solyc09g060070.2.1 pep chromosome:SL3.0:9:57744247:57747801:1 gene:Solyc09g060070.2 transcript:Solyc09g060070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFLQDFTRFCDNWHFCVVQHFKFLTCQYCGTLKLIVETRGIESLNGRNINYIWISFDGKIVVEQVKLHQQ >Solyc05g012253.1.1 pep chromosome:SL3.0:5:5542365:5544565:-1 gene:Solyc05g012253.1 transcript:Solyc05g012253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEGCLEVQWQPNLYGIKLPCLKEVRCSVEISIFLAFRFLGVFGMSRFLGYHLSTSTAARQYSSVSFATPKYILPRWDHIASQFELVA >Solyc12g099270.2.1 pep chromosome:SL3.0:12:67450146:67455648:-1 gene:Solyc12g099270.2 transcript:Solyc12g099270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSIPCYASFGTKLQDPLNYSNYNGVEKVKSIIKKTVIPSVSSPVESLQKGNWVKLICGASFEDLVDIRNLSLVYTLAGVDCIDCAAEASVVNAVNEGIEAARALVPIRRPWVMISVNDDEDLHFRKAEFDPDDCPWDCMRPCEKVCPANAILQKGGVLAERCYGCGRCLPVCPYDKIRAITYVRDVIATAELLERDDVDALEIHTSGREPSVFRELWTGLGNSTANLKLVAVSFPDLRDSTISAMNAMYSIMESSSIHCVNLWQLDGRPMSGDIGRGATRDAIAFARKLASAGDKPKGFLQLAGGTNIHTVEGLKKERLFQTTTIHEPSRYETIPSTLHSPNALIAGVAFGGYARKIVGRVLSSVQSHHRPARVEDFPEQLLQAIIESLALVGTVKCYNIQKQIT >Solyc11g072740.1.1.1 pep chromosome:SL3.0:11:56251894:56252547:1 gene:Solyc11g072740.1 transcript:Solyc11g072740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVSAKVESTIPISLSAAAKSLSKFAASDHEASHAVSLYLQSAADSFNKLVKIQQKLSVKKEHDVKVEGISEKNEDIPKGFRENKKSKNLVKEENPEAEPKTKNSSKIDKVKGHKLIKTERKLDIGEEEMERSNKNELESVKIDRELELKEVKEDSMTSRDKKKKKKKKDRDVGDSEHEVVKVEQDGKSMDPDAGSASAEQSSKKKSKKRRIEGDE >Solyc03g025980.3.1 pep chromosome:SL3.0:3:3427908:3434154:1 gene:Solyc03g025980.3 transcript:Solyc03g025980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGHVKWDEANLGEIEANKPVRQKITEPKTPYHRMIDDDGSLSPKRGSFEEGNGDAVHAEAIRSALNEMASSSKNKFRRSGWTSSEDETDVMDQDDADSGSRKVKNFKDHRRAHYDEYRRVKELRKKGEEASDDEDLVGNDGRSDSSSSLSAAVKDIEIKEGSMDTSK >Solyc06g007850.3.1 pep chromosome:SL3.0:6:1746523:1751053:-1 gene:Solyc06g007850.3 transcript:Solyc06g007850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEMRRIFLRKCNEKICEPDEVMYAIVMNGLSKRGHTQKPLSLLRLMEQGNTKPKTIHYNIVIDALCNDGNSDTAINFLNEMKQKGIHPVISTYNSLIDSLCKLGQWEMVKNLFSEMVNLNMYPDVRTFNILTDGLCKEGKVEDAEEVMKQMVEKGVEPNVITYNVIMDGYCLRGQLYKARRIFYILIDKGIEPTIFSYNILINGYCKKKKIDKAMQLFCEISQKGLKPDIVSYNTILQGLFEVGRIGVAKQLFVEMVSTGPVPNLSTHNTLLDGYFKYGLVEEAMSLFNKLERKTENIDIVSYNIIINGLCKNGKLDEAYAIFEKLSSVGLILNVRTYNTMITGFCLQGLLDEAKDMLRKMEGDNCLPNNVTYNVIVQGYLRCRKINEMVTFMNEMTGRGFSFDATTAKLLVKEISENTSILEMIPKLHTEKK >Solyc10g075090.2.1 pep chromosome:SL3.0:10:58857957:58859120:-1 gene:Solyc10g075090.2 transcript:Solyc10g075090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LE16 description:Non-specific lipid-transfer protein 2 [Source:UniProtKB/Swiss-Prot;Acc:P93224] MEMFGKIACFVVFCMVVVAPHAESLSCGEVTSGLAPCLPYLEGRGPLGGCCGGVKGLLGAAKTPEDRKTACTCLKSAANSIKGIDTGKAAGLPGVCGVNIPYKISPSTDCSTVQ >Solyc02g090800.1.1.1 pep chromosome:SL3.0:2:52933652:52934047:-1 gene:Solyc02g090800.1 transcript:Solyc02g090800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILCEDSLLEQENVSYTLTHKLTQEQENYYMVSALRHVVSGTGDNDEASQLLLEVENASSGNLACRSIDVELEKGKKRRRRNTKKEFRGVRQRPWGKWAAEIRDPHKAQRLWLGTFATAEDAARAYDKKL >Solyc07g049420.1.1 pep chromosome:SL3.0:7:59816034:59816631:1 gene:Solyc07g049420.1 transcript:Solyc07g049420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIDELACTYACLILHDDDIPINAERIGTLIKASNLKVESYWPSLFAKLCQKRNIDELIMNVGTPTCNNDVATPPSTTTDNDASTAPSVDDKKKAEAKEESDDEAMFSLFD >Solyc05g046200.3.1 pep chromosome:SL3.0:5:59221039:59223217:-1 gene:Solyc05g046200.3 transcript:Solyc05g046200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFFMKYIGTGHTISPPFPLITYKMWMFMKVNGAQLTLLSFGTSFMMGKKWWQRRKWKK >Solyc02g036210.1.1 pep chromosome:SL3.0:2:30801238:30802004:-1 gene:Solyc02g036210.1 transcript:Solyc02g036210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIINVYNQEYESGATFCPDVYRCIIFALVFSQISLLGLLSTKCAAQSTPFLIALPVLTLSSHYFCKGCYEPSFTRYPLQEAKRKDSIEQAKESKINLKYYLQKAYLHPVFRGDDVDDNEDVNDKLESNDVELIPMKRHSRGNTPGPNRISGASQEEMLQHQEE >Solyc11g007520.1.1.1 pep chromosome:SL3.0:11:1777824:1778285:1 gene:Solyc11g007520.1 transcript:Solyc11g007520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEDLKEKSILDFVENVENIQENPMMIRWKSGKEVDPRLIILLDFFRDVYMKRGEFFKKIFPFHHEDFIPIFEKIGVVFSNHKDQEKKFKKNSFKRSPSDNSLNKSRGIDIDPSRLKLEKFKVKTPEVISGGDGGGGSGGQGQGSTKASSSK >Solyc12g095750.2.1 pep chromosome:SL3.0:12:65865726:65870573:1 gene:Solyc12g095750.2 transcript:Solyc12g095750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLLVAASMPVIQILLITALGLVLALDRFAILGEDARKHLNNIVFYICNPSLISSNLSKTITYESMKRLWFMPLNIFVTFIVGSILAWIVNQITRPPKHLRGLVIGCCAAGNMGNMLLIIVPAVCKEKGSPFGAPDICHTYGMGYASLSMAVCAVFLWSYVYNIVRISSSKSPEEVEEVNNSSISKSVRESSIAALGVSTEPLLQRHDLALSEHQSEQLALPSNRFDDKSQVPLWTKSRQYMGVLSKKMNLKKLLAPSTCGAIAGFVVGLIPQIRNSIIGDAAPLHVIQDTALILGDGAVPLLTLIMGGNLLKGLRVTVVPKSILAGIIVVKYIAMPVIGIGVVKGASWLGLVHDDPLYKFMLLLHFALPPAMNIATITQLFGAGESECSVIMLWSYALASAALTLWTAFFMWLVS >Solyc09g090190.3.1 pep chromosome:SL3.0:9:70215195:70221423:1 gene:Solyc09g090190.3 transcript:Solyc09g090190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRSDGAQKKRLLTSVAVVALFVVVLYFYFGSKSNGESALEYGSRSLRKLGSSYLGGDDDSDLSSKQDEKFGLEDGEDGIVSKSFPVCDDRHSELIPCLDRHLIYQMRLKLDLTLMEHYERHCPLPERRFNCLIPPPAGYKVPIKWPRSRDEVWKANIPHTHLAHEKSDQNWMVVKGEKIIFPGGGTHFHYGADKYIALIANMLKFPNNNLNNGGRIRTVFDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWKQMSTLVERMCWRIAEKKNQTVIWVKPLNNDCYMERPAGTQPPLCRSNDDPDAVWGVNMEACITPYSDHDHKVGGSGLAPWPARLSTPPPRLADFGYSSEMFEKDMELWQRRVEHYWNILSSKISSDTLRNIMDMKANMGSFAAALKDKDVWVMNVVPKDGPNTLKIVYDRGLIGTTHDWCEAFSTYPRTYDLLHAWNIFSDIEKKGCSGEDLLLEIDRIVRPSGFVIFRDKQHVIDFVKKYLSPLHWEAVADPTPDQDQEGDEIVFIIQKKLWLTSESIRDTE >Solyc03g031850.1.1.1 pep chromosome:SL3.0:3:4340514:4341596:-1 gene:Solyc03g031850.1 transcript:Solyc03g031850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGAVQLGLFAAGVVLFVPMGMAGWHLSRNKMLFFSCALFITLAVGVHLVPYFPSVTSFLSSGSDSLSSVIVNRDSCFSLLHQVAFDFQELSNNSKRGSWKWVESENVVDCDFQKLTMNDASDLLNGSWVVVAGDSQARLMVVSLLELLLGDSKMELIKKDLFLRHSDYNIFVDEIGMKLDFIWAPYMSNLTDLIMRFKEKKSYPDVFVMGAGLWDMLHVNNASDYGVSLKSLKDSVVLLLPVSSAFANSDGSGTNIVVPVRSPNLFWLGMPKLISSMLKTDEKREKMNDVMWEAYNDELYRSKLLQQSGGPLFLLDVHSLSNKCGADCTSDGMHYEGAVYEAAVHIMLNGLLIESNQKL >Solyc02g078620.1.1.1 pep chromosome:SL3.0:2:43840697:43841551:1 gene:Solyc02g078620.1 transcript:Solyc02g078620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSTKLVSIDDDGLDNWTTTTHNTRPEPPSIRRQLPSKSESLKCPRCDSINTKFCYYNNYNKSQPRHYCKGCKRHWTEGGTLRNVPVGGGRKNKRVRPTDPVDHINGRKHVRLEVNDQRCPLITTTSMTNSIITSSILPSVTLIRGNSTITSAIDEDIKNLTSSSLPYDIFSNISQDHGNTHFSLIPNSSTNTQLSSNVYYNYEHMGKFDSTILEESTITTIMPITSNNDLHSYEPWKVPETSNNDLIIDENMSNNYWNWNEFETLSNAADLNISWDDLEIKP >Solyc04g049390.3.1 pep chromosome:SL3.0:4:41706720:41750986:1 gene:Solyc04g049390.3 transcript:Solyc04g049390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAAALAAPLAPQISSHKKVWASSGTIRKNSNAQMQKLEKNALRNVTEVVRKDAEFIKKGIGKGLQWANKTFRMPKLTKSLDDFIWLRHVEEPGVSSEVSDAPSWPQPRYPELSGIDLFMADVEALETYLNYFYCISKRWTKPLPETYDPEQVSEYFKLRPHVVALRLLEVFVAFTSAAIQIRISGLLPTSNEDVVKETSNYILGKVLKETMLNLGPTFIKIGQSLSTRPDIIGSEITKALSELHDRIPPFPKDVAMKIIEEDLGSPISTYFSYISEEPVAAASFGQVYRGSTLDGSSVAVKVQRPDLRHVVVRDVYILRVALGLVQKIAKRKNDLRLYADELGRGLVGELDYTCEAENAMKFQEVHSTYSFICVPNVYQRLSGKRVLTMEWLVGESPTDLLMMSSEDSVVHQSTPGEGCQSEAKQRLLDLVNKGVQASLIQLLDTGLLHADPHPGNLRYTSSAKIGFLDFGLLCRVKRKHQYAMLASIVHIVNGDWESLVLDLTEMDVVKPGTNLRLVTMDLEVALGEVELKGEIPDIKFSRMADYYDVCLQVLSKIVSVAFKYHFRMPPYFTLLLRSLASLEGLAVAGDPSFKTFEAAFPYVVRKLLSDNSVASRKILHSVVLNRNKEFQWEKLALFLRAAANRKGLNTITASNPQASLAYLNTIMAPNPQVSLAYSSDGASGVFDVANLVLRILPSKDGIVLRRLLMTADGASLVRAFISKEAKFFRQHLCRIVAAILSQWIFEALGSNVISSQMQLTGALNAIVGPSSAVFSRDYDCKSTLRDRRLKLIIFKVLGSARKSHILMMRFLCSSCLIFIKATAVACHRFLVCLSMAYLDRESLAPREVVVGA >Solyc02g078750.3.1 pep chromosome:SL3.0:2:43960096:43967586:1 gene:Solyc02g078750.3 transcript:Solyc02g078750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSVLLFVFLLYFKCDSRDMITSRNILSNKRKTLVSAGQIFELGLFNKRSKDGEIRNYVGIWYQESPETIVWVANRDKPIPISGEILAIDIDVDGNLKVLDSTSNSYFSTGLVSASSFKRTAKLYDSGNLVLIDDLSGKRLWQSFNNPTDTFLPGMNMNNAFKLSAWSDTKKDPSTGNYTFQQSTGRKFEYTILFQDTTLRWKGSAPPAYAKPFSFSELPSLVVSMLNNFSDNTNTLASDFNYTRLVMNSSGEIQLYGWFSESRGWFQMWSEPQGPCEVQDICGNFGICNSGLMSRCKCLPGFDPISPDEWTVGTYTDGCSRKSVSICNKKSEFDTFLNLHLMKFEEPDMPYVEAKSEEDCRKGCLRNCKCLAYSYFEQPIAERDTSSMETVPSCQIWTKDLNNLQENYTGGHNLSVRVTVTDIGAITRRNCKPCGSNIIPYPLSSQPNCGDPLYYSFSCDDLTGEVHFRTLKGSYPVIDINKENRTFVIQVRAENAGNSCDTKTQILWLNQSLPFHRIDRCDEGKSQNLSPGGLRNGIHIMWKPPPEPTCKTSADCGDWPTSSCNIREGQGQRRCLCNKYYKWDDLALNCTREHAGQRGWSGEKATSLNLKVLIISVSLAAGTITICYVIYHRKKVARRKAKKISLGNGIEYLSECGGSSKYLVTEDDKKRIDLPFFNLESILVATDNFSDANRLGQGGFGPVYKGKFPQGQEMAVKRLSSHSSQGAEEFKNEVMLIAKLQHRNLVRLLGYCIEENEKILLYEHMPNKSLDTHIFNHSVHPLLDWNIRFKIILGIARGLLYLHHDSRLRIIHRDLKTSNILLDEEMNAKISDFGLARNVEGRNIDAKTQKVAGTYGYLAPEYALEGLFSIKSDVFAFGVVVLEIISGTKNLDVLEDSNLLGHAWKLWIENKAMEIMDQSLVDTFNEIEVVKCINIALLCVQEDPGDRPIMSNVIIMLGSESMALPRPNQPAYVTRKNYTIGASTSSSSSYNHNNYYYDSFTGSKNELTITDMQGR >Solyc09g055347.1.1 pep chromosome:SL3.0:9:40092926:40099954:-1 gene:Solyc09g055347.1 transcript:Solyc09g055347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFMIKLKDDSFIYLVLYVDDMMIAAKKKYDIQKLKGLLSVEFEMKDLGATRKILGMEIIRDKDKRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIYLTAMFAPQSEEEKEYMSRVPYASAVGILMYAIVCVRSDLAHAVSVAVKRIFRYLRGTSDVGLIYGGDTRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVRQLEGNFATYSDFVYYGSGVHGLDRGCKRRDLAERAGLTTHSMSCGPTHGVEALAPSSCHKARKPKVSPQGDPRGIQDL >Solyc12g035317.1.1 pep chromosome:SL3.0:12:39880330:39880960:1 gene:Solyc12g035317.1 transcript:Solyc12g035317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLTNAPETFCNLMNNVLFYYLDDFVVVYLDDIVIYSRTLEVHVNHLNEKCEFAQQEIKFLGHLVSKNQVRMDPKKVKAIVEWQAPRHVKDLRSFLGLANYYRKFIAGSDFDRFVEERYKVGLNLNNTIASEPMLKLPDFLLPFEVHTDESDKAIGGVLVQEGHAVSFESRKLNDAEQR >Solyc10g053960.1.1 pep chromosome:SL3.0:10:54232039:54236724:-1 gene:Solyc10g053960.1 transcript:Solyc10g053960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSSKKNSSKNKDASTSKDPKTLKKRGRKAAPPIVRPTLQTACKFVSHNMNYVIEHIPINALKFGPIYNANFVEHLVSSIKVEDINYVPPNQSVSSISDNDDVHPEEDSDFEDFTTKPPDILLKRTSRGVNVGTTPPKRKRLKIAHPHKYDLSRISKAQKESDHQPVHSFQNPEPQQKGSENVAGVGVSPNSFNEKINLGSSEIDDLKKFMKSYNNSDHPIVSPKHTNFATVDDSAETVVEGEKQTEDVMNV >Solyc06g074760.2.1 pep chromosome:SL3.0:6:46440588:46446665:-1 gene:Solyc06g074760.2 transcript:Solyc06g074760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNLEGEHGVNNSRARCGEGKMQQKTDIENSNSTGPILLYDQQIVMGISSFEGANEGCNGRVASQSLNVLVNESEDNRSILSDQSSDLGEIERERVRQVFQEWMSTSEKDHSQSLSVSHKNNCSGAPWLGENERERVRIIREWVKINIQQNDAGYPRDEGTADIDSQFEQVRDGSLVNHSENGERKPVRMYCSRKSLLELLMKFQMERQREIQGLLECKPVSNFTYHNRIQSLLKGRFLRNERLMTSDERTTSDAASELSLLRQSQTVSYLRKEILSRLVDNARSSTKNVQLNASSTDDLNHHHRSEQSQSNNVQEIIDESYDQSELDSEERETYGSHVFGNSESITSEEVNQQNSIDQIAEHSNQIAAVESGSRGHVREDHEPFHDNDAPRSEASDTHEVYDHFHGLRSSTFEDYVWQVLSSQAEDPQDVVTDHEESNLRQSEVPYELVIEHGESEQMSSSEHNETTNDTTEEMGGSWQEGAANHWYPYSSENDTEEQIYEQEQQEDWQSNDWLDMPSNQNAGSMRRVDSFYMPDDDNVYNIELRELLSRRRVSNLLQSGFRESLDQLIQSYVERQGHASEWYMDGISSSPDDMEYELLQEYDNQDGPQINSESNSFAVASLHVEPSLQLIGTDWEIIHELRNDMVRLQQRMDNMQKMFEKCMEMQVELQRTVHQNVSAALNRYACSTDIDACEDSVLNDESKWDNVRKGICCLCPNSSIDALLYRCGHMCTCLKCAEKLVQWNGKCPMCQVPVNEAIRAFSIQ >Solyc03g097870.3.1 pep chromosome:SL3.0:3:61623706:61626247:1 gene:Solyc03g097870.3 transcript:Solyc03g097870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDFGHYAFAFGVLGNIISFIVFLSPIPTFYSIYKKKSTEGYQSIPYVVALFSSMLWIYYALLKSNMPLLITINSFGMFIETIYVGFYLFYAPKKARVHTIKMLMLSVVGGFGAIVLVTEFLFKGVVRGQIVGWICLIFSLCVFVAPLGIVRQVIKTKSVEYMPLLLSVFLTLSAVMWFFYGLLLKDINIAVSSLILQILQFSYMHNPPLHTTTITFPAPNVLGFIFGILQIILYAIYSKKEKSIIKEQKLPEIQKTEVIVKDENMNANKKLPELTQEQIIDIVKLAGLLVVTDKTNVATCPNDTNCGVKAVNKIENMPKLQTVAT >Solyc01g103610.3.1 pep chromosome:SL3.0:1:92058665:92060974:-1 gene:Solyc01g103610.3 transcript:Solyc01g103610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAQNYSIELRVYVKVQVAEEQQMSLIGLKYSIICSRIQSLSAHMLSRIVSGKLFDKLDNIGVCTNHEPMHLTWKLWLQFVRNLQFSHVLTIHSEGKQGSFFKSLSGIFVPPLLLLQQSGHFQRYVWSTEIWDVISRVLPEDRNGSRIILTSRNGCVAMHVNPEVHAYKMMPLSLYDSWKLLHKKLFGVEQSCPAELEEIGRAIVGKCEGLPLSILVKLGKIVAKNVSRVVTSDPEGRIGLLAMGYHYLPIHLNPCFLHIGTFPEGNEIDAWTLIRWLRSPEEVAEECLEDLVSRNLIMVTRKKVDGRIKSCSMHELLRDLSVRDAEKEELLNMITNNEVPNFSAANEQSAPNFSVHTSISLEKFMKSSQVVLSLYLFKEPTGKTSEFKALRVLVIPKSSFIFRHHMTLDSAILRYLEVTSDSEFTEFLGYMHNLQTFIFYTRKILKVLTLPREFWNLKQLRHLRVMHNIHLPNPQGNNSSDVDLHHLQEVSNLCIASCTKVVLSCLANLKKLRIDDFRRDIEYNEIKTSWSLLSLVCLNKLESLYLCFRMCSLSSRLANCCCFPTSLKRLTMFDRHLPWEDLATIAKVRNLEVLKLRNNSFYGDVWKLNDDVQFKQLKFLLLDNVCMKRWEANSDNFPNLHCVVLQLMCHLDRIPLEFADICTLESIELYFSLNLLKSAREIERGN >Solyc06g065500.3.1 pep chromosome:SL3.0:6:41000256:41008869:-1 gene:Solyc06g065500.3 transcript:Solyc06g065500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRRMGYISPSLSIKTSPFFSILTKNPFPFHHLHPIQFFKPIHTKSPQTHLFSMNQKPHFTTSAAFLQEPTNTKTLSNRSAKKARRDAPESVLRHQLDQCSKRGDLQEAIRLYEEAKLNNITLNVHHYNVLLYLCSSGSGTEGCGIEKGFLIFKQMGVNGVAPNEATFTSAARLAVAKQDPEMAFDLVKKMKSFGVPPKLRSYGPALFGFCEKGMADKAYEVDVHMGESGVVAEEAELSALLKVSSFSKKADKVYEMMHRLRASVRQVCEETVGVVEDWFNSEYAAEVGLQDWDARKVKEGVVKGGGGWHGQGWLGKGNWTVVRAEMDSSGVCRSCGEKLVCIDIDPKETENFANSLAELASEREVKANFVQFQICIPAAAIPKSKALKLNQPHQINIKEIKGVALTQDWLQKHGPFDAVVDGANVGLITQRNFNFSQLRSVVNKLRQMSESKKLPLVILHKSRTTGGPAQHPNNKKLLESWKKAGALYATPHGSNDDW >Solyc10g079275.1.1 pep chromosome:SL3.0:10:60962091:60963250:1 gene:Solyc10g079275.1 transcript:Solyc10g079275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAHMVHQSDDGRLAVVAIPFKIGAGHVKRVDDKGLKLGLVNPQQLGVKAEPFYRYIGSLTVPPCTEGIIWSARTVSMEQMMALRNFFLQGFEANARPVQGLHRRPVYLAM >Solyc04g064670.3.1 pep chromosome:SL3.0:4:55830396:55840951:-1 gene:Solyc04g064670.3 transcript:Solyc04g064670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIHSSKTRPFPSHHTVTPCTRTHQIGALALVIITFFLTRIFDQSLNSSSFSIPTSGQYRSKNDVIRFSDSGGSIFWPQRGYGTHLSLKIYVYDENEIDGLKHLLYGRDRKISPDSCVKGQWGTQVKIHRMLLQSRFRTRKKEEADLFFVPAYPKCVRVMGGLNDKEINQTYVKVLSQMPYFRLSGGRNHIFVFPSGAGAHLFKSWATYLNRSIILTPEGDRTDKRDTSAFNTWKDIIIPGNIDDGMTTHGSRIVESLPLSKRKHLANYLGRAQGKVGRLRLIELSKQYPEKLECPELKFSGPDKLGKKEYFEHLRNAKFCLAPRGESSWTLRFYESFFVECIPVILSDQAELPFQNVIDYSQISIKWPSTHIGTELLDYLESIPDKDIEEMIARGQKIRCLFAYTPESDSCSAFNAIMWELQRKKFLFGWEEMGTFVYSSSCLSWKNLSRQMNPSHHVVPRGVPEKGCSRIKSAVCSKEDARMNRDCEISAGSLNRRSAIISGASLISSVLLFPGEGSAVIKQGLLAGRVPGLSEPDEEGWRTYRRPDDKSGGHGVGWSPMIPYTFSVPDKWEEVPVSIADLGGTEIDLRFANPKEGRVIVIVAPVKRFSDEIGEEATIEQIGPPDKVISAFGPEVIGENVEGKVLRSEVAEHEGRTYYQFELEPPHVMITATAAGNRLYLFNVTGNGLQWKRYYKDLRKIAESFRVV >Solyc07g032685.1.1 pep chromosome:SL3.0:7:40884324:40888339:1 gene:Solyc07g032685.1 transcript:Solyc07g032685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTDPLDDLLTGLKLFIKNLHSLTPEKLNDSNFPSWFTTASANLSAHRLMAYVDGSMDVPPATITVTADGEAAAAAATAITINPDHEKWSVVDAQLRACLLAIISPSVQNHLHGLTSAAAIWNHLQLRTNIAHVTFAEVSSWLLTEELNVQMEQKLKVREAGGLAEPHTTLYAQSWQSAVHRGGRGRGFHRGRGGTPGRGSSAGGRGGAVDSSQQRGGYSGGRGGSAGRGSGPPRSSIICQICGKYKHAAWDCWHRFDNTYFGPSTSSPQAFYAANSAESNDQICRELIHRAGVDSCTTAPTPISPSQSTNGADVPFHNPRLFRSLVGDLQYLTVTRPDIQFTVNYVAQKMHSPMEQDFHTLKRILRYVKGTILCGITFSRRDLRLRGYSDSDWANDPSDSRSTTGYLIFFGPNLISVNTQKQGRVSKSSTEVEYRALSAAASEVMWFTYLLADLH >Solyc02g088705.1.1 pep chromosome:SL3.0:2:51332514:51336909:-1 gene:Solyc02g088705.1 transcript:Solyc02g088705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPMNSQSESDSVGTLSPQISCRFGVQFSLHIIKEISEYYPQTAPVSLVYTVQRLMQRASGAAAVMMDLSLSFLRSNSSTIGFLTSAKMIGGTIGANETSCMMSAFRNALSSYLRMTTTFPLFLMVAQQIPFWNWHDLQLRAIHPNESSLLHVLRLNASFSWTNTSNKYKKFGPNEPSEDTLLQETPPAAMIPRATIAYSGTFGSTTNTTLPFLKPRDLKALANLLMSLSTSPYGKATKPLQNQKVGKRKNWKSKTYMSKFGMVERGSVWIGPSADIATSCQKEENFGLNSENKIKES >Solyc05g009340.1.1.1 pep chromosome:SL3.0:5:3485365:3485721:-1 gene:Solyc05g009340.1 transcript:Solyc05g009340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQRGSKKVVNNYGEMKKVKRVVEKQSEEVKIEEEHRENNDGGDVVKEVELIGECDNWINEWLCSWSNVVDEQMSWGTCWSPVWDMEFLGEAYINLYNDVLWDDDVWDLKAIKEVPS >Solyc02g079900.1.1.1 pep chromosome:SL3.0:2:44849619:44849789:-1 gene:Solyc02g079900.1 transcript:Solyc02g079900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYFFYAVGIASEAQHGSIRKWITKVIQLVLIIDDVYDIYASLADVQLFTRAIEK >Solyc04g078420.1.1.1 pep chromosome:SL3.0:4:63247860:63248846:-1 gene:Solyc04g078420.1 transcript:Solyc04g078420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITQRKDSDRIKGPWSPEEDELLQTLVEKHGPRNWTLISKSVPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDTIIRAHAKFGNKWATIARLLSGRTDNAIKNHWNSTLKRKCPSMSEDLSFETPQPPLKRSSSVGPCTNFSSVMNPGSPSGSDLSDSSLSGFPQSHVYRPVPRTGGIFPLPPPPPVKQIEIPPSVPDPPTSLCLSLPGSGSGSIEKPTQSPKSPPLPPPPLPAVDKPIPPSVPVTCPPSAFMGHLAQSNQSYDFSAAPKSGEKQFFTPEFLSVLQDMIRKEVKSYMSGFEQNGLCMQTDAIRNAVIKRIGISKIE >Solyc12g008950.2.1 pep chromosome:SL3.0:12:2253467:2256047:-1 gene:Solyc12g008950.2 transcript:Solyc12g008950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIYVQSSIDFGTLIVADKDVKAPNVFERVKEEFEAVLHSERHSHHHHKETHGLRKDIDENTPVTDVKAPNVFERAKEEIEALVQAIHPKKEDHSHASAADGNNRTNGITAELKHNPDSLSENKPKVPTNQNEKVEESTGTQKSPHRHHKETHGRSDDIDDKTPVSDVKGPNILERAKEEIEALFHSIHPKK >Solyc06g075020.3.1 pep chromosome:SL3.0:6:46684739:46693732:1 gene:Solyc06g075020.3 transcript:Solyc06g075020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRPKQWNSNNVSFICFTVLVFMANLGAGQQTTGNNSMGMQLLKGALASRVKNLTTAMTKNMKGQLDFCIENVDAEWDAAFNFSDNSDYLQGCLQQTKGDVQQRLCTASEIKFYSVSLLEATDESGTAKSSHYLRPNRNCNLTSWNSGCEPGWACSAGKDTKIDFENEKEIPSRVLDCQSCCEGFYCPYGLTCMIPCPMGAYCPHAKLNTTTGVCDPYRYQLPNGMDNHTCGGADVWSDFVSATELFCSAGFYCPSTVQKNPCSKGHYCRAGSTEQTSCYRFATCESQTANQNITFYGLMFFGAIMLVLLIIYNCSDQVLSSRERKQAKSREAGARSARESAQAQNKWKSNLASMGSQLSKTFSRRKSTRQDMQKDSDPSRPGKDSGLPLPPGMSQAKAKKQHNLKKMINESEDSQPDSEGSNIETGDKKFKKDKGKQLHSRTQIFRYAYGQIEKEKALQEQNKDMTFSGVINMASEFEIRPRPPIEVHFKDLTLTLKGKNKHLLRCVTGTLSPGRVSAVMGPSGAGKTTFLSALTGKAAAGCTTTGSILINGKSDSIQSYKKVIGYVPQDDIVHGNLTVEENLWFSARCRLAADLAKPEKVLVVERVIESLGLQQVRDSLVGTVEKRGISGGQRKRVNVGLEMVIEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDFILLAKGGLTAYHGPVSKVEEYFAGIGINIPDRVNPPDHFIDILEGIYKLPASIGVSYKDLPLKWMLHNGYQVPPDMLGPSGAAASSAGDNSSHGGSSAAVGTEQSFVGELWSDLKSNVVQNKDHIQHRLLPSKDLSNRKTAGYLLQYRYFLGRLGKQRLREARIQSVDYLILLLAGICLGTIAKVSDESFGAQGYLYTVIAVSLLGKIAALRSFSQDKLYYWRESSSGMSSLAYFMAKDTLDHFNTIVKPAVYLSMFYFFNNPRSTIWDNYLVLLCLTYCITGIAYCMAIYFEPGPAQLWSVLVPVVLTLIAKQDDDPLTAKIGNYCYPKWALEAFLLATARRYSGVWLISRCGLLKSRHYDLGDWYPCLIKLILVGFLSRFVAFFCLVMFHKK >Solyc03g120175.1.1 pep chromosome:SL3.0:3:70116300:70116802:-1 gene:Solyc03g120175.1 transcript:Solyc03g120175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYSKVRYWDGNLDKREHRGRYLSLVSIRGADGAGAGTRKFQCVCSPTIHPGSFRCRHHHADYKWVARLRHKA >Solyc12g036380.1.1.1 pep chromosome:SL3.0:12:46393994:46394242:-1 gene:Solyc12g036380.1 transcript:Solyc12g036380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSFFLKSPIYVIRVVVERLKMEMDNSKIEVENLKIEMKNLKIVVENLKIKVAHLSEKIATLQASNLVVKKVTTFEDIFL >Solyc11g008320.2.1 pep chromosome:SL3.0:11:2522776:2528580:-1 gene:Solyc11g008320.2 transcript:Solyc11g008320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRVNVWTWITKEMFYDVIPKHCYALLDSAHNYLVSRGYINFGVVPAIKDRIPAEPSKPSVIIIGAGLAGLAAARQLMLFGFKVTVLEGRKRAGGRVYSKKMEGGNKVAAADLGGSVLTGTLGNPLGILARQLSCTLHKVRDKCPLYRVDGKPVDQDLDHKVETAYNLLLEKASKLRQLMGEVSQDVSLGAALETFRQDYEDAVNEEEMSLFNWHLANLEYANAGLISKLSLAFWDQDDPFDMGGDHCFLPGGNGKLVHALSENVPILYEKIVHTIRYGTDGVQVGAGAQVFEGDMVLCTVPLGVLKGGSIKFMPELPQRKLDGIKRLGFGLLNKVAMLFPYVFWGTDLDTFGHLTDNSSSRGEFFLFYSYATVAGGPLLLALVAGEAAHKFETMPPTDAVTKVLQILKGIYEPQGIEVPEPIQTVCTRWGSDPFSLGSYSNVAVGSSGDDYDILAESVGDGRLFFAGEATNRRYPATMHGAFLSGLREAANIVHHAKARTMSLKIEKKPSKSTHYYASVLDDLFREPDLEFGSFSIIFARKSSDLESPAILRVTFCGPQTRNHDGIRPGRHLSNKLLFQQLQSQFNNQHELHVYTLLSKQQALDLREVRGGNEMRLNFLSEKLGVKLVGRKGLGPSVDSIIASVKAERGRRKPGTLKTGVMKSKDTTLRRKIVRKAKVVSGGNRTTSFPASSSRIKAVGSSTTTIPLTNLDLEPKPVCAIGSAASPSLNVRVNDDMESKSVGSSVHLLHNASIGDKFEGNFGSSTAPLLNVGGNTGSNSDGPMYPRNTYDDSTDTCVPPITGNLASQHTSGDGDMESMMLDGECRM >Solyc03g026060.3.1 pep chromosome:SL3.0:3:3484534:3491574:-1 gene:Solyc03g026060.3 transcript:Solyc03g026060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSFGCSASGERLVSAARDGDYVEAKMLLDCNPCLSKYSTFGGLNSPLHFAAAKGHNEIVALLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLSGRTALHFAAVNGHVRCIRLVVTDFVPSAPFESINAQINADRGDSSNSKGKHEQSPLSKFVNKAADGGITALHMAALNGYFDCVQLLLDLNANVSAVTFHYGSSMDLIGAGSTPLHYAACGGNLKCCQILIARGANRLTLNCNGWVPLDVARMWGRHWLEPLLAPNSESIIPPFPSSSYLSLPLLSVLNIARECGLQSSGSSSDDSDTCAVCLERACSVAAEGCGHQLCVRCALYLCSASNVPSELLGPPGSIPCPLCRHGIVSFVKLPGSPAKEIKLHLSLSLCTPCMLHSRDQERSTPPSAHEIRKNRVASVSSDLFCPVTCSPFPSVAMPLCTCDEGPSPTLESRENDTQEETPNQSQSTSTDQDKMNVRLEKTTCSNMFWGRRSCSREHQCNAEINA >Solyc11g011120.2.1 pep chromosome:SL3.0:11:4201543:4209356:1 gene:Solyc11g011120.2 transcript:Solyc11g011120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGFECVVVLGCMRWVWKRCTYIGNDDSATWPPATYDEFEPIPRLCRTILAVYEDDLHNPKFPPEGGYRLNADWVVKRVTYRDTLGNAPPYLIYLDHEHHEIVVAIRGLNLVKESDYKVLMDNKLGKQMFDGGYVHHGLLKAAIWMLNKESETLKRLWVENGKSYRMIFVGHSLGSGVASLLTIIAANHGDRLGGIPRSSLRCYAVAPARCMSLNLAVKYADVIHSVVLQDDFLPRTPTPLEDIFKSVFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHVVERKFCRCGRFPPDVRTAIPVDGRFEHIVLSCNATSDHGIIWIQRESEKALARLKEATSAEAPTAPPPVQRIERQHTLEKEHKKALERAVTLNIPHAVPTDTDEELSVHKEDDSGRGVTETAFLIEDASTSTSHSTDARTNWNDVVEKLFAQDETGKLTLNKEASCSTE >Solyc02g070010.1.1.1 pep chromosome:SL3.0:2:40374584:40375954:-1 gene:Solyc02g070010.1 transcript:Solyc02g070010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSNNRANHRKLPKNLRNPRRPKLPPDMDYSFKRVLYEGVVSEACSDGIDEELEMGVEKGRMCDDDDEGIMWESDEMEAISSLFKGRIPQKPGKLDRERPLPLPLPYKIRPLGLPTQKGFTNRSRQSISTQVYKNPTFLIGLAKEIQGLSTEENVSKVLSKWSPFLRKGSLSLTIRELGYLGLPERALETFCWVKKQPHLFPDDHILGSTIEVLAGSNELKVPFDLDKFTGLASRGVYEAMLRGYIKGGSLKLALKLLSMAKESNRVLDTGVYAKLILELGKDPDKSTLVLVLLEELAVRDDLNLTPQDCTAIMKICIRLGRFEIVEGLYDWFRKSGGNPSVVMYTTLIHCRYSANKYREAIDMLWEMEASNCLFDLPAYRVVIKLFVALNDLSRAVRYFSKLKEAGFSPTFDIYCSLIKVYMASGRVAKCKDICKEAEMAGFRFDENTLLKLQQ >Solyc02g061980.3.1 pep chromosome:SL3.0:2:34063228:34070950:1 gene:Solyc02g061980.3 transcript:Solyc02g061980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTINHHSHWNAAADTAPQQSSRPEEAARGVYSPWAQIGQSESNIQVVHFSDCSLSEQVDGCAFESDTNSNGAKKTVWNKPSNGTADVSPVMGAISWPALSDSTKASFKSSSDSPRTLPDGSVSETQVTGMVAASHRQANTNNANPNTMLNHVVPSRQRSMKRGGGNSNHNISANGVFSQQQAHGFEVETVLNNSGKSANYGAEFSSRDNNRRDGGHWGGFGAQSHGGNDHQHQRNANRRGNFGPHPRGDGMYHNGHGGRRDQERQPHRGWGNRDAHMQPQRGPARPFMGAPPHTSPPYIPGPMPVQPYQPPMVYSEVPPVFYFPGPFPDPLRMPMLSPVPPVFVHVPDTQLQTRIVNQMDYYFSNENLIKDIFLRKNMDEHGWVPVTLIAGFNKVMELTDNIQLILNAARSSTVVEVQGEKLRRRNDWFNWLMPPSVQNSTVSSPQSLPKSASDLLVENLQRVAFDDKTVTHGNVEAHLSRSSSAELSTPSN >Solyc03g058430.2.1.1 pep chromosome:SL3.0:3:26702312:26703466:-1 gene:Solyc03g058430.2 transcript:Solyc03g058430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGRMSTPSEGNKSQSNVLQRVPHSKPPFTVGDVKKAIPPHCFKRSVLHSFSFVLYDLVVAFLLYYVATNYFHLLPYNLSYVAWPLYWICQGCNLTGVWVIAHECGHHAFSDYQWLDDTVGLVLHSSLLVPYFSWKYSHRRHHSNTGSMDRDEVFVPKKKSSMKWFSTYLNNSPGRILVLVVQLTLGWPLYLMFNVSGRPYDRFACHFDPNSPIYTDRERLQIFVSDAGIFAVLYVLYTLVAAKGLAWVVCVYGCPLLIVNGFLVLITYLQHTHPSLPHYDTSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGDYYQFDGTSIWKAMYREAKECVYVEPDEGDQNKGVFWYKNKFH >Solyc10g006500.3.1 pep chromosome:SL3.0:10:1074293:1079083:1 gene:Solyc10g006500.3 transcript:Solyc10g006500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTMQLLISPSLRHVTVLPAKGFKEFVKVKVGSRRISYLMVFYSLLLFTFLLRFVFVLTAEDTIDGERKCSTLGCLGRKIGPRILGSQLESTVPEVIYQVLEEPTDQIEIEEGPETPQSLEEFMEEMKDTRPDAETFAVKLKTMVTLLEQRTRTAKIQEYLYRHVASSGIPKQLHCLDLKLAHEHSINANARLQLPSPELVPALVDNSYFHFVLASDNILAASVVASSLVQNSFRPEKVVLHIITDSKTFSPMQAWFSLHSLTPAIIEVKALHHFDWLTKGKVPVLEAMEKDQKARSQFRGGSSAIVANTTEKPHIIAAKLQALSPKYNSLMNHIRIYLPELFPSLDKVVFLDDDIVIQTDLSSLWDIDMNGKVNGAVETCRGEDKFVMAKRFKSYLNFSHPLISNNFDPNECAWAYGMNIFDLEAWRETNISEAYHYWLEQNLKSDLSLWQLGTLPPGLIAFHGHVHAIDPFWHMLGLGYQDNTSIPDAKSAGVIHFNGRAKPWLDIAFPQLRSLWTKYVNFSDKFIRTCHIRAT >Solyc02g086360.3.1 pep chromosome:SL3.0:2:49657839:49663563:-1 gene:Solyc02g086360.3 transcript:Solyc02g086360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSPAPPSTATDSLLDLPPLPTPTTKVRLLCSYGGQIVQRPHDKTLCYAGGENRVVAVDRRTTISSLSALLAHLSRTLYGNRPFYLKYQLPDEELDSLISVTTDEDLQNMLEEHDRTTTASVTSSRIRLFLFPVKPESLGSALLDSKADSWFSDALNNTWIARRGQSTDSGFGHELIGFENLGGSNSVVSSEGQGDNLSAIGGSAGDAKQTLDFGGGSVPESMVLETSSSFGSTSSSISMSNMPAIGVQAEDGGVNLQDKRVRVPSSSASIESDSIMGGAGFQPKVGIHQEPLIQVMSSMASHSLFEAEGSNASPSLIQTPKMVQVSGYPLPQTLDGKQPQPGIQYVHGGACYVPHYPSGPLPVSSCYPFYQVPMQQPQQTPYPLNQQYPIYLVPVQQMQSHSMSVLPNINHAAVMASNRPPLHSQSVINPPSVAYKEVMAAQSVPESGPKVYTTVPTASLPISAPSQTQQQYTVLPEPQLTSQPVSTASVPAANDANEFDDDLAYNQIYKSQPPPPSFISQCQTITKGAAVLLSESSMQMHSNNVMNQAPSHPQ >Solyc08g061185.1.1 pep chromosome:SL3.0:8:47319524:47319796:1 gene:Solyc08g061185.1 transcript:Solyc08g061185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTFSSSLYFGKGNVTLKSFVDADLGGVFDSSKSTSGYIYTIVEYMAITDVGKEIIWLEDYLEELGKK >Solyc11g007660.1.1.1 pep chromosome:SL3.0:11:1882703:1884514:1 gene:Solyc11g007660.1 transcript:Solyc11g007660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESWFRNFWKNSKKHEGGGHQKVLVGVLAFEVASLMSKLVHVWQSLSDKQVARLRDEIMNSVGIKKLISDDDSYTARLICTELVENLGHVATAVSRLAKKCNDPFLKSFEQAFNDLLKVGADPYGWQLSWKKMDKKIKKMERFIVINANLYQEMENLSDLEQTLRRLKGNDDADSITLVEYEKKLAWKKQEVKHLKDVSIWNRTYDYIVRLLARSLFSIFSRIGHVFGVDPVVDERAKASRDLDSDQIHRSYSVAYAQSSVHPSESSLSRFSSEPVESILAKSGPISSTRNIHSSYSGPLKSSTSTASPIPGRHSSAGFYSGPLGRSTTKSGPLPLFNKSGMKWWKSRDRSGNLNGKGSNLKHARPTSGPLKGCMMVGNGSPVSNCHLDPHGFHSGFLNATKGAGVDGLADGYSTCSYLTSYNAKKRLLNAPPETLGAAALALHYANVIIVTEKLVASPHLIGHDAREDLYNMLPASLRAALRAKLKPFAKSLTSSVYDTVLAGEWNEAMLGILEWLAPLAHNMIRWQSERSFEHQNFVSRTNVLLVQTLYYANQEKTESAITELLVGLNYIWRYGREVNAKAIEECASARMMFNDDYLDD >Solyc12g010200.2.1 pep chromosome:SL3.0:12:3314375:3321172:1 gene:Solyc12g010200.2 transcript:Solyc12g010200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DCA7] MRLLRRCTRISILCLLAISVFTPVFLLSFRLKNLNTDASKDFVEDLSILKHRIDAQANSAVQQEEVEGLKGPHLVVYRDGDHGSLVSLDENDRRHKAEDVNLLQSNGTTYGGQEKNQRNLSEQKVSPSREKEKSKPEGVQHSRSIQSHLRRPLDEKVKEMKDKVIRAKAYLSFAPPDSTSHFVKEIKLRIKELERAMGDVTKDSGLSRRATQKMKAMDGTLLKASRIYPDCSAMVNTLRAMMYNAEEQLRSQRDHTSFLVQLAARTTPKGLHCLSMRLTTEYFDLQPEEREFPNQHKLQDPNLYHFAVFSDNVLACSVVVNSTVSTAKDPEKIVFHIVTDSLNLPAMSMWFLMNPPGKATIQIQSIGGFELLSIKYNEDQQKQKGLDPRYVSALNHLRFYLPDIFPSVNKIVFLDHDVVVRKDLTRLWHINIKGKVNGAVETCVEGEPSFRRMDMFINFTDPSVATRFDANTCTWAYGMNVFDLQEWRKRNLTALYHKYLELGSKRRLVKAGSLPLGWMTFYKHTHALDRTWHLLGLGYDSGVTRAQIEQAAVIHYDGVMKPWLDIGIQKYKSYWNKHVSYEHPYLQQCNLHE >Solyc07g053210.3.1 pep chromosome:SL3.0:7:61786751:61789859:1 gene:Solyc07g053210.3 transcript:Solyc07g053210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGMVSVDRWTAGSQVYFLTHLHADHLSGLTSKWSRGPLYCSRTTAKLLPIKFPGFNLSLLHIVEIGQWHSVSLLSPSSGSSTTVSFMAIDAHHCPGAVMYLFRGEFGCTLYTGDFRWESTSERAQTGRTMLLKAMKDVQLDMLYLDNTYCNPTYCFPSREVAARQVLSIISSHPNHDVVIAVDTLGKENLLLYISRVLKTKIWVWPERLQTMHLLGFHDIFTTKTSVTRIRAVPRYSFNIETLEGLNTMRPTIGIMPSGLPWAPKAFRGMEKLPLGSPPLLLHNRWHTGVTTSSTSSKTNGGSLSSGRHDHYIYTVPYSDHSCFSELQKFIEFVKPINIKSIVSSSACNVDPLYHFGSICRIQQASELLCQRLRIKRSEKIGVIDMKSSFGCTGTMQLGRKKRKRQISRAAIHVSRVRLLRRERQGVKIVDTNPD >Solyc03g082770.1.1.1 pep chromosome:SL3.0:3:54069687:54070655:-1 gene:Solyc03g082770.1 transcript:Solyc03g082770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGNLGQWSLLAFALALCFVASTVVADYSYGYSSPSPSPYYKKPEKHVEHSPSHYYYKSRAPSKHYYKAPVVAKYYKSHAPSKHYYKTPVVAKYYKSPAPSKHYYKTPVVAKYYKSPAPSKHYYKAPVVVKYYKSPAPSKKYYKAPTPSKYYYKSPSPAKYYYKSPLPAKYYKSPAPSKHYYYKSPSPSKYYKSPAPSKYYKSPAPKKYYKSSVYYESPSPPPTYYEKSPSYYKSPPPPPYYKESTPSYKSPPPPPYYKESNPFYKSSPPPPKYNEKSPTTYNSPPPPTYSSPPPPQEYEQSVIYASPPPPPASPPPTYY >Solyc06g064760.1.1.1 pep chromosome:SL3.0:6:40480585:40482873:1 gene:Solyc06g064760.1 transcript:Solyc06g064760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVETCGKGTLSAGEPSTKRTITSIYDDDEVVGFENDAEVIMMKLIRGTKERDVISIYGMPGLGKTTLARKVYNNPSIANYFDVKAWCAVSQAYNRRTLLVEIFKQATDDEIKIKEDDDVADMLRRVLIGKRYLIVLDDIWDVEAWEDLGICFPLGEYESRVMVTTRIEQVTKHLQHHSHPYSLKFLTSQESWELLEKKVFRGESCPPDLVEAGLQVALHCKGLPLAVVLIAGIIAKMKREASLWVEVANDLSSFALGEQSMKVIQSSYHHLDDHLKPCLLYMALFPEDHKIPVDDLLKLWMAEEFVLNSETENMEEASRNCLCGLLNKSLVMMYGRNDNRDVRYCSLHDVVREFCLRKLTEDKYMQLIVPYNPYQHLHSTESRLCIYIHDDFFKQSDHPDYQLDKIPMLNFKETNSLEFIAHPKLNTWNNQYWNPLDLIVKLRFVRALHLMDVALPDSWATAIQSLSELRYLAICVRQFELEWISHLHNLQTLQVKSSNKGIRLRAATLWEMKKLRHVNIYSFQVVWEDINDEGGFKTSMLENMKTFRTSNVRLDNMNARLWWRFPNLEELGLKVEDEPKFPLFPVPEVHTRIHSLSLSLPSMKFLDSVGWERYFEFPSNIRHLDLGGCLLTEEMALNIARLKKLESLKLFYGLTLGRSMSLCWDVTNVEFPALKYLTLCRVKMKEWEASEESFPVLEKLVIIGGFITEMPPSFADIPTLQLIQLIDCKDSLGVSVMNIKREIEENTGCDNLRVLMEKHK >Solyc01g059930.3.1 pep chromosome:SL3.0:1:69280762:69285386:1 gene:Solyc01g059930.3 transcript:Solyc01g059930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGRFVGVAVDFSACSNKALKWAIDNILRKGDHLILVTVRPEGHYEEGEMQLWEATGSPLIPLSEICDGHTMKRYGVNPDPETLQMITLAARQMEITVVMKIFWGDAREKLCEAIDKTPLSCLVIGNRGLGKIKRVIMGSVSNYVVNNATCPVTVVKNAED >Solyc04g039700.1.1.1 pep chromosome:SL3.0:4:12744190:12744366:1 gene:Solyc04g039700.1 transcript:Solyc04g039700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGVKEVSGETTVAEAPTVQSLSSPNTDNTSYNSETNGVRDSSASIANGFWVLINTH >Solyc04g025655.1.1.1 pep chromosome:SL3.0:4:21312212:21313735:-1 gene:Solyc04g025655.1 transcript:Solyc04g025655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRCCGRGKRRYSHCYAKKRGRSYT >Solyc07g056650.3.1.1 pep chromosome:SL3.0:7:64584920:64587947:1 gene:Solyc07g056650.3 transcript:Solyc07g056650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRQSPRAPSQLRTSSSESDPIHHRPVTDRSPKLGDRRSPRGAQSDPLNQRKLGTRIADLESQLGQAQDELKSLKGQLASAEAAKKAAQEQLEKKTKKPTVADSDQIQETNNDNRINLTHEIHEDDEMKEADVFEVPVEKLTPPNVEISHPFAEDDLKSSSLSPDESVKPSFEELNLKDEEISSLKSKLEEKEKELEVFSQENENLKKELNEKMQEISSLKAKEDETSLKLNQVAQELETSKNDGVNIKEKLEATEKAKEALENEMKKLRVQTEQWRKAADAAAAILSGGGIEMNGRRLPERCGSMDKHYGNVFEPGVGGYGGYLGSPGLVDDSDDVFGHGKRKGSGIKMFGDLWKKKGHK >Solyc03g007720.3.1 pep chromosome:SL3.0:3:2247725:2253215:-1 gene:Solyc03g007720.3 transcript:Solyc03g007720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFKLLKCWPNADPNTSTVADTDNVPYFDSVKNLIYGIDYVTNPDEDSFFDLVFTGPDGRPKVVCNSKSVKQRKIGEFDSPIDSQSKSPSPVSVLGSGIVFLFRFRKSNSDKAGIDEPKQLNKTSLHRGSSLRSKLEREREEEFSVDESAPLPSKQFARAKFLKLVKRGNSKMCTDKIRLSDQVSTPFISSSRKQLDEKQGNRVAAFGAVCKHVMKSRSTTSSFAGVSSSLPPMNRRDDSLLEQNDGIQGAILHCKRSYSTASKDCSIILPRCTTEDVARTSCYEEESRWSI >Solyc02g087960.3.1 pep chromosome:SL3.0:2:50834915:50836205:1 gene:Solyc02g087960.3 transcript:Solyc02g087960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKTGVKKGPWTPEEDIMLVSFVQEHGPGNWRTVPTHTGLRRCSKSCRLRWTNYLRPGIKRGSFSDQEEKMIIQLQALLGNKWAAIASYLPERTDNDIKNYWNTHLKKKLRKLETSDLYSNDGHCLSKFNSTSRGQWERTLQADINTAKQALQNALSLDESSPIPDIGCYPFIKQEAKMSTTTYASSAENIAKLLKQWTRSESTNNSEQSKASSSTQFSCNTTNNNAMTEYSSSFEPSNSDQFSQATTPEAAGKFHGESKREMDDEIQLSVMLESWLFDENDDLLI >Solyc03g118585.1.1 pep chromosome:SL3.0:3:68944398:68946369:1 gene:Solyc03g118585.1 transcript:Solyc03g118585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWDLWIEVKNIGIDEILENIINEHCESRANGKKGNSESGGEDLIDVLLKVTESGELGTPITNNNIKAVLFASFGAETSSAKIIWALAEMIRNPSIMDKAQLEVRQVLKGKTKFDDFDLEELKYLKLVIKETLRLHPPLPLLHPRECREKTKIGKYTIPIKTRVLLNAWAIRRDPEYWHNPEIFIPDRSDESSIDFRGNHFEFIPFGAGRRMCPGWLFGLMNVEHPLTQLLYHFDWKSPYEGLLENFDMTGTNGLSARRRDDLCLIATPYN >Solyc03g120940.3.1 pep chromosome:SL3.0:3:70664056:70668396:-1 gene:Solyc03g120940.3 transcript:Solyc03g120940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLMETDEELMDFTLAEAMEMTTFFKGLKGKSISQELCQEFANKFSSSPFRTGKSIIKGEQVKSWFLDKQKPKAAEVPDDDYVEHVDDYEEPIVPKRRGRKPKSKNTSSSLVVYKKYDACGYTRLPECAYDLPQRPRVSAAEMAKELRGLSFEALSAKDLAWYDVGSFLNFRVLYTGELEVRVRFAGFGNEEDEWVNVKRGVRERSVPLEPSECVKLSVGDPVMCFREDEYLAVYGDAEVVEIQRNLHDNTRCTCIFVVRYDLDKAEEKIVLDKICCRPNFINNKNKNNNDNTPTEISTTSGV >Solyc08g069230.3.1 pep chromosome:SL3.0:8:58340150:58345580:-1 gene:Solyc08g069230.3 transcript:Solyc08g069230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSMFIAANITVKLNFPIVKKMDKVPIESFRGFSNPVRCFPVEQQVEEGVLCEPCGGTGWLLCDFCKGQKTNVKSETNKIYRRCPSCRAVGYLLCTKCKVFKCVTFPNDEDGEVLSF >Solyc07g052850.1.1 pep chromosome:SL3.0:7:61419473:61419788:1 gene:Solyc07g052850.1 transcript:Solyc07g052850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKGMETVSDFECGFFSKCQECSAVNDAYPHKSSEADAELSKPEMNANAHAPLPLPSGNLHLQLHLLVLHHPSS >Solyc09g092310.1.1.1 pep chromosome:SL3.0:9:71920240:71922792:-1 gene:Solyc09g092310.1 transcript:Solyc09g092310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILLTAVINKSVEIAANLLVQEGTRLYWLKEDIDWLQREMKHIRSYVDDAKAKEVGGDSRVKNLLEDIQQLAGDVEDLLDEFLPKIQQSNKFICCLKTVYFANKFAMEIEKIKRRVADIDRVRTTYNITDTSNNNDDCIPMDRRRRFLHAYDETEVIGLDHDFNKLQHKLLLQDLPYGVVSIVGMPGLGKTTLAKKLYRHVRHQFECSGLVYVSQQPRAGEILLNIAKQVGLTEEERKENLEHNLRSLLKIKRYVILLDDIWDVEIWDDLKLVLPESDSKIGSRIIITSRNSNVGRYIGGDFSIHVLQPLDSENSFELFTKKICNFVDDNWANTSPDLVNIGRCIVERCGGIPLAIVVTAGMLRARGRTEHAWNRVLDSMTHKIQEGCAKVLALSYNDLPIALRPCFLYFGLFPEDYEIRAFDLINMWIAEKLIVVNSGNTREAESKAEDFLNDLVSRNLIQVAKRRYDGRISTCRIHDLLHSLCVELGKESNFFHTEHNAFGDPGNVSRVRRITFYSNINAMNDFFRSNPKPKKLRALFCFINDTCLFSQLARHDFKLLQVLVVVIAYDYFLSYIGIPKTFGKTSCLRYLQLEGHMYGELPNSMVKHMQTLNIENSWAILPTGVWESTQLRHLRCKGNLQESNCCFSISRNICSLPPTNLQTLMCVDDKFVEPRLFHRLINLRKLGIWRVSDSTIQILSTLPKELEALKLIFLYQSSEQINLSPFPNIVKLHLNGSEHLNSETFPPNLVKLTLSCIEVEGHLVALLKKLPKLRILKMIYCQHKEEKMDLSGDNDSFLQLEVLHIQKPFGLSEVECTDDVSMPKLKKLLLKHTKFNIRLSERLTKLRV >Solyc01g097880.3.1 pep chromosome:SL3.0:1:88450456:88455688:1 gene:Solyc01g097880.3 transcript:Solyc01g097880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANVVEAKDGTISVASAFAGHQEAVRDRDHKFLTQAVEEAYKGVECGDGGPFGAVVVCNDEVVVSCHNMVLKHTDPTAHAEVTAVRERLVYGAKAEAAIAIGFDDFIADALRGTGFYQKAQLEIQKADGKGALIAEQVFEKTKEKFSLY >Solyc01g110995.1.1 pep chromosome:SL3.0:1:97332069:97333115:1 gene:Solyc01g110995.1 transcript:Solyc01g110995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDPSAVLICLNEKLHVPIPDRYQYFDNLVEDKTMLLQMLLSSVGAHEITLHYQLDSLSLHLAYIITSKEVSTTTALNKT >Solyc12g089300.2.1 pep chromosome:SL3.0:12:65418484:65419994:-1 gene:Solyc12g089300.2 transcript:Solyc12g089300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKFLLVTMVLISLLVFRPVEANGNGDGDNLAVHTAGPEGANNPTYIPTSECGTACEARCSLASRHKMCLRACGTCCTRCNCVPPGTSGNQDLCPCYRDMLTHHGKHKCP >Solyc12g039140.1.1.1 pep chromosome:SL3.0:12:53382073:53382492:1 gene:Solyc12g039140.1 transcript:Solyc12g039140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDAHQPPVGQTREDMKEDAPKSSLSEISTLRIVALMIFPEMEDKKYIHMCPSSLMKCSFDLHPAMNPALDKYDVVKSLTLCPNPFQVKGDPLLVNPPIFYTPMTNPTNLVVWYIREGNNPSFRRTFRDLMRTHKTLW >Solyc02g032305.1.1 pep chromosome:SL3.0:2:28625297:28628537:-1 gene:Solyc02g032305.1 transcript:Solyc02g032305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIWDEIDVMMMNASCDCETSMPYVKHLEHANQAYAVAIQEKSQRKLGYTKNTCYMIIGFPCSSKCVTLYEDLLLFCTLSVHMVLKADFKNKRKSQPDVFKPQIVARPTHKQTDVKNIQAPIWIKDYIVPSKSNMFEKDEVFLGIEVMQSKDGILLNQRKYAQQLIADIVLSGAKPDNTLVEFNNKFTSLVFYQHTCDSFDPELDDATTYQKLIQKLIYLTITRLDITFGFQTLS >Solyc09g058992.1.1 pep chromosome:SL3.0:9:53074692:53075238:1 gene:Solyc09g058992.1 transcript:Solyc09g058992.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVHLGGIQILIVSTFKEGINCPIVINLSDERFMNAREGNLGIEEGNIAYTKLLFTYYPRYCISLKDADFNDALSLHFQIKMNDLFKPGNHIMSIYYQALYTVTNSNYGNVYKNKESTEIDRDCAGIARIIEAEIQQAQILDEYEIQFGKIDEIGSTSNPRLSLEYGRNSIRKNTL >Solyc03g013620.1.1.1 pep chromosome:SL3.0:3:45555009:45555491:-1 gene:Solyc03g013620.1 transcript:Solyc03g013620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAYEYAWIIPFITLLVPMLIGAGLILFPTATKRFRRMWAFQSVLLLSIVVIFSIYLSIHQINSSSVYQYVWAWIINIDFSLDFGYLIDPLTSIMSILITTVGIMVLIYSDNYMAHDQGYLRFFAYMSFFSTSMLGLVTSSNLIQIYIFENWLDCVPIY >Solyc01g073710.2.1.1 pep chromosome:SL3.0:1:80960568:80961311:1 gene:Solyc01g073710.2 transcript:Solyc01g073710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVIIDGSTIRDFVNDEAAFTKSIDKGFTDLDLNNDGVLSRSELRKAFESLHLIESHFGVDVAATPEELTDLYDSIFEKFDCDHNGTVDREEFGNEMRKIMQAIADGLGSSPIQMALDDSEQSLIKQAADLEASKLPA >Solyc08g080080.3.1 pep chromosome:SL3.0:8:63529028:63533360:1 gene:Solyc08g080080.3 transcript:Solyc08g080080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSVNPQNPISSSSDLNPKTPSRTNQLIPGFNSYHPSPSRTIYSDRFIPSRSSSNFALFDLPLPPQSSSSEDSTNAYTALLRSALFGADCGSVVPPVTPDKSLGLNARNLQICRPNCNIFRYKTETRQSLQSLSPFGFEDQLPGFSPSPVKANRKVPRSPFKVLDAPALQDDFYLNLVDWSSHNVLAVGLGSCVYLWHASSSKVVKLCDLGIDDSVSSVGWAQRGTHLAVGTSNGKVQLWDSSRCKRIRTMEGHRLRVGALAWSSSMLSSGSRDKSILQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNNHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKIATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSKNTETEIGASSFGRTQIR >Solyc12g017810.1.1 pep chromosome:SL3.0:12:7429251:7430000:1 gene:Solyc12g017810.1 transcript:Solyc12g017810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTHDNWKKVEENLNSFLGFPEDMEISISKLIRLWIAEQFVKERSNKRLEVVAEEYLEELIDKSLILAGKQRANGRMRSCKIHDLLRQLCLREAHTETVVHFMNENVPMSSEAIYDQRRVIVPSKLQHVRFYPTRHSSGITSMTRTFATTKDNYIKMQTSSIVSQFKLLKVLDVLLVWKDFSCIIPQLVHLRYVAANIEKAFSLDKLRNLETIILVKT >Solyc05g052930.3.1 pep chromosome:SL3.0:5:63961167:63968397:1 gene:Solyc05g052930.3 transcript:Solyc05g052930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:K4C1X3] MDDSYTNFPTSHLVGSVPAVLTEEKNSYKPQAPAANSPILPPNNGPNAGRGYQTLGGEGDGQQSTNRWNGVFSVSSYTEYFNVDTDLVLNRLMSSLNPTTGDFFSKIDANPDLYGLVWISTTLVFVLSSIGNCATYLMQSDSNSSWNFDVNYVNVAACSVYGYALLVPLGFYFLIRYMGISASLIRFWCLWGYSLFVLVLSSFLLIIPVEFLRWTITIAAATTSASFVALNLRTYVQSDDLMMILVASFALQAALTIFIKMWFFS >Solyc10g049540.1.1.1 pep chromosome:SL3.0:10:46014841:46015224:1 gene:Solyc10g049540.1 transcript:Solyc10g049540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIVETIKDKYHSTSPEIEYQVFFNDDITNDFNILFKSLYSDHKPYFAATIPGSFYGRLFPLCSLHIVYCCYALQWLTQVPKDMKNKRRIHYDSASNEVWNAYVSQFHNSCNYSRVQGQRKLFLEA >Solyc09g066505.1.1 pep chromosome:SL3.0:9:65137888:65140290:1 gene:Solyc09g066505.1 transcript:Solyc09g066505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQDISYFNKASTDIQWQKEGFSVDANKNYLKLKKKQNTQSDEHDCLIIKQASTSTNPTPIPPSSLSVPAAQNTPRTAQLSSDPPVGSHNVPYRIQPGADPSRSYRIVAIHHTCNHRSSDAAGF >Solyc03g034300.1.1.1 pep chromosome:SL3.0:3:6088760:6089203:-1 gene:Solyc03g034300.1 transcript:Solyc03g034300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCVVAGSPTRSPTTSPVIHLRRRKTLRMLLSGGGSGNSVSSGDDASPDRISGDGDSPDQVKKLVGSHKLKDLFVSSPPSPEKRGEIWPEIDCVGGGGIGSAVRGIGVRSMRPLSATFRQRLLKRVWRPMLVSIPE >Solyc09g098370.3.1 pep chromosome:SL3.0:9:72692034:72712223:1 gene:Solyc09g098370.3 transcript:Solyc09g098370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATRSKNLDKWKQQPKKKSNKISGKRSNEEWNYANIDYFNKLSDDVITFVFLRCTLKSLSMLRCTSKLWNNFITTPSFIHSHLTQSTQNGPKLVFLQEKCLYRSNSSRLQFVSVDMDGSKEELYTVTVPDIQLVCSVMEVSFGLVCLSTDCRLYLCNPALQQLCELPEYSVSSTPGYRHFGFGYLPSRKEYKVVHFFYTTPTMTKRYCYPGMLRVSHLKCEVLTINKFGGVSFNRWKEIADRSPCLPLFQGLLVNECMYWCTYSFPRLLINPILSFDFESEKFLVISPPSPFEDYVGLSMMDLKGVFCLPDVGRLRKSSILDLWILKDKKSCSWVKECSIRLIDFGYNNIKSACKSCNEEIIFRHLDKVPQGDYIELHRKRFGRRPDHFERKRKKDAREVHKRSQIAQKALGIKGKMFAKKRYAEKAQMKKTLAMHEESSSRRKVDDDVHEGAILSNTIKQKRKEKAGKWEVPLPKGHSRVSLFDWLQIRILHTSVCALEKRTRGSRDDSYLLVLDRYRDFSVRPVAEDEMFRVIRSGKRKSKYLQLPNFVFCWLAYIYKFLISAAYACPLLYYLLPLGSLRVISLTILIRLIFAAKQWKRMVTKATFVGAGFTRKPPKYERFIRPSGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPMYTSLGVITKGTIIEVNVSELGLVTPAGKVVWAKAARSRLGLFSMMLKGRESLIRLIGRRRRFLRLRSLITSSVPQIGYKEDKDEGSAQVAGEVLMVEFGQSSSSLKFCARPKVTASFIDSDLTRTEFGSSANDGNIHALASEMRNSDVSKNNVQDESSSSSVSLTLATSRSNILTRNSSLCSRVDRAENLDGLPDFDDECKSLSGAKSMQIVGLEGFPHHQISDDKIDNFTGSPLSLSENRTPTYVEPLEDDDNSKILLDTFIVGRKFADDTELIIGAMVMLSRDSENVKDPNAIKVLTKDTGHSKELGFIPRELAQYVSPLIDNFQMTFEGHITSIPRHPHAVVPIQIYSSSIASFGEKDSSSFQEFNSFRKNALCAAEFSKTHPPVPAKYQHNLLLLLKEVLKINAHLFAEGEKTLLKAFLSLSDDSQRLFARLYARKGPWFRTASISYAEICDYKEAVKGLSEAECVTLFESIDKLQIGDLKEVLDVLNVGELRDLYSLNKSHKKIVRNSDHGTRKQDYIARLLGAYESGLCPNLQSMILRKTGSCIRISALAESVFWRAERLFFLNGEQDLSAFLLVDLGIVKYPAYNCIFTDQIFPDRSDLLSYEEAIEVAQVMDESLDENNNELVSRCIEISASQVSSFVEEDRSSLLGSMTAFLSCFSASWVYSKVILLGVSFLEHERRYKDAIDLLKLLLVKFKSDRRRGYWTLRLSIDLEHVGCLDESLEVAGKGLLDSWVRAGCIVALQRRVLRLGKPPRRWKTPSFSNSINRKIVEVQVQGRPVNCKTGVKNVFYGEDGERCGVEDLALQYYAGEGGRWQGVHTESGIWLTIFGLLMWDIVFADVPNVFRTKFQTAPLDLETDSFYEVRKGLVEGLLDKIEHGMAEELLIMSWESHMGTVCRGVKWDKHSLSELRAAVTCIGGPCLASICRNLAQDYRSWSSGMPDLLLWRFHDEYRGEAKLVEVKGPRDRLSEQQRAWLLFLIDCGFNVEVCKVSHSPI >Solyc12g099480.2.1 pep chromosome:SL3.0:12:67597234:67601573:1 gene:Solyc12g099480.2 transcript:Solyc12g099480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYHKTNVMGTMNMLGLAKRVGARFLITSTSEVYGDPLQHPQAETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGLNIEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEGLMRLMEGNHVGPFNLGNPGEFTMLELAKVVQETIDPNAKIEFRPNTEDDPHKRKPDITKAKQLLGWEPVVSLRQGLPMMVDDFRQRIFGDDKQDSGNLLTI >Solyc11g018500.2.1 pep chromosome:SL3.0:11:8623802:8635783:1 gene:Solyc11g018500.2 transcript:Solyc11g018500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSWSVAVTLMVVMMVVVSTGRANVSYDGRSLMIDGERKMLFSGSIHYPRSTPDMWPSLISKAKEGGIDVIETYVFWNLHEPQLGQYDFSGRRDIVAFIKQIQAQGLYACLRIGPYIEGEWTYGGFPFWLHDVPGVVFRSNNEPFKFYMQNFTTKIVNLMKSEGLYASQGGPIILSQIENEYQNVEKAFGEDGPPYVSWAAEMAVGLQTGVPWCMCKQDDAPDPVINACNGLRCGETFTGPNSPNKPSIWTENWTSFYQVYGQNATLRSAEDMAYHVALFIARKNGTFINYYMYHGGTNFGRTAAEYMITSYYDQAPLDEYALNENSMTGLIRQPKWGHLKELHEAVKLCSETILSVFPSMQSLGEQQEAYVFSGDSGACAAFLVNMDNTKSVVQFQNSSYELPRKSISILPDCKTAAFNTAKVSTQFNTRITIPAIKFDAAEKWEQFEEVIPQFDAITLRSDVLLEHMNTTKDVSDYLWYTFSIQQDSMEQQSTLSVKSLGHVLHAFVNGEHVGSLHGRFRNTAFTLEGAVSLNQGTNNISLLSATVGLPDSGSFLERRALGVESVIIEDSKEAKNITNLSWGYQVGLLGEKLQIYSLEESKSAYWSSLGSSQPLTWYKSVFDAPKGDDPVALNLGSMGKGEAWVNGQSIGRYWVSFRTLAGIPSQTWYNVPRSFLQPGDNLLVLFEEETGNPLDITIDTISVTKPSLRK >Solyc01g066710.3.1 pep chromosome:SL3.0:1:74685847:74686531:-1 gene:Solyc01g066710.3 transcript:Solyc01g066710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEHLEKTSVKKHTMISKKALSSSIVNYVLGEQRLICLFIGIVVSVSALSVINLSPRGKVPLGLKRKNLRVLVTGGAGFVGSHLVDRLIERGDSVIVVDNFFTGQKQNLLHHLNNPKFELVRHDVVEPILLEVDHIYHLACPASPVHYKYNPVKTIISFYYD >Solyc02g084060.1.1.1 pep chromosome:SL3.0:2:47816823:47817041:-1 gene:Solyc02g084060.1 transcript:Solyc02g084060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLDLKAVDWHGSSGGYMRFWKKFQFMVHLLRLLRLTEACSHDRPMLAAILGVKAFELIMFEYRKVQFFCR >Solyc08g077280.1.1.1 pep chromosome:SL3.0:8:61316005:61316313:-1 gene:Solyc08g077280.1 transcript:Solyc08g077280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSWWKGDKNETPKTTQKPDPKPQISSDKPEVPGMNGAVEVSRPNPPPTDITVFEFGSVAASVDKVTLAGYCPVSDELEPCRWELMPASGSDAPQFRVVF >Solyc12g013550.2.1 pep chromosome:SL3.0:12:4393994:4403081:1 gene:Solyc12g013550.2 transcript:Solyc12g013550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIQQFRDVAGKLFNRRGQQKPSDAYASEGEYSGGVSSPVTKTSSPVKYDGTQSDEYVFYPDGREKISDILCKLRKFAIVSAVDESLKTVAGGSKSIKEGSKDKSPSRPRLDKQDFTVMMEEMQAKMEKLQDDMNNMKQQNEVSAKCTNGLDSFEFSDEPIKSSMPTKSKPKKKISDRQITKMAAWTAVARQASSLSRLSATKSVNTTSQGALLIQRRGLSGGGDHHGPPKVNIWQDPMSPSKWKEEHNKEESFRVSSNIQFVIASLTGWGLVFYGGYKLFSGGKKEKKEEVHFLNDHTDVGLSLFTGNKVV >Solyc12g099440.2.1 pep chromosome:SL3.0:12:67571780:67579650:1 gene:Solyc12g099440.2 transcript:Solyc12g099440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSNPKGRTTIEVGADGVAVITIINPPVNSLALDVLYSLKENYDQALRRDDVKAIVVIGANGKFSGGFDISAFGKLQGGTGIHFCFAYFLLYGAARVFLANFSMLTVESPKPGFISVEILTDTVEAARKPSVAAIDGLALGGGLEVAMACHARISTPNAQLGLPELQLGILPGFGGTQRLPRLVGLAKSLEMMLTSKPVKGEEAVNLGLVDAVVPSNQLLDTARKWALDILECRKPWVASLHKTDKIEPLGEAREVLKFARVQTRRQAPNLQHPLVVIDVVEEGIVSGPRAGLWKEAEAFQSLLHSDTCKALVSVFFAQRATTKVPGVTDLGLKPRRIKKVAILGGGLMGSGIATALLLSNYPVILKEVNDKFLQAGLGRVKANLQSSVKKGKMSPEKFEKTLSLLKGALDYEDFRDVDMVIEAVIENVSLKQQIFADLEKYCPPHCILGSNTSTIDLNLIGEKTKSQDRIIGAHFFSPAHVMPLLEIVRTQKTSPQVIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAALLLVERGADVFRIDRVITKFGMPMGPFRLCDLVGFGVAIATGGQFVMNFPERTYKSMLIPLMQEDKRAGETTRRGFYVYDDRRKASPDPEIKKYIEKAREMSGVTIDPKMAKLSDKDIIEMIFFPVVNEACRVLAEGIAVKSSDLDISAIMGMGFPPYRGGIIFWADTLGSKYICSRLDEWSRMYGDFFKPCSYLAERASKGAPLNAATDSAKSRL >Solyc08g076425.1.1 pep chromosome:SL3.0:8:60555907:60556460:-1 gene:Solyc08g076425.1 transcript:Solyc08g076425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTCFYRDGHSLQNLVGFYRLATFHKHQNNLLILRRDSLLQEVLHFVLHDAASQKFVMTDLCYTSLGFSLSVRNL >Solyc01g065628.1.1 pep chromosome:SL3.0:1:71937694:71938025:-1 gene:Solyc01g065628.1 transcript:Solyc01g065628.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLQIHSLKPRHFLTTTTLSPKIPITHKQSNLIPQWNPAMQAEFDALVRNHTWDLVPCDSSKMWWIKADGFIHASRHRFCCHEVVQSYASTVYVSLGFS >Solyc08g041662.1.1 pep chromosome:SL3.0:8:26589060:26589476:-1 gene:Solyc08g041662.1 transcript:Solyc08g041662.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDELPFSFVEKEGFKNFMRVTMPQFHIPSRRTGLDNVFTITVDNASSNDVTANHKK >Solyc09g025230.3.1 pep chromosome:SL3.0:9:65287997:65290087:-1 gene:Solyc09g025230.3 transcript:Solyc09g025230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREEVVVPVAEEEVAVDDIMSSSDTDTESSDEHLDHDDNDDHQPTFDQPGQLVSPLDIKASRKHALLRLRCRVEDAILGGYIYGKNKNQSLSVKTITEDLTGISLWGVPLLPSEDNTRTDIVLLNFLRAKDYGVYDAYKMMRKTLRWRREFRVTEILDEKLFSPDLEKLWYNDDGKDREGRLLCYNVFRNIKNKELEEEIWGRHNHHHECLRWRIHILEKAIQQLEFKQGGVNSVVMITDLGNSPGNAWKEVRWINRKMMSLVHDHYPGIIYKNIFINVPVWFSTVHALNLRMITQRSKNAFIFVKPSKVTETLLKYISPENLLAEYGGLKKDKDVEFSTDDKVLEISIKPCSFCLIKMPVKEVEVTITWDLIVVGNEVSYREEFIPDDDCSYRVMLQEDKKMVESVRNSFHIREEGRIVITIDNPTYKKKTAFYRYKTKPSVPLYMCLK >Solyc04g008510.3.1 pep chromosome:SL3.0:4:2133691:2142456:1 gene:Solyc04g008510.3 transcript:Solyc04g008510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBX domain-containing protein [Source:UniProtKB/TrEMBL;Acc:K4BP07] MDGVMSASDQQMLVSSFLEIAVGQTADTARQFLQATSWKLEEAIQLFYIGNEGGAAASFNSPQLGNDALLGDPSLSGVGNDSADTNLLQGDGDDVRPPLPVKREVLYDNAVLYGSSGMGGSSREPRLVVPFRNFEEEMKHPGVWEAEKRSTSTADAAQDNLASLYRPPFALMYHGSFEKAKDAARAQNRWLLVNMQSTREFSSHMLNRDTWANEAVAQTIKSNFIFWQVYDDTEEGSKVCTYYKLDSMPVVMVIDPITGQKMRSWRGMVQPETLLEDLISFMDASPSEYHVNLSHKRPRETPQAPRHPQPQPQPQPQPQSQPQNEIGEEDEELQRALAASMVGIEDSGSVASKETNEATSDVVKEENLIKKPSYPPLPEEPKGDRNLLCRVAIRFPDGRRLQRNFLRTDSIKLLWSFCSTQFEEAESRPFKFTQAIPGVSKFLEFDNNMTFEESGLANSIISFTWE >Solyc06g011590.2.1.1 pep chromosome:SL3.0:6:8256579:8258330:-1 gene:Solyc06g011590.2 transcript:Solyc06g011590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQGGGGGGGLQFVLHVLRGRWFSLFASFLIMSGAGATYLFGIYSQEIKNTLGYDQTTLVLLGFFKDLGANVGVLSGLLAEVSPTWFVLLVGAAMNFTGYFMIWLSVIGKISKPKVWQMCIYICLGANSQNFANTGALVTSVRNFPESRGNMIGLLKGFTGLSGAILTQLYLAVYGNDAQSLILLIAWMPAALSVVFVYTIREMKVVRQPNQQTVFFHCLLISIVLALFLMVMTLLEKAIAFSHAAYVVAATVSCALLFSPLLVFIREELAIWRQMKQTSSLNHNGGVANTIVEPTEIQQPQKNQVNNSKISTSSWFSKIFFEKPARGEDYSILQALLSTDMLILFVATLCGLGSSLTAVDNMGQIGGSLGYPKTTVKSFLSLLSIWNFFGRIFSGFVSESLLVRYKFPRTLMMTLVLFLSCIGLLLIAFPFPGSLYVASIIIGFSFGAQLPLLFTIISELFGLKYYSTLFNCGQLASPLGSYILNVKVTGPLYDREALKDLEKRGLTRASVKELTCIGNQCYQLPFIILACVTFFGAMASLILVARTRQFYKGDIYKKFKEQPNTPDTEMASSNTTNKTI >Solyc03g114875.1.1 pep chromosome:SL3.0:3:66243714:66244317:1 gene:Solyc03g114875.1 transcript:Solyc03g114875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATLLVLQFNPNISSLNMQNSCLIASVYSLIGSFLLVTAAAYDALDPKGNITIKWDLIFWTHDIDEFFEFQAVVTMNNFQMYRPIMSPGWTWAKNEVIWAMMGVHGQRMR >Solyc06g074467.1.1 pep chromosome:SL3.0:6:46218324:46225391:-1 gene:Solyc06g074467.1 transcript:Solyc06g074467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYKRWIRRNKGFVRQLDSIANNLTWFLPDEFAESEIGPEAVSSLVGMISTVNEHIIETCPTEAHTRCAESSFIPLSLCLTLLKDLETSIEVVAEQIYGEKNKWNLIAITEAVKVCIRLVIFRKTGYKMLLEGGETENGKNDSDSFSPQETKRQLGKPTGNEESCITKTLHAQNSGNLADRAITALSSFGKQATMQHPQAIMEPPSKLAERPSLWTFLSEKGVPGGFFVTGEILFIIRPLVYVLLMRKYGTQSWFPWCVSLAVDLIGNSILSVTLMSQHSGKNQQLQFSDSEKDEVRILSVFQRFSYSSYGI >Solyc07g055440.2.1 pep chromosome:SL3.0:7:63603104:63619038:-1 gene:Solyc07g055440.2 transcript:Solyc07g055440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILYNTIIAIICVAILLVYTWRVLNWAWFRPKKLENFLRQRGLKGNPYKLLYGDLNELTKSIVEAKSKSINISDDITQRLIPFFLDSINKNGKSSFMWLGPYPTVLITNPEHVKEILTKNYVYLKQTHPNPFAKLLAQGLVLVEEDKWAKHRKIINPAFHVEKLKHMLPAFYMSCSEMISKWEDIVSKETSYELDVWPDLQIMTSEVISRTAFGSSYEEGRIVFELQQEQAEHIMDISRSIYIPGSRFLPTKRNKRMLEIEKQIQTTIRHIIDKRLRAMEAGETSKDDLLGILLESNMKEIEQHGNKDFGLTTTEVIEECKLFYFAGQETTSVLLVWTMVLLCLHPEWQVRAREEVLQVFGNEKPDLEGLSHLKIVTMILYETLRLFPPLPVFSRRNKEEVKLGELQLPAEVILIIPAIFIHYDKEIWGEDAKEFKPERFSEGVSKATKGQVSFIPFGWGPRICIGQNFAMMEAKMAIAMILQKFSFELSPSYTHAPFATITIHPQIIEAICVVTLLVYTWRVLNWAWFKPKKLEKYLRKSGLKGNPYKLLYGDSKELTNKLNEARSKPINFSDDIAQRLVPFFIDSINKNGMLLLFFVIKRINFNGLLSGKSSFVWLGPCPIVLITYPEHVKEIFTKNCAYQKQAHPNPFAKLLAIGLALLEEDKWAKHRKIINPAFHVEKLKHMLPAFYQSCTEMLSKWEEIIQKETSVELDVWPDLQLMTGEVISRTAFGSSYEEGRIVFELQKEQAEYVIDITRSVYIPGSRFLPTKKNKRMLEIEKQVQTTIRRIIDKRLRAMEAGEPSKNDLLGILLESNMKEIEQHGSKDFGMTTIELIEECKLFYFAGQEATSVLLVWTMILLSVHPEWQVRAREEVLQVFGNEKPNLEGLSRLKIVTMILHETLRLFPPVPTYRRRNIHEVKLGELSLPAGVLLFIPTVLIHYDKELWGEDAKEFKPERFSEGVSNATKGQVSFIPFGWGPRICIGQNFAMMEAKMAIAMILQKFCFELSPSYSHAPVAIITTQPQYAICVAILLVYTWKVLNWAWFRPKKLEKYLRKSGLKGNPYKLLYGDLKELKNKLNEAKSKPINFSDGIAQRINPFFANAIDKNGKSSFVWLGPYPIVLITEPEHVKEILTKNYVYQRQTHANPFAKKLALGLAKLEEDKWAKHRKIIQPAFQVEKLKHMLPAFYQSCSEMISKWEEIIPKETPFELDVWPDLQLITAEVISRTAFGSSYEEGRIVFELQKEQAEYVIDIIRSVYIPGTRFLPTKRNKRMLEIENKVQTTIRSIIDKRLRAMEAGEASKTDLLGILLESNMREIKQHRSNDFGITTAEMIEECKLFYFAGQETTSVLLAWTMILLSLHPEWQARAREEVLQVFGNEKPNSEGLSRLKIVTMILHETLRLFPSVPTYRRRNKHEVKLGELSLPAGVQLIIPTILIHYDKELWGEDAKEFKPERFSEGVSKATKGQVSFIPFGGGPRVCIGQNFAMMEAKMAIAMILQKFSFELSPSYTHAPVLTITIHPQIIEAICVAILLVYTLRVLNWAWFKPKKLEKYLRKSGLKGNPYKLFYGDLNEITNKLNEARSKPINFSDDIAQRLIPFFIDSINKNGKSSFVWQGPCPIVLITDPEHVKEIFTKNYVYQKDTHPNPFSKFLAVGILKLEENKWAKHRKIINPVFQVEKLKHMLPAFYQSCSEMISKWEDIVPKETSVELDVWPDLQLMTAEIISRTAFGSSFEEGRIVFELQKEQAEHVMEISRTIYIPGSRFLPTKRNKRMLEIEKQVQTTIRHIIDKRLRAMEAGETSKNDLLGILLESNMKEIEQHGSKDFGMTTTEVIDECKFFYFAGQETTAVLLVWTMILLCLHPEWQARAREEALQVFGNEKPNLEGLSRLKIVTIILHETLRLFPPVATYRRRNKHEVKLGELSLPAGVLLFIPTVLIHYDKELWGEDANEFKPERFSEGVSKATKGHFSFIPFSGGPRVCIGQNFAMMEAKLAIAMILQKFSFELSPSYTHAPSSTITIHPQYGAPLLMRKL >Solyc11g012550.2.1 pep chromosome:SL3.0:11:5363269:5366234:1 gene:Solyc11g012550.2 transcript:Solyc11g012550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRSSSGVDATQKLNQISKGKSVVEPSTDNTNQMDVDWVMAIHFPEVILMDIFSRLPVKSLLRFKCVSKFWETLISDPYFKMKHLNRAKNDQDSQKLLTSLRCRNSRMFSMYCCPLSPVQLVEGVQKLNFPSNYSVSRCKIHCCYDGSAVMEVPGNLNEDTAFLLWNPSTGESIVLPSPEFPPNKSPCFGLGYDSTSGEYKIVQIYQGLDLPCEILALKGGSWRRSDKHPHGIYCLMFAMQFLTFVHAAFHWISMSRNYSVVLSFSISNEAYGEIPLTEEISRPGAVIGITVLDGMLSVHSNCRYDQSNRTIKLWVLKEYGIKENLGFHCYLPKIVILLLLYRNIDLQMAKCYSGAQLWVH >Solyc01g102660.3.1 pep chromosome:SL3.0:1:91282441:91288014:1 gene:Solyc01g102660.3 transcript:Solyc01g102660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGEESKKLQLYSYWRSSCAFRVRIALNLKGLDYEYKAVNLLKGEQRDPEYLKLNPLGYVPTLVDGDAVIADSFAILMYLEEKYPQRALLPQDCQKRAINYQAANIVSANIQPLQNLAVLKYIQEKIGPDETTPWVQGHITKGFEALEKLLKDYAGKYATGDEVYMADLFLAPQIHAAIKRFEVDMNQFPTLLRVFEAYQELPAFQDAMPEKQPDATC >Solyc01g109450.3.1 pep chromosome:SL3.0:1:96306113:96311932:-1 gene:Solyc01g109450.3 transcript:Solyc01g109450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPENETRCGVQSGFCKEEQAKKCTVEPVVIGGMVLDVNAISSIHANPRTTTPGKVIFSLGGVARNVADCISKLEVRPFMISAVGFDMAGNMLLEHWESAGLSIEGIQRHQNIETAIVCHIFDEKGEVAAGVAHVESIEKFLTPMWIEKFKCKISCSPILMVDANLTSSSLEASCQLAAQFNTPVWFEPVSVAKSRRVASVVQYVTFASPNEDELVAMANAVSGKDIFQPIRRDDSSTKLSKESFFQTLKPAIWVLLDKGVKVLVVTLGSEGVLVCSKAEFNLQRLAFKGNQSPYFSKQLYEAVSTVCPKDNIFGASICKSMSNLFAVHFPALPASVVRLTGAGDCLVGGTIASLCAGLDVMQSIAVGIAAAKVVVEVESNVPDEYCLAKLADDARSVYSSATMLLCQSKL >Solyc03g026040.3.1.1 pep chromosome:SL3.0:3:3465305:3469132:-1 gene:Solyc03g026040.3 transcript:Solyc03g026040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFSCITAIVVFLLCFCVSNVVLQRTEEDPERQVLFSFKSSLENPNLLSTWTPTVSHCKWDGVFCQNGLVVSLILSSLSLKGPISPHIASLQSLKVLDLSNNQLYGELPIHLSELPLLETIKLGSNCFIGEIPPEFGRLTELKLLDLSGNALTGKIPAQLGQLTKLQVLALGNNLLSGSLSATLFTKLQSLTSFDVSNNTLSGIIPPEIGELRSLTDLYIGENRFSGHLPAEIGELSRLQIFLAPSCLLEGPLPESISKLKSLKRFDLSYNPLKCSIPKAIGSLENLTILNLAYSEINGSIPSELGKCRNLMSVMLSFNSLSGSLPEELAELPVLSFSAENNQLSGALPSWLGRWTQMDSLLLSSNRFSGKIPAEIGNCSMLSHISLSNNLLTGPIPKELCNAVALADIELGNNFLTGSIDDTFVKCGNLSQLGLMDNSIAGVIPEYLSQLPLVVLDLDSNNLTGPIPVSLWNSIYMLAFSAANNWLWGTLPVEIGNSVSLQSLVLSNNQITGVIPKEIGNLTSLSVLNLNSNLLEGYIPDELGNCVSLTTLDLGNNRLRGSIPDTLVHLPQLQCLVLSHNDLSGGIPSKISKYYQQVSIPDSSYVQHHGVYDLSHNKLSGSIPEELGSCVVIVDLLLSNNMLSGEIPRSLARLVNLTTLDLTGNSLTGTIPTEFGNSHKLQGFYLGNNQLTGSIPESIGQVNSLVKLNLTGNMLSGPIPSSFGKLNGLTHLDLSSNILDGELPQSLSRMVNLVGLYVQQNRLSGGLDKLFSNSAAWRLEIIDLGTNSFTGALPPSLGNLSYLTILDLHANSLTGEIPVELGNLVQLEYLDVSGNSLMGQIPETLCALPNLDILNFTDNKLKGTIPRIGICQNLSEISVAGNKDLCGGIVALKCPANSFVKRSLLLNVWGILSVVAGTLLITLTIVILIRIWVNRSSRKSDPEGAVDSKLDSDDQHLYFLGSSKSKEPLSINVAMFEQPLLKLTLVDLLEATNNFCKTKIVGDGGFGTVYKATLPNAKTVAVKKLNQAKTQGHREFLAEMETLGKVKHRNLVPLLGYCSYGEDKVLVYEYMVNGSLDHWLRNRTGTLDVLDWSKRLKIAVGAARGLAFLHHGFTPHIIHRDIKPSNILLNEDFEAKVADFGLARLISACETHVSTDIAGTFGYIPPEYGQTWQSTTKGDVYSFGVILLELLTGKEPTGPDFKDVEGGNLVGWVLQKMKKGHSADVLDPTILDADSKQMMLQTLQIAAICLSDNPANRPSMLHVFKFLKGINGE >Solyc05g053200.2.1 pep chromosome:SL3.0:5:64165888:64171952:1 gene:Solyc05g053200.2 transcript:Solyc05g053200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKIIAICQSGGEFVTNNEDGSLSYMGGNAHAVDIDENTNVDAFKQELTDTLKFNVDRMAIKYFLPGNKKKLITVSKDKDLQRMVNFFKDSEQVEVFVVAEGVGAPNVSNMLASRTTMSETALSPATPVDLTNRDSQLVVDAPLDILPSSNDDKHRRAATQWENTITGVDQRFCSFTEFREALHKYSIAHGFTYKYKKNDSHRVTVKCKSEGCPWRIYASRLATTQLICIKKMNKNHTCEGAAVKAGYRATRGWVGNIIKEKLKFSPNYKPKDIATDIEREYGIHLNYSQAWRAKEIAREQLQGSYKEAYSQLPSFCEKIVETNPGSLATFATKEDSSFHRLFVSFHASIYGFQQGCRPLLFLDNTVLYAKYQGTLLAAVGVDGNDGVFPVAFAVVDEETDDNWHWFLSELKSAVSTSRPITFVSAFQNGINESLSDIFSKDCYHGYCLRYLGEKLYKDLHGRFSHEARRLLIQDLYAAAYAPKVEDFERCVENIKAISPDAYSWVVRSDPDHWANALFGGARYDHMTTNFGQLFRDWVSDVSEFPITQMVDALRGRMMELNYTRRVDSNQWLTRLTPSMEEKLQDETSKAISLQVLHSHESTFEVRGQAVDIVDIDNWDCTCKAWQLNGLPCCHAIAVLECLGRSPYDYCSRYYTTESYRLTYSESINPIPLLEKSVIAEVDVEIMVSPPPTKRPPGRPKMKQPDTVDILKRQLQCSKCKGLGHNKKTCEKVNKIDGSDPLLLTGAVVAEEPEVTA >Solyc07g009387.1.1 pep chromosome:SL3.0:7:4465060:4467098:1 gene:Solyc07g009387.1 transcript:Solyc07g009387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTTKEEKEGMAKVPYSLAVGSLMYAMVCTRPDIAHAVGVVNSFKILEKSTGMQLSGYSGSDPILKGYTDADMAADLDNRKSTTGYLFTFSGGAISWQSKLQKCVALSTTEAEYIADTEASKEMVWLKRFLQELRLHQIDLMCVDKMNSSDPTVMEDDAPLVNSSTEVVVIRFDNVYRRNRTITARKDPRRIEDSLLANHATIENNEGSDSEAV >Solyc09g066390.1.1 pep chromosome:SL3.0:9:65044292:65045103:1 gene:Solyc09g066390.1 transcript:Solyc09g066390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELARKEMEEEEKIVVEKENFRLIPSPNLLALPEVEDDSENTTQPMNEWARLMINGVDSIIMMVNWAVITNRVREANEVKGYGWLIVMMAAYFLYLMLKFKVMVPRSRFFQRFISNEGSFMVEYSQVAQLKNLLMFCVVFHVSC >Solyc02g032307.1.1 pep chromosome:SL3.0:2:28637849:28651459:-1 gene:Solyc02g032307.1 transcript:Solyc02g032307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYFGKHIDMHNEASKIRTTAMYLTDTAMLWWRQKKADMERGACQIDDWEQFKSELKRQFYPQNVVHEALAESLNDFRGDAAKGRDNRSKTIPPKSTTATGAGADQIPAGAKNYEDRKRGAPQREGCYICGETTHAARYCPSLRKLSSMVAAKKQQEKAAAQAGSSAGEKHGQSTGSDKGKNVVVRMFNHMALIIHNSNAALAAKLASVRPRESLFVDAKLNGKDVRIMELGLYYVASRTKLKTINATPTTVHGFAPKVPIELGDWTGQTDFTIAPMDVFDMILGLDFWYEVNVFISPRHNQLHISDTDGSCVVPLIRIIKVFKRGEPTFLATLIEDVESCTKAVPLPPCITQVLSNNKDTACVTRYGAFDWLVMPFGLTNAPATFCTLMNRLFHSYLDQFVVIYLDDIVVYSNNIEDHVEHLCKVFKILRDNELYVKREKCSFAQPTVHFLGHTVSHGEIRMDSDKIDAIKNWEAPTKNWSEACQAAFERLKAAVMEEPVLALPDFSKAFKVHTDASDFTIGWIDGLTRTC >Solyc02g079460.2.1 pep chromosome:SL3.0:2:44564468:44569552:1 gene:Solyc02g079460.2 transcript:Solyc02g079460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQIFCVLLFSLFLAKCYYSKEEDFVQCLSKSPETNITQNIYTPGSPIYSSILEYAQKNPRWLNSSHPNFIASPKEESEIRPVILCSKKTGLQIKIKSGGHDYEGISFRSESPFVMLDLSNLNKIETDLNEETIWVQTGATLGQLYYAIAKKSKVHAFPGGVCFTVGTGGIISGGGLGALMRKFGLAADNVVDARVMDVNGKILERKMNEDLFWAIRGGGGASFGVILAWKLKLVRVPEKVTVFTIRRELEGNLTLLRKWENIAYQLSEDLFVRAFVQKGVFSGGNDTKNQVGFYFQGQYIGPVDKLIPLLNQYFPEFNLERKDCFQENITADAEKECLEVPWIRSVLYFSWRNPNDSLEVLLEKSIPTHKVYHKGTSDFVKIPIPESGWEMIEKLFREEERPQMVFEPLGGKMYEISESEIPFPHRKENLYTIQYFVSWDDNSESVSSEKIGWIRKLYKEMEPYVAKSPRSAYLNYRDFGLGTNSEDYSYSKAKIWGEKYFKGNFEKLAKVKSKVDPKNFFRSEQKKKMGSIQILFVLLFLAKCHSKQEDFLLCLSKYSKNNTTQNIYTPNSPTYSSILEYAQKKPRWLNSSHPNFIASPKEESEIRPIILCSKKVGLQIKIKSGGHDYEGISYRSKSRFVMLDLSNLNKIKIDLNDETVWVQTGATLGQLYYAIAKKSKVHAFPSGVCFSIGTGGIISGGGIGALMRKFGLSADNVVDARVMDVNGKILDRKMNEDLFWAIRGGGGASFGVILAWKLKLVRIPVKLTVFTIRRKLEGNLNLLQKWENIAHQLPEDLLIRVIIQNAVSSGGNDTKKHVEFSFQAQYVGPVDTLIPLLKQYFPEFNLERKDCFQKTTSTGAEKECHEVSWIQSILYFYFRKLTDAPETLLEKSIPTKKSYDKGTSDFVKTPVPESGWEMIERLFLEEESPQMIFEPMGAKFDEISESEIPFPHRKGNLYNIQYLNYWSDNSETISSQKIGWMRKLYQEMEPYVSNSPRTAYLNYRDLDFGTNSEDYSYSKAKIWGQKYYSGNFERLAQVKSKVDPNNFFRNEQSIPPYSTN >Solyc03g042526.1.1 pep chromosome:SL3.0:3:6919505:6921951:1 gene:Solyc03g042526.1 transcript:Solyc03g042526.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNEIFIEKVVYVRNMTLLESNSTPKASSLGEDFPTDTRTRSGKAWKVELENSQGQIWLTKGWSDFCDYYSISVKSVLMFTYNPRCHFAVAIYDQSKTEIEYPIDQDIESDEQEEDILVAQANANIIDEDILILQSNVIEDEEEHIPVNFPQTNANVIEQLCQDVADKEVGEANSISEKVGPNNYSSRYSLVDLTGNNPFFEMVIKKSHATCMVRFNSFTLCFLGYPVEIRPTNRHNKHEEYEYARSMVIIKEGWTAFRKDNKIANSETCRFKLIGGPIANVLPVQKIPTPLCLQKIRYNFFDCILAILVCLIKIDLLHKLSSYNCKYMYSTSAQVNILFCKRYGIYNKLITILQLCEPLYVMMEEKREKIYLITYRIKPELRDKKRGRSLSKHINFSLSPSRWMNTQEDEEGDHILLIIIQSRKRSIYTLLTHSIKWEIKNNLNYLKETLIMLWRVIEKYRQIILILSWRKKQGIGRIFTNLAHDYYITRFYKEENLHFICQKVRFKFCYLRSLRKFQLYLFQQFHLSSDLERLLCPLEISRSCSFQTQYSSMLWPYFHRRKIKEKKILIKKEKMKITIQQLHT >Solyc09g090410.3.1 pep chromosome:SL3.0:9:70408272:70412652:-1 gene:Solyc09g090410.3 transcript:Solyc09g090410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITAGISLRGPVVGGYRTFAVTKRALPKVKFSSELSFVTSQLSGIKISSTHLSSPASLSVPFKTALQPVARRVCPFTGKKSNRANKVSHSNHKTKKLQFVNLQYKRIWWEEGKRFVKLRLSTKAIKTIEKNGLDAVAKKAGIDLSKK >Solyc05g053330.3.1 pep chromosome:SL3.0:5:64297251:64299079:1 gene:Solyc05g053330.3 transcript:Solyc05g053330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHGQKIEVISGRGREGGRGDSKEEIKEEEIVMDLRRGPWTVEEDFTLINFIAHHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITVEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMKYLWMPRLAERIQAAAATSNPTAASSSGPTTTYIQSQEIQHLLPNMNHHVPEYLPIQLMNIEKTNSLNYSATSTSSDNYSSDLTDGCYNFSINQSNNQDHSHVNQSTNQLLYEESTINPTSYNFHPGFQGFQEVDQQNNTQWMENPWNIEDMCFLQQLNNDM >Solyc01g015140.1.1 pep chromosome:SL3.0:1:16876810:16877128:-1 gene:Solyc01g015140.1 transcript:Solyc01g015140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTKKVIQNHFLSLHHLLPFILVGASLLHLAALHQYGSNNALGSSSFGSCCYLFFHLDFYAPNVLGHADNYIPVIPMSTPPHIVPE >Solyc04g077710.2.1 pep chromosome:SL3.0:4:62728048:62729657:-1 gene:Solyc04g077710.2 transcript:Solyc04g077710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKIFYLLQFFTLFTVTFASTEQATALLKWKANKNNSLLGRINRLNISNVGVSGTLHDFPFSSLPFLEYVDLSVNQLSGIIPALGNLTNLVYLDLSSNQFSGKSHLKSARNSASLFGPIPSELGNLKNLNDLELSCNKLNGSILITLGDLTELKILYFHSNQLSGLIPNELGNSKNLNDLELCNNQLSGSIPITLGYLTKLKFLENLKNLTHLELSYTNLTGTIPITLGNNQLSGSTITQGYLTKLKFLYLYENQLSGLIPSELRDLKNLNDLELSHNNLTGTISITLGDLTQLKILFLYSNQLSGLIPNELGNLKNLNDLELQENQLSGLISFILGDLTHLKILYLYSNHLFGLIHSELGNLKNLNHLELSHNNLTGSILITLGDLTDPTELGNLKNLIFQDFLCNSQLTSYEKPLIQKQHLDQCNHYNS >Solyc09g066110.2.1 pep chromosome:SL3.0:9:64714542:64715448:-1 gene:Solyc09g066110.2 transcript:Solyc09g066110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIFPRKQSVIAPLPLLGKFNILTILPQSRLAIAHKHRNRIFWHENGGLLNECSPQ >Solyc09g065190.2.1 pep chromosome:SL3.0:9:63339101:63344825:-1 gene:Solyc09g065190.2 transcript:Solyc09g065190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KWTLKGNAFFNDGLKDEVLCRKLEKSQLLKMDSAGFSMKLWPPSSSTRLMLVERMTKNLITPSILSRKYGLLSKEEAEEDAKQIEALAFDSANQHFDKEPDGDGSSAVQLYAKESSKLMLEVIKRGPQTKESAEGIVSEKVKASNETTIFDISKGRRDFISAEEASELLKPLSEPGNNYKRICFSNRSFGGDAAKIAGPILSSLKDQLTEVDLSDFVAGRPEEEALEVMEIFSSALDACDLRYLDLSNNALGEKGIRAFGALLKSQKNLEELYLMNDGISEEAAEAVCELIPSTDKLRILHFHNNMTGDEGALSISKLVKHSPALEDFRCSSTRVGSEGGVALSQALGECRNLKKVDLRDNMFGVEAGIALSKVLSIFSGLTEIYLSYLNLEDEGSIALANVLRESAPSLEVLEMDGNDITAKAAPALAACIAAKQFLTTLKLGENELKDEGAILIAKALEDGHGQLTEVDMSTNAIRRAGARCLAQAVVNKPGFKVLNINGNFISDEGIDEVKDIFKNSLHVLGPLDDNDPEGEDYDEEADEGGDNENDLETRLKDLDIKQEE >Solyc08g015780.3.1 pep chromosome:SL3.0:8:5592871:5598712:-1 gene:Solyc08g015780.3 transcript:Solyc08g015780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGMAFVAGSENLESGIKINAEESQCFEMEVESCETGTLGVSNESVVFPSENGEGSNGNVVFSRESPLVRKDFRSSAVVGGCNCGVNKLKARLSSSDGENGKNEISGAEKKLNRQERIELGRLFQGAVSCHDWELAESLILLADPQTLNDALCIALDSVWFLSTQQELYGITGLIKKIISNGAFDFTRAALRTSFLASCVSACQSRTMSLTDTVTVMARRLHERLQECNGDEILKAEAGAKVQKFTEWALKCIGFHSRCQGNRDRVRHNPAVEIQLQLSAFKTFLDLAGNHLSGKDFTEAFDAACFPLTLFSSSFDPGWASGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVDLALGFASHYGKIGTMECLVEEGNAMAFLGPLMRAAERGSMQVVDWFVKRGCRDMELCLALTAATSSSQVEVARYLLPHVPQHVLAALSIEILKAAGERSSGSLDGVAFLLSSDFLGDPIATYAVADSIAKSDDEAVAPALRSFLREHWSEAAFSDGLRQGQEHYCNLLRIMKWGESPVCLRDIPGPLRIAIAYLPLYRECVKAGGCLLSQRLKGQLVEAAKRLDGVVLEEVKQGRELLAVLEHHLPPFLHNNASNAA >Solyc06g030570.3.1 pep chromosome:SL3.0:6:19893402:19916019:1 gene:Solyc06g030570.3 transcript:Solyc06g030570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGLLQSGCSVSFARALRSRTFTTISSTVTVPSLKKASQMETRFLLGLSEEQLQQLSLDFGQKSFRGKQLYHLLYKRKVKEIQEFSQLPLEFRNDLEEAGWRVGRSPIHKAVTAADGTTKLLIKLEDNRLVETVGIPVKDNDGSSRLTACVSSQVGCPLRCSFCATGKGGFSRNLKSHEIVEQVLAIEELFKQRVTNVVFMGMGEPMLNMKEVLEAYRCLNKDILIGQRMITISTVGVPNTIKKLASYKLQSTLALSLHAPNQSLREKIVPSAKSYPLNAIMKDCRDYFLETRRRVSFEYTLLAGVNDSVKHAIELAELLHEWGRGHHVNLIPFNPIDGSEYKRPSKKSVIAFVSALESRKVTASVRQTRGLDASAACGQLRNEFQKSPLLSGSIDQESHSEVALAS >Solyc05g052110.3.1 pep chromosome:SL3.0:5:63278489:63282866:-1 gene:Solyc05g052110.3 transcript:Solyc05g052110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4C1P2] MAGKNTIFVVETIIITFLLLNIIEVFSDDLVPIPADKSQLNSWFEANVKPLDARKDTLDPALVAAEANKTIIKVRTYGSGDFKTITEAVKSIPEGNKKRVIIWIGSGNYTEKIKIERTKPFVTLYGDPKNVPNIIFHGTAQEYTTVDSATVIVESEYFSAVNINFVNTAPRPDGKSDKAQAAALRTGGDKASLYNCKMFGFQDTFCDDSGKHFFKDCYIEGTVDFIFGNGKSLYLNTETHVIPGDPMAMVTAQARAVENFDSGFSFVHCMITGTGNTAYLGRAWKQYSKVVFSYTDMTDVIHPEGWSDFGKKEFGSTVYYGEYKCKGAGATLDKRVPFTKKLTDEEAKPFISLAYIEGSKWLLPPVTL >Solyc10g012430.3.1 pep chromosome:SL3.0:10:5064271:5078185:-1 gene:Solyc10g012430.3 transcript:Solyc10g012430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKRGVFSCCFCVVFAVVLLNSCVLVIGGDIVHQDNVAPHRPGCNNNFVLVKVPTWVNGNEVTQFVGLGARFGPTLESKEKRANQTRLAFADPPDCCSMPRNKLTSEAILVHRGNCSFTTKAKVAEAAGASAIIIINNQTELFKMVCDPGESDVDIGIPAVMLPQDAGTSLIEFLRNSSTVSVQMYSPKRPAVDVAEVFLWLMSVVTILCASYWSAWSAREAAIEQDKFLKDGSDDYGGKEVTHSGGVLDINTISALLFVVVASCFLIMLYKLMSFWFIEVLVVLFCIGGVEGLQTCLVTLLSCFRWFEHAQESFLKVPLLGPVSYLTLAISPFCLAFAIMWAVFRHVSFAWIGQDILGMALIITVLQIIRVPNLKVGTVLLTCAFFYDIFWVFVSKWVFHKSVMIEVARGYKSGEEGIPMLLKIPRICDPWGGYSIIGFGDIILPGLLVAFSLRYDWLCKKSLRAGYFLWTMTAYGLGLFATYVALNLMDGHGQPALLYIVPSTLGTFLMLSAKRGELKHLWTRGEPYRICPHIQLQPAE >Solyc10g024420.2.1 pep chromosome:SL3.0:10:14070501:14079195:-1 gene:Solyc10g024420.2 transcript:Solyc10g024420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNLTKLQIVWLQPLWRCLWEDVNIIVLLGFLGILLLDSILCKGREKAMTVGTKVGISYIFSIICTIILFSTHLIFLLMLQKRNGAHCQFKFPILSSEILQITSWVASFIILYATQNKKCIKFPWVLRIWWISSFFLSLARATLDAHFVITCEEHLGFANYVDILSLIASTCLLVISIRGKTGIIFDISDSTTEPLLNGKREKHSEVKRDSLYGKASLLQLITFSWLNPLFQVGIKKPIDREEVPDVDFRDSAKFVSDSFDESLKYVKERDGTRNPSIYKAIYLFGRKKAAINAIFAVISAGSSYVGPYLIDDFVNFLSKKKFRGLQSGYFLVLAFLGAKMVETIAERQWIFGARQLGLRVRGALISHIYQKGLLLSSQSRQSYTSGEIINYMSVDVQRITEFIWYLNSIWMLPIQISLSIYILHMNLGMGAVLALGATLILMTGNIPLIRILKGYQTKIMESKDERMKSTSEILQNIKTIKLQAWDSYYLQKLEILRKVEYNWLWKSLRLSALTTFIFWESPIFISVATFSGCVIMGIPLTAGRVLSAFATFRMLQDPILNFSDLLSTIAQGKVSADRIAYYLQEDEILPDALEFVPKDETQFGVEIKSGTFSWDKESGIPTLDGIELQARRGMRVAICGTIGSGKSSLLSCVLGEMQKLSGIVKISGEVAYVPQSPWILTGNIKENVLFGKPYESVKYDKTVETCALKKDFELFPAGDLTEIGERGINMSGGQKQRIQIARAVYQDADIYLLDDPFSALDAHTGTHLFQECLMRVLKDKTILYVTHQVEFLPAADLILVMQNGRIAQAGTFEELLKQNIGFEVLVGAHNQALESVLTVESSSWVFDHAVTDGDLDTDSNINAVPHAKQDSENNLCVEITEKDGRLVQDEEREKGSIGKNVYISYLTIVKGGAFIPIILLAQSSFQVLQIASNYWMAWSCPTGDTSPITGKMNSILFVYVLLAVGSSLCVLVRSSVLAIVGLQTAEKLFSNMLHSILRAPLSFFDSTPTGRILNRASIDQSVLDLKMANKLGLCAFSIIQLLGTIAVMSHAAWEVFVIFIPVTAVCIWYQQYYIPTARELARLYGVQRAPILHHFAESLAGATTIRAFNQKDRFAHANLCLIDGHSRPWFHNVSAMEWLYFRLNQLANFVFAFSLVLLVTLPEGIINPSIAGLAVTYGINLNVLQASVIWNICYVENKMISVERILQYSNLASEAPLVIENRRPSITWPETGTISFQNLQIRYAEHLPSVLKNITCTLPGSKKFGVVGRTGSGKSTLIQALFRIIEPQEGSIIIDDVDICKIGLHDLRSRLSIIPQDPTMFEGTVRGNLDPVSQYSDTEIWEALDKCQLGNIVRAKPEKLEFTVVENGENWSVGQRQLFCLGRALLKKSSILVLDEATTSLDAVTDEVLQKIISQEFRNQTVITIAHRIHRVIDSDFVLVLNEGRIAEYDTPAGLLGRHDSLFSKLIKEYSMRSKKLIA >Solyc01g088680.3.1 pep chromosome:SL3.0:1:83308354:83313522:1 gene:Solyc01g088680.3 transcript:Solyc01g088680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDWDSYADPTSLEFVVVDVCKPPNNDGAGCAKCFVQTTGDQCHYGPLIWRLLEWLDKVLVPCAVSWLCRK >Solyc03g031620.3.1 pep chromosome:SL3.0:3:4121749:4125007:-1 gene:Solyc03g031620.3 transcript:Solyc03g031620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFSSSAVIHGSLSSSSSYEQQQPKVSHMGITQPMDRSRIPLKAVNFTQRSLAMKPLVPLAATIVAPEVEQKAEADDYEKLAKELTNASPLKIMDKALEKFGSEIAIAFSGAEDVALLEYARLTGRPFRVFSLDTGRLNPETYQLFDAVEKHYGIRIEYMFPDAVEVQALVRNKGLYSFYEDGHQECCRIRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPIVQIDPSFEGLDGGVGSLVKWNPVANVDGSDIWNFLRAMNVPVNSLHSQGYVSIGCEPCTRAVLPGQHEREGRWWWEDSKAKECGLHKGNIKDESMNGNGNATVHANGSAAHADIFDTNDIVSLSRPGIENLLKLENRREPWIVVLYAPWCRFCQAMEGSYVELAEKLASSGVKVAKFRGDGEQKTFAQEELQLGSFPTILFFPRQSSQPIKYPSEKRDVDSLLAFVNALR >Solyc04g016250.3.1 pep chromosome:SL3.0:4:7045240:7049943:1 gene:Solyc04g016250.3 transcript:Solyc04g016250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTLKVAKFAKSAYTLNRFLKLVIFAIFSFFKSLELWSSSTFLWAIRKVPAVLQQARKAIANLDLLKVLQSEINHEASVKQFQNDESSSFGNFVVDWYSPQFQDVVLRRRCESEEEVVVSAFLGAEGFNENAKFPRDAFMKVGVKKPGLRFLLQFDCVVTAQVGDGCDVEIQNMNYIPSLYLDSSAQKGPCISSSDERLRDELHKYLEARGVRSKVNTSSGCTNCKVL >Solyc01g096860.2.1 pep chromosome:SL3.0:1:87728958:87731834:-1 gene:Solyc01g096860.2 transcript:Solyc01g096860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVERYSKEFEEMQRLTKEEYLASLRRMSSGFSRGVSKYRGVARHHHNGRWEARIGRVYGNKYLYLGTYSTQEEAAAAYDMAAIEYRGPNAVTNFDISRYADHLKKLREPDLLTKEENTESSAEVQSSEVIEQYQPVQQEESQFDYQLAELAAEPIVVPKLEFTPALDFDEVTQPKVLKLEFAADLINAKDHEEEEDYPWMNMYLDDTFDSLPVSDFSLDKPTDLMDLFNDNSFDNNIDFDFYEQSSENEFNLNVFSDSMIIDGIEADNEEVRNNLSISPTSSSSMSRTTSISNDMKDDGGLASSTM >Solyc01g017030.1.1 pep chromosome:SL3.0:1:23466249:23470556:1 gene:Solyc01g017030.1 transcript:Solyc01g017030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSPSKPCLLFLSPLSNIPSSLRCKGLCDCFHDLNHSRIFFRNVLLRLDVQVVDVGPPADWVKINVRATNDSFEVYALVPGLLRDELRVQSDPTGRLVITGHPHQLDNPWGVTSFKKVVILPSRIDHFRTNAILTFHGCLHVHVPFAQQNL >Solyc02g021800.1.1.1 pep chromosome:SL3.0:2:24495188:24495451:1 gene:Solyc02g021800.1 transcript:Solyc02g021800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSLARSFLATSIELIVFGKKIMLYFIHRYSLLTNMWSYGMRIKAQRYLFGFARLREIVILVVGYDTQGNILSSAKHYQLEEEK >Solyc09g014635.1.1.1 pep chromosome:SL3.0:9:6680373:6680720:1 gene:Solyc09g014635.1 transcript:Solyc09g014635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAGARGKCATCKYQRKRCQENCPLAQFFPSNKFEDFQNVSRLYKVSTIIEMLNTVADNEKMAKMVETLILEAKIRSENPVYGSIAIQNKLKLQIEETMKEIDLVRKTTDYFKEL >Solyc07g051840.3.1 pep chromosome:SL3.0:7:60489376:60492365:1 gene:Solyc07g051840.3 transcript:Solyc07g051840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGSGLSFDPDPIKPIPIVLNSFLEPHNNQFYPKNKFFYNLTSMESSYNNRSPPPTIQFPVNLNCSTTTTHHHDHDHDHDHQDQQQHHIRPVIDEMDFFAEKKNATNPDDPTTANDTDRKESNTTTTPPELDFNINTALHLLTANTYTDQSIMDDSLSPNSDDKRIKNELVVLQAELERMNGENRRLRDMLNQVKNNYNTLQVHMMTMMHQQQQNQESGQRDGKNEEVKHQQHNNQNGHGGGQVVPRQFMDLGLAAGAGGTASEAEEASLSSSEGPSGREKSRSPVNNIESSSTCGIVREDSPEKVSPGWGPNKIPRLGNTSTNNKPADQATEATMRKARVSVRARSEAPMMAVNGESMGRKWLRETRALELITGAPWQLVVQFGSKFKDVQRTEQS >Solyc07g042720.1.1 pep chromosome:SL3.0:7:56373352:56374980:1 gene:Solyc07g042720.1 transcript:Solyc07g042720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPVKYRKSTHVSVSIWDFLLDMKLYLFSPINLSVSGFGLLLQKCLKEKLLQPCQQIHALMLTSHTDMNALSLNSKLIGAYASCGDLGSAELVFQRTTNLNIFAFNWMISAEKSIGYFSLLHQSRTIPNTYTFAVVLKVCVGLMDLNKGKEVQSMIYRMGFESELSVANVLINMYGKCGSTEYARLVFNLMVERDIVSWTSMIYSYANVGKIEESFILFEKNEVRRPKMSEEGLTPDLVTWNAMVSGYVQSRRSTEAVALLQEMLDAGVKPNEITLTGLLPVCGLIDSAYTGKEIHGLAYRLELFANVFVASALIDMYSRCGSVEDAWNVFSSIPFKNVYEGVLPNEVTLTCLLSACSHGGLVEKGLKIFWSMEESYGIRARKEHYACVIDLLCRVGSGCKVYARRDLAEKMAKDILQMDLKKPEGLVALSNIYAAEGEWDNVENVRNMMKDKGFNKMPGSSWL >Solyc03g007290.3.1 pep chromosome:SL3.0:3:1855299:1861645:1 gene:Solyc03g007290.3 transcript:Solyc03g007290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENLTRWLYQAMGFQNGLARTQRIESISKATKLNKTEPKEESKPADQMDISTDVKYISWLKEHPSALSSFEEMMDVAKGKWVVVFLDYDGTLSPIVNDPERAFMSDMMRSAVRDVAKLYPTAIISGRSRQKVYGFVKLDDVFYAGSHGMDIMGPAIQASCYDGKYQSKALDQKPKIHSIPYSYSTYLCVRDYILQGNELTVFQPAQDFLPSIEKMLNELEETTSEINGALVEDNRFCISVHYRHVLQEDLGLLEKKVQTLVAKYPGFHLTRGKKVIEIRPSIKWNKGDALVYLLETLGFTNSSDVLPIYIGDDKTDEDAFKVLNSREQGCPIIVSSNPRDTMASYSLRDPSEVLSFLIRLARWGEVSAV >Solyc08g006110.3.1 pep chromosome:SL3.0:8:849079:853944:-1 gene:Solyc08g006110.3 transcript:Solyc08g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDILSGLSNCALTEKPLWSPNITPKQSSISVTGDSQSSICAGSPTPTIIMSKMRDNQTMGANSGSYSDDDDVEGDVGPCEESADPLDIKRIRRQASNRESARRSRRRKQAHLADLESQVKLAEVRAARGTVSSSLSHLFLNYLTPPQSLDTNNNITMRRLDNVSPAINIIGEDSWGGISGQNPMIGVENVNAFDRNINNGAMSDNASCISEVWSFK >Solyc11g043030.1.1.1 pep chromosome:SL3.0:11:33949303:33949500:1 gene:Solyc11g043030.1 transcript:Solyc11g043030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLINLHHLNIRYSSLLNMPLHLSKLKSLHVLMRAKFLLGGPGGLCMKDFGELHNLYGSLSILE >Solyc12g014390.2.1 pep chromosome:SL3.0:12:5264239:5270376:-1 gene:Solyc12g014390.2 transcript:Solyc12g014390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCQLRFPVVLSLDCVVSVGSPSQVKHLMPIAPPQVWGVTSSHCMVKFIFSNPSKNYMGKLLMWQGIRGRAMGWTEELQWASMYANSGSTAADLYRLSLACCIYALWHERTVLIFQNQKTEINILLRRAVQEIHNRGTIYSRLKRILESLKITILSLF >Solyc01g073870.3.1 pep chromosome:SL3.0:1:81085306:81093427:-1 gene:Solyc01g073870.3 transcript:Solyc01g073870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFARGRGRGRRGGRGRGRGSVEGVRDNFAVVSSVNINPSHRPTLDQSSQPQAQTIGIPEAIQCTSPGFQTPGTSQKQLSGSQLSRNMEGSVHPSSVNLNSGEVSCSKSKKGRGKYRSINVEMKTKYGSKITVHIPDDIDRAVGPGARDIVNYCGLTMRSTISFRDGDWATIFAKDGEKMWLKVKEKFEVGGGRGENVLQGFVASTMKRLFRTWKTRLHAEYLRYNTDEERLSHPPKDVVPEDWEFLIQYFGSQSFKAKSERNKINRKKQTTKHLCGSKSFAEVEESLRDPLTGEKAPPDRVWELQHTRKNDKGELLWSDQQSQQIHGQIQELVVQQQYEQNMNPMTGDEILATVLGERTCYVRGKGYGKTPLKKSRMRHGYLGSSLFSAIEGVRQEMQADMDRKLQEEREQMRAEMEKMFQAQMEEERRQMRLEIDKRIQDQMVANLMVGMQQVFFLLCCAA >Solyc01g090700.3.1 pep chromosome:SL3.0:1:84213891:84222407:-1 gene:Solyc01g090700.3 transcript:Solyc01g090700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCGLATHYSHSAKIPLIEEQLGTLITDDPSVIERSLENWGEIVHPEPESILHRIETLDKCFSHDTVEEIIDALESEAAKQDAWCVATLRKLQETSPLSLKVSLRSIREGRHQTLDQCLRREYRMSVQALSGQITSDFREGVRARLVDRDLAPKWDPPTLEKVTDDMVDQYFSRLTAFEPELELPTQQREAFT >Solyc03g093510.2.1 pep chromosome:SL3.0:3:56284768:56292157:1 gene:Solyc03g093510.2 transcript:Solyc03g093510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKLHNGGVSSSETFNGGEDVYSSKQSDVSTADHLVMMVHGILGSASDWKFGAEQFVRNLPDKVFVHCSERNMAKLSLDGVDVMGERLAEEVLDVVKRRPGLKKISFVAHSVGGVVARYAIGKLYRPPRTENGQKFSDDTSEEGSKGTIAGLAPINFITVASPHLGSRGNKQVPFLFGVTAFEKAAGLCVHWIFKKTGRHLFLNEDEGKPPLLRRMVEDDGELRFMSALSSFKRRVAYSNVGYDHIVGWGTSSIRRNNELPKWEDSLSKKYPHIVYQEHCKACDGEQGESVVKEGDQFDKLEEELVTGLSRVSWEKIDVSFHSSRNRFAAHSVIQVKDHYMHAEGADVVQHIIDNFLLQ >Solyc05g041595.1.1 pep chromosome:SL3.0:5:53255529:53267009:-1 gene:Solyc05g041595.1 transcript:Solyc05g041595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKHGVAENRTQATYTALDAAGQRGGGLPGRRGAHLGGGKGRHQSLGHRSSSCHSRPDQDSRHGDSSFSSSHDIPSKYTKELLTRAGIAESKTAPTPMVVHPPSTLDIHIQYVVNRVSQSMHAPTEQNFQELKWILRYLKVSSSRGLLFQKGNLELSIYSDSNWANDKAGLRSTTGYILFLGPNLISWRLEFPKLCGFIILEMLLVFLLFGQRFTVTTSTPCHIWDSYTRFGCH >Solyc09g014215.1.1 pep chromosome:SL3.0:9:5710244:5711409:-1 gene:Solyc09g014215.1 transcript:Solyc09g014215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKPIHYSMTPNQHVFFNRTSHFQRKDLVRCLCKPNDSNSDSEATPPPPTPPPQGDSRQQELLAMIAQLQTQKVRLTDYLDERSAYLSQFAEDANTEIDQIGENALKELDEAGERIMGNIESRMQAFEESVELNKQEIEENEKKLADFVDQMEEEKNEGLFFKNLGQKQPVDKAKAKKETEKMKQLNKEIAESNTRKNIYLALMGLVVVGITNALISSPSDLGKSAVLGVILVGLLSQVIYEQKRLIYHADFSLASAYPSPMATAATKAGYM >Solyc02g032680.2.1 pep chromosome:SL3.0:2:29457339:29458940:-1 gene:Solyc02g032680.2 transcript:Solyc02g032680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVDLNVACLLNGESSGSQRSVASSLTDLETKQPPNQWESAQEFKKKLRYFLHNMPCVVYDSPNGKKTKGYLLYSSVRREDLKIVCSCHASFLTPAEFVKHGGGGDIENPLKYIDIVLA >Solyc01g011180.1.1.1 pep chromosome:SL3.0:1:8013139:8013330:-1 gene:Solyc01g011180.1 transcript:Solyc01g011180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDKVVDWNSTSGPAKKIVVITSRLLSDAHRYILRCLSAFQTPRSCAIFTCISEIEKVHRH >Solyc09g082940.3.1 pep chromosome:SL3.0:9:69055844:69061542:1 gene:Solyc09g082940.3 transcript:Solyc09g082940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSESSEQVRSVVAAADTRGKHRISAELKRLEQETRFLEEELELLDKMEKASAACKEMLSNVETRPDPLLPITHGPTNPSWDRWFEGAQDASGCRCWIL >Solyc07g066480.3.1 pep chromosome:SL3.0:7:68024899:68037948:-1 gene:Solyc07g066480.3 transcript:Solyc07g066480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FLACCA description:Molybdenum cofactor sulfurase [Source:UniProtKB/Swiss-Prot;Acc:Q8LGM7] MNIESEKEQFLKEFGSYYGYANSPKNIDEIRATEFKRLNDTVYLDHAGATLYSESQMEAVFKDLNSTLYGNPHSQSTCSLATEDIVGKARQQVLSFFNASPREYSCIFTSGATAALKLVGETFPWSSNSSFMYSMENHNSVLGIREYALSKGAAAFAVDIEDTHVGESESPQSNLKLTQHHIQRRNEGGVLKEGMTGNTYNLFAFPSECNFSGRKFDPNLIKIIKEGSERILESSQYSRGCWLVLIDAAKGCATNPPNLSMFKADFVVFSFYKLFGYPTGLGALIVRKDAAKLMKKTYFSGGTVTAAIADVDFFKRREGVEEFFEDGTISFLSITAIQHGFKIINMLTTSSIFRHTTSIAAYVRNKLLALKHENGEFVCTLYGLLSSEMGPTVSFNMKRPDGTWYGYREVEKLATLAGIQLRTGCFCNPGACAKYLGLSHLDLLSNIEAGHVCWDDRDILHGKPTGAVRVSFGYMSTFEDAMKFVNFVESNFVISSFNRCALQPRSISLPIEGIAEAAARHFLTSITVYPIKSCAGFSVDQWPLTSTGLLHDREWILKSTTGEILTQKKVPEMCYISTLIDLNLGKLFVESPRCKEKLQIELKSSSLVTERDEMDIQNHRYEVTSYNNEVDIWFSRAIDRPCTLLRNSDSQSHSCINKNGSPGMCRDVGARLNFVNEAQFLLISEESIKDLNSRLKSNGRRRNGGQAVQVGVMRFRPNLVASSGEPYAEDGWSNINIGGKYFMSLGGCNRCQMININPEAGEVQRFTEPLATLAGYRRAKGKIMFGILLRYENNTKTESDTWIRVGEEIIPNGDRH >Solyc04g011820.1.1.1 pep chromosome:SL3.0:4:4247290:4247577:-1 gene:Solyc04g011820.1 transcript:Solyc04g011820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMELRTKNSVVIFTKSSCCISHSIVTLIRSFGANPIIYELDTHPNGKQMEKALMELGCQPSVPTVFIGKELVGGANEIMSLNLRGKLKQLLG >Solyc03g117870.3.1 pep chromosome:SL3.0:3:68362526:68368800:-1 gene:Solyc03g117870.3 transcript:Solyc03g117870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMDTETNQSGDFIFRSKLPDIYIPKHLPLHSYCFENLSEFSSRPCLIDGSNDRIYTYAEVELTSRKVAVGLSKLGIQQKDTIMILLPNCPEFVFAFIGASYLGAISTMANPLFTPAEVVKQAKASSAKIVITQACFAGKVKDYAIENDLKVICVDSAPEGCVHFSELIQSDEHEIPEVNIQPNDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENANLYMHSDDVLMCVLPLFHIYSLNSVLLCALRVGAAILIMQKFDIAQFLELIQKHKVTIGPFVPPIVLAIAKSPLVDNYDLSSVRTVMSGAAPLGKELEDAVRAKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFDIKSGACGTVVRNAEMKIVDPDTGCSLPRNQPGEICIRGDQIMKGYLNDPEATARTIEKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLVNHPNISDAAVVPMKDEQAGEVPVAFVVRSNGSTITEDEVKDFISKQVIFYKRIKRVFFVETVPKSPSGKILRKDLRARLAADAKLAEELQKQFVIAESLQIFARYERGLIESAIPNREKLYCPYKKCAKLLSHDPDDDEEIVMKGKCPWCAGLLCARCRVPWHTGRDCQQFQEEEKDREDDLRVKLLAENHKWKNCPHCNSLVDKVDDGCVHITCRCNEEFCYACGATWSKRHWNCQTR >Solyc03g083290.3.1 pep chromosome:SL3.0:3:54554806:54556629:1 gene:Solyc03g083290.3 transcript:Solyc03g083290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIMTALQLVLRKSKAHGGLSRGLHEGAKVIEKHAAQLCVLAEDCDQPDYVKLVKALCADHNVSLITVPNAKTLGEWAGLCKIDSEGKARKVVGCGCVVVKDYGEETEGLHIVQEYVKSH >Solyc07g018230.2.1.1 pep chromosome:SL3.0:7:9784282:9785263:1 gene:Solyc07g018230.2 transcript:Solyc07g018230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGDTIPLHSSYQSDIDKIENLIYSNPSIVLPARPPSPRGAIPVPAISSPQPLPSSVQSNIAATGFGSPPNTLIEPVWDTVKRDLPRIVSNLKLVVFPNPYREDPGKALRD >Solyc03g097005.1.1 pep chromosome:SL3.0:3:60801415:60804360:-1 gene:Solyc03g097005.1 transcript:Solyc03g097005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLANWKNRRTANSLLGMPFGIKSRPKAYRMGITATMTMSTNPTRNINRKIEHEVMTSLLATPLRLLVLDRTMEDAPVRVLRRLVAIVMPCFDAIDILPQR >Solyc01g090750.3.1 pep chromosome:SL3.0:1:84277348:84286972:1 gene:Solyc01g090750.3 transcript:Solyc01g090750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSAQTLDISGDRQSGQDVRTQNVTACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILRMLEVEHPAAKVLVELAELQDREVGDGTTSVVIIAAELLKGANELVRHKIHPTSIISGYRLAMREACKYVEEKLAVKVDKLGKDSLINCAKTSMSSKLIADDSDFFANMVVEAVQAVKMTNVRGEIKYPIKGINLLKAHGKSAKDSYLLKGYALNTGRAAQGMPLRVAPARIACLDFNLQKTKMQMGVQVLVTDPRELERIRQREADMTKERIQKLLSAGANVVLTSKGIDDMALKYFVEAGAIAVRRVRKEDLRHVAKATGATVVSTFADMEGEETFEPSFLGHADEVVEERIADDDVIMVKGTKTSSSVSLILRGANDFMLDEMERALHDALCIVKRTLESTTVVAGGGAVEAALCVYLEYLATTLGSREQLAIAQFAESLLVIPKVLANNAAKDSSDLVSKLRSCHYLAQTKADKKHLSSMGLDLSKGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIRLVKDESQNDE >Solyc10g078750.2.1 pep chromosome:SL3.0:10:60604328:60608699:1 gene:Solyc10g078750.2 transcript:Solyc10g078750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRKRSRNGAGSNFNGGLKKSKPEMDSLSGGIGSKTKPCTKFFSIAGCPFGESCHFLHHVPGGYNAVAQMMNLGSAPASRNVAAPPMSNGNAPAVKTKLCNKFNTAEGCKFGDACNFAHGEWQIGKPIVPSQEDPRAMGVGPAPSRFGGRTEPAVSGPASSFGTTATAKISVDASLAGAIIGKGGVNSKQICRQTGAKLAIREHETDTNLRNIELEGTFEQISQASAMVRELINSLGPVGGPGRDPAGRGGPAPPMNNYKTKLCENFAKGSCTFGDRCHFAHGDAEMRKTGV >Solyc07g055650.1.1 pep chromosome:SL3.0:7:63748669:63751556:1 gene:Solyc07g055650.1 transcript:Solyc07g055650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVLFDKRKRTIWQSFDHPTDSLLPGQKLVSGRKLIATGLLIQILFRLNTTTLHPMLIVLITVLMAKVLLLYTILLNQKKRTRESRKVADILDLAPILPGILTRFSYNELKIITDDFSRKLGEGGFGCVYEGTLRNGTKIAVKHLDGVGQVKESFLTEVKAVGGIHHINLVKLIGFCAEKTHRLLIYEYMVNGSLDRWITHENRENGLTWSTRQRIISDIAKGLAYLHEDCSHKIIHLDIKPQNILLDQYFNAKISDFGLSKLIDKDKSKVVTRMRGTRGYLAPEWLSSVITEKVDVYAFGIVLLEILCGRKNLDWSQHDEEDVHLLSVFRRKSEQEQLMDMVDKNEDMQLHREAVTEMMSLAAWCLQGDFSKRPSMSLVVKALEDKSRSLQLSFTSCYASDPHSSVFEVHNLLLIEA >Solyc01g028935.1.1 pep chromosome:SL3.0:1:39813653:39814888:1 gene:Solyc01g028935.1 transcript:Solyc01g028935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIHKKENQINGEDLADNGCKPQRPRILTFCKRSSTSLLSKFKMDYDLTCHLQTKFNKSTLHGHIHSCTNILKPLTSYAQTQAQEADYPCALCRKWRTKDHFSELFCILKYNEKNRYISFNALQGQKIYYYHTGKYTRRKGEAASNGHCEQTRDVLQRGCNTNRWATAASKKAEIQINDASIEITEDMTALEKDLLGRCLVGTSNPERRKGMSKQLMEISCERCWPADINRDWVWVRILGLPLNLWTQKILKQIEDQCDGFIEIEKETMLRNHLQWTRIKVKGDGKLVLTEIKVTRDGLVYNSNMGEAPVTIRADREKKEGLGRGLRII >Solyc06g009825.1.1 pep chromosome:SL3.0:6:4048609:4050307:1 gene:Solyc06g009825.1 transcript:Solyc06g009825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTVGAQPSFSTLAILTNEPVVSVDCLHANIRDPDLKGSGSDNVKFDEDSYGGSIDGDGKKRENNKVKI >Solyc01g087980.3.1 pep chromosome:SL3.0:1:82703704:82704951:1 gene:Solyc01g087980.3 transcript:Solyc01g087980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTKSNQSPFYFPKKKRKKKSLLLLLFDMVLLVGKISHFLKNMENHHHHHNHNSEALLASSLQGFRSEISKILNKILPISEPETEVEFQFLSLVWIQKCVDVIPLINRAFAKLVVEIDHPMNKWGKSQIEEYLDYSLSLLELLNSVTSGVSHLSHAKLCISHGLSLIGKNSDPLVVLEHLNEIQPYDFGKELKVERKMIPENEESPCTGKDLIVRRSLWILMSVSSWIFGVLLSGICSNDRPYIELRKSAGLFDDSLIRGWDTILASEIAENCGVSKEAKEINEVITGLKEAVANENWEENK >Solyc06g075950.2.1 pep chromosome:SL3.0:6:47291069:47292319:1 gene:Solyc06g075950.2 transcript:Solyc06g075950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPFILHYDDNHCIHCRICRTQVGFMRDHLCTSMGYEVYIFDNVFNVEVPEDKRYQKVLRDGRTMNDTYCVKCGNLIGWKVIAVDKPWIIIREGVFLMDLHNVDLTIQGGINEQAANVQGGDANEQGGVNEQNDEQEGAANEQGGANEQNDEQEGDANEQGGENEQNHEQEGDANEDVDLLAEGMANV >Solyc11g011240.1.1.1 pep chromosome:SL3.0:11:4289527:4290624:1 gene:Solyc11g011240.1 transcript:Solyc11g011240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLATISGLDNLFLSNTPNNNFAFSRKLPPSQSYSFLHKKIHASDVANSFQTFQVKERDVSSKAEKFILPEFEFQEYMVTKAIKVNKALDEAIPMQEPIKVHEAMRYSLLAGGKRVRPILCMASCEVVGGDESLAIPAACAVEMIHTMSLVHDDLPCMDNDDLRRGKPTNHKVFGENTAVLAGDALLSLAFEHVATKTQNVPPQRVVQAIGELGSAVGSEGLVAGQIVDLASEGKQVSLTELEYIHHHKTAKLLEAAVVCGAIMGGGNEVDVERMRSYARCIGLLFQVVDDILDVTKSSDELGKTAGKDLITDKATYPKLMGLEKARQYAGELMAKAMNELSYFDYAKAAPLYHIASYIANRQN >Solyc02g080630.3.1 pep chromosome:SL3.0:2:45369391:45375171:-1 gene:Solyc02g080630.3 transcript:Solyc02g080630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASAPAVPSTELLEWPKKDKRRMLHAVYRVGDLERTIKFYTECFGMKLLRQRDIPEEKYSNAFLGFGPEESHFVVELTYNYGVDKYDIGTGFGHFAIATPDVYKLVEEIKAKGGTVTREPGPVKGGSSVIAFVKDPDGYLFEIIQRESTPEPLCQVMLRVGDLERAIKFYEKALGMQVVKKVDRPEHKYTIAMMGYAPEKETTVIELTYNYGVTEYTKGNAYAQIAIGTDDVYKSAKVVNLAIQELGGKITRQPGSIPGLNTKITSFLDPDGWKTVLVDNKDFLKELESSK >Solyc08g077730.3.1 pep chromosome:SL3.0:8:61743289:61747728:-1 gene:Solyc08g077730.3 transcript:Solyc08g077730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKNIEVQIGQEHSDLSSNFISSNQKQYQNPIFIPNQSTPSENFCKINLQIKIPSPDSSTNQIHFRDEPICQNQSPGKTLLSTPHKRPIMSQSSNLYHSPTPSSSVNQQVQHNHFKATVLKSSSSSNQSFLCPCSIPVLLATKRITLRLLHHSCHASWIRVHLKLFILLSLPSLYLLTSTHYWGSFIYFLFIVAVIAFLVVSLCVALPCVPSIRIFLARTLSINLHSSPTASKSRPSVVWSIGSKPKQESKPVSGCWVQVYSNGDVYEGEFHKGKCSGSGVYYYRLNGRYEGDWIDGKYDGYGVETWSKGSRYRGQYRQGLRHGVGMYRSYTGDLYAGEWCNGQCHGYGVHTCEDGSSYTGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYHFGNGHRYEGAWHEGKRQGFGIYTFRNGETQSGHWQNGILNVSSSLGSLPGSPSAIDHSKVLHAVQEARQAAEKAINVPNVDERAKRAVTSANKSATAARVAAVKAVQNQMHQNGDSCHSPLSVV >Solyc03g025530.3.1 pep chromosome:SL3.0:3:2936382:2945251:-1 gene:Solyc03g025530.3 transcript:Solyc03g025530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTTTWCPNSFQLRLAFRSRKPSAVFAGMRVGKLDYRGVRLVSITMNSVSNGGVEKTSAGGVNSTASADGFSGWSGADGAEKPSDSQGKKSIAGMVGAGAAGIILVSGLTFAALSISRRSSTGIKQQMEPLTAQEEMSIDSDNHNDTVQEEKALGDNEFKDNSGEELEAGRISEDTDDGNPTSVGVFVDDSHETHIQHDLDDGKASDDAVVASEVISESPETTFVMSSYESEEDSLIAGKPEPTTEPEQKNYNDDEVAAASVISPNSTYEFDNEVRVSSLEGRGHSEISLESPPIEPSNLNTAVNPQSEALLEPMITQEVYVETQSSFSTTNVDPSEMLEIPSDGDKSSFEVHKSNRDEVPGTASVSTTAYDHLRNDFKDINASRSSINPTDLGDVFTSAGIPAPSTISPALQAPPGRVLVPASFDQVQGQALSALQALKVIESDVQPGDLCTRREYARWLVSASSALSRTTVSKVYPAMYIEKVTDLAFDDITPEDPDFPSIQGLAEAGLLSSKLSRRDMQSSLDDDQTPVFFCPESPLSRQDLVSWKMAIEKRQLPIVDQKSVQRVSGFIDVDKIHPDAWPAVVADLSSGEQGIMALAFGYTRLFQPDKPVTKAQAAIALATGEASDIVGEELARIEAESMADKAVSAHNALVAEVEKDVNASFEKELLLEREKIEAVEKLAEEARRELESLRAQREEENLALMKERAVVDSEMEILSRLRRDVEEQLQTLVSDKLEITYDKERIEKLRKDAEFETQEIARLQYELEVERKALSLARTWAEDEAKKAREQAKALEEARDRWQKQGIKVVVDSDLQEEANAGVTWQNAGNESAESTVNSAETLVDKLKEMADTVRGKSRETIHMIIEKIMLLITMLKEWALKAGKQTEELKDAAMSKMGNSVQGMQQSSAEVGSALKDGVKRFADDCRGGVEKISQKFKT >Solyc03g063800.2.1.1 pep chromosome:SL3.0:3:38372606:38372815:1 gene:Solyc03g063800.2 transcript:Solyc03g063800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DDLELGQSRLLEVDNTVILQAKIPIRFIVKSADVPHSWVVPSLGVKCDVVPGHLNQTSILVKREEVYYG >Solyc10g011943.1.1 pep chromosome:SL3.0:10:4225284:4228265:1 gene:Solyc10g011943.1 transcript:Solyc10g011943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLSNNCYSNKTLGPRATASISSILDEFHSNTCNKTSSKDVYLDKTNINRQNFPKQLYLLHCRNPLALKGSCREIEFIKTSNFKEPKTIIEHCHREVIVKSVDAQKLESWLPNLVNPMVPKVHLMKDDDHPFEDPVW >Solyc05g012710.3.1 pep chromosome:SL3.0:5:5895043:5905140:1 gene:Solyc05g012710.3 transcript:Solyc05g012710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGDFRVSATLVPYPKNPLRTSLPPSKVDFSAFLNGGSSSSEVLPKWSRLSSDSHSFRCHSRKPLGILGDYKVAASSISQEFDNLLLNAISMSFFERLSLAWKIMFPPSPSASNSAANVAKQRLRMILFSDRCAVSDEAKQKIVSNVVSALSDFVEIESQEKVQLSVSTDPDLGTIYSVTVPVRRVRSEYQVEDPTGTITNVEYKDTGDNSGSVDVKFDFYIPDENFNDYELCVYFKSKCCSSEGNQSPYIFPIFRVHSSEIVFFSLFDFTMNTFSLNLADPIRDAISRIRFAPHSNNLLISSWDSSLRLYDVDSSKLRMEAPGEAELLDCCFENERVCFSAATDGSVNRYDLDSGIKVSLGNHDDSATCVEYSAETCQIITAGWDKKINFWDARSTQSHGCLRSFVSEVESMSLSGLNLMVAAGSSVNLYDIRSFKTSVHTKGVKVKCIRPIFNPKGFVVGSIDGRVILEYISKSSSHEGYAFRCHPKVKDGRRHVVTVNDIAFNPSYVIFQFQPSVIVIIEVIFIVTFLFPALLVHLLLVTMMAMPPCGMLEERNGYLRYSCSFVKQDIA >Solyc11g068430.2.1.1 pep chromosome:SL3.0:11:53445884:53449614:1 gene:Solyc11g068430.2 transcript:Solyc11g068430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVRLPSTCMFKAAPLSERKSAFVKMPFSVGSVRNISKSFGLKADSSFRVSANVYKVKLVCPDGTEHEFEAPSDTYILDAAENAGVDLPYSCRAGACSTCAGKIESGTVDQSDGSFLDDNQIKEGYLLTCVSYPNSDCVIHTHKEGDLY >Solyc12g099350.1.1.1 pep chromosome:SL3.0:12:67516633:67517748:1 gene:Solyc12g099350.1 transcript:Solyc12g099350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKHLEQLIKSRFDAREESFEELIKYCEPHPDAQEELLNCPFVYEYEDTPQSSPSVEVTPSEPTGILMLSVPSTDTDGKNSPAANEVFETPPEHIKSSQLYFSGSDDPKPSTAGVNRGNTEVIDLSDSDDLGFPNQQNNNGGTEAVKRDNTEVIDLGSDSDDLGFPNRRDTGKSEEQLQKRYKVSEEDFDVTNSVQRVVKKEPIVIQINQAQTQEVDMEAIEGGIERNADSFTVKMSERHEEEEDGGEMRDHGERGASSMNLDENEKLNGVGANGGGAGSDKGKRKLPLWVKGGSLEEVGRRETTKGTFEDLVEGFSMIFGDVSGDDSNDKIGGDDSNDKIGADFLETAMRRGLTFPRPRWWPPEGFTD >Solyc02g061910.1.1.1 pep chromosome:SL3.0:2:34011213:34012007:-1 gene:Solyc02g061910.1 transcript:Solyc02g061910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINLTDEQLMLSLLKFVTGVSVQIQFVDLYGNNKPSQIFDTATATEYHVFTRLKKKKTGNGKNFNRGIVGGGGSWKGIDNSKPVYDWKRSVIGSKKTFRFEENNHVWIMKEYRLCDKAQNALIESGRIQEEFVVCRITRSVNSSSSNFVPISSSQPQQTVKSFSPDLGQISAAANVIPNGNITQVSLVTEESKLRQGSVHQETPPDYDITTYYKQLDAYAVSVLETMVPYIPEPLQEDEVDSIPLFSEDFYIGYTDLWLKNWQ >Solyc11g062160.1.1.1 pep chromosome:SL3.0:11:49321115:49321717:1 gene:Solyc11g062160.1 transcript:Solyc11g062160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLSKIGRLVGRPLMVNRNTEERSGLNFARILVEVELGAQLPEVVHIKNEKGQLIKQTVQYDWKSILCKYCKKYGHSEEVCRLKKKIPRDGQMKQGTQRMKRVPQQTVETTMRHQSSSEVTPRNHNDVGKEKGKQSEKGGTSNEGWQVPVRTGRTPQLTSPRHNQQANVNSFQILHRKEVNSEISGNVSGLANLALGNG >Solyc07g007430.3.1 pep chromosome:SL3.0:7:2145453:2153632:-1 gene:Solyc07g007430.3 transcript:Solyc07g007430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CBL3] MASEIEVVEEVESRNEDESSTSTAVVNGGVGEEESLRDDVYTAAAYGDMEKLQRLVESEGCSVSEPDGLGYYALQWAALNNRTAAAQYIIEHGGDVNAADHTGQTALHWSAVRGAVQVAELLLQEGGRVNAADMYGYQPTHVAAQYGQTAFLYYVVTKWNADPDVPDSDGRSPLHWAAYKGFADCIRLLLFLDAYRGRQDKEGCTPLHWAAIRGHLEACTVLVQAGKKEDLIVTDNTGLTPAQLASDKSHRQVAFFLGNARQLYDKRCDGSTRLGKLSKLGLAPVLCCTIFLLLTTYIHAVILASTLPKLTAAGALFAWLGVFLATAGLVTFYRCSRKDPGYIRRSQHDSQNIKDDEPLLKMEMNHPALLAGNWSQLCSTCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWDFFIFLVLEVLAMVLTGAVTLTRVLTDPAAPSSFGAWLSHAGTHHVGALAFLFADFFLFVGVAVLTGVQASQISHNITTNEMANMMRYSYLRGPGGRFRNPYDHGCKKNCSDFLINGYNEDIECVEESVESEGIGMMQIPHSSSMQNGTGHSHQINGNGHHVINISNNNQNSHQAHVHSAHCSHNVKSKTDSVPVGLGVGLGRNAARAALPS >Solyc01g012670.2.1 pep chromosome:SL3.0:1:9772776:9780148:-1 gene:Solyc01g012670.2 transcript:Solyc01g012670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNHHKVKLCIPTAKILEVMTTKKCHKNFHLESLEKLGKSFLRYAISIQLFKTYDNYHENHLNIKKNKIITNVAFFKLGCSLKASLHEHILHASPDLQRQICYTVENFEKLDIVSTFGWESETTFPIVVGDVVSHREVSCHRCIDNITVQQSHFLNGKSASSPHEL >Solyc07g049630.1.1.1 pep chromosome:SL3.0:7:60088542:60088928:1 gene:Solyc07g049630.1 transcript:Solyc07g049630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKSPNFLPILLSFTLIFFTLQATTAASAKTPAKKVLNCLPSELMHLASACFPMEESPVESEDLCCELVKSVISSSESDRHYDLKECLCTAATINQIVIGRDRRVSKVVGSCTGETTARYNCFSDI >Solyc02g092920.3.1 pep chromosome:SL3.0:2:54504818:54515212:1 gene:Solyc02g092920.3 transcript:Solyc02g092920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALRLSTSFSGINCSIYKSTRRLKFANAIRWTQLANYLRENLELCCCSAVLFIAAAVCPYFLPGPAVLPLQRIFALIAFPLVGVSASLDALVDITGGKINIHVLMALAAFASVFMGNVLEGGLLLAMFNLAHIAEEYFTSRSKGDVKELKENHPEFALVLHVDNQTLPSFTDLSYIEVPVSDLEVGSFILVKAGESVPVDCEVSRGRSTITIEHLTGEVKPLDKKEGDNIPGGARNLDGMLIVKAKKTWKESMLSRIVQLTEEAQLSKPRLQRWLDKFGEQYSKAVVLLSLAVAFLGPFFFKWPFFSTTACRGSIYRALGLMVAASPCALAVAPLAYATAISACAKRGILLKGGQVLDALASCHSIAFDKTGTLTTGEFMCKAIEPIHGHAKSVGKGFASCCNPSCEKEALAVAAAMERGTTHPIGRAVVDHSTGKDLPSISVESFENLPGRGIIATLSSFEPRLGGGKPWKAFLGSVEYITSLCDSEDESRRVEEAVSTSSHGVDFVRAALSVNNQKVTLFHFEDKPRPGVLDVIQTLQNQAKLRVIMLTGDHKASAKRVAKTVGIKEVNCSLKPEDKLYHVTSISRDTGGLIMVGDGINDAPALAAATVGIVLAERASAAAVAVADVLLLQDNISGVPFCVAKSRQTTSLIKQNVVLALCSIILASLTSVMGFLPLWLTVLLHEGGTLLVCLNSVRALNPPTWSWREDISQIIDRLRSLIIPSLCISAIGTWFYNLPGPVQYSTKTIFFF >Solyc04g005820.3.1 pep chromosome:SL3.0:4:522943:528642:-1 gene:Solyc04g005820.3 transcript:Solyc04g005820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDYYKTLKVSRNASEEDLKKSYKRLAMKWHPDKNSENKKEAEAKFKQISEAYDVLSDPQKRQIYDIYGDEALKSGQFDPSSPSDRRGFKFNSRDAEDIFAEFFGGSDGYSRSTGGTVRIRKAAPVENKLPCTLEELYKGSKRKMKISRIVLDGAGKPTTIEEVLAIHIKPGWKKGTKITFPEKGNHESGAAPGDLIFVIDEKPHDVFKRDGNDLVINQKISLVDALAGKTINLTALDGRELTIPITDVVKPGHEQKIPNEGMPISKEPGKKGNLRIKFEVKFPSRLSSDQKCDIRRVLGRTADYHGYT >Solyc06g053755.1.1 pep chromosome:SL3.0:6:36703495:36705746:-1 gene:Solyc06g053755.1 transcript:Solyc06g053755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSFLGIEVAQFKSGIAISQRKYALDILEETGMTDCRPIDSPMDSNVKLLPGKLNYLTVSQPDITFSISVISQFLIFPCDSHCNILGEIWCLEKVRNKMWLLDLVQKHNIALWQWQHKTYLLGNFWFFWIKGKPLWVPLITTETIESVEHVDELSSIMEGLILPAITFCEAGRPINLLEPASTDGCTSE >Solyc02g090540.3.1 pep chromosome:SL3.0:2:52703825:52708033:-1 gene:Solyc02g090540.3 transcript:Solyc02g090540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMASSASSRGIAAIVGVGPNLGRSIARKFAHEGYTVAILARDLDRLSGFADEIAREEKAQVFAIRIDCSDLRSVREAFEGVLSLGFVEVLVYNAYQPVSWNPTNFTDIKVEQFEKSIAVSSVGAFHCAQQVLPGMVERGRGTILFSGCSASLNGIAGYSELCCGKFAMRGLSECLAREFQPLGIHVAHVIIHGIVGAPRLANCRELCMQGGQFTAKRRKGWINGPRRAGPDLLVLAHSR >Solyc04g081870.3.1 pep chromosome:SL3.0:4:65820837:65824366:-1 gene:Solyc04g081870.3 transcript:Solyc04g081870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4BVR6] MAKIGILTLGFIFVFCNILVSTANAFSASGWMSAHATFYGGSDASGTMGGACGYGNLYSTGYGTNTAALSTALFNDGASCGQCYKIMCDYNQDSKWCIKGTSITITATNFCPPNFALPSNNGGWCNPPRPHFDMAQPAWEKIGIYRGGIVPVMYQRIPCVKKGGVRFTINGRDYFELLMISNVGGAGSIQSVQIKGSRTNWMTMARNWGANWQSNAFLNGQSLSFKVTTSDGVTKTFLNVASSNWRFGQTYSSSINF >Solyc05g054620.3.1 pep chromosome:SL3.0:5:65341493:65347080:1 gene:Solyc05g054620.3 transcript:Solyc05g054620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAWDDVVLIEHRGKTGEPTSITVNCPDKAGLGCDLCRIVLEFGLYVTRGDFATDGKWCYIVLWVVPRPSSLKVDWGSLKNRLMSTCPSCMISFYLNQQSTASAPPPVYLLKVFCLDRKGLLHDVTKVLCELELTIQRVKVTTTPDDKVLDLFFITDCMDLLHTKQRRDETCEHLSNVLGEYCISCELQLSGPECEVQQGFASLPQEVAEELFSCELSKEAVPMSSASDTAKLKKATITVDNLLSPVHTLLQIQCVDQKALIYDILRTSKDCDIQVTIYFTNCVVNAFSLESNLCFQIAFGRIQSTAKGYRTIDLFIQTTDGKKIIDDENIKSLCSRLKEEMLHPLRVTVGSRGPDTELLVANPVELCGKGRPRVFYDVTIALKELGICVFSAEIARHSTAERQWEVYRFRLDESPAYPLASKKARTDILDRVKKTLMGW >Solyc05g005540.3.1.1 pep chromosome:SL3.0:5:380355:382190:-1 gene:Solyc05g005540.3 transcript:Solyc05g005540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVAKDGDESGNPFTPKGYLIRYWNKHVSNDLPKPWFLLNKASPLNAAQYATYTKLVADQNAFTTHLQSFCSSANLMCALDLLPSLEKHKGDVHFVSYGDKNFTNYGTKESGLGFNSFKNYTEEENFPVNSFRRYGRDSPHDNQFDNYGAPGGNTPVQSFNSYSTNTPGGSGQFTNYAPGSNVPDLHFTSYSDHGTGGEQDFKSYGNDGNAGQQSFKSYGKDGNGADSQFTTYGNNTNVDGSDFTNYGEAANGENQNFTSYGFNGNNPASSFNNYGVGGNGPSEAFNSYRDQSNVGDDTFTTYVKDANGGEANFTNYGQSFNEGTDVFTTYGKGGNDPHINFKTYGVNNTFKDYVKDTATFSNYHNKTSQDLASLSEVNGGKKVNNRWIEPGKFFREKMLKSGTIMPMPDIKDKMPKRSFLPRAIAAKLPFSTSKIDELKKIFHAANDSQVAKMIGDALSECERAPSPGETKQCVNSAEDMIDFATSVLGRNVVVRTTENTNGSKGNIMIGSIKGINGGKVTKSVSCHQTLYPSLLYYCHSVPKVRVYEADILDPNSKAKINHGVAICHVDTSSWGPRHGAFVALGSGPGKIEVCHWIFENDMTWATAD >Solyc05g009720.3.1 pep chromosome:SL3.0:5:3931915:3935101:1 gene:Solyc05g009720.3 transcript:Solyc05g009720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNNNFSDKMERCQQYIDALEQERTKIQVFSRELPLCLELVTQAIETYKQQLSGTTTEYNLNAQSTECSDDEHTSSDVPILEEFIPLKSTFSHEDEDEDENDEENQSHKSKSFNNNNNNSTSSKDAKNKKSDWLRSVQLWNQTSDPTPKEELTPKKVSVVEVKKNGSGGAFHPFKKEKNTVAAVETTPALAGVVLAATGSSTAENSGGSKKEDKDGQRKQRRCWSPELHRRFLHALQQLGGSHVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSSIHNNNNQQPPQFVVVGGIWVPPPEYASMAAGAPAASGEASGVANSNGIYAPIATHPKGPLHDHVSGGTLRQHNNKSSRSERSSERDRSHSHSDCGGVHSNSPATSSSTHTTTASPAY >Solyc01g006100.3.1 pep chromosome:SL3.0:1:756262:764489:-1 gene:Solyc01g006100.3 transcript:Solyc01g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRKASPADSSSDSSKRHALEVVKTVDIASDEVAEGFRDDDEFVEDRDIVCDSSIGESSGQKEVRRVAVRANEEQEGEFYGEIVLDSEARKKWPHRYILKDNVNINSASMSLNCQHDSDELIQAKCHFAQALVDNVIYKLGDDAYVKAAEDEDDYICKIVEFFQGVDDMKYFTAQWFYRAKDTVIKAHDQFIDKKRVFLSDIKDHNPLDCLVKKIKIVPISSNVSLQFKESLRLECDYYYDMKYLVPFSSFISLPSDVLSPDSESNSTISSDGDVVEVKEQKQEKKLLDLYSGCGGMSTGLCLGADVCDVKLVTKWTVDLNCYACDSLKANHPETEVRNESAEDFLLLLKEWEQLCASCSLLKSNTPTHPLLKVGDANVEDDDEGADDDDGGSGDEDEGEIFEVEEILEVCYGDPKEIKKPGLYFKVRWKGYGPDEDTWEPIEGLDGCQNKIKDFVTDGFKRSVLPLPGQVDVVCGGPPCQGISGFNRFRNSANPLQDPKNKQLEVFMSIVEFLKPRFVLMENVVDLLRFAHGYLGRYALSRLVGMNYQARMGMMVAGAYGLPQFRMRVFMWGALPSEKLPQYPLPTHNVIVRGGIPTEFELNAVDFEEGLKVKLKRELLLEDALSDLPPVENNEPRDEMPYIDEPKSVFQRFIRSRRDGTLGTVLYDHRPLQLNEDDYQRVTQIPKQKGANFRDLPGVRVRADNVVEWDPDMERVKLPSGKPLVPDYAMTFVRGTSQKPFGRLWWDEIVSTVVTRAEPHNQAILHPVQDRVLTIRENARLQGFPDYYKLTGPIKERYIQVGNAVAVPVARALGYSLALALKGLSRDQPLLTLPPNFPCLEELVSNDESLDKV >Solyc10g017600.3.1 pep chromosome:SL3.0:10:5542630:5552541:-1 gene:Solyc10g017600.3 transcript:Solyc10g017600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKRGLSIRNSGNVSCYSILVLVFCSFLAPLIFFVGRGVNTSTSIDNNDFSTTTSKQESSFVKEKILAKSNTNNAEGNKWTQIAAFVRTEISRVKQDDLVDDDRSKFHTPAILARRQLRERRREKRAAALVKQDDEVTVKLENAALERSKSVDSAVLGKYSIWRKENENENTDSKVHLMRDQIIMARVYISIATMKKKPGLAQELQKQLKESRHALGEAMYDADLTRSAHEKIKSMDQVLSKAKEQLYDCKLVTGKLRAILQSADEQVRSLKRQSTFLSQLAAKTIPNGIHCLSMRLAIDYYLLPPEKRKFPRSENLENPSLFHYALFSDNVLAASVVVNSTIKNAKDPAKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKGSHSTTLSAGSSNLKYRNPKYLSMLNHLRFYLPQIYPKLDKILFLDDDIVVQKDLTGLWSVNLHEKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNIFDLKEWKKRDITGIYHKWQNMNEDRVLWKLGTLPPGLMTFYGLTHPLDKSWHVLGLGYSPSIDGSEIEKAAVIHYNGNMKPWLELAMTKYKSYWTKYIKFDHPYIRTCKLGE >Solyc09g065410.3.1 pep chromosome:SL3.0:9:63755888:63758958:-1 gene:Solyc09g065410.3 transcript:Solyc09g065410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILTSSTIGSALAILLSLFLHVSSQSEDCQEVIVGLAPCLQYIQGNATTPSSGCCTQLATIVKTRPRCVCHVFSGVNVNQTLAMALPKACNVHITPSLTLCQATSAAGSPLSSSTPTGERSRTSQSEDSSRGYSLKLPYYSLLFTLVIPTFSLFNTI >Solyc08g068545.1.1 pep chromosome:SL3.0:8:57749027:57751646:-1 gene:Solyc08g068545.1 transcript:Solyc08g068545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESCSNSYSAGSFYVIVEPSVMDDRQLRLPDKFVQEHGDELLDTVKIIVPTDDFWCVGLKKAGKMIWLHDGWQEFMEHHSVNCWYFLLFKYGQTSCFNVHIFDLAATEIDYQLRSHGNAKSRDVAQELSHRKDKIVGDKGFTSSIEIVDLLETEQGPESSAKSSELLHRAKRRKIASGKIKITRCYETRSRTNKLHDNGQLLNAKNLNISGNGSLTKPVGGKSVRRSIKTPIHSAMATGDKEILHEQATGEIFRAWKV >Solyc02g092045.1.1 pep chromosome:SL3.0:2:53890952:53893079:1 gene:Solyc02g092045.1 transcript:Solyc02g092045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWYLLGPCFYCHVESPINEQSAVVVPSRHLVPQTTNIHFLDPVDQVIEDACEEEYKGEGNLTYSKVGYIHVLSGAYIWSTIDNDIHGGSISWVLGKKTIVSKSSRDTWYDKVQTCGNLIDH >Solyc05g009990.3.1 pep chromosome:SL3.0:5:4190077:4192897:1 gene:Solyc05g009990.3 transcript:Solyc05g009990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFKNSSRLCVLVTIFILHFGVWCNGDTENMSAQMEKNEINSLYSTIQGFVGKWWNGSDLYPDPCGWTPIQGVSCDLFDGFWYVTDLNIGPIHDNSLSCAPNVEFSSNLFTLKHLRSLSFFNCFVSPRHHPTSIPTERWEFLASSLESLEFRSNPGLIGRIPNSFGRLIKLQSLVLVENGLSGEIPQNLGDLVNLKRLVLAENKLTGKIPDTFGRFDQLLICDLSRNKLSGVLPKPLFGGLISLLKLDLSQNNLQGEIPQEISLLKNLTLLDLSNNKLSSGLPKSIQEMTCLEELVLSNNPVGGFLDVLDWYNMIKLTTLDLSNMNLTGEIPKSIAELKKLRFLGLNDNKLNGKIPKNLEDLPNVSAIYLYGNNLTGELQFSQWFYRKMGRRFGAWGNQNLCYSYGLVSTIDVPFGVKQCEQELKFVIDVEMNSSFVDFQSSMGYLNDCWILRCFVVELFMVILLLLK >Solyc01g108235.1.1 pep chromosome:SL3.0:1:95476010:95492666:-1 gene:Solyc01g108235.1 transcript:Solyc01g108235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNVSHKPNWIVDTGATNHMASSLELLNKLSVNKLGYNRTVELPNGDETKVTHTGLSSISDVTGDSLRIVKETKEKLKQVFKMKDLGELRYFLGIEFARSDQGILMHQRKYTLELISETRLNSSKPAATPMDTNVKLTTKQLDEYIRLRNLGKFNSNDQLADQGAYQRLIGKLLYLTVTRPDIAFGVNTLSQFLQQSKKSHMEAALRIVRYVKNQPGLGVLLSSNKNTTLTAYCDSDWASCPHTRRSVTGYLVKFGDSLLTWKSKKQTTISKSSAEAEYRSMAAIVSELIWIIGLMKELGKGILIEERKIQGAIKRQTTHPSGNPVLLSQVMMHVLVAQASSFLRWVVPRRSNKTASLWKQGEQGTETCQKDGLSTHEIFKKKGYPKDESVKEQSDDDETKSEENGIEQMRNGGGTIVRPNRVHYTENQKPQFRNINQNSGTAKSTKKGVGLPEGKGGEAGARENQN >Solyc05g025813.1.1 pep chromosome:SL3.0:5:36902225:36927630:-1 gene:Solyc05g025813.1 transcript:Solyc05g025813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVPYSSNVGCLMYAMMCNRPDICYAISLVSKSQSNPGRDHWEAVNRIFRYPKETTDHTLQPTPMTVSSSTNGADTLFADITHFHSLIGALQYLAITRPDIQFVVNRVAQHMHQPSEHDYHCLKRILRYICGSLGHGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLVFLGPNLISWCIKKQPKVSRSSTEVEYRTLALLAAETMIGVANE >Solyc05g054260.3.1 pep chromosome:SL3.0:5:65059858:65070527:-1 gene:Solyc05g054260.3 transcript:Solyc05g054260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:K4C2A5] MGGQMQQSNGAATALYDQQGNASPAGDAGDAVMARWLQSAGLQHLASPMASTGVDHRLLLMQGYGAQSMEEKQRLFKLMRNLNFNGESASDPYTPTAESSGGIGPSDGFYSPEFRGDFGAGLLDLHSMDDTELLSEHVISEPFEQSPFIPAPSGAFDNDFDAPTHRQQKAQPDTDAVAGLPIIEKEINTRENNVAKIKVVVRKRPLNKKEISRKEDDIVTVSDNASLSVHEPKLKVDLTAYVEKHEFCFDAVLDEYITNDEVYRATVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRLLHQPIYRNQRFKLWLSFFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIERGNASRSTGSTGANEESSRSHAILQLVVKKHNEVKDTRRNNDGNESKGGKVIGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQLHIPFRGSKLTEVLRDSFVGNSKTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGGNTNKNQSASVTTPTFKEPSLPTTLAASAEAEDAYEQPQESRVSEANRRVVEKETTSYNSANVFDKQPSRFSSNQTFNSQDDGGTNFGGMDRDRLEAKNNYGVPAGQRMQSTSNLQSSTDTEDKVQKVSPPRRKVSRDEKPEKPGKWSRKDASSSESSSMSYKQQNASIKSVGSGQNEPSSPPHDDNINELLQEEEALMAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSYVLSRKAASLVSLQARLSRFQHRLKEQEILSRKRVPR >Solyc02g050270.2.1 pep chromosome:SL3.0:2:4744961:4746362:-1 gene:Solyc02g050270.2 transcript:Solyc02g050270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDILANVINDSPSTKTTTGKRLQEFIVMDKLKKPTNLTLLEDFIDHEGVKLFNQLHDYPIIHARRIAKSSLGTSNIFIDLTSKFNKPIEINPPYPQATELRTW >Solyc08g075770.3.1 pep chromosome:SL3.0:8:60004239:60014045:-1 gene:Solyc08g075770.3 transcript:Solyc08g075770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVENPEEVEEKRKEISGSARLRRVLGILVFFCAFFTISFSVLYLAVFLGNLSISSPISLPSQCKIVSSSVDLRSSKVCELGLLNYKAKHVLYPSERKKFRCHYDYYWASVFKVEYVDHSGQARSALAEAPNEALPSDCRPNFSGAWLTKDKFEVNKTYKCWYTLGISKVHIYQAGFFDCDAKDPSTIEMFIRYLILFMRILKSWYVSGVLYWHWRWEAVAGVIAGFCTSIMTVILFALLRKLFSCIYQLSVVRRFTLPFNKVRLRRVCFLLAYVSFTSWLAVQYFRRIGLPEIAVYYSM >Solyc12g099660.2.1 pep chromosome:SL3.0:12:67693753:67703555:1 gene:Solyc12g099660.2 transcript:Solyc12g099660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFQFVFLLSCIFCISSIDRSVSLPSLVNLGIAPEDENYYKGLSSGAINCKDGSKKFTKAQLNDDFCDCPDGSDEPGTSACPSGKFYCKNAGHAPLFIYSSRVNDGICDCCDGSDEHDGKVKCPNTCWEVGRVARDKLKKKIATFQEGITIRKKEIEEAKLAIAKEETEVSKLKNEQKILKGRVEQLQDKKEQIEKVEEEERLKREKEEKERKEADDAKLEASKLEEKTEVHEEAVKSDIHDKIGLLEDYPPLKDVVEGHDKAADEEQHDDHSVKDEFPVDEVEQAPEDSSQHPEVKEESSTNNNKADVSSRNEEKDAAENIESLSKEELGRVIGSRWLGKKSEQETVSVGAGTDSNHDNHDNHDEVPSDTHEEEYHGYDSDADDRKYDDDDEHKYDDDEHKYDDDDNEEHVEDSVGEDHDSSSSYKSESDDDSDFADTTTTTSPSWTEKIQQTVKRIFRSVNLFQTPVNISDADRIRKEYDEASAKLTKVESRLSSLKQKLKHDFGPEKEFYSFHGQCFESKENKYTYKICPFKEATQVEGYSTTRLGNWDKFEDSYRTMQFTNGDHCWNGPNRSLKVKLRCGLKNEVTDIDEPSRCEYLAFFSTPALCLEEKLKELQDKLDMMNKEQPQDHDEL >Solyc03g116350.3.1 pep chromosome:SL3.0:3:67310925:67313462:1 gene:Solyc03g116350.3 transcript:Solyc03g116350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:K4BLD7] MGGSGGGIVSLLKVIISNFDVLAGPVVSLVYPLYASIRAIETKSPVDDQQWLTYWILYSMITLFELTFAKLIEWLPFWSYAKLIATCWLVIPYFNGAAYVYEHYIRTYIVQRKAVNIWYVPRKKDVFSKPDDILTAAEKYIKEHGTQAFEEMIHKADGERKTHTSNYVFYDDDYRY >Solyc03g013610.1.1.1 pep chromosome:SL3.0:3:45556263:45556430:1 gene:Solyc03g013610.1 transcript:Solyc03g013610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPKKRTSTTKKRIRKNIWKRKGYEVALKGFSLAISLSTGNSKSFFVLQTKINK >Solyc06g062970.2.1 pep chromosome:SL3.0:6:39864185:39866054:1 gene:Solyc06g062970.2 transcript:Solyc06g062970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVTFLLKKMFVCSSGFAPTPNLRDTLPESRMEKLLRTILSKKIIPQSASRISTKRYLEDRCVPKEEVEEKKRDKTCDGSKWVKTDSDFIVLEI >Solyc10g011650.3.1 pep chromosome:SL3.0:10:3854783:3866239:-1 gene:Solyc10g011650.3 transcript:Solyc10g011650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNIEKIFDAEEVIEDFEVMTKDAGRIQEETLEKILKENGGTEYLKQWGLNGRTDVETFKACVPIVCHSDLDPYIQRIADGDLSPILTGKPIQAISLSSGTTQGKPKFVPFNDELMNSTMQTFKTSFAFRNREFPIGNGKALQFIYSSKQFKTKGGLAAGTATTNVYRNAQFKKTMKAMSTPVCSPDQVIFGPDFQQSLYCHLLSGLIFRDEVQVVSSKLLKPDPELAETIYSKCSSLSNWYGLIPELFPNTKYIYGIMTGSMEPYLKKLRHYAGELPLLSADYGSSEGWVGVNVNPKFPPEMVTYAVLPNIGYFEFLPLEENLIGVEQANSSVGLTEVKLGEEYEIVFTNFAGLYRYRLGDVVKIKGFHNGTPELQFVCRRNLLLSINIDKNTEKDLQLAVEASGKHSVDEKLEVWTSPAMSTSQLIQDTTGEATDEILQECCNCLDKSFLDAGYVSSRKVNAIGALELMIVKRGTFHKILDHFVGLGGAVSQFKTPRCVGPKNSSLIQILSSNVVKSYSTASSLKLKGVLAASTGTTNVFTSPQYKKIMKDWSTPVCSPGEVIFAPDFQQSLYCHLLSGLIFRDEFQVVSSTFAHSIVHAFRTFEQVWEELVVDIREGVLSSRVTVPSIRLVMSKLLKPDPELAETIYSKCSSLSNWRNLLLSINIDKNTEKDLQLAVEAASKHLVDEKLEVMDFTSHVNVSADPGHYVISWELSGEATDEILQECCDCLDKTFLDAGYVSSRKVNAIGALELRIVKRGTFHKILDHFVGLGGTVSQFKTPRCVGPTNSSLIQILSSNVVKSYSSTAFF >Solyc05g053010.1.1.1 pep chromosome:SL3.0:5:64025584:64027656:1 gene:Solyc05g053010.1 transcript:Solyc05g053010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade XI lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4C1Y1] MAKQITLTLFLILCILPSRTVFSDEFVYNGFNGVEASNLTVNGVAQILKNGALKLTNETSRVVGHVFYKNPIKFKNSQYGKVSSFSTAFAFGIVPEYAKLGGHGFAFTISRSEEMKGALPSQYLGLLSSSNVGNFSNHVFAVEFDTVQDFEFGDISDNHVGIDLNDLKSNASVNASYFSEGSLSKQKLFLQSGKTIQAWIDYDSSRNLLNVSLSLSSTKPAFSILSFPVDLSLVFEEFMYVGFSASTGLLASSHYIFGWSFNMNGIAQSLNLDSLPFLPKTKKDQTILIVATAVSAVVFFAFGLILALYVIWKIKKIDVIEPWELEIGPHRFSYKELKKATRGFRDKELLGFGGFGRVYKGTLPKTNIVVAVKRIHHEAKQGLQEFVSEIATIGRLRHRNLVQLLGWCRRRGDLLLVYDFMSNGSLDKYIYDEPRVTLTWDQRFKVIKGVASGLLYLHEEWEQTVIHRDIKAGNVLLDSDMNGRLGDFGLAKLYEHGENPSTTRVVGTLGYLAPELTKTGKPTTSSDVFAFGALLLEVVCGRRPIEAKALPEELILVDWVWDKWNDGVILEVVDSRLNGEYDEMEAIMVLKLGLMCSNNTPSKRPSTRLVVRYLEGEVTLPETLAAPGEYDGKKGGTSGMELDDFIDSYPSSSYFEKVSTWSSAYDGEGDIDIEANSVAPLTDSGREDNR >Solyc05g005240.2.1 pep chromosome:SL3.0:5:191069:194070:-1 gene:Solyc05g005240.2 transcript:Solyc05g005240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNNHLFELQDTICYVQCGYCTTILLVSVPCSSLCNKVVTVRCGHCTTLLSLNLIKPSLHLFASFDQTHQPPEVDKDETDDANKKNSNSDEEDQLENNVLPLNQVVNKPPEKRQRAPSAYNCFIKEEIKRLKTLYPNMTHKQAFSTAAKNWAHFPPSQHRGGCSLGERKMAKVSAARNSMVPRDSNGLIP >Solyc05g043425.1.1 pep chromosome:SL3.0:5:57221491:57222951:-1 gene:Solyc05g043425.1 transcript:Solyc05g043425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLELKNRIPNLRIESKRDFKKAEEGDSNWVWCGNHGRHGAGQMKGVETGKALEEKLKTAGVPHEVYFYPKTGHAFMNKSPEGVERRQKMGMPDVEDAAVDQAWSRFRSWMSRFLSA >Solyc09g057510.1.1 pep chromosome:SL3.0:9:49656884:49658579:-1 gene:Solyc09g057510.1 transcript:Solyc09g057510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFNFRLMTSIVTWVEHVQVYEKYQVNHVFRDLLCDREAYGAKRWTVTLQRMCERFNFQMGSTYPNRHDSKGVFHDPEGLKNTIQVSQRMIKSFFEILSMTDNHGDFSISPQLNRGDRISIRKNEETIQPKGFISIATTSLWLPLSFEDVFSFFNDDKTRNQVCVEPLYNNGNCFEFFLFLWGNVVIENIII >Solyc07g055710.3.1 pep chromosome:SL3.0:7:63779403:63781040:-1 gene:Solyc07g055710.3 transcript:Solyc07g055710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCNGGSSSSSPAPFLLKTYELVDDSYTNPVVSWSHNGRSFVVWNPPEFARDLLPKYFKHNNFSSFIRQLNTYGFRKVDPEQWEFANEDFLRGRRHLLKNIYRRKPIHSHSAAAGTGQSVAPLTDSERQEYEDEIERLKRENSLLQSSAENQLKFNGEYESGIKSMEQRLQNVAHRQGKLISLLAQLLQTPGFSSDFTQSASRKRRLLISNYLIDEENSPKFDLEMVKKLDSSINFWERFLYGVQTQDFEHTHSPIVTHTSSNDSAKRNSPIDHSPSSSELGPLNPVMSSTYENLERQLKPSDNQIECKTSKTSELVSNSGNDVFWQQFLTETPGCTEPQQVENKGINESTRDIRLGDSHRYWWNRGVNLENLAERMGHLSSPATGS >Solyc12g049370.2.1 pep chromosome:SL3.0:12:61850553:61855604:1 gene:Solyc12g049370.2 transcript:Solyc12g049370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCNLFQFLQFFIKMLLPFFLLSILSLPNRCSADSSTETERTLAIIKPDGVSGNHTNSVKETILNHGFKITEESLIQLDEDRVKRFYAEHSSRSFFPSLVEYMTSGPVLIMVLEKGNAIADWRALIGPTDPLKAKVTHPHSVRAICGLNLQENCVHGSDSPQSASREISFFFKTTSSGYASKHDEL >Solyc09g075880.3.1 pep chromosome:SL3.0:9:67948059:67948596:-1 gene:Solyc09g075880.3 transcript:Solyc09g075880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTKVLLTIFLIVIVSTNVEAIGEEKISCRAKCVLACLLSKTPHCLSDCLKQCKLHISSEEFNDARNLKKI >Solyc10g018510.2.1 pep chromosome:SL3.0:10:8461934:8467907:-1 gene:Solyc10g018510.2 transcript:Solyc10g018510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEVAELKWEKGEVVMHGLGPPGVPCYYKPLSTPSPTKYTWDDKPHAAAGGTLESIVNQATTHNIDIGDEGGDDDDLVSWFDDCLPETSMDIVAVVPTSCTNYNQQVPPSTRVASCSGDAEMARVGMGSSFEEISEDFENQEAKNLIGSMVYEGKNNTVSPGETSLGEERVLTTTSTFKHNKRKTLNNHDSRGQESRDNEDEDEKKRSKISSFSTKRCRVAATHNQSERKRRDKINQRLKTLQKLVPTSSKTDTASMLDEVIEYLKQLRAQVKAMSMMIHVNMQPPPMMLPNMAFQQQQQQFQMSMMGMARPIDVNALSSPNITTIPSILHTTAPSNFNNPPIASPGADPLASLVAVRQLSQPMTMDAYSRMAALYQQYLQSNANLGFKN >Solyc12g100260.1.1.1 pep chromosome:SL3.0:12:68019981:68020145:1 gene:Solyc12g100260.1 transcript:Solyc12g100260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATNAIKPLLGDYYQVDDTPILKAMWRDTKECIYVEKDEGSQGRGVYWYKNKL >Solyc11g028200.1.1.1 pep chromosome:SL3.0:11:20678658:20679062:1 gene:Solyc11g028200.1 transcript:Solyc11g028200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALQALTELSNLSCHPLNGGKFNGLNDVNGEEEEEYDTEAWETITKCFREVQSVLDQNRALIQQVNENHQSKLRDNLVKNVALIRDINSNISKVSRLYSDLSIDFCNIVNQRWELALFESKNRDDNVDSAES >Solyc01g017120.1.1.1 pep chromosome:SL3.0:1:23799035:23799325:1 gene:Solyc01g017120.1 transcript:Solyc01g017120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFLVALKQLGDSLDEIWGSSSGGNKLGSTYGVNSIRYKKNDWNINLIKIIDLIKNPINRITFSRNTRHLSDTSKVIYSMIRKRKNVNGDGLMRK >Solyc11g005920.2.1 pep chromosome:SL3.0:11:743300:749162:1 gene:Solyc11g005920.2 transcript:Solyc11g005920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPPGFRFHPTDVELIMYYLKRKITGKKLHFEGITELNIYKFSPWDLPDKCCYKSKDLEWYFFCPRERKYASGARMNRATDTGYWKTTGKDRPVTYCEKIVGSVKTLVFHLGHPPRGKRTDWVIHEYKFEDKESIDAGFAQDSYVLCKVFQKSGPGPKNGAQYGAPFKEEDWEDDKIPAEPCTSDVFPAPPGRTDKPSGSNAIRMVDPRSTSDWTSSEQSPSPIQPSVQKLPLDEPDDEELPGEPNLSNVSSINWRPSDQLKAKPSNTFSALPILPEEQSCSVDTGLVNPGPGPSNAQMCTNEMALDDIDDEMIGLFAPFTEDTSLLSGGSEPNQKLDNFHQEVNAPPAACTDGNDIFDGLGDIEFWAGVGQLEPDLTASGGANHSLNPVILPQEAPQDAAYMELNDLDIPLNHPAETIGTGQLVPGYFCTPYNSNNGMQQLCFGSNSLGIVQDFGEHHLPALPESYTQQVNHPDMAYNFLNNPNQGYNAATNFSVSSYKQPEDRRLDTQNLERGRSHFSDDNSAWW >Solyc10g024510.2.1 pep chromosome:SL3.0:10:15011203:15012805:-1 gene:Solyc10g024510.2 transcript:Solyc10g024510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFIHFFYFLNINLNHFIIMISKILSNSEKVFDFVVNEGHGVKGLCDIGIQALPKQDIQPLEERITTSIVRTDNSIPLIDASNWDDPKVADQICKEAQSWGFFQVINHGVPIEILDNIKETTHHFFNLPTNEKKMSWRDYYSLVHVSDDEATSFWPTSCRFDFLYIYSFLNEYKYECFFRKEALEYLKSCDTVIRKILKLLMGGLNIKEIDKETEELLMGLKRINFNYYPKCPNLELSIGDIGGLYVKKHETNVWIHIPPVKGALVINIGDALQIMSNDKYKSVEHCMIANGSHNRVSVPIFLHPKATSVIGPLKEGDYTNIFFSKGHDEKDTIKFAKIYRL >Solyc08g066810.3.1 pep chromosome:SL3.0:8:55726462:55730370:-1 gene:Solyc08g066810.3 transcript:Solyc08g066810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKPLEKKMGQSPPTSFYNNILLLSIIVTILMLMPQYSHATLSTNSRWIVNEGGQRVKLSCVNWVSHLEVMVAEGLSKQPIDTISKSITNMGFNCVRLTWPLFLFTNDSLSSLSVRQSFNNLGLVESIAGLQVNNPSILDLSLLQAYQAVVSGLGNNNVMVILDNHISKPGWCCSNFDGNGFFGDQYFNPDLWVQGLTKVATTFINVTNVVGMSLRNELRGPKQNVNDWYRYMQKGAEAVHAANPDVLVILSGLNFDKDLSFLRQRPINLTFSGKLVFEIHRYSFTDGKSWETGNANQVCGQVLNDMMSRGGFLLDQGYPLFVSEFGADQRGTNVNDNRYFNCFLGLAAELDFDWALWTLVGSYYLREGVVGLNEVYGVMDWNWCDIRNSSFLNRISAVQSPFQGPGYNESRSHKLIFHPMTGLCVRRISFLQPLELGPCSESDAWDYTTTKSLTLTGTYFCLQADKSGQPAKLGIMCTNSNSKWQAISDSKMHLSSKLQDGTSLCLDVDSKNAIFTNPCKCLSKDKTCEPESQWFKIIDSTRQQNSTKSFFQSEHIVQFLGNTFSYIL >Solyc11g039915.1.1 pep chromosome:SL3.0:11:41339773:41340292:-1 gene:Solyc11g039915.1 transcript:Solyc11g039915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTKDIIGEMKEAIGEKIVSLAKSKEHLLAKKKEGLPIVLLTYREVMINTHDLTSSLPNGWNLEDMCGLLCHQQNNGKVSTTYAPPR >Solyc12g049670.2.1.1 pep chromosome:SL3.0:12:62449200:62449313:-1 gene:Solyc12g049670.2 transcript:Solyc12g049670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DICLVCQMLARPWNNLTSLEAGGRDINMSFPFLDSRP >Solyc02g069260.3.1 pep chromosome:SL3.0:2:39771949:39778844:1 gene:Solyc02g069260.3 transcript:Solyc02g069260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGO2A2 [Source:UniProtKB/TrEMBL;Acc:K4B7Q8] MDRGNYRRGGGHDNAGRGGGNRGRGQGRGGGRGEPQIGGGRGGTHIGGGSQMGQPPQQWGNQPRGSGQYQIRGGAPQNQPGQNYPVNQNPGRGGTWVNQPVQRGGGGGGSAWPRPQQQGSGVPNTTWPRPPPQQQGSGSGVANAWARPPPQRSQQHGGGNQQQVVDRSPPQSSDPVQVDLGSLKITDQSPSSRQESSKEKRVPIARPDTGKIAVKSIALLANHFPVRFNPQSTIMHYDVDIQQRADGNRPVKKLTNKSVLHMIREKLCADDPTRFPLDKTAYDGKKNIFSAVQLPTGCFAVNWSDGEDARLRSYDITIKLVAELKLCKLKEYLSGSLSHIPRDILQGMELVMKENPTRCRTSVGRCFYSNEHLPDHDFRFGVAAYRGFQQSLKPTKGGLALCLDYSVLALRKPMPVLDFLKEYLGESNENTFRNNIRAAKGALVGLKVRVIHRRTSQKFLIKQLTDCKTREITFPLEDPEGINPPRDVLLVDYFRDKYQREIQFKDFPSLDIGKGNKKNYVPMEFCVLVEGQRYPKEDLDKDTALFLKNISLARPQDRRQAICEMVRAGDGPCGAVTRNFDIGVDRNMTRVPGRILPPPDLKLGGQNRLPVNDKCQWNLVGKSVVEGKALQRWALIDFSAQDRKPFFRLRVDEFVFRLKDRCRKLSINMEEPAVVHFTDMHVLSEVGKVHKLLDGVVNAAKREINGKLQMIVCVMTSKHNGYKYLKWVSETQIGVVTQCCLSTNANKGQDQYLANLCMKINAKLGGSNMELMDRLPNFGREDNVMFIGADVNHPAAKNVTCPSIAAVVATVNWPAANRYAARVCPQVHRTEKILEFGKMCADLVHTYKEINSVKPNKIVVFRDGVSEGQFDMVLNEELLDLAKAIYDSNYQPAITLVVAQKRHHTRLFPEGGPANVPPGTVVDTIIVHPSDFDFYLCSHFGGLGTSKPTHYHVLWDDNGFNSDSLQKLIYNMCFTFARCTKPVSLVPPVYYADLVAYRGRMFQEVLMEMNSPSSATSSSPTASFQQKFYDLHSDLQNVMFFV >Solyc07g062120.3.1 pep chromosome:SL3.0:7:65056252:65061043:-1 gene:Solyc07g062120.3 transcript:Solyc07g062120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLASSQVLAICKDAAGIAGNIFAFGLFLSPMPTFMRIMRNQSTEQFSGLPYIYGLLNCLICAWYGTPLVSPDNLLVTSVNSVGAVFQLAYIVLYVTYTEKEKKFRMFGWILTVFGLFVIIVLGSLFILDLELRRIIIGSLSCASLISMFASPLLIIVCLTNLVIRTRSVEFMPFCLSLSTFLMSASFLLYGIFSSDPFIYVPNGIGTLLGVTQLLLFAHYRNSSREDSAEGLIVSYS >Solyc03g046380.1.1.1 pep chromosome:SL3.0:3:12427687:12427929:1 gene:Solyc03g046380.1 transcript:Solyc03g046380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAQGAQPKESFTATTYESTVKEENRPRMDIHSREDEKGIQIDKIQDKVDDAAGKGGPVFGAGKDDPDNKDLGVTGTGE >Solyc03g117060.3.1 pep chromosome:SL3.0:3:67802729:67809702:-1 gene:Solyc03g117060.3 transcript:Solyc03g117060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSSFTGPKSKTLNLSHPNLVCGLAPLRRTTRRRNPPEALSNSMAEEVPQSLNYIPEVILKKRKNNEEWAIRRKLQLEQKVKRLKSDNFVIKKPEQFIREYRDKEMDLIQMKQRGKNRSRRAFVTSESSLLFVIRIGGKSDMHPRTRKLLYSLRLRKIFNGVFVKADARILEILQKVEPFVTYGYPNLKSIKDLIYKKGAGKIDNQRVPLTSNEVVEQALGQNGILCLEDVVTEIANVGPHFKEVTSFLCPFALNKPEKALQGKKKRFSDGGDSGNCEDHINELVSKMN >Solyc04g026220.3.1 pep chromosome:SL3.0:4:18191683:18193797:-1 gene:Solyc04g026220.3 transcript:Solyc04g026220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVTLGRLGGKTLIPNGNSCFRFLNLKLLKPGDFFGRFLVEEWKFLRQGEVIWENLPRRIDENIIQVFFHLPEATYRNVETIGVTGCDCVCKFTETTFLEIFWLQVPQQRNEYDCSLFVLFFMEHFFDEVHRRVKKKDFTMFGRRWFKPAEASCLRMKIRRILEEEFKNVSEND >Solyc02g072260.3.1.1 pep chromosome:SL3.0:2:42127169:42129664:1 gene:Solyc02g072260.3 transcript:Solyc02g072260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLENHVESEAVKIEEMKLPQLKELAKSRGLKGYSKLKKSELVELLIGC >Solyc02g066850.1.1.1 pep chromosome:SL3.0:2:37629277:37629477:1 gene:Solyc02g066850.1 transcript:Solyc02g066850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVSTLGDGPNGKNTEGFLYAYKRGGEVKIVCICHGHFLTPAQFFKHAGGGDVENPLRLITVGPN >Solyc03g007040.3.1 pep chromosome:SL3.0:3:1576228:1584404:1 gene:Solyc03g007040.3 transcript:Solyc03g007040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIVVRREKLRAWIFLFLSVVYSGRMTLAARSEKEIRARFYGNLVNSSAPETNDGTIAKMFDRVLEKEFSENDQPEGSDGRSFNSTVADETGVLETVAKITHEKIKKNETQHTNDTRSFKLQDVFSLENEGSDDVTTLIDKKDNVFVMSNKKSKYPVLQVDVRLISDLVVVIVSAAIGGIIFSCLGQPVIVGYLLAGSLIGPGGLKFISEMVQVETVAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGLLQIVILMFLCGTTAMLCGANLSEGVFVGCFLSMSSTAVVVKFLVEKNSNNALHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGLLHGIISMGKVLLILSMYLSVASILTWSFVPRFLKLMIRLSSQTNELYQLAVVAFCLLSAWCSDKLGLSLELGSFVAGVMISTTDFAKHTLDQVEPIRNLFAALFLASIGMLIHVQFLWTHVDILLASVILVIVFKTTVATVITKVFGYNIRTSLIVGLLLAQIGEFAFVLLSRASNLHIVQGKMYLLLLGTTALSLVTTPVLFKLIPAIMHLGVLMHWFPVENVAPDEEKVAMIDTHNRVL >Solyc03g113130.3.1 pep chromosome:SL3.0:3:64882804:64886286:-1 gene:Solyc03g113130.3 transcript:Solyc03g113130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGKILLSKRSVSGHNSSNISKKRKKKKKKMELEKRKSSREDMVQKLYDTCKQIFANGKAGYVPPPHDIQRLKSLLDSLEPKDFKFTAPSLEFSSTNPGTVEAPLVTYIKIHECNKFSIGIFCLPPSGVIPLHNHPGMTVFCKLLAGTMHTTSYDWVQNHNHQANHDRGQHESRMRLAKVHVDDDFSAPCKSSVLFPESGGNMHCFKAITPCILLDVLGPPYSEAEGRHCTYYQHYSYDHISLSDVNKEMKEDEERSYAWLEERKDEQFVVLGGTYDGPTIKL >Solyc07g005730.3.1 pep chromosome:SL3.0:7:593414:598052:1 gene:Solyc07g005730.3 transcript:Solyc07g005730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRCAILCEVLLAIFLPPLGVCLRHGGVLDLLDFDHIGLCSWNYLCSLCNPLYSKMEITCALVCEILLAILLPPLGVCLRNGCCTVEFLICLVLTILGYVPGIIYALYAILFVEREPRRDYYDTLA >Solyc11g020315.1.1 pep chromosome:SL3.0:11:10862585:10862847:1 gene:Solyc11g020315.1 transcript:Solyc11g020315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVVRIPYDIQLKQVLANGKKGGSNVGVVLILPEEFELAPSDQLSPNKTNILMVGPVPGKKYSETTFPS >Solyc04g072425.1.1 pep chromosome:SL3.0:4:59521604:59530201:-1 gene:Solyc04g072425.1 transcript:Solyc04g072425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLLTEKRKCKKGIRWPLPHLKVVKLWGIYSGVLNLELVRYFLENAVALEKVIIDPTEPIFCPHPLSPEDIKELQTSRNRAKLQLEREVPQAIELVILFPLSFSSTRHEDSKWNENNDLMRFNNGESRPPPTPDPARNCSDTVTTTISTTPLQRPLQNHELVSVVEIGQWSIHLQTLLIHSSSDIKSVNGEKKMYFLENAVALEKVIIDPTEPIFGSHPLTPENIKVLQTSRNRAKLQLEREVPQAIELVIL >Solyc10g079610.2.1 pep chromosome:SL3.0:10:61246085:61249619:1 gene:Solyc10g079610.2 transcript:Solyc10g079610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALKLFLSAAALAIMAVLLTLSSKNVYSPPSIPGSKDLLSKSEVIHLKGAVGPESIAFDPNGEGPYTGVADGRILKWNGDSDGWVDFAVTSSQRKECVHPFAPQMEHVCGRPLGLRFDTRTGDLYIADAYLGLHVVGPSGGLATPLVTEVEGQPLRFTNDLDIDEQEDVIYFTDTSTQFQRRQFVASVVSGDKTGRLMKYDKSTKSVTVLLRGLAFANGVALSKDKSFVLVAETSTCRVVRHWLKGPHAGKHDTFADLPGYPDNIRRNSRGEFWVGLHSKRSLLAKLVTSYSWFGKTTLKLPFTFQQLHFLLVGGQPHATAIKLSEDGQVLDVLEDLESKTLKFISEVEEKNGKLWIGSVLVPFVGVHELS >Solyc08g067810.3.1 pep chromosome:SL3.0:8:56911492:56919065:-1 gene:Solyc08g067810.3 transcript:Solyc08g067810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGTSTLNPYAEAYVPISKRGAPDGNKEARSPNEFKSGSEAVWLAPGVTAVRNQLQTPQIADQYKLKDFSTYGSPSHSPVGSMGKEVLGEESFMDLAYLQMNFPGMSDESLSEVYLANSCDIDAAVDMLNQLELYSGDDFEKLPDSLDIGDVSDSGFSGDNSSQKLKTVIGETATVASSSGLSDSRSGS >Solyc05g050920.3.1 pep chromosome:SL3.0:5:61980583:61989664:-1 gene:Solyc05g050920.3 transcript:Solyc05g050920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESRKVAVIGAGASGIVTARELQREGHRVVVFEKSNQLGGVWVYNPRVETDLLSLDLNREIIHSSLYKSLRTNLPRKLMSFSDCSFDCAENGNRLNFPEHEEVLRFLNEFAKDFAINELIRLNTEVVRVAPVEFGGNRWVVESKSEELSSEEVFDSVVICNGHYTVPRIANIPGINKWPGKQIHSHNYRIPEPFKDQARPLYTFSIVVVIGDGPSGLDICRDIATVAKQVHLSTRYSEIVVSKLDNYENLWNHSKIEHVDESGEVIFFDGSSIHADIILHCTGYKYDFPFLETNGIVSVDDEGRVVGPLYKHVFPPKLSPCLSFVGTPYQGVLFRMFELQAKWIAQVLSGKVFLPSEEEMLADVEDHNRQLEEAGIPKRHTHRLDPQSPLMAESRKVAVIGAGPSGLVTTRELQREGHRVVVFEKSNQLGGLWAYNPRVETDLLSLDPNREIVHSSLYKSLRTNLPRKLMSFSDYSFDCAENGNQLNFPGHEEVLKFLNEFANDFVINELIRFNTEVVRVAPVEFGGNRWIVESKSEELSSEEVFDSVVICNGHYTVPRIANIPVVIGDGPSGLDICRDIATVAKQVHLSTRYSEIEVSKLDNYENLWNHSKIEHVDESGEVIFFDGSSIHADIILHSTGYKYDFPFLETNGLVSVDEEGRVVGPLYKHVFPPKLSPCLSFVGIPSKGIIFLGSELQAKWIAQVLSGKVLLPSEEEMLADVEDHNRQLEEAGIPKRDTHRLHPHVMEYMDWIAAQMGMPSLDPSLKEMYWSIYKCAGEVGYDNYRDLWVFENLTQSSL >Solyc04g076580.3.1 pep chromosome:SL3.0:4:61572229:61580532:1 gene:Solyc04g076580.3 transcript:Solyc04g076580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQFILRVPPSVAERIDRLLSENPSSEDKLDLSFSEDGKTGSFVIGDVHFPASLLNLPCIVESYKTYDDNVLIKSADIGQMIMVREEGDPVPDVVEYRHGLTPPMRDARRRRFRREPDLNPELVRRVEKDLQNIMSGGTAENIDIEVVEQEEGGEASARHVNKKVAQPATKPDISEPGTAGEDPDRTESEDSDDSI >Solyc02g070400.3.1 pep chromosome:SL3.0:2:40696181:40704570:-1 gene:Solyc02g070400.3 transcript:Solyc02g070400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKRLKNEASSVRRFKLSYVLLGLAAFYLVLICVKFPEFLESATVLSGDDADVALDVEDDSGESISVFHNSVREYSQVKSQEEDNEDEASPHKKPPKLHYGRITAAILKRQNMERNLSILDSMADEAWTLGSKAWEELDKYEEKGIEMNSILEGKPESCPSWVSSSGAQIAKTDHMMFLPCGLAAGSSITLIGTPRYAHHEYVPQLAKIRAADALVLVSQFMIELQGLKSVVGEDPPKILHLNPRIRGDWSRRPVIEHNTCYRMQWGTAHRCDGLPSKYDDDMLVDGYLRCEKWMRNDIVDTRESKIFSWFDRFIGRAKKPEVTWPFPFVEGRMFVLTIRAGIDGYHINVGGRHVTSFPYRTGFTLEDATGLAIRGDVDIHSVYATSLPTSHPSFSPQRVLDFSEKWKSLPLPKNRIQLFIGVLSATNHFAERMAIRKTWMQASAIKSSDIAVRFFVALNPRKELNAILKKEADYFGDIVIVPFIDRYELVVLKTIAICKYGVQNVTAAYIMKCDDDTFIRIDAVLREIQRVPPRRSLYMGNLNLLHRPLRTGKWAVTFEEWPEDVYPPYANGPGYIITSDIAKHITSQYRNQSLRIFKMEDVSMGMWVESYNSSTPVQYSHNWKFCQYGCMEDYFTAHYQSPRQMFCLWNNLLKGKAHCCNF >Solyc09g037137.1.1 pep chromosome:SL3.0:9:21250550:21252151:-1 gene:Solyc09g037137.1 transcript:Solyc09g037137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDKDERRKVLCCMIIIDENVAQPCFHIPSCTTVTRDCFDIFDEQKYKLMAVFKETQQRVSLTTATSTSKQRINYMVITTHWINKNWNLHKRIINFCPITSHRGEDLRKTISKLDNAGSNSAAITEFFKRCLAHFINIIVQDGTKEVNVSIERVRQVVRYIKQFPFRWKKFQECCEDENVSKKVVCLDVSTRWNSTYMILGKIVLALHLMFIDIVDKNSIGTLLSSDWEGVKRITKFVEIKFLFSLNLSSWYLLNQLISMGETEKMNKMIFIPCVLDPCHKFRTIGFALNKMFGEKWAALEMVHENTLNLCLMNIQSLFKMIKVVNFLQLRWTILIQDLSKSELVKCLDEETEIGKSDFDVLLWWKVNSPIFPFLSEMTRDVLVIPVSSVASMCAFSNGGIILDSFWSSLTPKLV >Solyc05g006590.3.1 pep chromosome:SL3.0:5:1213567:1219232:-1 gene:Solyc05g006590.3 transcript:Solyc05g006590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BWI5] MPSHADVDRQIEQLMECKPLSEAEVKTLCEQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLSLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEISENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >Solyc11g039480.1.1.1 pep chromosome:SL3.0:11:44564135:44564362:1 gene:Solyc11g039480.1 transcript:Solyc11g039480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGCSRDALQVGASSHAGCCCLAGARCYWLLHVRRLLLVGVIFLPELILTISLTEVRGEESSGDADSRFVDQRY >Solyc07g042900.3.1.1 pep chromosome:SL3.0:7:56550897:56551426:1 gene:Solyc07g042900.3 transcript:Solyc07g042900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQQQQVEEEDEHVYTLDEALTALGFGKFQYLVLCYAGLGSMVDAVEVMILSFIGPAVKSQWGLSSTQETLITTVVFAGMLIGAYFWGILADNYGRRQAYLTFFNIY >Solyc10g008780.1.1.1 pep chromosome:SL3.0:10:2845128:2846876:1 gene:Solyc10g008780.1 transcript:Solyc10g008780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHLEVSNENEDKSSSSSQQDDDDDENKDEFFQEILQSDYQHMQQWPNYFTPPSSTLVPKKQNNTQCPSHKEETKKVSLKRKPKRAKQDVMEVHGSRIVRATGRKDRHSKVSTATGPKDRRVRLSPNTAIQFYDVQDRLGYDRPSKAIDWLIKEAQRAINALEKAPFQVFSNKINSTNKALNVRNVENEVGQFALEQSWSADKKTELEELARRSSKLNATVQYSTDQFPEGCYKEQSSKVCQANATQIVNSSANGSKIQSFCQIQTHPQAHLHSQTKRQSPNDAKIQSFCEYQTHPQSHFHSQTKKQSPNVAKTLSFCEMQTPSQGHFHSQTKNQPTNDAKIQSFCQLQTHPQSHFQSETKNQSPNVAKIQSFGELQTTPQSHFHSQTQKQSTNDAKIQSFCELQTHPQGHFHSETKNQSPNVAKVQSFCELQTHPQSHFQSETKKQSPNVAKIQSFCELQTPPLSHFQSETKNQSTQLGNFFSFQSCHQNEPISFSGDHHHGFSFSPQNFPTVLGQNQMFNYQREPLQSTSNFPQTISYSNNIGFANDGLLGLSSAPITQQQQQQDEQGSISTTLLHYQD >Solyc11g020300.2.1 pep chromosome:SL3.0:11:10749231:10762653:-1 gene:Solyc11g020300.2 transcript:Solyc11g020300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGIVSSPKMVLGLSSNSVISSKPFFGLPHLPKRPFKNGRTVRPTTCFEVVSCFQGPRLTKKIVLGKSGRGSFASTTTSGGKQTSSVGVNPQFSAPSPPSQMGSPLFWIGVGVGFSALFAWVASYLKKYAMQQALKTMMGQMNGQNSQFSNTAFSPGPGSPFPFPFPPPPVSGPASSSPPPPTASSSSTPSASFASQPVTVDVSATKVEEPPTVNVKNDKEAEKEPKKNAFVDISPDETFQKGAFENFKDSAETAAVTVDQVTQNGAASQSGFGSNTSDSTSSTGKSNPLLSVDALEKMMEDPTVQKMVYPYLPEEMRNPTTFKWMLQNPQYRQQLQDMMNNMGGNPEWDNRMMDSLKNFDLSSPEIKQQFDQIGLTPEEVISKIMANPDVAMAFQNPRVQAAIMDCSQNPLSIAKYQNDKEVMDVFNKISELFPGVSGAP >Solyc04g008290.3.1 pep chromosome:SL3.0:4:1974229:1980223:1 gene:Solyc04g008290.3 transcript:Solyc04g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVQALLATLYLENCSLVGGDVQQIWTDIGESEVDKDRMLLELERECMEVYRRKVEEAANAKSRLHQSVAAKEAELATLMAALGEHNINSPIQSEKMSASLKEQLGLIMPLVDDLKAKKDERVKQFADIKTQIEKITSEISGSCNIVNSLSTLNLEEHDLSTRKLSECQSHLRALQKEKSVRVQRVLDSVNEVHTLCGVLGLDFGETVSNVHPSLHETSMGQYTNISDSTLEGLDQAILKLKTERKVRYQKLKDVAGSLFELWKLMDTTREERRKLSRIISFLDISESKVVEPGALTLEVIQQVSAEVGRLTKLKASRMKELVMKRRAELEDLCCRTHIQPDSSTAADKSSAMIDSGLVDPCELLANIEAQINKVKDEALSRKEIMDKIERWLSSCDEENWLEDYNLDHTRYSGGRGAHINLKRAERARITVTKIPGMVDSLVSRTLAWENENQKLFLYDGVRLVSILEDYKVSRHQKEEDKKRARDQKKLQDMLLAEKESIYGSRPSPRRSSSFRKTNGFHTNGNGSVTPSPRRNSVGCATPELQTPRSYSGRQNTYFKEMRRLSTVPLNFVAMAKEETMSFSSIGGSEPESPPQG >Solyc04g082255.1.1 pep chromosome:SL3.0:4:66068595:66069964:-1 gene:Solyc04g082255.1 transcript:Solyc04g082255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSALPSMKRLMNSSPSTHRPHRLLPWSSLSGLTSEIKARIYKAWLTQGNGKDNLQESCTCWPRNGFPPPPPMATWPIFLLNPNLSTICLATRETCMKSPDSSLSSLGAKKVRPPAWPRGIIDILATGSYSGISAPTKAWPASVIQTSRLAARMAASFIKFCKSAPVKPGVLLAILSKSTSLARAFPLECTCHSE >Solyc02g070810.3.1 pep chromosome:SL3.0:2:40972351:40984188:-1 gene:Solyc02g070810.3 transcript:Solyc02g070810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCLSLLLLLLLLPLSSPFPATGPHIADVNILLPPKMTHPVEYRLQGSDGCFKWTWDHHDILAVLPEYNVSNQCSTSARLKSIASYSGRKETAVYATDVHTGAVIRCKVYIDIFSRIQIFHSSIKLDLDGLATLRVRAFDTEENVFSSLVGIQFMWDLMPETDGLPHHLNHILLKDSPLSDCGGLCGDLDIQTKLENSGVFSDLYVVKGTEIGHEIVSVHLAEPSVKYMEDKIVLTVAEAISLEPPSPVCVLIGAVVHYSLKVIHGNMPYLVTLPSAFYRWSVSNSSVAQVDRMVGTAKALNLGITTVTVEDTRVVGHTQVSSFYVVLPDSLSLYILPLSLSGDHIEGTEPISSVARWYVVSGREYLIQVMVFSKGTWAQQEVYLTENDDVKLHDDPSEIWSIVPSSNHVGEKGISRILKALSYGLGKLTATLTYSTGHEETKEVLKVVQEVMVCDQVKFGMEGASGSITLPWAPGVYQELELKVTGGCAMVSADYKWFSSDMAIVSVSTFGIIQAKRPGKVTIKAVSVFDSLNYDEIAVEVSLPSSMIVLPNLPVETPVGSYLRAAVTLKTVDGDLFYKCDAFTPSIKWKTGNDAFIVVDAGETFIPEKQESLPIGSEKYVPACAWTYVYAANSGQTMLHATLSKEFQQYDHSTSGSVVLQATSRIAAFVPLILHPASDGNQFGGYWFNLVQAEADNRLENMEHLYLTPGTSFEVMLRGGPTRWDQGVEYVESVESLDEHNLRVQDGAIVNQEFTSYGSTYRIECQDFGIFRLHFIRGNLIGEGHPLPAVSEVQLSLTCGFPSSIALIADETVNSVEVIQSAAQADRGSGMIRTSPVTIANGRTVRLSAVGISETAIAFGNSSSLHLKWELKDCDDLAFWDDIHNLAMLSTWEKYLVLTNATGLCVVRATVTGSIDSVSHRHTLKHFPGSEHDLTDAIRLQLVSSLRVYPEFSLLYLNHDAKLNLSITGGSCFIDAAVNDTQVVEIIQPAPGLQCVQLLLAPKSLGIALVTVRDVGLAPPVSAFSVVQVADMEWIKITSGEELSIMEGSSLSIDFLAGVSDGNTFDPSQYVYMNIRVHIEDHIIELVNEDDFSCCDDGYVNVPNFRIRATRLGITTLYVSARQHTGHEILSQPIKVEVYAPPRIDPSDIFLVPGASYMLTVRGGPKTSAYIEFVSMDNEVAKVHTTTGLVSATSPGNTTIVAKMYRNGDIFTCQAYGEVKVGVPSSAMLNVQSEQLAVGHQIPIIPSLSEGNLFSFYELCRNYQWIINDDEVLSFQAADSLHVGNHGMHMSREKGNGLTGYVGDNDLGFIQVLHGRSAGQTDVTVSFSCDFVAYKSFSESRSYTASISLSVVSELPLALGSPITWILPPHYTTSALLPSASRTFSKGDPSIGKVTYSILGDCRRKAELEEDDPILIDGSRIRTKESGNLACIQAKDRSNGRVEVASCVKVAEVTQIRFTAEKLLVHTLAIGAEIDVPIKYYDVLGNPFLEAHDVIPFGVETNYHDVISVEDAVDGTGNVHLKAISYGRALVRVGFANEPKNSDYMVILVGAHLHPQNPTLHLGSGLNFSIEGLSDQVSGQWFTSNASIVSVDQQSGHAKAIGEGSVQIIFECSNMKLQTTVTVSQPEMMSVDAPREILTNVPLPANGYSFLVKLNDAYRHKYKSAKNRAIFLFDCLVDPPYVGYVKPWVDLDTGNSYCLFFPYSPESLVLATPKSGGIKQDLAVTIKASLIGEQNISGSASALFVGGFIIPGTEGDSLQLNLTPQFNRSVLTVVGNTDVSIYWHDRERLAVRPIHGEDSQGRSRAQYEIKIRRAEKFKDKLIFTLPATGQITEVNVNYEPEERRATIINLNLWATAAACFILLIVTATVFISYLDQPVRSRPSAPPGTPSVAAPVTPERSSPAGTLGGGLTLRTLTSILWGQFTSSLLPLLPLLDMPNGFSEYCKLCVIGYFLEKQPHLPKNSYVLLSAGKGNTTALSTVQLAYAQTRKHLSDEAVEIVLIIFGKIRRKCTTRALLSHSKDAVLKEFHEKKALKIIAGLQNLDKENVASVVTAADKGGATHVDIACDPELVKLAISLTSLPVCVSSVDPSAFPAAVEAGALMVEIGNYDSFYEKGLVFSPEQILNLTKETKKLLPSVILSVTVPHTLSLPDQVKLAEQLELEGVDIIQTEGGKCSTPSKAGILGLIEKATPTLAAAYSISRAVNIPVMCSSGLSAVTAPMAIVAGAAGVGVGSAINKLNDQVAMIAEVKSIAHSLGLSTKKQNLFEGSNLIV >Solyc12g010233.1.1 pep chromosome:SL3.0:12:3349196:3354901:-1 gene:Solyc12g010233.1 transcript:Solyc12g010233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSFPNQQPNDPFKRMSPRHGRNKITGCMQWEEPQLLASWVFQAKAEAPTREAVLQQPQQGKQHCNSRSSHNKGSEHLAESISNCLLDWKLDNVFTVTVDNASSNDVAVLELSKKLDMWGTNLMEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDASDDLDLSKMASGMKEKFKKYWGTPEKMNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFTIYVSKYGKGSKSQPSSSDSSDSSGSGISQNMSKNSLRTKLHMKKQMNDSGSVGVKSELDKYLLEDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSTGGRILDPFRSSLTPKCPRVKTMKK >Solyc04g050967.1.1 pep chromosome:SL3.0:4:49115694:49120719:-1 gene:Solyc04g050967.1 transcript:Solyc04g050967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYGKFSGGWRELEDLRKRIAIPCGIKGQDKELISKLKKDLSKSFDMKDLGPTQQILGMKIVRERTKRKLWLSQEKYIERVLERFNMKSAKPVSTPLAMGSLMYAMVCTRPDIAHAIGVVSRFLENPGKEHREAVKWILGYLRGGAVSWQSKLQKCVTLSTIEAEYIAATKAGKEMVWLKRFLQKLGLHQK >Solyc03g118200.3.1 pep chromosome:SL3.0:3:68619340:68629711:-1 gene:Solyc03g118200.3 transcript:Solyc03g118200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVDRRQSAVPELTKEINQMPLTKPSMLSLSLVVTKASTLRSSCLYLLPAYVIVMCFPSDPMAVLYSKEKDGSLKELTRTEVVLNSLNPKWITKYTMAYYFETVQNLVFRVYDIDTQFHNQDVKMLKLEEQDFLGEASCTLAQIVTKSDRSLTMDLVCGEQFSGQAHARKFGKLTIFAEESISSKTTVELKLRCSELESKDLFSKSVQTHQNSIIYCHFESYDDPFLVVSKTTESGIVVPICKTEVMKNDHSPTWKPVFLKIQQIGSKENPLIIECYDFNSNGKHSLIGKIQKSLLDLEKLHSAGTGANLFIPTAMGPKQENKVKSSLIRQHNCQLSLFNHDNHWISIQVLKSQLFVESYSEKIQYTFLDYLAFGYELNFMVAIDFTASNGNPRLPDSLHYIDPSGRPNAYQRAILDVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSSTYCEVEGIQGIMMAYISALHNVSLAGPTLFGPVITSAAHIASQSLTNNERKYFVLLIITDGVITDLQETKDALVQAADLPLSILIVGVGGADFKEMEILDADKGERLESTTGRVAVRDIVQFVPLRDVQNGDVSVVQSLLAELPSQLLEYMRSRKIQPTL >Solyc03g094135.1.1 pep chromosome:SL3.0:3:57371092:57372678:-1 gene:Solyc03g094135.1 transcript:Solyc03g094135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHHHFSYDDLITCPPVNINFDGPAIFPAEEITVLSSNNVVVPDAEHIEVFPSVVAVLIESFEVRKSTRGSKPPIWHKDYVIKTGSSSCTYSIGDNIDYTALTSTGFQQSAGDYSLFTKKEGDKISIILVCVDDLKLTGNDWFMLQHTKDVLHTTFKIKDLGDLTYNLGIEFARSEARILMPQRKYALDLVADMGLAGAKPITTLMDQSQKLTSTEFDHMGIHLFPGLVVQQKLSIGLWPLPLQKWYG >Solyc06g066020.3.1 pep chromosome:SL3.0:6:41492809:41495247:1 gene:Solyc06g066020.3 transcript:Solyc06g066020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPX6] MELELGLGLALPNSNPIKYSYLNDNNINIDTFDDNFSEMKKIDNDDYGSNKVEGKTLSLLIWNGQPNEEEEEDNDDGHQKRRYFEACYDQEFKEENGVVGWPPIKSWRKKLIHGINHEVGWNKNNNNNNNNNHRHNIGIRNSMYVKVKMEGVAIGRKIDLMLYNSYQILTNTLLQMFNKSHESCDENDGRFTLLYQDKEGDWMLAGDVPWETFMETVQRIQILSNWKSGGSTRKSSHIPQQF >Solyc02g090860.3.1 pep chromosome:SL3.0:2:52966280:52977263:-1 gene:Solyc02g090860.3 transcript:Solyc02g090860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAEDAILAYLKDNDEISNSAKFAQDFGFGHDEILNVIRRLHGFRFVDAQDIRRERWVLTEEGKTYAAVGSPEFQLFSAVPPEGIAREELQKKLDPAVYKIGCQQAIKNKWVEMAKTHVSRKVQHADDKVKNLLLRIQNDEAVNQEDIDALKRRKLIIQQVWKGNSVRKGPEYAPKRKRAATDLTRENLQRGDWKELEFKEYNFSAKGQPVEGGHLHPLLKVRRQVQTIFLNMGFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLKVPSSTKMLPEDYVERVKEIHESGGYQSRGYGYDWKREEANKNLLRTHTTAVSSRMLYALAKKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLICDRGLTLGDLIGVLHDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGPKVDLGLIKRNPICRLGL >Solyc04g015635.1.1 pep chromosome:SL3.0:4:5930825:5935387:-1 gene:Solyc04g015635.1 transcript:Solyc04g015635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRKAVTLVSQSLTQASSEVRGHKSPVFGECTMTENIIIDKISISTNDRGNNGDFVVVPWKFDQEECRKALCRIVIIDELPFRFDEKEDFKQFMKVAQPCFHIPSRTTVTRDCFDLFDEEKRTLLSSDSEGVKRITKFLEIYLVHDMLHQIFIFLKFVKLVYLNQLISNEDQVLAKMTENMKEKFDKYWGDAEKMNKMIFIPCVLDPRHKFSTLGFALEKIFGEKKVAIENGVQTYMEALFSEYTNPISNDKSDQFSSTGMDTSISSSVGEFGIFFEELHKHKSEKGGASSKSELVKYLDEDTEIEKSDFDVLLWWKVNSPRFSFLSEMAQDVLAIPVSRRILDSFRCSLTPILVQALVCLQDWLRSEPQPISIEEDLDFLEQLEEDFIMHQSLVWNHYEKLEENEDASWKNYVKSNINK >Solyc06g031697.1.1 pep chromosome:SL3.0:6:20893444:20896300:1 gene:Solyc06g031697.1 transcript:Solyc06g031697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4C4J4] MSWLFKFVKEGKAYLAVTLLQFGYAGSAIIAKSALNHGMSHFTFAVYRNVFATIVFAPFALVFERKIRPKMSISIFLKIMLLGLVEPVIDQNLYYTGLRYTTATSAAAMCNLLPALTFLLAWILRLEKVNIMRLSSQAKIIGTTVTFGGAMIMTLVGGPTIGLPWTRHDHINIIPSSTTDLQPIKGALFIAAGCICWACFYNLQAITLKTYPASLSLTCLICSAGALQGTLLTLVVERGNTSIWAIQWDTTFLSYVYSGMVTSGVGYYVSGLIMKEKGPVFVTAFNPLNMVIVAILGSFILSEELNLGRVLGGAIIVIGLYLVIWGKSKDQQSLSIEETAQSEFVDQKEISKSSNQAKVGDESV >Solyc02g077170.2.1 pep chromosome:SL3.0:2:42758136:42763253:-1 gene:Solyc02g077170.2 transcript:Solyc02g077170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLQKDEELRTKFEDFKKHINAEHKIEKGAAMSKIAMLEMEQQAASSKIEELEKEKEDAAKKIAFFEKELETKEEKIGKYMNAPLQKEEELRTKFEDYKKYINAEHKIEKDSAMSKIAMLEKELETKEVKAYKQAIRALREVQVEDKEPTEKVKELTKTVQKLTKERQYWETLAKKLKGKESETTELVEARKKMISVLSELNYDDVDIGIKRFGAIDTCPFRAACKQKFSHQVAEEKATELCSIWQANVENPKWNPFKTVTLSGEVQAETIDQEDQHLQQLRKDWGYEVYLAVVIALKEIKEYSMKERTTTHQLWNFEEGRKATLEEAICLMEEKLTEAKIKDTGFVKVDELKPGTEGINLIVKVLNTDVVFDKREEQQSLPSYHYSSFRTTRVAESLVGDETGTIILTTRNEQVDLMTPGSILLLYGAKIQIYRGFLRLAVENKMQIKVAEPMEFDVDDDEDCNLSLAEYEAILKY >Solyc03g111930.3.1 pep chromosome:SL3.0:3:63987754:63997559:1 gene:Solyc03g111930.3 transcript:Solyc03g111930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLLVPLLASIYFLHQLFFSPSNTQKRLLPPSPTKLPIIGNLHQLGSLPHRSLHQLSNKYGPLMLLQFGSKPVIVASSVNAARDIMKTHDLVWSNRPKSSITDKLFYGSKDVAFTSYGEDWRQIKSIFMFHLLNNTRVQSYRRVREEEISNMIDKIRQQCDSVIDLRDVLSCMTNNIISRVTTGRTYNEGESGIAVKALLEQLLVLTGTFNIGDYIPWLKWLNKINGMDCRVKKVAKDLDAFLESVIEERVIRNKKGGCSVGEAIDFVGILLEIQNGKETGYTLQRDTLKALLLDAFVGGTESVYTSLEWTMTELLMHPRVVKKLEDEVRELGQGKTEITEDDLRNMHYLKAVIKESLRLHPPNPLLLPRESREDVKLLDYDIPAKTQGLINAWAMGRDPLLWDDPDEYRPERFLNSDIDVKGQNFELIPFGAGRRGCPGITFAIIINELALARLVHKFNFALPKEEDLDMTECNGLSVRRKLPLFAVATPSSS >Solyc03g115860.3.1 pep chromosome:SL3.0:3:66945208:66949536:-1 gene:Solyc03g115860.3 transcript:Solyc03g115860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFDLEKQFAFYGAYHSNPVNVLIHMVFVWPIVFTALILFEFTPSLFNLPPIQLCEHSSLVLNYGFLLTLGYALFYVCLDKKAGSLAALLCFICWVSSGMVARQLGFSLAWKVVLAAQLFCWTGQFIGHGVFEKRAPALLDNLAQAFLMAPFFVLLEALQSLFGYEPYPGFHSKVKATIDAEIKEWQEKKQKKIS >Solyc06g071660.2.1.1 pep chromosome:SL3.0:6:44272060:44275271:1 gene:Solyc06g071660.2 transcript:Solyc06g071660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCYNSPCAACKFLRRKCLPGCIFAPHFPPEEPHKFANVHKIFGASNVTKLLNEVLPHQREDAVNTLAYEAEARVRDPVYGCVSAITFLQRQVERLEKELDSAKADLMRYASNDMSQPLIGTHHHEGENIGSTLHNMAMSRRMGYDHHGGGSYFPWNDQNNSGGGGGNI >Solyc03g120910.3.1 pep chromosome:SL3.0:3:70626722:70634805:1 gene:Solyc03g120910.3 transcript:Solyc03g120910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCKDGKSVVLDNGKYVRYTPEQVEALERLYHDCPKPSSMRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQSVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRRQSHSTPLATKDTSCDSVVTSGQHHLTSQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVSEILKDRPSWYRDCRVVEVLNVLPTANGGTIELLYMQLYAPTTLAPARDFWLLRYTTVMDDGSLVVCERSLGNTQNGPSMPPVQNFVRAEILPSGYLIRPCEGGGSIIHIVDHMNLEAWSVPEVLRPLYESSAVLAQKTTVAALRYLRQIAQEVSQTNVTNWGRRPAALRALSQRLSRGFNEALNGIADEGWSMLDSDGMDDVTILVNSSPDKLMGLNLPFANGFSPMSNAVMCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYAAAAIKVGPCSLPGARVGNFGGQVILPLAHTVEHEEASLVLLEVIKLEGHSPEDAIMPRDMFLLQLCSGMDENAVGTCAELVFAPIDASFADDAPLLPSGFRIISLESGKVREASSPNRTLDLTSALETGPAENKAANDLHTSGGSSRSVMTIAFQFAFESHMQESVASMARQYVRSIISSVQRVALALSPSHLGSHGGLRLPLGTPEAHTLARWICQSYRCFLGVELLKLNTDQGSESILKSLWHHSDAIICCSAKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGKKNLCTEFPQIMQQGFACLQGGICLSSMSRPISYERAVAWKVMNEEDTAHCICFMFVNWSFV >Solyc12g098940.2.1.1 pep chromosome:SL3.0:12:67267856:67270140:-1 gene:Solyc12g098940.2 transcript:Solyc12g098940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Solyc01g044372.1.1 pep chromosome:SL3.0:1:43238743:43240464:-1 gene:Solyc01g044372.1 transcript:Solyc01g044372.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSKEAAQVVSITIYPLKSCRGISVPEAALLLLSESSRISITLLTCSRLGQNAKLQQTFHMQRQGLTMANDYFSTLACLGWIVHKAHERDGQTPRPPRTRRLEPIVTTNEA >Solyc03g093335.1.1 pep chromosome:SL3.0:3:56018424:56023148:-1 gene:Solyc03g093335.1 transcript:Solyc03g093335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGINYEVNDILTVDGGGCRDFVFYITSSVKTPDGKDVILTVIRPKILDGFHEDLLKDSKRELDVVS >Solyc08g007470.2.1 pep chromosome:SL3.0:8:2037213:2043526:1 gene:Solyc08g007470.2 transcript:Solyc08g007470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGRDACWEHCVLVDATKQKVRCNYCRREFSGGVYRMKFHLAQIKNKDIVPCGQVPNEVRDHIKNILNNPKKQKNPKKAKLDQAANGQESSSSSASGGIHPPHDGFSGQNGSPCPPSIMLARRSSSLQPAVDDVQKQKQDNADKKIAEFFYHNAIPFSVTKSFYYQEMVDAILECEAGYKAPCTEELGTKLLEKVKVDIDDGYKRLRDEWKETGCTILCDCWSDGRAKCLVVFSVTCSKGTMFLRSVDVSDHADDPHYLFGLLESVVLEIGVENVVQVMTDSSASYIYAGRLVMKKYPSVFWSPCASHCINKMLEDFSEHDWVNVVLKEANMITKYIYSNDWMLDMMRKFSGGGEFVLVRPRFTNFIAIFLSLRALVIQEDNLKHMFSHAEWLSSIYSRHPEVQAIKSLLCLERFWRSAREAVTVSEPLLKLLRIVDGDMPAMAYMYDGVERAKLSIKAFYKDVDEKFVPIWDIIDRRWSMLLQSPLHAAAAFLNPSIFYNSSFKIDARIRNGFQEAMTKMASEDKDKVEITKEHPMYINAQGALGTEFAIKGRTLNAPADWWTGYGYEIPTLQRAAIRILSQPCSLHWCRWNWSTFDGVHEKRRERLELDRFNDLVYVHCNLWLRALIRSKDGKWKPINFDEIDVGAEWPTEAEVAPCTYLDDSWLQLAHFTP >Solyc11g042426.1.1 pep chromosome:SL3.0:11:37474799:37475510:-1 gene:Solyc11g042426.1 transcript:Solyc11g042426.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYISSSPKKEELKEIRSRFVEYIFKGNNKPNGYCEETNDIAYHSFKRELYRRKDYSKMDFIFTSPTIMEDTIPSTALLANSNRKV >Solyc01g011475.1.1 pep chromosome:SL3.0:1:9301317:9306225:-1 gene:Solyc01g011475.1 transcript:Solyc01g011475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALKYLAITHPDIQFSVNRVAQHMHQPSTFLALLVVVYSFDPGNWSFGVSQIQIRRMIKMTENLHREKQPKVSRSSTKAEYRALALLAAETMVGEGVGLEECIYSLPFSSVSRTIGAE >Solyc03g044800.2.1 pep chromosome:SL3.0:3:10567050:10567885:-1 gene:Solyc03g044800.2 transcript:Solyc03g044800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPMDTEFVYNKGLDKGPTSVVLGPKVLATIYYQFCPPEDLTLATYLVRPVPFFDESVLLTNTELSKEKYGSVHRVYVVCEKDNVLNEQQFQRWLINNNPPDEVHMIQDAGHMVMFSKPRELCSCLVMISQKCH >Solyc12g099200.2.1 pep chromosome:SL3.0:12:67415386:67416878:1 gene:Solyc12g099200.2 transcript:Solyc12g099200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIFLIMFLAMLLVTSGNNNLVETTCKNTPNYNLCVKTLSLDKRSEKAGDITTLALIMVDAIKSKANQAANTISKLRHSNPPQAWKDPLKNCAFSYKVILTASMPEAIEALTKGDPKFAEDGMVGSSGDAQECEEYFKATTIKYSPLSKLNIDVHELSDVGRAIVRNLL >Solyc03g121390.1.1 pep chromosome:SL3.0:3:70982791:70984794:1 gene:Solyc03g121390.1 transcript:Solyc03g121390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFPSNGVWEVASTLTVLSAISAYPPPNNRLQSRRMNMITAFRGQIMKLKRLATAPQIWPTARATSCGLFHIAYATASVILQNELKKLYVELCRDPSNRVREAAAVKIGTFAATIERTAYTKSCEGMFSSKEAIGATRIEWIWFLPFSGYFVMMIKYSRYQIHINMLFQLDELFGVYLNVLLVANSGKIPAFCTFAKLLAS >Solyc06g042951.1.1 pep chromosome:SL3.0:6:30578592:30579285:1 gene:Solyc06g042951.1 transcript:Solyc06g042951.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNKILTKKIEDPVSRQQFYSKCKYSIVKKSNELGVLCDTNIALLMFSPTDEVTSDSSDMIFYPSEGGCQETGSMRFGEMIFGVRYIHGYGYKIKSNHNY >Solyc04g010337.1.1 pep chromosome:SL3.0:4:3703804:3706092:-1 gene:Solyc04g010337.1 transcript:Solyc04g010337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVCYNSNVKNAKCFCERPSRPCLCIHLSITARTTHLEVNHFLVDPDSNFKNAKFFCGRPSRPCLCIRLAIMAHTTHLEGQTIPEASIPLILTIFVCYNKPFFGDPDSDVKNAKLFCGRPLRPCLCIRLAITARTTHLGGQTSPEASIPLISMIFIPTSKMPNVFVDVRQDLVYASIYPSRPVRPIWKVKRAPKRAYPSFRLFSCAIVNHFFVDPDSNFKNAKFFCGRPSRPCLCIRLAIMAHTTHLEGKTIPEASIPLILTIFVCYNKPFFGDPDSDVKNAKLFCGRPLRPCLCIRLAITARTTHLGGKMSPEASIPFISTIFVCYSKPFFGQDLVSASGWPSRPVPPIWKVKRASKRAYPSFRRFSCAIVNHFLSDPDSDVKNAKNFCGRPSRPCLCI >Solyc05g051587.1.1 pep chromosome:SL3.0:5:62824125:62830105:1 gene:Solyc05g051587.1 transcript:Solyc05g051587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAEKIEGSDDLLTSILLLLPARPLFRFKLVSKRWMSLVSDHHFSYLWKPESFPPALILQSPFLDYPCYYIPDKTESKSSVRFFDFIMNDDPFDDVVILNCCGGLLLCRKELFDEYIVCNPTTKEFHTLPSPNVGSLDMSLAFDHSISPHYKVINVGIQFSSVWYCLLIEIYSSDTNSWRRSRVQFPESFLSNLGQCKGVFFSGAIFWIFKGVNSFCYFDIDKEIIHSYQLPKMNEHYYCGCVRGNLHLVGSSVSDSHHIDVFVLENDCSSWSRKLNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLLPYLVLYMPYKAELTVKGRTCEELPLPSVYHLFDNPYWIGGSKLSNVGR >Solyc02g014480.1.1.1 pep chromosome:SL3.0:2:17115512:17115946:-1 gene:Solyc02g014480.1 transcript:Solyc02g014480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSAFRESKGSPSFNRIIDYLGSSIFTCRRCKLLLWCTTDRCSSWCSRCYLEQNLSGPQQCSSSVSDYVLDFLSGRGLAHIVSFRSRVELEVHQSVGLATSIGVGEVYLLPDCESREGCGAGMFRTYKDFRSLVSLGLRNLYP >Solyc10g052775.1.1 pep chromosome:SL3.0:10:53406867:53413846:1 gene:Solyc10g052775.1 transcript:Solyc10g052775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRDRSFGTLNLSQELYIEKVLIRFRVNDAKPRTTPLANHFKLSKEQSPKPAEKRDYMSLVPYTSTVGSLMYAMVCTRPDIAHVVGVVSRNMANPGKEHWEAVKWLLKYLRGTSSASLCFGYGKVTLLGFVDADLGGDVDSIKSTSGYIYSIGGTTVSLMSRLQKCVSLSSTEADFVGELKKGIRFVVNMFKPTTLKLAIEKARMQEKAIEVVQRSNKARVVFPRRYEARPVDLMLFKHSPLVYEYKKSNRMCFLYRDTYNIGQCNVGNQCRPKQLNWLIGKVKTGEEVSSEVEDPSYTILSIEGEIEQEVQEVVWLNAFTGNNQGVNTILVSGTLKNTSLTLLIDSGSTHNFVDQHTITKAVRVTEADGNYAMCISNCKGFKWKMQWRSFQEDLLITLVGVFEEPNLLNPIEALDHAIPLKPGMDDKLDELYDFVIFSKVDLRAGYHQIRMKADDIHKNTFRTHLGHYEFKALMNQVFQPFLRKLVMVFFDDKVIYSISLKDRLEHLVVVSDTLSENSLYVKRLKCSFGEPKVEYLVHVVTGEGVTTNPSRIQEMLGCPTPKSLKDLRGFLGLTGYYMKYGAANLAFSTLKSDISSTPVLVLPDFTKEFIVETDASHNGIYAVFRQEGRPITYFSKVLAPKSKEKSIYEKEYTAL >Solyc02g032330.3.1 pep chromosome:SL3.0:2:28698740:28724874:1 gene:Solyc02g032330.3 transcript:Solyc02g032330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQERWCVVTGGRGFAARHLVEMLIRYEMFQVRIADLGTAIKLEPDEEEGTVGKAMRSGRAKYVSMDLRDKSQVLKACEGAEVVFHMAAPDSSVNNHQLHHSVNVLGTKNIIDACVELKVKRLIYTSSPSVVFDGVHGITNGDESLPYPSKHNDFYSATKAEGEALVIKSNDTKGLLTCCIRPSSIFGPGDRLLVPSLVAAARAGKSKFIIGDGNNMYDFTYVENVAHAHVCAERALASGGAVAEKASGQAYFVTNMEPIKFWDFVALILEGLGYGRPRIKIPASVMMPIAYLVELTYKLLAAYGMKVPQLTPSRIRLLSRSRTFSCSKANDRLGYTPIIPLQEGLRRTIESYPHLRAEQRLKKEGPSKASLFLGSGRVADTLLWRNKKQTLMAALVLAAIYFNFIASGSTIITSISTILLVASVFLFIHGRLPQRIMGYKFDKVPVSSFHVSEETSHQFALSVVSGWNSAVKGLKSLCKGADCMFFLKVALSLLILSILGTISLQSLFAIGIPGAFTLFYIYEKKEEEIDHVLQDIVLFTWKLKSDISGKIFSSTKKDR >Solyc06g062660.3.1 pep chromosome:SL3.0:6:39656205:39668352:-1 gene:Solyc06g062660.3 transcript:Solyc06g062660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMGQIENEFGSYGDDKDYLHHLVRIARGHLGDDVTLYTTDGGSRENLNKGTIPGDSVFSAVDFSTGDDPWPNFKLQKEFNAPGKSPPLSTEFYTGWLTHWGEHIANTDATVTASYLERILSKNGSAVLYMAHGGTNFGFYSGANTGADETDYKPDLTSYDYDAPIKESGDIDNPKYQALRRVIAKYTAVPLPSVPSNTEKKAYGLIKLQKTKSLFNIVDTTYFDGVTESENPLAMESLDQMFGFMLYVSDYKAKANGGLLFIPKVHDRAQVFISCPSDNYDENPEYVGTVARWSNTSIRLPHTQCTSDNRIYILVENMGRINYGKYIFDKKGILSPVYLDGKPLQKWKMLPIPFHNLNEDQKNNSVISDAYTDLRESVQIKLKNKRNYHPVPAFYIGHLTVDEVKDTFLSFRGWSKGVVFINGFNLGRFWPSFGPQCNLYVPAPILQEGKNLVVILELEAPNAELFVASVDHPDFTCGSSANSRVHQI >Solyc02g084310.2.1 pep chromosome:SL3.0:2:47999243:48001308:1 gene:Solyc02g084310.2 transcript:Solyc02g084310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQFVDACLSFTGKDESGRCMEVNLMRYLYVGMHAKNFSCAMLVNLEMAQLFEDAGLSFTGKDESGRRIQVNLL >Solyc01g011010.3.1 pep chromosome:SL3.0:1:6821432:6827907:1 gene:Solyc01g011010.3 transcript:Solyc01g011010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASLHLQSPQVLTELIVSYTLMSSSDKDSTFQVPST >Solyc01g109410.3.1 pep chromosome:SL3.0:1:96285486:96297439:-1 gene:Solyc01g109410.3 transcript:Solyc01g109410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCMPSKNKFPINESAERPASQTDITGSEAEGSKKLRIFIVFYSMYGHIERLARRMKKGVDGIEGVEGVLYMVPETLAPDVLEQMKVPPKNDEIPVISVDELMEADGFLFGFPTRYGCMAAQMKAFFDSTGKLWREQKLAGLPAGFFVSTGTQGGGQETTAWTAVTQLAHHGMLYVPIGYTFGAGMFRMDSIRGGSPYGAGTFSGDGSREPSEPEFALAEHQGKYMAMTVKRLAQHYSLPPDTASSRRWSSVRGESENGRGVDALQRNYTQTEKLSSTLKWSEGFFKLQISLNPSGLASIFNMSRALAILSFLLLAVLGNAFSPENPTDRKILVLLDDLSIKSSHSLYFQSLQTRGFDLDFKLADDPKIALQRYGQYLYDALILFSPSIDSLGGSLNAAAILDFVDSGHDLILAADASASDLIREIATECGVDFDEDQSAMVIDHTSFAVSDTEGDHTLIAGDDFIQSDVILGKEKIKAPVLFKGIGHSVNPANSLVLKVLSASPSAYSANPKSKLSTPPTLTGSAISLVSVVQARNNARVLISGSLSMFSNRFFRSGVQKAGSTINHEKSGNEQFVTEISKWVFHERGHLKAVNVRHHKVGEADEPSIYRINDDLEYSLEIYEWSGTSWEPYVADDVQVQFYMMSPYVLKTMSTDQKGVYYTSFKVPDVYGVFQFKVEYEKLGVTSLSLAKQIPVRPFRHNEYERFITAAFPYYGASFSMIYETMCFFSIILSKSFESWNPLCGWQ >Solyc07g061905.1.1 pep chromosome:SL3.0:7:64927075:64929129:1 gene:Solyc07g061905.1 transcript:Solyc07g061905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNFSGKSLTTIVLHHILSRGGREGKMGERITKWEKHLGITFQVGAAVVIFKELGNQKQSDHSQWQLFPIHKLK >Solyc08g080320.3.1 pep chromosome:SL3.0:8:63763264:63767634:-1 gene:Solyc08g080320.3 transcript:Solyc08g080320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKELLRMKTKKANGNGVSSSGWEMRPGGMLVQKRSSDHNSNQSSNIVVPIIRLKVKYGSSYHEVKISSQATFGELKKMLAGPTGLHTEDQKIFYKEKEKDSRNFLDVSGIKDGSKLVLIEDEISREKRYLESRRNAKMENASKEITSIRLEIDKLAKQVANVEMDIYGGKKVTETLLLSLIEMLMTQLIKLDGITADGDLKLQRRMQVKRVQKYIETLDMLKIRNSTLGNDNAKVSMKHKNRIFTGQMAKSIYYQQEQRKMGNFADEKSPGPVVVTTKWETF >Solyc06g005600.3.1 pep chromosome:SL3.0:6:641618:645717:-1 gene:Solyc06g005600.3 transcript:Solyc06g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELSGTLRNLKFMQRASLREEKPKKEEEVIPDGNFSSSSAPKRCVIIMEGDPHPGAIKGRMSFQGFNPSIDKLSEEASKPRSEGSASPACSSETSEWITKRENGTSQYGLENSDVDDTYDDPNEDIKWKQDNTSSERQYSNKSHKRVLDDPASSPSSSQRSKMPQRLDWSVLKPPKSQKRRR >Solyc06g069230.3.1 pep chromosome:SL3.0:6:43104890:43112266:-1 gene:Solyc06g069230.3 transcript:Solyc06g069230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENFEEQGKLPELKLDARQAQGFLSFFKTLPKDVRAVRLFDRRDYYTAHGDDATFIAKTYYHTTTALRQLGNGVGALSSVSVSRNMFETIARDILLERMDRTLELYEGSGSNWKLVKSGTPGNFGSFEDILFANNEMQDSPVIVALAPKFDQNGCTVGLGYVDITKRVLGLAEFLDDSHFTNLESALVALGCRECLVPTETGKSSESRPLYDAISRCGVMVTERKKTEFKGRDLVQDLGRLVKGSVEPVRDLVSSFECAAGALGCILSYAELLADDSNYGNYTVKQYNLDSYMRLDSAAMRALNVMESKSDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLDVDEINCRLDLVQAFVEDAALRQDLRQHLKRISDIERLTHNLERKRASLLHVVKLYQSGIRIPYIKSVLERYDGQFAPLIRERYIDSLEKWSDDNHLNKFIALVETAVDLDQLENGEYMISSAYDPNLSALKDEQETLEQQIHNLHKQTANDLDLPIDKSLKLDKGTQFGHVFRITKKEEPKVRRQLNSHYIVLETRKDGVKFTNTKLKKLGDRYQKILDEYKSCQKELVARVVQTVASFSEVFEGLAGSLSELDVLLSFADLASSCPTAYSRPNISPPDTGDIILEGCRHPCVEAQDWVNFIPNDCRLVRGESWFQIITGPNMGGKSTYIRQVGVNVLMAQVGSFVPCDNATISIRDCIFARVGAGDCQLKGVSTFMQEMLETASILKGATNRSLVIIDELGRGTSTYDGFGLAWAICEHIVEEIKAPTLFATHFHELTALANENGNNGHKQISSVANFHVSAHIDSSSRKLTMLYKVQPGACDQSFGIHVAEFANFPQSVVALAREKASELEDFSPRAMMPNDCKEVVSKRKREFDPHDVSRGTARARQFLQDFTQLPLDKMDLKQALQQLSQMKTDLEKNAVDSQWLQQFFSSSN >Solyc01g094560.3.1 pep chromosome:SL3.0:1:85862988:85865354:-1 gene:Solyc01g094560.3 transcript:Solyc01g094560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKQPNTGLSVGLNKGHVVTKKELAPRPSDRKGKTSKRIHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRATGGGEKKK >Solyc05g006200.3.1 pep chromosome:SL3.0:5:868303:875840:1 gene:Solyc05g006200.3 transcript:Solyc05g006200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELDESKFDMHLKLWALRIPREHCKAAMKILNGHLFDRPRVKPITEDPTNEKTRYMIFSEKVKTPDLSDIPAQKLAELKGLCQFEVAPYSLSLGYSYWSADHILKQILPSGLDVPSSFETIVNLCHIAHLNITDDLLPYKDVIAKVIYDKNYPRIQTVVNKVGTITNEFRVPKFEILAGKDDMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVSKFQAGETICDMFAGIGPFAIPAAQKGCAVYANDLNPDSIHYLKTNAEISKVDHLVFPYNMDARKFISQLVAVPCNGSNAEAEIDCSDKFSGEAHREKLSEDYDVKEVPDGTSCNTAGLGGSSVIEDMNVTSVKRRAESSQEEGERRSAVNDGVCVAGRRKRGPNKRVKGSESLNTKPSEHVDHVIMNLPASALEFLDAFRGLIRRRYWKGSLPWIHCYCFIRASETEDYIISVAESALGAKVQNPVFHRVRDVAPNKAMFCLSFRLPEETCTDD >Solyc05g054050.3.1 pep chromosome:SL3.0:5:64876105:64879942:-1 gene:Solyc05g054050.3 transcript:Solyc05g054050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISKAASESDLSVHSTFASRYVRVSLPRFKMPENSIPKDAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGEGEAAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAQGKPHDKPNIVTGANVQVCWEKFARYFEVELKEVKLEDGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKRLNDLLVEKNKETGWDTPIHVDAASGGFIAPFIYPEIEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEVNKNIMENCQENTRVLREGLEKIERFNIVSKEIGVPLVAFSLKDNSKHNEFEISEHLRRFGWIVPAYTMPANAEHVTVLRVVIREDFSRTLAERLVGDIVKVLHELDNLPARVTAKLAAIAEGEGSSGHKKSPMEVQLEITNVWKKFVAEKKKTKNVIC >Solyc06g084410.3.1 pep chromosome:SL3.0:6:49578662:49582424:1 gene:Solyc06g084410.3 transcript:Solyc06g084410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAKLQKQFMDFISALYREFLQLQNLQDESNPDFVREVVSLFFVDSENLINNLTAALQQQVVDFKQVDSHVHQFKGSSSSIGAQRVKNACVAFKTFCEGKNIEGCVQCLQQVKHEYFLVKNKLETLMMLEKQILAAGGRIPDLS >Solyc01g014770.1.1 pep chromosome:SL3.0:1:14974836:14975357:1 gene:Solyc01g014770.1 transcript:Solyc01g014770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVDDQVVVDLSHATVLEELMMKKLEARNGLLQQAKENAVKNIIIDTMVRTSIVLLNLINDAMDIPDKDNGRFLVKMMLFQLHSLIREASCLVKCMCVYKGFGFPWLFPFHYLILLWVMRRERRRLFFKI >Solyc03g078690.3.1.1 pep chromosome:SL3.0:3:52804598:52806178:-1 gene:Solyc03g078690.3 transcript:Solyc03g078690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVGAELVVITISLKSGLLTSSTPSIINLIWSPILGLSSGSPSGTVTNLKLGYFPKRTSTSV >Solyc11g065327.1.1 pep chromosome:SL3.0:11:51049656:51051152:-1 gene:Solyc11g065327.1 transcript:Solyc11g065327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIIITGSNPSYVSKLVQQFGKEFVMKDLGPLHFFLRVEVKYFDGVTHLAPKHGLHEVVGILVEASFLQNDSRELSILDSHKIDITRAVNLASQFMQNLNSGHLQGVKMILIYIKSTLHFGLRLISQSPCRSYRYSSYADWEGCTKTRRSATGYSIYLGANCISWPSKKQSTVARSSVEAEYRALDSTSLEMTWIMYLLHDLGFVRDNVARGKLVTQFVRSKDQLADIHTKALTQNHQTRNH >Solyc12g016190.2.1 pep chromosome:SL3.0:12:6312058:6326737:1 gene:Solyc12g016190.2 transcript:Solyc12g016190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPPPSSQLPPPLPLPLLGYPGGTLSYPKPEAEYHEIVQNSQLFWNKLQKFSASLQTKFQIPLVAGTPLDLHRLFVEVTSRGGIEKVIRERKWGEVKGIFRFPSSVTNASFVLRKHYLSMLYHFEQVYYFRKEEPSISVSDPTSRNVSGSATEHANDDSAATDQSSVSYNLEDGNSLVGTIDAKFDYGYVISVNLGSENLNGVLYHIPALPNQFQKVNTLATPSQRIRKRQLALKDPSRPKPNRSGYNFFFAEHYATLKPSYQGQERAISKRIGILWSRLTEAEKQVYQEKGARDKERYRAEMLEYQTSNVQPS >Solyc05g054890.3.1 pep chromosome:SL3.0:5:65540582:65547124:1 gene:Solyc05g054890.3 transcript:Solyc05g054890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVAENMLQGGENVQFYDQRVQQAMEMSQASAYTSPTLGQMLKRVGDVRKEVTGDETPVHRILDMSDTQSISSHSLPFVLSFNNLTYSVKVRRKMTFPAMLRRPAAGVSTGDPVPGENLFTRTKFLLNNISGEARDGEIVAVLGASGSGKSTLIDALANRIAKESLKGTITLNGEPLDSRLLKVISAYVMQDDLLYPMLTVEETLMFAAEFRLPRNLSNSKKKMRVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRMLFLSRGQTVYSGSPMNLPHFFADFGHPIPDSENRTEFALDLIRELEGSPGGTKSLVEFNKTWENTKRSNENSEMLTPTHGLTLKEAISASISRGKLVSGTTSDIHTSPASMVPTYANPFWIEMVVLSKRSFTNSWRVPELFGIRLGAIVVTGFILATMFWQLDDSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYCLSHALVSLPALIFLSFAFAAITFWAVGLEGGFLGFLFYFAIILASFWAGNSFVTFLSGVVPSVMLGYTIVVAILAYFLLFSGFFINRDRIPPYWIWFHYLSLVKYPYEAVLQNEFDDPTKCFVKGIQLFDNSPLGNVPNALKEKLLSTMSNTLNVKITSSTCVTTGADILVQQGITDLSKWNCLWITIAWGFFFRVLFYFSLLLGSKNKRSSATNYIVGDNSGWDISTDLDTWLLGKKFKIGDVLVFQYSSLHSVSEVTKENFMGCNTSNVLDSSKNGNTTFILTKPGDRYFVCGNRLHCLGGMKLHVNVENADGAAVRSPAAAPSPEGGASFSPSSKSNNPSFVPNFASSNIHVRLDSMILVILGLLLFTIPLV >Solyc01g057130.1.1.1 pep chromosome:SL3.0:1:59053429:59053587:1 gene:Solyc01g057130.1 transcript:Solyc01g057130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGSASSLQPWKTLSFSETNKRKTKGKISMVLPQRTKKKFSQRRIVQPNF >Solyc03g095270.1.1.1 pep chromosome:SL3.0:3:57697666:57698382:1 gene:Solyc03g095270.1 transcript:Solyc03g095270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPSLLTRPAGSWCYRCRFCNEVFTTSQGLAGHQTKHRFEESWIKGAHHHKFFCPSADLPLHCRYLGYLMPNPVVIHNQEHLRHQHLRNPVVQSPQISLSDRRSIFDPLQQSVVSEIPPQPQGHPQHGYLQMLQKHIMNQVNQQRAHQLPHGNKEQIPHVNAHEPITPTLNQNNIYAQHLSQWDQFKIQVMNGALAAKPLELTHSSIGSTSEVTTGWMCEKKNEDHEVEELDLELRL >Solyc10g017985.1.1 pep chromosome:SL3.0:10:6264215:6269945:1 gene:Solyc10g017985.1 transcript:Solyc10g017985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSYNLSLAIIPFDSQESLHALPAILYIDSTGITRWSLMEDALVQGEERSQGTCSKQSSSSCKPPATTLPETPEEPHSILPAKVPMRVTRSAQRTREAETLAKSTKKIRTRKRKSSSSLKFVADTVKSQKRKKISSKSKFHELDDSDYHARVLAFKKRSVIRGRVISGFGGGEMDELVIILQEQGWTELMLQGSFRRKMGRVETREFYINATGTASSITSTILSSILRIPNRGWDHYVKKDWPPLEGNTSQLDICRRFSNDPTLSEYSSVDKGWTRYKSQDKYPIPNIDELLEELHGTTIFSKIDLCFGYNQICVQPNDVYKTAFRTYSGHYEFLIVPSGITSAPSTFQNAMNDLLMSYLRKFVLVFFDDILICSPDSQTHQQHLQIFLHLLFVNSFFSNPKKCLFGQHQVSLLGHVISQDGLAVDSKRIFAVLEWPIPKNAKELRGFLGIIGYYMRFVKNYGIIARPLTELTKKDAFTWHATVEQFPETSKDLGRLTYFLRLEVLYLPTGIMLTQQKYAHDLVARAGLTDDEVVYNPMEINTKYKE >Solyc08g014280.3.1 pep chromosome:SL3.0:8:4057978:4065750:1 gene:Solyc08g014280.3 transcript:Solyc08g014280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSWFSAIKRVFTPSSKEKLPNESEKKGAKEKKNRGKLKHGETKSFIPLFREPSSIEKILGEVDEEMLLSPRFTLPAGAVSPRISSYRFATPTATSPRVASPKASSRRVTSPKAPSQRVTSPRAISPKAHPPRVPSPNVSRNRKEISYAYRPEPTLRALNLSATKIQAAYRGYMARRSFRALRGLVRLQGVVRSSNVKKQTANAMKQMQLLVRVQTQIQSRRIQMLENQALQHQAYKNDKDVESTISKWTQLCEAGNNDNWDDSLLTKEEVEGRLRKKVEAVIKRERAMAYAYSHRLWKNDPKSGLDMGANGFPWWWNWLERQLPSRNANKTPSAMKDIKLTPPRAISEHKPSPTPLNNVTFRRILSDYDNNESSVTPMSTKSAIPMRGKQMHTPIRTPPMNNSSLKKYSRGRASASNYPFDLPLKDDDSLTSCPPFSVPHYMSQTASATAKAKARANSNPKERNPEKQSNDTKKRFSFPLTPNIWSSKWSKGSGKDSASRKEVDKHESMADHISVDSTVSMPAVVGGRRPFNRFV >Solyc02g063380.1.1.1 pep chromosome:SL3.0:2:36014700:36015014:-1 gene:Solyc02g063380.1 transcript:Solyc02g063380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTDSIYRRPASQFYSCMSPSCIPVHDEYSRINECRRRDSSCRRTWRRLLKKLVNEGKNVYGKKTLTFHYDAVSYSQNFDEGCKTDDYPPPRHHQVYRINVQK >Solyc08g074720.2.1 pep chromosome:SL3.0:8:58985966:58986567:1 gene:Solyc08g074720.2 transcript:Solyc08g074720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFSNVKLFSNRFTLLVTRFSYCRKGYAVAASGGGTRGGSELSNMMMMKLKGGDEDQSPPKSPWVPHPVTGYYRPENQADMIDPVELRQMLLKNKFKGH >Solyc05g044550.1.1 pep chromosome:SL3.0:5:57682062:57682502:-1 gene:Solyc05g044550.1 transcript:Solyc05g044550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIDPGATPALLQGQDVATLLVPNNRMENFQKY >Solyc11g027860.1.1.1 pep chromosome:SL3.0:11:19167816:19168037:-1 gene:Solyc11g027860.1 transcript:Solyc11g027860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQSGQGHPVFSLIELAMQEQSGQGRSTVVSKFLVLGKLKFSEYNTGMILDSVVSTSFTEYNTGTSGFNITL >Solyc03g059316.1.1 pep chromosome:SL3.0:3:30965643:31006595:-1 gene:Solyc03g059316.1 transcript:Solyc03g059316.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQFSLISLNFSPIHFTSAEGTLNGYVFGRFQRIQLYYGESCRSSCSYYRATPRNAYLNGKSHLYNDCYHFKGHTRDHCYKLQCYPKRKEGGSSSHANSVATGGNHLNDGGSYDPLISRNTRFYVSSSSIPTIGTQAPGSLKWQGRGDDKDNQARSDSPCLCALGCELHMILGESGSWVVSE >Solyc10g017610.1.1 pep chromosome:SL3.0:10:5557631:5558078:-1 gene:Solyc10g017610.1 transcript:Solyc10g017610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVLDSRNLVNRSQESLLGGYVLAFEAG >Solyc09g082800.3.1 pep chromosome:SL3.0:9:68891542:68900182:1 gene:Solyc09g082800.3 transcript:Solyc09g082800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENDSESSESAKPKNVSENSDGGDELTGLEEDSSKMVSGFDSSCDISGKSLDFPLLEGVEGGVEGLYMYKNVFNLIPKAIGALGKVKILKFFGNEVNLFPTGELRNLVELESLQVKVSFPGMSGLDLQKLKNLKELELCKVPSRPSAFPLLRDIAGLKRLTKLSVCHFSIRYLPPEIACLTKLECLDLSFNKIKNLPVEITHLNTLLSLKVANNKLIEVPPGLSSLQRLESLDFSNNRLISLENLDLLSMYNLQSLNLQHNKLLRCCSIPSWVCCNLEGNFIDLSKDDTTSSSSEMDVLESYEQETSENTQNGVSIKLSGHLCGTSPSHRCFRPRKSKKWKRQYYMQQRARQERLNNSRKCVACKPSKLINDSLVEASSSIVDDDTHDKELITEEAECKGSLASGIDEHIRLKEDNYIRRSSCVASDSIETCIDIQNCKTCDASVGSVSDAADVVEGSSSSEVSNSPPKSKRHLDGVIDNPKPCKTRRPTDHSELSCKYSMMSFCGIDDYLPDGFYDAGRDRPFMSLRSYEQKLHLDSREVILVDRQRDEMLDAIALRAQALIFHFNQIDGLFKDREHVAVDNLQIASLLALLVSDHFGGSDKSSIVQKARKNVSGSNYSKPFVCTCPTGNDDTTSMVTKESPSILDDILFLNLCEKALHSIKSRQNSVVVPIGSLQFGVCRHRALLMKYLCDRIEPRISCELVRGYLDFSPHAWNVIVVKRGESWVRMIVDACHPLDIREETDPEYFCRYIPLNRINVPVVPDASPGQVSSFPSLTGADKIHKAPSSTLVQCKLGSLETLAKVRTLEMSKSTADEIKNFEFNCIGEVRVLGVLNSSCIVKYYGHQISSRWVASSDGSSESRTLQSAILMEHIKGGSLKKHVDKLSNAGEKRLPIELSVFIARDVASALTELHSRHIIHRDIKSENILIDLDKKRADGTPTVKLCDFDMAIPLRSYLHTCCIAHVGIPPPDVCVGTPRWMAPEVFQAMNKRNIYGLGADIWSFGCVLLELLTLQLPYSESSELDIHHSLQAGKRPQLTEELEAMATSKTELEDLAKSCSSSDLDKKQSESRILRFLVSIYRWCTEKDPNDRPTAENLYNLLLSCADSLSSQQSQEDS >Solyc07g032380.3.1 pep chromosome:SL3.0:7:38301241:38301892:-1 gene:Solyc07g032380.3 transcript:Solyc07g032380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTKTEVLFASQRPAIVQLDGAEVIFMSAVQCLGLLILITELVSCIFAMDFRMERELLQTTTTQPYVLVGWMLISHIQPETTINTFIKLATTI >Solyc12g055700.2.1 pep chromosome:SL3.0:12:62616870:62618446:-1 gene:Solyc12g055700.2 transcript:Solyc12g055700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKSSPNSTTFTTRTVRLPVHRRVVFASSSSSSSSTTPCQIKSTSKNGLKVFEDKSTGVVCYRDEYGEITCEGYDEGPRFCHQPPKSPSKSRDEEIVELLQRNWFHIADVAVE >Solyc02g069060.3.1 pep chromosome:SL3.0:2:39575337:39603479:-1 gene:Solyc02g069060.3 transcript:Solyc02g069060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSFHYQGNQSFTITKKGYIIHPKSLNIVWGNDKRYWKLPKDEMDDAELIQVNWLEVTGCIDNINITKKTSYNIEFTVSLMADAFGWSDSPVYLMAKWGDNTQWRKGYIVYPKALDIIWGNDKRFWKLPKYEKDGAELIQINWLEVTGCIDDINIAKKTLYNIEFTMSLMTDAFGWNDSPIYLMAKWGDNTQWRKVNLATKTNDKKMISETITIKKGKGSNTDKIYFGLYEVWNKKWKGGLKIHSVNLIET >Solyc10g084250.1.1.1 pep chromosome:SL3.0:10:63996519:63998684:1 gene:Solyc10g084250.1 transcript:Solyc10g084250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade VIII lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4D3L1] MTSNLEKKIRDFLVWFILLLCFCSISSTFGKTDFDFENLTLSSLKLLGDAHLSNNIVKLTRDLAVPNSGAGKVLYSKPVRFQQPGFDFPASFSTFFTFSVTNLNPSSIGGGLAFVLTPGDELVGDAGGYMGILDAKGTQIGTIAVEFDTLMDVEFKDINGNHVGLDLNSMISTEVGNLDSIDIDLKSGDLVNCWIDYSGSNGEMDIFVSYTNLKPKESFLSVNINLAEYVNDFMFVGFSASTQGSTEIHNIVWWSFSSSFDATPNSGAVVAEPPPPANSLMNPTADSVPLPPPSMAPSESNSSKGVLQEKSSRKCHSNFCRQGPGAVVGVVTAGAFFLAFATLVLIWLYSKRFKRVKNSEIVASDIIKMPKEFSYKELKLATKGFIPTRIIGRGAFGTVYKGILSDTGGIVAVKRCSHNGQGKAEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKVLFESRMVLSWSHRRKILIGVASALAYLHQECENQVIHRDIKSSNIMLDEGFNARLGDFGLARQVEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVATGRRPIERETTKVEKVRVNSNLVEWVWGVHREGNLLNAADSRLCGEFDEQEMRRVLMIGLACSHPDPTARPTMRSVVQMLEGESDIPIVPRTRPSMSFSTSHLLMSLQDSVSDLNGLITLSPSSSESSFTGGHNGNCNGMELV >Solyc09g097940.1.1.1 pep chromosome:SL3.0:9:72411890:72412192:-1 gene:Solyc09g097940.1 transcript:Solyc09g097940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNCLVTFLICIFLLVNLCFASEKFDSTSNDESDVTNPQDNNIGLDHWHPWYHPRPWLRPRPWLRPRPRPHWPIVHPPMPSRGFHPKFPPKPSPPKNI >Solyc09g091945.1.1 pep chromosome:SL3.0:9:71616831:71617884:1 gene:Solyc09g091945.1 transcript:Solyc09g091945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFFCVRGNQREKDRERAAARAGKSKKGADDGLTPEQRRERDAKALQEKAAKKAAKEAAGGSNAGTKDTKK >Solyc07g045595.1.1 pep chromosome:SL3.0:7:58880576:58881485:-1 gene:Solyc07g045595.1 transcript:Solyc07g045595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRVLSVTVPKEEVKKPMVKSIEISVLKLPGPAALSQMKLEVESLSRDCRDSHFSFDSVQKFHKENEQLTERLLAMEEKTKLLKEALANQNSEFQISRIIP >Solyc12g096470.2.1 pep chromosome:SL3.0:12:66358292:66361904:-1 gene:Solyc12g096470.2 transcript:Solyc12g096470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor TFIID subunit 10 [Source:UniProtKB/TrEMBL;Acc:K4DHC0] MNQSQGQQTSEGRHEDDAVLADFLASLMDYTPTIPDELVEHYLGKSGFQCPDVRLIRLVAVATQKFIADVATDALQHCKARQSTIVKDKRDKQQKDKRLTLTMDDLSKSLREYGVNVKHQDYFADSPSAGLDPASREE >Solyc08g014540.1.1 pep chromosome:SL3.0:8:4707926:4710712:-1 gene:Solyc08g014540.1 transcript:Solyc08g014540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKALVTFWCCVFFSFRFIFLNSNASSITYNVLNFGAKSDGKSDATQPFLKAWVAACTSVKAATIYVPRGKYLIKSITFRGPCKNKITVKIDGTLVAPSDYNALGNSGYWILFIKVNRISVIGGTLDGNGAGFWDCRKLGKNCPVGARSITFNWANDVVVSGLTSLNSQLMHLVINSCKNVMVKNVRIIAPDFSPNTDGIHIQSSIGVIISGTSIQTGDDCISIGPATRNLWMNKIQCGPGHGVSIGSLARNYNEDGVQNVTLINSVFTGSDNGLRIKSWARASTGFVTNINYQNIMMKNVDNPIIIDQNYCPNNQGCPSQASGIKINQVTYQNIFGTSTTQVAMNFECSPSNPCSEIKIQDIKLTYLNNKKAQSICKNIKGNTEGVILPDNCLL >Solyc02g090040.2.1 pep chromosome:SL3.0:2:52339153:52348738:1 gene:Solyc02g090040.2 transcript:Solyc02g090040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVERLNPKSIILIFIATSKYSHSDDIRTYLASFGFKGEVQSSISVFLWWKLLLKLTGDEMNIGSITHMGGTICLGLIRMYFQVKNSEPAILFGNQSFVDERHVIDMR >Solyc05g010590.3.1 pep chromosome:SL3.0:5:4827059:4833229:-1 gene:Solyc05g010590.3 transcript:Solyc05g010590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEELAVRTSDIVNPQVMETLLIENHSSEAKDMEEMRSSMEILSRVDLDLAYSDEKLTNLDNLLMRVLACENEVEAISFENDEISPESIEKVLSLDHLSIILNSEIRQLDNFLGTLQDLVIEARQKIPTRREFSELHVIMENKFHDTEELLRISKERVLEMKMQLAKLQMTSLAFDQNEWRHRMSLDLSDINNVSARELKPHAQTVEQRRILRMLEKSLERELDLEKKLTLHKQNEDDLKLKLRLTEQVALCMEEGAEVIWGRFLESENAAEVLMGISREMVSRLQVVNFNLNGSLQREKDFTLKIDNCIGQINAKDITIQNLNSNNKHLAVENTEVSSLRDKLKLSEDKLKESESKLLKANELNEVSEERLKELECIVESQKEDIDIAEHKADNAEEKVAHLTETNLELHEELDFLKSSNESNAKKVSILEKKVRELELQLQNAKTSSEAGQEQQNMLYTAIWDMETLIDELKQKVLKAESKTEHVEEQCLILSETNLELTQEVEFLRSRVEGLETSLNQATVEKLASAKDINIKTSFIMDLVMQLAIERERVQKQIFCLAKENKSLISKLKSTKEQPSINVLENGGDDIGLSSSRFASTIAAATDSYRELQTESSQRSSQVINELPHDKPTDRSSKSSILTNEEPNIVVKSEDTEAQFLAKQHQHRYIVMAILVLLLSTLGLYFFDKRNDILEWLMVRL >Solyc05g055910.3.1 pep chromosome:SL3.0:5:66205453:66219193:-1 gene:Solyc05g055910.3 transcript:Solyc05g055910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLQMLHKVCSKKREKSRSFTEEMSNAFDEIKSCGINGNGFCDLSIGKVHVMAQCVGNLGGCDCGECVNKAVQIVHDECSHSLAGEIYLDGCYLSYSYDNNKISNHDLDEGYRNGTQKLAAIVIGGIVATILLGVVYYFFKSCGKKDDDLAPISKSLRTYALTWINPNRKRSTKIDNEGHNNPTWNDKFSFKVNEEFLYSENSAVHVEIYTVSWFRDVLVGTINVQLNNLINPYVNFQNSSNGKRFVALQIRRPSGNPQGILNMGVAIIESSMRSMPLLCNEIMDPTSLDYRDILDKKMSENYQEVVEDDKQREINEKIQLWRSMSLGYSEINNDELLIKGSSICNGSMANGSMVNGSELCSDVGPSASIVAAEIAAKRYQQLLPTIQFESREAAIKQSKEMEDGERSLILEDLTAEEAYAKGLISSNREKLRKETTATQTQTINGGHARRNSDGGGLFSCFGNTYEEMFGRNCCCWNSVTDYNYGEIEPQSFALPSPIPQWPQGKGFAQGKICLGEIEAVQITKFKKIWSCSPLFGKSKSVSFYKPDEIPQGFSIVGHYCQPDGEKNITGYVLAVNDLKPKKLLQDAASKLPALAKPMNYTLVYNTNALYKEVGYIWLPNAPVGYKAMGFVTTAEPNEPNLDEVKCVRADLTESCETCEVVFSANSLFSKRQFDVWKTRPCKRGMLCRGVSVGTFFCSTSFTKGDDLTIACLKNFDSSLQAMPNLEQVHALIKHYGPTVYFHPDETYLPSSVPWFFSNGALLFKDGKDNGIAIDAKGSNLPAGGRNDGKYWLDLPNKNVENRQIVKCGNIETAELYVHVKPAEGGTFTDIAMWIFCPFNGPATLKVGLMNLSLNKVGEHVCDWEHYTLRISNFSGELCSVYFSEHSGGEWVDARDLEFIEGNKSVVYASKNGHASFPHPGCYLQGNTKLGIGARNDCAKSKYYVDSSSKYQIIAAEYLGEGTVVEPPWLQYMREWGPTIEYKSGYEVDEIIKHLPSFFRFSVETLVELFPNELYGEAGPTGPKEKNNWFGDER >Solyc01g106710.1.1.1 pep chromosome:SL3.0:1:94363880:94364545:-1 gene:Solyc01g106710.1 transcript:Solyc01g106710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPSMGRQKIKIAKIEVKNHLQVTFSKRRSGLFKKASELCTLCGVEIAIIVFSPARKVFSFGHPNVESIIDRFLTRANSNNNPIANNSIQLVEAHRNASVRGLNLQLTQILGEVEIEKKRGESLDQMRKTSQSQYWWEAPINQLDLQELEQLKDSMEVLKKNVTNQANKFMVNETPNPSFFGVNANGIFDNYDIKPPRNMNASNNLHNHNLGFDSSTFF >Solyc11g011780.2.1.1 pep chromosome:SL3.0:11:4774119:4783448:1 gene:Solyc11g011780.2 transcript:Solyc11g011780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLGMFTVQQTIGNVLCCKCGISMQPNAANMCAKCLRSEVDITEDLQKHVVIIHCPECDGYLQPPRSWIKAQLESKELLTFCIKKLKNLNKVKLVNTEFIWTEPHSKRIKIRLKVQKEVLNGAVLEQSYIVEYVVQDQMCDPCSKVQVNPDQWVAAVQLRQHVSHRRTFFYLEQLIIKHDAANRAIKIQQLDQGIDFFFGHRSHALKFVDFVSRMVLVRTRNAKQLASHDHKSNIVNYKYTFSVEISPVCREDLICLPPKVAASLGNIGPLVICTKVSNSISLLDPFTLRHCFLDADQYWRASFKPLLSSRQLVEYVVLDVDVVSEEVNIGGSKYVLADIQVARVSDFGKNDTIFSVRTHLGHLLDAGDYALGYDLYRANSNDFELEKYKGLVLPEVILIKKSYEEKRQKKRGKPRSWKLKSLNMEIDNSGKGKDQEQKMNSEYEHFLRDLEENPDMRFNISLYRDKEYQRSETTSVADGEDVPSIPLEELLADIDLSDIDVEEDA >Solyc06g073360.3.1 pep chromosome:SL3.0:6:45346028:45349139:1 gene:Solyc06g073360.3 transcript:Solyc06g073360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLNNTALSKLRLNPQKTDESLMLSRRGIHIEPGAREKALLAADPSLKRFKSHKQSVRTLKRVGDVLTIVVVAGCCYEIYVRAVMREEARKQAEGSA >Solyc03g044250.1.1 pep chromosome:SL3.0:3:8599537:8600084:-1 gene:Solyc03g044250.1 transcript:Solyc03g044250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSWSSKVSPELVLRLAAPILNRKGKKRIGTVVLLFFDLELVSPEKVKKELGFRSLFGVGFLVDLGLWEVFDGGLVGHELTAFRWGFGGSFVCTTTNGVFRLESGGFREEFCGLAWGFGWWNGEDFVMFWLF >Solyc03g006310.3.1 pep chromosome:SL3.0:3:909557:914380:1 gene:Solyc03g006310.3 transcript:Solyc03g006310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAARSFLRSAATSGRSAATTRIASGAKPKTSPFRIPTQKPVTARIFRSPVEMSCVRVESMFPFHTATASALLTSMLSATPRSYGWTLEDKSLSRSNLNSIAMMIYDVGGFNRSIMSMQSKRMSSSFPELSLD >Solyc03g005537.1.1 pep chromosome:SL3.0:3:412996:414582:1 gene:Solyc03g005537.1 transcript:Solyc03g005537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGDNDNNNLQVLNALDLAKTQLYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRLYYTKPDLLKPGTLPPAVSASVTGVALVGTLAGQLFFGWLGDKMGRKKVYGMTLVLMVVCSVASGLSFGSTPKAAVFAMQGFGILFSGIVALITAAGFDHAYRSPTFEENAALSTVPQSDYIWRIILMFGSLPAALTYYWRMKMPETARYTALVAKDAKRAAQDMGKVLQVEIESEEAKIEQISRNETNQFGLFSWEFVRRHGLHLFGTCSTWFLLDIAFYSQNLFQKDVFSAVGWIPKAPTMNAVQEVYKIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFAIAIPYHHWTLEANRIGFIVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYAAQSKDPNKTDAGYPAGIGIKNSLIVLGCINALGMLCTFCVPEPKGKSLEEASQETITGEA >Solyc08g045860.1.1 pep chromosome:SL3.0:8:15867500:15867961:1 gene:Solyc08g045860.1 transcript:Solyc08g045860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLQDPTPSRARCRPRWSWKLVQWFLSWTTGIIWMDGKSRAGRPLTCAGEGLGSLFFRTLVCNKARRPPAGFEMDQVGHPFTTWVELAVFSIFFNGRK >Solyc03g111870.3.1 pep chromosome:SL3.0:3:63947723:63956589:-1 gene:Solyc03g111870.3 transcript:Solyc03g111870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKSSKRSTIGRYASYGSSSNSGYPGYAQSPYTQPTYPPPPSYQTYGGPPPESRKRLERKFSKIDDDYNSLEQVTDALARAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHIGDGQNPYEQAISIIGRTLSKFDEDNLIPCYGFGDASTHDQEVFSFYPDEKFCNGFEEVLGRYRELVPQLRLAGPTSFAPVIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTVNGQLSPQEKRTVEAIVKASQYPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTDIMAKNMALSRKEAEFALSALMEIPSQYKATLELNILGARRGNEIDRIALHPPQYGAASFGTSKPSQNSSYRPSAPSSSGHNSAVGSGHNSAVGSSHPASSADNQLCPICITNPKDMAFGCGHQTCCECGQDLLLCPICRDSIQTRIKLY >Solyc03g118610.3.1 pep chromosome:SL3.0:3:68947730:68950625:1 gene:Solyc03g118610.3 transcript:Solyc03g118610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISKTLTRNLLRRSGETTQALQLRLSSAVASAPAISVTETQPPDYLNHCLFVCIPQRKVDLQNGQSYCSSYRGSSPLALALGRSSEGKISYIIVSVNSRLPEKEIANIDHYLQIEMLEYRQNRLQMDPLNCNEVSPSSENLDSLEFCRVLCRGVFDEKKSIFIGPRSRSISGVTENGYYVITPLMPLANDPKSVQTPILVNRGWVPRNWRDKSLEVAAADDQSLSTAPPPQESGKSSWWMFSSKKKKVEEDQVPTLKSTEVIGVIRGSEKPSIFVPANDPSSFQWFYVDVPAIARASGLPENTLYIEAINDNVDPSNPYPIPKDTNTLVRSSVMPQDHLNYTFTWYSLSAAVTFMAYKRLQSKKTRR >Solyc03g061650.2.1 pep chromosome:SL3.0:3:33768674:33771084:-1 gene:Solyc03g061650.2 transcript:Solyc03g061650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMNNRGTKLVIDENDIDKISNLPMDILDKIFKDMSFLELVKTCVLSKKWVHFWAMHPILVLDGDFFRKISGNIKLIEDGFSGLIDKILFQHVGSIVKFSLDLSTIYYNNNRDLGHWLICVTSKCVKELTLKNHKHKHYNLPFCVFDCPTLTYLDVTNFIVKLPSSKTLFPNLLELTLKSIKFRPTNANYVLNAPFLTSLTLISCNGVHWLTIFAPRIKFLTINDSHDICANFFVNFSNVRELLFREESYYEEGRFITWSHLLSLCPNLTRLVLNNSCIQVFNTLRERNIGEVIHYLEDPKCIDQQFEKLEFVELRKFEGTHFELIFLKKILGYSPSLSRIIVEPSDDIDVAEILDLYEELMMFLKASPTVKVVVAPHG >Solyc07g008430.2.1.1 pep chromosome:SL3.0:7:3260167:3260800:-1 gene:Solyc07g008430.2 transcript:Solyc07g008430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMIYVCEEEEKEVGRKKASGACPYCGGTVEAVDIEGKGKLFCLPICLRFKIKYICSLCSRRLVLYP >Solyc03g115030.3.1.1 pep chromosome:SL3.0:3:66364233:66368612:1 gene:Solyc03g115030.3 transcript:Solyc03g115030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPNIDISKDLIITPLITNPFGTGSVPSLNEPSSEYYIGVKSIRVNAKPVTINKKLLGVDKNGNGGTKISTGTPYSMLETSIYDVVTKAFIDELSNATRVAAVAPFEICFSSKSVGSTRVGPAVPTIDLVLQTTRVYWRIFGANSMVKVSQDVICLGFVNGGIKRTASIVIGGYQLEDNLLQFDLARSTLGFSSSLLLHQTACANLNFTTKA >Solyc10g008740.3.1 pep chromosome:SL3.0:10:2812429:2815998:1 gene:Solyc10g008740.3 transcript:Solyc10g008740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:K4CY51] MASLLGTSSSSSAAAILASTPFTSRSSKSAALSLFPSSGHSQGRKFYGGIRLPVKKGRSQFHVAISNVATEISPAQEQAQKLAEDSQRPVYPFPAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVISGDPFNSDPDDQEVMSAEVRDKLRKGEQLPVSLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERGRFDKNPKEFRESYKGEQEKLQSQITSARSGLSSVTIDHDLRVKISKVCAELNVDGLRGDIVTNRAARALAALKGRDKVTPEDIATVIPNCLRHRLRKDPLESIDSGLLVVEKFYEVFG >Solyc04g049228.1.1 pep chromosome:SL3.0:4:40964193:40965215:1 gene:Solyc04g049228.1 transcript:Solyc04g049228.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTHHPKLYHHSPFNLVVKQAIIRLIITTAIQLHWHVHYLDVNNSFLQGILTKEVYKRHPMGFENSGRPMHVIRFSAGLMISQEKYIMDLLHEDLLRYLHLTTSICVLIANEIDRR >Solyc03g112840.2.1 pep chromosome:SL3.0:3:64629303:64632197:-1 gene:Solyc03g112840.2 transcript:Solyc03g112840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLTGAPKNSWQPAMTVDTTTSDYWLNWRVTLCSIWIFASMVFASILITKYEGPRGSRNRRREEEKDSPGLLYEDEVWKPCLKTIHPGWLLGYRVVAFLILLLLLIMNVVVDGGELFYFYTQWTFTLITIYFGLGSVLSMYGCYQYHNEVGGDVIGNERQDIEYSSRRLSMNVESSTSSNVAKQVMENAEESSRQIADFWGYVFQIIFQMNAGAVTLTDCVFWFILVPVLTIRGYTLNFWIINMHSINVVFLLGDTALNSLRFPWFRIGYFFLWTAVYVVFQWAVHACMSIWWPYPFLDLSSSFSPLWYSSVAVMHIPCYGIFVLVMKLKHHLLKKWFSHSYQCAG >Solyc12g056900.2.1 pep chromosome:SL3.0:12:63913135:63918195:-1 gene:Solyc12g056900.2 transcript:Solyc12g056900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:K4DG21] MAVQELVEIKFRLADGSDIGPNKYASSTTVASLKDKLIAQWPKDKDNGPRTTNDVKLINAGRILENSKTLGESRLPAVEVPGGVITMHVVVRPPVNDRNNHKLKDDSLKKGGCACTIL >Solyc02g032770.1.1 pep chromosome:SL3.0:2:29634062:29634904:-1 gene:Solyc02g032770.1 transcript:Solyc02g032770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKALKQTVAAVAQTAAPEASNPTIPSGIDVPKANFVAYEGSFIPPGVVVFDASRAAVCATAATSCLQPLEEIFWKYLRVPRIWMALSSF >Solyc10g008030.3.1 pep chromosome:SL3.0:10:2185817:2189590:1 gene:Solyc10g008030.3 transcript:Solyc10g008030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLLSLFLIFFVRINNYVNATNNYSIDAISNIGCNIFVGKWVFDSSYPMYDFSKCPFIDNEFNCQKYKRPDNLYLKYRWQPSSCNLPRFNGLVFLEKYKGKNIMFVGDSLSLNMWESLGCMIHSSLPNAKTSLTKKNGIAEIAFLDYGVRLSMYRTPYLVDMVKEKIGTVLKLDSITDGKAWVGMDVLIFNSWHWWTHTGSSQPWDYIQYRGKIVKDMDRFVAFFKGMTTWARWVDKNIDPSKTKVFFQGISPTHYKGKDWNEPSKSCKGQTQPFFGTNYPAGTPQEANVVNKVIKNIKNKVYLLDITTLSQYRKDGHPGYYSDIHGSDCSHWCLPGLPDTWNLLLYTILIG >Solyc08g016260.2.1 pep chromosome:SL3.0:8:7132323:7134567:-1 gene:Solyc08g016260.2 transcript:Solyc08g016260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGLVHVFLVSFPGQGHVNPLLRLAKRLTSKGLLVTFSAPEICGVEMKKANPNISNEPTSYGNGMIRCDFFEDEWDPSKPDGNDLEMYIQHLEIMIKKYAEQGSPASCLINNPFIPWVCDVAESLGIPSAMIWVQFASSFSSYYHYSHNLVPFPSEIQPKIVVQLPCMRLLKYDEIPKLEHDVVNHLSEILPIKTVGPLFKYPKVVSPSYVQGDFMKVENCIDWLDTKSTSSLVYISFGSVVILKKEQVEEIGNGLLNSLVKLVQNIDPILLPNGFLEKVGDKGKIVQWCPQEQVLSHPSMACFMTHCG >Solyc05g005250.3.1 pep chromosome:SL3.0:5:194221:204116:1 gene:Solyc05g005250.3 transcript:Solyc05g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Partially similar to pantothenate kinase family protein [Source:UniProtKB/TrEMBL;Acc:Q53U34] MEVKGIDGKLEKSDSTSADEISHLSIDIGGSLIKIVYFSTNSKCSTNDEAPTLSKERQEVPNGDLNHHILSGRLYFIKFETSKIEDCIKFLSSKKLQKCGAQCHHSHAVDKIKIKATGGGAFKFADLFKEKLGLTLDKVEEMESLVTGANFLLKAANHEVYTYIGGQKEYVQIDQNDLYPYLLVNIGSGVSMIKVDGDGKFQRVSGTSVGGGTFLGLGKLLTKCQSFDELLELSHLGNNRVIDMLVGDIYGGLDYSKIGLASTAIASSFGKAISENKELEDYKPEDIARSLLRMISNNIGQIAYLNALRFGLKRIFLGGFFIRDHAYTMDTISVAVDFWSKGEAKAMFLRHEGFLGALGAFMNYKDGSTDSMPPQLVEQQAAKRSSSCIVDRIHNSTHVQENENGATDCRLRVSSSFKIYDEVKRC >Solyc11g006130.2.1 pep chromosome:SL3.0:11:890597:898356:-1 gene:Solyc11g006130.2 transcript:Solyc11g006130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-like protein [Source:UniProtKB/TrEMBL;Acc:K4D4M6] MAAANYYSKDFEWDQLRQEIENNPSLAHHLLPFPNSSINQNQHTLLSSPLSLCSPQEDSEAWNNFHTRHSTGKFFKERRYLLKEFPELASCGECWTVLEIGCGNGSTALAILRGNKSISLYACDCSKEALERAKENIEAADINLAKERFYPFQCDFSASGFPNWLLSTSCRESSFQKQNMCSSGAGSCSKQAFPDPPISAKYNSCLAGVDFVTLIFTLSAVPLHRMSRAITECFSVLKPGGLLLFRDYGLYDMTMLRFEPEQRVGYREYIRSDGTRSYFFCLDSVRDLFCSSGFIELELEYCCVQSVNRRNGKIMRRVWIHGKFQKPHVQVGP >Solyc07g005480.3.1 pep chromosome:SL3.0:7:383453:397375:-1 gene:Solyc07g005480.3 transcript:Solyc07g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRKLFYRKPPDGLLEICERVYVFDCCFTTDVWEEENYKGYAGGVISQLRDHYPDASILVFNFREGVSQSLMANILSEYDLTIMDYPRHYEGCPLLSMEVMHHFLRSSESWLSLGQQNVLLMHCERGGWPVLAFMLAALLIYRKHYTGEQKTLDMIYKQAPRELLYLLQPLNPIPSQLRYLQYVARRNVNMQWPPLDRALTLDCIIIRAIPNFDGEGGCRPIFRIYGQDPFLVSDRAPKILFSTPKRNKVVRHYKQAECELVKIDINCHIQGDVVLECICLHDDLEREQMMFRTMFNTAFIRSNILILNRDELDTLWDAKDQFPKDFRAEVLFSEMDTAASVLPVDLSCFEEKDGLPVEAFAKVQEIFSSVDWISPNAGAARNVLQQITTSGLIQENLESVPPLPTDTSLLLDQVNLETPGERKGPAPVDNDAKGSSPFILEQQSMSSIKSSSKVQQSDQQKAEAQFVGTKSEMKVSKLQPSIPLSKPSPADLSTESSASSVSSQPSLYILPTSEHPPLVKKLDPHVQEYGKLNDLPALPEIRTPPFKTSIPTSPASSSIPGKDQGIGIGLVLSPTPVTPAPLTPPMKDKLVTGTVPYASPATPPKTQGPPIASLKDEKPTSSQPVPYASPATPPKTQGPPIASLKDEKLTSSQPVPYASPATPPKTQGPPIASLKDEKPTSSQPVPYASPATPPKTQGPPIASLKDEKLTSSQPVPYASPATPPKTQGPPIASLKDEKPTLSQPDTYLLPLAAQKPDHKGEPASSPHLTGLSLSPSPLVPSPHTPPVKDKLVTGTIPSASQATPPRTQCSPIVSLKDDRPAMSQSDAPLLPRTLQQPAYEGESTSPPRTAQQLGDEAAKKEPTRPLHPSKSCPPSLAPPNSSSPSAAIPFIKPIEQQFNAGSVGGSPQSPAPPTPPLKEHSVFRGVPSLPPPPLPPPPPRDSYPLSTPPVLSKNSVCTSEPLGPVPTLKESSAFRDKEPPPPPLPPPLPVQSMKENSSFNGGPAPPPPPPLPASQASKPANVSVMPPPPPPPALGSRQSVPSAPPPPALGSRQTVPSAPPPPVPSLKPDLKAGSGMIQSQSSKKLKPLHWLKISRAVSGSLWAEAQKCSDAPKAPEIDISELESLFSAAVPTSGQGSSGGKRNSGTSMGQKLEKVQLVDHRRAYNCEIMLSKVKIPLHEMLSSVLALEDSALDVDQVENLIKFCPTKEEMETLKGYKGEKEKLGRCEQFMLELMQVPRTESKLRVFSFKIQFESQVSELRKSLNIIKGSSKLKRIMQTILSLGNALNQGTARGSAVGFRLDSLLKLTETRARNNKMTLMHYLCKVDKKELVSEVRWINQGLSLTWVSESVLADKLPELLDFSNDLSSLEPCAKIQLKFLAEEMQAISKGLEKVVQELSMSENDGAVSENFRKALKEFLCYAEGEVRSLAQLYSGVVGLVPNKIFHGHLESYDIEMLLTGRNVDTLILYFGEDPARCPFEQVITTLLNFRRMFNQALEENRKQVEFERKKAEKEAMEKQKMSHSEKT >Solyc10g051250.1.1.1 pep chromosome:SL3.0:10:51726249:51726557:1 gene:Solyc10g051250.1 transcript:Solyc10g051250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSALHVLNLSHKRFQGQIPLSLVRLSVLESLGLSFNQFSQEIPQQLASLTFLAFLNLSHNHLQGCIPQKYNLLHLRTIHMKAMMVYADFMFQNVVVQIG >Solyc11g010740.2.1 pep chromosome:SL3.0:11:3787355:3789604:-1 gene:Solyc11g010740.2 transcript:Solyc11g010740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQLVKSIANGDESNTKKLHIVMFPWLAFGHIIPFLELSKFIARKGHKISFISSPRNIDRLPKIPSEFSNSITFVKIPLAKIDGLPKDAEATIDIITSEEMTYLKKAMDGMEKDVTNFLENSCPDWIIQDFAQYWLAPISTRLGISRIFYSIINAWFLSFLGSFENMINTNNCTSPPKLEDFLVPPKWIPFETKATYRLHEARWMVESSQKNVSGVSDMYRNGVTIEGADAIIIRHCHEFEGQWLKLLEDLHHMPVLPTGLMPPIVESSSHEKNESWISIKDWLDEKPKGSVVYVALGSEVTVGQNEINELARGLELSGSPFFWVLRKSSGLGSIDPIVLPDGFEERTKDRGIVWKSWAPQLKILSHESVGGLLTHCGWSSIIEGLIFGHPLIMLPFLVDQGLNARILQDKGVGVEVPRNEEGGTYTSDSVVNSVKLVMVENDGKIIREKAKEMSAIFGNKELHDKMVDEKPKGSVVYIALGSEVTVGLNEINELACVIKKAFFWILRKSSGSRNIDQIVLPDDIDKRTKGQGIVWKSWAPQLKILSHDSIGEFLRCCNLSSTI >Solyc11g068760.2.1 pep chromosome:SL3.0:11:53683263:53691845:1 gene:Solyc11g068760.2 transcript:Solyc11g068760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRPMLLVFLLIVLIITSQFEWKQQLVSDVEPSPSISQKQQQISKREEAVKEKIILSQEKNIQRLNELVRNLREQLLQCRSSNETISNSLSSLADIAEFEKQQILED >Solyc04g011830.1.1.1 pep chromosome:SL3.0:4:4251764:4252072:-1 gene:Solyc04g011830.1 transcript:Solyc04g011830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGTSSAVVIFTKSSCCISHSIETLIRSFGANPTVYELDTHPNGKQMEKALMELGCQPSVPTIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWV >Solyc12g037950.2.1 pep chromosome:SL3.0:12:49162527:49168971:1 gene:Solyc12g037950.2 transcript:Solyc12g037950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSPVIGTPAAGVWTTGLCGCFEDASNCCVTCCCPCVTFGRNVEIIDQGTTSCAHAGVIYYCLAHVGWACIYTCTYRTKLRAFFSLPEDPCGDCLVHCCCLPCAVCQEYRELKNRGFDPSLGWMANAQRWSQAGVSVPPHIAPGMTR >Solyc10g086270.2.1 pep chromosome:SL3.0:10:65267742:65268746:-1 gene:Solyc10g086270.2 transcript:Solyc10g086270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTSMSSLGVRKGSWTEQEDLLLRKCINKYGEGKWHLVPIRAGLNRCRKSCRLRWLNYLRPHIKRGDFASDEIDLILRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTNLLRKVNITKIVPREKFKSKRGEISTKIEIIKPQARKFISNTEKNITNNIVIVDKEEECKEIISEKQTRDASIDNGDEWWANLLENCNDDVVEEEEGGGGGVTDYGKTITSLLHEEITPPLNGGGNIMQQEQSDGWDDFFVDIDIWDLLN >Solyc04g077800.1.1 pep chromosome:SL3.0:4:62777223:62777551:-1 gene:Solyc04g077800.1 transcript:Solyc04g077800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGDLNGLFQLSEVSVSCIYLHK >Solyc08g068430.3.1 pep chromosome:SL3.0:8:57639679:57648256:1 gene:Solyc08g068430.3 transcript:Solyc08g068430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPVPRPGSAMNGEVCSVASPLSKSSSCTNSNTPTGASLSSFGSLDYAIYKVQTFLVGLLSRRSSRPLERSKLKGLIWRRAILQFFFCFVLGVFIGLTPLLNLSTNFISKHQALSFEVLQPEENARSYDVSRNVTSTTEDLTIMDNSTSEPNLVHVELKEDITYNASFNQLLDQDPIVSRKLLIIVTPTETRPFQAYYLNRLAYALELVPSPLLWIVVEMDSQSVETADILRRTGVMYRHLVCSKNLTDVKEKNVHLRNVALSHIETHHLDGIVYFADEYNIYSADVFEQMRQISRIGTWIVARLAENNRKVILQGPICNGSQVIGWHTEGRTKRFQRFYAEISGFAFNSTILWDTKRWHRPTLEPIRQSNTAKASSQVSTFIEQMVEDESQMEGLPINCSRIMVWQFNTEILYPYPHEWLVKNYSITSTSAG >Solyc07g039440.1.1 pep chromosome:SL3.0:7:47588374:47589327:-1 gene:Solyc07g039440.1 transcript:Solyc07g039440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENVDDQDYIFVGFQDVVQTFLAQLLKEEPRRSFLFIYSMMGLGKTTLARNLLKIPNIVSSYPTHAWICISQDYNTMDLLRTIIKSIQGCTKETLYLLERMTERDLEIHLRDLLKERENLMVVDDVWQREVKDHLWKNIKEDKSIEICNILSLSYNDLSTALKQCFLYFSIFPEDQVVKADNIIWLWMAGGFIPRGEERMEDVAEGFLNEMCFN >Solyc12g021230.2.1 pep chromosome:SL3.0:12:14913272:14937378:1 gene:Solyc12g021230.2 transcript:Solyc12g021230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA gyrase subunit B [Source:UniProtKB/TrEMBL;Acc:K4DDP2] MALLKPFPLQYSLRRMASRYLLHCHSHTLPFSSTFLSPPSIFLNTRVITRLRRVSDGIFIRNVVSPRAFMSSSVTTEAFQENTKSKAYGSEQIQVLEGLDPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGFATKIDVVLHADNSVGITDNGRGIPTELHPVTKKSSLETVLTVLHAGGKFGGSSSGYSVSGGLHGVGLSVVNALSEALEVTIWRDGKEYQQKYSRGKPVTTLICQELPVEIKDWQGTSIRFWPDKEVFTTEIQFDYNTIAGRIRELAFLNPELTIVLKKDDIDPEKIQHAEYFYAGGLVEYVKWLNADKKPLHDVLGFRKEADGITIDMALQWCSDAYSDTVLGYANSIRTIDGGTHIDGVKAALTRTLNSLGKKSKTIKEKDINLSGEHVREGLTCVISVKVPNPEFEGQTKTRLGNPEVRKVVDQSVQEYLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRQKSVLRSSSLPGKLADCSATNPEEAEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERKDEAAMYKNEEIQNLILGLGLGVKGEDFKKEALRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFEEGCIYVGVPPLYKVERGKQAYYCYDDVELKKVQRSFPSNASYNIQRFKGLGEMMPAQLWETTMNPETRLLKQLVVEDAAEANVVFSSLMGSKVDVRKQLIQHSASMINLEQLDI >Solyc03g112290.2.1 pep chromosome:SL3.0:3:64221014:64225277:1 gene:Solyc03g112290.2 transcript:Solyc03g112290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGKEKMLQYPSFMLQYPCSTRIIPTSFLLPAQWPQPHSDELLLAMEESDFEEKCNEIRKMNSNLVVIGKTNIDNDKEDFDNEAEDDDADNGDESEGDDFEQETG >Solyc12g009660.2.1 pep chromosome:SL3.0:12:2929998:2933363:-1 gene:Solyc12g009660.2 transcript:Solyc12g009660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYYSHSITSLCATFSFLIILNFSSLLNHQNDINFITFFSFSKKPHLSKNKFLLHDNYQQVTSIEENHTTHHAKKSSLQKIEEDLARARSAIWKAIHSRNYYSDKEEKFIPNGSFYKNSHAFHQSHIEMLKRFKVWTYKEGDIPMIHNGPMKNIYAIEGHFIGEMESKNRHFLASHPNAAHVFFIPISIAYIVQYIYLPNITSFSRDKIQRIVEDYIHVIANKYPYWNRSNGADHFLVSCHDWAPDISIRNPNLFKNFIRVLCNANISEGFQPKRDVSLPEIYGLPNINVTPDLDHSLNPKNRSILAFFAGRSHGYIRKILFQHWKNKKDDEIRVYDHLPEGENYAKLMSQSKFCLAPSGYEVASPRISEAIYAGCVPVIICDNYFLPFSDVFDWSEVSINVPIEKIPQLKTILKGISWNKYLKLQNNVKKLQRHFKVNRPAKPFDVIYNVLHSVWLRRLNFRLAN >Solyc09g061560.2.1.1 pep chromosome:SL3.0:9:59985622:59986170:1 gene:Solyc09g061560.2 transcript:Solyc09g061560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTTSAGTKMKPLLSDSSFRAITCKAGNQICRSLAAENPFTETRFCDLCCSESGFCGDCCCILCSKLISLDYDGYSYIHSEATLISCHICGHVSYLECALRANMAGRVGGSINL >Solyc03g007610.3.1 pep chromosome:SL3.0:3:2147184:2154773:-1 gene:Solyc03g007610.3 transcript:Solyc03g007610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPYKTHNFQLQATQIYLCFCDIEVHTLVSQHLRNICGEVLMADTGENSALFPIFILSIIALPLVPYTILKLLRVASKKEKSIHCACSVCSRSGKYRKSIFGRISKFSTCSNFTLLLLWVIVIFLVYSIKQSSREIEAFDPFSILGLAPGVTESAIKKAYRRLSIQYHPDKNPDPAAHKYFVEYISKAYQALTDPVSRENFEKYGHPDGRQGFQMGIALPQFLLDFNGSSGGILLLWILGGFILLPMAFGVVYLSRASKYGGNNVRRETLVTYFELVKPSLAPSKVMDVFVKAAEFMDIPVRRADDEPLQELFKLVKSELNLDGKNARQEQAKFWKQHPAIVKAELLIQAHLLRKADTFSSNLQQDYKHVLQFTPRLLEGLIKMATVPRTAKGHGWLRPAIGIVELSQCIIQAVPLSARKAGSGSSDGVASLLQLPNFNDAVITKIGKKVRTLQNLLDMTLQERAELLSDVAGLSAAEVQDVEKVLELMPRATIEVTCETEGEEGIQEGDIVTVQAWVTVRRANGLIAALPHAPYYPFPKGENFWFLLADANSNDVWFSESINFMDEAAAITTASTITEAKMEASGANMEEIAAAVKDAVAKVKRGCRLILGKIQAPQAGSYSLNSHLMCDTWIGCDTKTNLKLKVLKRSRAGSRGGHVAGGV >Solyc05g005710.3.1 pep chromosome:SL3.0:5:526755:530878:1 gene:Solyc05g005710.3 transcript:Solyc05g005710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPDSYN description:Spermidine synthase [Source:UniProtKB/Swiss-Prot;Acc:Q9ZS45] MADECAAFMKGTELPVKRPREEEAETEMEAANNSNNGCEKEESSPYISSVLPGWFSEISPLWPGEAHSLKVEKILFQGKSDYQNVLVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIPNPKKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVVEVAKQFFPDVAVGYEDPRVNLHIGDGVAFLKNVPAGTYDAVIVDSSDPIGPAQELFEKPFFESIAKALRPGGVVSTQAESIWLHMHIIEEIVANCRQIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPAVDFKNPINPIDDESPAKSIEPLKFYNSEIHQASFCLPSFAKRVIETKGK >Solyc12g077600.1.1 pep chromosome:SL3.0:12:18647043:18648078:-1 gene:Solyc12g077600.1 transcript:Solyc12g077600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLAHLSKYSISILHILHPWLFLTDSNCGIILDGAFSSCCQEVVFHVHANDVGGIHMVVVLLEDIVISSSPKALKNEGEDVLPTEPLHKGPTLQVFQDDMNLGDVMTCPVHVLKWSNMSHDPLPARHSLGEIEEDVVNIPRTLIAKLAIISTHHSDVVKVRVSGESWGMSNHMKVLSLLGQLNFQIHPKFLSIVSMVGSFSRAIRL >Solyc01g007150.3.1 pep chromosome:SL3.0:1:1710462:1720369:-1 gene:Solyc01g007150.3 transcript:Solyc01g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta [Source:UniProtKB/TrEMBL;Acc:K4AST1] MEKSCSLLIHFDKGTPALANEIKEALEGNDIPAKVEAMKKAVMLLLNGETLPQLFITIIRYVLPSEDHTIQKLLLLYLEIIEKTDSKGRVLPEMILICQNLRNNLQHPNEYLRGATLRFLCRLNEVDIIEPLIPSIMNNLEHRHPFVRRNAILAVMSVYKLPHGEQLLVDAPEKIENLLTTEQDPSAKRNAFLMLFQCAQERAINYLLTHVDRVSDWGELLQMVVLDLIRKVCRTNKAEKGRYIKIIISLLTAPSAAVTYECAGTLVSLSSAPSAIRAAANTYCQLLQSQSDNNVKLIVLDRLNELKSSHKDVMVDMIMDVLRALSSPNLDIRRKTLDIVLELITPRNINEVVLTLKKEVVKTQSGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVVVFVREIIETNPKLRVSIVTRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGDLPFFSVSEESEAADSSKKTQQANSITTLSSRRPAVLADGTYATQSAASETAFSPPTVVQGSLTTGNLRSLLLTGDFFLGAVVACTLTKLILRLEEVQPSKLELNKATTNALLIMVSMIQLGQSHALPHPIDNDSHDRIVLCIRLLCNTGNEVRKIWLSSCRESFVNMLSDKQLRETEEIKAKAQISRSQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFVKDENDANKLSRVLQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKETLQNLCLELATMGDLKLVERPQNYTIAPESSKQIKANIKVSSTETGVIFGNIVYESSNVLERTVVVLNDIHIDIMDYISPAVCSEAAFRTMWAEFEWENKVAVNTVIQDEKGFLDHIIKSTNMKCLTAPSALEDECGFLAANLYAKSVFGEDALVNLSIEKQSDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGN >Solyc04g080570.3.1 pep chromosome:SL3.0:4:64775348:64780930:1 gene:Solyc04g080570.3 transcript:Solyc04g080570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGKAIYSLGSIVRATGKALDRVGNRLQGSSHIEEHLSRHRTLMNVFDKAPVVDKDVFVAPGASVIGDVHVGRNSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNISQKVLPTIIGNNVTVGHSAVVHGCTIEDEAFIGMGATLLDGVHVEKHAMVAAGALVKQNTRIPSGEVWAGNPAKFLRKLTDEEIAFIAQSATNYCNLARVHAAENSKSFDEIEFEKMLRKKYAKRDEEYDSMIGVVRETPPELVLPDNILPEKAAKSIAQ >Solyc09g090210.3.1 pep chromosome:SL3.0:9:70242421:70245242:-1 gene:Solyc09g090210.3 transcript:Solyc09g090210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLESNLVNYGFLIISAILSIVFISSIKSIINFIKDTLHHSPNQGGESDEAPPQEVVPIEVRPDMSLDEINILTDNFAEKGLIAEGSYGRFYGATLSNGQKLAIKKLDTDSSAESDTVFADQVQMVSGLKHEHFVTLLGYCLEANNRILVYESATMGTLHDVLHGKKGVENAEPGLVLTWNQRVKIACAVASGLEYLHKHDEPIIHRDIRSSNVLLFNDFTAKVADFDLTNQSSEDSTRVQESYGYHGPEYALGEKITDRSDVYSFAVVLLELLTGRKAVDYTMPEGEQSLVTWATPLLSDDKVQECVDPKLNNEYPTKAVAKVAALVGLCIQEEPLFRPNMSIMVKALQPLLNAD >Solyc01g105450.3.1 pep chromosome:SL3.0:1:93510125:93514791:-1 gene:Solyc01g105450.3 transcript:Solyc01g105450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSKNGEVLLTWEDLWVTASSLKDGNKAILKGLTGYAKPGQLLAIMGPSGCGKSTLLDTIAGRLGSSTRQKGDILINGRKQTLAYGTSAYVTQEDTLMATLTVKEAVYYSAELQLPNSMPKSEKKQIADMTMKEMGLQDAMETRIGGWSGKGISGGQKRRVSICLEILTRPKLLFLDEPTSGLDSAASYYVMKTIASQCQGRTIIASIHQPSTEVFSLFHSLCLLSSGRTVYFGPANAAIEFFALSGYPCPTLQNPSDHFLKTINSDFDQDIEEGQTSRKSTEEVINILIKSYSDSDKYRAVQSQVAEICKQKQESPYLKSRLCWLNDFLNHVQEGEVLEKRSRASFTTQSLVLTRRSFVNMSRDLGYYWLRLAVYIVIAVGLGTIYYDVGFSYTSIQSRGSMLMFVATFITFMAIGGFSSFVEELKVFQREKLNGHYGSGSFVIANTLSAIPYLVLVSFIPGAIAYFLTGLQGGFEHFMCFALVLFTCMMLVESLMMIVASIVPNFLMGLITGAGIQAVMCLSGGFFRLSNELPRVFWKYPLHYVAFHKYAYQGLFKNEFEGLKIHDENMKRLIKGEDILKYKWEMDMDYSKWVDLAILLGMIILYRLLFLLLVKAGEKVKPAVRAIMSNSPQQINSAETPLHEFAA >Solyc02g014845.1.1 pep chromosome:SL3.0:2:19206891:19207784:1 gene:Solyc02g014845.1 transcript:Solyc02g014845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTIRKRGNAIGRAFFIDGPGGTGKSFLYRALLATVRYRGFIALATASSGVAALLHPGGRTAHSRFKIPINIEGKFSCNISKQSSLASLIRDAKLIVWDEI >Solyc04g016130.3.1 pep chromosome:SL3.0:4:6855949:6869606:-1 gene:Solyc04g016130.3 transcript:Solyc04g016130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPRQKSPATALPPATIDMIGEDLLFNVFSKLPAVDCAAAACVSRSWNVTITRLLSLPKLSSAVSLNPSLQVAVNDVIDKVLACPIRPQFVIASIGPAFDLDEAHRLIAGRFGSQIPVITSISQGIFGQNATTNEFEEVQWDTFDDDDEAHADLGNEIHGALLTVGFLPGLAIDLIPLSRTLGNQVLMIDDLVLSVRERSSSRSGSASPVGILLFSDEETDIKFVLEKFDYAFSTETVIVGDGGSQFLYRGEAAINPSNNKAASSAAVVLLFSRDRGKPPGVGETQFHVMLSTGISSIGPTYKAVSVRGRSCDYSTWLTAKREAVHGSLDGQTILDQIYDELGGHNNCPVLYIGVTKRRKCSIGQEKPSWISTHEFHEVLSGDEEYLYVHGVGIRSGDSFRFYHASSDLARASCNTVANNFRHLKQLLNYECDDHTNSNGVATHKKPVFGGIMFACCGRGKLFFGEPNVDGSPFLEKFPGVTFSGTYCTGEIARADLCSYEQGSQEHSSISCNLHVFSTVYLVMSYTPPLPQH >Solyc05g010775.1.1 pep chromosome:SL3.0:5:4974952:4976107:1 gene:Solyc05g010775.1 transcript:Solyc05g010775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEITQMKKIQRVYNESMKLLDENLGDLRFYKYCSSFDKTDYIYSIMGDCHGINRQTLKNNLDGSTSTEQDVRDDISLALGEVFWETFKREVNEFDVVHAFNRQKTTYYFFTKEEEKLVICLELNQQASKKVAKILKDELP >Solyc03g051723.1.1 pep chromosome:SL3.0:3:22372278:22373358:-1 gene:Solyc03g051723.1 transcript:Solyc03g051723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRIIVDLTSIWWNGQISCPIKKSLQIIMFIEGDSLPIQGMNHLSEAILVSLVLFCCACFPLFADIFESLGAPLNVAKPTKASSVFLIHIYVNLTKNDLISFGQAADGAKTASASRIICVDLIAS >Solyc09g015910.1.1.1 pep chromosome:SL3.0:9:11313598:11313999:1 gene:Solyc09g015910.1 transcript:Solyc09g015910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGMWYCSYWLVSLQSSHCYLTSSLLYSISAFLWSIISNRAEVGFSLSIYLICKLAPSRVSVGVGLVDRPKNDSYPIRQVCMYPRSCLLFSRTSGTILSGCPLPPGIALGFVNQPGMFRPGRLDDDVVVVVL >Solyc02g065410.2.1 pep chromosome:SL3.0:2:37144803:37147617:-1 gene:Solyc02g065410.2 transcript:Solyc02g065410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIRREETGEFTLHFYNLYLYKAKERQKTQCVSHFCEIGESDESVYFFSYIWANHFCKAKHMLSKLPSFGLTPNSQFLRALGPSGDIRRARQLFDEIPHPDIRSWTLLITAYTKSGFPKEALEVYDELRARKVHPDQLALLSVTRACAALGNLIKAKGIHEDVIRYGYRADLLLGNALIDMYGKCKYAQGAREVFDNLSVKDVISWTSMSSCYVNCKLPSEALIMFREMGLDGVKPNPVTLSTVLPACSDLKSLDLGREIHGYIVRNGIHDNVYVSSALVDMYASCSRIKQAEMIFNSTRQFDYVLCNVIMSAYFSNGECDKALCIFDQLRKGRTKLNHDSWNSVIGGCMQSGRTDKALQVLHEMQQSGVKPNKITITSVLPTCIDLGSIRRGKEIHGFLLRHIFLEDETVFTALVLMYARCGDLELSKRVFYMMPKKDTIAWNTMIIGNSMHGNGEDALLLFREMVSSGVKPNSVTFTGVLSGCSHSQLVDKGLMIFYAMSKEHGVEPDSEHYSCMVDALSRAGRLEQAYDFIQNMPMKPSAGAWGALLGACRVYKNVEMARVAGKQLLEIEPENAGNYVLLSNIYEAAKLRDEASEIRKLMRERGIMKVPGCSWIQVKDKVHTFVVGDKNNAQTADIYSFLTEVGEKMRLAGYLPCTDLVGQDLDAEEKEYSLCNHSERLAVAFGILNLDGASSIRVFKNLRICGDCHNAIKYLAKIVGVQIIVRDPLRFHHFKDGLCSCRDFW >Solyc03g070480.1.1 pep chromosome:SL3.0:3:18509604:18510220:-1 gene:Solyc03g070480.1 transcript:Solyc03g070480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSIRGSLVKTSIHYHIFIYANGNDKPPTAIDLNKSLIENLLFNHSPAKVRYAVYICLNLMLYILIFINVSYFQDVVFASISMKPIPFSQVLEKFSLSDIKYGMLYQ >Solyc04g009910.3.1 pep chromosome:SL3.0:4:3230813:3232674:-1 gene:Solyc04g009910.3 transcript:Solyc04g009910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTLKNDFQICEEIGRGRFGTVYRCFSPATGESYACKSIDKNLLIDSTDRECLDKEPKILQLLSGNPNILHLYKVYEDDDFLHMVTDFCPNSDLYERVSSGSLSESAAAAILIQLVSAISYCHHMGVAHRDIKPDNVLFDSENRLKLADFGSAEWFAGCDGRKMNGVVGTPYYVAPEVLMGKEYNEKVDVWSLGVILYIMLSGVPPFYGETPTETFQAVLRGNLRFPTRNFRSVSPEAKDLLRKMICKDVSRRFSAEQVLRHPWVLNGGETRSMVD >Solyc09g072655.1.1 pep chromosome:SL3.0:9:65696204:65696949:-1 gene:Solyc09g072655.1 transcript:Solyc09g072655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILLSVVGGSHLNGSTGSVSSRIIAASKQSAASAAEPKPPSLYNRPRLYHAEAFIRSRRSASVRRLRIIAGDCLPIFINTTALDTRLGTWDGLTAKALE >Solyc03g118350.3.1 pep chromosome:SL3.0:3:68736918:68740997:-1 gene:Solyc03g118350.3 transcript:Solyc03g118350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKLYFDTFIAFMTCYFSYIHGSPLLESSNAFDSREAGERTAAALGRVLMLDLVIRNEDRLPCRHLRWRGNPANLLLADKVSSVNMDALAAAFDSAIDRYRPRVIRALQKERRANSVDSRISTPNPGLISQSSDLSDITESPKSCNLSVSQTSNETTCMYFHVVAIDSGVPRRPPAGKRASDQENYPKLVELLINSPEYASKLLYEITGGKLGSSPEASDAMNNNQAADLASIGHEFRIGFRAALRDLQGFHIFLLTLHQKLDSVFRVFLGVINRASAGDLEKEDMVIPESPSQSAGFVGHCPSTPSKERVPSDTYLDSNESECQRTAPRPSSSGCRDSLDSMVSPNSRDSQGKCHKSSGEPLRSLRLTSKLRDFHKFAKVDAELNKELEQWTEMLKSDAIKMCQENNFNTGFFEGSDSNYVVDAYELKVRLEHILERISLIFDAANTEKPSAISGSLFIGGALAARSVHTLQHIGITHILCLCANETGQSDSQFPDLFEYKNFSICDDEDSNISALFEEAHNFIDHVEEKGGKVLVHCFEGRSRSATVVLAYLMLRKKFTLLKAWNTLRRVHRRAQPNDGFAKILLDLDRKLHGKVSMEWQQRKPIMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMNMEIQKALDALKISRGGSVSPTQRQSSMIEEFES >Solyc11g040063.1.1 pep chromosome:SL3.0:11:40209959:40211685:1 gene:Solyc11g040063.1 transcript:Solyc11g040063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTYGYHDQSPSIKKNLSKSLRVFKNPKYTGNGTEIFTEASTSITVATGKQKILSGTLPSHAVIFHLGWFHVSISCAMLSTVQARDWQTVNQNQRLWPPQMFAEGKDIGRIQTSVSGEVFCSQAATRTNRKMVYTIEISEYHLLLYV >Solyc01g018042.1.1 pep chromosome:SL3.0:1:26780122:26780787:1 gene:Solyc01g018042.1 transcript:Solyc01g018042.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETMKKYTRKIFQIFQEELIQSQKFISEKIEVKDGANIYKVHQLQRQKPVYIVSLDVSLRKAFVRVTNIHSLPTYYLLDRWTRNATKEKLNDSPTITQNLKPSTLWFNNIMMHSIGLYERATKSEKHYQFEHQRLLQLCGELDELPYESEEDCVSGGKVSEKYCKVNLCEQIQDITLLDPPEAATKGRPRSLRMKGSLEII >Solyc06g035420.1.1 pep chromosome:SL3.0:6:24395592:24397568:-1 gene:Solyc06g035420.1 transcript:Solyc06g035420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVLLVINCIILAVGTCGGPLIMRLYFIKGGKRIWLSSWLQTAAWPINFIPLVISYFYRRKNNNNNSSKLILMTPRVFMATIGIGILQGFTNYFYAYGVAKLPVSTSGLLFATQLAFTAFFAFLIVKLKFTPYSVNSVFLLTIGAVVLALRFGMTLASAALTGLIFPLVELIYKKAQQTITYTFVLEFQTLYCFVATVLATIGMIINEDFQAISREVKTFELGEDKYYIVIIWSAIILQFYFLGSIGVIYSASSLVSGILISVLLPITEVLAVFLYGEKFNAEKGVSLALSLWGFASYFYGDYRGNNNTENNNQSPTTEMIDKTNCTP >Solyc09g009770.3.1 pep chromosome:SL3.0:9:3250284:3254381:-1 gene:Solyc09g009770.3 transcript:Solyc09g009770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVETTGVSNFDLNNSSSQVSSLPPYRKLIDMSGCKLTELRIPDFRSSDDMPELEGFGSPVSAGVECENGMVSFPASPSVSENVGQERDFTESVEKTPSNAAKRLQKVYRSYRTRRMLADSAVVAEELWWQAIDYARLNHSTISFFDYSKPETAVSRWNRVGLNASKVGKGLSKDAEAQTLAFQHWIEAIDPRHRYGHNMHIYYEEWCKTDAGQPFFFWLDLGDGRKVDLKECPRAKLQKQCIKYLGPQERQHYEYIIAGGQILHKLTRTSLDTTKGPEGAKWIFVMSTSKRLYAGEKKKGMFHHSSFLAGGAALAAGRLVVQDGTVKSISPYSGHYRPSDDSLDTFLSILKEHGVNVDEVEIKKASEDYDTSTDVNIKSIDKRPPKLSTPPPSVEEEKQVHSTESIGSPQAATSPTCCYQRTLSGGLHSPRTEVPKTAILERINSKKSSRSYQLGHQLSRVWSTGAGPRIGCIADYPAELRWQALELTNVSPKPSPSSTPRPLDALCSPTCSSPVFNNVLVKSS >Solyc01g097450.3.1 pep chromosome:SL3.0:1:88180739:88186997:1 gene:Solyc01g097450.3 transcript:Solyc01g097450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESAFAIPKGQVDLMDFVDWSGVECLNQSGSNTIVNALKQGYREDEGLNLVSDADEQLLIYIPFNQVIKLHSIVIKGPEEEGPKTVKLFSNKEHMGFSNVNDYPPSDTVVLSEDNLKGKPVTLKYVKFQNVRSVTIFIEDNQHDGETTNVQKIVLYGSTVETTDMKGLKKIEDH >Solyc08g014027.1.1 pep chromosome:SL3.0:8:3618765:3619660:1 gene:Solyc08g014027.1 transcript:Solyc08g014027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLYFNLDIDDALEEIPSCQQVKDSIKIKARKLNDFDAYVNQNIEHTEDILAWWRNRDKGFPKLQPTARDILAMQTSSVASEGVFSASRFQLGEHKHSLAADSLEILVLFRDWINAERRNLDREQLPTKFQDDVDEFEFKNFKL >Solyc11g008300.1.1 pep chromosome:SL3.0:11:2514406:2515554:-1 gene:Solyc11g008300.1 transcript:Solyc11g008300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKRKNDGELPESSSCKKQKKMKGKANFIISAAAAIFFPLFSFIINNDFSLKVGKKTPPSIDQLEVRNSAIVQFPEEILVNILTRLPVKSLVRFKCVSKFWITLISDPYFTKKHLNRARNDQDSRKLLIYQWALSDEYKIIHMHQNIEGPYYTNEILALKDGCSWRSIDEHPRAIRCWFHASDSLPFIHAAFHWIQVSRNYFVVISFDISNEVYGEIPVSEEILSLKAGPDTVGVSVLEGMLCVYSNLFFTGNDTFVVWVFTILQ >Solyc07g007820.3.1 pep chromosome:SL3.0:7:2482001:2492911:1 gene:Solyc07g007820.3 transcript:Solyc07g007820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGTQNSLRKTLGSLKDTTTVSLAKINSSYKELDIAIVKATNHVEHPAKEKYIRAIFSAISATRPRADVAYCIHALARRLSKTHNWAVALKTLIVIHRALREVDPTFHEELINYGRSRNRMLNMAHFKDDSSPNAWDYSAWVRSYALFLEERLECFRVLKYDVETDRPRTKDLDTPELLEQLPALQQLLYRINGCQPQGASAHNFVIQLALSMVASESIKIYNAISDGTVNLVDKFFEMQRNDALRSLDIYRRAGQQAEALSEFYEICKNIDVGRGQKFIKIEQPPASFLQAMEEYVRDAPRASTVRKDSEPKVILAIEYKKDPEVKDAGSLSPPPPEPEKEPEPKPEPVKTEAPLAETADLLSMDDPSPAVAELDEKNSLALAIIPVGSTNPPTSTGSNFTNGTSGWELALVEAPSSNESAATASKLGGGLDKLTLDSLYDDAMRQTNQNVSYNPWEPAPAVAPMMQNVGYDPFYVSNMVAAPTNVQMAAMANQQQAFMLQQQQQQQQHMMMMTPQQQPNANPFANPYGAAANPYGPGMPVQTAYNPYTGLI >Solyc02g066900.2.1 pep chromosome:SL3.0:2:37698009:37699559:1 gene:Solyc02g066900.2 transcript:Solyc02g066900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANHQDKGKKIVEDVSVDLTLDLPMETQMEYWRQRNLLPPMDTEMEWRQQNYPFSVTGMSSLLRDTEIEWRQRLEAEMAWRRRMDTEIESRKRLEAEMDWRRRMETEIECRRRMETDMEWRQISGPFSVTRAASMPPMETQTEWGEMSDPFSVNRTASLPPMETGMDFKERRDLQTQTRGDTQQNRWDKLKNVIVVEENEGNGTSSLSSPSGSGSVGSFGSAGTSSKTQQHLPNQGASGSSNVIPPVSGTLEQMQHLVLAAIEATNEKSPDFSRKEGLRNFLLKMPGVSTKGDGPNGKKTGGFLYAYKRGGEVKIVCICHGYFLTPAEFVKHAGGGDVENPLRLITVDPN >Solyc05g051260.3.1 pep chromosome:SL3.0:5:62391750:62394801:1 gene:Solyc05g051260.3 transcript:Solyc05g051260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFKRDNVFCHILLISCFFLCAGFSVYAIDYDYHFTIKCLEEPLNPQYSGGIAKNPEINDGLNGWTSFGSSKIETRTSKQGNTFIVASNRTQPNHSFSQTIHVEKNTMYTISAWLQVSHGKADVVAMIRTPNGDIPTGWAIAKSGCWSMLKGGFNGNFSGPAELYFESKDISSIELWADSISIQPFTLLEWKAHQDQSIEKTRKSKVKLLVVDHEGQPIPNATIMMKQTSSSFPIGNAMSSHILTNTGYQDWYNPRFKLTVFENEMKWWLTEALPNKNDYHLADAMLQYVQNRSMLLRGHNIVWENRDMLPQWAKDLPRPLLATAIERRFNSLVPRYRDKVMHWDVDNENMHFSYLESQTGENTVYYYKKAYDMDKTALLFLNEWGTIENPNDIDANPAKYLAKIEEFRTLGYNGSLGIGLQGHFDTPVIPYIRSALDILATANLPIWITELDVSSRPLQADYLRDVLGELYTHPGVQGIVFWSPWQPQGCFKMCLTDNDFNNLATGDVVDDFMRRINHQGLISNTNHDGYVETSLYHGDYEVIIKHSTIKHQSFAHKINVAKGKDSNTLMMKLSA >Solyc05g052410.2.1 pep chromosome:SL3.0:5:63483534:63488479:1 gene:Solyc05g052410.2 transcript:Solyc05g052410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIERNRYMAVLDRTSNMMLPMDYTRKKKSRSRKDAPKNVAETLAKWKEVNEKLDACDDDGRKPVRKVPAKGSKKGCMKGKGGPDNGRCKYRGVRQRTWGKWVAEIREPHRGRRLWLGTFDTAIEAALAYDEAARAMYGPCARLNLPDYYASSKESSKDDSSLPTVSRSDSNTASSFSEVCPAGDMMRGRANVPAARHEDRSIEIDGARTGSNEIGTPLSSLREEAEDETKEVSDKSETFTPLSSLREQAEDEAKQVLDKSETFEIKDEPAACSYDSWDIGQEDLGNFCLDDEMFDVNELLGMMDSTPVDASAPSQDVGFVPPKQEQYAYDPSYQLHSAAYDANQLSNPAYQLDNADDQFSNPLYQLDNAGVDTLEGLQQMEQQSPIEVDYDFDFLRPGRQEDFHFCLDELDVLDF >Solyc06g072970.3.1 pep chromosome:SL3.0:6:45113546:45116959:-1 gene:Solyc06g072970.3 transcript:Solyc06g072970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFSACPICNLRVLTSELERHANSHFDDEDIDKDFELAQQIALDLACTPSSPPYIPVDDDMQCETSSRKDRECSILKYEAKNCNHGGKDIDEKARILSSVYLNETRPQIGQGLMDLLKNCLELETEKTTTILCVNVDHFQSSHSEDFGWGCGWRNIQMLSSHLLKQRQEAREVLYGGSGFVPDIPSLQRWLEIAWKRGFDKQGSEDFDRAIYGKRNWIGTTECATLFRSFGLRARIVDFVSREIDIRSSGVGKCVGNMTPQVYGPMDGYLSKGKNSVSKAVPISYDVKAKPKVQQALIDWVWNYFSDNKSRKYGNHGILVSQKAPLYFQHDGHSRTIVGIQMKCQRNGPMQYNLLILDPGHTTESLAKSLKGNFGWQKLIKRGVHTLKKPLYQLCFLDPGIASGEEIESLKNLHSIRVEASS >Solyc06g054330.3.1 pep chromosome:SL3.0:6:37252987:37264902:-1 gene:Solyc06g054330.3 transcript:Solyc06g054330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSIAFFSFLLLFFSLSLLVFLAFIVRPRPVKVPIKNRHVFITGGSSGIGLALAQQAASEGAKVSILARNTGRLKEAKESIRLSTGRDVAIFSADVRDYKAVKKAVEEAGPIDVLVCNQGVFVPQELETQDIEEIKFMIDVNLTGTFHLIKAALPGMRNRSNRGPGSIAIMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIGENIHVSLIFPPDTETPGFAEENKRRPKLTSILAASSGSMKADEVAKKALNGLKSGNFIVPCNFEGFFLSLATSGLSPQRSFLMAFIEVIAAGILRVVGLCFQWNWYGSIEKFLEERK >Solyc06g065490.3.1 pep chromosome:SL3.0:6:40997352:41000071:-1 gene:Solyc06g065490.3 transcript:Solyc06g065490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPLSSIFPNSTNPSLTSAKPHHQNMGLSRRDFINVGLSVMPLLVSPPPLSIAREVEVGSFLPPSPTDPNFVLFKATPKDTPALRAGNVQPYTFILPPTWKQLRIANILSGNYCQPKCAEPWVEVKFENEKQGKVQVVASPLIRLTNKPNATIEDIGTPEKVIASLGPFVTGNTLDPDELLETSIEKRGDLTYYKYVLETPYALTGSHNLAKATAKGNTVVLCVVSANDKQWASSQKTLQAILDSFEV >Solyc10g009050.3.1 pep chromosome:SL3.0:10:3061570:3067832:-1 gene:Solyc10g009050.3 transcript:Solyc10g009050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKEKEGLFPGIHKFAPRKKVGMLLLCAVSLAVFLWVVLIDRGEAQESNPSIGNRLFREVGQKDNSTTIESVERTNAGQSALTIQPPPPVYFKGYTLPPGNPCESFTLPPPPADKKRTGPRPCPVCYLPVEQAIALMPDAPSFSPGVSNLTYIHEENSEKPEFGGYPSLMQRNDSYDVRESMNVHCGFVKGIRPGHRTGFDIDDSDLNEMESCRGVIVASAIFGAFDLIRQPKNISEYAKKNVCFHMFVDEQTEVFLRNSSELDGSMRIGLWRIVTVHNLPYDDPRRNGKVPKLLLHRLFPNARYSLWIDAKLELVVDPYQILERFLWRKNVSFAISKHYRRFDVFVEAEANKAAGKFDNASIDFQVEFYKKEGLTRYSAAKLPITSDVPEGCVVVREHIPVSNLFACLWFNEVDRFTPRDQISFAIVRDKIMSKTNWTLNMFLDCERRNFVVQGYHRDILEHWSPPPPPAAPEIVHPPPPVPDKTPQTTSNITKSSSVAEPLKKVPSKRGRDRKKRHRKVIGNFA >Solyc07g039665.1.1 pep chromosome:SL3.0:7:49351905:49364171:1 gene:Solyc07g039665.1 transcript:Solyc07g039665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICTISLSSQRNIHPITPHPSLVYGSEDNDDEDRARRDEKVVENIIKTAQMHLVVATLLKTVTFTADFTLPRVFESDTNSPNKGMTILLKRTTFRTFAVSNYIAFACSSGAVFAYFTMATNAISAVTKLTTIIQIYNMAIALVVFNFSPSKAMAEPPFEMGLIDDFEELTCERHQLFKIFAEQKFEYEEFKDDKTVTYKQKRIV >Solyc04g081380.1.1.1 pep chromosome:SL3.0:4:65474076:65474489:1 gene:Solyc04g081380.1 transcript:Solyc04g081380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNKTSIQRESFVIQVSENFQSSSQVISPSSNKLINFSAMKLFDRFRKIVMRFVFPIPTSSRRRPSRAGATMSATGPGSGQRRRSCDRPDPPKTSCSSYYSSTSHYNEAIADCIEFFNKSSQDQGIFSGRKSDVIV >Solyc07g014730.3.1 pep chromosome:SL3.0:7:5197767:5201376:1 gene:Solyc07g014730.3 transcript:Solyc07g014730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSLHFLAFCIIAIFTNLFNSPISIHALNVGVETNAGLSLEKECSRTCESKFCAVPPFLRYGKYCGIMYSGCPGEQPCDALDACCMKHDLCIQHKDNNYLNLECNENFLSCVAKFTKSGSPTFKENTCSITTVVRVITDVIDAAVAAGKIFKKP >Solyc10g046800.2.1 pep chromosome:SL3.0:10:37340804:37342295:1 gene:Solyc10g046800.2 transcript:Solyc10g046800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFQHNIYIVKEREALLCSTVFLFSDCVAPQYESRLVDEACRSHGFFLVVNHKVDANLISNVHRYMDTLFCMPLFEKTKSNASSFTRRIMELLGMIKPELTFETRSHYDPTPLNVLRQDYVIGLQVFVDNKWYSIHQNFNRYVVNIGSRFMVVNPPTEFVDNKKPQLYPDFTWPALLEFTQKHHRADMNTIQAFT >Solyc10g083240.2.1 pep chromosome:SL3.0:10:63215005:63218529:-1 gene:Solyc10g083240.2 transcript:Solyc10g083240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRKLLLPKNTSVVISNSTIFLSRRGIASKLFVGGLSFYTTEKALSEAFSQHGQVIEAKIVTDRVSDRSKGFGFVTYASEDEAEKALQEMNGKPLNGRVVFVDYAKPKTDGGSTPIARGPPEPPVEQ >Solyc07g051940.3.1 pep chromosome:SL3.0:7:60616452:60626737:-1 gene:Solyc07g051940.3 transcript:Solyc07g051940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFGGEVKIIRWSDNHHPTVLLRDHFLAYANLLGANEWEEKEHKDLKEQVRKMLVISPSKSLQKLELINTIQLLGVSYHFEHEIEESLSEIYNGHEEWIGESHDLHVVALSFRLLRQQGYYVSSDVFRKFTDDQGNYNKALVNDTPGLLSLYEAAQFRVHDEEILDEAINFATTHLKLLLPKLSNSLSMQVSYALKYPINKTIARVATRKYISFYQEEESSCDQVLINFAKLDFSILQKMHKRELCDITRWWKELDLANELAFARDRVVELYFWCLGVYFEPQYKVARNILTKVLCFVSITDDIYDTYGTLHELTLLTNAIERWNLDATENLTSYMKLFYTGLLHFYNEVEKELEKENKSFRVNFAISEMKKLVRAYFQEAKWYHGNTVPKMEEEYMKNGIQSSANPTLATASWLGMGDEATKEAFEWISTEPPILVASSNIARLLNDIVSHEREIERGDVASSIECYMNEYGATKEEAYMEIRKIIENNWKDLNRGCLKPTTVPRVLLMPVLNLTRVAEFVYKDEDAYTFSKNNLKEVISMVVPSTEYIYNVTDDGRSFVVCLKNKTCSCGKFQYEEIPCEHAWAVLKQKSLVADGYCSDLYKPKTILKIYEIPIYPLPSFSEWVIPEAIMYDEVRPPKFKRPPGRPKNKPRSKTKRELLGLRGKYTCSTCEFAGQNRRSCRNRPQEV >Solyc03g071480.1.1 pep chromosome:SL3.0:3:18637651:18638022:1 gene:Solyc03g071480.1 transcript:Solyc03g071480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIREAKAAHEHLVVASMAMIAHRKTRLQLLMLAGWTIIYKIGKLRESRSYCENALRIYAIHVPGTNADGLWIDENFSYI >Solyc07g041517.1.1 pep chromosome:SL3.0:7:53651292:53651777:1 gene:Solyc07g041517.1 transcript:Solyc07g041517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQTEILNREENPRFFLSTFNDLKFDSLRIIGQGLLKCGSPFLWVIRKRKIREKDGGKLQLQRRTKKAGKDIDLLLVSGSFESVSYFITHYEWYLT >Solyc07g055387.1.1.1 pep chromosome:SL3.0:7:63571227:63571244:-1 gene:Solyc07g055387.1 transcript:Solyc07g055387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AISCS >Solyc03g114640.3.1 pep chromosome:SL3.0:3:66042727:66055238:1 gene:Solyc03g114640.3 transcript:Solyc03g114640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLSTPRLTSIHKLTVASSLSKSSSSSSAIHSSPTVFPISLHSNSLFFSLYTTPLLTLRSIDNPSHIHRNFSVSAFDTKNEDVSPSSKGDTKDEDVSSTKDEPVAEIKADDIAKEDQYPTGEFEFEEYGVWKSLVVKFRMLFTLPWERVRKGSVLTMKLRNEISDQLQSRFSSGLSLPQICENLMKAAYDPRISGVYLHIEPLGCGWGKVEEIRRHILDFRKSGKNSSLYFYEMVRSEKEYYIGCACQELYVPPSAYFALYGLTVQASFLGGVFEKVGIEPQVQRIGKYKSAGDQLMRKSISDENREMLTALLDNIYGNWLEKVALTKGDFRFELHTGDLKSSGDFHDLFSYSGKKIEDIEQFVNDGVYQVERLKEESWITDIKYDDEESLIYAYPQVMSMLKERLGISKDENLPEVDYRKYSKVRRWTLGLTGYKDQIAVIRASGSISRTRGPFSSSSSGIIAEKLIEKIRSVRESKRFKAVVLRIDSPGGDALASDLMWREIRLLAESKPVIASMADVAASGGYYMAMAAQAIVAENLTLTGSIGVVTEFVSSSGTEEGIRRPEEAELFAKSAQHAYTQFRDKAALSRSMTVDKMEEVAQGRVWTGKDALSRGLVDAVGGLSRAVAIAKQKANIPQDRQVSVVELSRPSTSLPEVLSGIGSSLAGADRTLKELLNDVASSDGIQARMDGVMFEKLGAAAYTNPLFLLIKDCLSSF >Solyc03g118340.3.1 pep chromosome:SL3.0:3:68729723:68735897:-1 gene:Solyc03g118340.3 transcript:Solyc03g118340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMARALVQSTNILPSVAGERAGQFNGSRKDQRTVRMLCNVKCCSSRLNNFAGLRGCNALDTLLVKSGETLHSKVAAATFVRRPRGCRFVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFIAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPTNIRTQVIRMVGESSEAVGASVGGGTSGLKMPTLEEYGTNLTKLAEEGKLDPVVGRQAQIERVTQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIANGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLHYTDEAIEAAAKLSHQYISDRFLPDKAIDLIDEAGSRVRLRHAQLPEEARELEKELRQITKEKNESVRGQDFEKAGELRDREMDLKAQISALIDKNKEKSKAESEAGDAAGPIVTEADIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKSLATYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDFDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFVRLKNKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLAGEIKEGDSVIVDVDSDGNVTVLNGTSGAPSDSAPEPILV >Solyc06g035940.3.1 pep chromosome:SL3.0:6:25381906:25397971:1 gene:Solyc06g035940.3 transcript:Solyc06g035940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSNNRDGGVSGDELTSPDGSSERRHKFSVNQIHELESVFKVSSHPDEKTKQELATKFSVDKKQVQFWFQNKRSISKTQSERYNKRVLQQENEKLRTEYAAMREVMKKSICDPCRNKDTTIRNENVDEKEILNEHARLKDELARIAIHADKSLGSSSFLEGSLTSMMEKFGLELNEVDFGKYLSSPLPTNLDVTLDKSMLLNLALDALNELLKLAMSDEPLWVRNLDGGGEMLNMEEYATTFIPIIGIKPSHFTTEATRSSGTVVGNSLTLVEMLMNESQWVEAFPCIIGKVNTFDVISTGIGEGKSGTLLLIEAELQIISNVVPVREIKFLRFCQKHAEDSWIIVDVSVDTIKEGSQQYKIEKCRRLPSGCIIQDMSNGYSKVTWIEHMEYDEIFVDHLYRPLIRAGLGFGAQRWMSSLQRQSELLRVMASFVNSTVDPKGEIGMGILSQRMTRSFCAVICATSHKWITIQKENGKDANLMMRRNISDAGEPIGVILSATKTIQLPIKSQCLFQFFTNKNLRRQWDILSCSGAMENIIHINKDENLESSVSLLCANGGANENNMMIFQDTCTDATGSLLVYAIVDSSKMNTVMKGGDPSCVELLPNGISILPDLSANNNKEFGSGSLVTIMFQMLVDNISTADLPQKSIVDANDIISHTIHKIKSALLI >Solyc08g082780.2.1 pep chromosome:SL3.0:8:65595872:65596788:-1 gene:Solyc08g082780.2 transcript:Solyc08g082780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLLVICLIFICSWPGHTNGIQDVAKPENLRREMIGQMNVRKLLGVDATVDYDEGGPNFSHTPGKGNPPIEAKVAN >Solyc07g019627.1.1 pep chromosome:SL3.0:7:12423719:12431493:-1 gene:Solyc07g019627.1 transcript:Solyc07g019627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVLRLRSHMAPFVTGIEFIIPWRGRPDISFAVQEVSQFMQAPRHLYSVVVRHIIRYLLVTSTRGLFLPSGSPIHLNAFSDSDWAGCIDTHRSVTCWCMSLGESLISWKSKKQDPVSKSSIKAEYESMATAFSEEEVELSPPDHIYPEKIYKIGKFSFKS >Solyc06g065670.3.1 pep chromosome:SL3.0:6:41187553:41195353:-1 gene:Solyc06g065670.3 transcript:Solyc06g065670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRGENGANKNEEDLVLAALQRSPTYIRARTSIFRGIGGEVALVDVGKMKGEEQMQVLDGLINAINEDTELFFKRVKERFEKVDLEFPKVKVCFHHLKVDAMVHVGSRALPTIPNFIFNMTESSLRQLRIFPSRRKKLSILNNISGFLRPSRLTLLLGPPSSGKTTLLLALAGRLDKNLMISGRVTYNGHDLKEFVPQRTAAYVSQRDSHIAEMTVRETLEFSGRCQGVGFKQDLLMELLRRETNAGIIPDQDIDIFIKVWRHLHKVCFLGSSIGRTDKYSCRLHSKDFIVLSRTTFWAFLLHPSEISAKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLMGAPRVLLMDEISTGLDSSTTFQIIKYLKYTTCAFDGTTLVSLLQPDPETYSLFDDIILLSEGQIIYQGPRETALEFFKFMGFKCPSRKNVADFLQELTSEKDQGQYWFLNSQYSYVSATKFAEGFQSFHVGNALAQELAIPFDKRDGHPAALSSSTYGVKKSELLKISFDWQLLLLKRNSAVLVFKVTQLFLIILIMMSVFFRSTMHHDTLEDGAVYLGALYFAILMVLFNGFLEVPMLIAKLPVLYKQRDLHFYPCWIYTLPSWLLSIPTSLLESIIWVAATYYVVGFDPQITRCFRQFLLYFSLHQMSIGLFRVMASLGRNMIVANTFGSFAMLVVMALGGFVISRDSIPSWWIWGYWFSPLMYAQNSASVNEFRGHSWDKFLFIPIVLIQGNKMQVLWELIMFWQLSAALGSQQAVVSKKNTQNKDKEHESEDNIVPFGEFLNHSHSFTGREIKKRRGMVLPFEPLSMCFKEISYYVDVPMELKLQGLVGDKLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGHITGNIYISGHPKKQETFARVSGYCEQNDVHSPCLTIHESLLFSAWLRLSSQVDVKTQKAFVEEVMELVELTSLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARSAAIVMRTVRNIVDTGRTIVCTIHQPSIDIFESFDELLLMKRGGQLIYAGSLGNRSCKLIQYFEEIQGVHRIRSGQNPAAWVLEVTSSAEENRLGVDFADIYRKSALFQQNEEMVESLSKPQEGSAELYFSSKYSQSFFGQFLACLWKQNLSYWRNPQYTAVRFFYTVIISLMFGSICWKFGSKRSTQQDILNAMGSMYAAVLFIGITNASSVQPVVFIERFVSYRERAAGMYSALPFAFAQA >Solyc10g080715.1.1 pep chromosome:SL3.0:10:62064519:62064745:-1 gene:Solyc10g080715.1 transcript:Solyc10g080715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLHRSKAGKRGPEKLTGQKEMNGASINPIYAVAPPQLRRSHSPSPSSPQPTAQIRSSSFEAKLSLYRRLK >Solyc01g108350.3.1 pep chromosome:SL3.0:1:95587060:95588847:1 gene:Solyc01g108350.3 transcript:Solyc01g108350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANAQSFLFLVVFSFMFVLSMANFNYGRGQGKLNKTNCPYGNNHPNATQTSNKFNVGGSENWRYGFDYMDWARKSGPFFVNDTLVFKYDAPNANGGFPHSVYLLPNYWSFIKCDLRRAKRIANPNQGVGEGFEFVLKKSQPYFFACGEHGGIHCNNGTMKFVVMPLKRWTF >Solyc06g053810.3.1 pep chromosome:SL3.0:6:36765774:36770570:1 gene:Solyc06g053810.3 transcript:Solyc06g053810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKYGKVPLLCVSSLVGIISAFAMHHLRNYKSKSPKFDDPMNHQLSPIFDTSTESGRAAGRLEKFSHYVARQLGFKDESECVGLCELVQEYLKRSKGCDNSIFEYFANEEDAESLFVKLENELERCILAYFAFHWSKASMLITQVLSVDSEQKRLKDLVLAATRKQRFEKITKDLKVTRVFSTLVDEMKAIGTVSSNGTGESRCTDVMVPVAHSQRSPVLLLMGGGMGAGKSTVLKDILKQPFWLEAVANAVVVEADAFKETDVIYRALSSRGHHNDMLQTAELVHQNSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHKHRYRMGEGYKVAEDGTVTENYWEQIEQENGEESANKISKPYRIELVGVVCDPYLAVVRGIRRAIATGRAVRVKPQLKSHKRFANAFPKYCELVDNARLYCTNALGSKPPLIAWKDGANKMLVEPEDIKCLEMVKKLKEEAESIYELYEGDDVLSLETPGSVWKDMVLIPTRSTLQQELKTAILKIENRGK >Solyc10g005460.3.1 pep chromosome:SL3.0:10:335995:338011:-1 gene:Solyc10g005460.3 transcript:Solyc10g005460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKNGLKKGPWTTEEDQKLIDYIQKYGSGNWRILPKNAGLQRCGKSCRLRWINYLRPDIKRGKFSFEEEETIIHLHSILGNKWSAIAARLPGRTDNEIKNYWNTNIRKKLLRMGIDPITHSPRLDLLDLNSIFNPSLYNSTQLDNNISRLLGVQSLVNPEILRLANSLLSSHHQNQNFLLQSNFQENQLCNSYVQNKLTPFGQTSLIQNPINNISTCSNFNTPSVPFYSDTLAMQQPNVEEQSSSNILNFNSQNFTFNSILPTLSTPSSTPTSLNSNSSTISEEERESYCSMLNFDIPNILDVNEFM >Solyc11g072010.2.1 pep chromosome:SL3.0:11:55596574:55603878:-1 gene:Solyc11g072010.2 transcript:Solyc11g072010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPVFLMGCGGVGRQLLQHIVSCRSLHAKQGVHLRVVGVCDSKYLVVVADVLTAELDDSFLLEVCRVKSNGSSLQTLVNSGVCQVFSGPEVIQKVVDIGRLGKSTGLAFVDCSASAETIGVLNQVVDFGCCVVLANKKPLTVPMEDYDKLVSQPRRLRHESTVGAGLPVIASLNRIISSGDPVYRIIGSLSGTLGYVMSEVEDGKPLSQVVNAAKSLGYTEPDPRDDLGGMDVARKALIIARLLGRRLNLDNMKIESLYPEEMGPDVMPLEDFLVNGLPLLDQKIEDRIKQASANGNVLRYVCLIDEARCLKQSLLTDGKCYGKLRCEVGIQEVPKDSALGRLRGSDNVVEIYSRCYEKQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Solyc08g016275.1.1 pep chromosome:SL3.0:8:7148210:7161940:1 gene:Solyc08g016275.1 transcript:Solyc08g016275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKKICVTLASTSKKIVDSDDDFEDLPPQFQSKSLKNKDGLEKKRPVNDGKTRNRLPKSVILPESRYPDNEYQISNRDFYLVESGKFNSYPWGLDVYKKLSDSVRHELKSTHKYYRIGGLPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKAFFENIVASEDEVSKFRLPETRDYHAEILKLEPKGSNHGLDILTNEVIELRKELVKVNENNKALEEKIDLGFNQIKEFVVNSNKQLLEDISLLFAKSGGSSSVIREVKEPSKKHADETFSGGLDFNGGNDAHVMGSNQNEESQVLKATVRFADVENFERVSSKIDEDVAGIVIEKVLSEVVADINVQEAADVNTVGAKPDDATEDCQKPLHTLDDFILLDKDLSQINRTEESYLKKRAQVDQNKKKVSPKKRGRKKNPGKLITSPFTQHFESGGTLCVTRQVFETKHPFLYASGGDDESDLIDSFTKWLYMGTKKRGKKPYTDALNVINPTFELGVCTVDERLWFFKLAHSGQQWCDEHIDVIFYYLRKKGKYDTNSNVRFTTTDCVFKTKITNSFFKLCDAHEDKKNFKVLDSDDIARYISGRRLLASTSWDKVDFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARGGVNLELGGA >Solyc07g053770.2.1 pep chromosome:SL3.0:7:62328432:62329434:-1 gene:Solyc07g053770.2 transcript:Solyc07g053770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKATFLKVFLISFLLMLLVQGSHVQGGCTSDADCATVLKCIEAQPICDLTRHQCVCPRTPPANYGTRTIHQN >Solyc12g006930.2.1 pep chromosome:SL3.0:12:1348867:1353901:-1 gene:Solyc12g006930.2 transcript:Solyc12g006930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATAATCAFFPAANQPPESGAKSSGNLGGSLPGSIDTRGLNVKKPSFGSLQAKANAQAPPKVNGTKVGVMDGFKNDDEVISSHHPRTFINQLPDWSMLLAAITTIFLAAEKQWMMLDWKPKRPDMLADPFGLGKIVQDGFVFRQNFSIRSYEIGADRTASIETMMNHLQETALNHVKSAGLMHGGFGSTPEMSKRNLIWVVTKMQVVVDRYPTWGDVVQVDTWVAASGKNGMRRDWLLRDSNTGDILMRASSQWVMMNKETRRLSKIPDEARAEIEGYFVDSPPVIDDDSRKLPKLDETTADYTRTGLTPRWSDLDVNQHVNNVKYIGWILESAPMQILEGCELAAMTLEYRRECRKDSVLQSLTSVLDKEVGDFTDFGNVECQHVLRLENGGEVVKGRTEWRPKLVNGIGTLGGFPADFA >Solyc03g046596.1.1 pep chromosome:SL3.0:3:18082894:18087824:-1 gene:Solyc03g046596.1 transcript:Solyc03g046596.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPECPLGSTHGRMTSGMKFHYRTREAHTQAIISLGKHTQSEGVNHEMPSLPLDNTHGRTILGVACLHCLWIAHTVKRHQALEDRMGLGQHKWPDDVGLHMPSSPFGITHAYTVGRQRALHAIITLRQHTRLDGVGRCIPSSPLENIKDKTTSGVHTRLDGVRRGIPSSPLENIHDKTMSVVEGHNRQGEEHTHTQPDDIGRGIPSSLLGSTHSRMTSSKAYMIGRRRARPLGNTHDWMTLGVALHNHPRKVHTVERRRGMPSLLLENIHDHSTSGLASYHLPCEAHKVKKRRAWHDIIALMQHTQSEGGEHCKPSSPLKYKHGRTILNLACLNRLLIAHIVSHTVGLCRSWHDCIAHRHHTWSNDIERCMPSSPLGSTPNQMRLGKEKRSNRVKHGMTSSTLDNTHNHTTSGEVCHHRPWTSHTVRQRRAWHAHMALSIAHRMHTWSDDIERCMPSSPLGSTPNLMTLGVAYDHYPLIACTEKWLNGVEHDRPSSTLDNIHSHTTSSEVCHHRPWTAHGHKWSYDTGRDMPSSPLGSTHGKIFLGLACPHGTWEHTQSDDIDRCMPSSPLGSTLFWMTSSVTFHHRPWEAHTVGRRRQGMPSLLLETIHGWTTSGVASYYRPCIEHTIKCCWAWHACIALRQPTRSDNVGRGMPQSPLDSMYTWTTLCMHDIIALGLYTWSDDIGRGMPECPLGSTHGRTTSGMTFHYRLRKAHTGSTQSRKASIVKCHHRHWTTHTFVQYWVWHVFNAFGLQTLSDDVRRCMTAWALGNINGKTTSDFKCHHRPWVSHTVAHTVGRHRALLAIITLRQHTQLDHGSIHGWMVSGMAFHHCPWKTYTIRLRGAWHAIIIIGKNTRSDNHKQSDDISCGIQSSPLGSTHDRTTSSVACHYQPLRAYTIGRRRARYVVIAL >Solyc11g072355.1.1 pep chromosome:SL3.0:11:55853057:55855448:-1 gene:Solyc11g072355.1 transcript:Solyc11g072355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTEVYIHRNTKAIVLKIQVLTEVQYLLSNIGYGNPSNSARQLPVVTSKVTAIMNQKPSKVGATVYTQIVMGRMLIGKKRAKFFRQLGYQLGAIINKQVMKCRGLSLPQYMPLLPLWHLCKFKLLKRVKWLFGGQRTIELFSHFCYSMHPSNGKEFQRRKLS >Solyc07g056130.1.1.1 pep chromosome:SL3.0:7:64119183:64119572:1 gene:Solyc07g056130.1 transcript:Solyc07g056130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFQNWTICTDYFGVKLPSPPLPTKEKKNTNSTDTKGGSATDNVGVGEGNNGKNKAHTITPSFDGFCETTEQDKEKAEFKDTKTAKRLSTGAAIANVLAGGSSNSNNRRLAIVPAFDGLSPFEMFVSD >Solyc12g096680.1.1.1 pep chromosome:SL3.0:12:66489149:66491113:-1 gene:Solyc12g096680.1 transcript:Solyc12g096680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLLRFKENVISVHGLVISGPLLPLLFQHLRCCSGATIQWKNERVPIKCSDLLDEFTTYCYARDLPRAMNALNALQIQKIWADAVTYSELIKCCLARGAVEHGKRVHQHVFSNGYEPKTFLVNTLMNMYVKFNMLEEAQALFDQMSERNVVSWTTMIAAYSSAKINNKALEFLIFMMRDGVKPNMFTYSSVLRACDDLSNLRQLHCSLLKVGLESDVFVRSALIDVYSKMGQLECAMCTFNEMVTGDLVVWNSIIGGFAQNSDGDEALTLFKRMKRAGFSADQSTLTSALRACTSLALLEVGRQVHVHVLKFKRDLILDNALLDMYCKCGNLEDAHQIFSQMVEKDVISWSTMIIGYAQNGFSRKALELFKEMKVSGIRPNYITVLGVLFACSHAGLVEDGQFYFHSMKKLFGIDPGREHYGCMVDLLGRSGKLDEAVKLIHEMGCEPDAVTWRTLLGACRVHRNMDLAEYAAKQIIKLDPSDAGTYILLSNIYARTQKWEDVMDLRRSMKERGVKKEPGCSWIEVNKQIHAFIMGDNSHPQKEEINKELNQIIWRLKEVGYVPDTNFVLQDLEDEQMEDSLLYHSEKIAVAFGILSLSREKTIRIRKNLRICGDCHLFVKLLAQIEHRSIVIRDPIRYHHFQDGICSCGDYW >Solyc12g007030.2.1 pep chromosome:SL3.0:12:1463582:1469622:-1 gene:Solyc12g007030.2 transcript:Solyc12g007030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMNGNSETQFQIPKIKFTKLFINGEFVDSVSGNTFETIDPRNEEVIARISEGDKEDIDLAVKAAREAFDNGPWPRLSAAERRRIMLKFADLIIENAEEIAALDAMDAGKLFVPVKNMDIPAAAEIIRYYAGAADKIHGTTLKMSREMQGYTLLEPIGVVGHIIPWNFPTQMFLMKVGPALAAGCTMIVKPAEQTPLSALYYAQLAKQAGVPDGVINVVTGFGSTAGAALCSHMDVDKISFTGSTEVGRLVMQAAALSNLKPVSLELGGKSPFIVFDDVDVDKVAPLALVGILFNKGEICVAGSRLFIQEGIYDKFVKKLEQMVKTWVVGDPFDPNSHQGPQVDKKQYERVLSYIEHGKREGAKLLTGGNALDRKGYFIEPTIFIDVEDDMKIAKEEIFGPVLAVMKFKTVEEVIKRANCTNYGLAAGVMTNNLNIANTVSRSIRAGVIWINCYFAFDPDCPYGGYKCSGFERDLGMEGLHKYLQVKSVATPIYNSPWL >Solyc06g007855.1.1 pep chromosome:SL3.0:6:1746838:1748709:-1 gene:Solyc06g007855.1 transcript:Solyc06g007855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKMLLWLSGWLIEEDKHLCLHYVLSGCDSLRKLEIRDCPFGDEALLSNGAKLERCNPLGCLNCSVCILLAQKLPGLNVEVIDGK >Solyc10g009240.3.1 pep chromosome:SL3.0:10:3222987:3225014:-1 gene:Solyc10g009240.3 transcript:Solyc10g009240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4CYA0] MANESIDMEKERLTAEMNFKDSSSVVIKIRQKLPDFLQSVKLKYVKLGYGYSCNPLTLVLVATIFSILTLTGLKLDRFYDTWRNEPTLLLIETSTGLTGLIVLFLLLGVYYVKKPRPVFLVDFACYKPEDERKISVDSFLNMTETNGAFEEETIVFQKKISHRSGLGDETYLPRGITSVPPNLNMKEARAEAEAVMFGALDSLFSKTQIKPEEIGILIVNCSLFNPTPSLSSMIVNHYKLKTDTKSYNLGGMGCSAGLISIDLAKQLLKANPNSYAVVVSMENITLNWYFGNDRSMLLCNCIFRMGGAAMLLSNKSKDRTRSKYELVHTVRTHKGADDNSYNCVYQREDDKGVVGVSLARELMAVAGDALKTNITTLGPSVLPLTEQFRFFMTLVKRKLLKAKVKPYIPDFKLAFEHFCIHAGGRAVLDEIQNNLSLSDWHMEPSRMTLHRFGNTSSSSLWYELAYTESKGRVKKGDRVWQIAFGSGFKCNSAVWKSLRVIDCDEVNANGNPWADCIQRYPVKVALAKQ >Solyc02g083890.3.1 pep chromosome:SL3.0:2:47695326:47697593:1 gene:Solyc02g083890.3 transcript:Solyc02g083890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQILTATFANAQLQERCNGHFPIVKPYFHNYKLLFSLSAQIPSHSITLHKNFSTPVTLKIKLYCNFLDSSSMETPSSTRRVTRSQALAAANSANKIPLSTKKMEDSEKKTVAKSRNRTGKQSALIDITNDSPIVGLAMGNLGTPSSEMSKKRTMSQAKYLSTPGSGEDLLRGQVKTLLQKVEEEAVLSKISLENRPFLHLKGIVNSPMGLLAPTPANTPLMDFSVNELPAVTASPVEDKFVISQMINEMFEGGKQGNLECEKSYLTRSLLLDFYEKPEGSEQSSVCSSVMTYLGCEVESKEKTTPSDDDSASVWSIQHTRFVYNSDDELEGAEECNVVEAASSPGVLHLKGLPTPKGKHLRFPEEEH >Solyc08g080690.3.1 pep chromosome:SL3.0:8:64016196:64033661:-1 gene:Solyc08g080690.3 transcript:Solyc08g080690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDSPDWPPPGWTEDVKVSKGRKIKYYTNGETGKKFYSKKEVARYLKTKDTCDDVTQAMNIQGKSFSENNVSDMDNQDGSFAKDNGSQMDNQDNCCSENNVSQTVGKPNNSHEWLPPGWIVELKTRKSGSHAGLSYKVYIDPSTGSKFYSKPEVSKYLKTMKQNNIAGEGQTHGDGEISTTNQKKSEDPKIIRGGGQTRKSKRLKSDTDQASPGIEEGSFSKLKASQDFEEVGEQSCTTKRKKSGITRTTKTYPSHGAGEVFILNEKTSLGSKENGAQSPQSKRQKPGSGCPSAKSVSSVAVDCDSADELPPGWKKESRKNDRGTRNYLLYTDPVHGYKFHSKKEVLRYLQTGDATSCARRPTKRNVASTTKDDSPTTDDASLKRVGGSMTGRQLFSTDELKGGESFGTCSPAQQVESSKKQPDCSVSDPNAIITSILAEINENHSFENVVGDAEIETVSVGVKQPSAVSTESDLLSEKQLLENEQEKHSSKATQQSKKSRKTESLNLGRRVSKRLAGQNAEAAADLDLGERALPAVADKSASLSVNACSQELLQDSDPTPETGNSDQASLNGDPSLDDLPPGWKKEIKITKKANGIRKDPLYIDPVDGYVFRSKKDVFRYLQTGDISSCAIRPVKRDLDAAMTDSSPTTVDTNSKKLVCSVTERQPFAAKESRGRKRSVTCSPKVQAESSKKQPESSASNANTIITSSEADIIVKQNAEISLDTEPEIRDSSADTKVIDAESNAIKRLSAVSTPQSDLPSEQQLPENEPEKHISKEKPEQSRRSRAKKPLTPGRRISKRLVGHSPEPVADLDLGERAFRAVVKKSASLGIPLNVSGQEFSQNKDPTVGTGNSDQAPLSREASGLEFPPDLGKEMLTQNEDLTEGTGNSDQYKDPTVGSGNSDQASLRASGDELSPGLKKEILTHYKDPTVGSGNSDQAYLSRKASLDEIPPGWEKEMLGQNKYSTVGTGNSDQASLSRKLSPDEIPPGWENQIPPGWKYEIPAQNKDPIIGTSNSDQASLSREKASLDEIPPGWEKEILAYNKDPAIRTGNSDQASLIRELASADEFPPGWEKEMLTRNKHPTVGTGNSNSLDDIPPGWEKELLVQQKDHTVGTGNSYQSFLSKEASVDGLPPGWKTEFRIRKNASVIKKDPYYTDPVHGYVFRSKKDVMRYLQTGDIRSCAVKPTKRDPGSTMKDNSPSTHDTSSKKLRCSLTGIQLSATDESKGSKCSVTCSMALQAENSSEHPKSSMFNLNTSISSIVADITEKHSYENLNEDTEIRLDVEPKIRDSVGNTKAAAAETVDVRRSLVVSPQLDFLPEQQLRENEKEKHSNKGVPARLRKIRNSKSSTPVRRVSKRLSRHNPEMVTDLNLGERALHSVVNSSASSGLPMNVSGGQSAQQTDLATGDSDQPSLSRKASSRDDPLEVVKCLSEGQAFKENIDERIWRDSQPAGISYERGKVHLEQHALNERPTAKPAKEEEDGQNRLLNNSQLAESASGRRVFPAEDRSVSERSTVEEVSEKRNGEYKQWQYPQLAEPSWRSVDLNVENQQWQDVQLAPSQRFVDLNMENQEWQDLRLPPSQRSVDLNVGNQQWQASQLAEPSHRNLDLNVDSQPVKEKQTGELVTENQDEQNRRLHAQLASYPFGDYWSDPCMEFAFKTLTGALPVEDTLTFQGSTHQEYNTSYTQADDGCFELPLFNTSSFYLNDAPNHCAPSVEHVVKEQPPINQTFLPTGHNSIPGHSSVVSQNPGLTFLPNGNNSIPGCSSVVSQNPGLNTQAKDYQSKFKSHR >Solyc05g006640.3.1 pep chromosome:SL3.0:5:1293307:1303794:-1 gene:Solyc05g006640.3 transcript:Solyc05g006640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALVSYLYHLLSLFLHELLQTANLDGETNLKIRKALEKTWDYVTPDKVSGFTGEVQCEQPNNSLYTFAGNLIIQKQTLPLGPNQLLLRGCSLRNTEYLVGAVIFTGHETKVMMNSMKIPSKRSSLEKKLDKLILTLFSVLFSMCLLGAICSSDAQSNPDNRFVVAALTMFTLITLYSPIIPISLYVSVEMVKFIQSTKFINNDLHMYHAESNTPAQARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEIYGSGITEIEMGTAQRSGTRVEVHNSSDEPREKGFNFDDARLMLGAWRNEPHPDSCKEFFRCLAICHTVLPEGEETPEKIRYQAASPDEAALVAAAKNFGFFFYKRTPTLIYVRESHVERMGQIQDIPYEILNVLEFNSTRKRQSVVCRYPDGRLVLYCKGADNVIYERLRDGESDLKKRTREHLEQFGAAGLRTLCLAYRDLNPDVYESWNEKFIQAKSSIRDREKKLDEVSELIEKDLVLIGCTAIEDKLQEGVPACIETLSRAGIKIWVLTGDKLETAINIAYACNLINNSMKQFVISSETDEIREVEERGDQVELARFMKDTVKNELRRCYDEAQELLHSASRPKLALVIDGKVLMYALDPNLRVMLLNLSLNCSAVVCCRVSPLQKAQVTSLVRKGAQRITLSIGDGANDVSMIQAAHVGVGISGQEGMQAVMSSDFAIAQFRFLTDLLLVHGRWSYLRICKVYLHPVCSLQTFMVCTGGYVIWKFYVQVVTYFFYKNLMFTLTQFWFTFRTGFSGQRFYDDWFQSLYNVIFTALPVIILGLFEKDVSASLSKKYPELYKEGIRNTFFKWRVVATWAFFAVYQSLILYNFVIHSSTKGMNSSGKMFGLWDVSTMAYTCVVVTVNLRLLMMCNTITRWHHISVGGSILLWFIFVFIYSGIHLHKEQEGIYLVIIVLISTLYFYLALLLVPVAALFVDFLYQGVQRWFSPYDYQIVQEIHKHEIDNSRIGLLEIRNELSPDEERRYAIMQLPGQRSKHTGFAFDSPGYESFFASQAGVLAPQKAWDVARRASMMKSRPKVPKKS >Solyc07g032740.3.1 pep chromosome:SL3.0:7:40993804:40999459:-1 gene:Solyc07g032740.3 transcript:Solyc07g032740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVFAHVVRAPEDPILGVTVAYNNDSSPVKVNLGVGAYRTEEGKPLVPNVVRRAEQMLVNDTSRVKEYLSITGIADFNKLSAKLIFGADSPAIKENRVTTVQCLSGTGSLRVGAEFLARHYHEHTIYIPQPTWGNHPKIFTLAGLSVKYYRYYDPATRGLDFQGLLDDLTAAPVGAIVLLHACAHNPTGVDPTIDQWEKIRQLMRSKGLLPFFDSAYQGFASGKLDADAQSVRMFVADGGECLAAQSYAKNMGLYGERVGALSIVCKDSDVASKVESQLKMVIRPMYSNPPIHGASIVATILKDRQMYDEWTVELKAMADRIISMRQQLYAAMQARGTPGDWSHIIKQIGMFTFTGLNAEQVSFMTSEYHIYMTSDGRISMAGLSSRTVPHLADAMHAAITRVA >Solyc03g083650.3.1 pep chromosome:SL3.0:3:55027995:55031860:-1 gene:Solyc03g083650.3 transcript:Solyc03g083650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BIE1] MAETSITPHVLIFPLPLQSTINSNLQLAELLCLAGLRVSFLNTNHNQQRLVANSNVESRFKQYTGFQFLTISDGLPEDNPRSGEQFGDIISSLQTMAEPYLKQMLSGLVKEPITCVILDGLFYYGVDIGNEMGVPVVIFDTISPSCFWIYLSLPKLIEAGVLPFKGNDIDALVTHVPAMEGFLRRRDLPHFCLLDYKIDQNSQAVFKEIERIPKAHGLILNSFEELDGPFLYNIRSYFPKTYAIGPLPLNLKTRLAAKATPLLSSSNSMWEEDHTSIKWLDAQSKGSVIYVSFGSLVVVSRDEIMEFWHGLMNSKVKFLWVMRPNMLKGDASHDQFMKELVEGCKGIGYIVSWAPQEMVLAHPSVGGFLTHSGWNSTLESIIEGKPMICWPQYVDQRVTSRLVNEFWKIGLDMKDICDRFVVEKLVKDLMETKKDEYTKSVEKLSELAKLSVEEGGLSYSNLDCLINDIKGLSRTVENGEMHNNVTSY >Solyc10g011980.3.1 pep chromosome:SL3.0:10:4259034:4270346:1 gene:Solyc10g011980.3 transcript:Solyc10g011980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQGQDMSMAIYKNSNFTGGCLEIRLFYVRITPCAVETVPDHLILRHLRREMGVTLEINSSRIPANDTGIITLRRDRVDKESSEVTFVSTDSVRVSGPVEFEVHENENELILCGSLERVETTWANGNVLENDSRSGWSMDCYTAASFGNGGSAFFQPKFGVSLPSIEVYIAGCCSGVPVILSKTIQVSPRRKLPRQGMLDAIPEDEEVAKEQGSSNGFGRQIKPLTTEDMDDYEVEQKAGYGFYSDDMYPGEDGQLTWFNAGVRVGVGIGLGMCVGVGIGVGLLMRSYQATTRNLRRRFF >Solyc02g014670.2.1 pep chromosome:SL3.0:2:18130118:18134372:1 gene:Solyc02g014670.2 transcript:Solyc02g014670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAVVEYGSCKTRPSALLNSIFMTTVKAAAKSLVAVASNAKATDQQSHKWRATDHMRFMLMLMTWLAVWVLRVLMDHSPFSTASLDDLMMLPSIGASSSFDLVLQQDSSDHIQPPPSAKALGRALTHILALLNEIPASSRKYQFALGMANKIIDENTKCGHLEMLQVNCSALASAFARTSGLLYSSLKSPRMSDDSWTWPSRILRSIPLGSFVASSLKGLATYFPWVGTVTSLFENKRQLVVPNHEESVNDLAAEKHAQELLWITSKMIECGAVDEVLVQWSLSSGLASISLLSNLRVQGLIVTITAMLIGELNKVKDEVPRQVKFNILVLWIPVLCFAENGVPYPVLTGYERLEIEKTMNNLISTLPSIDQEVILTNWMQDFMTTSSDWPNLQESYDHWCHSTREFNMLEGEQLCESTLNIEYKI >Solyc11g008330.2.1 pep chromosome:SL3.0:11:2529762:2533157:1 gene:Solyc11g008330.2 transcript:Solyc11g008330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAKKNMMNLFFLKVSEVIYVITSIVRDACGKAPSERLFLDKYGKICLCLDEIGLLENTEKDRIKRLIRLKSPSEI >Solyc12g062820.2.1 pep chromosome:SL3.0:12:35004007:35007728:1 gene:Solyc12g062820.2 transcript:Solyc12g062820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIKILSLLKSSLTSLKRGKLLHQKIATLGLQSNINLSKNLISLYISCEDIHSAELVFENLENPLDITLWNCSYTKNQLFNEALDLFDKPLQFPYLKPDSYTFPSVLNACSGLGILRYGQMTHTHLIKNGLLLDVVVTSSVIGLYAKFDLFASAELGTKLFDEMPERDIVCWNTVISCYYQNDMPNSVTYTAAISSCARLLDIERGETIHRELVDNKFLLDGFVSNALVDMYGKCGLLENPKEIFEQIPAKSLVSWNSMIYGYSLRGNNKSCIQLLQRMNKENMKPSSVTLSSLLMACSKSTQLQLGNIFHAYIIRNNIRSDVFLNTARNIFSTMAKNNVEAWNVMISGHVSAGYYLEALAIYNDMKLVWIKPNAITLTSALVSCSQLESNEIVMGSLLDMYAKCGAVSEAFEVFDELPERDLVSWATMIAAYGSHGEAFEALKLFNGMRHSNVKPDRVAFLAVISACAHAGLVDEGYQYVNLMVSGDGIQPSAEEYSCLIDLLGRAGRLREAYAILQSNPDSREDVELLSTLVSACHSHGVLEIGEEIAKMLTQKDEDDPSTNFVLEKIYASQNKWNEVRKLRLKMKELGLLKKPGCSWIEVDRRIQPFLADDKSFLLVDDVYQCLYLINSDIKIYECLSIIAREMITTKSQLLDQINHLAHRPNFVFP >Solyc08g062450.1.1.1 pep chromosome:SL3.0:8:51172710:51173186:-1 gene:Solyc08g062450.1 transcript:Solyc08g062450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Class II small heat shock protein Le-HSP17.6 [Source:UniProtKB/TrEMBL;Acc:Q96489] MDLRLLGIDNTPLFHTLHHMMEAAGEDSDKSVNAPSRNYVRDAKAMAATPADVKEYPNSYVFVVDMPGLKSGDIKVQVEEDNVLLISGERKREEEKEGAKFIRMERRVGKFMRKFSLPENANTDAISAVCQDGVLTVTVQKLPPPEPKKPKTIEVKVA >Solyc09g090830.3.1.1 pep chromosome:SL3.0:9:70714584:70714883:1 gene:Solyc09g090830.3 transcript:Solyc09g090830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRGILSRASRMKTKLQSALEANIIEVEDVSYQHAGHAAVRETGTNETHFNLKIVSNKFDGQNLVKRHRMVYDLLTDEFQSGLHALSIVAKTPKEDGM >Solyc12g026430.2.1 pep chromosome:SL3.0:12:23246185:23253257:1 gene:Solyc12g026430.2 transcript:Solyc12g026430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAPAAKSDDPAPHPTKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTTVIIPSALVPQMGGGNEEKAKVIQTVLFVSGLNTLLQTSFGTRLPAVIGGSYTFVAPTISIILSGRWEDPDPVSRFKKIMRATQGALIVASTLQIVLGFTGLWRNVVRFLTPLSAVPLVSLVGFGLYEFGFPGVAKCVEVGLPQLVLLVIFSQYLAHLIRPGKHIFDRFAVLFTVAIVWIYAYILTVAGAYNGKPQRTQISCRTDRAGLIGAAPWIRVPYPFQWGAPSFDAGEAFAMMMATFVALVESTGAFIAVARYASATPMPPSILSRGVGWQGIGILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVGALYCLFFAYVGVGGLSFLQFCNLNSFRNKFILGFSIFLGLSVPQYFNEYTVIAGYGPVHTHGRWFNDMVNVPFSSEAFVAGILAYFLDNTMHKKESSTKKDRGKPWWNKFRSFKSDTRSEEFYSLPFNLNKYFPSV >Solyc12g098810.2.1 pep chromosome:SL3.0:12:67167888:67171224:1 gene:Solyc12g098810.2 transcript:Solyc12g098810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALKMKVLGFMVIMLSISAIAKGDENENENESSSKPPILVKMVKGKKMCVKDWECNVLSRFCCNLTISDFFETYQFENLFSKRNSPVAHSAGFWDYKSFIIASALFQPLGFGTTGGKFMQMKEIAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKELSPSQDYCDDYFKLTYPCSPGVEYYGRGALPIYWNYNYGKIGEALKVDLLNHPEYIEQNATLAFQAAMWQWMNPVKKGQPSAHDAFVGNWKPTKNDTLSKRVPGFGTTMNILYGDNVCNQGDIDSMNNIISHYLYYLDLMGIGREEAGPHELLTCAEQKPFNPSSSPAASS >Solyc06g084420.1.1.1 pep chromosome:SL3.0:6:49585121:49586326:1 gene:Solyc06g084420.1 transcript:Solyc06g084420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFFLLLLLQSTSFSVHAILDPIDFLALQAIRKGLDDLPGSNYFASWDFTSEPCNFAGVYCDGDKVIALNLGDPRAGAPGLTGNLDPSIGKLSALAEFTVVPGRITGPLPESFSQLKKLRFLGVSRNFLSGDIPASLGLLRELQTLDLSFNQLTGSIPWAIGALPALSNIILCHNHLSGTIPPFVSQRLTRLDLKHNALSGTLLPNSFPSSLQYLSLSWNQFTGPVDYQLSRLNQLNYLDLSLNRFTGCIPGILFTFPLTNLELQRNQFSGPVLPVSEVRIPTVDISFNRFFGEISPLLCNVENLYLNNNRFTGEVPALLVERLLSSGIQVLYLQHNFLTGIEINPRVEIPVSSSLCLQYNCMIPPVESACPLKAGKQKSRPTNQCVEWKGQQNKNTTTG >Solyc10g076520.2.1.1 pep chromosome:SL3.0:10:59612091:59614469:1 gene:Solyc10g076520.2 transcript:Solyc10g076520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGKQVQWLSLWQFSTDFANLTNPLDVGCECARKEFLQIHTHKPIKELHLPNNTQRASLHFYG >Solyc09g064965.1.1 pep chromosome:SL3.0:9:62889001:62890803:-1 gene:Solyc09g064965.1 transcript:Solyc09g064965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHCDIKPQNILLDEDLTVHGKLAWVFWDSRIGDIHWKKTQASSSLHDFVETTLLEKVMEILEKTTFQEYWGSIKKEEMEFLVNILEIGVACSD >Solyc03g112850.3.1 pep chromosome:SL3.0:3:64634941:64636962:-1 gene:Solyc03g112850.3 transcript:Solyc03g112850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQGCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Solyc05g010630.3.1 pep chromosome:SL3.0:5:4857646:4858236:-1 gene:Solyc05g010630.3 transcript:Solyc05g010630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGVQLFAVLHEQIESEVKDFWPRYWGGVVLFDKNMEFYKALGGGNLLKDKFISGFLLNPRAISNYRRAKAMGVDQNFRGEGETKGGLFIVGKGKSGIAYQFIERNFGDWAPLAEVIEICRRLQNPQEIQLESITSIQQED >Solyc08g081200.3.1 pep chromosome:SL3.0:8:64386516:64390086:1 gene:Solyc08g081200.3 transcript:Solyc08g081200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFGLKGASGFSASSTAEEVTQGIDANGLTAIVTGASSGIGAETTRVLAMRGAHVVMAVRNVKSGEEVKDSILKEIPHAKIDVMEMDLGSMESVRKFASEYNSTGYPLNILINNAGVMAPPFMLSQDNIELQFATNHLGHFLLTNLLLQNLKNTAQASHKEGRIVNVSSLGHRFTYREGIRFDKINDKDSYTAILAYGQSKLANILHANELARHFKEEGAEITANSLHPGSITTNLLRHQSLVEGLVNWIGKYFIKNIPQGAATTCYVALHPQVKGITGEYFSDSNISASTSQGTDAELAKKLWDFSLNLTKPQ >Solyc01g049790.1.1.1 pep chromosome:SL3.0:1:46460398:46460634:-1 gene:Solyc01g049790.1 transcript:Solyc01g049790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNWELKSIKRKIKMLSTEENPRVLVNTFDALESDALRILNHVTMVGIEPSIPSIFLDNNTFRANMIKISSKNYMD >Solyc12g096070.2.1 pep chromosome:SL3.0:12:66126513:66130701:1 gene:Solyc12g096070.2 transcript:Solyc12g096070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAENGYRPPFTAVQWQELEHQAMIYKYLVAGIPVPADLVVPIRRSFEPISARFFHHPSLGYCSYYGKKFDPEPGRCRRTDGKKWRCAKDAYPDSKYCERHMHRGRNRSRKHVESQSTAQSLLTSMSHNTTGSTTGSSKTSGNFQRNSSESFQNTPLYSAANSEGPSYGSATTKMQMEPPTYAIDSKGYFHGMTADADEQNFSLEASEGTRSLGMGSNTDSMWCLMPPQLPSSPMVKPKNDSQLLDSSRQIRMPNPFEPMNDTTISGQHQHCFFSSDIGSPGTVKQEQRSMRPFFDEWPTTKESWSNLDDEGSNKNNFSTTQLSISIPMAPPDFSSRSSCSPNDA >Solyc05g051830.3.1 pep chromosome:SL3.0:5:63081721:63087622:1 gene:Solyc05g051830.3 transcript:Solyc05g051830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKLPLLKIESLTNRQVTFSKRRNGILKKVYELSVLCDVDVGIIMFSPSGRLTHYSRKRRIEDILSELISLPDSERGFYINNKESVLWNLRKIEIEDKFCDIERINPAYVNANDTTKKIQDEINGLHCKLDEAEGLLRIFEPDTQRITSLHELDLCEKRLQVALNQVRQRMEQLSSNNTPSYEDNMAQINELLQHIDNTQVHEKPPYDLWLELEDYNHENNNINSPLYTASETSSISQSSMNLPSSTTYDTMSQTSLSGETYQNNNNFKQSQHSTRTLPNLTLQTSFKFAKPEMSQTSIEGSFSCLTDENLKKSICSNRVFPAITPLQTSFSFAKAEMETPTSALRPLAPYLQAEATTSSCTNQEGNNEMSWFQPKVKKSKQYHSID >Solyc12g027490.1.1.1 pep chromosome:SL3.0:12:23776879:23777277:-1 gene:Solyc12g027490.1 transcript:Solyc12g027490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTGKPGSAAVQIISLNSNSILIRNQDTGTKDKPDEHKCLPTAQVDKYVDLLISNTMNLSQNIKLATVAPIPIKQPSYINGIPRKTWTEDEFRRMNIVENLQNVVIGTFSYRWPEMDYFCEFKFPNNVM >Solyc02g063160.3.1 pep chromosome:SL3.0:2:35779364:35785782:1 gene:Solyc02g063160.3 transcript:Solyc02g063160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKPGKFFRRHSFDALKTRKPNCVRSEKSEMGKSVKFEGISEELQKIIDANMDQVGARRLARDAFKDIQLSIDHCLFKMPHAGLKMKESYEVNSRGLEIFSKSWLPETSPPKAMVYFCHGYGDTCTFFVEGIARKLASSGYGVFAMDYPGFGLSEGLHGYIPSFDKLVDDVIEHYSKAKENPEIRNLPSFLFGQSMGGAVALKVHMKQPDAWNGAVLVAPMCKIADDMVPPWLVTQILVGIAKFLPKQKLVPQQDLAELAFRDVKKREQAAYNVIAYKHKPRLQTAVELLNTTQELEKQLDKVYLPLLILHGENDRVTDPSISKALYEKASSSDKKLILYKDAYHSLLEGEPDDMILRVLGDIISWLDEHTS >Solyc11g045515.1.1 pep chromosome:SL3.0:11:30634214:30634950:-1 gene:Solyc11g045515.1 transcript:Solyc11g045515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYLDPLPINPKPTKRSQWKRSLLELNGKFDRKYQHDVSGLLMQSYSEGITSYLSHPWSALSNTCELVCWW >Solyc12g087950.1.1.1 pep chromosome:SL3.0:12:64432737:64433537:-1 gene:Solyc12g087950.1 transcript:Solyc12g087950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4DGL6] MKEKYIEERKNHSSNIDMFAKLHQTQNFQLHHQLTVPTAALPSSSANDGATIEVIRRSRGRPPGSKNKSKSKLKPSFIIATRDGHVEKPTMSPYILEIPIGIDIIDSIYRFCGNQNLGLCILNGSGTVTNVTLKQPSINPSDSTITFHGSFTILSISATIIPSDFSPIPNGFSISLAGPQGQVVGGPVIGPLFSAGPVYLIATTFNNPFYHKFPAEDDGEGGQSPDSGAGDSGQPPESNQREYCYSSNQLPSDVIWAPTPRQLLPY >Solyc01g010840.1.1.1 pep chromosome:SL3.0:1:6222361:6222594:1 gene:Solyc01g010840.1 transcript:Solyc01g010840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLFTLFAYLFLVDFVYGHMIICLNIFMEFRLAQTIIEADHTKNLLASIPLKSVIDPRLCMTNQIFKLHITSRTTS >Solyc08g078540.3.1 pep chromosome:SL3.0:8:62440638:62454138:-1 gene:Solyc08g078540.3 transcript:Solyc08g078540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAKLWKYSGGLFSNLAAAVHHQHKQHFVFSCFQSPSYGASPPPPQSRRPISWTCICGFMLFALGLISLFTGHVASDVEWYSQRIVKRNWFYKLDGSHRLSIDIWKSRYSSFYYGCSERGPHFTERGPHFSSAVRERFSNGYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDESDFSNIFDVGWFISYLAKDVTIVKRVPEKVMMSMEKPPYTMRVPRKSEPEYYLEQVLPILLRRKVLQLTKFDYRLASDLDEELQKLRCRVNYHALRFTKPIRNLGQKLVFRMRRMEERFIAVHLRFEPDMLAFSGCYYGGGDKERYELGEIRKRWATLPELSPDEERARGKCPLTPHEVGLMLRALGFNNDTYLYVASGEIYGGEKTLQPLRELFPNFYTKEMLAGEELQPFLPYSSRLAAIDYIVCDESDVFATNNNGNMAKILAGRRRYMGHKRTIRPNAKRLSALFMARDKMDWATFSRKVKSCQRGFLGEPEENKPGRGDFHEFPSTCICKRPFNLSNFTSKENKYQISRRNPTVSESRYTYLSNSSNLEQKSSQKFNEESLRDRHGSLIDEIDPDNPLSD >Solyc03g111520.2.1 pep chromosome:SL3.0:3:63608909:63609481:-1 gene:Solyc03g111520.2 transcript:Solyc03g111520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQCTPDLSAEACRDCLKGARFVIPKSVPRECRVVHESFHLSYQFKNPEKGRSTGAPPPPSPTPGDKDEGSTGTPPPPSTTGDKDQARASSLSVVNLT >Solyc08g081430.3.1 pep chromosome:SL3.0:8:64618744:64625028:1 gene:Solyc08g081430.3 transcript:Solyc08g081430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHVRRTGISLKTRWLLSNAAKSCNKLDSFLEYVPSRSLSDVGIGHFSSMAAESAAFNVSAKRELVKSGCARRSVYAAYPAEKVIDEPAGILVLYRNRRSTQCLMTFRSPFQLVNTTGVNPQSSCFARLFASKASGSRQKQSEARKDVSTVEDPFDAPTYNIPEKPVTFTEGASYSLIILAGLAVAGAAAYGVFKELIFQPKEYKIFNKGLERVQNDGQVKARIGSPVTGYGSESRNRAARQRIPNRIWTDEDGVEHVEVNFYIRGPHGAGKVYAEMFQDKVDKQWKFTYLIVEIKSPSPAQLMLESYVPA >Solyc07g006550.2.1.1 pep chromosome:SL3.0:7:1370920:1371769:1 gene:Solyc07g006550.2 transcript:Solyc07g006550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWLIQNNILLRLYKSWFYEVPFVDHKPHYNVYLSCVPIDSYNNTHVYLSEFAWCTELEGVQYISCPSKPNPTSCPNEALIILPLADIE >Solyc12g036797.1.1 pep chromosome:SL3.0:12:48392972:48394204:1 gene:Solyc12g036797.1 transcript:Solyc12g036797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHISTNKKWRKNGPEMSYVNGKRSERQRLGLYYYILFRSEGEAPTLDLALISSELNVEH >Solyc01g111330.3.1 pep chromosome:SL3.0:1:97518410:97532071:1 gene:Solyc01g111330.3 transcript:Solyc01g111330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLIFLSLLLITFSLFSEVQSTTFKIVNRCRHTVWPGILTGADRAPVNPTGFVLKSGKSKTLKMPRAWSGRVWGRTLCSNEPSTGKFTCVTGDCGSGKVECAGAGAIPPASLAEFTLNGDQGLDFYDVSLVDGYNLPMLIVAKGGTGGCSATGCLVDLNGACPRDLRVASGGESVGCKSACLAFNDPIYCCSEAYNTPDTCHPSSYSLFFKHACPRSYSYAYDDKTSVLGATFTFVNKCEYTVWPGILANAGSPTLQSTGFELPQESSRVFTAPTGWSGRFWGRTSCTFEGSGSGSCGTGDCGSGEVECNGAGAAPPATLAEFTLGTVGQDFYDVSLVDGYNLPMVVEASGGSGMCATTGCVTDLNQICPSELRSGGGEACRSACEAFNKPEYCCSGAYNTPSTCKPSMYSQMFKSACPRSYSYAYDDPTSTFTCSGADYTITFCPSTPSQKSTKDTTTPNGDGSYGATGTGVVDPATGGIESSSGQMGTGSGTGFEFGAGTGYGSGTETQPGSGSQAMLADGSYLAGLAMGESSRAFFPLNLAGSALATTLVSLVFL >Solyc02g092190.1.1.1 pep chromosome:SL3.0:2:53994433:53994894:1 gene:Solyc02g092190.1 transcript:Solyc02g092190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKGSKESRLTRCIKAPIRVLIKARDCYVHSLMDCSGKIGYGSVMGVPQISALPRSFSVNSSVSSSRDEDFRELVRIASRKSLVNKMETELLRQKSIASKNVDAVPRSRSVAIGRIDEDKPCDFGDDVKVNTDLFLRSRSCAVSSRKTGVF >Solyc03g097260.3.1 pep chromosome:SL3.0:3:60988696:60997276:-1 gene:Solyc03g097260.3 transcript:Solyc03g097260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYCVQVSTEVNKESIPCTQNQTVGGRLVAGSCNVCSTPCSSCFPASQSLMESKVDELSGETGINSLSFSVNDVSSSDKTRKCEIRQSSEIDSAICTSSSSLSFSANAEVKANARTSDVSSVTSDGAVLVELKDLKSFEGLDDNMSCIVGGYEANKLSSFSKMREDKSSLQCSSTSTGKTINNQTSAGCVHVKVEADDGSPIDHSRQNESSGEENNKAPTEATSSRNVHSTGDCLENNHSSLKNDVKSEASDDLPADTCPEKNDQKNVGSPVSSDTKNALQSHQMDESEESDVEELDVKVCDICGDAGREDLLAICCKCTDGAEHTYCMREMLQKVPEGDWMCEECKFDEEMRNRKEDKSVKFDGNGKSYPTGQKIAVGNTGLTIKTESKPPDFDGDIASDPKTPGKRRMDDTEYSAAKKQALEPVPASPKTLSPNKLPALSRESSFKNSDKGKLKSANQISSGGLSVHDTPAWGSRLQTSRGTFSKSNSFSSLAAKRKVLLVDEGFLPKQKLVRESTGLDVKESSTRSMNKSMSFRSISTSRNNVSESKVKMLSPKFPPAQDKGQMQTKERNQFERKNSFRSERSPGTSVPSRTDQRSAFRGDPSPLPSSSNIRDTRTGQLDSKPMSLLKSSGAVARRTQDISVHSDEAKKKTSHTSMSTGAPATNKISSSDQRPDQSSARDDSLPNSYIAERPTSNTGEGLSDGLPQPSESKNVGERTKESSGRRLKHTGTGTKSLFCQKCKGSGHLTDGCTVEVSELFSSDVSAVRNSREAPNGTSNLKAAIEAAMLKKPGVCWKNRVVDQSDDLAVSNTNAETTAPDPLCGSSSRRMLSSNEDGHGVPLNSITGSHKQEIGSLRQLSVLPAEALTGAGNLVPILLSDGKSSLVDLHRYSQAAMSILSKTAFPEHEYIWQGAFEVQKSGRTLDLCDGIQAHLSSCASPNVLDAVHKFPQKVLFNEVSRSSTWPIQFQEYGVKEDNIALFFFAQDVGSYERCYKILLENMIRNDTALKANLQGVELLIFPSNRLPEKSQRWNMMFFLWGVFRVKKVQATTGKPSLVPQDTPKLIMPFPENIHCLGPVDNVTSGNVPMDVEVTTPKKSSCPLVNGNVDSIAAQVCKGDSAHTNLEHLEPRSMSSVPVSHMDVAPERRQFGIFQVVGDAGRECKVEVPSNSAPAANSQPSRSVNEAAGHMQEKTSVGSMEKGFCSTNGRKFEINLEDEYKDEEASETSGSAATEPTRKELNNDVSNHLKRPRSVDTVMQYADSGVNRATRLFNDNDQVEEAHHDKKLKTSIGGSYGNSEQTSSSDDFLSRMRGSSYGPYLPDTGYDEVLSKAPVPECTESAERYFFPVDPNPGKASSTPWQMHHPDNDRLSDRVPNLELALGGESNSQTRGIPPFLVGKVDKKIIQLQGGETQSLTQGIPPFLVGKVDKKIIKDHGGETHPATPGIPSFLVGKVDKKVSQDHSSAKEAVGVEEVEDVSASLSLSLSFPFPEKEQQKGSVSQTEQAISETRRGNTPLLFFGGLGNK >Solyc09g056250.1.1.1 pep chromosome:SL3.0:9:48218021:48218314:1 gene:Solyc09g056250.1 transcript:Solyc09g056250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDPQDWQTCEQRLQKQGVAGSYIVVQPTSRWFFKCWSEEKMAATLTALQADGHQLVITSGPDAREQAMVERILALCPPQGVISLAGQLTLRQLAA >Solyc02g064700.3.1 pep chromosome:SL3.0:2:36362565:36372084:-1 gene:Solyc02g064700.3 transcript:Solyc02g064700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRPSHFFIVSFHHRLLSHSPATSLLLFPSLLRRFSSNTSVSLTRHKHKSSSLPPPPPPPPPPSSSSSLLRPSATLSEALAQKIGKSIRRPGAPSKARVYTDINVIRPKEYWDYESLTVQWGEQDDYEVVRKVGRGKYSEVFEGIHTTNNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDFDVRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIGKVLGTDELNSYLNKYRLELDPHLAALVGRHSRKPWAKFINSENQHLAVPEAVDFVDKLLRYDHQERPTAKEAMAHPYFYPVRNAESSRTRAH >Solyc01g079425.1.1 pep chromosome:SL3.0:1:78360130:78362767:1 gene:Solyc01g079425.1 transcript:Solyc01g079425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWRPPLSIPEYMHYTLTCSMHHKATKGIVNFQCIEEMIRLYILCKKKTVLRSGLLKFRQANSSNKSWKNMEETKNSGGNFMGKDKVRGQDKHRRLPIRLSIVANHNNQKGFSQKNKEGIAVVSLDTKQSTEHRCTSFSDQCHDAAWQDKVERNERFRFLGRRNRSCNLIRAATEPQVLAEIFCVCECESMRRR >Solyc10g050087.1.1 pep chromosome:SL3.0:10:47649836:47651140:-1 gene:Solyc10g050087.1 transcript:Solyc10g050087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKRPYSFSPKYLPCTVISLQKCCVTPVSRSTNPVPAATSVESLWKSEILLKGCYYRAYPPLGLSSTPDPQILIPISKGADWSRRNSWK >Solyc12g098870.2.1 pep chromosome:SL3.0:12:67228949:67234881:-1 gene:Solyc12g098870.2 transcript:Solyc12g098870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEENATERGTDNIKNKVLESSDGSSCARGQDKTEKQKVAAAAAAEVPYYKLLSFADTMDHALMIIGSITAVGSGISFSMMAVLFGEIVDSFGMTLDNDKVVGEVSKVSLKFIYLALGSGLATFVQVTCWTVTGERQAARIRCLYLKTILRQDIGFFDQETNTGVIIERLSSDTLTIQDAIGEKVGKFIQILSTFLGGLVIAFIKGWRLALVLSSSIPPLVLSSAVLTILLVKLASRAQTAYSEAATVVEQTISSIRTVASYTGERRAISEYHNSLNKAYHSGVQEGLVSGLSMGVFFFVFYSSYALAIWYGAKMILDHKYTGGDVMNVMMSTLTGSFTLGQASPCLHAFAAGKAAAFKMFQIINRKPVIDPYDMKGRKLVDISGDIELKNVHFCYPARPQEFIFNGFSVSIPKGTTTALVGRSGSGKSTVISLIVRFYDPQAGEVLIDGINIKEFQLRWIRGKIGLVSQEPVLFGSTIKDNIAYGKDDATLEEIKDAVRLANASKFIDKLPQGLDTRVGDHGSQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQETLDSIMINRTTVIVAHRLSTVKNADAIAVLQEGKIIEKGVLNIQYFPANWVADDKEDSRNACSHLELMRNKEGAYVQLIQLQELSKYSGEEDSNELDREEIIINPNNQSNHQIFVTRSSSRHSSEVENSSHHPSSVSVSAVEKAVGECHDPNSTVVLRKDKDNTFYRLALMNRSELPELLLGCIAAVVNALILPIYGVLLSHVIKTFYEPAPELRKHSRFWSLLFLGLGFTSLLAKPLRTFFFAVAGCKLIKRIRLMCFEQLVYMEISWFDRKENSIGAIGSRLSTDAASVRGMLGESLALLVENTSTAIAGLVIGLEASWQMALIMIAMVPLIGLHGYLRMKYTNGGGADVKKLYEDASQVASEAVGSIRTVASFSAEEKVVQLYKRKCEGPVRAGIKEGLSCGAGFGFSMFCLYSVYAASFYAGARLIESGKVTFAEVFRVFYGLSLTATAISQSGSLAPDSSKAKTGASSIFALLDRQSKIDSNNNSGMILDNVKGNIEFQHVSFNYPSRPEAQVLKDLCLAISSGETVALVGESGSGKSTVISLLQRFYDPNSGLITLDGLEIQKLNVKWLREQMGLVSQEPILFNDTIRANIAYGTETDATEAEILAAAELANAHNFINKESSCNNVQGYETVVGERGIQLSGGQKQRVAIARAIVKCPKILLLDEATSALDAESEKVVQDALDRVRSGRTTVVVAHRLSTIKGANVIVVIKDGVIVEKGNHETLVNRQDGIYASPVSKSSSTMK >Solyc11g021210.1.1 pep chromosome:SL3.0:11:13407923:13408862:1 gene:Solyc11g021210.1 transcript:Solyc11g021210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSTLDHILTHISFSIVSILITIHLITFFVYEIVELYDSSEKGIIVTFFCITRLLVTRWVSSGHFPLTDLYESLIFLSWIFSLIHIIPYFKKNVLILSKITGPSAILTQGFATSCILTEIHQSGILVPALHSEWLIMHVSMMILGHCSPFMWIVIISSTSLITFRKNGKIFSKSNVFLNESFLLSENVVENTSFFFRIYFLTIGILSGVVWANEVWGSYWNWDPKETWAFITWIVFAIYLHTRTNRNLRGPNFAIVASIGFLIIWICYFGVNLLGIGLHSYGSFPSTFN >Solyc08g022075.1.1 pep chromosome:SL3.0:8:33123824:33146063:1 gene:Solyc08g022075.1 transcript:Solyc08g022075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGEMVKQKVSTISSFRVSRSISNLRGSGKGHFSNGSSSKVLGKYYETYSPWEYGSSDSKEKSSTK >Solyc12g038810.2.1 pep chromosome:SL3.0:12:51893463:51896477:1 gene:Solyc12g038810.2 transcript:Solyc12g038810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSFFSVLIGEQFQEFGSLFSAKYNTNGNLLPPNFINVVGCLYGIGDMESLCEPGKRESLHCYKLGPKQWVAEVQFLGVVDHPNLDKLEYCDVGLFA >Solyc11g068930.2.1 pep chromosome:SL3.0:11:53780768:53785967:-1 gene:Solyc11g068930.2 transcript:Solyc11g068930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTGKGTILQFAPFQSFVDEGFWHKLSSFKLNKLRLDESPIPITGFYAPCSHPQVSNHLTLLAESLPADSDEESSSLLASQGNRNRCPVPGILLNTNTLESFYALDKQSLLKAEAKKIWDDIYSGKVEEDSSVLLRFLVISFADLKKWSFHYWFAFPALVLDPPATLVNLKPASQCFTSVEAESVSSACNEWRSKSSTADIPFFLVSIGSNSVATLRHLREWETCQNNGQKILFGFYDPCHLPHNPGWPLRNYLAYFCSRWGLGKIHFFCYRENRGFADLGLSLVGEAEISLSQGRSIGGRNHQNMPNVVGWELNKGKKGLRCISLAKTMDPTRLAVSAADLNLKLMRWRTLPSLNLEMLASTRCLLLGAGTLGCQVARMLMAWGVRKITLVDSGKVSMSNPIRQSLYALDDCLNGGKFKAVAAVESLKRIFPAVEAEGVVMAIPMPGHPVSSQEESNILQDCRHLSDLINSHDAIFLLTDTRESRWLPSLLCASANKITITAALGFDSFLVMRHGAGPLDALHNSQAETSNKLSASMENLSLSNQKESVRLGCYFCNDVVAPIDSTANRTLDQQCTVTRPGLAPIASALAVELLVGVLHHPSGICAKAEFANSNDNGSTEQPLGILPHQIRGSISQFSQMTLVGHASTCCTACCSTVVSEYRTKGMDFILQAINHPTYLEDLTGLTELMKSAGSYTLDWDNDSENDDNDDDDDCVEI >Solyc12g009270.1.1.1 pep chromosome:SL3.0:12:2546451:2547014:-1 gene:Solyc12g009270.1 transcript:Solyc12g009270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFLPLLLLILHFSHFTSGKNTITSYSTNHLVYTSCTKASYPKICIRTLSSYSTIKTPKDLAKASLNVSISRSNKASKFLKNLKVNSKREKGALVDCIEQIGDSIHELRKSLFELKHLHKGIGFRFQMSNLETWISASLTNDDTCLDGFKEIDGKVRYDVKRRISNVAKVTSNALYLINLLGGFRI >Solyc01g058460.1.1 pep chromosome:SL3.0:1:66624795:66625385:1 gene:Solyc01g058460.1 transcript:Solyc01g058460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDDMKNKIEVIVTYMLLCPVKT >Solyc02g086700.3.1 pep chromosome:SL3.0:2:49984773:49986805:-1 gene:Solyc02g086700.3 transcript:Solyc02g086700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTLILHLKLSCYIFIMSLLFLFTKSFSIVPMVALFILLMCGLQITGVYSVGVCYGRMADNLPSEYDVVNHCHANGINKIRIYYPDTNVYNALRGSNIEVLVDVPNEHVKTLAQDPNQARNWVNNNIKAYFPSVKFRYIAVGNEISPIKNVQLATFVGPAIENVHNAIVEAGLQDQIKVSTATYSALLTNTWPPQNSMFNPDWRGFTDPIVKLLRDNNLPLLVNIYPYFSYIYNTKDIPLSYALFTDSGTNSAGYQNLFDALVDSMYYALEKSGAPNVEIVVSETGWPSYGHPAATTDNARTYYTNVINHVGNGTPKKPGREIETFLFAMFDERGKGGDETERHFGLFYPDRNSKYDQLNF >Solyc09g015600.2.1 pep chromosome:SL3.0:9:10056852:10061245:1 gene:Solyc09g015600.2 transcript:Solyc09g015600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTKIEKIEFSCERCKTQGPFEKQLLVDHSPNVVVLHLKRFKYNGLVVQKVEKHVLFSLELDMLLYSNAINNEEIKYDLYAVIVHSGPSISSGHYYNFIRCAPNECSKFDDEKVDYVQEDLVLAEQAYILFYTKRGTPWFSDYIKSYRPFLCLVNPTTTSDANEPTLIPEVNNVEDNDSHVLPDQVYCEDQLQVVEIKQDEKLKDALNCGSHGTSVNEIKRKLKD >Solyc12g049340.2.1 pep chromosome:SL3.0:12:61766924:61767778:-1 gene:Solyc12g049340.2 transcript:Solyc12g049340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLKLGPEEILSIWNSLHFDLLQILSSSFLIIFIATVYFIYNLSGTGLISIDLARDLLQALPNSCALVVSTEIITIKAQKEQCYFQIEWVVLLHFCLTREKIGTRAKYRLMHVVRTHKGADDKAFKCIHKGNLSKDLMVIAGEALKSNITTIGRKIFNPKSNISAHFSHYYITLSNTSSSSLRYEMSYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNRGLH >Solyc02g084390.3.1 pep chromosome:SL3.0:2:48061851:48067184:-1 gene:Solyc02g084390.3 transcript:Solyc02g084390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMATVDEVVKDEERILVSVRLRPLNDKEILRNDASDWECINDTTIIYKNVNLSVSERSMYPSAYTFDRVFRTNCSTRQVYEEAAKDVALSVLNGFNSSVFAYGQTSSGKTYTMTGITEYAIADIYEYIQKHSERDFMLKFSAMEIYNESVRDLLSADSTLLRLLDDPERGTIVEKLTEETLRDWNHVIQLLSICEAQRQIGETALNETSSRSHQIIRLTIESSAREYLGRDNSSSLSATVNFVDLAGSERASQSLSAGTRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQPSLGGNGRTAIICTMSPARSHVEQSRNTLLFASCAKEVTTTAQVNVVVSDKVLVKHLQRELTRLENELRSPRTSLFPSDYEALLREKNKQIEQMEKEIKDLTMQRDIAQTQVRDMRQLLGDDAGLLMQVGLGNYPNLRVRRSPDYQSPMQVSILSYTPSIDADIRTCSDGHSRSSSEEQIIRVPEFEENFLHNSSSPRLLAGRSSNYSESDSCEGWDDIEKQSNGTNSEDLYKEVHCIETKESSTKVKQESKFPSPEERNKFPAEMTAENEDKADIGTVSPPADDYGRLAPPLLKENGEVTLLPCKEDEDFVPFSSFKEEKEPSEEPLSLPSKDSQTLESPKFADSRESVTLPLKEEKELNCVHTFEPPSPANLSSTYELLDDSPGSSILKLSRSRSCKASLMDDLYPPCFKELNKNENTPLSQSERNVNATPDCLEIKISSPNFTSDVKDSQEKSSIIYGETDVNEVSKGEDTENESVNDVDDAKNARTDDTDELQYEKEVKECPEAELEHDRPSKCVKDVGLDPIEDDLKSIGSWPSEFKMLQKEIIELWNVCNISLVHRTYFFLLFQGDAKDAVYLEVEIRRLTFLNDTYSHGEKTEVNGRILSLAQSMKDLRQERRMLRKQMLRKLTEEERESLYLKWGIRINSKHRRFQLVQRLWNKTDDMNHIADSAYLIAKLTGLMKPGRAPKEMFGLDFSPRPSRTYSFTRSLISSIL >Solyc01g106140.1.1 pep chromosome:SL3.0:1:93989664:93991364:1 gene:Solyc01g106140.1 transcript:Solyc01g106140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGHSSFPIGLQYIYFAHLCDLVTPPNVMFSSRLGSLEIKLVSSLGRHGHGLGLMAPNGRRKQKTSSKEESASVEPSQNLPEKLLEFLKKQPTPSKLVESIGTAGIPKSWRCVSRKCDSTAQSPWLELSYEPRYYCKTQQHTFNFSFEEAGCYWWHGKRRPRYDPWKHFHYYSPWSIVRGEKVPIDCCFLSSSRAHGCWSSYSSDHRKTFLFPRNGHCCFPDFVVYQWGKNQKWMKQESSQNGLIDPNDPKGQLIQFTNAIIFQGKFYALSLQGTLAVIEEVENQFQVTRLSRRQAIPSNYSRHFIEYLVESNGEILLIFLISERSNRVVDKVEVFKLQIEDFSWLRLESLGDRTLFVGIKCGISVPASQVGCRNNCVYFTHRYIDGWRLYDMGSGCISPCYDDAGSEIKDPVWEEPIIG >Solyc05g050710.3.1 pep chromosome:SL3.0:5:61688101:61690341:-1 gene:Solyc05g050710.3 transcript:Solyc05g050710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLIIFIISTMLSTNCQAITTNLNPLILIPGAGGNQLEARLTSKYKPTSLLCNKLYPLNKDSEGWFRIWFDPSILLAPFTKCFNQRMKLYYQTQLDDYYNDPGVETRITHFGSTKSLLYLNPYLQKITAYMEPLVKALEEIGYRDGANLFGAPYDFRYGLAAEGHQSHVGSKYLEDLKELIESASNSNGGKPVILVSHSLGGLYVQQLLVRNPKCWSQKYIKHFIALASPWGGTVMQMLTFASGNTLGVPLVNPLLVREEQRSSESNMWLLPSPKVFNTKSPLVVTPNVTYSAHDIAEFLKDIGFPEGVLPYTSRILPLVENLVTSTDQVPITCVIGCGVKTPETLLYADGDFDKSPEIVYGDGDGTVNMISLLSFESNKSTYQHLKVIKLQGVSHTGILKDEIALEKIVEEISFINSDISLVSKA >Solyc01g094910.3.1 pep chromosome:SL3.0:1:86189850:86193132:-1 gene:Solyc01g094910.3 transcript:Solyc01g094910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSSSSPSLKASSSVTNDLQATIMALILIVTIGYFLLLIVTPTNMYRQIWTPKIKAHTTNSTYFGAQGRTLLMNTFPLIFIAVLGCVYLHLWKKSNNKNINRFEKKQKLAIWRRPIIMKGLGIVSRIELGFFVMFIALLVWTFASYLHIIFPTITPKSVANSGEKVWEAKLEDSGLRLGLVGNICLTFLFVPVTRGSSVLQVFGLTSEASVKYHIWLGHIVMTLFSAHGICYIIYWASTHQLSEMLKWGKTDISNLAGELALLSGLVLWIATFPKIRRKMFELFFYTHHFYILFVVFFVFHVGVSYACIMLPGFFLFMVDRFLRFLQSRSNVRLVSARVLPCETLELNFSKTKGLSYTPTSIMFVNVPSISKLQWHPFTITSSSNLEPEKISVAIKGEGSWSKKLYQMISSPNSVDRLNVSVEGPYGPPSTHFLRHDLLVMISGGSGITPFISIIRELIHTSESQKCKTPEILLISVFKNSEDLTMLDLLLPISGAPSETCKLGLQIEAFVTREKQPVSTEDKKNVRTIWFKPNPSDKPITPILGQNNWLWLGAIISCSFLIFLISLGVLNRYYIYPIDNNTNDIFSYPIKAVLNMLIICISIVITSSAAFVWNKRQSGTDAKQIQNMEGATPMASPNSWFYNADREMESLPQQSLFQSTNLHFGERPDLKRILFERKESSVGVLVCGPKRMRHEVANICSSGLASNLHFESISFSW >Solyc02g069380.3.1 pep chromosome:SL3.0:2:39857918:39865920:-1 gene:Solyc02g069380.3 transcript:Solyc02g069380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKTEVNLKRLLVTAPQQQNQAKLIHYVATLRELLEQLADERNSDGLPRVSKAKVNEYAENIETVAAKLAVPMSDVHTPEEPVAESSSSGTPKAEESVNSASEGLRRRFGVHSNNEERSRDTIDSDQSSAAVKLDDAARTHIEKHRKLQEDLTDEMVVLARQLKESSLMMNQSIKNTEKILDSTEKAVEHSLASTGHATSRAMDVYSRSFKTTCVQWLLIFVMTLIFVMVVLLIRVT >Solyc05g044465.1.1 pep chromosome:SL3.0:5:57317207:57318033:-1 gene:Solyc05g044465.1 transcript:Solyc05g044465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATETPVLLAKACELFIQELTLLSWFKAEKNCRRTLKKNDIIDVIIQTDTLDFLLDDDINASGGSTPSGVSFYAAGGSNGRSDVRG >Solyc05g006800.1.1.1 pep chromosome:SL3.0:5:1416326:1418134:-1 gene:Solyc05g006800.1 transcript:Solyc05g006800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSTTPTRPTTTLTINTNTSEHRRHILQILTQCTSISQLKQVHAYTLRTTPLDHPDALFLYSRILHFASMNDLDYSFKLFGNLENPNSFIWNTLIRGCAHSNDRKGEAFMLFQRMVESVEPDKHTFPFVLKGCAYLFALSEGKQAHGVALKLGFDSDVYVNNSLVHFYSSCGCLKDARKVFDEMPERSLVSWNVMIDALVQSGEFENALRMFSEMQKVFEPDGYTMQSVLDACAGLGALSLGMWCHAYILRKCESFLDFELLVNNCLLNMYCKCGSVDIAVQVFERMSRHDLNSWNTMILGFAMHGEVEAAFHCFNQMVSKRVMPDSITFVGILSACNHRGFVDEGRSYFDKMVSEYKIRPVLEHYGCLVDLLARAGCIDKALDVVSNMPMKPDAAIWRSLLDGCCKKNADIEFSEEVARKIMESDGSDTSGVYVLLSRVYATANRWDEAGMIRTLMTDKGIRKDPGCSLIEINGVFHEFFAGDTSHLHTREIYEFLDVIDKRLLAAGYVPDLSHASTVDELDNGKRQSLKLHSERLAIAYGLLKLKPGTPLRIFKNLRICSDCHNVTKLISKVFDVEIIVRDRVRFHHFRNGSCTCKDYW >Solyc08g065490.3.1 pep chromosome:SL3.0:8:53666063:53670394:-1 gene:Solyc08g065490.3 transcript:Solyc08g065490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CLC9] MEACCGAAVMSSLQQPVWVKGSAFPSKGVAGINVNSSRVKLCSVKPCRATPTEWSLITERPTSSAPEGAGSSFEDYGLHEADPDVRTIIDKEKQRQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQERALAAFSLDGKQWGVNVQPLSGSPANFAVYTAVLNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGILDYEMLEKTANLFRPKLIIAGASAYPRDFDYPRLRKIADAVGAFLMMDMAHISGLVAASVLANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGMDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAKSPEFKVYQNQVVANCRALASRLMELGYKLVSGGSDNHLVLVDLRPMGIDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTEKEFVSVADFIHEGVQITLEAKKSVSTTKLQDFMKVVTSPDFSLMDKVLDLQKRVEALTSQYPLPGL >Solyc02g090450.3.1 pep chromosome:SL3.0:2:52635417:52639670:1 gene:Solyc02g090450.3 transcript:Solyc02g090450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BCJ4] MFTASAIMNMKSHVFLIYPLLCFLFLSSFVYGQLDYKYYDTTCPNLTKIVRNGVWSAISNDTRMPASLLRLHFHDCFVNGCDGSILLDDTSTFTGEKNAFPNRNSARGYEVIDAIKANVEKACPSTVSCTDILTLAAREAIYLTRGPFWSVCLGRRDSLTASQSAANDQLPSPFEPLVNITAKFVSKGLDVKDVVVLSGAHTIGFAQCFMFKRRLFDFDGSGNPDPTLDLSLLGSLRSVCPNQSDSDSNLAPLDAVTINRFDNVYFKNLMNNSGLLESDQALMNDNTTAALVSNYSRNPSLFSKEFAASMVKLINIGVLTGQNGEIRKNCRVVN >Solyc07g066675.1.1 pep chromosome:SL3.0:7:68170727:68173425:-1 gene:Solyc07g066675.1 transcript:Solyc07g066675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIIFPAASSSSSYLSVVKHQMIRDITIPSRRLGGGLSFTQHSSSTACVVDATRGPDFALQCNETTKERIRKLFHKVEFSVSSYDTAWVAMVPSPHSAKVPCFPECLHWVLHNQLEDGSWGLPHHQPLLLKDVLSSTLACVLALKRWGIGLRFIELNFASATDEDQYSPIGFDVIFPGMLEYAQHLSLKLHLESGVFNELLHKRAIQLTRPYDSSSLELNAYLAYVSEGIGELQDWKMVMKYQRKNGSLFNSPSTTAASLIHLHDSGCLDYLRGALKKFGNAVPTIYPINIHASLCMVDDLKKLGICRHFSEEIQNVLDETYRILRGYGYNVSSDPVAQFLEQEQYSGHLNDIHTMLDLYQALEMIIATDKPVSMKLNSSSLQSLIQRLSDEFYPPNGLTKQIREQVDDVLKFPSHANIKRVANRRNIKHYDVDNTRVLKTSYSSSNFGNKDFLTLAVEDFNLCQSIHRNELKQLERFVSL >Solyc08g077330.3.1 pep chromosome:SL3.0:8:61343975:61345673:-1 gene:Solyc08g077330.3 transcript:Solyc08g077330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLQVVSVFVASFIFMQTLGNSQTCPDCFTRSRAAHYPNSEEKGTETGSCGFGTFGATINGGDVSAASELYRNGLGCGACYQVRCTDSNYCSDKGVTVVVTDQGAGDRTDFILSQRAFARMAQTTDAAASILPLGVVDIEYRRVSCTYPDKNITIKIEESSDNPHYLAFVIWYQQGKKDITAVQLCETQNFVCKLLDRTRGAVWTTTSPPRGPLQIRMLLSVDDEDETWVDPVNNIPENWKAGDTYDSGIQADA >Solyc06g072400.2.1 pep chromosome:SL3.0:6:44805305:44805866:-1 gene:Solyc06g072400.2 transcript:Solyc06g072400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFPHPPPSPSPRRSLQEEEELVGTQIGYRHNHMVQSRLDFGCMKQASALYVVIWLPEEKKEMRNREMQMPLKYYHAQDFLATHFSICQEKFYSTSIHQKKNIQRLEFPHPRNFVKVTVVDSCYRTSCQSDDNRVNSLIMLPKVKKPALLFCSKTIAAD >Solyc02g068440.3.1 pep chromosome:SL3.0:2:38958626:38962584:-1 gene:Solyc02g068440.3 transcript:Solyc02g068440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLMCKGVWPSSSSVVLLQTPNGHKSFKTQASFSSYPLASKVMVRNLSYSTDESCLEKIFSNFGHVAEVKIVKDEVTKRSKGYAFIQYTSQENAMLALDSMDHKYINGRVIFVELAKPTKKDFGRYPRSCGPPVERLPSENEVPDLKENC >Solyc05g050130.3.1 pep chromosome:SL3.0:5:60958466:60961616:-1 gene:Solyc05g050130.3 transcript:Solyc05g050130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKYGLLYLVLVLLLLSLKLEGGGIVIYWGQNGNEGTLASTCASNNYAIVNIAFLVVFGNGQTPVLNLAGHCNPSVGGCTRLSNDIRTCQSRGIKVMLSLGGGVGSYSLSSADDARNVANYLWNNYLGGQSTSRPLGDAILDGIDFDIERGTTQHWDELARALSGFSQQRKVYLTAAPQCPFPDSWLNGALSTGLFDYVWVQFYNNPPCQYSAGSAVNLKSYWNKWTAIQAGKIFLGLPAAPGAAGSGFIPSDVLVSQVLPAINGSPKYGGVMLWSKFYDNGYSSAIKPRV >Solyc03g114500.3.1 pep chromosome:SL3.0:3:65969137:65979049:1 gene:Solyc03g114500.3 transcript:Solyc03g114500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPAQLSKTFFSTKPTSQTPLFSLPATQKIQSRRLSSTVRCSVAVAPSATASKASAKVKSVKARQIIDSRGNPTVEVDLVTGDDLLYRSAVPSGASTGIYEALELRDGDKSLYGGKGVLNAVKNINEYLGPKLVGVDVRNQTDVDAVMLEIDGTPNKSKLGANAILGVSLSVCRAGAGAKAVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGAPTFAEALRMGSEVYHTLKGIIKAKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLTKDAKYDLNFKKQPNDGAHVLSAQSLCELYKEFVKDFPIVSIEDPFDQDDWSSWASLQSSVDIQLVGDDLLVTNPKRIAEAIQKKACNALLLKVNQIGTVTESIQAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQNLEMFVMLVNLSDLLEKAVKS >Solyc02g005000.1.1 pep chromosome:SL3.0:2:5970714:5972208:1 gene:Solyc02g005000.1 transcript:Solyc02g005000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFFKIFKKSVLLFLSFFGCFLQIAMGKFIVENLTSPSHPTLKYDEIENKNINLSSIKIEVEEEEQKEMDLSFYDGSPDWIRSTPTMIIGADSDSIDYKFSSDSSGYSYSDGDEWSPLKGEPSSLIGPIFSTDPENFKKIVEKETKPIEYKTFKITLIMTDFVYCASSVIL >Solyc01g100310.2.1.1 pep chromosome:SL3.0:1:90256691:90258073:1 gene:Solyc01g100310.2 transcript:Solyc01g100310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIMKPLENHPSAITTSSAEPESEPDNGSAADAGMFSDDIDSSCSTPYVSAPSSPGRGQPHCGFYYSAPASPMHFVLSTTSVKNAVSTSISSPSEDFDFSARLTTEGGGAADGSMSSADELFLNGQIRPMKLSTHLKRPQVLAPLLDHDENEDEEEKSCRGRDLKLRSRSLRRRTRSMSPLRTTSYEWQEDYDEEELEVVINEKKKEKNENEEIKQDDEEETSNETTPCPSGSSSRSSSVGRSSKRWVFLKEFLYRSKSEGRNNGHKFWSGISFSPVKDKKLDKISTNKTPTESSNTSETKKDKQNGNTKEKKKKPVNGSGIGKRRVPPSPHELHYTTNRAQSEEMRKKTFLPYRQGLLGCLGFSSKSYGAMNGFARALNPVSSR >Solyc01g010080.3.1 pep chromosome:SL3.0:1:4736565:4747053:1 gene:Solyc01g010080.3 transcript:Solyc01g010080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETTRSPMPLSETRSPMPLLFRRHSSGEIRNLASLSSSLLPAFGTVMGESSVRLKRFLIAPYDRRYRLWQAFLVVLVVYSAWSSPFELAFSKASTGALLPVDLVVDAFFAIDIILTFFVAYLDKSTYLLVEEHKKIALRYVTHLWFPMDVASTLPFQAIYRVVTGKTSRGQVFGFLNLLRLWRLRRVSKFFSRLEKDTRFSYFWTRYFKLICVTLFAVHSAGCFYYWLATRYHNSESTWMGANIPDFKSRSIWLGYTYSMYWSIVTLSTVGYGDLHAVNIEEKIFTIFYMLFNIGLTAYLIGNMTNLIVHTAVRTFVMRDAINEILRYAKKNRLPEGLREQMLAHLTLKFKTAELQQEQVLEDLPKAIRSSILQQLFRTTLQNSHLFKGVSDDFIVQLVSEIKAEYFPPKVDIVIQNEIPTDFYIIVSGAVDVVTYKNGMEQFLSKLGSQQMFGEIGVIFNIPQPFTVRTKRLSQVVRISHHHFKQLVQPLHEDGKIIHTNFIQHLKGLKNEELAEMPLISEFLDDLISEEASMNEAGAQDQQENELSATTSSLSKTPSTRVIIHGYHPDHKPKSGGKLIHLPDSIENLHSIAESKFGRRGSTILMADGSQVEDLAALREDDHLYIF >Solyc06g053875.1.1 pep chromosome:SL3.0:6:36856620:36857354:-1 gene:Solyc06g053875.1 transcript:Solyc06g053875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERMKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKKGIWLKGL >Solyc01g015030.1.1 pep chromosome:SL3.0:1:16598932:16601492:-1 gene:Solyc01g015030.1 transcript:Solyc01g015030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEETPCKKITRRIQANPQFLYAFLSFSLGLTQNFEEILGSMAKSRISQQDGDKNIYVKKSGQIPRILNWVTEKDYPRIDYFIKAMFRDVNNSAICLIIPKKIPHKVVQDHDIQRPQTRNVPVSPSVKTRRVRYRKQPDVVVT >Solyc12g015880.2.1 pep chromosome:SL3.0:12:5868091:5871290:-1 gene:Solyc12g015880.2 transcript:Solyc12g015880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Molecular chaperone Hsp90-1 [Source:UniProtKB/TrEMBL;Acc:Q6UJX4] MAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFQSLTDKSKLDAQPELFIHIVPDKTNNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEAIAAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKMTLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTIEKEISDDEDEEEKKDEEGKVEEVDEEKEKEEKKKKKIKEVSNEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFIPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEELIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRSKFAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYSIGQLKEFEGKKLVSATKEGLKLEETEDEKKKQEELKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENAIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDGGDAEADMPALEDPEADAEGSKMEEVD >Solyc01g009610.3.1 pep chromosome:SL3.0:1:3776238:3780779:-1 gene:Solyc01g009610.3 transcript:Solyc01g009610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHVKWDEANLEEIEANKPVRQKITEPKTPFHRSIDDDDGSPSPWDTIEEASAFDEASSSENKSRQSGWPSSDDEADIMDQDDEDSGSERSRSFREQRRAHYDEYRKIKELRREGSSLEGASDDEVEDLEKRDGRSNTSSSLTSAVEGIDISEGSTDDSK >Solyc02g090840.3.1 pep chromosome:SL3.0:2:52950595:52951857:-1 gene:Solyc02g090840.3 transcript:Solyc02g090840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPTAAPLSGPRLSQPSSSAMSPLYKQKSWSPDTFRDEAWQRRKGTHGSCLKRRSKSVTDEDFDEIKACIELGFGFDSPEMDQRLSDTFPAYDLFYAVNKQYTDTLSKTSSVSSVISNCESTLPPVSPHTIVFPGDNPQAVKTRLRQWAQVVACVVRQSSY >Solyc02g068720.3.1 pep chromosome:SL3.0:2:39207903:39226379:-1 gene:Solyc02g068720.3 transcript:Solyc02g068720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPRQQFQAEDVLSTSPTAVRPREWEGPARWTEYLGPDISSTIGPKASRNGSSDGSAHSSSGSTNKGLNMQWVNQLTQVAEGLMAKMYRFNQILDYPDVIGHAFSEAFWKSGVFPNHPKICILLSKKFPEHHSKLQLERIDKFALDAMNDGAEVHLQSLEPWIQMLLDLMAFREHALRLILDLSSTVITLLPHQNSLILHAFMDLFCAFVRVNIFSEKIPRKMMLQTYNLLHAMARNDRDCDFYHRLIQFVDSYDPPLKGLHEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPFHPRYPDILTNSAHPMRAQDLANVTSYREWVLFGYLVCPDELLRVTSIDIASIVLKENLVLPLFRDEYILLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHDQALYYCDAIHRERRIFLKQEIGRMVLFFSDQPSLLAPNIQMVYSALAFAQSEVLWYFQHVGIASSKSRAARTVPVEMDPSDPTIGFLLDGMDRLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDATLKGLFQKIVQHLENIPKPQGENISAITCDLSELRKDWLSILMVVTSARSSINIRHLEKATVSTGKEGLLSEGNSAYNWSRCVDELEYLLSKHGSLKKLYFYHQHLTTVFRNTMFGPEGRPQHCCAWLGVASSFPECASSIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGSLELQLFPEQAAHLMNLTSRISAPSAKSPRAMSGYHLPGYESYPENDNSIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMRECILGNFRRRLLAVLKTDNDLQRPTVLEALIRRHTAIVHLAEQHISMDLTQGIREILLTETFCGPVSSLHLFEKATEQHTGSATETVCNWYIENVVKDVSGAGILFAPRHRCFKSTRPVGGYFAESVTDLRELKAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRANRDNLEAVAGSMHSGDRIDRDTNIKQIVDLDTMVGFCIQAGQAVAFDRLLAEAGTAVLEEGAPLIHSLLTAAAKHLPDEIPEKKEIRRLKRVANNFNIASDHDAEWVRSILEEVGGANDASWSLLPYLFATLMTSNIWNSSGFNVDTGGFSNNIYCLARCISAVIAGSEFVRLEREHHMRQSFSNGHVGETLDPETHNQITVETNIKSTMQLFVKFSSGIILDSWSENTRSHLVSKLIFLDQFCEISPYLPRSTLDAYVPYSIIRSIYSQYYGSSSPAPLALLGDSPRHSPAVSLAHSSPAMRQHRNDSTPQSNSNDSGYFKPSSSHAQDQLYDTESGSIENRPRNVRRSGPLEYSATRKLKHVDSSTSASTGPSPLPRFAVSRSGPISYK >Solyc09g008620.2.1 pep chromosome:SL3.0:9:2086750:2090974:1 gene:Solyc09g008620.2 transcript:Solyc09g008620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYVGDLHDSVTDGQLYEAFSEFKNLVNVRVCRDSSTGRSLCYGYVNFISSEDAVRAIEVKNHSTLNGKAIRVSWSRRDSDVRRSGAGNVFVKNLNDTIDSTKLEEIFQKFGNILSCKVAVSEDGRSKGFGFVQFETEDSANTAIEELNGSAVGGKEMYVGKFVKKSDRVLPSPDEKYTNLFIKNLGLDISEEHLREKFSEFGKIISLIIAKDENGCSKGFGYVNFENPDDARRAREAMNGSKLGCKILYVARAQKKTEREQILRRLFEERRKARLIKYQGSNVYVKNIDGDVKDHELRELFSRCGKITSAKLMLDEKGVSRGFGFVCFSRPEEANKAVNTFHGYMLGQKTLYVAIAQRKEERQTQLLLKHAQGITGLTGSSAFFPGGYSSLYYPALGDLQVSEQPGLLYQPLSMRHGWMVNGFPNSISPSYQASLVSPTVNFHNTWAILLLH >Solyc02g087010.2.1 pep chromosome:SL3.0:2:50204011:50207827:1 gene:Solyc02g087010.2 transcript:Solyc02g087010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNWVVLFLVIFFLLIEVSSASATPAAKIVGGVVANVASVMFKWAWSLKSTTKTAVSTRSMIKFESGYVVETVFDGSKMGIEPYSVEVSSTGEVLILDCENSNVYRVSTPLSRYSRPKLVAGSPEGYSGHVDGRLREARMDHPKGIAMDDQGNIYVADTMNMAIRKISDAGVVTIAGGKSARGGGHLDGPSEDAQFSDDFDVVFVGSSCSLLVIDRGNQAIREIQLNDDDCSHQYDDNNLQLGVALLCAAVFFGYMLALLQRRIGALFSSNSDDQRAPVRGMQHPPYQRNMKSVRPPIIPPEDEYEKQDENLFLSLGRLVMNTGSTVVEIFGGMFSGFRKNSYPHHVQQHYHYNHKQSSTWPMQESYVIRDEDEAPPLDTRDPTPRKTYPIMNKDPEKPRHIRQSQSHYVGWNGNAHGHGNFQQQQHQHQQQFLPQVYQHHDKHQSSSPQTYYEESCETKEIVFGAVQEQDGRHETMVIKAVDYGDPAYNNHNVRSRYNYSTRYSF >Solyc06g084250.3.1 pep chromosome:SL3.0:6:49493628:49501066:1 gene:Solyc06g084250.3 transcript:Solyc06g084250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQAKRSKQSSSSGRRSLKRKLEGDFEDDRKVSSHDDDAHQDLASEVRTQVEILDSTFSSTEADRASAKTAIHVLCELAKNEEIVNVVVDCGAVPALVKHLQVPSLGNQGDGGQMPYEHEVEKGSAFTLGLLAIKPEHQQLIVDAGALPHLVDLLKRHKTLQNSRAVNGVIRRAADAITNLAHENSSIKTRVRIVGGIPPLVELLEFVDAKIVECNALPTLILMLRSEDTAIHYEAVGVIGNLVHSSPNIKKDVLLAGALQPVIGLLSSSCPESQREAALLLGQFAATDSDCKVDDATIHIVQRGAVPPLIEMLQSLDAQLREMSAFALGRLAQDTHNQAGIAHCGGIVPLLKLLESKNGSLQHNAAFALYGLADNEDNVTDLVKVGGVQKLQDGEFIVQPTRDCVAKTLKRLEEKIHGRVLGHLLYLMHVGEKVIQRRVALVLAHLCSPDDQKMIFIDNGGLELLLELLESTNLKHQRDGSVALCKLANKASSLSPVDAAPPSPTPQVYLGELYVNNSTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNIPWDVFELMMRYIYTGSVDVNMGVAKDLLRVADQYLLEGLKRLCEYAIAQDISVENVSLMFELSEAFNALSLRNACIVFTLEKFDKLSVMPWYSQLIQLILPETRAYFARVLSRPIQADL >Solyc12g006570.2.1 pep chromosome:SL3.0:12:1067537:1072164:1 gene:Solyc12g006570.2 transcript:Solyc12g006570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sesquiterpene synthase [Source:UniProtKB/TrEMBL;Acc:G5CV52] MELCTQTVAADHEVIITRRSGSHHPTLWGDHFLAYADLRGANEGEEKQNEDLKEEVRKMLVMAPSKSLEKLELINTIQCLGLGYHFQSEIDESLSYMYTHYEEYSIGDLHAIALCFRLLRQQGYYVSCDAFKKFTNDQGNFKEELVKDVEGMLSLYEAAQFRVHGEQILDEALNFTIAQLKQILPKLSNSQLAQQITNALKYPIKDGIVRVETRKYISFYQQNQNHNEVLLNFAKLDFNILQTLHKKELSDMTRWWKKMELVNTLPYARDRLVECYFWCLGTYFEPQYSVARKMLTKISFYISIIDDTYDIYGKLDELTLFTQAIERWNIDASEQLPLYMKIIYRDLLDVYDEIEKELANENKSFLVNYSINEMKKVVRGYFQEAKWYYGKKVPTMEQYMKNGISTSAYILLTTTSWLAMGNVATKDAFDWVATEPPIVVASCYIIRLLNDLVSHEEEQKRGNAASAVECYMNEYSVTKEEAHIKIRDIIENYWKDLNEEYFKVDMIIIPRVLLMCIINLTRVAEFIYKDEDAYTFSKNNLKDVISDILVDPII >Solyc10g074690.2.1 pep chromosome:SL3.0:10:58341935:58356597:-1 gene:Solyc10g074690.2 transcript:Solyc10g074690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTEGLMPITRAFLSSYYDKYPFSPLSQDVSRLTDEIHSMAKNLQKDAPLTEGESSLVLEAESHPPHKVDENLWKNREQLEEILFLLESSNWPPALQLQSTTEDVELVSVLERLGEKFRSTLKSLQTFQSKNSEFVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALINSGGSIRDRYALLWNQQMERRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFVQKINDDDGPMEEQRQRYGPPLYSLTKMVLNIRLFLSLLWRRFEAGKLSRSQITVLEEAVDIYTSEFQRFITFIRDVFANSPFFITAEEAGTLEARKSDEYKEVSVPAGKSHEVSLTVDAINSYIAWDFSLVQGRVDMDIGFSMEYTDPSGQKTQILPYRRYGADQGNFCTCLSGNYKLIWDNSYSTFFKKVLRFKVDCIPPVVEPVLSSD >Solyc04g008050.3.1 pep chromosome:SL3.0:4:1707796:1712803:1 gene:Solyc04g008050.3 transcript:Solyc04g008050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSGEVATCKSEATVVTDHFPVGLRVLVVDDDVVCLRIIEQMLRRCKYSVTTCTQAMVALNLLREKRGTFDIVLSDVHMPDMDGFKLLELVGLEMDLPVIMMSGDGRTNLVMRGVQHGACDYLIKPIRDEELKNIWQHVVRKRYNSSKEPECSGSLDDNDRYRRRSDDAECASSVIEGADGVLKPQKKKREAKEDDTEMENDDPSTTKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQENQKFRLYLKRLSGVVQQQGGLPSTFCGPIEQNSELGSLGRFDIQALAASGQIPPETLTALHAELLGRSTSNLVLPAVEQQNLVQVSLQQAKCIPVDQVMAYGQPLLKCPASISNSKHLSQAILSAEDVHSGFGSQRAKNICMSGPPEVNRSINVQPSCLVLPSQLPGHFQVGDSPASISRAGSLSKSSVIDYGVLSPQSNNSSGVVQVLDRELKPECGLNRLPSGGSLSRSCSINADNSVDLQLHNSSSAFGSSKQLPGLIPSHLGSPVPYCINSSLVLDQGRMKGASIPSRFAVDESDSPMCNFNTAKIYLEETKVKQEPNMNVMENAKVGPAIFQKFQPGDLMSVFSD >Solyc08g028780.1.1.1 pep chromosome:SL3.0:8:40094251:40095270:-1 gene:Solyc08g028780.1 transcript:Solyc08g028780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSYQIVAKAKHRHYYSACFGRPAATALPPVGVATYPNLATSLYNTHLGLFALTWSRNLFGRSFHIHFLLNGLSSPHLSPSFQLHIKPFVFWKKHGSNKLHNNVHIFWDFSKAKFGSGPEPRSGFYMAATVNGEMVLLVGDLLKEAYSRTRARNKYMNMNMVLRREHVYCGNKLYITKAKFGGKEREISIDCRIGEDPRLYFSVDNKRVLQIKHLRWKFRGNERIEVDGVGVAVSWDVYNWLFDDDEDGYALYMFKFEKSSLDEEQFNNSMWSQQSCGFGFETKMMKKGVLRSSSSSSSSLSSASSSCSSSVMEWASTEENEMKDPTGFSLLVYAWKT >Solyc04g081420.3.1 pep chromosome:SL3.0:4:65489687:65495643:-1 gene:Solyc04g081420.3 transcript:Solyc04g081420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNIEMGCLKSDDNDEIHSNVSVRKRFKFPKKFFDECNGVDHASVPRKVRSVSVIKKRIRKLISPPLPISKKANRMSDGAETLRKYGNKSKLNLTHCGSSRCIKEELDCPITKDEEEVAETLYALAGMIPDVDTLSESKINSQLPEVKSLDLPEPEASVIASGVVTTEQDIRTNSSQFSAEALKQFPDIAVSAGEAAKSKSFHDASPCDTFINTEQLEISSQQVVAYQANKQNTHKENRNNGSLLWPGLSAAGSSCSDILDSSPQFPIGKFPVWIGSAGTDHQAQNAKSCLPTMKDSQVPLELRKSFKRCAAHVYISRLTKGLQTSMRGDTVSSHPSQSSPPDGVKQEPPIIQNSPTVKVNDMHGIVSTGNVASTVEKNPTEVRNAILLHQRLLQDQQQASTTSGFNSLAKQNADFLSLSAGSYVIKGTNGGATIAGHNLDTPVTSQKHPALHFLLPQNGYSSAPFRYNPATAATQQLQVLLPPYLGGASFCPSREAAMALPRQMSQQNELQNAHFAAQYKFGGVSTSQMRDWQNAGRPMPIFGPSQAQLAASSSSMEALSSKYVPPLLNEQELMSISTSRTNSRIKGQYYSFPSGLEGSGHGLYPNNVPSLQLLCNDRR >Solyc07g005080.1.1 pep chromosome:SL3.0:7:112240:113537:1 gene:Solyc07g005080.1 transcript:Solyc07g005080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPTPAPAPFPLSMPPIPASIPYPDTPAPAPGPAPPLSGIKGAYWPSWVAEKATPSSIPTAYFTHIFYAFVVPDNTSFQLLISQVDEQTMINFTSAIHSHSPATKAMLSIGGDTGSPVLPSMMSCQDNRAAFIKSTIKVSRNYGFDGLDLDWEFPIKSEDMLNLALLLKEWRLAINIESLASSKPALILSAAVYFSPNLLLSGITYPTEVLSNYLDFLSPMCYNYQGSWDTSATGAPALLYDKSSNISTSYGISAWKQNGVPSTKLVMGIPLYGNTWELKDPNDHGIGAPAVGVGPGSHGEMSYDDIVTFNSENNASIVYNNETVSTYSYSGTNWIGYDDTNSIRAKIKYAKAQGLGGYFFWALGSDSNWTLSRTASMAWDHDQS >Solyc03g019890.3.1 pep chromosome:SL3.0:3:62765039:62776312:-1 gene:Solyc03g019890.3 transcript:Solyc03g019890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4BEW1] MNTMSCLSSNFKFVFLASTVIWMTVMSSSLAAVDASNVTTIGTDSVTYDRRSLIINGQRKLLISASIHYPRSVPAMWPGLVRLAKEGGVDVIETYVFWNGHEPSPGNYYFGGRFDLVKFCKIIQQAGMYMILRIGPFVAAEWNFGGLPVWLHYVPGTTFRTDSEPFKYHMQKFMTYTVNLMKRERLFASQGGPIILSQVENEYGYYENAYGEGGKRYALWAAKMALSQNTGVPWIMCQQYDAPDPVIDTCNSFYCDQFKPISPNKPKIWTENWPGWFKTFGARDPHRPAEDVAYSVARFFQKGGSVQNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLPRFPKWGHLKELHKVIKSCEHALLNNDPTLLSLGPLQEADVYEDASGACAAFLANMDDKNDKVVQFRHVSYHLPAWSVSILPDCKNVAFNTAKVGCQTSIVNMAPIDLHPTASSPKRDIKSLQWEVFKETAGVWGVADFTKNGFVDHINTTKDATDYLWYTTSIFVHAEEDFLRNRGTAMLFVESKGHAMHVFINKKLQASASGNGTVPQFKFGTPIALKAGKNEIALLSMTVGLQTAGAFYEWIGAGPTSVKVAGFKTGTMDLTASAWTYKIGLQGEHLRIQKSYNLKSKIWAPTSQPPKQQPLTWYKAVVDAPPGNEPVALDMIHMGKGMAWLNGQEIGRYWPRRTSKYENCVTQCDYRGKFNPDKCVTGCGQPTQRWYHVPRSWFKPSGNVLIIFEEIGGDPSQIRFSMRKVSGACGHLSVDHPSFDVENLQGSEIENDKNRPTLSLKCPTNTNISSVKFASFGNPNGTCGSYMLGDCHDQNSAALVEKVCLNQNECALEMSSANFNMQLCPSTVKKLAVEVNCS >Solyc11g040160.1.1.1 pep chromosome:SL3.0:11:39601905:39602093:1 gene:Solyc11g040160.1 transcript:Solyc11g040160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGNNNPREVHHKASFFVSFKVNPCFFSGQAGYVALYCRKTSDPNVFTISLICVPHAYDN >Solyc07g053490.3.1 pep chromosome:SL3.0:7:62060367:62064596:-1 gene:Solyc07g053490.3 transcript:Solyc07g053490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPVFKLGTLALKSFCKPIGNRIKKEAGYHPRFRNFIINIAQANHRLSTKLQRRIYGHATDVAIRPLNEDKAVQAAADLLGELFVFSVAGVAVIFEVQRSSRSEARKEEVRRKELEALKQRDDDLSRELEFLKNRIDELERHSKTRGLSSIFSISHAESTKDKVKAG >Solyc05g024385.1.1 pep chromosome:SL3.0:5:31508506:31511408:-1 gene:Solyc05g024385.1 transcript:Solyc05g024385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTDSSLITSLQNHLEYSFHIKYLCTLTYIFVLEVNNVVSVDLISFASLQDSSSLDTPLELNVKCCCEEVDLLLDSTIFRQIFGSLNYITITRPDISLAV >Solyc02g049102.1.1 pep chromosome:SL3.0:2:3953570:3954085:-1 gene:Solyc02g049102.1 transcript:Solyc02g049102.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRCRAWQGHHGPWTAHTVGRRQAWHAIFAYGAKHMNGRRRACHAIIALGQHTRTHGRTTSGVACHHRLWPAHTDRRRWACHAIIALKQHTRLNNVGRGMPSPPLDSTYSGTTSGVTCHHSPWTAHSVRRRQPWHAIMALGLHTRLDVVGLGMPS >Solyc08g082620.3.1 pep chromosome:SL3.0:8:65458830:65462904:1 gene:Solyc08g082620.3 transcript:Solyc08g082620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITYSNLILKRRESRINGGSHLQYNGLRAIETVKLTANSASCKPKAVKCGRIRAMASPAVSAPKRETDPKKRVVITGMGLVSVFGSDIDNFYNKLLEGQSGISLIDSFDASSYPVRFAGQIRDFSSEGYIDGKNDRRLDNCWRYCLVAGKRALDDANLGQQVLETMDKTRIGVLVGSGMGGLNVFSDGVEALLQRGYKKVSPFFIPYSITNMGSALLAIETGLMGPNYSISTACASANHSFCAAANHIRRGDADIMVTGGTEAGVTATGVGGFIACRALSQRNDDYEKASRPWDTNRDGFVVGEGSGILVMESLEHALKRGASIIAEYLGGAATCDAHHMTDPRPDGLGVWSCITKGLLDARISPEEVNYINAHATSTLAGDLAEVNAIKKVFKDTSEIKMNGTKSMIGHGLGAAGGIEAIVTIKAITTGWLHPTINQHELEPQVTIDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPYRP >Solyc10g017685.1.1.1 pep chromosome:SL3.0:10:5820063:5820734:-1 gene:Solyc10g017685.1 transcript:Solyc10g017685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHETKEALQHAFKIKDLGELRYFLGLEFARSDTGILIHQRKYTLELLADMGLSGAKPVSTPMEMNLKLTSTEYDDHMNSSHNDTLLEDPASYQRLIGRLLYLTTTRPDISFAGQCLSQFMHAPKVSHMDSALRLVRYLKTEPGLGILMSLTGGDSLQVFCDADWGSCINSRRSITRYFIKYGESLISWRSKKQVTVSRSSAEAEYRAMASTVAEIIWTVGLF >Solyc10g083070.1.1.1 pep chromosome:SL3.0:10:63090726:63091715:-1 gene:Solyc10g083070.1 transcript:Solyc10g083070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSLFKSNKKPSFSPMLCRLPRCGDLRTLSIRDENNHNIFNSQRFYNNVDDEMVDEVIESLKLEKDRFFVEAGQKTSLILDMSSSRLSKKRTISKRLEFLPFNNDSCVITSMDSIDAYGETSRSILEGSSSRLSKSTNNSTSSKRLGYLPSNDSMDSYGDQETSSILDMSSSSSNDNISSKGLGYLPSNESMDATSILERSKSNSSHGFVYYVPCKKTYAIMRLISRDPYEDIKYFLEKMVDENLEIEDWEESLEELCGWLLEINEKNIHKYIVGAFCDLWMSYSCTSTINTPFGFSSSKPPSLYFMSLIENEADRMIAASTSSVTP >Solyc02g088120.3.1 pep chromosome:SL3.0:2:50946617:50952289:1 gene:Solyc02g088120.3 transcript:Solyc02g088120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQISGELAVVKDALIQVTSRLRANLFEREGAESAFVPVPPYLPMTTYSDTLKHESRDSRRHGHSYSAWYGGTTDLPRADGYGSYSGIQSSNIGYGAYEGYSLGRSGGSWSSRQDPASRRKSYGY >Solyc01g013995.1.1 pep chromosome:SL3.0:1:10739462:10740686:1 gene:Solyc01g013995.1 transcript:Solyc01g013995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHGRLKWKCLKANFLAKGCNDFCSYYSISVRSILIFTYIPCSHFDVSIYDQSTSKIEYPIHQDIKVYEEEEVIPFFQYNGNVIEDDIKVYLQANGNVIDQDKEVGKANERSEQTIPLKFAQSKDIINMENMRLVNEEETKWRTDIGYNQSKVILKGRWTAFQKDNKIANGETCRFKLFRGPITNVL >Solyc04g005685.1.1 pep chromosome:SL3.0:4:451686:452245:1 gene:Solyc04g005685.1 transcript:Solyc04g005685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKYKNVKCSNNNKNNRSNSRVPNFQLGLIVSEIHLESEIQREFCRRLPPVAALIQLSDVFKELKLAIEVPFSWGLPEGKVIKI >Solyc10g019015.1.1 pep chromosome:SL3.0:10:11215076:11221468:-1 gene:Solyc10g019015.1 transcript:Solyc10g019015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQSEIQLDISDSMNTQETKLPKRSLGHARMVFYQEKYNKASTFGERVGNVKSICAEISGIHPEEKIKEPCKIAQDFVNYDNNNKRGGWIVYAESLLEGFDMRESKEKTTPMELNLKLKKDIGQSLKDAVKFQQLFGIYAHPITSHLDAAKRILRYVKESLSHGLWYKSTGSAVVSWCNKKQDVVLSTTEAEYISATMAGQRVYFPENIARRHVPKSRL >Solyc02g079760.3.1 pep chromosome:SL3.0:2:44760374:44763044:-1 gene:Solyc02g079760.3 transcript:Solyc02g079760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYQASVCGGNWWNSSRSIFGSSLCASSVPLGNSNFAWTNDHLLDMKSSCRSNDESGNSDESVVLQELPKHDSTLQILGSGLNSSSTNDNWSHTLMHGNDRSESSYPSILQQEDINSSMNYQQESGVDCSSNSFKQDFTLGMNHPITSSTNTHHDQISSTFPMNSSFSNYPSALLQTLFDNDPPQQQQLQQSLFATNNNQPMNFPTSSLNYRPDLNDFSPSMPKFPNSLLIPKQTTTPSNHFPNYSLNATASLYNTSSATSLNNMRATLMPSMHPQILQSPTFNDNSRAPNVTPKSKVEDLRESRVSKKSVTNEATLKRARIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVNALSTPYLKNGSTTTQHQQIADKVKEEDLRSRGLCLVPISSTFPVATESSTDFWTPNFGGTFR >Solyc11g027981.1.1 pep chromosome:SL3.0:11:19924047:19927830:1 gene:Solyc11g027981.1 transcript:Solyc11g027981.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLFAATGFATSGFQFYSKIEPYSYFKAKNFSLQVVLYIEKILRSQRVPIIVGGSNSYIEKLLEDPVFMFKYKDDSFFIWIDVEQSVLNSRIDMGVDQLVKKGLVDEMQVTPKESDVPSVSLKWTDI >Solyc12g006120.2.1 pep chromosome:SL3.0:12:682490:683098:-1 gene:Solyc12g006120.2 transcript:Solyc12g006120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHNNANSEGSTREQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLAKYREMEGEKTTMGRGHQMYGSHGSYNHMGMGGGGGGGGSGAGGSGQGRR >Solyc11g064760.1.1.1 pep chromosome:SL3.0:11:50202009:50202236:-1 gene:Solyc11g064760.1 transcript:Solyc11g064760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIISNHFPNQHCPPPSLSWPSLIVLISNHLPSSPSKLTIYDCPFLTPLLEFDKGEYWPNIAHIPNIEINHKYL >Solyc05g023770.3.1 pep chromosome:SL3.0:5:29329496:29332091:-1 gene:Solyc05g023770.3 transcript:Solyc05g023770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARTASPSQLRKWSSESGAPMAALTVGSSSPRHGRSSSITGMSNIKRTQNVAAKAAAQRLAQVMASQAATGNDDDEDGDDDLGFRFSAPPPPSFSRSKVSTAANSSSDSNAINPVIQSAKLNTRSSSPALARNIVEELPSLRSTSAGRPTVPSRPPPSIPSTQQPVRTPSPIPPIDPPTNKLREKRFSPDLRQVNLKDTGDHRAASALRDELDMLQEENENLLGKLRVAETSYEEAEARVKELEKQVAALGEGVSLEAKLLM >Solyc10g018475.1.1.1 pep chromosome:SL3.0:10:8207643:8207957:-1 gene:Solyc10g018475.1 transcript:Solyc10g018475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDQDAHIKNKVADEMEFAVQCSKCFKWLERYEKIREHLLECPFYCEDARELRPSISCNDIPDITRQEKKLWAFDKPSIPQLPSGWKRIVKIRTRGTIFADVS >Solyc08g023425.1.1 pep chromosome:SL3.0:8:28100025:28100375:-1 gene:Solyc08g023425.1 transcript:Solyc08g023425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPYASVVGSLMYAMVCTRPDIAHAVGVVSSTSLCFGKVKVILQGFVDADLGGDVDSSKSTSGYIYTIGGTAVSWMSRLQKCVSLSSTEA >Solyc06g050830.1.1.1 pep chromosome:SL3.0:6:33732571:33732840:1 gene:Solyc06g050830.1 transcript:Solyc06g050830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPDSVQRVAYVFHFQRSIYRNCQEIDTHLTIGSSFRRFLQNVIQGNVKVVFVETSLATIFTISTFIPYFLTQIIGQIVEPNHVPYF >Solyc01g103862.1.1 pep chromosome:SL3.0:1:92253666:92266198:-1 gene:Solyc01g103862.1 transcript:Solyc01g103862.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIDTSGQMNRAGTCKSNRENSLYTQNINLTHIDWSRKCWDLVMILSLLNNEIMDLVCFSMANSKGPCTMRNMMYNGKSSLLPPKSPFPSMAPSYVDYVPSSAFNQKGIQKPREGNSHHQRTSSESCIIEEQPSWLDDLLNEPETPVRRGSHRRSSSDSFAYFDSVNAANLDYTAQVDNKFRNMPPIPSWGSQDFDYYKDARQAASFVDQNSSIRRKNRENDVSSTTVSHLRSLSSPGEDLRIQRSGSPCLLQDGERPRSAGSDKQDVAESGPPYPKGSAEKKDSSQNKSSSSETDTKRAKQQFAQRSRVRKLQYIAELERNAEGSEVSAELEFLNQQNLILSMENKALKQRLENLAQEQLIKYLEHEVLERERGRLRALYQQQHQQPQPQQQKPSHSHRRSTSRDLDQQFANLTLKQKEAELDAVSGQLHI >Solyc10g080393.1.1 pep chromosome:SL3.0:10:61799845:61801712:1 gene:Solyc10g080393.1 transcript:Solyc10g080393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGRTLMELPVEIKGLNVYKDKSFGYIPIIFPPKSIYLLIKGGNDEVFKRSFTILLDDELVNGLEMFDDKTNEFIPANPIPGSFIVNAGDIAKVS >Solyc05g041350.1.1.1 pep chromosome:SL3.0:5:52156844:52157062:1 gene:Solyc05g041350.1 transcript:Solyc05g041350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKNELKDRTSILYVVNDFDANQNFVVERDAQKSDIYCSCHSFEYKGYVCRHAIVVLQMSGVFNIPSNYIL >Solyc08g016760.1.1.1 pep chromosome:SL3.0:8:9184501:9184686:1 gene:Solyc08g016760.1 transcript:Solyc08g016760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHRTIHTTTSVNNCIQQYKCDPYTSSSSRRTACDPSYQSANFQKKSCVLFSLSPYPLIQE >Solyc01g017090.3.1 pep chromosome:SL3.0:1:23794716:23796303:1 gene:Solyc01g017090.3 transcript:Solyc01g017090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFIPRLILRIQNFFMEHTYEYAWIIPFISFPVPMLIGAGLILFPTATKRFRRMWAFQSVLLLFIVMMFSIYLSIQQINSSSVYQYVWSWIINNDFSLDIGYLIDPLTSIMSILFTTVGIMVLIYNDKYMAHDQGYLRFFSYISFFNTSMLVLLTSSNLIQIYMFWELVGLGSYLLVGFWFIRPIAANACQKEFVTNRVGDFVNDLFKIFNNLIYNNELNFLFVMLCVVLLFAGAVAKSAQFPLHVMLPDAMEESNPISALTHAATMVAAGIFLYIGIITILLGPTLVSIGLYDVIFLGSESIMHSMETIVGYSSAKSQNMGLIGGLRKHVPIPE >Solyc04g079670.1.1.1 pep chromosome:SL3.0:4:64132772:64132975:1 gene:Solyc04g079670.1 transcript:Solyc04g079670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCKHKVDKLIGKNMFSGSLEPFGMLQPIMKAYSFSPPYYSNKIFFHKFLLAHLVSNAQAEQRRTR >Solyc11g040410.1.1 pep chromosome:SL3.0:11:37800533:37801084:1 gene:Solyc11g040410.1 transcript:Solyc11g040410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLAVGGAFLSSMSQRFDKLQSVVHNAENLIEQVNYEALRLKLEGQHQNTLSDDFFCNINDKLEESIETLEVLEKQIGHLGLKDHFGSTKQETRTPSTSFVDDSNIFGSQNEIKDFIVQLFSEDAN >Solyc06g083980.2.1 pep chromosome:SL3.0:6:49306994:49309614:-1 gene:Solyc06g083980.2 transcript:Solyc06g083980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKFFLKGEDKVNMEGVLGSEAVEFFSWSASNHMLTEFTSSRGDLGVQQALCKIVEGSDWTYAIYWQVAKSKSGKSALIWGDGHCRETKIGQGEGANDSAHQKMMDGNKKKMVLQKIHTCFGGSEDDNIAAKLESVSDVEVFYLTSMYYIFPFDKPSSPSQSFNSARSIWGSDLKGCLEHFQSRSYLAKLARFETLVFVPLKSGVVELGSVKSIPEDQNLIQMVKTSVVVSNPPQPKANTKIFGRELSLGGAKSGPISINFSPKVEEELSFASDSYEVQAALGSSQVYGNSSNGYRSDEGEGKLYKEELDERKPRKRGRKPANGREEALNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITDLQARIRVLDAEKEMVGDKQKQQVILEIDFHQRQDDAVVRVGCPLNAHPVSRVLKTFQEHQVVAQESNVSLTENGELVHMFSIRAPGPAAEDLKEKLTAASRFALKTRWSVSVIRLLCFARLFVYEHQCLAGKFALYFVDYTI >Solyc08g066570.2.1 pep chromosome:SL3.0:8:55359581:55363532:1 gene:Solyc08g066570.2 transcript:Solyc08g066570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVESSESGSGNLFNKIIALAAGEAHTLALTANGDVYSWGRGTFGRLGTASETDHLFPARINFDSDGDKRVKIVAIAAGAYHSLAVSDKVILIGVTPKGASCHVSNDGSSSGKRNQETEFSALKGIAMMDQYGAGDTMSGFLELGSPNSSASGSERKKLMISSVKGGGMMSAAIDDLGSLWMWGNFPEPQKGKSMESEFSLTSNCNPIPIWNFHGHTVVKVACGNEHVVALVTAGEVYKGSDLLCYSWGGNTHGQLGLGDRQSRQYPEVIEPFNSDAPWTVYEVACGAFHTALLAQRSPSDTLESVCWTFGQGDNGQLGQGTTQSTLSPEPVKGLPRNAFLISVDCGLFHTSVVSSAGDVWSWGMEKGLGLCPEASYSGPDAGDAILPLLIPCSGLYGPKFPEPVQVVCGAAHTILLAGAGYKMWSWGRGWSGVLGNGKMIDCYSPTMALWPPLDADFREQSVKDGSDKSGAEKKTEDVIELERKLSMAAEDVKLLQSKLSLMERYASILHGATFGKPFEERDMPASLRGGGSFDIAKEWENMLESLDRGKLVRLEMFYRSMLAGVKDKLLKKRIQEILLEFQSSLPSDSTQRNK >Solyc08g062660.3.1 pep chromosome:SL3.0:8:51757497:51761671:1 gene:Solyc08g062660.3 transcript:Solyc08g062660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ran binding protein-1 [Source:UniProtKB/TrEMBL;Acc:Q94K24] MASTTVEPKLEKKEEEVEAEVEENPTGDDEDTGAQVAPIVRLQEVAVSTGEENEHVLLDLKSKLYRFDKEGSQWKERGVGTVKLLKHKETGKVRLVMRQSKTLKICANHLVLPTMSIQEHAGNEKSCVWHAADFADGELKDETFCIRFASVENCKAFKEKVEEIAESQQTKSGQSEEAGAAATELIEKLSVESKDKNDKPEDKEAPAATEEKEDKKEEKAEEKN >Solyc10g083410.1.1.1 pep chromosome:SL3.0:10:63357795:63358112:-1 gene:Solyc10g083410.1 transcript:Solyc10g083410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVHSSTVLAETISWYCALFLAIMLVLSCCESSESDHNEFIVQMQGNYKKMACDEIYVVKEGETLHTISEKCNDPFIVEENPHIHDPDDVFPGLVIKITPYKNH >Solyc06g075380.1.1.1 pep chromosome:SL3.0:6:46929448:46929660:1 gene:Solyc06g075380.1 transcript:Solyc06g075380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLNILAPISLQKKNDIKFWSQIKNENNLKNILMLGAFIYIYLDPTTTEAVAIYMLSGYKLKAIIEMDK >Solyc10g005250.3.1.1 pep chromosome:SL3.0:10:198485:200073:1 gene:Solyc10g005250.3 transcript:Solyc10g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQATQDNNPSAPTILPAKRRRGRPRKDGGVAKRGNLQSAAMTAPAPENIKKVQRNAVEVNQKDGIIGSNNGVGQMVSGVVDGRFDAGYFITVRVGNSSSTLRGLVFQPGRFAPITPANDVAPSATMYHRNQVAINQLQQEQQPNVAPGQQVLTSNPMSTAPFIPNTNQFPPVMAPNEGRCNVTSTLGEKVMLQQPNQDQRVQIHQSHLTIPVENLRMVEKDEVMRVFEIPNQSEGTTKMVEESILEPKGSDGTSNQVQKAQNQLMGSMFQSDILFHGNLNSPSGEIHHNPVVSKSQEALDNHQIESESKNDKQPNPEQSYIQTLLQPGELVHCAAKKLEIHQSPPVNAQTQLYSSQGLPPMNEEIQLKQWTHGGQENPQNQFNQSTLFAELNSVSPAAETQVQPSNELKTTFLEQNYVQMTQPLEVGANENPNPEINQVLVAGETQVVPEESIRTTSMDFMMENLNYPKNDEPQSIHIGPEVDLSRNVETAN >Solyc04g078320.3.1 pep chromosome:SL3.0:4:63157507:63165347:-1 gene:Solyc04g078320.3 transcript:Solyc04g078320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKPNGDKEIVRHEENGKAGDPEPMEICTSSTALPCEIPSSDLLILEGHTSEVFACAWSPDGSLLASGSGDSTARIWTIGDGPCNSHMQNGPVNVMVLKHFKGRTNEKSKDVTTLEWNVRARDTYLQQVLMMGKQEFGTERWYWEPKAILCRELVNTLIKHKGPIFSLKWNKKGDYLLSGSVDKTAIVWDVKSGEWKQQFEFHSAPTLDVDWRNNNSFATCSTDNMIYVCKVGESRPLKAFSGHQSEVNAIKWDPSGSLLASCSDDTTAKIWSVKQDTCLHDFREHAKEIYTIRWSPCGPGTSNPNQQLLLASASFDSTVKLWDVEIGRLVHSLNGHRDPVYSVAFSPNSEYLATGSLDRCLNIWSV >Solyc10g007103.1.1 pep chromosome:SL3.0:10:1491035:1492518:-1 gene:Solyc10g007103.1 transcript:Solyc10g007103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFLHSQYLHKHQSILNHNKKRLTQEQVKRLEASFDSTKKLELDQKLQLAKELGVHPRQIAIWYQNRRARWKNQSLELDYTTLQLKLDTTLAEKKQIEKENERLKIELKKVNEMLIAIKQCEEGGSSSFQEDVSCSFVNNNNNNNNNNCESNLVLDD >Solyc07g055217.1.1 pep chromosome:SL3.0:7:63457464:63460284:-1 gene:Solyc07g055217.1 transcript:Solyc07g055217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFNFATQHVILGFESEIIKLSFLAEEKSKERIGTEQFKDLGQYTRKDGRRRHTADSSFYPFFSGEVRSYQADASSHLVFGCRMIVRMSHSKAIFISKWKGLLTPLNPSET >Solyc01g097350.3.1 pep chromosome:SL3.0:1:88119044:88123487:-1 gene:Solyc01g097350.3 transcript:Solyc01g097350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGGLTILDGTQLRAVDLSLPSTVDSSVTGAQLLDVAESKVSESLFGFSLPDTLKSAALKRIGVSDDLEFRRENLDRENALSVLRKYVDAIANELQDDPIVIAILDGKTLQLFLEDEDDFAMLAENLFTDLDTEDRGKIKRNQIQDALFHMGVEMGIPPLSEFPLLSDILKKHGAEGEDELGQAQFAHLLQPVLQELADVLSENPMVVLQKIKINNGSKLRMILTDEKQLSETVDKIMQEEEKDGLSTKDVIRHYLEKNGASLGLPPLNDEVVILLYDTVLGAVENGNTDAKTSKEDEFMVFLKEILEKFAAQLEVNPTFDDLVDN >Solyc02g014870.3.1 pep chromosome:SL3.0:2:19705052:19710789:-1 gene:Solyc02g014870.3 transcript:Solyc02g014870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVIIRFGHDWDETCMQMDEVLASVADTLKNFAVIYLVDITEVPDFNTMYELYDPSTIMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Solyc08g074420.3.1 pep chromosome:SL3.0:8:58661339:58666886:1 gene:Solyc08g074420.3 transcript:Solyc08g074420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERKVLNKYYPPDFDPAKIPRRRQPKNQQMKVRMMLPMSIRCATCGNYIYKGTKFNSRKEDVVGETYLGIQIFRFYFKCTKCSAEITYKTDPKNSDYTVESGATRNFEPWRGKDEEIEKEKQKRDDEEMGDAMKSLENRTLDSKREMDILAGLDEMKSLKSRHATVSVDAMLEALQRSHESKEKKMEEDDEALIRSIFQGSRETVKRINDEELEDDDDDDMAFFTRESAGSENNSLKKRKVSEEPDEKPTDFLTKASINDISKNKDKASIGDSKIILKSSSVKISIVKKPVLHSNSKQTVEVNKQDSQSTDAATSSGLLSLCQQYDSDDDD >Solyc01g067520.3.1 pep chromosome:SL3.0:1:76076666:76080910:1 gene:Solyc01g067520.3 transcript:Solyc01g067520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTAAPSKKISNKGSSSGQAVKFARRTSSGRYVSMSREDIDMSGELSRDYMNYTVQIPPTPDNQPMDMSIAAKAEEQYVSNSLFTGGFNSVTRAHLMDKIIESEVYHPQMAGSKGSSCSMPACDGKIMKDERGNDVIPCECRFKICRDCYMDAQKDVGLCPGCKEAYKVGDLDDEIPNFSNGALSLPAPDGSKGMIRRNQNGEFDHNKWLFETQGTYGYGNAYWPDDRDGDDGDRGGMPKTMLDTSADIPWKPLSRVLPMSHSLISPYRLLIFIRLVLLVFFLAWRIQHPNPEAMWLYVMSIICEIWFAFSWLVDQMPRMSPVNRSTDLVVLREKFEMPSPSNPLGRSDLPAVDIFVSTADPEKEPPLVTANTVLSILAADYPVEKLTCYVSDDGGTLLTFEAMAEATSFADLWVPFCRKHAIEPRNPEAYFLLKGDPTKNKKRGDFVKDRRRVKREYDEFKVRMNSLQDSIRRRSDAFNAREEMKMLKQMKENETDPAEAIKVQKAIWMADGTHWPGSWSIPSRDHRNDDHPGILQVMLKPPSSDPLMGGGDQDKLLDFSEVDIRLPMFVYVSREKRREYDHNKKAGAMNALVRASAILSNGAFILNLNYDHYIYNCLAIREGMCFMMDRGGEDICFIQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPMNVGTGCMFRRFALYGFEPTKPDKTPQKDVEAEALKATEVDYDFDVNVLTKRFGNSTMLAESIPIAEFQGRPIADHPAVKFGRPPGALRTPKEPLDATNVAEAVSVISCWYEENTDWGIRMGWIYGSVMEDLVTGYRMHNLGWRSIYCITKRDAFRGSAPINLTDRLHQVLRWAIGSVEIFYSRNNAILGTRKLKFLQRIAYINVSIYPCTSIFLVVFCFLPALCLISGQFVVQNFSVAFLVYLFGLSICIIGSAILEVKWSGVSLEDWWRNEQFWVISGTSSHLAAVVLGLLKVFMGFETSFTPTSNKPVGEDVDEAYAELYMVKWTPLMIPPIVIGMVNIIAVVVAFSRAIFAIIPQWGKFIGGAFFAFWVLAHLYPFAKGLMGKRRKTPTIVFVWSGLIAITLSLLWIAFANPAAGPAAVQGVAGGGFQFP >Solyc10g074827.1.1 pep chromosome:SL3.0:10:58598207:58611999:1 gene:Solyc10g074827.1 transcript:Solyc10g074827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSSSEREIAGNGGAGSGSSSSGVIEGTTLYSNRNGASTSKSSTGSLYSGSTSNSYSGNATHGYSSQSEGYSGGASSSSFGNKSKKFLLQCEHCGCRGHIKDQCYKIVGYPADFKSKRKPLKSGVFANQAEHVYSNDSEVRGSTTQSAGVTNANSQSGAFFTPDQYKQILKMLTTHGHDSTAQSSVNVAAVNDAGNRWIIDTGATHHITSKLQTLINAKQVHTHTDSSVHLPDGKEIQMKFKMKDLGELMFFLGIEFSRSSEGILMTQRKYALELISDSGLGGAKLAGTPLEVNKKLTSLQYDEQVSNECIKSDRVLTDPTKYQRLVGRLLYLTMTRPDLAFSTQVLSQFMHCPKESHMEAALRVVRYIKEAPGLGLLMPTDNTTQLTAFCDSDWGACMETRRSVTGYLVKLGEGLISWKSKKQETVSRSSAEAEFRSMAACAAEKAGLEKCTSQPTPMAVSSSTNGADTPFADITHFRSLIGALQYLAITHPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFGTLGRGLLIRPWDLELRGFSDSDWANDKNDRKYTSGFLVFLGPNLIS >Solyc02g082270.3.1 pep chromosome:SL3.0:2:46528264:46534174:1 gene:Solyc02g082270.3 transcript:Solyc02g082270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQMSPGGSSSGGGSGSPFTGRGPYGVGDTTYTKVFVGGLAWETKSESLRSYFEQFGDIIEAVVITDKHTGRSKGYGFVTFHDPEAAWRACTNPNPIIDGRRANCNLASLGRPQGLLPYGRLRSSMPYLGNPQASRGMYMGSPYYQLPVPYSYQPGFSYPPYRFPAYGPDYVYPQMYGIPSTVGTNTLQFGQLGAQPGSPAYAYRGHLMTGPHMVQYQRPNASGAMTDNVPLMQLPYHSVKVLDYPISSGITMPSPRQPQTAVQARPPQFTQSSGSDQMAG >Solyc10g084670.2.1 pep chromosome:SL3.0:10:64233137:64240669:1 gene:Solyc10g084670.2 transcript:Solyc10g084670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFAKPESALKRAEELINVGQKQEALQALHDLITSRRYRAWTKTHERIMFKYVELCVDMRRGRFAKDGLIQYRSVCQQVNISSLEEVIKHFMHLATERAELARSQAQVLEEALNVEDLEADKRPEDLMLSYVSGEKGKERSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAKAVFLVLLCFLLKIIGWDLVCNLKVTAKSGLSCVPFELAASLLSVDNNSISMTAHRAFQFCKQYKRTTELRRLCEIIRNHLANLNKFRDQRDRPDLSAPESLQLYLDTRIEQLKVSTELGLWQEAFRSIEDIYGLMCMVKKIPKPSLMVVYYGKLTEIFWMSSNHLYHAYAWLKLFSLQKSFNKNLSQKDLQLIASSVVLAALSVSPYDKLYGASHLELENEKERSLRLANLIGFDVEARSEKKEVVSRGVMACVTQEVKDLYNLLEHEFLPLDLALKVQPLLKKISKLGGKLSSAASVPEVQLSHYVPALEKLATLRLLQQVSQVYQTIQIGNLSKMIPFFDFAAIEKISVDAVRHNFVAIKVDHLNGSVLFGKQSFYSIALCAASGFWDMGMCSIEAEGLRDHLSLFAESLCNARLMIYPPAKKVAKLGDALSNLAEIVEKEHKRLLARKSIIEKRKEEQERLLLEKERVEESKRREHQKMTEEAEQKRVSAELEQRRNQRILKEIEDRELEEAQAMLQEAEKRSKRKKKPVLDGEKMTKKDIMELALHEQLRERQEMEKKWQKFAKVMDYLERAKREEAAPLIESAFQRHLAEEATLHEREQQQEIELSRQRHGGDLVEKSRLGRMLENKRIFQERVVSCREAKLNSKKQERQEQINRIIQTRKQDRDTRRKLLFFLRKEEEQQKRLQEEEDARKHEEAEKRKREEADRKSKLDAIAEKQRQRELELEEKKRLEREVVLGKSMPMSLEPSTIGRPSEAGATAPAATAAAPTPGKFVPRFRREKIDVAGQSPPPETDRWSSGGRRDERNSFGGGSRTSWSSSRRN >Solyc10g085920.2.1 pep chromosome:SL3.0:10:65057189:65062881:-1 gene:Solyc10g085920.2 transcript:Solyc10g085920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTQSSINHRRDEESTMSISQNTPPSSPKTLKHPRSLPRSINYILKEQRLLFILVGILIGSTFFILQPSLSLLNPSSPIPKSYHGFDSVPAGIHHTSTVTTPYRIAGSVTGRVPVGIGRKRTRVVVTGGAGFVGSHLVDKLIKRGDDVIVIDNFFTGRKENVMHHFGNHRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIISFVYISIWIEFDYEGTSDMFKCCFIIFFNLDVLEILNCDYKTNVMGTLNMLGLAKRIGAKFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLMALMDGDHIGPFNLGNPGEFTMLELAEVVKEVIDPSATIEFKANTADDPHKRKPDISKAKELLNWEPKIPLRDGLPLMVNDFRNRILNEDEGKGN >Solyc07g065850.3.1 pep chromosome:SL3.0:7:67577707:67581699:1 gene:Solyc07g065850.3 transcript:Solyc07g065850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:K4CHR1] MQKWFSAGSGGGDDRYVEQQQASSSTPSLLADWNSYASAKSSDESSSTAFIGSFDLESAVRSANDTVSGTFNVVSKGVRDIPGNLQSATSNIPSGKALMYFGLFMATGVFFIFMAFTLFLPVIVLVPQKFAICFTLGCSFIIGSFFALKGPKTQFAHMFSMERLPFTLGFMGSMIGTLYVSMALHSYILSVLFSVIQVLALAYYAISYFPGGSAGLKFLSSSMISSVLKCFGR >Solyc07g054800.1.1.1 pep chromosome:SL3.0:7:63114279:63114530:-1 gene:Solyc07g054800.1 transcript:Solyc07g054800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRAWIVAASVGAVEALKDQVGLCRWDYPLKCLAQHTKNNMRSYSQAKKLSSSLIAKSENAEQSEESLRKVMYLSCWGPN >Solyc11g072610.2.1 pep chromosome:SL3.0:11:56122320:56123986:-1 gene:Solyc11g072610.2 transcript:Solyc11g072610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDAASPRLSPLASTFQNTMNEGVADALFRLSGQRFIKLAKSPVSPPLAFPFNITSSGISLLNDDGVEMPGPEIHSISCQGHNDVINFGSEMMH >Solyc06g082130.3.1 pep chromosome:SL3.0:6:48092010:48094919:1 gene:Solyc06g082130.3 transcript:Solyc06g082130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNANGTSCIQNSHSSSVIASPDANLGRHLARRLVEIGVEDVFSVPGDFNLTLLDHLIAEPRLKNVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVINAIAGAYSENLPIICIVGGPNTNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVINNLEDAHEQIDRAISTALIERKPVYISVSCNLPATPHPTFSRDPIPFFLSPRLSSKKGLEAAVDAAVAFLSKAVKPVMIGGPKLRVAKACDAFAELADSSGYAMAMMPSAKGLVPEKHPHFIGTYWGAVGTSYCAEIVESADAYLFAGPVFNDYSSVGYSLLIKREKALIVQPDRVVIGNGPAFGCVLMKDFLSELAKKVKKNETAYDNYRRIFVPEGTPPKPEPNEPLRVNVLFQHVQKMLSADTAVIAETGDSWFNCQKLKLPEGCGYVTNKSLTAWYPFYFESLDGKSSCCRYQFQMQYGSIGWSVGATLGYAQSEPKKRVVSFIGDGSFQVTAQDVSTMIRCDQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGNCWTQKVRTEEELIEAIATATGEKKDCLCFIEVIVHKDDTSKELLEWGSRVCSANGRPPNPQ >Solyc10g018170.1.1 pep chromosome:SL3.0:10:6894571:6897002:1 gene:Solyc10g018170.1 transcript:Solyc10g018170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIISSKVETLPPTYVIPMHERPLASVPIVKEIPVIDLGEEQTVVAQQLVKALEQYGFFQVTNHGVPENLMDKAMEVYEEFFNLPMEEKENYANVAKILYTSNRKNYNSKEHKYLKEILEHNSNIDGQDKRIWPSNPPIYRDVIGSYSSEVRKLSMIIFDLVSKGLGLEEGYFGKDHEQKMIVHHFPVCPGSSSTLGMDGHCDPNLITIYQQ >Solyc02g081850.3.1.1 pep chromosome:SL3.0:2:46194286:46196064:1 gene:Solyc02g081850.3 transcript:Solyc02g081850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIDSTDEMGDEIQPRSYWRWSKHDFFPGDSFQNWSAYRSALSQTFTRLKDRVANRSDDAEEIVELRKESENEMKRCLSWWDLTWFGFGSVIGAGIFVLTGQEAHEHAGPAIVLSYVASGISAMLSVFCYTEFAVEIPVAGGSFAYIRVELGDFAAFITAGNIILGSIAGSAAVARAWTSYFTTLLNRHPNSLRIHTNLIDGFNLLDPIAVAILAITSIIAISSTRRTSYFNWIASAVNMVVISFVIIAGLAHANTSNLTPFVPHGPKGIFVAAAIVYFAYGGFDNIATMAEETKNPSKDIPLGLLGSMSIITVIYCLMALSLSMMQKYTDIDPNAAYSVAFQRVGMKWAKYLVALGALKGMTTVLLAGAIGQARYATHIARVHMIPPWFSLVHPKTGTPINATLLIRVASACIAFFSSLDVLASLLSISGLLISMMMAVALLVRRYYVRGITPQTNLLKLTFFLLVIIVSSVGTSAYWGLDPNGWLGYTVTVPLWFLATLAISVLLPQDRTPKVWGVPLVPWFPSLSVAINVFLMGSLGAQAFIRFGICTIVMLIYYIFFGLHATYDMAHQPKKPMTSRILEEDMGSARA >Solyc01g060010.2.1 pep chromosome:SL3.0:1:69339360:69340199:-1 gene:Solyc01g060010.2 transcript:Solyc01g060010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIPNSDVKHIASSGENSRWWVEKNVRAFYRDVNIKYIVVGNGISPFKDTSDLTSHLKAAMSRTYNSVYFTGLGYNINVTTSIDMTLMGKSYPPSHGSFRDDARKFFDPIVEFLRNTNAPLLLNFSYSSNPGQISLPYALFTAPNVVVQDGLYQYRNLFDAMVDSVYAAIDQIPGRPDQSSIRIIVSETGWPSAGGFGATTENAATCLRNLIQHAKMGTPRKPVPIETYLFAMFDEKHFGLFSPNKQPKYQQNLGTSDISAETNVTASSLISEM >Solyc10g076790.2.1 pep chromosome:SL3.0:10:59843582:59848957:-1 gene:Solyc10g076790.2 transcript:Solyc10g076790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX4 protein [Source:UniProtKB/TrEMBL;Acc:F8SS65] MYAEKQAEEAIVSNFNGTDRDGEEVEKLGEEDHSVFSVKSLLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGVVFQVFYGLVGSWTAYLISVLYIEYRSRKEKEGVNFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIAAVIHGQVENVQHTAPAKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLIATLYVFTLTIPSASAVYWAFGDQLLNHSNAFSLLPKDGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALVRLPVVIPIWFLAIIFPFFGPINSTVGALLVSFTVYIIPALAHMLTYRTPSARQNAAEKPPSFMPSWTIMYVINIFIVGWVLVVGFGFGGWASMSNFIKQVDTFGLFAKCYQCKPPAGQPPHLAPQATVHN >Solyc12g006220.2.1.1 pep chromosome:SL3.0:12:738810:741911:1 gene:Solyc12g006220.2 transcript:Solyc12g006220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRSPSLLSRNGSFRPENLGQNTLAIIGNLCFTIFVVGVLIFTIMAATYEPEDPLFHPSTKITNFLTSKSDATFKADDTVMKTGEDFIGANQKAFSTFINLTDVDVSLSATDSGTESDPDCHGKTDEPIDCNDPDVFHLLMRVAIEKFKDIHFYRFGKSVRGSNDSSCHMAWRFRPKDGKTAAFYKDYREFVVSRSENCTLSVVSIGEYHTGGNARKRKRKSKDRISGKSDEGFEKAAKTGGQNVALPEVGEAVNDSLPVVESESSFKRGKYLVYHGGGDRCKSMNHYLWSFMCALGEAQYLNRTLIMDLSICLSKIYTSSGVDEEGKDFRFYFDFEHLKNSASVLDQEQFWADWEKWHQKDGLSLHLVEDFRVTPMKLSEQKDTLIVRKFGNVEPDNYWYRVCEGETESVVQRPWHLVWKSRRLMDIVSAIASRLNWDYDSVHVVRGEKARNREMWPHLAEDTSPDSLLSSLKNKIDDGRNLYIATNEQETSFFNPLKDKYSTHFLGDYKDLWDEGSEWYLDTAKLHNGSPVEFDGYMRVSVDTEVFLRGKKQIETFNDLTKDCKDGINTCTSSS >Solyc05g008260.3.1 pep chromosome:SL3.0:5:2640847:2648906:-1 gene:Solyc05g008260.3 transcript:Solyc05g008260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKKAILVFLVLTLSLISGQEPEKIDTQKAPWRIHTLFSVECQNYFDWQTVGLMHSYRKAQQPGPITRLLSCTEDERKGYRGMELAPTFEVPSMSRHPKTGDWYPAINKPAGVVHWLKYSKEAENVDWVVILDADMIIRGPIVPWEIGAEKGKPVSAYYGYLIGCDNILAKLHTKHPEFCDKVGGLLAMHIDDLRALAPLWLSKTEEVREDRAHWPTNYTGDIYGTGWISEMYGYSFGAAEVGLRHKINDNLMIYPGYTPREGVEPILMHYGLPFNVGNWSFSKLDHHEDDIVYDCSRLFPEPPYPREITQMESDHNKRRALFLNIECINTMNEGLLLQHAAFKCPKPKWSKYLSFLKSKTFAELSRPKHLTPQSRQMMEIEIHEEVNKEPEKPPPKIEVKIHKEVVNEPEKPHPKIHTIFSTECSSYFDWQTVGLVHSFYKSGQPGNITRLLSCTDEDLKQYKGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWMNHVKTDAEYIVILDADMIMRGPITPWEFNAGRSRPASTPYDYLIGCDNILAKLHTRHPEACDKVGGVIIMHVDDLRKFALQWLHKTMEVRLDRSHWSKNITGDIYESGWISEMYGYSFGAAELNLRHVISDEILIYPGYVPKPGVNYRVFHYGLEYRVGKWSFDKANWRHTDLVNKCWAKFPDPPDPSSLDQTDNNSLQRDLLSVECATTLNEALRLHHERRKCPDPNTISTPKHDRVNQDRVDETRTNAETRRASAETRTNAETRTSAESRTNADTKTDAETRTNSETRADDEIRTNAEARMAVETTTSTKFGGVDEVQAFRHDEMPKNSSQESSQVETSNGTFTSMRFWIMVLWGVSIFGFLGVMSVMLKGRKGLKKRGKGYKSKRRTTYSGFWDTNGQDRHLRNAETA >Solyc01g107160.3.1 pep chromosome:SL3.0:1:94668394:94674091:1 gene:Solyc01g107160.3 transcript:Solyc01g107160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVSQSAGRELENPPKDGISNLRFSNHSDHLLVSSWDKTVRLYDASANALRGEFMHGGAVLDCCFHDDSSGFSASADNTVRRLVFNSGREDILGRHDAPVRCIDYSYATGQVITGSWDKTLKCWDPRGASGQEHTLVGTYTQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMNQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDLSESGQSKKYAFKCHRKSEAGRDIVYPVNAIAFHPIYGTFATGGCDGYVNVWDGNNKKRLYQYPKYPSSVAALSFSRDGKLLAVASSYTFEEGEKPHEPDAIFVRSVNEVEVKPKPKVLPNPTS >Solyc08g076840.3.1 pep chromosome:SL3.0:8:60862808:60866105:-1 gene:Solyc08g076840.3 transcript:Solyc08g076840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIELAASSIFSSPPSSSSYLKFPLFPNSIKLQNYNHSLNLSLKSILYPLPLPSRKQCTQLHCSAVEVTEPVTVQEEKTEKPQKNNQRRKLFVLNLPWSLTVADIKNIFAECGIVDDVEIIKTKDGKSRGFAFVTMSSGEEAQAAIDKLDSYEVSGRIIRVEFAKRFKKPPGAPPPSTPPQGEARHKLYVSNLAWKVRSTQLREFFSANNNPISARVVFDNASGRAAGYGFVTFDTKEQAEAALSALDGKELMGRPIRLKFSERDADGSENKEEAPTQENPEEP >Solyc04g070980.3.1 pep chromosome:SL3.0:4:57909962:57912507:1 gene:Solyc04g070980.3 transcript:Solyc04g070980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKVAEGGSPWLRTTNNHVGREVWEFEADFGSPEDRAQIEKFREHFTKHRLEQKHSADLLMRYQLSKENQGISILPQVKINDNEVITEDNVATTLRRALSFYSTLQNNDGHWAGDYGGPMFLMPGMIIALSITGALNAVFSSEHKREMIRYLYNHQASPF >Solyc07g015990.1.1.1 pep chromosome:SL3.0:7:6105253:6106080:1 gene:Solyc07g015990.1 transcript:Solyc07g015990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNIFLLIFLFIIKFCISLASSNKTDQQALLAFQNLVTSPSHLLVNNWTINTCFCSWFGVTCNSKRQRVVALALPSLQLQGTISPSLVNLSFLRELNLGNNFFCGEIPYGIGHLPRLRVVDIQNNQLQGSIPTSLFQHQTVRKISLAFNKLNGEMWKGSWYVPKHRDLNLRNNSLTGIIPPYVGNTTKLMNLDLSGNRINGSIPMEIGNITQLTELFLDDNELTGLIPATLFNISSLLKAALGINSLIGPLLLDEGIVVSNLKSLSVRTVNKQV >Solyc06g051420.3.1 pep chromosome:SL3.0:6:34870258:34883362:-1 gene:Solyc06g051420.3 transcript:Solyc06g051420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSSSHSPRTVEEIFKDFSARHAAVLRALTTDVEDFYSQCDPERDNLCLYGHPNESWEVAVPAEEVPPELPEPVLGINFARDGMERRDWLSLVAMHTDSWLLSVAFYFGARLNRNERSRVFTLINDLPTVFEAVTGRKPLKDKPSVDSGKKSKNNAKREKQMKANQRLQEESDDEDEGNEDEHEETLCGSCGTNGNEDEFWIGCDICEKWYHGKCVKITPAKAQSIKEYRCPSCSNKRAKHMA >Solyc01g111220.3.1 pep chromosome:SL3.0:1:97458475:97464058:1 gene:Solyc01g111220.3 transcript:Solyc01g111220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCSVFKIEETSIKEIHQAFAQGKLTARELVDFYLHQIETLNPLLRGIIEVNPEAQNLADEADRHRVNSTGTGSFLGELHGIPVLLKDTFGTKDKLNTTAGSYALLGSQVPRDAGVVEKLRNAGAIILGKASMSEWYKFRSLSGVPNGWCARSGQGVNPYCPSGSPCGSSSGCAISVAANMAAVSLGTETHCSIICPADHNSVVGLKPTVGLTSRAGIIPMTPLWDTVGPICRNVTDAVYMLDVIVGSDPRDEVTAEAAKYIPEDGYKQFLTEDGLKGKRIGIVKHPFVEMIHGATEKSAFEHHLDLLRQEGAILVDKLSILHIEEIMDSNNSGEALVMMVEFKSSINAYLKELITSPVRSLADIIAFNERNSELEKLAEFDQHTFIEAEKRDGYGEEEKKVMDKLKNFSQNGFEKMMKDHKLDAMVVPGSRASPVFAIGGYPAITVPAGYESDGMPFGICFGGLKGTEPKLIEIAYAFEQSSKVRRPPSLVCYILAKFAMNVSALLTLTAISLFGWSYIDTCHAFSIKEASISDLQLAFIQNQLTSRQLVEFYLGEISRLNSVLKSVIELNPDALLEADRADRERNDAKESASSLSMLHGVPILLKDNIATKDKLNTTAGSFSLLGSVVPRDADVVKKLRRAGVIVLGKASLSEWAHTRALKAPNGWSPRGGQGRNPYVLSADPCGSSSGSAISVAANMVSVSLGTETRGSILCPASSNAVVGIKPTVGLTSRAGVIPVTPRQDTVGPIGRTVTDAVHVLDAIVGFDHNDAAATAAAAKFIPHGGYTRFLKVDGLNGKRIGIVRDPFFNFTNNPALAQAFEKHLQTLRLQGAVLVDNVKIANLETILDFNLSGEASAVLAEFKIALNVYLKELIDSPVRSLSDIIIFNRKNPQLEMLKEFGQDIFLAAESTNGIGETEIKALRNLSRLTRSGFVKLMNKYELDALVTAGSSVAAVLSIGGFPAISVPAAYDKRVPIGICFSGLKGSEPKLIEIAYGFEQATLIRKPPTFLP >Solyc05g043232.1.1 pep chromosome:SL3.0:5:56515791:56517036:-1 gene:Solyc05g043232.1 transcript:Solyc05g043232.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYEVPKCSKHYCHDWTSCPFTHQGEKARRHNPRKYNYFPISLCHGVFEHWLHPAKYRTTLCQAETSCNRPICFFAHTLKELRPETKYNWCYVYLCPLYIQSYPIPCNPHLQPPPPDQCYDNTTFGLGNCSNPQRIPLKISSQSHSKFVYSERMKTLELGSTSHAKINKIHDDNGKRIVDFGSLTHKYRDDNGVDLMWVG >Solyc01g011500.1.1.1 pep chromosome:SL3.0:1:9389816:9390013:-1 gene:Solyc01g011500.1 transcript:Solyc01g011500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACETYKDILIEFYNIGNRGRYYKVVCFYLLDFIVVFLDNYHVLSVCDAIVLASTTCDITTRFC >Solyc05g016500.1.1.1 pep chromosome:SL3.0:5:17373021:17373206:-1 gene:Solyc05g016500.1 transcript:Solyc05g016500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEGRHHKPLHVHIGKASLCRLIASSISQGLSALGRRHRPTRRGVGQDTLVLYSRIGYDM >Solyc03g078290.3.1 pep chromosome:SL3.0:3:51745188:51747594:1 gene:Solyc03g078290.3 transcript:Solyc03g078290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEEFQHILRVQNTNVDGKQKIMFALTSIKGIGRRFANIACKKADIDMNKRAGELTAAELDSVMVVVANPRQFKIPDWFLNRQKDYKDGKFSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Solyc11g012817.1.1 pep chromosome:SL3.0:11:5597271:5602464:1 gene:Solyc11g012817.1 transcript:Solyc11g012817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMVVIGRETIVMVDLMEGSSGHTRETCYKLHGYPKRKGITSNSYANNATGSNQGNEVRAFDNHSSSTNTTPTGTHGGVSLFTPEQYSQILQMLNKGKDIVSTTANVATTSTAGMITAFMSDVVNRNWIIDTGASNHMVHNASLMTQYRNLDDKSNMHVNLPTGSQTSISHIGESLVLTDKTTHNSTTEVPNIDKSKVEKELLVILVYVDDLLVTGSSLHHIQQVRKDLQHRFKMKDLGELKYFLGIEFSRTNDGILMNQRKYALGLVSELGLTGCKPASTPLETNHKLTSIEFDECSGKVSNTEDTVLDDFGKYQRLIRRLLYLTMTRPDIAFVVQVLSQFMHSPKTSHMEAAIRVVKYIKGTAGLGLFMPSSKSSELTAYCDSDWAACVESRRSVTGYVVKFGNAAISWKAKKQNTVSRSSAEAEFRSMATTVAEITSQDISAKTSQKFDHKKFMDYSA >Solyc12g057010.2.1 pep chromosome:SL3.0:12:64046325:64049958:1 gene:Solyc12g057010.2 transcript:Solyc12g057010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECIVFITKINKKKMKVETLTLVLVNLAGVMEKADESLLPGVYKEVGDALHTDPTGLGSLTLFRSMVQSTCYPIAAYLAARHNRAHVIAYGAFLWAAATFLVAFSTTFFQLAVSRALNGIGLAIVSPAIQSLVADSCDENNRGMAFGWLQFTSNIGSLVGGYVSLLIAPITFMRIPGWRLSFHLVGLISVVVGILVRLFATDPHFPDDNLRSSSKVHGSSYSFISEVQGLLEEAKSVVKIRSFQIIVAQGVMGSFPWSALSFAPMWLELTGFTHVETAILLSLFVVGDSIGSLFGGRMGDVLSRSLPNSGRIILAQISSGTAIPLAAILLLALPDDPSSIFMHGLVLFVSGFFISWTAPATNNPIFAEIVHEKARTSVYALDRSFESVLSSFAPPVVGLLAQDVYGYMPVTQGADSISTDRENATSLAKALFTAIGTPMALCCVIYSFLYCTYPRDKEKAQMEALIESEMQLLSLDPLSLTGQYSLVKSSENQESYNDEKTVEEMDYNEDGLDFEDGDDKTLIYRRPTSSNFAE >Solyc04g025715.1.1 pep chromosome:SL3.0:4:21259783:21265655:1 gene:Solyc04g025715.1 transcript:Solyc04g025715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKVIADVVAQNKHARLIDPNILAALSIPLPRESTSLQGAAACPAAPMEAYNNEEFAIGCALSIKTTLEGVSASGPKRDIRLLKANYIKEFSFLGQNQDPLDTSKCYLDLSSLQSREDSALRQAEIDAERFGIGVTAEAQNIFDALSKTYVSSYTY >Solyc08g065740.2.1 pep chromosome:SL3.0:8:54087988:54092260:1 gene:Solyc08g065740.2 transcript:Solyc08g065740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFLKKGIFEAHCGILATYGRKHVRGTTNISNAGIKNEKMFAQACSSRSISQFLIQKTKGTKWAVLVARSNGWTNYRHQADVCHAYQILKAGGLKDENIIVFMYDDIANNTENPKPGAIINNPHGHDVYKGVPKDYVGEDVNANNFYNVLLANKSGVIGGSGKVLKSGPNDHIFIYYTDHGAAGFITMPSGESIYADDLFNVLKKKHASGMYDRLVFYLEACESGSMFDGIFHKGLNIYAITASKPDENSFGTYCGDGTPDDPCFGQCPPPEFKGVCLGDLFSVAWMEDSDVQDRKINSLHGQYSRVAKRTAANLTHHNYGSHVQEYGDKVVSFDPLAAYMGETSKNHSHDSVDAKSFSTLSSRNVDQRSAELFYMFTKRSQVDNNVKHLGELLFGVEKGNEVLHTVRRAGQPLVDNWDCLKSYVKIFEAHCGRLTVYGRKHVRSIAKICNAGITSEKMDAMSAQACSS >Solyc12g042900.2.1 pep chromosome:SL3.0:12:59284179:59292493:-1 gene:Solyc12g042900.2 transcript:Solyc12g042900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLSSHLRTLHLLRSTPKTNSFAAAAAATTSVSSSQSPAAFRSSVTFFPRHFSTVSENVVKKVEDVMPIATGHEREELEAELQGRDILDINFPEGPFGTKEAPAVVKSYYDRRVVGCPGDEGEDEHDVVWFWLEKGKPHECPVCSQYFVLEVVGPGGPPDGHGSDDEGHH >Solyc06g008250.3.1 pep chromosome:SL3.0:6:2118719:2129401:-1 gene:Solyc06g008250.3 transcript:Solyc06g008250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIIAACKNGDSSLEDLDSYFPVRPECQADVPNTRFRPRAGRTLSERRWKAAFSQEGYLDIAGVLRRIQRGGIHPSIKGAVWEFLLGCFDPNSTFEERNELRQQRREQYAVWKSECQKLVPVIGSGKFTTNAIVTDDGQPIELANTTSNGHDTNNGMPVDDGVYEKKVVQWKLNLSQIGLDVVRTDRSLVFYENQANQAKLWDVLAVYAWIDKDIGYVQGMTDICSPIIILLENEADAFWCFERAMRRLRENFKSSGNSIGVQSQLRALAQIVKIVDPKLHRHLEELDGGEYLFALRMLMVLFRRELSFVDALYLWEVMWAMEYNPNIYLLYDKTLEQLPDKLNITQLKQYGKFERRILRTGATKQHDALAIFLVASVLETKKKQLMKEAKGLDDVVQILSEITGNLDAKKALNEALKIHKKYMSKVKKT >Solyc02g072190.3.1 pep chromosome:SL3.0:2:42073823:42075795:-1 gene:Solyc02g072190.3 transcript:Solyc02g072190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRFNNFFVSEQEDSENSPENSSDSPRSGLFNDNKMITSTSSPKRSRRSIEKRVVSVPIKEIEGSKMKGEISMPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRADPNMLVVTYSCEHNHPWPASRNNQHNHRTTTNTSCIINNNNNTKTKMKTIVSLTAAATTTTTTSTTTSNIAVSEEKVTGDFTRPSEPNSDEKFVNLGESSSSLISANEFGWFSDLIECTSTTMLESPILTQVDCDIDMSSTLTMREEDVSLFADLGELPECSTVFGRGMMERDEERRRHSLTSWCGTTG >Solyc04g014850.3.1 pep chromosome:SL3.0:4:5078833:5083333:-1 gene:Solyc04g014850.3 transcript:Solyc04g014850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINPSYRYANAATSSIDSLRSSSKSDPSSSTDLSPHPHNPHSSSHAITRLHAPSTTRHNFGSLVKKLVEHKSGPSMKSKPKGELKLIVPADFVAKRGNGLSALHKKLFKGVVKRDEGSEKTKKALTEVKANTRSLAMVLRSERELLSMNKEQENEIEELKLVIEEKNKEVEKLKDLCLKQREEIRSLKNALLFPDVMNSQLQELVEKQGSELKQANQLIPSLQKQVTSLTGQLRCLAYDLAEVKADKYPLRGCYDSLDSSPRSPEYDQEEAVNSLEFSSENTISPSSPDDMLLKDLNPCLTPYSAKTKSKEFEFNSPDDKNLLRNNIQVYHDTSYSYSSCASKVSKSSGCCQSSNAANNSIRAARGSDESKYTYRKQIHNMF >Solyc05g007950.3.1 pep chromosome:SL3.0:5:2373207:2374771:-1 gene:Solyc05g007950.3 transcript:Solyc05g007950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extracellular ribonuclease LE [Source:UniProtKB/Swiss-Prot;Acc:P80022] MASNSAFSLFLILLIITQCLSVLNAAKDFDFFYFVQQWPGSYCDTKQSCCYPTTGKPAADFGIHGLWPNNNDGTYPSNCDPNSPYDQSQISDLISSMQQNWPTLACPSGSGSTFWSHEWEKHGTCAESVLTNQHAYFKKALDLKNQIDLLSILQGADIHPDGESYDLVNIRNAIKSAIGYTPWIQCNVDQSGNSQLYQVYICVDGSGSSLIECPIFPGGKCGTSIEFPTF >Solyc04g076740.3.1 pep chromosome:SL3.0:4:61720079:61727494:1 gene:Solyc04g076740.3 transcript:Solyc04g076740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGRYLSSGSICKPYKACNSKSYRSASSCTPLPLSKVIVTCVKRSPAPHQYPTSCSKGFSFLDLSSASSLLLGGEYGGLLNAIPQLPRRSQLSFSPRASKDVPYSYRFPPMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDLEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTISRWMPLAVYWGKVGMHFWTAVAFAYLFTVLESIRCALAGMYADVPFVCDAAYIQIPYD >Solyc06g011540.3.1 pep chromosome:SL3.0:6:8023289:8024236:1 gene:Solyc06g011540.3 transcript:Solyc06g011540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAMDVVGFSEKEQSLRSHSFLFQEAIFRVVASALNLGNLQFTGGKETDSSMLRDDKSKLHLQNIAELSMCDLKDFEDALSKCVMVTTEEVINRILIMMLQHMYKWAF >Solyc07g007260.3.1 pep chromosome:SL3.0:7:1992584:1993635:1 gene:Solyc07g007260.3 transcript:Solyc07g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKFASLFTIFLVAIVAHDNSFYSTKIHVMAQDAVDPVCYKPCKTHDDCSGALYCEACRRAAGPK >Solyc02g071265.1.1 pep chromosome:SL3.0:2:41317801:41320192:-1 gene:Solyc02g071265.1 transcript:Solyc02g071265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAISTWHARSVKRGCLKFVKWEGKLGCCFVSNKHFGIYVKLARKLHKIKNFNKPLQSVTRAECLSNGNNLTSSLDMSSIRAAGSPWPGFKPGNTLISTQPSGEGAWCTTLSKKSDRTR >Solyc01g010460.3.1 pep chromosome:SL3.0:1:5300822:5303852:1 gene:Solyc01g010460.3 transcript:Solyc01g010460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLILTPTHLSFSPIPTPIVINSPKRLHCRRKAAIFNLVLGKNMGSFQVFKKLSKKRVGDSSYCLCRKGLGSEGDMELEEEILAFMEISENPNVFPTRKELEKAGRVDLVEAIKKRGGWFSFGWDSEDDDTNTVNVNVNEVESVEMNFDIEEFHERVKRCQESDLLHENEAHFSGSGDSSSSQPASSSGRSIETVAEENSGIEGILSRLEKERNSSLGIDLGKPGHTSHTSSRDNIDDRSFGTSDADRTDLRKNESLSKGSSMKDIQSDEEIYRSVSPARLRKWSIQRAGLQDIDFEAAEVALGKSWIGSTSEASGDDVVNITASRFEALQRSKNGNNNEMSTRLKHLELELSMTLRSLKSKSEEFSSKEVLICVFCFS >Solyc10g006410.3.1 pep chromosome:SL3.0:10:1013705:1022788:1 gene:Solyc10g006410.3 transcript:Solyc10g006410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGEDKFKQFDFHLRSLSSSARDSNFVTDPASDPSLLNSVKSLCDLCRSEKSEDLIARVYPHLNRIFQRCLSSISQSQTSNGLLLLAILQFFLDFGDVVLHDADPNLRTFFKSCLSREFADPVVAEATLDFLNANKKKFSSSFPTLLPQFFPLLLKLIAWNGEKLEKAFRRVFPGLISQGSFLPLFPSIIDLPILVVALEKVEKSSGSLVGSSIASIQKSSAPEMLLALMDEAYTGSTIGDGGADSESEDSSTMAVADPFFLELLKDENDGLAERHWASPAVAAAIQSAVSTSMSDRLKQALRITPRLLDMYTAIAIREVNDSLICALVPLLMARYSNLFPEKLFLYEVQKRILELMLAGFNRSPSFIALLKKPIVDRLGEAYDSPSKTELALQLCWAIGEHGGGGEAHKDAARELFESLELLLYENLSSSRVRLRESALGSDSAKSRKSSQSRLLCFVVTAIAKLATYHRELLPRARVSLTKVARSRISDARVWKRARDYLGLMNEPAICLSILGPCESPSKGIQKLGTVNWSEGGTKMISHLPFYLLGAQEGPPHDFSLMDVLPGS >Solyc09g011935.1.1 pep chromosome:SL3.0:9:5225566:5228464:1 gene:Solyc09g011935.1 transcript:Solyc09g011935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAVMLYFNGRWDPSNKYINYLADGVLIHTESTFATLVSVIATQLSIDTSTNTSGDMRVIHNSYFSNTFNGIDEAIGLIGFGSCEEVYKNKYVLTSSLKRHSILNHFQFKTTRSSAIRSKATFSGFHLGKKYENILRRNKTASEKLKVVETNKYVYTVLDGITQFTFTGITQLSLRLGNVLLSIIVRLGNLGDAWFNSIVTII >Solyc11g066820.2.1 pep chromosome:SL3.0:11:52922533:52930602:1 gene:Solyc11g066820.2 transcript:Solyc11g066820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVQATASDIAGQIGMMWEVLKAPLLVPLLKSAVYICIVMELMLFVERLYMGIVIVLVKIFMKKPDKRYKWIPMADDDLEIGSADFPKVLVQIPMFNEKEVYKISIGAACNLSWPSDRLVIQVLDDSTDPIVKDMVETECLRWASKGLNITYQIRETRGGYKAGALKEGLKHNYVKDCEYVVIFDADFRPEPDFLRRSIPFLIHNPEIALVQGRWRFVNSNECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGTGGIWRIAAIDEAGGWKDRTTVEDMDLAVRASLKGWKFVYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIIRNKRVNFWKKFYVIYSFFFVRKIIAHMVTFFFFCVVLPLTLLVPEVEVPIWAAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMAYHRTKATFIGLLEAKRANEWVVTEKLGDALKNKDKSKPVKKARGPLFGDRILPQELGFAAFLFFCGLYDVLYGKRQYFVYVFLQVITFTIAGFGYIGTIVPS >Solyc07g043490.1.1.1 pep chromosome:SL3.0:7:57445235:57446686:1 gene:Solyc07g043490.1 transcript:Solyc07g043490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEK7] MAGENLHVLFLPFLSAGHFIPLVNAARLFASRGVKATILTTPHNALLFRSTIEDDVRISGFPISIVTIKFPSAEVGLPEGIESFNSATSPEMPHKVFYALYLLQKPMEDKIRELHPDCIFSDMYYPWTVDLAEELHIPRILYNLSAYMCYSIMHNLKLYRPHKQPDLDESQSFVVPGLPDEIKFKLSQLTEDLRKPEDQKSVFDELLEKVRVSEERSYGIVHDTFYELEPAYINYYQKLKKPKCWHFGPLSHFASKIRSKELITEHNNNDIVVDWLNAQKPKSVLYVSFGSMARFPENQLNEIAQALHASNVPFIFVLRPNEETASWLPVGNFEDKTKKGLFIVGWVPQLTIMEHPATGGFMTHCGTNSVLEAITFGVPMITWPLYADQFYNEKVVEVNGLGIKIGIDVWNDGIEITGPVIGSAKIREAIERLMSSNDSEEIMNIRDRVMAMSKMAQDATDEGGSSWNNLTALIQHIKNFNLN >Solyc10g080090.2.1 pep chromosome:SL3.0:10:61606120:61611237:-1 gene:Solyc10g080090.2 transcript:Solyc10g080090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPKTPVTPAAPTENHEMVHEHEMQEHEMMQEHEMVEHEIVLGNEMVPGNGMVQDYEMIPGNEMVLAEPQPNYVETPETQPGKHRKKKSIVWEHFTIENVAVGTRRAQCKKCKQSFAYSTGSKVAGTSHLKRHIAKGSCPVVLRNQQNDQLTPYSAPPKMTGYGGNNGAPKRRYRTASTPYVAFDPDHCRQEISKMIIMHDYPLHMVEHPGFLTFVQNLQPRFDMVSFNTVQGDCVATYLREKQAIQKVIEGVPGWICLTLDMWSSHHTVGYVFITGQYVDSEWKIHRKILNVIMEPYPDSETAFSHAVAACLSDWSMEGKLFSVTINQPLGDAAIDNLRALLSVKNPLVLNGQLLVGNCLARTLGSIAQDAFKVVQGTVKKVRDIVKYVKTSESHEEKFIELKQQLQVPSTKMLTLDDQTQWNTTYEMLLAATELKEVFSCLDALDPDFKDAPSLEDWKQVETLCTYLKIFFDTANLLTAPTIPTTNTFFHEAWKIQLELARAAASEDPFTSRLTKMMQEMFDNYWKSCCLMLAIAVVMDPRFKMKLVEFSFSKIYGEEAAAYVKTVEEGIHELFNEYVALPLPLTPTYVEEVNGSGMRQEDGQGLDASCNGLGLTDFDVYIMETSSQQSRSELDQYLEESLLPRVHEFDVVGWWKLNRIKYPTLSKMARDILSVPVSTVTADSIFSTVSKEMDHYRCSLRPETVEALICAKDWLQNASLDTSPIPIKMEVPI >Solyc06g007115.1.1 pep chromosome:SL3.0:6:1164408:1164777:1 gene:Solyc06g007115.1 transcript:Solyc06g007115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVIEYWNKHSEEDKIGCDVSRSKGKKPEEIRKEFDIKNDFTPKEEEEIRKEN >Solyc05g052650.2.1 pep chromosome:SL3.0:5:63725610:63726191:1 gene:Solyc05g052650.2 transcript:Solyc05g052650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSSLTKSNYPAMPSATVNLVSKCTIFPSEKSSPNYLKLSVSDLPMLSVHYIQKGCLFTRPPFPIPQLISLLKINLSHTLTDFPPLAGRFVTDSDGYVYINCNDDGVDFVHATATHICIRDNG >Solyc03g114940.3.1 pep chromosome:SL3.0:3:66280551:66282922:-1 gene:Solyc03g114940.3 transcript:Solyc03g114940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPEYSLLFIPSTVVLNLELLLFFLLFFAVFAFWLTPGGLAWSFSKARVSIPGPSGLPLLGLVLTFTGSLTHRMLAKLSNGLKAESLMAFSVGFTRFIISSNPETAKEILGSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISATHLFSPKRIACFGDFRREIGSKMVTEIASLMETDGHVKVKRVLHFGSLNNVMKTVFGKTYDFHEEDGRELEYLVSEGYELLGIFNWSDHFPLLGWLDLQGVRRRCKELVARVNTFVGKIIEEHRLNRVINAGNAADEDFHDFVDVLLDLEKENKLSDSDMIAVLWEMIFRGTDTVAILLEWILARMVLHPDIQTKVQSEIDAEVGTDRAVCDSDLPNLQYLQAIVKETLRVHPPGPLLSWARLAIHDTSVGHHFIPAGTTAMVNMWAITHDEKIWSQPEEFMPERFLEEDVSIMGSDLRLAPFGSGRRVCPGKAMGLATVQLWLAQLLQEFKWFPSDKNNVDLSECLKLSLELNQPLICKAVSRVH >Solyc10g086530.1.1.1 pep chromosome:SL3.0:10:65445232:65447517:1 gene:Solyc10g086530.1 transcript:Solyc10g086530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFNRFPTTVNGFNLENQSLLSFSDNWKNNEPIIGAIYPDQKIVNAPTFENSFIQQTVPSLSNDPSTTSNIALTSEMGTEDEYNEDFDFSDTVLSYINQMLMEEDMEDKTHMLHESLELQAKERSFYEALGKKYPPSPHQNLAITEQNGEIPEDYYSASLYSSTSNVIDTSGYLIDPSISNDHNYSYEQGLFICNGPYSSISSSNSINNLGDGFLDSPVSPLHIPDIYNDSHPIWKFRKGVEEASKFLPTYSKLLDNVVINDLLPQAKRGESGCAAAQVEKRDVGATSPTGPRGTKNPHRDDRDSEEEEERRSKQAAVYAESTVRSDEFDVILLHSMGDGREALTAYRESLKNARTKPTVQSKGFAVGRGGRGKKQSGKKEVIDLRSLLINCAQAVAADDCRSATELLKQVRLHSSPFGDGNQRLAHCFADGLEARLAGTGSQIYKALVNKRTSAADFLKAYHLYLASCPFRKISGFTSNKTIIRKSKHATRVHIIDFGILYGFQWPTLIQRIAAREGGPPNLRITGIEFPQPGFRPAERIEETGRRLSDYAKSFNVPFEYQAIAKKWETIRVEDLKLEKDEYLVVNCLYRFKNLHDETVLSDSSRTLVLNLIREINPDIFIHGIVNGAYSAPFFVTRFREVLFHFSALFDMLEANVPREFPERLLIEREIFGREALNVIACEGWERVERPETYKQWQVRHLRARFTQTPFEQEEIMNMAVKKVRTSYHKDFIIDQDNKWMLLGWKGRTIYALSCWTPI >Solyc06g072770.3.1 pep chromosome:SL3.0:6:45013723:45018581:1 gene:Solyc06g072770.3 transcript:Solyc06g072770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTVQVNNVSLGAKEQDIKEFFSFSGDIEYLEMKGESERSQVAYVTFKDPQSAETAVLLSGATIVDQSISIVLAPEYKLPPTASVSPVPNETTTAPAAGSAIQKAEDVVSSMLAKGFILGKDAVGKAKALDERHKITSTTSATVASIDQKYGLSEKITTGATIVNHKVKEMDQKFQVSEKSKSAFAAAEQTVSNAGSAIMKNRYALTGVTWAAGALSRVTKAAGEVGQKTKEKLAEEERRTFTKGHAQENTATSTKGSVQQQAADSAKGDVQELTPASAKGDVQELAAASAKGDVQELATASAKGDVHELAAASAKSDVQEHAATSAKSDVQEVAATSAKQEHTETQTGVEESTNPSLPPKA >Solyc10g024470.2.1 pep chromosome:SL3.0:10:14301530:14306334:1 gene:Solyc10g024470.2 transcript:Solyc10g024470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKNKNSDLVSDTETAPAPAPAPAPAPPCDDVNESEGAAEGDVGIQQQELTANDQKPKLAEGFYEIETVRRKRIRKGKIQYLIKWRGWPETANTWEPVDNLMTCYDVIDAFEASLQSGKQRKRKRTHGVNDSQIKTKQQEEHHSPADAVKLRIIEEPVPLPTLDHVDRNASGFNNFKVANDNDLMLDSSLKKIEEQNKLNLKLTELKGLMATIEASVEKGAIEASVEKGAKEASVDNGALATKEASVENALLATKEASVVKGFMTAKEASVENRVMATKEAAVVKGFMTASVVKGVVTTKEASVENVVMTTKEASMDEGAMAAKEASADRSNNTFTNGFSMADGTESLQSGRCTGAKRRKSASVRRFIPQATSGVVKDLQDAVANATSGHLVALLQEEVHDQGLVGNVLGCNNKCDRFKDTYAITEIIKAESYSPPDIENGLKDVSVTFLVKRSDGTEDVVDNKFMKTNNPLLVAVYLFLLLILSSS >Solyc04g080940.3.1 pep chromosome:SL3.0:4:65072208:65075352:-1 gene:Solyc04g080940.3 transcript:Solyc04g080940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSGSSTTKRIMGFAMPEKMQLHLAMLALQFGYAGFHVVSRAALNMGISKIVFPVYRNILALLLLLPFAYFLEKKDRPQLNWNFTIQFFLLAVIGITANQGFYLLGLDNTSPTFASAIQNSVPAITFLMAVLLRQVLSIYTLSTIETVRLNRKDGISKVCGTLLCVAGASVITLYKGPTIYSPNPPLQRSSPMLLALGDANGKNWTLGCIYLIGHCLSWAGWLVLQAPVLKKYPARLSVTSWQCFFGVIQFLIIAAFCERDPQAWLVHSGAELFSVFYAGVVASGVAFAVQIWCIDRGGPVFVAVYQPVQTLVVALMASFALGEEFYLGGIIGAILIISGLYFVLWGKNEESKFAKAAAAAIQSPVDNCNNRPTSHVKSSLAQPLLASSTENA >Solyc06g050440.3.1 pep chromosome:SL3.0:6:33135091:33137374:-1 gene:Solyc06g050440.3 transcript:Solyc06g050440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4C5I8] MACFKSAIVLFFLVTLVGSSSAQLTTGFYSKSCPKLYQTVKSVVNSAIQKETRMGASLLRLFFHDCFVNGCDGSLFLDDTSTFTGEKRAQPNFNSARGFEVIDNIKSAVEKVCLGVVSCADILAVTARDSVVILGGPNWDVKLGRRDARTASQGAANNSIPTPTSNLNRLISSFTAVGLSTKDMVALSGAHTIGQARCTSFRGRIYNETKNMDASLARTRQNNCPRASGSGDNNLAPLDLQTPTRFDNHYFINLVNKKGLLHSDQQLFNGGSVDSIVKSYSNNPSSFISDFVTAMIKMGDIRPLTGSNGEIRKNCRRIN >Solyc09g007290.3.1 pep chromosome:SL3.0:9:886193:890310:1 gene:Solyc09g007290.3 transcript:Solyc09g007290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGQGSSRSPASPNGGGSHESGGDQSPRSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYREGDTKGTSKAADGSTKRDGMQPGPNSQLAHQGSYSQGMNYGNSQGQHMMVPMQGTE >Solyc11g007650.1.1.1 pep chromosome:SL3.0:11:1875066:1876082:-1 gene:Solyc11g007650.1 transcript:Solyc11g007650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIIHEDLAKYDAKSDFAKHGQDVFVYNENKKIKKKKKVVFEKLHLKPELKINKDKPYLLYSCEGVLMFVSSKWKSTYYIVNPITQEELTVRYTPGEVFVCALYFCPYTRQFRVLIAQLQDTCCTYFVHIVKIWKCEKIHSSISFNFLPNSFNPVVVNGALHWITLHDLKRKGVAPCENGIMVFRMDKEELFTMPHPPVSKVCKSNQDHLAMKLMVKDDHLCLCNMILPWYIVDMWWLEDYETRSWIKRYKINLLNERIFPFSKRLSEREIRHRHAWNVKFLYLQEGGLLIYLIHFYGEEIYLYNLDRRTVKKLELPREKLLASREWALYHKSFMAIV >Solyc09g009940.3.1 pep chromosome:SL3.0:9:3401936:3409081:-1 gene:Solyc09g009940.3 transcript:Solyc09g009940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATASFTSTMASHHFFPLSKATLSSSKLNPTCNSSSSWIGSTHSLSFSSRNSFTRDTWRVINSKNVVRREMSGVIRAEMFGQLTSGLENAWNKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQSVSEEAVGTGLIRGVKPDQQLVKTVRDELVKLMGGEVSELVFAKSKPTVILLAGLQGVGKTTVSAKLALYLKKQGKSCMLIAGDVYRPAAIDQLVILGKQVDVPVYAAGTDVKPAEIARQGLQEAKKKNVDVVIMDTAGRLQIDKTMMDELKDVKRVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMRQEDAEDLQKKIMSAKFDFNDFLKQTRAVARMGTMSRVIGMMPGMGKVTPAQIREAEKSLKIMESMIDVMTPEEREQPELLAESPARRKRIAQESGKTEQQVSQLVAQLFQMRVRMKNLMGVMEGGSIPALSNLEEALKSEQQAPPGTARRKRRSEPRKQFADSGSARPSPRGFGAKN >Solyc03g111310.3.1 pep chromosome:SL3.0:3:63409700:63414490:1 gene:Solyc03g111310.3 transcript:Solyc03g111310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEEGCQTPRSPEAKVGMQVEDLWDIQEPQLSPTEKLNACFENIPVSDFPPAPSNQVIEINSDATLAEAVKLLSQHKILSAPVVDVDAPEDATWIDRYIGIVEFAGIVVWILHQSEKMEGTSAFDSVMNGADDTLSPALAAAVNGVASPRFRSLHPESPTATSGNFFETLTSSDLYKNTKVRDISGSFRWAPFLALQKSNSFLTMLLLLSKYKMKSVPVVDLGEYKIDNIITQPAVIHMLEECAGLHWFESWGSKKLYELGLPLMKPSSIIQVEEDEPVLQAFKLMRQKGVGGVPVVESGGRKAIGNISIRDIQFLLIAPEIYKDYRSITAKNFLTSVRRYQGEHEKNSPFLSHMVTCRRGDSLKDVIMKLDSKKIHRIYVVDDMGNLEGVITLRDIISKLVHEPRGYFGDFFDGVLPLPANSRV >Solyc10g045623.1.1 pep chromosome:SL3.0:10:34882639:34885033:-1 gene:Solyc10g045623.1 transcript:Solyc10g045623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTQTVQPKVAKERKKRSRAWDNFGTFVDEEGNKKAKCKNCGQDYFADSVKNGTKAMLTHMLTCKKMPKVVDKSQTQIGFQSAQGGNTCDVVVVSWKFEQEQCRRDLCRMVIVEELPFKFVEKEGFRNFMKVAQSHFKIPSSTTVTRDCFKLYDEEKQKLKRFLSEARQRVSVVSIERVRKAVKFIRLSPTRCKRFQECCEDVDINCKKSLSLDVSTRWNSTYLMLNRVIEFENAFSSYSARDIGLLHYLQFVKDEDGKDENGSVVGALLSDDWDNVRKIANFLQIFHDLTREVSGSHYVTTNSHFLKICEVSCYLKKLISSEDDSDDLLGKIASNMREEFDKYWGTPKKMNKMIFISCVLDPRHKFVSVGFALQMMFGKEAPILEKGVRDYMEWLFGEYVKSLSKDKGSQHSSSLSSSSFENSSSLLSSSGSVVQSIGSLGTFMGDVMKHKAKNTTTVKTELQKYLAEENEVESKNFNILSWWKINLPRFPILAKMARDVLAIPISSVVSECVFSTGGRILDSFRSSLNPKLVQTLVCLQDWIRSKSRPISVEEDIDVLEQLEQDLANTSILDD >Solyc07g062620.3.1 pep chromosome:SL3.0:7:65445355:65449779:1 gene:Solyc07g062620.3 transcript:Solyc07g062620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFGLCLGGKASPRHNHVVEIDEEISTIENARVYSYRELRAATEDFCPVNKIGKGGFGSVYKGRLRDGKMAAIKVLSVESKQGVKEFLTEIKVISNIEHENLVKLYGCCAEGDHRILVYNYLENNSLSQTLLGGAHSSLQFSWITRTKICIGVARGLAFLHEEVQPYIVHRDIKASNILLDKDLTPKISDFGLAKLIPADLTHVSTRVAGTLGYLAPEYAMRGQLTRKADVYSFGILLLEIVSGRCNTNKRLPIEEQYLLERAWRLFKKGELIQLVDALLGDDFDVVDACKFLKVSLLCTQVMPKSRPSMSTVVKLLTGEMQVNDEEISEPGMLSDLVSLRNQKNTSSDTLSAGSGKQVDSSSSANTTATHGTMTFTTIDDRKS >Solyc01g010504.1.1 pep chromosome:SL3.0:1:5421796:5424108:1 gene:Solyc01g010504.1 transcript:Solyc01g010504.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKCVTKFFNSHSMIHQGGTKYFLNGSEFYCIADQQKEYGKSSVSRLVQIRNSVEFLFYIPVETCCNFLNPSTGEVRYLPKLNDDRSLLYYWLGFEPKGNEYKVLLTRDGNGLYIKQCVFTLGIDKSWRKTQRISRSILYKPGFCINEVIYRFVFHGGTLAIDAFDLKTESFKLIVLKNSSNWWYYELIEVQGKLPIINCPKWSCEYFNLRVLRQIQKEEEEWESHIIHYPSMWKHIPPKIIPHFILSCMFCDGQILFILNLESCALWLRYNITRQSWRKLEIKGLPTNHRIRGIYSYRETSYIKRKGGGTLRTHLKGSTQQNDK >Solyc12g089350.2.1 pep chromosome:SL3.0:12:65463260:65466962:1 gene:Solyc12g089350.2 transcript:Solyc12g089350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFCSSLLFSLHYMMFLFLLCEGKTQLLSKNSTIKAVFAFGDSIVDQGNNNNITTIVKCNFPPYGKDFVDGKATGRFTNAKTPADLIVEELEIKELMPAYRDPNLQTEDLKTGVSFASGACGYDPQTASIVSVIPLSTQLNYFEEYIGKLKGLVGEKEANKILNNSLYLVVAGSNDLANTYYTIGFRQKQYDIDSYTDFMVDKAEHFIQELYKLGARKIGVFGIPPIGCLPFQRTLSGGLSRVCVEEHNQAAQLANTKISLAINGSLSNKLPQSKLVFIDIYDPMLDLILNPKKHGFEVVDKGCCGTGNIEVVILCNRYMSSTCEDDTKYLFFDSYHPTERGYRILVDQMIKKYINSFI >Solyc06g064720.1.1.1 pep chromosome:SL3.0:6:40452497:40454752:1 gene:Solyc06g064720.1 transcript:Solyc06g064720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVETCGKATLSAGEPSTKRSITSIYDDDEVVGFENDAQIIMKKLIRGTKERDLISIYGMPGLGKTTLARKVYNNPYIVNYFDVKTWCAVSQAYNRRTLLVEIFKQATDDKIKIKEDDDVADMLRKVLIGKRYLIVLDDIWDVEAWEDLGICFPQGECGSRVMVTTRIEQVAKHLQHHSHPYSLSFLSSKKSWELLEKKVFRGESCPPDLLEAGLQVALHCKGLPLVVVLIAGMLAKMDRKSSLWLKVANDLSSLALGEQSMKVIQSSYDHLENHLKPCLLYMALFPEDHKITVDDLLKLWMAEEFVLNVKTENMEEAYRVCLSDLLNKSLVMASGIRANRDVVHCSLHDVVREFCLRKLTEDKYMHSTDSRLCIYIHDDLVKQLDYSEYQLDKIPMLGFKETNSLEFIAHPKLSTWNNRYWNPLDLVVKLRSVRALHLMEVSLPDSWATAIQSLTELRYLTLHVRQFEFEWISHLHHLQTLQLKLRNYKSIRLRAATIWEMKKLRHVNIYSFPVVWEDINDEGGFETSMLENMKTFRTCNIRLDNMNTRLWWRFPNLEELGLKVEDEPKFPLFPIPEVHTRLHSVSLRFSVMEVFNSVGWERYFVFPSNIRHLDLTGFFLTEEMVLNIARLKKLESLKLFLGFPFGRSEYHYWDVTNVEFPALKYLRLDVMRIVEWKASEESFPVLEKLVIKGGYIKEIPPSFADIPTLKLIQLINCMESVGVSAMNIKREIEENTGCDNLHVVVVKPK >Solyc03g115843.1.1 pep chromosome:SL3.0:3:66911789:66912431:-1 gene:Solyc03g115843.1 transcript:Solyc03g115843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTNWSKNFHSSHDIDQLTNSTSMPELKTTNMLLPQLRSITSKELGCDPRGFSRAGKDTKIWYCVVLVGRYSQELNEMREVSSFNSPFFLMKASRL >Solyc07g056240.3.1 pep chromosome:SL3.0:7:64209008:64213726:-1 gene:Solyc07g056240.3 transcript:Solyc07g056240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLSSVFVQPKSFLIPCTHKLYYSSLRRAALSEQGDGRVTKEEELPTYSGSLSSARTQLDLLEQLTSSSSEVNGYESDSTSGKPTIRDQLANLVGSRDDDFSIPLGKNLKKFSAKFLTTSQKRNIKRQAYLNEVSRRNDSTFFATIGAFVILPPLVILGIALATGYVQLFP >Solyc04g050265.1.1 pep chromosome:SL3.0:4:46432930:46434547:-1 gene:Solyc04g050265.1 transcript:Solyc04g050265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGILGLNSINCDDSEEYLNQSLEILEIKDGNEDLFGWWSRRSDAFPTLSKMVRDVLAIQTSLVESAAAFSGAQPPMIENSEQASE >Solyc12g044200.2.1 pep chromosome:SL3.0:12:59980972:59987617:-1 gene:Solyc12g044200.2 transcript:Solyc12g044200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSYGLVDKVTGCLIKPVARWIGYFVYYKRNITCMENESEKLENIRIGVDQRAEANRRNLQVISPNVEAWFTSVATITAEVADVTRRGRNEVDRYDWCPNLKSRYSLSRRAKRIALELIELRNEGNNYAVFCYPAVENEPLPINSAEEFDSRKLQEDEVMAALNDDGVTTIGICGLGGVGKTTLAEKIRRKAKQEKLFNDVVMVIVSQQQDPKRIQEEIARGVGLTLQGNDLLSRGDQLRTRLMAHNSRTLVILDDVWEALYDLEKLGIFTGSNHNYRCKVILTTRLRPVCDIMKAQKIMEIGTLPEEEAWILFKEKVGNSVDDPSLLDIAKDVSKECKGLPLAIITVAGALKRKTKPSWEDALKQLCSADTRNIPGVHTKVYGPLRLSYDYIESVEARYLFLLCSLFEEDSDIWIEELLRYGKGLGIFSEMKNLEDARNRVFFLIEILKDSFLLSQGSDKNYVKMHDVLRDVAIYIASEEEHKFMVRHDVNSKEFPKKDTYEQYCHMSIVANEFEELPRPIFCPKLKLLMLKIFSGNPVKLQDNFFNDMGELKVLSLMGRYHEGSICRFPASIQRLSSLRTLHLINLDLEDISIIGKLVNLEILSIRDTRLDELPEEIGNLTKLIVLEFLNENKVDKRISTGVLSRLVRLEELHLTGVKDCSCSILMELEFLSALTALSLYECSEDVTYSKLVLPSKLTRYNIKVGCAYEDKMYDYDKSIALEVTETTPLAGWICHLLKKSEFVTSSGEGSNNVLNELQPNEFQNVKCLHLSACNLVTHIFNISRMTHEVIKFPNLYVLKLQDLECLTHFCSDNVDGIEFPQLRKLTFRNLPKFQNWWPTANNFITHPNPLFHEKVSFPNLEKLYIHVANNINVLCSDQLPTAYFSKLKRLRVSNCGNLRNLMSPSVARGLLNLRSLWIEGCSSMEEVITKEEQLGEEIMTLFPRLEELRLDNLAKLRHFFLTKRVTEFPFLREVTIRECPYMKTFVQQGIPVSLESTVNNDDEVKTMFNSKVSFPNLEELITWELESITALCSDQLSSAYFSKLKGLDVWDCGNLRNLMSPSVARGLLNLRSLRIKGCASMQEVITKEEHLGKEIMTLFPLLEEVLLVNLPKLRHFFLTKSVTEFPFLREVTISKCPKMKTFVQKEISVCLESTMNNDDEVKAMFNSKVSFPNLEELRIWELESITALCSDQLSSAYFSKLGRLRVWNCGNLRNLMPPSVARGLLNLRSLGIEECASMEEVISKEEQQGEEIMTLFPLLNELKLKELPKLVHFFLTEHPLKFPFLREVTIHECPEMNTFVQHGISVSTPSLESVNDGYEVKVDDLNKWTQQWFNSKEQKASQGTTNGDESVAVDD >Solyc02g070050.3.1 pep chromosome:SL3.0:2:40400605:40405323:-1 gene:Solyc02g070050.3 transcript:Solyc02g070050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQGFTVDLDKPLVHQVGHLGEAYQEWVHQPIVSKEGPRFFASDFWEFLTRTVWWAIPTIWLPVVCYSISVSIRMGRTVPEVALLVVFGIFIWTLMEYTLHRFLFHINTTSYWGNTIHYLLHGCHHKHPMDGLRLVFPPAATAILLVPFWNLIKLLATPTTAPALFGGGLLGYVMYDVTHYYLHHGQPTVEVPKNLKKYHLNHHFRIQNKGFGITSSFWDKVFGTLPHSKSAKNR >Solyc02g030460.2.1 pep chromosome:SL3.0:2:26422191:26424439:-1 gene:Solyc02g030460.2 transcript:Solyc02g030460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSMLRDYRKGNWTIQETMVLIEAKKMDDERRMKRQGGDIGNSSSSERGNINKPGELRWKWVEDYCWRHGCLRSQNQCNDKWDNLMRDFKKVREYERRVSEKLLLAQGNDDENVIKSYWKIERIERKEKNLPTNMLPEIYEALVQVVDKRGQKMLVGSSFNPTTTSMSPTLQQLQIATFPLPLPPPPQLLPPRPPLVQPPPPNIPPTQPLPTMCDSSDHDKSEHSDSPAKRRRKGKGGEASGTSGDHHNINNLQEVGSAIFRSATIIAKTIKASEEGEDRRHNEMLKLHERRLQIEESKAEINRQGINGLVDSINRLANSILSLASNNNQPPPPK >Solyc05g043330.3.1 pep chromosome:SL3.0:5:56830040:56834504:1 gene:Solyc05g043330.3 transcript:Solyc05g043330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAYYKFIPCLIIIFVQLTIIIPCVIGGKVPAIIVFGDSSVDAGNNNQISTVLKSNFEPYGRDFYGKMPTGRFCNGRIPPDFISEAFGLRPFVPAYLDPMFSITDFAGGVCFASAGTGYDNATSQVLNVIPLWKEIENYKEYQKKLEAYVGRKKAKYIIQEALYLVSLGTNDFLENYYSIQSKRASQFTIEQYQGFLIELAENFIKEIYQLGARKISFTGLPPMGCLPLERATNIIGGHGVACNEKYNDCALRFNVMLNGLVDKLNRELPGMKMVFSNPYELILQIIRNPSSFGFEVAGVACCGTGLFEMSYLCDKLNPLTCTDANKYVFWDSFHLSQKTNLIITNFLMKNVLNQFL >Solyc09g075665.1.1 pep chromosome:SL3.0:9:67736196:67736710:-1 gene:Solyc09g075665.1 transcript:Solyc09g075665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITISSHVSARLYLPKNTNVFCNVKIPVLVFYDGGGLVIGSAFFNDIHYFLNHLVFKSNVICVSLEYRLAPENDLSILYEDVGLASNASYKESWLTSYADFNRVFIGGEIFSLLQK >Solyc10g045620.2.1 pep chromosome:SL3.0:10:34840858:34850702:-1 gene:Solyc10g045620.2 transcript:Solyc10g045620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSVFQTAQIMPINTQLLPTSTKPLFPAIVIRRPVLRVGGTLRKINVIRASTSPVIDGDAIAGLERCFQATPSVDPPSSSSSTSMSAPVMKGGGKYGAFGAVTLEKSKLNLTQKQTQSSPEISTGGGGGNMGKNLNHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRMILQEGLVSSAQMVKFLAINARPTTARFISRSLPQGLSRAFIGRMIADPVFLYKLLLEQASTIGCSVWWEIKNRKERIKQEWDLALLNVLTVTACNAIVVWSLAPCRSYGNTFQFDLQNTLQKLPNNVFEKSYPLREFDLQKRIQSFFYKAAELCLVGLTTGAFQGAVSNLVVTRKKGRLSVTVPSVSSNALGYGAFLGLYANLRYQLLCGFDRAVVNHFDVIGVALFFSTALRVLNVRVGEASRLAWLGVEVDPLAHSDDVLKAYSRPTEGLDQPSSKWFLSKNAIVSGLGLLGIKQGQTGIASEGETPVPKARRKRIVRKKVTSQA >Solyc10g086320.2.1 pep chromosome:SL3.0:10:65299440:65312870:-1 gene:Solyc10g086320.2 transcript:Solyc10g086320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4D464] MSGSVAIVDSIGKTLSLSDRTKSLDPVSINENNRSRKWTNSEVNHTSESASFRIRDLFLPNGDSYSGSLLGKIPEGSGKYVWSDGSRYEGEWRHGMRHGHGKLEWPTGAVYDGEFSGGYMHGEGMHIAPDKVTYRGRWRFNLKHGLGYQVYPNGDFFEGSWIRGTPEGPGKYKWANGNVYVGNMKGGTLSGKGTLTWINGDSYEGSWLNGMMHGPGAYTWRDGGCYIGTWTHGLKDGKGTFYPSGSRLTAGQEFYLNALRKQGLLPDLRKQKRVSHISHSSSVDTGNVKVSGNKGSSRYSYDRLSKGDISNLEQSHPTNVTLERRWSLEVAIEKVIGNDLDGVDTGNDMNTPILEREYMQGVLISEVVLNDRFSPARTAAKRRQRKLVRESKRPGEAIIKGHRRYNVMLSLQLGIRYSVGKVTPGQRREVGASDFGACACSRMYFPKKGSQLTPSHQSEDFKWKDYCPVVFRNLRELFKIDTADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKLRFVVMGNMFCTELRIHRRFDLKGSSLGRSANKVEIDENTILKDLDLNYCFYLEPSWREALLKQIEIDSKFLEQQHIMDYSLLLGVHYRAPQHLRSPISCSGCTTTEGLGIVADDESLEDEILPQGLVLVPRGADDNCVVVGSHVRGRRLRTSSATGGDKEVDLLLPGTARLQIQLGVNMPARADHIPGKGDTRMFLEVYDVVLYVGIIDILQVYNLRKKIEHAHKSVLFDSMSISAVEPTFYSQRFLQFIRKVFPARSLVN >Solyc11g067080.2.1 pep chromosome:SL3.0:11:53118082:53123994:1 gene:Solyc11g067080.2 transcript:Solyc11g067080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWLDDLVDDLQSISFNSTTTTATDINRSTSSGSEATWTATASSISSSSLHHHITKPRAATPSGDPYWDAITRGISTSTSSAISLTDLRFLHRLGSGDIGSVFLAEIKAAPVTEISKSTAVSAYFAAKVMDKKELASRNKEGRARTEREILEMLDHPFLPTLYASIDSPKWSCLLTEFCPGGDLHVLRQRQTGETFYVSEVVVALEYIHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDTSTSTPAQVISSQNTPNGGPQQNEYKIEPPKFTASSCILPNCIVPAVSCFHPKRKRKKKAGHAGGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFELLYGVTPFKGIDHELTLANIVARALEFPKEPSMPAPVKDLISQLLTKDPARRMGSTMGATAIKHHPFFQGVNWALLRCTSPPFIPPPFSKEFLSDGSCPDTSVEYY >Solyc08g041670.2.1 pep chromosome:SL3.0:8:26425493:26428098:-1 gene:Solyc08g041670.2 transcript:Solyc08g041670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYENLNISLISDLFSRVVYNHVYITMTSTTLSNLPCNVLDKILGGLPLKDAVKTSILSKDWTYKWVTRAELDFRSEFYTYFNENQEAMKIIYQVLRLHQGPILKFALERPDLICDRDIDNWMLFLSKKNVQELTLQIFTGSEYHLPSHLFTFKQLRHLRLEMCFFQPPPNFKGFSNLISVNLQHVIFDPSIFRNLITKCPLLESLMLTRCTAFDILEIDAPTLKCFDFLGTSKSICLKNVPMLRTVVVCLNRIFMDTSSFSSNLTKFFHFVPSLEALELGGSSLEYLTMGGIPENPPAALNNVKSLCLSDMSFGNVEEVSSAVYLITSCPKLQELAIECEAVGIIMEPVIQFLRTKAISCNAMKLLKSVEMRYFIGFEMEIEFVKFILASAPGLEEIFIWSSGQFHRGTQMMDEMKQFHRKSPNVRFKFEEM >Solyc12g044430.1.1.1 pep chromosome:SL3.0:12:60337231:60337395:1 gene:Solyc12g044430.1 transcript:Solyc12g044430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYRISIKIYVVNWMEYVILLSVLCLPIQTEQEILTRVLCVSVWSKRVKLTNV >Solyc05g041280.1.1.1 pep chromosome:SL3.0:5:51811662:51811844:-1 gene:Solyc05g041280.1 transcript:Solyc05g041280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGESKEDQIKCTSKHSTLAANNGYNIVNFKFILKGTTRHKSTHLNFKENSQRIVTKQQT >Solyc02g070397.1.1 pep chromosome:SL3.0:2:40687814:40689419:1 gene:Solyc02g070397.1 transcript:Solyc02g070397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNQVKSQDCVKAQSSRGVLIAKLHYKLWGFTMIFLCDASSRRNKDEGAHLDSLGRPLASLILVKVHKENRLQLPPETCLSFWVYPARMKQNKVHQTPKLEQSKNKNDLTSFSPMSTALAIASSISATCCREESTFTEQIQRKGDRVLMLSNLIVDDCNII >Solyc05g012160.3.1 pep chromosome:SL3.0:5:5356809:5360341:-1 gene:Solyc05g012160.3 transcript:Solyc05g012160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSYGGGQSSLGYLFGNGEAPKPTTGNAPAVQSEGQAINKEPASKPTVSATVDATKQIPAGIQSTASRNHFGGDGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Solyc05g010140.3.1 pep chromosome:SL3.0:5:4310207:4317488:-1 gene:Solyc05g010140.3 transcript:Solyc05g010140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVSPPPSLAPIPVPPIVLSPPPQLSSPPPASQPNATAPPVSSLPPTLPPQSSPPPALPTLPQSPTPSNVTSPPPSNVTSPPPMASPPTESAPPTVSPPPIPPASSPPPVSSPPPSSSPPPQSSPPPASAPPPTSSPPVSSSPPPEVEPPPVSPPPQPTVPTSSPPPPPKDDPPPASPPPQPTTPPSSPSPPPKVDSPPFSPPPPAQNPDPTAPPQSPEPPKGSPPVNSPPSPASVPPRGSPPTPASDPPANTPPSPAFTPPQGSPPTPSLEPPKNTPPSPSVPSGGTTTDRPSDNAAGSANSSSSSGIGTGGTVAIGVIVAVLLLGIVGLVGWCLWKRKKKAFRPSGGNVMPTPSGSTPNSDSVLLKIQESTPDTRNGTGNKFLNSPGGSGGFGNPKIWFTYEELVKATGDFSAENLLGAGGFGSVYKGCLPDGRDVAVKQLDIGGRQGDREFRAEVEIISRVHHRHLVSLVGYCISENRRLLVYEYVPNNTLYFHLHAEGRPVMDWTTRVKIAVGAARGIAYLHEDCNPRIIHRDIKSSNILLDINFEARVSDFGLAKLAQDAKTHVTTRVVGTFGYMAPEYASTGKLTEKSDIYSFGVVLLELITGRKPVDTSQPLGDESLVEWARPLLSHALEKVEFDQLVDPRLERNYVIPEMFQLIEAAAACVRHSAAKRPGMGQIMRAFDNMSASDLTNGMKVGESTIYNSADQSAEIRLFRRMAFGSPDFSSDFFSQGTQHSGESAEDRV >Solyc03g115560.3.1 pep chromosome:SL3.0:3:66702768:66721872:1 gene:Solyc03g115560.3 transcript:Solyc03g115560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPFYSAGKSLLLLFDHHVQHLCKRHGKNLLDHSGNVTRPWIYQFESQSCQLSSFSKSEHHAQFSPDVQHNPPTHPIPSFLTSKNCIAFQEIFLISTMVSERNLSKNVCIIGTGPSGLVAARELRNEGHNVVVFEQNHDVGGQWLYQPKVEHEDPLGRNNILIVHSSIYASLRLTSPREIMGFTDFPFVVKKGRDPRRFPGHKELLLYLQDFCEYFGLREMIRFNTRVEYVGMLNYPEFGKDLRWIVKSKEKEAEEGVEEVFDAVVVATGHYSQPRLPNIKGMDAWRRKQMHSHIYRVPEPFRNEVVVVVGNSLSGQDIGMELVDVAKEIHLSAKSLDVSEGLSKVISKHQNLHLCPQIDSLHEDGRVLFVDGTSIDADTIIYCTGYSYSFPFLDTKGMVIVDDDRVGPLYEHTFPPSLAPSLSFVGIPRKLIGFPFFESQAKWIAQLLSGKRTLPSWDEMMQSIKEFYRSREIDGIPKHNTHDLANFEYCDKYADYIGFPHLEEWRKELCLSVLRNADKNLDTYRDSYDDSEMLQEAYQSPHFAHLGPETF >Solyc09g005800.2.1 pep chromosome:SL3.0:9:550755:551153:1 gene:Solyc09g005800.2 transcript:Solyc09g005800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFMKMEKCTCSHRRHAHVNLASRRAKKSILKRIKANLAITNTQKFSNGEEEAIKKFNLSRCQHKKKKKNQICKGKSHQMEIHQVTSHFNILLFVHTI >Solyc03g083590.3.1 pep chromosome:SL3.0:3:54972454:54984880:1 gene:Solyc03g083590.3 transcript:Solyc03g083590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPANLELEKNKLNPIATASHSSHRSQAPSTSPSVNLKLRKIPPKPGHHPVAEDSLPDEDANEEGDHESRSETSFTNEASVLGLNHIRTRSSPSPLRACNSFVTPFDGVDSVGSKSSSVVQHPAENSSEQGKKVPWSQSRPLKVPPPSISSAEGSHAASSKEMQSPRFQAILRATSGRRKRVPDIKSFSHELNSKGVRPFPFWKSRALGRMEEIMVMVRAKFDKLKEEVNSDLGMFAGDLVGILEKTSESHPEWRESLEDLLVTARRCTKMPPSEFWSKCEGIVQTLDDHRQELPVGTVKQAHTRLLFILTRCTRLVQFQKESGYDEDHILSFHQLSDLGFYPERISGSKSQDLSARRGQKYLGYEQSNLPVKHDRTNEVGDTLEVSTAKSVASSAANSYRMSSWKKLPSAADRSRRGNDSAETTPSKDKTDSLQHKEEMSHFGNTPLCPSENSEETSKVKKVNWGMWDQPCLSYEDSFICRICEVEIPTVYVEQHSRICTIADRCDLKGWTVNERLERVVDTLERILESWTPKSTDTGAGSPDVSRGSTLNMPEELNALLVKQNGLSQRCSEDMLDCLPESDSRCLLENANSLTDISKKQLLAGSLTPRSPLVTPRTGQIELMLSGRITVSEHESYQQINKLLDIARSVSNANNNDYSTLEYLLDRLEDLKYAIQDRKVDALVVETFGRRIEKLLQEKYILLCGHIEDERVDASNATGDEDSSTEDDSSRSMRASPINTLSKERTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNSVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLFSLLRNLGCLEEDMARIYIAELVLALEYLHSLNIIHRDLKPDNLLISPSGHIKLTDFGLSKVGLINSTDDLAGPSVPSSAYLGEDRSKMDENSIKREQRQKNAVVGTPDYLAPEILLGMGHGATADWWSVGVILFELLVGIPPFNAEHPQQIFDNIINRDIPWPKIPEEMSLEAYDLINKLLNENPIQRLGATGSGEVKRLPFFRDINWDTLARQKATFVPSADAHDTSYFMSRYIWNPEDENVHGGSDFYELSESGSASFGSSSYSNPQDEEGDECGNLADFSTPSLNVNYSFSNFSFKNLSQLASINYDLIGKNSKESVEAANPSVP >Solyc03g116110.3.1 pep chromosome:SL3.0:3:67106273:67110790:-1 gene:Solyc03g116110.3 transcript:Solyc03g116110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAIFNFLPSSSSSLSTLSFRNSRTQTPIFYKPLIVKASTSVDYSSSSLAGISTKNNNWVWKYKDNSVNIYYEEHHKGSDEPCKNILMIPTISDVSTVEEWRSVAKDIAGRDGKVNYRTAIVDWPGLGYSDRPKLDYNADVMEKFLVDFINAPDSPVNNSDKDLVVFGGGHAATLAVRAAKKGLVKPKAIASIAPTWAGPLPIVFGRDSSMETRYGFLRGTLRAPAVGWMMYNVLVSNEKSITSQYKSHVYADPEKVTPYIIESRFALTKRQGARYVPAAFLTGLLDPVKSREEFVQLFAELEGNIPCLVLATAGSPKRSKAEMEALKEAKGVSKYVEVPGALLPQEECPEIVAEQLYRFLQEIYEL >Solyc05g053565.1.1 pep chromosome:SL3.0:5:64492682:64495523:-1 gene:Solyc05g053565.1 transcript:Solyc05g053565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSNPRKSDPNRPPQAVKFSRRTSSGRVVSLSRDDDLDVPGDNQNDYINYTVMMPPTPDNQPGVDASGDKPDGPGPYGSSRFRTESQRRVSSRGGEDDDGGARGDNTSGLDRRVSVLKSNNNKSMLLRSQTQDFDHNRWLFETKGKYGIGNAFWQQDDDSYDHDTGMSMSDFMDKPWKPLTRKSKVPPEIISPYRLLIVIRLVVLIFFLTWRISNPNPDAMWLWGVSIVCELWFAFSWLLDILPKFNPINRTADLAALKEKFETPSPTNPHGRSDLPGVDVFISTADPDKEPPLVTANTILSILAVEYPVEKISIYISDDGGAIFNFEAMAEAVIFGQLWVPFCRKHNIEPRNPDSYFSQKTDPTKNKKRPDFVKDRRWIKREYDEFKVRINGLPDVIRKRCEMHNSKEEKKEKALAKENNGGSVPEDFKFQKATWMADGTHWPGTWYEPMADHKKGDHAGILQIMSKVPVNDPIMGGPNEKQLDFTGIDIRLPMFAYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYVYNSMAIQEGMCYMMDRGGDRICYLQFPQRFEGIDPSDRYANHNTVFFDGNMRALDGLQGPVYVGTGCMFRRYALYGFHPPRANEYTGFLGKNKKQANVALPSELDDDSQPLTGHPDLDLPKQFGNSTMFVESIAVAEFQGRPLADHITVKNGRPPGALLIPRPPLDAPTVAEAIAVISCWYCL >Solyc12g070140.1.1.1 pep chromosome:SL3.0:12:29629649:29630011:-1 gene:Solyc12g070140.1 transcript:Solyc12g070140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTKSVGIDFDDKPLWNHVKVILMAPNGGGNRTWSCNYCNNIVTGSYNRVKAHFLRLSGHCVQICKENSGDIYALLKMEHEQAERKRTNVQVDARKKDDYTSLPEGTDLIQQKKTKEFE >Solyc04g007990.1.1.1 pep chromosome:SL3.0:4:1647873:1648085:1 gene:Solyc04g007990.1 transcript:Solyc04g007990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKQGKIINNYNNLEDGVVIIHSQVRKIRQEMEKIRYPALQQPEFTGKQQQQRSRSPLGLAHRPISVGS >Solyc12g089140.2.1 pep chromosome:SL3.0:12:65212773:65239883:-1 gene:Solyc12g089140.2 transcript:Solyc12g089140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHELLSNGKPADPGLQIIRHPSYQSSCGKLLLSWLDIRVFYIRVSNFMVDDSTPEYLTLNHIPLSPDTLLEVNGTRCSMYSEGASCLLRRDRVDKKSEEATFVSTDSIRLTGSVKFEVFNKDDLVLSGFLEMSNSNGFVGESKNSGKRWNMNCESVMNAGTGFLKGKQIMDSESFSPTIEVFITGCFSGTPIILTKSLQLNHRKKHNRKGMLHSIPEHETDEQQKDVSSELDLQVTEYRKYELESEEDYNMYWRQTEYMDGEDGELSWFNAGVRVGVGIGLGICVGVGIGVGLLVRTYQTTTRNFRRLV >Solyc05g013960.3.1 pep chromosome:SL3.0:5:7433987:7442388:1 gene:Solyc05g013960.3 transcript:Solyc05g013960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLCCIAPVSIDRDKGNPAVVKSQSVTQSQLGFDNNVGVKPVSFSLRQSFTNAQVNTDSDLGLVNENEENGNDSKEFKGSVSVAGVLYKWVNYGKGWRARWFVLEDGVLSYYKVHGPDKIAMSPGKEKGLKVIGDESWRYMRKANVNNNRLNGSNKWKPFGEIHLKVSSVRASKSDDKRLSIFTGTKTLHLRCQSREDRTTWIEALGVAKDQFPRLLSSGDFASSEDFIVSTEKLRSRLVQEGMGEAVIKDCESIMLHEVAELQNQMKALQLKHVMLLDTLRQLETDKIELETTVVDETKERDSCCGQGRRFSDFYSIMSEGSASDSDADNESRYGGDAETDEEDGAFFDTNDFLSAESLRSASYRSREGIGNACSSLTSENVTITGHTREEMEVKTISYPYIKRRDNLPEPKEKDKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSHLVDQALEWGKQGDDLMRILKIAAFAVSGYASTQGRQCKPFNPLLGETYEADYPDKGLHFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIIIGKIYCDHYGAMRIKGSGKYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLLGKWDEAMYYVLGDPTTKPKGYDPMTEAILLWERDKSTPKTRYNLTPFAISLNELTPGLREKLPPTDSRLRPDQRHLENGEYELANAEKLRLEQLQRQARKLQERGWRPRWFSKDEDGCYRYVGGYWEAKEKHNWEGISDIFGHTGDPSPVIEEFAC >Solyc02g088500.1.1.1 pep chromosome:SL3.0:2:51210894:51212282:-1 gene:Solyc02g088500.1 transcript:Solyc02g088500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSDSIVNYAKPVHILFLPSGLGVGQMVPFFRLASMLASHRCRITFITMLLDISTTKSILINNFFANHPQINRIDFQILPLNTSTSSINDPFIMQIDAISNSLHQLDPLVSSLDEPVSAIVSDFILASSLSQIANNLNIPLYNISTTSAKFYSTVAYLPLLLSEDPDAFKYCSGNLEIPGIGSVPKSSIPRAWLDDSPSNYVLKDYLLPNARALPQVTGVFLNTFDWLEPETIASLDDGRVISSLPLVFPVGPVGNYNLGEDNQCSWLDEQPAESVVYVNFGTREPISAQQLREIGKGLEICGHKFLWVLKEELLELFGNTVLVEMEKKGKIMKPGDYEAAIMDHPAIGLFVNQCEWDSVMNAAWSGVPMMAWPQHGDQKLNAEVVEKAGLGRWVEEWGWGEENLVNGGEIAEMVKNLMGDVNMKVNAMKVREQAWKAKAIGGSSEKRLRKFIETLTAEQK >Solyc07g005250.1.1.1 pep chromosome:SL3.0:7:220837:221151:1 gene:Solyc07g005250.1 transcript:Solyc07g005250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGYRSCEHYQQKTVKRFHFCLNLYVAPAISVFLCLDTKLHNSNLWHASYSPTVALLSLNKRDISNWQHAPLLHINCCTNAAGPKPLSHGWDVFIKTVKVYG >Solyc12g010400.2.1 pep chromosome:SL3.0:12:3450399:3451449:1 gene:Solyc12g010400.2 transcript:Solyc12g010400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGAAGDAIFRGVFEGSISGHDLEISKRPYHRNCGCALHKSRGNCSHSSRNMNISYPIRRSWSEGCLSLVAAASAAASGHSSPCSSPTVTVADMSKRNLLPVNEDGEDLVFRKEMKNIKRTLPR >Solyc06g074260.3.1 pep chromosome:SL3.0:6:46047283:46048670:-1 gene:Solyc06g074260.3 transcript:Solyc06g074260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYQLGFPLFITLVLATLQLSSCYVLKGSVTCLDCSQHNDLSGIEVLVKCGQVKRLAMATTEEDGSFETKLPSTPPNKCYAKILGGPNQLFVSRIETDPNIVKSQEDDNSYTISNPLKFYTKCPSPNKSAKGKCHDEFGSSKTIDLPLPKEWGIAPTSYYVPVLPIIGIP >Solyc07g009337.1.1 pep chromosome:SL3.0:7:4384109:4384578:-1 gene:Solyc07g009337.1 transcript:Solyc07g009337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEKRTYTLLLLNSNFLTPWIFVLSFFSLTIHAHRCTQDFLFETHSRNLTLCKRYKHRNGVEFGWKVENTTANILKVRVVF >Solyc01g068000.3.1 pep chromosome:SL3.0:1:76862251:76867292:1 gene:Solyc01g068000.3 transcript:Solyc01g068000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEAEVMVQLQHTIPVLDVPCCSDLSDGPFFQDVKSPESTILQFIPSIRSGSFADTGPRRFMEDEHIRIDDLSAHLGSLMKFPKPSAFYGVFDGHGGPEAAAHVRKNVLRLLFSDTNFPETSEVDDAFLEGVESSLRKGFLLADLALADDCNVSSSSGTTALTALVLGRLLMVANAGDCRAVLCRNGEAIDMSQDHRPNYPSERRRVEELGGFIDDGYLNGILSVTRALGDWDMKLPRGSASPLIAEPEFRQIVLTEEDEFLIIACDGIWDVLSSQQAVSLVRRGLRRHDDPEQCAKDLVMEALRLNTFDNLTVIVVCFTSPDHQELSPMRQRRLRSCSFSAEALYSLQSWLDNKGSH >Solyc06g066050.3.1 pep chromosome:SL3.0:6:41516894:41522919:-1 gene:Solyc06g066050.3 transcript:Solyc06g066050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLCRSIASWIYRILACMGSCLGCCVNSPHVVSVDKPSKGLKVPSKRLRKTSLRDDFWSSSACEMENSTFPSQRSISSISTSNPALDPHSNSGTTSSTSEFVNHGLLLWNQTRQQWCGNKTPQKGASVREPKLSSDTNYVTLLGTNKLFPQPIPLPEMVDFLVDVWEQEGLYD >Solyc03g115150.3.1 pep chromosome:SL3.0:3:66407102:66433355:-1 gene:Solyc03g115150.3 transcript:Solyc03g115150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGERRRVGLIYDKRMKKHFDLEEVKHPESADRIQKIWNKLKDSGIAKRCTVMGGKEAKDEHISLVHNRKHIDFIKSISSKEEMFWKEMAKKYNSVYFNQGSSEAAYISAGCVIEVAEKVAEGELDSAFAIVRPPGHHAEPNQPMGFCLFNNVAIATKYLLDKRADLGIKKILIVDWDVHHGNGTQKMFWKDPRVLFFSVHRHDFGTYYPCDEAGSHKMTGEGAGKGYNINVPWEHGGCGDADYLAVWDHVLIPVAKEFGPDIILISAGFDAAIGDPLGGCCVSPHGYAVMLNKLLEFAGGKIVMALEGGYDKVSIANSVQACMEVLLKQKPIIGSSEAYPFESSWRVIRAVRKTLSPFWQSLSERLPVKITSMSSPYIQVVSSDSEDEYNTFSNRVSQHLEMDIENVIQPFSDLKVNDDTGITSQASNNSHTWREELSKIDIWYATFGSNMKLSRFLCYIEGGQVEGMRKPCIGSLDKSKPKEIKWESFPHRLFFAREHTATWGPGGVAFLHPECNSDEKAYLCLYRITLEQFNDVLLQENTSSFDMNYPLFDMTDLQSIEERKCVPVEAVKNGWYHNVLYLGKENGIPILTMTCQLSDVDNFKSGKVGMCKPSKEYANTLIRGLVEGKQLSEEEATTYIQEAASRAL >Solyc12g044280.2.1 pep chromosome:SL3.0:12:60100988:60103959:-1 gene:Solyc12g044280.2 transcript:Solyc12g044280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATLAAVQPTSGLAGSSIAGTKLHVKSSRLNVKSTKSRSASVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTASGDILPIKKGPQLPPKLGPRGKI >Solyc11g013060.1.1.1 pep chromosome:SL3.0:11:5917563:5917961:1 gene:Solyc11g013060.1 transcript:Solyc11g013060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQRVLRHRRYSRNSPEIYFLHNFTSSDSHNKPSNPSDHNRGHNRYVHFLNSLLPSKRLFVIPHSVSFCRSFSTVDLRIGNISDDSVVTQNENTDLLISENISANVEESILPVRALISLLDGYHDLTGFPW >Solyc02g092970.3.1 pep chromosome:SL3.0:2:54558060:54559114:1 gene:Solyc02g092970.3 transcript:Solyc02g092970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSISKFVKKLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVNTIPTIGFNVETVEYKNSSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRISEARDELHRMLNEEELRGATILVFANKQDLPNAMNVADITDKLGLRSLRQRRWYIQSACATSGQGLYEGLDWLSHNITGKARTLLPFNLLF >Solyc01g067147.1.1 pep chromosome:SL3.0:1:75369112:75373624:-1 gene:Solyc01g067147.1 transcript:Solyc01g067147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLCTGGCGCYHWDFQVSLDHGETLKHYSRLQGYKIFSWLVILLSAQSLDKTRNMKNNLKQISMAMMFGIKGLIAIYLGVSPRASKTT >Solyc01g067417.1.1.1 pep chromosome:SL3.0:1:75808192:75808710:1 gene:Solyc01g067417.1 transcript:Solyc01g067417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVKALSLIFMLFLFFVTFSSGDLIEDVCRRSSDYKTCIDALRADPKSSSADKKGLARILLQQCLTKTKSIYNKIVSLLEQVKEPIILQSLQVCKENYDSAIDDATSALKYFDANDIFGASSAATGIASAPQTCEDSFAEPPVRISPIKSIDKDFMNFIGLTITLLHQLKN >Solyc08g029406.1.1 pep chromosome:SL3.0:8:36373725:36375054:-1 gene:Solyc08g029406.1 transcript:Solyc08g029406.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFLGLEIARNSTGISVCQRKFCLDLISDLGLTGSKPASTPLEENHKLTSVLYDESVAASSGKPLNDEFLKDPTSYKKLIGKLLYLTMTSPDISYVIQNLSQFMHSPKKSHMEAALRVVRYLKNAPGLGIILSSEVSHALNFYCDADWATCPMTRKSVSGFVVKLGGSLISWKSQKQNTISRSFVEAEYRSMASATAEGTEYGNIENAFAVLPLVLLLLSRFSIVATSIEEGCKLSQHNHLYLPSFDKILVFSAYFQGKHPSIPSRTLRGKLLILFTPHVQAFIIV >Solyc05g041320.1.1 pep chromosome:SL3.0:5:52053771:52054411:1 gene:Solyc05g041320.1 transcript:Solyc05g041320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPENGQLIALLLKLTNPKKTIEIGVFTGYSLVLTALTIPDDGKASIIAIDLDRDAYQMELQIIKKANIEHKINFIQSSALSSLDELLNENDNRGIFDFAFIDADRVSYQKYHERMLELVKVGGIIVYDNTLWCGTVAMPEKCVNETMKPNR >Solyc10g086480.2.1 pep chromosome:SL3.0:10:65406212:65413913:1 gene:Solyc10g086480.2 transcript:Solyc10g086480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHRFQFLKWWRKLTRREWTIAAASLAILVFTLSFISHSNNSAIPANDLMIPFTPLSNAQQKSAFCLDGSLPGYHLQKGFGSGSDKWVLHIEGGGWCNSVETCSFRQTTKLGSSRFMEHEVQFFGILSSDPSQNPDFFDWNKVKIRYCDGGSFSGHPDSEFKNGTEFFFRGQVIWEAVMDELLSIGLSYAKKALLSGCSAGGLATLIHCDDFREILPKDANVKCLVDAGFFLNEKDVAGSPTIERFYQDVVNLQGVAKSLKKDCTSRLEPYKCFFPQEFISNIKTPVFLVQPGYDFWQIQNILVPRSSDPHQSWFRCKLNINNCNSKQLEVLQDFRNSLLKTLDGFHKNPEGGMFINSCFIHCQTWVTETWHSPRSPKINNKIKSDPMFTMRLRVRDSKMDKRLVDAKTIAEAVGDWYFNREEAKHIDCPFPCNPTCYHMNFTQG >Solyc06g069260.1.1.1 pep chromosome:SL3.0:6:43148590:43149051:-1 gene:Solyc06g069260.1 transcript:Solyc06g069260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARPPNYFFNHTQTRPPLTPIRTSFLQNLLKPHLPNSTQLMGFLTLVISGGILLLLTGVTITTVILGLIFLTPLILITSPIWIPIFVAAFGFLSLCGFGAVVTWVYKYFISRRSRSMEENYDHSTDTADVKDYGREFGGYLQYKEKDTAPGA >Solyc03g114310.3.1 pep chromosome:SL3.0:3:65805840:65810814:1 gene:Solyc03g114310.3 transcript:Solyc03g114310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLNWFKQIANNGKLERRLSLGEYKRAASWSKYIVSSGAAIKGEGEEEWSADMSQLYIGNKFASGRHSRIYRGIYKHREVAIKLISQPEEDGDLATFLEKQFTSEVALLLRLKHPNIITFIAACKKPPVFCIITEYVPGGSLRKYLHQQEPYSVPLNLVVKLALDIAHGMQYLHAEGILHRDLKSENLLLDEDMCVKVADFGISCLESQCGSAKGFTGTYRWMAPEMIKEKNHTKKVDVYSFGIVLWELLTALTPFDDMTPEQAAFAVCQKKARPPIPAACPPAVRKLIKRCWANNPHKRPHFEEIVTVLESYAEALEQDPDFFQSYQPPRNFTLSRCLPNCNRSDSAEA >Solyc09g064760.2.1 pep chromosome:SL3.0:9:62353641:62354940:1 gene:Solyc09g064760.2 transcript:Solyc09g064760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCTILISFAYVMSGVCNKHCKSFESLREHVSGKLFCPLAKVDCSAVFAERGCIFCLKICSSMDSLNKHNETCRLTTPQSIVN >Solyc05g012870.1.1.1 pep chromosome:SL3.0:5:6018917:6019984:1 gene:Solyc05g012870.1 transcript:Solyc05g012870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAANLTGLSPRLKSISLERDKNDDPELENSELEEDRHFHSMDALEILKETVTILRYNPIGFLSIAALLICPVSAVLLSNVLVDQYVVKKLMIRLLFLAKSSGLPLKPFINQSCQKFSEMVISAVVCFPLYVSLLLLSKAAIVYSVDCTYSRKNFDGKKFYIIVTKIWKRILVTYIWVCMVITGCLTLFLVLLVAVSSAFSVIGFPPDLILYTAMIVGIIFSIILANAMIICNIAVVISVLEDDSGPEALLRSSSLIKGQTQVGLLIFLGSTIGMTFVEGLFEHRVKIISYEDGSSRIWEGPLLVILYSFVMLIDSMMSTVFYFSCKSYRMEASNEESLPVLEALAISSALAEVQ >Solyc11g012705.1.1 pep chromosome:SL3.0:11:5476378:5477660:1 gene:Solyc11g012705.1 transcript:Solyc11g012705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQENIVILLSLLLIVPISWAINNPLIELSSREELAKIAGYGEEKLSTVFLHGTLLCGHTPHPVPGASVAVYCGTSGPGRVRKSSWAKNVTNESGDFIIDVPSHLHANIHDPNLCHIQILHLPKDYSLCHHHSTKRKTFTLTSSNIGVRVYTTPTIYLTPKASSRV >Solyc12g044900.2.1 pep chromosome:SL3.0:12:61185177:61199720:1 gene:Solyc12g044900.2 transcript:Solyc12g044900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPQSSGKSTLLNHLFGTNFREMDAYKGRSQTTKGIWMGRCVGIEPCTLVMDLEGTDGRERGEDDTTFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLLFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPQAHEETPLSEFFNVEVVALSSYEEKEEQFTEQVASLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQHMWEVIKENRDLDLPAHKVMVATVRCDEISNEKYDSFMKNEEWCQLKEAVQSHPVGGFGKKLSSILNTCLSEYDAEATFFDEGVRSSKRKQLEEKLLQLIQPAYQSMLGRIRSDTLQRFKEAFDKELKGGIGFAMAARECTGTCMSQFDEECADAVIDQAKWDSSRVRDKLKRDIDAHIAEARTAKLAEVTTLFETKLNDALAGPVEGLLDGAGDDTWPAMRKLLQRETDTALTGFSTALSGFEMDEQTKDSMVLGLKDYARGVVEAKTKEEAGRVLIRMKDSYDSDSMPRIWTGKENIRAITKTARSASLKLMSVMAAIRLEDERDSIENTLTVALGDGKPTKKGTESLDPLASSTWNEVSASKTLITPIQCKSLWKQFNTETEYTEASKRNNNWLPPPWAVAALLILGFNEFMTLLRNPLYLGVIFVAFLLVKALWVQLDISGEFRNGALPGFLSLATKFIPTVTNLLRRLAEAGQRKTNAAPQHNLTPASKGNDIDDDKMM >Solyc04g050050.3.1 pep chromosome:SL3.0:4:45255959:45260125:-1 gene:Solyc04g050050.3 transcript:Solyc04g050050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGNWFSSVKKALGSGNKKKDKKKQKSEKWSEKQQNDELDSLNAETALVCPGPPTPPQVEQMKLMEAENEQNKHAYSVAIATAVAAEAAVAAAQAAAEVVRLTAAACSSGQSKEEIAAIRIQTVFRGYLARRALKALRGLVRLKAMIQGQSVKRQATSTLRCMQTLARVQSQVRARRIRMSEENQTLQRQLQQKHEKEQEKLKASSQSGDDWNDSTRSKEQVDANLQMKQEAATRRERALAYAYTHQPTRRNPSKSTNQTFMDPNNPHWGWSWLERWMAARPWEDKCAMDKEPNSDEVAAESPASQSTAVAAITHRDFHLDNRPSPTAHKQNRPPSRQSPSTPRSKTGRIRPASPRVSNVDDDSRSMASAQSERCRRHSIAGSSVRDDESLASSPSVPSYMAATESARARSRLPSPLGFEKIGTPEKGSVSSTKKRLSFSASPGGVPRRHSGPPKVEI >Solyc04g078220.2.1 pep chromosome:SL3.0:4:63106961:63107174:-1 gene:Solyc04g078220.2 transcript:Solyc04g078220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSISHTLRFLIAENCAVAALVIMINGYVMLSFFLSKVNGLLFGFVACLGASAYVS >Solyc12g010073.1.1 pep chromosome:SL3.0:12:3212602:3216254:1 gene:Solyc12g010073.1 transcript:Solyc12g010073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHFSVNCGSDWVPTSQLQRSHGGWIRDFSIGGDRLFALHRYKDIFDVWETPRPYRRWSNISKQEEERNRLQYDSAITDHFIDGYPNCFGVLLDLLRTGELYIPPKIDRMLLYSP >Solyc12g049110.1.1.1 pep chromosome:SL3.0:12:61483999:61484187:1 gene:Solyc12g049110.1 transcript:Solyc12g049110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSSRFVGIVVLLLVLVSGIVSANMGMRTRRLLDGGGVGGFLDGLLGDLLGGSSSAGGGN >Solyc01g080160.3.1 pep chromosome:SL3.0:1:79203507:79211851:1 gene:Solyc01g080160.3 transcript:Solyc01g080160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLNAVEIARMAMRAPNSLSAEIEFGTVTWFVYAGISCFLVLFAGIMSGLTLGLMSLGLVELEILQRSGTPLEKKQAATIFPVVQKQHQLLVTLLLCNAASMEALPIYLDKIFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGASLVWLVRVLMVICYPIAYPIGKILDCVLGHNEVLFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSSVSIRRIPRVPADMPLYDILNEFQKGSSHMAAVVKIKGKSKKPPLVIEEENSQDVAVTGESSLLTIPRLKAKNDKSDSVVVDIEKVTVPAAVNSPTSGDSLTNALTQSSDDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHRRIRVAAAAAASVVARAPSIRRLTAQKAAGGQNKEGHSPKKSSEDLSTSRRIQGSLREPLLENKR >Solyc03g111650.3.1 pep chromosome:SL3.0:3:63778638:63781695:1 gene:Solyc03g111650.3 transcript:Solyc03g111650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKHYFISSSTSCTLQTNHYSYALNPLRIGRNPIFLRPFSQSSSPVFSNSSITRSTPSSLFLSFLEEDEEEERLILSETQELNQPDNDPIRRFFQTRTADQESDPDPGNLGKLSLQENRKTSWQLAPITASTEDEDVENIPKSLLETLPPSPRIEGIVSQIVEKAKNLPENVTLGEVLGEFEGRVGQEDCEEVLGLLGNEGLGIDCLYFFEWMGLNEPSLVTPRAYKVLFLILGRAGMSKELLLLFKNLPNRKGFRDVHVYNAAISGLLCCRRYDDAWEFYQSMAANCVQPDHVTSSIVITIMRKRGNSAKEAWEFFEKMNKEGVKWSLEVAGALIKSFCDEGLKKEALIIQLEMEKRGISSNAIVYNTLMHAYCKSNQIEEAEGLFAEMKKKRIAPTSATYNTLMDAYSRRLQPDIVEKLLLEMDDAGLEPNVKSYTCLISAYGRLKNMSDLAANAFLRMTKVGIKPNSYSYTALIHAYSVSGWHDKAYTAFENMQREGIKPSIETYTALLDAFRRAGDTQTLMRIWKMMMKEKIEGTRVTFNILLDGFAKQGCYVEARDVICEFGKLGLQPTVMTYNMLINAYARGGQELRLPQLLKEMAALNLKPDSITYSTMIYAFIRVRDYKRAFYFHKQMVKNRQVPDAESYEKLRAILDVKAAIKNRKDKSALMGIVRSSMGLLKEKKKGKKDEFWKNRKKGSRFQGQ >Solyc01g102870.2.1 pep chromosome:SL3.0:1:91426347:91428779:1 gene:Solyc01g102870.2 transcript:Solyc01g102870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVFIIILLSCSFLVVVSNARTLPENDQVGRLLAFKKSSVESDPNGFLNEWTSSSSSPCSWNGISCSNGQVVEINLSSVGLGGPLHLTDLMSLPTLLRVKFNGNHFYGDLSSIASSCSFEYLDLSANSFSEVLVLEPLLKSCDKIKYLNVSGNSIQGVVLKFGPSLLQLDLSSNTISDFDILSYALSNCQNLYLLEFSSNNLTGELNDLDFGTCQNLTVLNLSFNNLTSTEFPPSLANCQSLNTLNIGHNSIRMEIPSKLLVKLKSLKQLVLAHNQFFDKIPSELGQSCSTLEEVDLSGNRLTGELPSTFKWCSSLFSLNLGHNELSGDFLNTVISSLTNLRYLYLPFNNITGHIPRSLVNCTKLQVLDLSSNAFIGNVPSELCLAASGFPLETMLLASNYLTGTVPKQLVHCRNLRKIDLSFNYLTGSIPLEIWTHPNLMELVMWANNLTGEIPESICINGGNLQTLILNNNFLSGALPQSISNCTNLVWISLSNNRLSGEMPQGIGNLANLSILQLGNNLLTGPIPRGLGKCRNLIWLDLNSNALTGLIPLELADQAGHVNPGKVSEKQFAFVRNEGGTECRGAGGLVEFEGIREERLEILPMVHLCPSTRIYSGRTMYTFTSNGSMIYLDLSYNSLSGTIPDNLGSLNFLQVVNLGHNNLTGTIPFNFGGLKIVEVVDLSHNNLHGFIPPSLASLSFLSDLDVSNNNLSGMIPFGGQLTTFSASSYENNFGLCGIPLPPCRPGNEHHSSSIYHNKPTTIRMNTY >Solyc11g005010.2.1 pep chromosome:SL3.0:11:15483:19825:-1 gene:Solyc11g005010.2 transcript:Solyc11g005010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEGILASENTSLRCFVWLIVRDGCLQKKLKEKGSATLFKGNYLFVETYLGYAPPNSDRREGVLRRKRLDYLDCVAQYYDIQDIERTDEEINMLRQIAVDCPRTVPDVSFFQQAQVQKSLERILYIWAIRHPASGYVQGINDLATPFLVVFLSEHVEGSIDNWMMSDLPSEKISGIEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVRRIDEPVSTHMEEQGLEFLQFAFRWFNCLLIREIPFHLVTRLWDTYLAEGDALPDFLVYIAASFLLTWSDNLLKLDFQEMVMFLQHLPTHNWSHSELEMVLSRAYMWHAMFNSSPSHLAS >Solyc03g097880.1.1.1 pep chromosome:SL3.0:3:61642419:61642586:-1 gene:Solyc03g097880.1 transcript:Solyc03g097880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGPHGQFDPFSRSYEPRSAHTLRFLCIRFDLMASSTHFQGQTSSEVHSKPFQ >Solyc02g092840.1.1.1 pep chromosome:SL3.0:2:54453194:54454738:1 gene:Solyc02g092840.1 transcript:Solyc02g092840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPYSDESPGADEAMRKPLKTNNNDFLKNAVSNFQYQISTHPRFWLFTFFLFFQLVVLIFTRNSPFPFSVHSPPSPLPQFPSEIDVFPDLQTAHHDANVIYPFGDSECEYGRVYVYNLPSKFNKDLALLTCDDLDPWKWQCGLVTNDGYGKRSTELAGILPGNLSAAWYRTNQFSSEVIFHYRLLNYRCRTNDPESATAFYIPFYAGQAVGKYLWTDEIENRDFLSNKLLKWVQRQKYWKKYKGLDHFLTLGRITWDFRRLGDPEKLWGSSFLNRPQMQNVTRFTIEKAPWDANDISVPYPTGFHPHSEKELREWQKFALSYNRTSLFTFIGAARGDIDSDFRSRLMSYCRNESDSCRVVDCAVIPCSNGSSEIQKALLSSDFCLQPKGDSLTRRSVFDCMVAGSVPVFFWRRTAYTQYQWFLPEDPGSYSVFIDPEAVRNGTASIKEILMSYSKDQVRKMREKVVETIPRIVYARPSGGLGSVKDAFEIAIEGVLKRVKDENEWKEYVDMGS >Solyc10g009495.1.1 pep chromosome:SL3.0:10:3635583:3638645:1 gene:Solyc10g009495.1 transcript:Solyc10g009495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNYSYQATNELSQALTATNLQNADDTLYVDSGASSHMTHNSCILTDLKHYNGTYKIIIGNGPKLYITHVGNTFKSCLKLKEVLVVPEINKNLLFASSNTSHVSKLVRQLGKEFSVKDLGPLYFFLGVESKYADELLDKAKMTFAKAVTTHLAQKHGLYKAVGSLVEASFYRMVQTVFLGPPRNNPELLVQVLKLSIEHLPPLYKR >Solyc05g009030.3.1 pep chromosome:SL3.0:5:3194199:3201926:-1 gene:Solyc05g009030.3 transcript:Solyc05g009030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-isopropylmalate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BX77] MAASSSSLQFTATPFNQFHSKKVPKHATKWGTIRCSASSPSKSYNITLLPGDGIGPEVISVAKNALQLVSSIEGFEVAFEEMPMGGAALDAVGVPLPDETLNSAKKSDATLLGAIGGYKWDKNEKHLKPETGLLQLREGLQVFANLRPATVLPQLVDASTLKKEVAEGVDLMVVRELTGGIYFGKPRGFSTDKNGQEIGFNTEVYAAYEIERIARIAFETARKRRGKLCSVDKANVLEASMLWRKIVTALASEYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGAAGPGLFEPIHGSAPDIAGQDKANPLATMLSAAMLLKYGLGEEKAAQRIEAAVLDTLNRGFRTGDIHSAGHKLVGCKEMGEEVLKSIDSKTPAAV >Solyc05g041443.1.1 pep chromosome:SL3.0:5:52662357:52664831:1 gene:Solyc05g041443.1 transcript:Solyc05g041443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRQALGNAKQKDKEMDQNRAKSFVRGDRAIQLGISKILMDVRKPIFSSTNVKKPYEPNANRFSNGNTGRRLLIAAQMDDKRAKRICFLCDEKYVPWHICKSKIQLYLVEVLEDVEVTMEEEVLEVDECMTISLQAFTESTELGGDEVFSKIDLRVGYHQLRMKETNTYKTTLKTHEGHYEFLFMPFGLYECTFFILVLFIFKDMPTHVNHSQALFDLMKQHQLFSNGSKCALEVPEVDAKGVAINPTKISAIQAWFNRMLSEDKFVCNEGATTTFHVLKDALVTAAVLALLDYDKQFIIE >Solyc07g064880.3.1 pep chromosome:SL3.0:7:66987665:66998270:-1 gene:Solyc07g064880.3 transcript:Solyc07g064880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEHANIQGLKFAEKGHDDPSPCLYRVFEQFGDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGSLS >Solyc08g077250.3.1 pep chromosome:SL3.0:8:61296341:61301883:-1 gene:Solyc08g077250.3 transcript:Solyc08g077250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPRTRPSSQSPNPKPTKNKTSSSSSASRPSTSSRSIPLQPSSNLFPSSKSEFSRLIAVVVVASAVAFSCNYVFTYLNSQPKPFCDSNSGFDDSLTDLCEPCPLNGVCREGKLECAHGYRRLGNLCVEDSNINETAKKLSKLVEGLLCEEHAQYSCTGTGTIWVQGNQLWEKVNESKIMDEYGLNEAVYAHAMKRAMEALRKVLETRLNDHGIEELKCPPLLVLHYTPVSCRIQRWILEHALLLVPACWVLQLLGCVFTLLKLRRRYHLSVKAEHIYNEACDVLEEKAMSARSMTGEHEPWVVASLLRDHLLSPKERKDPMLWKKVEQLVQEDSRLERYPKMVKGECKVVWEWQVEGSLSSSGKRKKAKEIRLASGQHTNLSTQQRNWPWKAKEPVKC >Solyc07g047860.3.1 pep chromosome:SL3.0:7:59210908:59218603:-1 gene:Solyc07g047860.3 transcript:Solyc07g047860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPGTRRSNFNARKSGRDKPTTGSPVTPFTENRKPLDDNSPIPNRPNTGTPAPWASRLSVLARIPPAKKSDKGEETDPIQPVYVGEFPQVLRDEQDVLLQKHAPGNASISGGMDKETSLAWVICGNKLFVWSFLSPAASRNCIVLDLPPTMSGNEDTGKYINDWFVCLINWDRNTNKVSQQCSSAGIVACNRRTRNLVYWPDIYSATRNEPVVSFPEESEVSSSSSDVKGTPTKLRQQNKPGSVVTRSNSLNCLIACAVPKVHHNHVSVALACSSNGELWQFVCSPSCIQRKKMYEDMFSKNSHGNDGQFFGGRGYRRSLVWQSCSHSLDKSNRQFLLLTDHEIQCFAIELSPSFHVSKIWTHEIVGTDGDLGIQKDLAGQKRIWPLDLQIDNDGKVITILIAIFCKDRITSSSYTEYSLLTMQYKSGVNVSSESVPPHERILEKKAPIQVIIPKARLEDEEFLFSMRLKVGGKPAGSVIILSGDGTATVSHYWRNSTRLYQFDLPYDAGRVLDASVFPSDDGEDGAWAVLTEKAGVWAIPERAVLLGGVEPPERSLSRKGSSNERSSLEERKNLSFSGNVAPRRATSEAWDAGDKQRPGLTGIARRNAQDEESEALLNQLFHDFLLSGHADGAFDKLKTSGAFEREGETNVFARTSKSIVDTLAKHWTTTRGAEIVTSSVMSSQLLEKQKKHKRYLQFLALSKCHEELCSRQRHALHIIMEHGEKLAGMIQLRELQNVLNQNRASGAGSYSTTEMSISSSLWDVIQLVGERARRRTVLLMDRDNAEVFYSKVSDLDEFFYCLERDLNYIISEKMTVSVIFQKACELSSACVTLLRTAMTCRNENHLWYPPSEGLTPWTCQEKVRNGLWSLAYFMLQLVKENNSLDDTIKLDFHSHLEVLSDVLLEAYSGAICAKVERGEGHKSLLDEYCNRRDDLLKCLYQQVKDLVEGKLQDLGEAAEEQKFEIFGKLSSALLSLAKRHEGYKTLWSICCDLNNTDLLKNLMHDSMGPKRGFSYFVFQQLYDSRQFSKLMRLGEEFQEDLAIFLKHHQDLLWLHEIFLHKFSEASETLHVLSLSPNDSSAMDSETSSFGTTIKTSLVERRRLLNLSKVAALAGRSANFESKVKRIEADLKILYLQEEIMKLLPDDETQNISQQLLPPVDLIELCLKTQDRELSLRVFDIFAWTSSSFIKSNASLLEDCWRNASNQDDWERLYQASVDEGWGDEETLSILKDTILFQASSRCYGLKAETFEGNFQEVLPLRLENSEQVTLKNMGSSVETILMQHKDYPDAGKLMLTSVMLGSVHSDTISIVEEEGPTPME >Solyc12g062345.1.1 pep chromosome:SL3.0:12:32079576:32083879:-1 gene:Solyc12g062345.1 transcript:Solyc12g062345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKQLYSSAINNSTWYQSLDRESNPSPSQEFMTVESTQPSTVSTTIAAKIRPIHDPSSGYYLHPSEGPSRSKIGFLNEKGFPKPTNELELDALKANNSIICSWIFNSADETIQPSIISHKISHELWTDIKARYGGTNALKSWQLKSDLHMLRQKGQSIFGTRRLPVLNEAYYLVSQEERQKSIVRNRDDQTDGLAFTVETQPTPPSKYKCTHCGKNDHSTERCFLLIGFPNGGRRGRGGGRGGREGRGLPSGCEQSSGRSGGMAAHADSPTSPAVATGSSQGGDFPGLSTEQMTRLLNMLDTPTQSGNNTGAVHALSPDWLIDSGASHHMTGNFSSLYDIMPVPECSIGLSDGTRVVANYCGSDRVLTIEVGRGTARNGVYVFQSQAFVSGSRVYQVELLHKRLVLPRHRRHRPNFMRRHTPTKWEVRAQTPSHTKRGTLLNVPSGATSRILRGHPPNKFAPRSLKCMFLGYPSGMKGWRVYDLETHRFFHTRDIAFDEMIFSFAPTPANPPPTQHTPTGTQIEDFPVIVTPTAASSPVGVPTLSPNPITVSINQQTTSTPQQPNSTTSTDHKTAAPPVDKDTGPQPARTLNRVRHPPGYLSEYVCQSATHVPPVTRPPITHRSGTRFPITNYIHYEKLHDVYRGFLAAISAKDVPRSFRDAEAGLTDCKPASTPLSPGHGLATSTSAPIRDPSKYRRFVGRLIYLTITRLDLAYSVHLLSQFMHEPRVDHLNVVIRVLRYLKGHPGQGILLRANSNLQIMAYCDSDWATCPLSRKSVSGYFFMLGRSPISWKTKKQETFSRFSAEAEYRAIADTCYEIRWIQHILGCLEVATTS >Solyc10g080660.2.1 pep chromosome:SL3.0:10:62010329:62014485:-1 gene:Solyc10g080660.2 transcript:Solyc10g080660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSEKKELDNKNTNSTQSLIIDPSIAAEDRERIAVDLKAGLHPLKLHCHDQNKFVFWYTRRTPGVRTQTSYEDNIKKIVDFSTVEGFWVCYCHLARPSALPSPTDLHLFREGIRPLWEDAANCHGGKWIIRFKKAVSGRFWEDLVLALVGDQLDYGDNICGAVLSIRFNEDILSVWNRNASDQQAVMALRDSIKRHLKLPGGYIMEYKAHDASLRDNSSYRNTWIRS >Solyc06g048520.3.1 pep chromosome:SL3.0:6:31260493:31268703:-1 gene:Solyc06g048520.3 transcript:Solyc06g048520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTSSSVSDFDRNPNSNSNSSSSPHTSNSTAFGVNLSPLRVFRYPLSTFLEYSGLLRVRPENPETDLLLPDNPTPNSSGSTTSSNGEVSIRIIGSGENDRVRTDDGSVMDGGEREEERGSNVEGSTSSGSGNNRDSSYQRYDIQQIARWIEQFLPFSLLLLVVFIRQHLQGFFVIIWITAFMFRSNDILRKQTALKGERKITVLLGYFLVFMLHISGIYWWNHKDDIFYPLLMVPPKGIPPFWHAIFIILVNDTMVRQAAMTLKLVLLMVYKNGRGHNFRRQGQLLTLVEYMLLLYRALLPTPVWYRFFLNKDYGSLFSSLITGLYLTFKLTSIVEKVRSFLTALKALSIKEIHYGSHATPEQVNAAGDLCAICQEKMNTPILLCCKHIFCEDCVSEWFERERTCPLCRALVRPADLRSFGDGSTSLLFQLF >Solyc02g084560.3.1 pep chromosome:SL3.0:2:48278383:48281791:1 gene:Solyc02g084560.3 transcript:Solyc02g084560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACKRVGYFGPQLGAFAGSHRFHRRLISNRAFDGKLLFLVDTLALVRKLEAKGVPTKQAEAITAAITEVLSDSLENVAQTFVSKADLQRLEMIQESKLSKFKSEVQSSQENHFSLLQHEIEKLKSDIEKMRRELRYEIDKVSAGHRLDLNLERGRIRDELANQNQETANLTNTLDREIHTLRAQLEAAKYDVIKYCIGTLVSISAVGLAVVRLLK >Solyc12g035990.1.1.1 pep chromosome:SL3.0:12:44568236:44568544:-1 gene:Solyc12g035990.1 transcript:Solyc12g035990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIYIEYLIRKYHDWELFDCLYLRKSRNRINFNLVLLFEILVKHWISYLMSSFREKIQIEVEGCFKQGAGPTIQSNYIEHVSHLFSRNKWAISLKNYAQFHM >Solyc03g006070.3.1 pep chromosome:SL3.0:3:748436:758863:-1 gene:Solyc03g006070.3 transcript:Solyc03g006070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKEMSAPVMDVNGGVTGHIISTTIGGKNGEPKQSATWLNVLWGLDHLELFFRQAKCLENGETVAIKKVLQDRRYKNRELQLMRTMDNPNVVSLKHCFYSTTSKNELFLNLVMEYVPETMYRMLKHYSNMNQRMPLIYVKLYTYQVFRGLAYMHTVADVCHRDLKPQNILVDPVTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCNALEACAHPFFDELREPNARLPHGRQLPPLFNFKQERGRELIKVGILLLLIDWLNGSLREVMDKEMDVRNQKMDVPETLVTIRLDEDGETGKSRIGVEDGDSEGNEVKVKDSKKGDDFSAVIDVKCDGGDGKLGEDLEGDAQRVCRICHLSTYEAEKNLVDLIELGCGCKGELGFVHSHCAEAWFKLKGNRVCEICGEVAQNVTGVSNNRFIEEWNDARSTASGVGSTETTRGCWHGQPFCNFLMACLVISFVLPWFFRVNMF >Solyc11g065193.1.1 pep chromosome:SL3.0:11:50842084:50843357:-1 gene:Solyc11g065193.1 transcript:Solyc11g065193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLKYEELDLMKEKLSNDEILEEQSHDSVAWEGDISNLIDLFFSFVSGSTTTFSSDINISWFY >Solyc05g013980.3.1 pep chromosome:SL3.0:5:7480705:7481355:1 gene:Solyc05g013980.3 transcript:Solyc05g013980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKIIVLALVFFAMACMVSAIDEYSTTTAALKDAANAPIPVENNNIIGTINGIDENEAVSAAPVGGPVSGVTFPDISLPPAPNGGSSSNAIDFTTIATIIVAVSFFF >Solyc03g013180.1.1.1 pep chromosome:SL3.0:3:48371593:48371877:1 gene:Solyc03g013180.1 transcript:Solyc03g013180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSATITAPLLVKIATQEDALLKQFFAEVSEVERSNEVNRFASLSLARYLHMETFIMLINCNYSFSSLGICLEFSLVFLHVYISNELRVVLC >Solyc09g010850.3.1 pep chromosome:SL3.0:9:4169021:4172722:1 gene:Solyc09g010850.3 transcript:Solyc09g010850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSNEIKAETTIFTGTGVGSRNVSGNGTENSNSNSKVSSGSVPPTPRSEGEILQSSNLRSFTFNELRASTRNFRPDSVLGEGGFGSVFKGWVDEQTLLASKPGAGIVIAVKKLNQEGLQGHREWLAEINYLGQLRHPNLVRLLGYCLEDDHRLLVYEFMSKGSMENHLFRSKRLSRGSYFEPLSWSLRMKVALGAARGLAFLHNAETSVIYRDFKTANILLDSNYNAKLSDFGLARDGPTGDKSHVSTRVMGTYGYAAPEYLSTGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPTGEHNLVECSRAYLTSKRRVFRVLDSRLEGQYSLTRALKVASVALQCLAMDPKSRPTMDEVVTALEQLQESKDRVKNDKNKDQQLNRLSSQSSGELNKSFRSNSEETPRVASYPRPSASLRSI >Solyc07g005400.3.1 pep chromosome:SL3.0:7:307148:314084:1 gene:Solyc07g005400.3 transcript:Solyc07g005400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMNSLLSQQQQSQISLQDLQNGGNGGSTGGVGGLSQHSMGHSHFDPTSSHDDFLEQILSSVPSSSPWPDLSKSWDPHHHLSSPPHNPSSGEDQPPSNPFHSQFHYDDQASSLLASKLRQHQITSGGGAAAAAKALMLQQQLLLSRTLAGNGLRSPNGASGDNGLLSLPLNLSNGDQNDGVANPTNDNSVQALFNGFTGSLGQTSNQPQHFHHPQGGSMQSQSFGAPAMNQTPAASGSAGGGGGSTPAAQPKQQRVRARRGQATDPHSIAERLRRERIAERLKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAPLVADMSSEGRGEGNVGRGGNGRASSSSNNETMTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTSTTRISNNPLLAPEAGGSTSPTLSALTVQSATAGKDATSLSET >Solyc10g084860.1.1 pep chromosome:SL3.0:10:64369581:64371641:-1 gene:Solyc10g084860.1 transcript:Solyc10g084860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade XI lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4D3S0] MFNFLTLLCFTITLSNLASSQLDEFIFTKFNQPNQNITLSGVAKISQNGFIQLTNDTSRLMGHAFYSSPFHFKPTTNASTFSFSTCFALAIVPEYPKLGGHGLAFTISPSKDFSTALPSQYLGLLNASDIGNFSNHIFAVEFDTVRDFEFGDIDDNHVGININSLESNKSAAAGYFINDQNSKQDLNLKSGKVILVWVEYDSVTKLVNVTLSPNSLKPKIPLLSYKFDVSSIFEENMYVGFSASTGLLASSHYILGWSFKLNGEAKLLDLDSLPSLPGSKKSHTGLITIISIIVFVFALCSILIAFYIIQRFKNADVIEPWEVEIGPHRYSYRELKKGTRGFRESELLGRGGFGKVYKGVLRNSKGDIAVKRISHESKQGLREFVSEIASIGRLRHRNLVQLLGWCRRRGDLLLVYEFMPNGSLDNFLFDEPKMVLKWEQRFKIIKGVASGLLYLHEGYEQVVVHRDVKASNVLLDGELNGKLGDFGLARLYEHGSNPSTTKVVGTLGYLAPELPRTGRATTKTDVFAFGALLLEVVCGRRPIEHKAGPEEFVLVDLVWDKWREVLMVLKLGLMCSNSKPLARPSIRQVVRYLEGEIGMPEAPSTQGSDDLEGFGFVESERWNSLASTSFMIKSSSFTCLSNGDENSTNYVSFSTSPFPLLYSGECT >Solyc08g074355.1.1 pep chromosome:SL3.0:8:58594532:58595534:-1 gene:Solyc08g074355.1 transcript:Solyc08g074355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKDFKLENTDLSDVAIESSKKGSQRPCQFCREHENLSSTDNPVLVSKFPPSPQHPEFGSPTYRLDLEQR >Solyc03g033810.3.1.1 pep chromosome:SL3.0:3:5447483:5451211:1 gene:Solyc03g033810.3 transcript:Solyc03g033810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEMNRIGASIVVRFGSVQLMNEMHGIEGIHYCPIWLRSANLIFRPTDFD >Solyc04g014750.1.1.1 pep chromosome:SL3.0:4:5001952:5002269:-1 gene:Solyc04g014750.1 transcript:Solyc04g014750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKRLTKTLLFECLIILIIVCDMIILPSFGCPTDGNGCRNCIVNHIKIDCPKCAPIMRCMAKCLWCGTSRSKCIKKCDCKGTYPRLSDCKNCLSQCKCSCSSV >Solyc01g005430.3.1 pep chromosome:SL3.0:1:298680:303581:1 gene:Solyc01g005430.3 transcript:Solyc01g005430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMKDKMKGFMKKVSFSSSGKFKGQGRVLGSSSSSSGPPPNHVNNLSSQNLPSKPNTKSLVKKTSDSDERIDNKCVNHVNKSELKDGFDPYGELVTSGKRNPKGYSLNVFECPVCCAVFGSEEEVSSHIDNCLASEVSDLGVENKDEEVKSGMEECVSVYVSGKPLDGSVEVVVKLLKNIGKEPTNAKFRKIRMGNPKIKEAIGDVVGGVELLEFVGFELKEEGGEIWGVMDVPSEEQLVKLKNVVSLLEPKKVEELASASQVKAARKPVEPKKIDRQIKVFFSVPESVAAKIVLPDSFFNLTHEELRREAEMKRKKLEESKLLIPKSFREKQAKAARKKYNRSIIRIQFPDGVLLQGVFLPSEPTSALYEFVSSALKEPSLEFDLLHPVLVKRRVIPHFPATGERAITLEEEDLIPSALLKFKPIETDSVVFTGLCNELLEISEPLETGSASSS >Solyc07g009320.3.1 pep chromosome:SL3.0:7:4363281:4374028:-1 gene:Solyc07g009320.3 transcript:Solyc07g009320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANEREKLTLVTRKSCFGLPTSCPNCLPVYVYLKFSATPFDLDFNLNNPDSDQIPYVESGTYVAYNNEKGGVIRSLSEDGFVDLDSQVRGIPEWISAKAMVDSWLADATIYELWVGSDGTSAHKIYYSDLPWPLGKILYLKQVHVVKQILGITKENAERREEEIYRNANDAFSALSTRLGEQAYFFENRPTSLDAVFLGHALFTLYALPETSVLRSKLLEHDNLVRYTEKYKSELVDSSGSSSSGTHSQSDPSSSVPRRPSQWSSKPKSKPKREKTEEEKKFRRRAKYFLVTQLVAVLVFLSLLGGSDAAEVELDEDDDGADYD >Solyc01g079503.1.1 pep chromosome:SL3.0:1:78390361:78391008:-1 gene:Solyc01g079503.1 transcript:Solyc01g079503.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDTKEALQTAFKINDMRELRYFLGIEFARSKDGILMHQRKYDLELVADMGLAGAKPVTTPMPQNRKLTTAEFDQHIPPAHEDQSLRSHTEAALRLIRYVKYATGLGILMLATSDDHLKAMGLPPFLWKSKKQATVSRNSAEAEYWAMVSSDAEIA >Solyc11g056430.1.1.1 pep chromosome:SL3.0:11:45657247:45657648:1 gene:Solyc11g056430.1 transcript:Solyc11g056430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGMWYCSYWLVSLQSSYCYLTSSLLYSISAFLWSIISNRAEVGFSLSIYLICKLAPSRVSVGVGLVDRPKNDSYPIRQVCMYPRSCLLFSRTSGTILSSCPLPPGFALGFVNQPGMFRPGRLDDDVVVVVL >Solyc01g007120.3.1 pep chromosome:SL3.0:1:1660759:1667482:-1 gene:Solyc01g007120.3 transcript:Solyc01g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDVNVLRYLSKDDFRVLTAVEMGMRNHEIVPCELIDRIARLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKTLVNRGMFNGVGRQIGVGKESDIFEVVKEDGTVLAMKLHRLGRVSFRAVKSKRDYLRHRSSYNWLYLSRLAALKEFAFMKALEEHGFPVPQAVDCNRHCVIMSLVPGYPLVQVRELQNPDVVFETIIAAVIRLAEHGLIHCDFNEFNIMIDDDEKVTIIDFPQMVSVSHPNAQMYFDRDVECIYKFFAKRYNMSFDENENDSDGLEVDINEVGRPQFSEINKNSGFLDKELAASGFTRKDQDELEKLIEGELENHSDSDDEGTADEEDEEDINNTNVKQLESLDLAKEDVNHQTGDDKHDDNHQVGDENDPEEEEEAESEDDPELEKSLSKQRKKAIQAAHRGKRNFASRNTYKDKGGKSSQNSKVHKQLSGW >Solyc10g005620.3.1 pep chromosome:SL3.0:10:493990:497938:-1 gene:Solyc10g005620.3 transcript:Solyc10g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAAKVAVIGSGISGAVCASTLAKNGVSVTLFESGRGPGGRMSQRREMTEDGRELHFDHGVPYFSAKNPDVLRLICEWQSKGLVAEWKEKFATFDCDSKQFLDIEQEGLEKKYVGVPGMNSICKSLCQEPGVQSRFGVGVGRLEWLDNEDSWSLTGLNGESLGYFKGVVTSDKSTFSQRFTNVTGKPVPIDMEKFPEISLKMMEIPVNPCFALMLAFEEPLTEIPIRGFSFNSSKVLHRAFCDSSKPGRSRNSERWVLHSTAEYAQDVIAQTGLQKPSSATLMKVAEELFQEFQSTKLSISQAFFKKAHRWGSAFPAISVAENEKCLWDAKKRLAVCGDFCVSPNVEGAIISGLAAAAKCSEVLCRL >Solyc08g080070.1.1.1 pep chromosome:SL3.0:8:63521122:63522057:1 gene:Solyc08g080070.1 transcript:Solyc08g080070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLYLTLTLISLSFTATLTSQAQGIKSSRLLDLVLRDNTFRYYNTKKFRTAKLYPIQLPSNLSGIKVDSVKYRCGSLTRYGAKIKEFKLPIGVSIQHCAERVLIIRQNLGSNWSSIYYDNYELSGYQLISPVLALSMYNAGDDMVTSSNIPFELNFLSDNTPITIDFSNTTSVVNSSMIIPLCASFERDGKVIVTKQVSKNVCSASKQGHFGLVIESPLIPLKNKRESKWKLAIGSSIGAALGAFLLGLLLIAIFVKVKKKARMEELVRRAYEEEALQVSMVGHVRAPIAAGTRTVPTIEHEYSHHNIS >Solyc02g086770.3.1 pep chromosome:SL3.0:2:50020390:50023849:-1 gene:Solyc02g086770.3 transcript:Solyc02g086770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVCPDESKRIEIEEFRRMLLSNAAVHRTKAGDEIQNPGQLPATNRHNRELEEKKVCVTSGVSFLGIAIVNQLLLRGYSVRVIVEKQEDLEKLREMEISGEMRQSMNTVEAVMARLNDIESLSQAFSGCRGVFHTAAFVDPAGLSGYSKSMVEVEVLVTKNVSQACAITPSVRNCVLTSSLVACVWQDINSSTIIDHDCWSDESLCIDKKLWYALGKLKAERVACNIARESGFKLATICPGLVTGPEFISRNPTPTIAYLKGAEEMFRNGALATVDVNRLAVEHVLVFEDMKNTSYNRYISFDQVVRSEEELEKLARETGIDIRSMRSNWRTNSSNIVKLSNAKLCCLMSTIHRCHNEI >Solyc06g075590.3.1 pep chromosome:SL3.0:6:47119921:47134159:1 gene:Solyc06g075590.3 transcript:Solyc06g075590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLLNSSLNGSASNLPDNSGRSFPSSFSPQSGAASPLYHHSGNIQGLHNVHGSFSVPNMPGTLGSRNTAINNVPSSGVQQSGNSLSGGRFASNNIPVALSQISQGSSHGHSGMTSRGGMSVVGNQGYSSNNNGVGGSIPGILPTSAAIGNRSSVQGLGMSTILGNAGPRMSNSVGNIVGGGNIGRNISSGAGLSVPGLGTRLNLTANTGSGNLNVQGSNRLMGGVLQQASPMSMFGNSYPSGGGPLSQNHVQAVGNLNSMGMLNDVNSNDGSPFDINDFPQLSSRPSSAGGPQGPLGSLRKQMAQQNQEFSIQNEDFPALPGFKGGNADYPMDLHQKEQLHDNTISMMQQQHFSAQMGRSGGFNLGGTYSSLRSQQQQQHASSVSNSGLSFSNVNNQDPLHLHGSDVFPSSHSSYHQQSGGPPGIGLRPLNSSNTVSGIGSYDQLIQQYQQQTQSQYRLPHMSAIGQPYRDQGMKSMQAQTAPDPFGMLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAENLHKTFGSPWSDEPAKGDPEFTVPQCYYAKQPPPLNQAYFSKLQLDTLFYIFYRRVLSHKNMPKDEAQLYAAYELYNRGWFYHREHRLWFMRVANLEPLVKTNAYERGSYICFDPNTWETIRKDNFVLHYEMLEKRPVLPQH >Solyc02g089390.2.1 pep chromosome:SL3.0:2:51850816:51871714:1 gene:Solyc02g089390.2 transcript:Solyc02g089390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGSAAKLIVDALLQRFLPLARRRIETAQAQDGQYLRPSDPAYEQVLDSLAMVARHTPVPLLEALLRWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWIGLENFVFDWLINADRVVSQVEYPSLVDLRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTNVARSEALSIINGMRYLKLGVKTEGGLNASASFVAKANPLNRAPHKRKSELHHALCNMLSNILAPLADGVKGQWPPSSVDPALTLWYEAVARIRIQLMHWMDKQSKHISVGYPLVTLLLCLGDPHVFLSNFGPHMEQLYKHLKDKNHRFMALDCLHRVLRFYLSVHGDSQPPNRVWDYLDSVTSQLLTVLRKGMLTQDVQHDKLVEFCATIAEHNIDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPTSQHVGLEILHVRGIGHFIPKVKAAIESILRSCHRTYSQALLTSSRTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDKITEIIPQHGISIDPGVREEAVQVLNRIVRYLPHRRFSVMRGMSNFILRLPDEFPLLIQTSLGRLLELMRFWRACLVDDKVEYDASDAKRVQRTEGFKKSSFHHSQETIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDTRELSLHERSDNLLKDEAEPIFIIDVLEEHGDDIVQSCYWDSGRPFDLRREADPVPPDVTLQSILFESPDKNRWARCLSELVKHASELCPSSVQEAKLEVIQRLAHITPAELGGKAHQSQDTDNKLDQWLMYAMFACSCPSDSREGGGTAAIKELFHLIFPSLKSGSETNIHAATMALGHSHLEICEVMFSELASFIDEASLEAEGKPKWKSQRSRREELRVHIANIYRTVSENIWPGMLSRKPVFRLHYLKFIEETTRQIFTASAESFQEMQPLRYALASVLRSLAPEFVESKSEKFDIRTRKRLFDLLLSWSDDAGNTWSQDGVNDYRREVERYKSTQHSRSKDSIDKLTFDKELNEQVEAIQWASMNAMASLLYGPCFDDNARKMSGRVISWINSLFIEPAPRAPFGYSPADPRTPSYSKYTGESGRGTTGRDRHRGGHLRVSLAKLALRNLLITNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMRQEIPKCEIQRLLSLILYKVVDPSRQIRDDALQMLETLSVREWADDGMEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLIAKGIEDCDSNASAEISGAFATYFSVAKRVGLYLARICPQRTIDHLVYQLAQRMLEDNIEPLRSSANRGDGNGNFLLEFSQGPSVAQVSSIVDSQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVGGRSASGPLSPMPPELNIVPLTAGRSGQLLPSLVNMSGPLMGVRSSTGSLRSRHVSRDSGDYHIDTPNSGEEGLHLAAGTHAVNAKELQSALQGHQQHLLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQHLLVNLLYSLAGRHLELYDVENSDGENKQQVVSLIKYVQSKRGSMMWENEDTTVVRTELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTSRHLACRSHQIYRALRPRVTNDACVSLLRCLHRCLSNPVPPVLGFVMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELVCRVIDRLSFRDRTTENVLLSSMPRDELDSNVGDNSDFQHLESRNASEPLPSNAKVPVFEGVQPLVLKGLMSTVSHGVSIEVLSRITVPSCDSIFGDAETRLLMNITGLLPWLCLQLNQDAGVGPASPFHHQYQKACSVATNIAVWCRAKSIDELATVFMAYSRGEIKNIEHLLACVSPLLCNEWFPKHSALAFGHLLRLLEKGPVEYQRVILLMLKALLQHTPMDAAQSPHMYAIVSQLVESTLCWEALSVLEALLQSCSLPGSHPHEPGQFENGLAGSEEKILAPQTSFKARSGPLQYAMLGLGAGSTAVVQPNASESGLSAKELALQNTRLMLGRVLDSCALGRRRDYRRLVPFVTSTGNP >Solyc01g014520.2.1 pep chromosome:SL3.0:1:13949926:13961887:1 gene:Solyc01g014520.2 transcript:Solyc01g014520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVGLLLFPLSAIAQSYQNVSLGSSLTTSDITSFWPSPSDEFAFGFQKVGNESRYLLAIWFNKITDKTIVWSANRNNLVFDGSKVQLSADGRLVLTDPNGQEMWARGMANAQLAYGAMLDNGNFVLATSSSDTLWQSFDEPTDTILPGQVLNQGSSLVSSFSDTNVSSGRFEFILQNDGNLVLYTVNYPAEATNAAYWSTMSVGSGYQEIFNQSGFIFLQAKNGTLINSISSNVENSRRQSIYHRAILEYDGVFRHYVHPKSSGREPMSWSRTDQRPRCDFPHGYILDDPNDKLGSCRQNFSEQNCNLESREVESFTFHEMLDNNWPDSDYESPQDVSEDWCRENCLSDCFFAVATYSDDNVCWKKRYPLSNGRVGPTIGGKALIKIRKDNSTVWESPNVEIRKKKNQSTLIISGSVLLASSVFMNLILFISALVYIFKFKWKKRKIIAQYSAVPGVNLRSFRYKELEQVTNGFKEELETGAYSTVYKALLDDENGKVVAVKKLHNMVTEGEGEEVFEAEVNSISRTNHKNLVQLLGFCNEGQHRLLVYEHMKTGSIAHLLFKDSRLSWSKRVQVAIDTAKGLCYLHEECSTQIIHCDIKPQNVLLDENMTAKIADFGMAKLLRKHQTQTTTRVRGTKGYLAPDWFRSMPVTVKVDVYSFRVLLLELLCCRRNYEQDVANENERILLEWAYDCYERNKLHLLVGDDEEALEDIKRFEKFLLVAIWCIQKNPASRPNMKKVMLMLEGSV >Solyc12g014560.2.1 pep chromosome:SL3.0:12:5558611:5567765:-1 gene:Solyc12g014560.2 transcript:Solyc12g014560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLGLSNRGDILSSSSNSLEFYHKIHSSSVPTQWFPPRTRRKILYKRHRFSISSSLSSKNSAKIKVIGVGGGGNNAVNRMIDSGLQGVDFYSMNTDTQALSQSTAENPIQIGELLTRGLGTGGNPLLGEQAAEESKEGIANALKGSDMVFITAGMGGGTGSGAAPVVAQIAKEAGYLTVGVVTYPFSFEGRKRSLQALEAIKKLQKNVDTLIVIHNDRLLDIADEQTPLQNAFLLADDVLCQGVQGISDIITIPGLVNVDFADVKAIMKNSGTAMLGVGASSSRNRAEEAAEQATLAPLIESSIQSATGIVYNITGGKDITLQEVNRVVTSLADPSANIIFGVVVDERFNGGIHVTIIATGFTQPFQNTLLNNPRGAKLVDNSKGTTESMVSPYTLSSSNSPSTKSKPPAPRLFF >Solyc04g049375.1.1 pep chromosome:SL3.0:4:41683563:41689906:-1 gene:Solyc04g049375.1 transcript:Solyc04g049375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGNLTRLSCCCRASGACYCTVPSSCCCPSSYVASEKRSSLGVAVCRSCSPLLLVSELLLADLNGCWHGAAATVVRLEHVTALIRRAAAVQLLMLPARRGVRLELLFVGAALRCYWF >Solyc12g035526.1.1 pep chromosome:SL3.0:12:41881141:41881440:-1 gene:Solyc12g035526.1 transcript:Solyc12g035526.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKFQAIQDWEAPTNMTELQSLLGLKNYYRRFIRAAPLAELLKKNKQWVWSKECQGAFEGLKDALIEEPILILPDFSKAFKIHTDASDFAIGG >Solyc03g006170.3.1 pep chromosome:SL3.0:3:825238:826646:-1 gene:Solyc03g006170.3 transcript:Solyc03g006170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQHTLDRKKKKKNSLTKSSEIILIVSVVCLFSLFGVIHAESEASSDHSQTHFKVDGKVYCDVCRTQFENRLSKHAGAEVQLQCKNQTTDAITATVDGKTDEHGFYELLVEHDHEDDICEMMLKKSPMDDCTEIPHERNAQESARITITNNNGIVDTTRHANPLFFLKKEASPECDEVFKELELLPEDISQS >Solyc12g087980.2.1 pep chromosome:SL3.0:12:64457168:64461958:-1 gene:Solyc12g087980.2 transcript:Solyc12g087980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNDSGVRVVEAKCKNKTIDEWLAMNDNDHDEELVYDQLLGPDLGFSPLVFIQFTWFKCGGMSIGLSWAHILGDSFSASNFLNIWAKIMVGQQISPQFLHKSTKTNKLINNNNNNNPILSTITKFPFSLKRVDPVGDHWKITNNIKMQSHSFHITQNQLNQLVSNVCGTYNKVKPFDVICATLWKMLAKVRGEYSSEPAIVTIIRGDHDSETTEAVSSNNQVTISTVEANDIKVSDVDTSELTELIGEKTVDETRIVEELMKKENGVSDFIVYGANLTFVNLEEAMIYDLEVRGKKPIFASYNISGVGDEGVILVLPRLEGGRIVNLVLPKKQIEGLKNKMREELGIF >Solyc01g108790.2.1 pep chromosome:SL3.0:1:95891455:95893256:1 gene:Solyc01g108790.2 transcript:Solyc01g108790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREFVRCSFPLAYFDTLWQGNMLVLLVLGWMELKCLLVVLQPTLYHRIHQESKFDSHRQQLSKTSPTKILNGTPNHQNNNTGKGKKKKVQWIAQQNTLQPSSSNRQAKAPSQYNLERSKHPPEVIIYAESEVLDKKDDWISSTIQSLKKAPPTSLKISLRSHPFYCITRLTLLLQGCRAILIDRDRNPKISRFIHSCAYLFIYIQWEPSRLEIIRDDDVDHYFSKIDDEDWEDLKLPPRSNMSPYAIVKL >Solyc07g053810.3.1 pep chromosome:SL3.0:7:62357673:62360672:-1 gene:Solyc07g053810.3 transcript:Solyc07g053810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANNQLKYMEKNDDLLVISTSENTNKKIITTNNNKKLLSNSSSSSSLIRSCQAEKCNVDLSDAKQYHKRHKVCEYHAKSQVVVVAGLRQRFCQQCSRFHELTEFDESKRSCRRRLAGHNERRRKSTSSSSSSSSSADRIHISIQENPTHKNFHLR >Solyc08g007970.3.1 pep chromosome:SL3.0:8:2471468:2478271:1 gene:Solyc08g007970.3 transcript:Solyc08g007970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSARWRSEKNKIKGVFKLQFHATQVAGDALMISVVPADVGKPTLKLEKAPVRDGSCYWEKAVLETVKFIQEPKSGKIHEKIYYFILGTGSLKSGVAGEALIDFSNYAEASKISSVSLPLKNSKSGALLHVSIQRIQDSSDQRVEEIEDAIPNSDNMILRTQLSNDDVEASLEGNSTEDGLINKPILHNGELNGIRRASGESDITMSSSGSSSGLDTPRQIKMRNNIGNQDHINFPLSPNDALILRKPSIDVSTTVSDEIQQSEWLGCSALEASTDGSSSTPREALHRLASQEVSDIVVVKLKSELAAFARQVEVSDLELQTLRKQIVKESRRGQDLLKEVASLKNERDALKEECDKLKASLRRLNEAKSKDKLLYKQGDLQTLVSELRQELAYQKELNANLEIQLQKTQESNSELILAVRDLDEMLEQKNKQNVCFCNKSTTSCDAENLPDVLSKNDMIDEDDEDQKALEQLVREHTDVKDSYMLEQKIEDLCGEIEIYRRERDDLEMQMEQLVLDNEILKQENHDILYKLEQSEFQEQLKMQYECATSYSTVRELEGRITSLENELTEQAKELSDSLVTISELKAQVSSLDEELENQAQGFEADLETLSCDKVKQEQRAIRAEEELRKTRQHTASTAERLQDELKSLSMQMMCSLKANEKKALHEANELHLQKMHFEETLQKSSEELRSIRVHYEAKMLELSSQITDMSGQMEKLLLKIEAKSAQLENQEEVAKETEHHLSQKIISLKAEIENLLADKNILHQHAEQKNMLIEELESTRKSIENMQLLVEQGHSERRELETRLDLVEKEAMETVKELNSTRSIMDEKETLILELHLEVNILISECNEMKKSLYEDESEKENLRKQLSRLKEDLNKNEDALNSLEKKLTDSDSLKETIKLLECQIKLKENALDNAKDSFMEKEKDLQDKIEELERRLEELQQSTERFYEQKSLKVAMDDLNLTTTTGTENENPSQTLSTESNNSCCSDEEMESTACNTRNLEELSNEMELLKERNKFMEVELKEMQGRYSEISLKFAEVEGERQKLAMKLRNIKSTKKELVK >Solyc03g081207.1.1 pep chromosome:SL3.0:3:53543745:53544933:1 gene:Solyc03g081207.1 transcript:Solyc03g081207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIQPFGIIPTCIGSRNPLPVDRRKRVRFRRYPIVGEPDVGNVLKLLSLNLDTSFCSCNSDNLI >Solyc08g007180.3.1 pep chromosome:SL3.0:8:1741144:1745568:-1 gene:Solyc08g007180.3 transcript:Solyc08g007180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALFSSPPLHFPSLSPPKPHLTHKPFLPLPLKKPFFISPKSIDDASSSAAVSIEQEKDEGSNGVLLNSNGSSPAVVAGAPVTETEEVRKFQDARWVGGTWDLKKFEKDGKVHWDSVIDAEVRRRKWLEDNPESSSNEDPVLFDTSIIPWWAWMKRFHLPEAERLNGRAAMIGFFMAYFVDSLTDIGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDLETIKKLLEETTFYDKQWQASWKDETSSSSKDS >Solyc05g050940.3.1 pep chromosome:SL3.0:5:62018777:62023439:1 gene:Solyc05g050940.3 transcript:Solyc05g050940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTYSLQVVQEALDKANLTEKDLTAVAVTIGPGLSLCLRIGVRKARSVASSHNLPLVGVHHMEAHTLVARLVDRELHFPFMALLVSGGHNLLILARDLGDYIQLGTTIDDAIGEAYDKTAKWLGLDLRRSGGPAVEELAQEGDAKSVKFKVPMKQHKDCNFSYAGLKTQVRLAIEAKRINAEISLASASDEERQARADIAASFQRVAVLHLEEKCERAIEWGLNIEPSINHLVVSGGVASNKYVRARLEEVVKWKGLKLVCPPPSLCTDNGVMVAWTGLEHFRLGRFDPPPPANEPEDAVLDLRPRWPLGEEYAEGKSEARSMRTARMHPSLTSLIKASIKQE >Solyc08g015850.2.1 pep chromosome:SL3.0:8:6107631:6151461:-1 gene:Solyc08g015850.2 transcript:Solyc08g015850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATMKRFIYTTVNVGTKVILDGFRVQSRVVIEKEVVAATRSNATTRLTHGFITSLHPCFLASSKPLPEETEIDMIEDDNGVSGGCWIQITVHVPGKCFLEKHYECRGWKVRCGVMEME >Solyc11g028350.1.1 pep chromosome:SL3.0:11:21418748:21419928:1 gene:Solyc11g028350.1 transcript:Solyc11g028350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSFFQGSVLLQFCLEQCMKQTRGNLVFVHRWKNVLIRFTRRTYIMPSVPLEAKYHPSAVDIFLMKQLTTET >Solyc09g058970.1.1.1 pep chromosome:SL3.0:9:52875098:52875349:1 gene:Solyc09g058970.1 transcript:Solyc09g058970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYETFRTRVILKEGITKIGHKTQHFTLRLVGLKSPLQLYLMTMFCVAALLRDGRIRISSEKKKHLINCFGVYIGKVQTNCRNK >Solyc06g060710.3.1 pep chromosome:SL3.0:6:38845409:38851908:1 gene:Solyc06g060710.3 transcript:Solyc06g060710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDADNLFLQKTDELFQCGQFCAVFINPCIFHTGLFVLQPSKMVFNDMIHEIEIGRENQDGADQGFIGGHFPDLLDRPMFHPTLNGTQLQGSYRLPLGYQMDASYYYLKLHWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIQWHEQRRLTVGYGAEMIAVLIQSIFYLGIIAVTRLARPNLSKLCYRHDDSKSALLLRTGLKLIAIWSILAAYTVPFFVIPCTVHPLVGWSLYLLGSFSLSCITVNAFLLPMLPVLVPWVGILGALLVMAYPWYNDGVVRAMAVFTYAFCASPALWMALVKIKCSLHVSLEREGFLPKISESTAPAGSNKLY >Solyc06g071560.3.1 pep chromosome:SL3.0:6:44195323:44201918:1 gene:Solyc06g071560.3 transcript:Solyc06g071560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:K4C8M8] MTFGSEWKFSQVFGEQNPHEDVQDCDIISAMEFDKNGDNLAVGDQAGRVMIFERHAGKEDLFECSSRSDSGQFNLPQKQHPEFEYKTEFQSHESEFDYLKSVEIEEKINKIRWCTKLNRSLFLLTANDRTIKLWKIKEQKVKKVKQMDINSSVTSENSLLADRSYMSGQSESSHVNGYSLEWTNKMNGTSSSHLADIINVDYTRSRKVYANAHDFNINSISNNSDGETFLSGDDLRINVWNLEVRDQCFNIIDMKPKDMEDLTEVITSAEFHPFHCNLLAYSSSRGFIRLVDMRMSAICDHNVKILQDGRSHGSKTFFSEIIASISDMKYAMDGRHILSRDYMTLKLWDTHMESSPVATYKIHEHLRPKLPKLYTDDAIFDKFDCCLNGNELQFATGSYSNHLRVFSFGTGNENGITLEVKKESNRKPTSQTTSRPRRSSLSNLARGFYRQGQDHDSENEISCNLKSKLLHVAWHPTHNLIACSSGSSLFMYHA >Solyc04g040170.3.1 pep chromosome:SL3.0:4:11963141:11971898:-1 gene:Solyc04g040170.3 transcript:Solyc04g040170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNGLAMDVDGENLGTCLASFVDDGTVESHRYFLARRTILEMLKDRGFAIPNSEIDTTLQEFREKYGQTPDVERLRVSAMHRNDLTNKVLVIFCGPNAVKVNVIRSILTQIMNKESLSRLILVIQNQMTNPALKAVELFSFKVEIFQITDLLVNITKHVLKPRHELLTDTEKEKLLKKYNLEEKQLPRMSQKDAIARYYGLEKGQVVKVTYSSEIIETHVTYRCVW >Solyc03g098610.3.1 pep chromosome:SL3.0:3:62342526:62350853:-1 gene:Solyc03g098610.3 transcript:Solyc03g098610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVFYQVPSALYFCSNENDLDKVFFYNAALDVPIRGKNDKKWGKFRARVTVGRCGSRKKVNRRWRLYATDTRVLESGTVDRSNANSQLPTVPSIEIPVTCYQIIGVSDRAEKDEIVKSVMHLKNAEIEDGYTMDAVVSRQNLLMDVRDKLLFEPEYAGNIKERVPPRSSLRIPWAWLSSALCLLQEVGEEKLVLNIGQKALQHPDSKPYVHDILLSMALAECAIAKVGFEKNRISQGFEALARAQCLLRSKVSLGKMTLLSQIEESLEELAPACTLELLGLPRTPENAERRLGAIAALRELLRQGLDVEASCQVQDWQCFLNQALNKLMASEIVELLQWDNLAVTRKNKKSIESQNQRVVIDFNCFYVVLLAHIALGFSSKQIDLINKSKIICECLIASEGVDLKFEEAFLLFLLGQGDEAAATEKLRQLELNSDTASRNLASVKETKDVSTVSKPLETWLKDAVLGLFPDTRDCSPSLVNFFRGEKRPFVSKGNKRGLQTASQISHRPLAPAITRDQRATDEPLPYGDTSRHLGSAVKQLAPPNLQAQLTVDKVNVGNASGMPSVQLKRNLGAGRKVWEIWLGLNSIVEKIIFVVSVGCVIFVSFKLMNMQLWRMKNGSGWWLNTPRTTSSHSWKTDFPQDPSYRQPSNRRSGITEKLKKLFPKFTMQIDSQASGLQNSFFAAGLSPSATAAYKTPMPIEEAETLIKKWQTIKAEALGPDHNIDGLFDVLDEPMLVQWQALSEAAKTRSCFWRFVLLQLSVLRAEILTDGIGQEMAEIEAILEEAAELVDESQLKNPNYYSTYKIRYVLKRQDGGAWRFSEGHILTES >Solyc01g066350.1.1.1 pep chromosome:SL3.0:1:73967563:73967952:1 gene:Solyc01g066350.1 transcript:Solyc01g066350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEASKSYMDNIDHQDLYSSSIPWANEYDIGEEVELSKIFDMTQEHHVDTTIISQLPNVVSEEKQPMTTTNNSSNSSSDKNSSRITYPIILDDDDDYSLSYIYSLGLKNVSMLQEDGGTSNNVINQENS >Solyc02g080710.2.1 pep chromosome:SL3.0:2:45424858:45427728:1 gene:Solyc02g080710.2 transcript:Solyc02g080710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGLEFSENSPSLSMELGYRSNDKSSEFSIRAYVKSTMPRLRWTHDLHRRFVYAVDHLGGIDRLEFSEDSPSLSMELGNNSSDKTSKFAIRAYVKPRIPRLRWIDDLHRRFVYAVDHLGGVNRATPKMVLQIMDVKGLTVSHIKSHLQMYRSLKHQEMQALAANGRKRNRIDCSDSMNIPRGNLVHRYNHINGKAAVIDGSDQMNFPQGNLVNGYNHIKGKAAMFDGSDQMNFPQGNLVHCYNHNNGKSVFDGHLNPTVTTNYLDKIASSSTVFPPPWIPMPEKKMGLEGRCYIFRDFFDGTITIRNGEDDNKIVRAYGISNLPNESATSMIEEENSHSTMSLELSLSSDISLDLTLG >Solyc05g009150.3.1 pep chromosome:SL3.0:5:3276394:3286955:1 gene:Solyc05g009150.3 transcript:Solyc05g009150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEEQVLPLLMEKFSFEEQASLVWQFLCSIPVNMMAEFLPWLSSSISADECKDMHKCLHKVIPDEDLLQEIMFTWMDGKKLTNKRKACEESRTHNNSDSVVRGLIGQAENVPCPCESSSREFLVSNLNLKESTLNRPVDEILHWHKAIRKELNDITEAAREIKLRGDFSDLSAFNQRLQFIAEVCIFHSIAEDKVIFPAVDAEISFAQEHAEEENEFDKFRCLIESVQSAGSNSTSVEFYSELCSQADHIMETVERHFCNEEAQVLPLARKHFSAKRQRELLYQSLCVMPLRLIECVLPWLVGSLSEEEARSFLQNMHLAAPASDTALVTLFSGWACKGRPDDICLSSSVTGCCPAKILAGNQENLGKCCGTCTSSRIAKCSSSSNGEQNNGERPTKRVNLMSEDKCYRHESSGGGKFRKGSTGNQSCCVPALGVVNSLAAAKSSRTFTPSAPSLNSCLFNWNTSLTNAGYATRPIDNIFQFHKAIRKDLEFLDVESGKLTDCDETFLRKFCGRFRLLRGLYKAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALDELSQLRENLNGGSSVKGPCRNSGACDLHEYSRKYNELATKVQAMCKSIKVTLDQHVIREEVELWPLFDRHFSIEEQDKLVGRIIGTTGAEVLQSMLPWVTTALTQDEQNKMMETWKQATKNTMFSEWLNEWWEGTPDETSQISSSEDIVSRGCEFPESLEQSDSTFKPGWKDIFRMNQNELESEIRKVSRDSSLDPRRKAYLIQNLMTSRWIAAQQESEARSVETSNGQDQIGCSPSFRDTDKQVFGCEHYKRNCKLRAACCGKLYPCRFCHDKVSDHSMDRKATTEMMCMNCLKVQPVGPTCTTPSCNGLSMAKYYCSSCKFFDDERTVYHCPFCNLCRLGQGLGVDFFHCMTCNCCLGMRLVDHKCREKGLETNCPICCDFLFTSSETVRGLPCGHFMHSACFQAYACTHYICPICSKSMGDMSVYFGMLDALMASEVLPEEFRNRCQDILCNDCGKRGTAPFHWLYHKCGSCGSYNTRVIKVETSPNCSS >Solyc02g055463.1.1 pep chromosome:SL3.0:2:2602605:2604778:-1 gene:Solyc02g055463.1 transcript:Solyc02g055463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTLMNKLFHLYLDQFVVIYLDDIIVYNNSMEDHVEHLCKVFKVLRDNDLCVKREKCSFAQPTVQFLGHTISHGEIRMDGDKVEPIKNWEAPTKVPELRSFLGLTNYYRRFIFSYSAIAAPLTDLLKKNREWEWSDACQATFERLKVAVTEEPVLALPDFTKAFEVHTDASNFNIGGSWGNPRELEFSSHRQSWTSRLRP >Solyc09g091620.2.1 pep chromosome:SL3.0:9:71328818:71333899:1 gene:Solyc09g091620.2 transcript:Solyc09g091620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQIRFKRFCKSWCLFCKKDDPYSSSSVDALLQYDSVVSLVKVLFFN >Solyc04g081090.3.1 pep chromosome:SL3.0:4:65220958:65223166:-1 gene:Solyc04g081090.3 transcript:Solyc04g081090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase proteolipid subunit [Source:UniProtKB/TrEMBL;Acc:K4BAV2] MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Solyc06g084480.3.1 pep chromosome:SL3.0:6:49610445:49627374:1 gene:Solyc06g084480.3 transcript:Solyc06g084480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANSWFSVLTSTGGYLTSHRLFSTSLTSSSFFIPQNQNYKRQVLNKSSSSPPEKVAGKQKFSRRSKNEGPFANADGRSSTSENGRSQSTAIKSFGLQKKGKGVLLDSKDQQVETGSIQDAAFLNAVVKVYCTHTAPDYSLPWQKQRQFTSTGSAFMIGDGKLLTNAHCVEHDTQVKVKKRGDDTKYVAKVLARGVACDLALLSVESKEFWEAAEPLSFGRLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYANGSSELLGIQIDAAINPGNSGGPAFNDDGDCIGVAFQVYRSDDAENIGYVIPTTVVSHFLEDYERNGKYCGFPCLGVLLQKLENPALRACLKVPSNEGVLVRKVEPTSDISNVVKEGDVIVSFDGVHVGCEGTVPFRSSERIAFRYLISQKFTGDSVELGIIRAGEFMKVQAILKPRVHLVPYHIEDGQPSYLIVAGLVFTPLSEPLIEEEEDSIGLKLLTKARYSLAKFEGEQIVVLSQVLANEVNIGYEDMSNEQVLKLNGTRIKNIHHLAHLVDSSKGKYLVFEFEDNILVVLERKEAMSASASILKDYGIPAERSSDLLGQYVDSTTQQSEATNHGEFGYEGLLWA >Solyc06g051120.3.1 pep chromosome:SL3.0:6:34302373:34311270:-1 gene:Solyc06g051120.3 transcript:Solyc06g051120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQTTTQNTFRPHAGGREDCWSEGATETLIEAWGDRYLRLNRGNLRQKDWKEVAYSVNSRQNGVKPQRTDVQCKNRIDTLKKKYKLEKNKSTPSKWPFYNRLDYLIATNNVSASPCNRRPSASISLAVKEKISPDSNFGALNYSGGSSRLNSSGSNDSSHDDLAFGSGERKNKMEYVGLSEDTTAFKELARAILRFGEIYERIESSKQQQMMELEKQRMEFTKDLEVQRMNMFMETQLQIERSKCAAKHPPSAGK >Solyc04g074700.3.1 pep chromosome:SL3.0:4:60703139:60705132:-1 gene:Solyc04g074700.3 transcript:Solyc04g074700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFSFSDSSGKLLYPTEEKNTENLGYSNEFQAMLDGLEDEDGIEESGCGTGKKRRLRVDQVQALEKIFEVDNKLDPDRKVKIAQELGLQPRQIAIWFQNRRARWKTKQLERDYNILKSNYEALQHNYTKVEQEKEGLITELKGLKEKLGEETLLSPVFQRPQEILNKLKNSSDFVDSKDGSSDSDSSGVMNEETYNISTLNYQQLMPKVSSYSNSLDHLSLSSSTYTQLMDPRASNSTSSSMRAYNNNNNNNDNNNSNNQQQQQAVGRIEEQNGFTTEDSCNIYSVDQAPNLYWYFSDHRN >Solyc12g039190.2.1.1 pep chromosome:SL3.0:5:20597322:20597480:-1 gene:Solyc12g039190.2 transcript:Solyc12g039190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFEVKVVRFPSAVTRIDKNSIIEVSEFDVLVLYLFVVRIIIRLFCLSSYS >Solyc10g007500.3.1 pep chromosome:SL3.0:10:1822832:1825333:-1 gene:Solyc10g007500.3 transcript:Solyc10g007500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQICYLNLYLVLAFLQYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLMTDLLGTPSADSISGVRNEKARKYLTSMRKKNPVPFTEKFPGADPLVLRLLQRLLAFDPKDRPTAEEALSDPYFKGLAKIEREPSCRPISNLEFEFERRRVTKEDIKELIFREILEYHPQLQKDYIAGNDGTNFLYPSATDQFRRQFAYLEENNDKSGPVIPLGRKHVSLPRSAVNSSTDPPKARQNFSVFDHTQVTEKSSTDVRVAEKTSGTIQNISRPPHRVHAGTAKPGRVVGPILPYDGRMLTQNTGLLPHGISPHYMFRMNPGNREKCGTESKDATQVRPLPAQCNMSVEMNTNPYYPAQEKVAQLGGQIAIDAKLLQAQTKFGAVGAAAVAVAAHREVGTIQYSLTYRKVTVLHNDILSIISLKGEDDVQRPYLG >Solyc09g013070.3.1 pep chromosome:SL3.0:9:5483429:5484576:1 gene:Solyc09g013070.3 transcript:Solyc09g013070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDQNLYHYSLLSLFLIGPPTFIACQFLTAPYGKHRRSGWGPTISPPLAWFLMESPTLWLTLILFPFGKNHNNPLSLILISPYLFHYTNRTIIYPLKLYFNSKGGSPASGFPKFGEDYPRKRKAVIPFLY >Solyc12g056750.2.1 pep chromosome:SL3.0:12:63789159:63792672:-1 gene:Solyc12g056750.2 transcript:Solyc12g056750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTFLETSTFPHIGNPNFTFPLFHSHMMTQDFSYNNNFQDFEFSSFLDQLFVDYSPTNNNNFNTCILENDNSHLMTQDYSYNGISSNSYYATPPNMNHLKEKSKEGIIKKEIKNNERHVIVLRTKTQLEILDDGYKWRKYGKKKVKSNQKYLRNYYKCSIRGCMIKKRVERDGHDSSYLITTYEGKHNHESYSSIIYNHTEVNSEFELNFHRGR >Solyc11g065040.2.1 pep chromosome:SL3.0:11:50641969:50656451:-1 gene:Solyc11g065040.2 transcript:Solyc11g065040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDYLTQNANMLQGCSVIELGSGVGITGVLCSRFCRGVVMTDHNDEVLKILKKNIKLQESSDGSMCCTELKAEKLEWGSFDQLNCILQEHPEGFDLVLGADIYILVDFSPTANVPLLFDTVERLLRDRDQKKCKFILAYVSRAKVMDAMVINEAIRHGLQINEVSGTRRIIKNLEGVIFEITTNH >Solyc11g005900.2.1.1 pep chromosome:SL3.0:11:712840:715102:-1 gene:Solyc11g005900.2 transcript:Solyc11g005900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFRRFYTSLANSNRNPFLLYRNLTNPFAVFDPNPQFLSTQRILKNWVQRPIRVSKRFVSSFPAMAEGRRPTHVPIPPPETADKAELYRALEAAVGSQFSSEPLAPEPHPLIIVVSGPSGVGKDAVIKRLREVRENIHFVVTATTRGKRPGEVDGKDYFFTSKEEFLSMIERHELLEYALVYGDYKGIPKQQIRDHMAKGLDIVLRVDIQGAATLRRILRNSAVFVFLVAESEDALVKRLIDRKTETKETLLVRIATSREEVRHMGEFDYVVVNREGELENSVKLMESIIDAEKAKVRQRTVTI >Solyc11g021020.1.1.1 pep chromosome:SL3.0:11:13216024:13216401:1 gene:Solyc11g021020.1 transcript:Solyc11g021020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKLALKNVTVEFHMGFAQFYKLKELMEYCSGIVGCKYFSKDYTFICDGESKWFGEKAYTKECDPRIDIGICASDLTKKVDALCTNCCAGKKGCNYFDENGTFICEGESEGENTLQKSNVAIS >Solyc06g075335.1.1 pep chromosome:SL3.0:6:46901888:46903652:-1 gene:Solyc06g075335.1 transcript:Solyc06g075335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNFKIWSPTKRRSPPQHRRLPALYCRQLTLHLHRGWWPHLVLPVRYYEGDARRNVLAPYFPPSEPIKFTIAHRVFGASNIIN >Solyc08g006910.3.1 pep chromosome:SL3.0:8:1465943:1493155:1 gene:Solyc08g006910.3 transcript:Solyc08g006910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFPIGGLKGRSGKQPAVQENINQLGLQFSNKSGIKRKAPLSSLASVCIKATISGVAKKKTISCYSQGDDDLVNASSSIDASTKKFATPQEETSFKEISNLDTKMSKLLCCHFNSKGEVLATGGDNGKVLIWELGNNRTCSVQGHAHQVTDVCFRPNSTAFASSSFDRTVKMWDATKRNNPFQNLVGHDGHVMSIDFHPTKLSLLSSCDSNGEIRLWDVKSGDCKLNFKGGISQVRFPPQLGDFLASSTGNIINIFDVETNKIQKKLQGHIKDIHSICWETSGSYLASVSEDSARIWSVSDGKCLYEVYSSGNKFQSCTFRFGRPLELVIGSDKFLEVWNPFFQSNITRPYIAHSGTINSLVDSPSKGIVASVSDDQWIRIWK >Solyc03g115750.1.1.1 pep chromosome:SL3.0:3:66854027:66855754:1 gene:Solyc03g115750.1 transcript:Solyc03g115750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSLTTRSRNKFWFVFFFLFVSWYLLLYGIDWSSLPGFVTTSRYEVNSIESFRPPPHHENVHNVSSNFNATSVDDDNASNETTRSKEEESLSNENDNVVVPDLEELQKELEPLLRKMEPPKEEKKIEKEVEKKGGKCAGRYIYVAEIPSKFNEIMLKECKLLNKWEDMCQYLVNMGLGPDLGNPQRIFMNKGWYTTNQFSLEVLFHNRMKQYDCLTNDSSVASAVFVPYYSGFDVARYLWDDFNTSMRDAGAIEVAKFLKEKPEWKTMWGRDHFMIAGRITWDFRRGIEEDSAWGNKLMLLPEAKNMTILTIESSPWNRNDFAIPYPTYFHPSSDSDVVQWQNRMRKLRRRVLFSFAGAPRPQLEDSIRSEIMEQCSATRRKCKLLECKDLHNKCNKPEHVMRLFQSSIFCLQPSGDSFTRRSTFDSILAGCIPVFFTPGSAYVQYIWHLPKDYTKYSVLIPEDDVRKKKVSIENVLSKIPKSQVAAMREEVIKLIPNVVYADPRTRLETVKDAFDLAVKGVLERVDVIRKEMRQGKYSSMIFDEEFSWKYHTFGTLQKHEWDSFFLRTNKEKY >Solyc02g062160.1.1.1 pep chromosome:SL3.0:2:34277531:34277995:-1 gene:Solyc02g062160.1 transcript:Solyc02g062160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSFHQSPLPTPADPFTSGGNSMLSKSCVRFRQSGSFSVAATSYSTSVATCVPVYVSPASFYEILGIPIGATIEEVKAAYRRLVRVYHPDVAAIDQKDSYADEFMKIRAAYCTLFDPNKRAQYDRSLYPRRRTGNLYSAAHTRRNWETDQCW >Solyc02g091085.1.1 pep chromosome:SL3.0:2:53136144:53136786:-1 gene:Solyc02g091085.1 transcript:Solyc02g091085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSLKWWSFEVLILLSGLLPNPKLETSVLSTWYVCMQHFMITYFMLNSSFVYSTDPHILFISLMISSLYFFIPFGLVVVARLVQYSYNGLLVGSAIQGTSFSLILGFTDYL >Solyc03g096630.1.1.1 pep chromosome:SL3.0:3:60281185:60282489:1 gene:Solyc03g096630.1 transcript:Solyc03g096630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVDEIVEPWGDPMRELLEVEENISYNDLKKRMWKDRMKLEKLKAKRDMSSSKDDSDETEAKQEEQSRRKKMSRAQDSILKYMVKIMEVCQGQGFVYGIVGEKGKPVCGSSDSLREWWKEKVRFEQSAPAAIADFLPKLVEDNIILDTSSHIHHLEDLRDGTLSTLLSTLMQHCHPPQRIFPLERGLAPPWWPTGKELWWGDQGLSQEQGPPPYRKPHDLKKAWKITVLAAIIKNMTPNLDRMRRFVTQSRSLQNKMTAKETATWSKLVNQEEALVNLTDKSLKISSSHKEEDLSLVHKNVFIDKSARRRKYKRKCNFEHDTRCPYRENGGLNDQESINCEDQLEAQTLPREEWIAKEEEISPHDWMNMEIQKSFNNYDAQFTACGGIQKNFESYSGENHVLEQLLSDPETCGSINIDGTQSEAEIATSIWEF >Solyc12g099030.2.1.1 pep chromosome:SL3.0:12:67317151:67319407:1 gene:Solyc12g099030.2 transcript:Solyc12g099030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Solyc09g074117.1.1 pep chromosome:SL3.0:9:66282439:66283173:-1 gene:Solyc09g074117.1 transcript:Solyc09g074117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSYYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc03g007880.2.1 pep chromosome:SL3.0:3:2403851:2409302:-1 gene:Solyc03g007880.2 transcript:Solyc03g007880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLERAVGIGGNQNHCRDLKVGGSNSYASHSSKGTKSLTNTAFSVQEDVQSKNDTFQDDVLGNKSTHWRKQIANLSEAQKSVIKFVDDEKSHCKTHGSINGAITTQQEQLLDSEPKASIAIINLEEFETRQFGESLEYGQTAQVKTITHI >Solyc08g061065.1.1 pep chromosome:SL3.0:8:46653386:46655794:1 gene:Solyc08g061065.1 transcript:Solyc08g061065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRLARKGWYYFLDVYSGYNKIYIAPKDQEKTTFTCTYGTFVFRRISFGLCNAPATFQRCMMSIYSDMVEDTIEGMYGLPKIKCMTEKDHFPMPFMDQMLDRLARKRCYYILDIYSGYNLIYIAPKDQEKTTFTCTYGTFMFKRMSLGLCNAPVTFQRCMMSIYSDMVEDTIE >Solyc06g008807.1.1 pep chromosome:SL3.0:6:2752769:2755291:-1 gene:Solyc06g008807.1 transcript:Solyc06g008807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKDRNSISLVCKSWYEAERCCRKSVFVGNCYAVSPSILIRRFPDLRFVTIKGKPHFPWAVSMAKTYPFLEEIWLKRMVVCDESLELISSESWFYSLVKDFWGFGGKTLNLFEVLVLQSFEGLTTGLCGVEEVVGFVEHYCWSSLVFTGEKEFKSLHLWGNCFEKILEGFVEHCCLSTLALAGDFIKLI >Solyc05g056000.3.1 pep chromosome:SL3.0:5:66245110:66246971:1 gene:Solyc05g056000.3 transcript:Solyc05g056000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHPVAEANELSPFGTLSQSEFYSHHSVTHNSEFITNSRGLKLFTQWWSPLPPTKIIGVVCVVHGFTGESSWFVQLTAVHIAKNGFIVCAIDHQGHGFSDGLIAHIPDLNLVVDDCISFFDSFRDLHVPPSLPSFLYAESLGGAIALLITLREGDSAPRRSFDGVVLNGAMYGISNKFKPPWPLEHLLGIAAVLIPTWPVVPTRGSLPLVAFKEEWKRKLAIASPRRPLIKPRPATARELMRVCRELQGRFEEVKVPFLIVHGSDDVICDPACAEELYRRSTSKDKTLKIYPGMWHQLVGELEENVEQVFGDVVEWLKMRADVSTGDKATVDGGA >Solyc01g105890.3.1 pep chromosome:SL3.0:1:93806873:93815695:1 gene:Solyc01g105890.3 transcript:Solyc01g105890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILSNIGMMVVTFKRPSLFTSLRRRSANNIIITKHSHPISTTRRSGNYKPTMWDFQFIQSLHNPYEGDKYMKRLNKLKKEVKKMMMTVEGSHDEELEKLELIDNLERLGVSYHFKDEIMQIMRSINININIAPPDSLYTTALKFRLLRQHGFHISQDILNDFKDENGNLKQSICKDTKGMLEFYEASFLSTETEITLKNATIFTVSKDEHDNLKQSTCNNTKGLLKLYEASFLSIENESFLRNTTKSTLAHLMRYVDQNRCGEEDNMIVELVVHALELPRHWMVPRLETRWYISIYERMSNANPLLLELAKLDFNIVQATHQQDLRILSRWWKNTGLAEKLPFSRDILVENMFWAVGALFEPQHSYFRRLITKVIVFISIIDDIYDVYGTLDELELFTLAIQRWDTKAMEQLPDYMKVCYLALINIINEVAYEVLKNHDINVLPYLTKSWADLCKSYLQEAKWYHNGYKPNLEEYMDNARISIGVPMVLVHSLFLVTNQITKEALDSLTNYPDIIRWSATIFRLNDDLGTSSDELKRGDVSKSIQCYMNEKGASEEEAIEHIEFLIQETWEAMNTAQSKNSPLSETFIEVAKNITKASHFMYLHSDVKRMMMVTFKRPSLFTSLRRHSANNIIITKHSHPISATRRSGNYKPTMWDFQFIQSLHNPYAGDKYMKRLNEVKKEAKKMMMTVEGSHDEELEKLELIDNLERLGVSYHFKDEIMQILRSINININIAPPDSLYTTALKFRLLRQHGFHISQDDDITVELVVHALELPRHWMMPRLETELYIRIYGRMSNANPLLLELAKLDFNIVQATHQQDFEKSVKVRWWKSMSLAEKLSFSRDRLVEDFFWSVGLAFEPQHSLCRRMLAKNVAFIIVIDDIYDVYGSLDELEIFTRAVERWDIKAMEQLPDYMKLCYLSLFNTTNEMAYHILKQQGINVLPYLTKQWTDLCKSYLQEAKWYHNGHKPRLEEYMDNAWISIGIPLVLLHAFIFLTNPITKEALESLNKNPDIIHRCAIINRSVDDLGTSSYELKRGDVPKSIQCYMNDTVVSEEEARKHINLLTKEMWEVMNKDQISKQVLFSEEFIKIVFNFSRTSHCMYQHGDGHGI >Solyc10g009000.3.1 pep chromosome:SL3.0:10:3020933:3028790:1 gene:Solyc10g009000.3 transcript:Solyc10g009000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPEALADENYVTVPRGGPIYISDMVGPLTKVADFEVSIFHELERLKAELSSDSLEMFDDEISIEDLKIICEEELVAQAFEEAFKDDELGILNNKGPDKSKTNKRKRKKNTVDEHYILKVEQLAKVKEKQEEEKAAARLHSFNGSCSSSHSAPTSSSKSGRMISLKSGSLGTKVRAVNTREHIALQFPEAILCVEIYHYKKTWTKTQEFLVLGRQFLTEMRDKIYCITDEIMKKTGKNDPSGFFLVEDVFCNDFRHPSATDYSKPILDWLQDSRSEAVEKWESIASGELPQKQKALFGSKIGPQLPHFKTIQMQKTRFCDLWFRLGAGYLYCHQGDCKHLVVIRDMRMIHPEDVQNRAAYPLITFQPKLRFQKCSVCKIFKAVKVTVDDKWAAENPCYFCELCYYMLHYVDGSLLYDDFSVYEYLHE >Solyc09g056385.1.1.1 pep chromosome:SL3.0:9:49157529:49158245:-1 gene:Solyc09g056385.1 transcript:Solyc09g056385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFFNLLFTFLLVLLFHISPSTSLTCSSQTFSSNTRFTNCTDLPSLKSFLHWTFDPAKSTLSVAFLASPASPDGWIAWGINPVAPAMIGTQSLIAFKDSKGVMTVKTYNLTSYKSITESKLMYNVVDSKAESADGMMKIFATLELPANTKTVNQVWQVGSAVTDGRPAIHKFEPDNLKSKGILDLATSADNKTNATSSSASAGQSGNETGGSSTILKTETNFFAFLFFIGVLLLQL >Solyc01g091030.3.1.1 pep chromosome:SL3.0:1:84622757:84623236:1 gene:Solyc01g091030.3 transcript:Solyc01g091030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFRGFVLKHRITTLFRCVYRRRRFPAKYRRLDRHPSWGSNSISKFINWTRRLKTRAKAICSKGNCSGSGRGYIHVGQDPIKEESVPKGHLAVYVGRKDGDYKRVLVPVIYINHPLFSELLREAEEEYGFNHPGGITIPCRISEFERVQTRIEQGRLG >Solyc06g009985.1.1 pep chromosome:SL3.0:6:4630304:4661958:1 gene:Solyc06g009985.1 transcript:Solyc06g009985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGFKLKASQVGSRKLGFGTKKPHLRSLVRGVVMNPVDHPHGGGEGRAPIGRKNPQPLGVILHLEEEVERGINIVII >Solyc10g081110.2.1 pep chromosome:SL3.0:10:62378608:62383835:-1 gene:Solyc10g081110.2 transcript:Solyc10g081110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQELHRNLVSGNGGFEPRYFRGLSTPFSFSLTRLASCFNLHNQSFDDYGDGVSYCDYGYSRPIVFLDVIWNLAFVLVSCFVLLTTLGEKPSTPLRLWIGGYALQCLLHVGFIWVEFQRRSFDDFDAGNFDGASSFSLLHSSSIMKRLESVNTVISSIWWVFGFYWIVMGGQELLQDSPRLYWLSVVFLAFDVFFMIFCIAMGIVVFFAFFCFFPFIATVAYAMRLGDGASENDIKTLPKYRYGQLNTSGNLVKMKTGEDHSISQLNSSASVPELALKPDDSECCICLYKYVEGAELCVLPCNHHFHNGCISKWLRINATCPLCKFNILRGDTLV >Solyc09g007140.3.1 pep chromosome:SL3.0:9:769692:771078:-1 gene:Solyc09g007140.3 transcript:Solyc09g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFNIFNTNNTKNYKFHKFSKIKIKMDSKGAAPNQVYEDFVPTTELVQEQDSDTLLLDLTGFRKEQVRVQLTRTGVVKISGQRPVAENKWLRFQKDFPVSQNCDRTKISAKFENGILYVKQPKLITTSPQKKDQELPTSDPQQPNDEPQPTSQKKDEQQTQDEKTQTEELPKHQATNAEKPEMEEQDTKETPAEYTGASSTMEEENKPSYACKLDKDAYTRTADVVAEKLKMPRKLMNMTLIALLVLGIGLYISNKMKSNN >Solyc01g013870.1.1.1 pep chromosome:SL3.0:1:10223460:10223696:-1 gene:Solyc01g013870.1 transcript:Solyc01g013870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLVELDQEERGDSLMISWQAVLMGYGCGLFIGLSIIYIILSTQYPIRFSRMYFKLEHKIITRMKTVLVIVTSRNSV >Solyc11g072260.2.1 pep chromosome:SL3.0:11:55761560:55764826:-1 gene:Solyc11g072260.2 transcript:Solyc11g072260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISAPDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Solyc04g039730.3.1 pep chromosome:SL3.0:4:12728200:12734301:-1 gene:Solyc04g039730.3 transcript:Solyc04g039730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKWCMFLYVVALMESCYASLSPTGINYEVVALIEIKKALHDPYNVLENWDVTSVDPCSWRMVTCSNDGYVSSLGLPSQSLSGTLSPGIGNLTKLESILLQNNAIYGYIPDVVGNLESLQTLDLSNNKFDGEIPASFGDLNNLNYLRLNNNSLTGNIPQSLSNIGGLALVDVSFNNLSGPLPKISARAFKVVGNPLICGQSSGNNCSAVYPEPLSFPPDSLEDQRARSKNHHAAVAFGASFGATFLVIVVISLVLWWRYRHNQQIFFDVNEQYDPEVCLGHLKRYVFKELRTATDHFSSKNILGSGGFGVVYKGRLNNGTVVAVKRLKDYNAVGGEIQFQTEVELISLAVHRNLLRLWGFCSTESERLLVYPYMPNGSVAARLKDHIHGRPVLDWSRRKGIAVGTARGLVYLHEQCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKAVEFGRGANQKGVMLDWVKKLHVEKKLNLMVDKDLKNNFDGIELEEMVQVALLCTHFIPTYRPKMSEVLRMLEGDGLAEKWEASQKVETPTPRFTTSENTPKRYSDYIQESSLVVEAMELSGPR >Solyc02g069290.3.1 pep chromosome:SL3.0:2:39784725:39796262:-1 gene:Solyc02g069290.3 transcript:Solyc02g069290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQELLADEGFEKTKKTHRKVKFKDREDSNNIALPIYICHDRRSSSLDFSKTKSRRPFSTTTTSSVHSSQKSNVKSTHTHVGGNITRRDEPAIDEIAIRAVISILAGFVGQYSRDKDFRKAIKEKCYACFVRKKDGIFADIELAIESIERLVDSIGDTKREVKVKSLQYSIRLLTIVASLNSNNSGNASTCGIPNSNLSACAQLYLSIVYKLEKNDRIAARHLLQVFVDSPCIARTHLLPELWEHLFLPHLLHLKIWHTQELEVLSSSDYAEKEKHMKVLNKLYNDHVDIGTTKFALYYKQWLKVGAQAPAVPSVPLPSKVGYSTSRRRSMDSVTSNSSVKNNSLYRAVFGPITERKSMDDARNGIWDYEEDEKEKILSIGDDFKQSNYSPKKTVVHRRSSSQSNRTPKHDQWDHTHKKSDRFPYFSCQSEPVECLREGNSKIGSVSIRKEEEIIPSVSNDLSRAIFAICSSDSLSECELAIRLVAKSWLDSHGDLETVKRLSTTPVIEGIVNVLFASEDDEILELAISILAELVTRKETNGQIILNSDSQLDIFLRLLRSSSLFLKAAILLYLVQPKAKQMISIEWIPLVLRVLEFADQLQTLFTVQRSPQEAAYYLLDQLLTGFDEDKNFENCRQVISLGGLSLLLRRVETGNVSEKSKVASVMYYCVQSDGSCRHYLAKNLNKDCLLPLLLLQNQHNTRGHVFALLTDLLCIDKQIQRIEFLRGLLSGWGMVNALHILLLYLQRAQQEERPVISAILLQLDLLGDPNECSVYREEVIEEIIKALNCQVFNEKVQVQSARALLILGSCFSYAGEPVVEQCLLKEAGYDENAGDSYLGKNFILNSHTNLNEEEEATRNWQRKTAIVLLNSGNKRLLSGLVDSIANGIPCLGRASLVTVTWMSNFFCFIEDKGVQSLVYSELIPELIKLLKYNNAIEERVLASLSLLKLANNSDYLAKLSPLDKELINDLHQLSEVTWTAKELVSIISSSSRHHQQLNVP >Solyc09g090557.1.1 pep chromosome:SL3.0:9:70536110:70541721:1 gene:Solyc09g090557.1 transcript:Solyc09g090557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSIATTSFSSCVSGEDYGKFMRKVQPLMNVKFGKAVRSRIMMGNVNDGKGLFAPIVVITRNIVGKKRFNQLRGKAIALHSQVITEFCKSIGADQKQRQGLIRLAKKNGEKLGFLA >Solyc07g022910.3.1 pep chromosome:SL3.0:7:23221983:23224900:-1 gene:Solyc07g022910.3 transcript:Solyc07g022910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAKDVKGSCPQECAPKPEVSVPKEDHSHSANPTRQHMNETANSEIQEPSIKSDGAGNISKTASVQSAVPTVQQEASPKLVEDLKSLEPPTALSETSSSSILDAMNQPNNTTDAPTEEHDDSPLLTMNSNPASLKEENLKESSDHIQSDSLRGEKNNVSLLQHNNSRSIYVVSADTNSSSSQEQKHKYNIHVEVPNTGQSLTKASCLTVKIPEPSANSKPPNNSVINRVKIDTAAPIESVKQAVSKFGGIVDWKAHRVQTVERRKVVDQELANVQEEIPVYKKQSQAAEEAKMMVVKELDSTKRLIEELKLNLERAQTEEQQAKQDSELAKLRVEEMEQGIADEASIAAKAQLEVAKARHETAVSELKNVDYELKNLHKQYDLLVSERYDAMQNAEEAVSASKKVEKEVEYLTIELITTKESLEAAQTAHLEAEEHRIGAAMAREQDTLNWEKELKQAEDELEKLNQQIRSSKDLKAKLDTASALLLDLKAEFASYMESKLKQETVEGGNFNELSEPEKRTHANIQAAVALATRELEEVKLNIEKATDDVNCLKVAATSLKAELKKEKLELASIQQREGMASIAVASLEAELNKTKSEIGLVQMKEKEVREKVVELPKKLQDAAQEADRAKSLAQTACEELRKAKEEAEQAKAGASTMGSRLIAANKEIEAAKASEKLALEAINALQESELARSTNNEDSPSGVTLSLEEYYDLSKLAHEAEEQANKSLAAAITQIEVSKESEVRSLSRLEEVNREMTTQKEALEIAMKKAEKAKEGKLAVEQELRKWRAEHRQRRKAAESLPLINTIRSPRTSFEESKASKTYERAPEAASLHHRSSPRAYEPASNTEIDTSPEVKIPKKKKRSFFPRLLMLLGRKKSQAKTA >Solyc05g042180.2.1 pep chromosome:SL3.0:5:56018759:56021322:-1 gene:Solyc05g042180.2 transcript:Solyc05g042180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKETCASICVLEGTVGNADQIVLISEVSPAMDAAIRIFICVAGLNNDDRGAVAAFVSSKLLVASAQAIHLIAISDYQDPYLLDHGTAVYSKTPRSTLPRYGQDIVTKITKVMHVPLGYAEEIIGAEGFNIAYIRRTSGATLTVQGSRVPQEITIEIKGTSSKSSLTTTKSQPHERMGRVSLSLVLFRVMETLILHHLRFHLKVLRGMDRLFHFRVLKGYGSSSLGGGYNSCRYQGQ >Solyc12g094480.2.1.1 pep chromosome:SL3.0:12:65679729:65683467:-1 gene:Solyc12g094480.2 transcript:Solyc12g094480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIPPPRSVASGGFQNPNKSLSFFANAMKRKDSFIQFFAMTGILLLSLRSLGQKYRIHNLMEDNAALEEEQQGLVRRMDHIRQSLLAEAAAEPTGLFASRLRRLFGEDC >Solyc05g009350.3.1 pep chromosome:SL3.0:5:3489501:3497245:1 gene:Solyc05g009350.3 transcript:Solyc05g009350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQIGALKPLQNLYPLPPRRRLLMSKTYSTLLQILSDCRSTDSTTDKQKLDDNDSSKLGKENEVGKSVDHHLIRSENLLGTGPAHNESNNLVEQQGSGDRNEGNSRYGYGDFTRARMQVDSDLSNHTSNAVEGDRGDFITNDIIDTNGLVHKTLGCNYQMVKEPGNRCSTHETEVKEPDLIRPVELDKDSSIDDVSAAIESCFGADAIADISQPAEFSGEKMDVSETHLSEEMKHGLRVKEVELETLISSAGAAESSVHVPMGEEMEEGEAFGDFMVFDESDYDILKRIGNEKKDGADESPADISGREEFAFDVHVDAPQRKDAYASSSIDAVDEDNTFVGGEFIRKFSEEPQDNVEKVFHSKDVETRKVCVYDTILDSENDAKQVGGDMKFDHPAGSQFDSTSGVNAKKRKHSKVAAENENIGKKEKKRGPLTKESKARKKAKQRSKRAEERIKLGVKRLKLPPVVKPKVVKYCRHYLKGRCLEGEACKFSHDTIPLTKSKPCCHFARQSCMKGDDCPFDHQLSKYPCDKYASHGFCNRGSSCLFSHEVTTVKTDAVTSPIASNSLVQVNTNGMSHKDVNSTSSSAGLVPRNSTERTVLEHVRKPAASTPKGITFLSHGKSLQGDARKHEEVGLPSKANGVDNIQKPNGFMKGASTRTPQGMNFLSFGRAPSAEPSGDTLSGMLNMDYGVDKLQLVDMKAKLGSINQMSTRTPPGSVPRGVNFLSVDEAVEDRSHPIECYSASSLIQRQSAPNTTSSKMPFRQLSSIFPAGQSLIQSAQKCNAEIASSPKAPFLANTPSSIQKALQSTLAFAAKFDLGVKCGMSNGSRH >Solyc06g082770.3.1 pep chromosome:SL3.0:6:48503125:48505692:1 gene:Solyc06g082770.3 transcript:Solyc06g082770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLSKKNKHMPCSACKLLRRRCTKDCIFLPYFPPTEPHKFVVVHRIFGASNITKMLQQEIPMDNREDAVISMVYEATARLRDPVYGSVGIISALQKHIFHLQSELNEASAEAMSLRTQLSDASTSSLPSSLMQVSPFTPENHEFHHSQNSSQQNAYSNNDLQLIPPEAADYCFQQTDQVLHPLPY >Solyc08g014500.2.1.1 pep chromosome:SL3.0:8:4621882:4622024:-1 gene:Solyc08g014500.2 transcript:Solyc08g014500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSNKIIKCTLTNIFKKKKIGGNMRTFDFFSCQTSYL >Solyc10g078260.2.1 pep chromosome:SL3.0:10:60224323:60225338:-1 gene:Solyc10g078260.2 transcript:Solyc10g078260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCEFQASKFIQFDHSFNNDEKFRANTLRLSCYLNNAACKLKMGEHQEVSKLCSKVIEYDPCNVKALFRRAQAYLRINELEKAEIDINKALEVDPTNRDVKVMYKELKNKQKQYTQQEVEIFSTMLSKLKTIL >Solyc06g068210.2.1 pep chromosome:SL3.0:6:42368606:42375000:-1 gene:Solyc06g068210.2 transcript:Solyc06g068210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGMGTSEQLPVGEGSDNEAASEEVFDITCNGTESDGDQVLNIEANELGTTAGQILEFESHEAEKNCEHVLNFESGVPRDNSHRLSEFHSNGLEDPRCGDTAINDCHTGSSLGKSYPPPVVGMEFESYEDAYNYYNCYAKELGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTMKEANSRRKETRTGCLAMVRLRLVESNRWRVDEVKLEHNHLFDPERVQNSKSHKKMDAGVKRKLEPAVDVEVRTIKLYRTPAVETSGEGSSDERAASSQIDGSTRLKLKEGDTQVIYNFFCRMQLTKPNFFYIMDFDDEGYLKNVFWIDSRSRAAYAYFGDVVVVDTTCLSKKYDIPLLAFFGLNHHKETLLLGCSLLADESFETYVWLLRAWLSCMSGRPPQTIITDRCKALQNAISEIFPRANHRLNLSIILDSIVNSVGEVGESEVFHEVLYNTVYGSLKIDEFEVAWEEMAQRFGCGGYGWFQSLYDDRERWAPIYMKDTFLAGISIDQNGEFMCPFFDGFVHKETNLREFFDIYDFVLQKNHQKEVVCDLDSKEFSPVLRTRCNYELQLSKLYTKEIFLKFQEEMDLMSNCSGIAQIHTNGPIITYMVKERGVQGDMSDARDVEVTYDKIGVEVRCMCSCFNFRGYLCRHALSVLNYNGIEEIPNHYILTRWRKDFKRLYAPELGSSNIDISNPVQLFDHLHRRAMQVVDEGMVSQHHYMVAWQAFKESLNKVRLAADKPYGFGVRVSNTWYRKSRERYRGKLSCSNAGFKKKSEANRPRPETRTGCPAMIKFRLTENKRWRIIEVELEHNHLIHPSTEKFYKSHRNNSSGRKRPLQMTGNQENHKIRLFRTVIIDPDDDGEFRNMIDQSNNHLILKPGDAQAILSFFTDLQLVNPDFFYVMDLNEKGCPRNVFWAEARCKATYGYFGDVVKIATACLISKYEVPLVVFAGVNHHGQSVPLGCGLVAGETVESFIWLLRAWLTYMIGRPPQTVIIDQSSAMQTAIADFWRNWVGYTHKVIKKAFTEAISQSSRIDDFETAWEDMMLYHGIRDHKWLQTLHENRKHWVPVYLKDTFLAGMFGVTLEEREVSSPFDEYLSDHTPLKEFLDGYNQCLKEIYQREALADSESRNPSCMLKSRIYFEMQLSKIYTNPIFVKFQIEIEGMFSCLSTRQIGI >Solyc04g015990.2.1 pep chromosome:SL3.0:4:6590668:6593627:1 gene:Solyc04g015990.2 transcript:Solyc04g015990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLKQNDTKVECRNQITIRIASMSIYLLGFFFAMFLCNCVHLLLRPISQPRIIAESMVGLLLSNLEFVRSRFLNDSEVQQTLNNIVDAIMVCHMFVVGLEIDPNIFLQLTLPEAKVAYSGVLSTFVLACLITPLLNISKQSNAVFSSCLAIVLAGTDSPLLTRLITDLKIGKSDIGRFIVDAGIHSDVVSILLIAIGYLIFDPDKNFQNRSVIMMLKMMAILVFQTLLASKVVPSVMNWVNDENPEGKPMKGSHLVVALAFIILICSMSPVVGYSKVLSSFLVGLFMPREGRISKMMIGKVNYIFRTIFYPLFFFWVGTEAKLSEFEAGKIASWGKIIIPFIIATSGKVVGSVVSGLMLGFHWPESVATGLLLNIKGHFQVYLAVNAYRMNVISMSTSIALVFVTFLTIIYTPVVVAKIIERARRRSPTQKMALQCVNPVNELRILLCIHSPQDVNSAINFMEISRGPVNPGIMVFLTDMIDLTDQIAATLITQGEGIDAITVTDPTVVEMREKITQDVNGYLNDNCQGVSLRRMMALSTINNMHQDISILAEDLMAHLIILPFHKNQEEDGRLQVGHTGFRHINRKVLRNAPCSVGILVDRGLGKTVISRSSISLNAAVIFIGGKDDREALVYAGRVARHPGVKLTVIRFLLEAAGDSVSSRISKAKVLTSEHLEEMKIDDECFAEFYDKHVAGGRVAYVEKYLVNSGQTFSTLRSLEGQYGLFIVGRGGRVNSVLTVGMSDWEECPELGPIGDILSASDFSVTASVLIIQQHSLKGELDGLHDEFSIM >Solyc08g067280.1.1.1 pep chromosome:SL3.0:8:56397935:56398096:-1 gene:Solyc08g067280.1 transcript:Solyc08g067280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGIRFPVQIRSDLTNRERKKEANSIFFSLFDVIQHHSGLFSFLPLILFFKI >Solyc04g015430.3.1 pep chromosome:SL3.0:4:5622872:5625926:-1 gene:Solyc04g015430.3 transcript:Solyc04g015430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAVSVVDKLKSFAKSTQDLASVVFRNREVSNPIEILKRLQREAFSDIMKLRDRQEKLERELAFFKSSKGSPFQETSTHVRGEFDAVGALLMIGTIDESKCDAIENAIRTGKGAD >Solyc12g038403.1.1 pep chromosome:SL3.0:12:50696445:50697135:-1 gene:Solyc12g038403.1 transcript:Solyc12g038403.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFMSSFASLCWFSLMISYFIAVVGRTIWFKCVKLFVIARTQVVFETVQMLLWYNSSRIFGAYYFSYRCGSREEQSTSYYRKFIRDYGQLAAPLTGLLKKNSFQWSDLTLDAFQKLQQALSSAPVLRLPDFTMNFTVECDASGGGIGAVMQQEGQPIAFFSRQLAARHQKLAAYERELIGLAKAVQHWRPYLWERPFLIRTDQYSLK >Solyc10g012150.1.1.1 pep chromosome:SL3.0:10:4490406:4490606:-1 gene:Solyc10g012150.1 transcript:Solyc10g012150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYLDKIFLLLAIKNEIIDPFESLITWNESIPLCQWRGVVCGTQNQRVIELNLLDHKLTGVLITL >Solyc02g079810.2.1 pep chromosome:SL3.0:2:44794468:44796350:-1 gene:Solyc02g079810.2 transcript:Solyc02g079810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKETIITDAITYIRELQMNVDNLSEQLLEMEATQGEELETKNEEIIDTADEMGKWGIEDSKTTLIFVFLKQPEVQVANIGPTKLWIKIVCQKKRGGLTKLMEAMNALGFDINDTSATASKGAILITSSVEVGETYVKKVVRGGLTEANRIREILLEIIHGIY >Solyc04g049107.1.1 pep chromosome:SL3.0:4:39632755:39635974:1 gene:Solyc04g049107.1 transcript:Solyc04g049107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQDAWRRHRSDLKLNYYDPYDNDAVRMAKKPGHIPECQFKELLKYWNSEKFKKMSETNAKNRKKLMNPHTAGKKSFALVRNKLEKDKETVSSKDLFVVTRTKKPGRLYKASNEDTTSKIAEMEEIEKQISINGEYVDAFSSVMGPKHPGRLRLYGAGVTKTTLKKKVGNSESTLSATTDGMQQKQERMQKMEKQMEEQKKIVRQEVIVDVIA >Solyc06g083960.2.1 pep chromosome:SL3.0:6:49284619:49296678:-1 gene:Solyc06g083960.2 transcript:Solyc06g083960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGIPVNITVGSHVWIEDPSVAWIDAQVSEVNGQEVQVQTSDGRTVVATLSKTHPKDEDAPDGGVDDMTELSYLHEPGVLHNLATRYQLNEIYTYTGSILIAINPFQKLPDLYDGRMMAKYKGVQLGKLSPHVFAIADAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGHKGTQKRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEEIKRYKLGDPKSFRYLNQSNCYELVGVNDAHDYLEIRRAMDIVGISEKEQEAIFSVVASVLHLGNIEFEKGNEVDSSVVKDDKSRFHLQTTAELLMCDVTSLEDALLRRVMVTPEEVIKRSLDPEAAAVSRDGLAKTLYSRLFDWLVDKINNSIGQDPHSKSLIGVLDIYGFESFKSNSFEQFCINYTNEKLQQHFNQHMFKTEQEEYTKEEIDWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQIFKTNKRFVKPKLSRTDFTISHYAGEVQYQSDQFLDKNKDYVVPEHQDLLSASKCPFVVGLFPPVAEESTKSSSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNSLLKPAIFENINIMQQLRCGGVLEAIRISCAGYPTHKTFSEFINRFGVLAPEVFQEQDDEKVVCKKILEKIGLAGYQIGQTKIFLRSGQMAELDAHRALKLTSAVKTIQKETRCHISRRDYASLQKVAICLQSLCRARIAVKSYVALKREAASLKIQTKLRGHLARKSYTKLKLAVIALQTGIRVAAARAKFRYEKRTKGALIIQAYERRHKVHSYYKKLIWASIFTQCRWRGIVARRELRKLKMASKETGALIEAKDKLEKQVEELRLQLQMEKRLRMDLEKAKDQEIAKLQNSMRDMQSKLSETDTLPIREYEAAPKAVEEDSLIVDKKPILVEDEEIIESLTAELKTLKVLYQKEKQRADDSERKCAEVQESSKEKCRKLEETERKVQQLQDSVNRYLYSNNWYQI >Solyc08g021970.1.1.1 pep chromosome:SL3.0:8:34101429:34101662:1 gene:Solyc08g021970.1 transcript:Solyc08g021970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVAPLVSVLREVDSEEKPCIRHLYDLINKAKEKISMNCRYNEKKYGPIWKRIDEKRTRQLNRPLHVAGYYLNPQL >Solyc05g015654.1.1.1 pep chromosome:SL3.0:5:11654411:11656159:-1 gene:Solyc05g015654.1 transcript:Solyc05g015654.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNQSIAIAVQSHSSSSTHLSITDSNSQTIALPGLPIKLDRENYYLWQSTVYSAFEAFYLEGHLDGTNSPSATILTTTQGTSASTTNPAFTAWKKRDKILLLWLKTTMSHSIIPYIMHIRTTREAWSYLSNLYQSQSRARVMQLCHQLQTTTKGSSTIMDYVDKKQTISHSLALAARPITDEELMSAILFGLDSSYGPFCSAINPHLDNLTTDSLLGLLLQEEKKLVEETKSFQLQANAISRQYSNRSPITGYPNQQSVTTSQKSSTRPTNTNPPRSSNRSSPRIICQICEKPNHHARNCYNHNNMDTYPPTRSSNRPQANMVTPSTNSMMSPSDIIDNSWFADSGATNNVTSDLSQLSIHTDYNSEDQLAVGNGQKLSINHIGSSKISCATRPLHLNKILHVPSITKSLLSVSQFTKDNNVFMEFHPSCCFVKDPQGKILLRGSIDDGLYCFDGGGLPVISSSTPRAFVISRASLQAWHERLGHPHEQLLHRLVSSFNLPVTSNKMPAVCGSCQLGKSHRLPLASSLSRSLFPFDLVYSDVWGPSPHISINGNKYFVQFLDDSTKFVLDIFLVNKITSI >Solyc02g032650.3.1 pep chromosome:SL3.0:2:29384219:29392028:1 gene:Solyc02g032650.3 transcript:Solyc02g032650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRVEEASNVKSRCMYDVFMSFRGEDTRKTFTDELYKALVDEGYRTFRDDNEIERGEDIKSELDKAIHSSKSSIIILSKNYATSSWCLDELVMILENKRKRGHAILPVFYYVDPLDVGKQMGSFATAFATHEQRIMEQSDDEGKEEKIKRWRAALREVADQSGSGMVLQSSSKETELRFIEKLVKVTENKVNRSILSVAPYLIGMHFRVNNICLWLQHKTHDVGIWGICGMGGIGKTTIAKYAFNSNFESFERSSFLYNVRDFSESTDGLIYLQKQFLCDILDGRKIDIQSVEDGMNQIKSAVYGKRVLIVLDDVDEVEQLAAIVGMRDWFYSGSKIIVTTRHIELLRACEIELIDHVQKLSLGESVELFSWHAFGQDHPVENYTKFLTRIIEYCIGCPLALQVLGSSLSGKSLDVWESTLKKLEAIPNSKVSKKLQISFEFIQDDHDKSLFLDIASFFLGKNVDHVVTILNACGYYTMVGLQNLRDRFLLIIDEYGKLRMHPLVRDMGREIIRQESPKHPERRSRLWHFKDSFNVLREKIGTDMIRGLNVRSCAHKDKPPRFFYHPTAHEYLQECAYLMGKKKLSSQSNPSRQPQPRKVLTNGSLGKSKKVDSVNTDAFSGMHKLKLLQLDNLALKGSYKEFPRSLRWLCWHKFPYKCLPDGLPLEKLVVLEMRYSRLHHLFERNKVLSALKILNLSHSEGLSYTPDFSKLPNLERIILKYCTRLTLIDKSIGGLKRLLILNLKCCQSLRKLPRCITNVHSLEKLILYGCSKFEWSSLELNKMQSLLELDAGGTAIHQDSTCVRKKHLLSLALCTLVSSPRKSPGIVNLLTTVSQTLVTLSLIGCGLSSDLIPVELGDFSTLQNLYLSKNPIDSLPDSIKKLTNLQVLELEKCQELKYLSEIPASVTTLSIYGCRSIERLSNLPNILTTLEFLVLSCNKLIEVQEMFQLKCISLFDADLISVLGLPNLSDMKVDLYNNLTLTRWKCPIQGLYEFGIFSTSFHGSELPDWLSYKSTGSSSISFDVPNHDIQGLNLYVIYGKANISYCTKRNKFWNEFHVRVVNKTKDLRWTYSPIIHGIPDNYEDITWLCNWEIGDHLDPGDSMSISMTLYSGVRLKQLGVHIVYKNNLRCNTSPSPHPHNLINGVDNSAYQVQGSYLLCHHDFDIQQNYFTYGWNSTGWYDFFFGDNSEDFPEEMVPRHNADMTGENVYGLLSGV >Solyc03g058920.3.1 pep chromosome:SL3.0:3:29070407:29077207:1 gene:Solyc03g058920.3 transcript:Solyc03g058920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQMKRSTRSSKTTISSPTGYKLGLLLLLYILHSTSTYCFIHHCCRAFTSISSTSVIFDSIMGKGPGLYSDIGKKTRDLLYRDYQTDHKFTITTYSATGVAITSSGLKKGDLFLADVNTQLKNKNLTTDIKVDTNSNLLATVTVDEAAPGLKTIFSCRIPDQSSAKMELQYLHDYAGICTSVGLTANPIVNFSGVLGTNVLALGTDVSFDTKAGAITKCNAGLRFTNADLITSLNLNNKGDSLSASYYHIVSPLTSTAVGAEVTHSFSTSENTITVGTQHQLDPLTTVKARVNNFGMATALIQHEWRPKSLFTISGEVDTKAVDKSAKFGLALALKP >Solyc10g055375.1.1 pep chromosome:SL3.0:10:56601542:56603658:-1 gene:Solyc10g055375.1 transcript:Solyc10g055375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLSLLAIPIDRSWIVKPQNTFEYANEVRKFLDFAFENRFVDGLTFQSSLVIAETLQPSDPMESMINDAFGFAGNNMNEPDVTMNGEEIFNEEHTEKPNEDYSKFYKLIEDGKQPLYEG >Solyc11g011895.1.1 pep chromosome:SL3.0:11:4836940:4837423:1 gene:Solyc11g011895.1 transcript:Solyc11g011895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFFYPTKGSSFAIEVDTILEVKEKIQKYQGIPIPKQTLIFEGNILADDLNVHYSDILDRSHIQLVTDFEGNKNVVVKSERPRIKLLLEMPTLTKLAIMLKVDVGDNSEIDVSIWSGTIASPPTTNSSESSVNN >Solyc06g034130.2.1 pep chromosome:SL3.0:6:23794848:23796300:-1 gene:Solyc06g034130.2 transcript:Solyc06g034130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTIVVDLGIVLLMFIAKVEATPPGIAYHPSNSHCSDDEIKQCKNLPHVCPMFCPTGCITECRSCKPICINGPMPPTLSPASPPPPSPTPRKAKCKNKYYHNCYNLEFSCPTSCPSGCLVDCVSCKPVCKCDIPGAVCQDPRFIGGDGVTFYFHGKKDKDFCLVSDPKFHINAHFIGRRNRNMKRDFTWIQSIGILYGSHRISIGAVKTSTWDDYIDRLSLHFNDTPILLRDEEGARWQSDETVPMASITRTSNTNDIVIEVENVFKITAKVVPITEQESRVHNYGIPKDDCFAHLELGFKFFSLSDEVDGVLGQTYKKKYVRKVKMGVLMPVMGGDKEFLTSGLFDADCYVTKFQTNEEESDNETGFALELPSLRCTTGIYESGVVCKR >Solyc09g014480.2.1 pep chromosome:SL3.0:9:6096987:6104847:-1 gene:Solyc09g014480.2 transcript:Solyc09g014480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEFVYALLEFKKALGNPDDLGNWNPKTDCCIDWYGPTLECDEKSNRINLIDFSKMNLSGYLSPAIGDLTYLTKFSIHNVRNLTGPIPSTIVKLTNLIFFRISESDISGPVPEVLSRLKSLTYINLSYNKLVGTIPASLSQLPYLEFLRLDRNKLTGPIPESFGKLAPNLTYLYLGHNQLTGIVPTSFAGWSFDTIDLSRNMLEGDISFLFGKDKTTYEMLLDRNKFEFDMSKLTFGKGLWRLELSHNKIYGSIPKIISKNPWQILNVSYNRLCGKIPKGENMQKFEIYEYFHNKCLCGAPLPPCK >Solyc05g009520.1.1.1 pep chromosome:SL3.0:5:3719864:3720391:-1 gene:Solyc05g009520.1 transcript:Solyc05g009520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQLISRKRVYDDSIELQINSPEVKRLREDLLDDLYDSEFCTPNHDLDSFMKSFENEITASETAGVSSESGESQPELGYLLEASDDELGLPPPIEAEPESVRVCTDSLSNEFWGLEDQIPSYDPFDLGIVESDHFSGNNINMGEYVGLDGLFDHSDIGYGSGDFLWRPETLPAQ >Solyc04g074750.3.1 pep chromosome:SL3.0:4:60761268:60766811:-1 gene:Solyc04g074750.3 transcript:Solyc04g074750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLRLLCSPSLFPSSSSTLFPLHHHSTTPVLLSPSSISWRTPCFSPLIPIKPQRPYLYISNCSSSAQDLEEQLEDGSLKRRVLAQNAPWTYSANDLRPLFEKYGTVEDIEVAMYNKTRSRGLVFVTMGSHEEAKAVLENLEAYELEGRPLRLAWAKPKTEKPSSPPPSKPLPIHNLFVANLHFEARSNDLLEFFKANGANVVSAEVIFNDNPRRSAGYGFVSLNTKEEADAALSSFDGKEFMGRAIRVAQSKRFLREETKKVIKSQELPSELISVAE >Solyc03g115167.1.1 pep chromosome:SL3.0:3:66456188:66459651:-1 gene:Solyc03g115167.1 transcript:Solyc03g115167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKDVVRRIPEMKVSPNGIGYWVPGGCKIVILARGCGHFSEGQENYCQCQNHLLTFVCHILILALAVLFLWSDASTFINKSPPQIPDVILPEDIVLGVAAAVRTEIDKGLENNFCSVKGLATLRDDLVDKGELDGRFLLEEFFRGSFDILDALELTHEIFNNCIWVQPLFRVRCKVYEFSKLLSNTIEYELVLHEFIWILASEREKLVFGLYIYSKLDRVAKYKFTILCRFEIYIFLMKFMCIKDLVMRMFNIIYKNF >Solyc04g049990.1.1 pep chromosome:SL3.0:4:44917786:44918284:1 gene:Solyc04g049990.1 transcript:Solyc04g049990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVSDQVVVALSHATVLEELMREKLEARNGLLQQAKENVVKAVGQEDYYRHNGENEHRSVNLINDAMDIPDNDEGRFQVKMMSFQLHSLIREVSCLVKCLCVYKSFGFSMDVPTSLPNLVMGDEKRT >Solyc06g051240.1.1.1 pep chromosome:SL3.0:6:34551472:34551654:-1 gene:Solyc06g051240.1 transcript:Solyc06g051240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQLFVYSCRVFQMYVCIKQAALFPDYVCLPLPCLISTLMIKILSMECDNRYGFVIVNN >Solyc02g071583.1.1 pep chromosome:SL3.0:2:41544620:41545690:1 gene:Solyc02g071583.1 transcript:Solyc02g071583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPESMLYSTTRLSISSFVGDDPPLHAANIFSPGAFRLTMNFLPFPSTIGDKNFLSTLLAPTVIIQGAVLDNVEGAGPEFPALQDTTIFFFMA >Solyc06g031693.1.1 pep chromosome:SL3.0:6:20710741:20719539:-1 gene:Solyc06g031693.1 transcript:Solyc06g031693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLTLLAETGKSGAKPCCTPTVPKVHFTKDDGDPVDDPERYRKLVGKLNYITVTRPDVAYSVSIVMCAPTIKNCAALEQILCYLKGAPSLGILYSDHGHTRIECFAVVDWAGSRIDRRSTTGYCIFVGGNLVSWRSKKQTFVSRSNTEAEFRAMAQSTCEILWIHHLLIVIGLNPLSQQNFGDKKNDESTSSVNNTSSLASSLMTRIVSNAKFVVEIFDVSGHFGMWQGKNVLLPPGVHHGRDKGLLESGREVQMFILRNEGQIHMFILYNEGQVVTEESFVMDIDWKIINRVACDTIRSYLAREQKYPYTKETSANKLWNSLEEKFLKKNSQNKLDMKRRLLCFTYVPGSTMNDHITSFNKLATNLRNMDVTFTDGDMTLMLLSSLPDEFDHLETTLLHGNDEVSLKEVCSALYSYEQRKREKQKDGEAEALVARGRSQNNMRTKKGRSKSRSRLNKDEYAFCREKGHWKKDCPKLNSKAKPNNGKAVMDSNVADCDDSDYSLVTTDPSKSSDVWLMDSACSYHMCPNRDWFIDLQEGECGGFKVIADNSMMRTCSGALVVMKAIRRNNNMYHYQGSTVIGTATTTSIDEKEAEMTKLWHRRLRHAGGKSLKTLSDQGLLKGVEFEGKIIFPTQGSNEETTENFPLEGEPVEEELEDNSFIYLLLYVDDMLIASKSQEEIEKLKIQLRKAFEMKDLGEAKKILGMEIKRDRHSKKLYLSQKEYLKRVLKRFGMNKKTKSVSTPLAPHFKLSDAMSPNNEAEREYMSRVPYANAIGSLMYAMVYTRPDISHVVGVVSRYMHNPGKDHWEAVKWILRYIHNTVNVGLVFEQKDSQYLVGYCDSDYAGDLDKRRSTTGYVFTIANAPVSWKSTLQSAVALSTTEAEYMTITEAEKEAIWLQGLLRELDTIKIVVDRKLKMENLAKVEIC >Solyc05g047713.1.1.1 pep chromosome:SL3.0:5:60113330:60113908:1 gene:Solyc05g047713.1 transcript:Solyc05g047713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKVNYALIEDDTKRKISYDKRLKGLLKKTDELKTLCDVEVATVIYDPYRNEPYAFPNNNVVRNTFIKFKELPILDRSKNMVTREEFTKKRIKKLEEQLQKIRKENRVKEMTNEMYELFNRKTHSVDMSTSYLNDLRCVIKKNLKQVHELMIKEADGEGFTSNAPQPIVEPMLSSRDNFEGTMDPSPLLF >Solyc01g056480.2.1.1 pep chromosome:SL3.0:1:54394949:54395531:-1 gene:Solyc01g056480.2 transcript:Solyc01g056480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQISSLTFKSYSKSVVGTRPKTRGAFRGNNGVVLSNFFVSFEFHFKFVRFQLTGALSIKKFSNFVPPTTKILLEYGDFGCYKKPLSSLFKGRTLET >Solyc08g007490.3.1 pep chromosome:SL3.0:8:2048542:2054884:-1 gene:Solyc08g007490.3 transcript:Solyc08g007490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILKQTTKIAGKLLNPKLLSTTSNNVTHSIFPRATVSGGAVRFASTSGLSYLEKKTAPRRMPAVYNYLLQADERTHSTGNEPIPKITLSHVSVSFAGYVDTRSHTEDTNAASTKAKKNMPIKKKGKKGTQKQNTSTPSKARMRSINTAGTKIIMKLDVKNANWLSERVRERIMLMEKTRIKDGELVLTSTKTRKQENNFEDVLAKKQKVLGKFQEIINAASYAPLPPSDGLVKKISKLAVMRKENNFA >Solyc01g081290.3.1 pep chromosome:SL3.0:1:80372576:80380486:-1 gene:Solyc01g081290.3 transcript:Solyc01g081290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIKLGIVGQRTEYWFHSLILRALSSVTNQTDLHKVHSLIVVSGQHQSTFFCGKLISKYSQFKDPVSSLSIFRINSPTHNVYLWNTIIRAMTHNGLWSKALDFYTQMRKLNVKPDNYTFPSIINSCGSLLDLEMVKIVHNEVSEMGFGSDLYICNALIDMYARMNELGRARVVFDEMPSRDVVSWNSLVSGYSANGYWEEALEVFREGRLSGVAADAFTVSSVLPACGGLMEVEQGQMVHGLVEKSGIKGDMAVSNGLLSMYFKFERLLDCQRIFDEMIYRDIVTWNIIICGFSHSGLYQESIKLFQEMVDEHKPDLLTVTSVLQACGHMGDLRFGRFVHDYILENRYECDTTACNIIINMYARCGDLVAARQVFDNMKRWDLVSWNSIISGYFENGLNKEAVDLLKMMRIDLQPDSVTFVTLLSMCTKLMDVDFTRELHCDIIKRGYDSTLIVGNALLDVYAKCGRMEHSVWQFEIMTSRDIVTWNTIIAACSHYEESYLGLKMLSRMRTEGLMPDVATILGSLPLCSLLAAKRQGKELHGFIIRLKFESQVPVGNALIEMYSKTGSLKNAISVFEHMSIKDVVTWTAMISAYGMYGEGKKALRSFQQMKETGTIPDHIVFVAVIYACSHSGLVQEGRACFNQMRKTYNIEPRIEHYACMVDLLSRSGLLAEAEDFILSMPLRPDASMWGSLLSACRASGDTVTAERVVERLVELNSDDPGYNVLASNVYASLRKWDQVRTIRKSLKARGLRKDPGCSWIEISNRVFIFGTGDRSFQQFKQVNELIEDLNRTMDKEGYVADLKFVLHDVGEDEKINLLYGHSERLAIAFGLLNTKEGSPLQVMKNLRVCGDCHTWTKYVSKIVQREILVRDANRFHLFKDGTCSCRDRWIGALVDFKTDAKLLRSPVSGQGNDLMLYPGRRMHRITAGLSRQSSEAFIGGKLRKRLPRLLKRIRILHQCTIVQV >Solyc12g017660.2.1 pep chromosome:SL3.0:12:7167476:7170090:-1 gene:Solyc12g017660.2 transcript:Solyc12g017660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGEEDMKLPLGYDATLLLVSSNGIVAKKGLNPKKYSARGFEVLDEIKSALDKECPRNVFRADIFALAARDAIVLVGRPNWEVILGRRDSRSANLSGSNNNIPAPNNTNDKF >Solyc11g013250.1.1.1 pep chromosome:SL3.0:11:6158650:6159129:1 gene:Solyc11g013250.1 transcript:Solyc11g013250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECRKFEITLISASDLEDVRRLFKMKVHARVSIGSNPNTEKRTPTDKHGEINPAWNFSIKYTISEWMIKYQNDMLVIKLYCKRKLGDRYIGEVHMSMKELYEYSYANGGSAIMTCPVHKGSAQSQGVLRFSYRFSERVMIDKLVLAESIAGWSMCNYLE >Solyc11g013880.1.1.1 pep chromosome:SL3.0:11:7304291:7306804:1 gene:Solyc11g013880.1 transcript:Solyc11g013880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4D6J2] MKLLFILFTILIITIEAVDILPNSTLSASNPNSKWSSPNNTFSFSFLQLDPTNQSSYFAAISYNNIPIWKAGADTVNGGAVDISGELRFLSNGDLQLISGSSGSVVWSSGTVNRGVSTATLDDNGNFRLKNGTVSNIWSAFDNPTDTIVPGQNFTNNHVLRSGLYSFSLMNNGNLSLLWNGSIVYYNSGLNSSVNVNLSSPSLGMQPIGILSLSDPSLSNPLNVVYSSDYADEGNILRFFKLDDDGNLRIYSSTQGSGTQNVRWAALTDQCQVFGYCGNFGVCSYNETDPTCGCPSQNFELTDPNDSRKGCRRIVELSNCPSNATMLQLDNAKFLTYPPELSEQIFSAGISACRFNCLVNGACVASTSLADGTGMCYIKQPNFVSGYQAPTLPSTSFLKICGPAMPNPTANSEPVPEKNGGRVPGWVVAVVVVASVLGLILLEGGLWYWCFRNSSKFASLSSQYALLEYASGAPVQFTFNQLQRATKGFKEKLGAGGFGAVYRAVLANRSVAAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDKFLFSEDHSSGRLLNWEQRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYIAKVSDFGLAKLINPKDHRHRTLTSHVRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVSGKRNFEVSEETNQKKCSLWAYEEFERGNMEAIMDKKLSNQEMDMEQVIRAIQVSFWCIQEQPSQRPTMGKVVQMLEGVFEIDRPPAPKATEGSFAGTSLNASSTSGLSTFAASAPAPSSSSSFQTAGFQSSASAMNVDRQSSSLLHSEIK >Solyc12g049590.2.1 pep chromosome:SL3.0:12:62169984:62182282:1 gene:Solyc12g049590.2 transcript:Solyc12g049590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATNRTLSDLLKLLKSWIPWRSEPDDISRDFWMPDHICRVCYECDSQFTLFNRRHHCRLCGRVFCAKCTSNWIPALCSDPRPLREEWEKIRVCNYCYKQWDQGLVSSVSNGTRVANLHICTSPSTTTSFTSFKSSGTADSSNITFVSVPPSCVLSPCKSSVTESSLDRQNYASVRGSFEFAHAGVLDPSLNQYAFCATRSDDEEDEYGVYQLDSQGHFPQVNDYYSQIQYDEIKKDYGSHKEHPDGEAIDEKSVSSSSLHNSFDSQASEEVQQIEKQDISDECEVPPSLNVPEEINVEPVDFDNNGLLWIPPEPEDQEDEKEALMNDDDDDGDAAGEWGGLRSSSSHGSGEYRSRDRSNEEQKKVVKNVVDGHFRALVSQIMQAQGVAIDEEDEKESWLEIITSLSWEAATLLKPDTSRSGGMDPGGYVKVKCIASGRRGDSVVVKGVVCKKNVAHRRMTSKIEKPRILILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDVHQPDVLLVEKSVSRYAQEYLLAKDISLVLNIKRTLLERIARCTGSQIVPSIDHFSSKKLGFCDMFHVEKFIEEHGTAGQNGKKLAKTLMYFEGCPKPLGCTVLLRGANGDELKKVKHVFQYSIFAAYHLALETSFLADEGASLPELPLNSSITVALPDKSSTIGRSISVVPGFTIHDTEKTQSALCDGAPQRSNSVPTTDLVKTANLCAQKMSMTEFPTAANTETSFLGPLLTGTSVDRGIMHMIESSFSKPSVANNIQDSQGYHFLSTSSAPSDKVEQGCLSKNVQNCRVDVNQRGANPILSQLDGPNVYDEPDSSKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLFRIKYYGNCDKPLGRFLRDNLFDQSYRCSLCDMPSEAHVQCYTHRQGTLTISVKKLPEFLLPGEREGKIWMWHRCLRCPRVDGFPLATQRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVCLPPAKLDFNDEKNQDWIQQEVNEVIVRAERLFSEVLNAIRLLVEKKSGGQVNSSAEASEAPEARGQIAVLEGMLRKEKEEFEESLQKILNKEAKKVQPVIDIFEINRLRRQFIFQSYMWDHRLVYAASLECEDHCVTEEKPLVGNDKSTGPDNPSRPSDCLNVIDSVSVTPILGEKYNDGVSGSQKNHVDTVHQGSEVLLDSSCAVEKPAGLPAGTESFCGLNSAESTAEGSRALSDGQSAVMDTLSDTLEAAWTGETTSGPGVLKDGTCRSSEPPIADSSTTRLAEKVDVEDPVEENGTKASGFPPSLSSKSSESAEDAGGWLGMSFISFYWSLNKNFLPSAQKLDTLGEYSPVYISSFRESEAQGGARLLLPVGVNDTIIPVYDEEPTSIISYALVSPDYLAQISDEPEKSKDASLYSNLPLQSQESGSLQSLQSMDEILSESLRSLGSIDESFLSSSSSHSSSVLDPLSCTKTMHARVSFSDDGPLGKVKYNVTCYYAKRFEALRRKCCPSEMDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESINSRSPTCLAKILGIYQVTSKHLKGGKESKLDVLVMENLLFGRNLTRLYDLKGSARSRYNPDSSGSNKVLLDQNLIESMPTSPIFVGNKAKRLLERAVWNDTAFLASVDVMDYSLLVGVDEEKHELVIGIIDFMRQYTWDKHLETWVKASGILGGPKNTPPTVISPKQYKKRFRKAMTTYFLMVPDHWSPLTITPNKSQNDLSGENTLSVKSTE >Solyc12g099630.2.1 pep chromosome:SL3.0:12:67681418:67688169:1 gene:Solyc12g099630.2 transcript:Solyc12g099630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGQLKRALIDATAGAVSGGISRTVTSPLDVIKIRFQVQLEPTNQWASLRNFVHGTSKYTGMLQATKDILREEGLKGFWRGNVPALLMVMPYTAIQFTVLQQLKTFAAGSSKTEDHINLSPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKIYRNMRSAFIDIFRARGVPGLYAGLTPTLVEIAPYAGLQFGTYDTFKRWMKAWNRLNLLTQAKVMSLFLAFSSSSVV >Solyc08g076050.3.1 pep chromosome:SL3.0:8:60228487:60232264:1 gene:Solyc08g076050.3 transcript:Solyc08g076050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSANPIFILILTLFFSHTYLINLPSVAAITSMKPGDELNHSQVLDSEGGKFKLGFFSISQTNNYYLGIWYAGDPQGKKLWIANPNTPLLNNSGLLTIDTTGTLKITSEGKTVVNITPPLLTGSLIARLQDSGNLVLQDETRNRNLWQSFDHPTDTLLPGMKIGYNLTTKQNWTLTSWLSSSIPASGAFTLSLESIEDAFQLLIRRRGEVYWTSGAWNNGIFPFLTALNDSSNRYQYNLNLVSEKDGVYFQFDAPDRSFPSLVLNFNGAIFGGDEDSRVYALYNEFCYGYESQDGCVSNQLPECRKDGDKFEQKSGDFIDRSNSNIYDNASTSLGDCMKRCWEHCSCVGFTTTTNGTGCIIWNGNGEFQVDESGDTVKKYVLVSSKSSNGKQKNWIWIVIVVAIVLPMLISGFICYIIVRRRKLRAEKRREEEYIRELTASDSFNDTNLKEKDGREVQDLKIFSFRFVLAATNNFSSENKLGEGGFGPVYKGKFPDGREVAVKRLSRTSGQGLVEFKNELILIAKVQHTNLVRVLGCCIHEDEKMLIYEYMPNKSLDFFLFDPERKKLLDWQKRYEIIEGIAQGLLYLHKYSRMRVIHRDLKASNVLLDENMNPKIADFGMARIFKQNETEAVTARVVGTYGYMAPEFAMEGAFSIKSDVFSFGILMLEIVSGRRTTSLQQFDRPLNLIGYAWELWKEGCALELKDPALGDLCDTKLLLRVIHVGLLCVQEGATDRPTMSDVISMLGNESMPLPTPKQPAFFTGRNEAESNSSGIKAEQCSVNDCSITVIEAR >Solyc11g070150.2.1 pep chromosome:SL3.0:11:54962344:54966090:-1 gene:Solyc11g070150.2 transcript:Solyc11g070150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKKRQAAYMKRSLLDQGYLDEQFIHLEELQDDANPNFVEEVVKLFYTDSARYVRNIELALENGPYDFARLDNMMYQFKGSSSSIGARRVKRQCSQFQEYCNAKNIDGCRNIFQGVKQEYATLKTKLETYFQMER >Solyc10g045690.1.1 pep chromosome:SL3.0:10:34990590:34991726:-1 gene:Solyc10g045690.1 transcript:Solyc10g045690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFFDITLSEKNKTQRKNREHCVYAIIFTGRFSLKRLWKETFSLQNSIQKGSSHIVEEYLQRTMSTLSLTIMELLGMSLGVQKSHFKSFLEDNESIMRLQYFLPRQNPELTLGTRPQYDPTSLTLLHHVLVCKLQVFEDNKWYSIRPNFNDFVFKIGLSNGRYTSCSHRTMFNNKTPRKSLALFLRPVR >Solyc10g076425.1.1 pep chromosome:SL3.0:10:59505618:59506859:1 gene:Solyc10g076425.1 transcript:Solyc10g076425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNTFTQCPIIDITIITGNRNFIDDNQPYNIAILGVTTTIHRINVIVSKDGTGDLKGQYKVLIEEGMDTKIITGNRNSIVVSKHMTLHTFRNDDRPIKHQAVTLRVESNSISFYKCRLDGFQDT >Solyc12g020000.2.1 pep chromosome:SL3.0:12:12865530:12875599:-1 gene:Solyc12g020000.2 transcript:Solyc12g020000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDGKNTSQENIDSKGAFRKLSNDAVNRKYRRRTPVGGSSSSDGSPARKRSSSPIPSRKDDWRHKDDYIDRGSSRNRQDRSGESQRQSDRQSSRSSRNYHKQNDYTRYGRHTDDDDKGYSKLSSHSHHDSRVDNYGNNSRRDNDHRSRHSPRDTDKHYRDRYGDSAHRSKNQEREASSFKDKDLSFDRVGSGRRYNSSSIDDNRSRESDRYKEYRDSRDEKGNRSSDHKSDRSPAYEESRSNRNESNSRKEPQVDAMELDGKKYTKEERKNYEDREKIFADRNVASSKGRVSSPSKKSKFSGMDESSAQGKDANAADGKFSSNSKQGQDLNGELSLEQGVKDSDIDAAKIAAMKAAELVNRNLIGTGIMTTDQKKKLLWGNKKTTTNSEEGVKGDVPTENKPVIHDAERQKELQMDLEKQYTAGLRRRDGRTVGLERKGCNSSSDDFQPIGRCDGASPGGKGEELTGSTTNSAHSNEFHHLDCEGSQ >Solyc09g066145.1.1 pep chromosome:SL3.0:9:64781256:64782848:1 gene:Solyc09g066145.1 transcript:Solyc09g066145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGRTNHDVAHVPAETFKEMHSRTEAVARSPAASLFTAYSWKGEAHDAKEIVLMIDNWSEVLLFSRDVRTLIKASCMFSKG >Solyc01g111460.3.1 pep chromosome:SL3.0:1:97605332:97606609:-1 gene:Solyc01g111460.3 transcript:Solyc01g111460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome endopeptidase complex [Source:UniProtKB/TrEMBL;Acc:K4B408] MRCTIMYFFYDVLECWEKMRISEIVNLDDHIALVCAGLKADALVLVNKTRIECQSHRHTVEDPVTVEYITRYIAGLQQKNTQSGGVRPFGLSTLIIGFDPHTGVPSLYKTDPSGTFSAPKANAAGRNS >Solyc02g031930.2.1 pep chromosome:SL3.0:2:27666273:27666598:-1 gene:Solyc02g031930.2 transcript:Solyc02g031930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKELRGRRNTKDVSKIFASTQFIEIKRIDSIKLTFLIFHNNPYGLRRVGIS >Solyc11g013170.2.1 pep chromosome:SL3.0:11:6034733:6043958:1 gene:Solyc11g013170.2 transcript:Solyc11g013170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICKAIRCTWTPQYSSQMLQQPLKSFKPYILRNFPTKYSSTMATASTQNDVAVSTQDKSTHILPKPIQVAKRLEKFKTTIFTQMSMLAIKHGAINLGQGFPNFDGPDFVKEAAIQSIKEGKNQYARGYGVPDLNSAVAARFKKDSGLDVDPEKEVTVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKGITLRPRDFSLPIEELKSAVSKNTRAILLNTPHNPTGKMFTREELNVIASLCIENDVLVFADEVYDKLAFEMEHISIASLPGMYERTVTMNSLGKTFSLTGWKIGWAIAPPHLTWGIRQAHSYLTFATSTPMQYASATALRTPDSYYEELKRDYSAKKEILVEGLMKAGFTVYPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEEGKNLVRFTFCKDEDTLRSAVQRMKEKLSRK >Solyc08g075060.3.1 pep chromosome:SL3.0:8:59341223:59347407:1 gene:Solyc08g075060.3 transcript:Solyc08g075060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSSLVDITILQTAVAKGAVCLDGSPPAYHLDRGSGTGENNWVILIEGGGWCQNVAQCLMRKNGKYDGSSAKMENQVYFSGMLSNEQKFNPDFYNWNRVFVRYCDGGSFTGDIEAIDPGTGLHYRGARIFKAIMEELLAQGMNKSENAILSGCSAGGLTTILHCDNFRILLPNSVKVKCFSDAGYFVNIEDISGELFIQQFYKDVVTLHCFFPQNVAQQIQTPLFIINAAYDNWQVRNILAPPGTDPKGAWKSCGANIKTCTADQLKVLQGFRLDFLKALEGLGSSSTRGYYINSCFAHCQTQKQATWFGLNSPRLLNKTIAEAVGDWVLERNQFQQIDCPYPCDKTCG >Solyc12g014580.2.1 pep chromosome:SL3.0:12:5577355:5578047:-1 gene:Solyc12g014580.2 transcript:Solyc12g014580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKKLVAIFLVCIVMISSSVHVSMAEGESNTEKFKKAFTAVAAEYTVCYNICQKLCSDAGFGYTHCEMKCDEDCTARLLKDRLQNMKN >Solyc07g026930.2.1 pep chromosome:SL3.0:7:32611105:32619524:1 gene:Solyc07g026930.2 transcript:Solyc07g026930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFGGLKHLFVTIFMSQLAIFVVTPAMPDVTMMLLCPAQDECSLAIYLSGFQQAIVGIGLVVMMPIIGNLSDVYGRKALLTVPLTLAIIPPVILAYRRTKKFYYVYYVLRTLTGMICDGGIQCVALAYVADHMSQAKRASAIGILAGVGSAAFVCGTLADHFLSTSQIFLVATIASTIAVVYMQIFLEDTARVEEYPIEEQPILRNNEMEDTELDCETAKNIKVFNRVPSLKDIIFFLRKSHTFSLAASVAFLNSFAEGGEQAPFQYFLKARFHFKKDNFADVMLIANICSATSQLLLMPMLAPLIGEEVLLCLGLITGFTNLLIDSIARKIWIPYTAALLPISTSLVKPSIQSIVSKQIGPSEQGIAQGCISSISSIANILSPFLYSPLTALFLSEKPPFRYPGFSILCVGLAWLIAVIPSAMIKVITLVSRQ >Solyc01g067080.3.1 pep chromosome:SL3.0:1:75227758:75236611:-1 gene:Solyc01g067080.3 transcript:Solyc01g067080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRRATGRIGSSTVDAAKKPIDRRIPPVNRTPADNVGPVAESSPKVSIKNTVEEKDPKFDAMLSQMVGRIQAKPGGKLEMGEATVVERYDRPLPKLRNTTSESSRYEERPAPTGTLNVAQIKEILLLHQGRSDDHQGPMDINGICERFRIDAAQVQRILQFVSLPPEDTSKKRNT >Solyc06g053650.2.1 pep chromosome:SL3.0:6:36577388:36579158:-1 gene:Solyc06g053650.2 transcript:Solyc06g053650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKFKQGLAVLCHCYPACPEPELTLGAGKHSDSDFLTVLLQDHLGGLQVLHKDCWVDIPTVSGALVINVGELLQLISNDKLKSIAHRVLASRVGPRVLVASFFTTGNSLSSRVYGPIKELLSEDNPARYRETSVKDYADHYDATGIGGKSALSDFTI >Solyc11g073130.2.1 pep chromosome:SL3.0:11:56510806:56516177:1 gene:Solyc11g073130.2 transcript:Solyc11g073130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase [Source:UniProtKB/TrEMBL;Acc:K4DB19] MAKLQILYLFSYNFLQFVGWTLALFRILSNFISTKSVTEAYASAGELICLLQCCAFLEVIHGAIGIVPSGIVLPLMQWSGRTHFLLAIVRQIVEVQDSPSVFITFSSWSLSEVIRYSHYALSCIGSPPYLITYLRYTAFILLYPVGVGPGEIWLMYQALAFIKTRNLYADTLPFSYYNFVKVLLLLYPLLWFKLYLHLFKQRRSKLGKYHKTKKT >Solyc04g039870.2.1.1 pep chromosome:SL3.0:4:12458270:12458563:-1 gene:Solyc04g039870.2 transcript:Solyc04g039870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNELDKRRPHGKKVMGIDLVVWWDKNLEEWRVVDDACSHRLAPLSQGRSDQWGRLQCVHHGWCFSGYGDCKFIPQAPRDKPPVITTPFFICRLIF >Solyc02g094170.3.1 pep chromosome:SL3.0:2:55418234:55423544:-1 gene:Solyc02g094170.3 transcript:Solyc02g094170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEFDRQIELGFPFWSPTRRRFGPDDPFFAYGNIQRELLAKQVALDLTEEENQLVQNSITDDEISNLFCPIIGCGAQMKNLDDFEDHYVTRHTASCSVCSRVYPTSRLLSIHVSEAHDSFFQAKAARGFPMYECLVEGCDIKLKSYKSRQQHLVDKHKFPASYEFFRKARPSKKQRLKPHHKQASNKTQEKSSAMQVEEETIDNLVSAVSKLTTSDSPSAISFGRSRARGLSFVPRAVNRQRGPVTSTGGTKN >Solyc01g011470.1.1.1 pep chromosome:SL3.0:1:9287631:9287912:-1 gene:Solyc01g011470.1 transcript:Solyc01g011470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEIEAIDRYKKSISRKVIGGYLFDFYSSFTIISSCEQQWITWTFEYEKKLEDNQEPINFLGFILNVTKDIEGHFLKKKKKYILLYMFVCLCT >Solyc04g050620.3.1 pep chromosome:SL3.0:4:47627779:47629754:-1 gene:Solyc04g050620.3 transcript:Solyc04g050620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLWAILVVGLSVYALYELLNIHKRKRYPPGPTGLPILGHLHLLGKNPHKDLQNLAKKHGPIMYMRLGLVPTIIASSADAAEKVLKTYDHIFASRPHHEASQHLAYGQKNLVFAKYDVYWRNIRKLCTVHLLSNQKIHSFQSMRKQEVELLIESLKQEARDRVVIDLSAKVTSLNANLTCLMVFGKKYMDEDLDKRGFKAIVQDVVHLAGMPNLGDFFPFLGAIDLQGITRKLKDLSKVFDEFLEKIIDEHVYAHEHKQNKDFVDTMMDIMQSGDAKFQFDRHHIKAILFDMLIAAMDTTASSTEWILTELLRHPQVMKELQKELQEVVGLDRMVEESDLENLKYLDMVVKEGLRLHPVAPLFYHESIEDCVVDGFHIQKGTRIIINCYAIHMDPNVWPDPEKFLPERFVGSSVDFRGRDFQLVPFGSGRRSCPGMQLAVMVVRLVVAQLVHCFEWELPNGIESCDLDIDEKFGIVTCREKPLLAIPTYKLNK >Solyc06g083790.3.1 pep chromosome:SL3.0:6:49153363:49159046:1 gene:Solyc06g083790.3 transcript:Solyc06g083790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit beta, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CAN4] MVRGMLRKLANQSLSVAGKWQQQQLRRLNIHEYQGAELMSKYGINVPKGVAVASLDEVKKAIQDVFPNQSEVVVKSQVLAGGRGLGTFKNGFQGGVHIVKADQAEDIASKMLGQILVTKQTGAQGKVVSKVYLCEKMSLVNEMYFSIILDRATAGPLIIACRKGGTSIEDLAEKFPDMIIKVPIDVFKGISDADAAKVVDGLAPKVADRNDSIEQVKKLYKLFCETDCTMLEINPLAETSDNKLVAADAKLNFDDNAAYRQKEIFSLRDSSQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNATEGQVVEAFKILTADEKVKAILVNIFGGIMKCDVIASGIVNAAKQVQLKVPVIVRLEGTNVEQGKRILKESGMKLITAEDLDDAAEKAVKALA >Solyc06g074780.2.1 pep chromosome:SL3.0:6:46462214:46464965:-1 gene:Solyc06g074780.2 transcript:Solyc06g074780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAGKKPAEKKPVEEKKAEEVHPDIGISSKSMGIMNSFINDIFEKLAQESSRLARINKKPTITSREIQTAVRLVLPGELAKHAVSEGTKATGGKKPAEKKPVEEKTVEEVPAEKKPKAGKKLPKDAAVHPDIGISSKSMGIMNSFINDIFEKLAQEASRLARINKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSN >Solyc07g054710.1.1 pep chromosome:SL3.0:7:63066550:63066948:-1 gene:Solyc07g054710.1 transcript:Solyc07g054710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASNNSLNLALLLTFLLILSTPSMGRRILRSNNVTSQAVPTCTVIYNVDTGDTCDSIIKSFEAEKFSSLNPNLNCDNLFVGEYVCLNGTLST >Solyc02g090680.3.1 pep chromosome:SL3.0:2:52857447:52859163:1 gene:Solyc02g090680.3 transcript:Solyc02g090680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4BCL7] MRRKYKCKSKGIIGEVVIMEVAEVAVKMTREREVLEVVDTRKRKKRDGDLEMSPTVARVRRNSVISESPASELSSQGNTVLCEPAVSSNFDNVSASGFGDNESSNVTKGSSKFLDLDEDSVEIATSYSELRESREIILSSSKFKVEFQSTPKPQHAKSCRRRLTEATMPSEAELDVFFAAAEKDLHKHFAEKYNFDFAKEEPLEGRYEWVRQ >Solyc08g074785.1.1 pep chromosome:SL3.0:8:59039261:59043207:1 gene:Solyc08g074785.1 transcript:Solyc08g074785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMETQEMVQAQVNSMDMGDKVQEIMVDMEEDFKLQTASLMITVIILMLCQIIRPQLDPLVDVHGSPLEKAGNQTIEVEIDNNEPADTGIVHEDSQSNEAENSSEDQMFRPSTTEEVAENTHVVPSHVDSYVRRSSRSIKEPMWMKDYAITKGHSSTKHPMASYLNYEKLKPECRSFLSFSQSAHDYSLFTLKKEEDIVIVLVYVDDLLITGNNTQLIAEVKVCLHKQFKLKDLGELKFFLGIEVLRASGGIILNQRKYILELIAEAGLTGAKPAVTQMESNLRLTSVEHDQANGYVNDDVLHDITSYQRLVGKLLYATITRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSVGQGIWLHSDPTNIITCWCDSDWAACPNTRRSITGYVIKFGESLVSWKSKKQQTVSRSSAEAEYRSMASAVSEITWLLGLFKELGVNLPKELIVNKD >Solyc12g062560.1.1 pep chromosome:SL3.0:12:33927816:33928325:1 gene:Solyc12g062560.1 transcript:Solyc12g062560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALTEIFGNDSALMFGGGSLGYPRVMRRDLSREGNEIIREACKWSPKLASSCEVSYLILHQWMFWIRKNSRIFVPIKARICACGNYRVIGDEKEDPKFCEQCRVKFVDSRI >Solyc09g059330.2.1 pep chromosome:SL3.0:9:54462630:54466176:-1 gene:Solyc09g059330.2 transcript:Solyc09g059330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNMVRLLRNPLSYCCISPVSFGASQNCSTPASFDGSSELHSENRPLKHFITFRFFTIFVAVAFFLLTSLPFLYVSSCNSSHHRLGDLYGVRSNNSSILGLKSNPFSSRVKDTVFALKEQLTQASKQGAWIAAKSIPENDGKPTPLEFEDPKLYHYAAFSHNVLAASVVMNSAVNNSKNPSQHFFHIVTDKVNFWAMQVMFKMKDYSGAHIEVKVVEDFKFFNSSYVPVLLMSQCFLCPIVQRDLTGLWKIDMDGKVNGAVETCFGSFYRYR >Solyc01g080600.3.1.1 pep chromosome:SL3.0:1:79693479:79693889:1 gene:Solyc01g080600.3 transcript:Solyc01g080600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4AY75] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc03g117660.3.1 pep chromosome:SL3.0:3:68224077:68231711:1 gene:Solyc03g117660.3 transcript:Solyc03g117660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDKKENLPDPPSVDAGKKRPEEDLNSRLSMGADKTENLPGSSVNDLSLSASQSGAVDYNGVIQGGFSSGANVTSFPSVSLPAVCPLPGNANEIEAADLMNLNSLHHHQLQPNLMNVRNISPSFYSSSAMSHRMKHNAEINHSNVNNKTPTLNRLMNEVVLSKGFQNPGAGMNFMPMQSSGAGCFEKAGEGTGISQMPGSPFGVGYNVQNATGIGGIGFQNYANINHAPFHTTQGNMDGSFLTLGVGSNMEDRSILRFNSKEVSNGVEEAASPQNNNSHIQQTRRNLPSLIHGAPGGITNFQCDSGGFPNSAFNSGVHAPDSRISAPPFMYAPDARLNSSNARDLAAVGNADQRLCEPDPLMYAQGGLPPPLLPFSSNSTLPPHFGFGRVAAAPGSAQQFRVLAQPNVNQQSSLYTNMVRNHQSFMGPAILSHGGGRVRQDHLGQQSFVNVLNPWGNNLYPEGMGVQIPGWSGIQSALVNQFPRRPGVQLNDGAISQATREGVLPGTGGIQQTRGGNSYQSQNHGPKMHPTELLNPSFAMGRPQVGSSAELNVSGLPYHAGQGVPISKVDVAPQASNLDGPTSLKRRRPGRAPPTAPMGQRRRKLTQHRAPPRPMTIAPVPASSPSLPDLCAKLQARLEEPAQIIAENCKICKRNVMFNPEGPFVRPAIAPPVAVLPCGHVFHDECLQKITPKDQATNPPCIPCVLGET >Solyc06g050350.3.1 pep chromosome:SL3.0:6:33046323:33049029:-1 gene:Solyc06g050350.3 transcript:Solyc06g050350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKKIINPRVEIDTSPPFESVKEAVDHFGGSGPWIPHHLLRLPPPDNDSEVVDVGKMEEQAVKFEKDLIVKEQEALNVLREVEEAKRFVEGLKVNLMQEVSEFVSSPGVHPENQIPNLNELSAENLSLCPLQSPGHVLMELNRAKLDLNKMSTDLTVIRSSVETLNKKMKKEKVILDRSSQMKSLDSAAGFSIEEKNTMNNELLQLSFEADQFKKMAEASRYEVMKAMSEIERTKASIRMAEMRLHAAKKMEEAAKAVEAIAFAERKALLNGKKSSTVVQHTTEGITISYEEYYALARKAQQAEELCKTKFVDTNTMHRTNEANQSEVSITKKMEETTKEIRHNRSTLEEALDNEDGTQRSRLIEQDGFYRERSEHTQLHYSGHNTSVKSKFRNPQSSLTSHGNPRLADDNEADNVNDKSVPVFRSSISIGDILSRKLILRDDHIVVGKHMESHTERKHVSFSQMLREQSGIILNPTKAMKEGDVHKQFITHKKKFGFIQVPLPRQNKKKTQPLNMR >Solyc01g058450.3.1 pep chromosome:SL3.0:1:66483053:66501474:1 gene:Solyc01g058450.3 transcript:Solyc01g058450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHKGERYNSGPFQYKHSRGPPSPRTFSDDSGNPQHHHRQSQDVYRGPRYHRAFNSPTQHPSDTAATGGGVESGRLHLGYQMPPPPRPFSGPLSGQKRGYPSPEQVDEAGFAKLFVGSVPRTATEEDIRPLFEEQGRVLEVAFIKDKRTGQQQGCCFIKYGTSAEADRAIRALHNQYTLPGGIGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFLPYGRVEDVYLMRDDMKQSRGCGFVKYSNRDMAMAAINSLSGNYTMRGCDQPLTVRFADPKRPKPGEQPRGGGPAFGGPGVGPRFPTPGIRPPPNIGEPVQGQVGPNAWRPMSPEGFRPVSNQGMHGFGNQFPPRSTDTTVPSALGGSFRSVNGTGNGMLTGIAASSAPTPQLSAQQFPTVGQQISSLQKPLQSPQHIPSSVQFQPPASTPMSQGPTSNALFGQRNQVQMSHSAGQSPFRQGALSQQSLGLTGQSTVSQPQVQRNMTPVIGHTPLSNNMQIHAASANANQQPFQQQSVQHLQQPPSQLAQMLSQQTQTLQASFQSSQQTFTQLQQQLQLMQPSSQNSAVQQGTQDAKQQSAWPGLVQQPAPSATAVQPKADALPATSGMNQTTSLVKCNWTEHTSPDGFKYYYSSTTGESRWEKPEELISYEQQQQKLSVQQSHNQAQPQGFPSQQVPEMQAQPRSQLPTYIPTQVRPPQLSQQASQATMYPVGMTGQQPIQGIQAAQEWMWKNKPEGG >Solyc07g009140.3.1 pep chromosome:SL3.0:7:4157484:4163628:1 gene:Solyc07g009140.3 transcript:Solyc07g009140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEEGTQQPQLVLAHKLFLLTHPDVNDLDKVRLREEVLEAVVSNDMAPLFETLVSKGVFSLNLEVLDPMRVKNADELKKLDEKIADAEENLGESEVREAHLAKSLFYIRIGDKGKALEQLKVTEKKTVAVGQKMDLVFYTLQMGLFDLDFDLISKCIDKAKKLFEKGGDWERKNRLKVYEGLFCMSTRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLTSIITLDRVSLKQKVVDAPEILTVIGKIPYLSEFMNSLYDCQYKSFFSAFAGLMEHIKLDRYLQPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVSEDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Solyc10g046820.2.1 pep chromosome:SL3.0:10:37409430:37410759:1 gene:Solyc10g046820.2 transcript:Solyc10g046820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGKLHMSCRNICEHCSHASSFTRRFSSKVPWKDTLYLRYSPKEDSPHIVEQYFQRTLVKVYQEYCNSMSILSLRIMELLGMSLSVQNSLFKEFFEDNESIARLNYLLYDPISLTVLHQYCFIAFVVNIGDTFMVRKFTHQNYIIIGLLQALSNGRYKSYHLFLFVCPDKDKLVSPPAELLDYNNPRLYCNFTRPALLELTRKHHRADTGTLRAYSMWLQDNNTKV >Solyc03g097350.3.1 pep chromosome:SL3.0:3:61085524:61093054:-1 gene:Solyc03g097350.3 transcript:Solyc03g097350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANMLIIEGVLRGQPPDYMLKDAKNTSKFFKFVGSINQEECGPGPMSRSSVYQALTEEEYESRESKKPVLGKEYFNHMNLEAIVRKYPYKKILREDEIIKENQIRLALIDFLRGLVEFDPAKRWSPVQASKHPFVTGEPFTCPYRPAPETPRLPVSQNVRVDHHPSGGHWFAAGLSPNISGRNRVAVPSSPHYQVMQYAHIGSYGSLGSHGSYHDGAALGSSYGSYGDNSNLHAFYSPAGPSGMHLYAQNGVSILGSSPDTRRFKQLPHGNGLGVSPGNFVPMSLGTSPSQFTPPSMYTQISAGSPGNYGPSSPSRGSCHGSPLGKMAAGSQYNRRKGWAYPGSYQSQEISSSSHWQGQVADGNNYSQANSPVFGGSLMHIHSNSNPRQKASNSVNVGFSNAHNITSPSMLGGHAQFQKTQGDKPDTSNSLPDPGDWDPNYSEELLLQEDSSEMSSLSSEFSKGMHLGQAGVSQEPFTGMRRPNQISNLNPSMSQRATGHTQAFLPGEVGSPASGHELHGRYMHNMVNPSYLMPHFAQSSPSRLGQQPPLHRFNQGRATAVHYSEGHAMAQSSHSTYNADNPLSAVRNGASWGRRGSNPLPNIPPTSRTRKDYKRIA >Solyc01g089930.2.1 pep chromosome:SL3.0:1:83509236:83511011:1 gene:Solyc01g089930.2 transcript:Solyc01g089930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTHSRCSLVSSAVTKRQAMAADSSGSENNQAGKLNAGASKKRPVHAFVAPKIIGGKNALSPVGELGMVEMTQALELIDVCYEQV >Solyc09g014350.3.1 pep chromosome:SL3.0:9:5900597:5903848:-1 gene:Solyc09g014350.3 transcript:Solyc09g014350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAHPHIEPISKYNKEINRSNQTIASDLDGTLLISTSSFPYFFLIALEGGSLIRALLLLLFVPIIYFIYIFISESIAIETLIFISFAGLKIKDIEIVSRAVLPKFYSEDVHPHTWKVFNSFGKRYIVTANPTIMVEHFAKNFLGVDKVLGTELHVTKSGRATGFVTKPGVLVGQYKRDALIKEFGTNVPHVGLGDRETDRDFISMCKEGYMVPRSKIEPLPRNKLLSPVIFHEGRFVQRPTPIMALLTFLWMPIGIILSILRVYLNIPLPEKIVRYNYIILGIKLIVKGTPPPPPKNGQRGVLFVCNHRTILDPVVTAVALGRKISCVTYSISKFSEMISPIKAVALSREREKDAAHIKQLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFNGTTVRGYKLLDPYFVFMNPRPTYEITFLNQLPPELNCTNGGKSAIEVANYIQKVLGGTLGFECTNLTRRDKYAILAGTDGRVAIKDKEKEKEKEKK >Solyc01g104970.3.1 pep chromosome:SL3.0:1:93183944:93195078:1 gene:Solyc01g104970.3 transcript:Solyc01g104970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Somatic embryogenesis receptor kinase 3B [Source:UniProtKB/TrEMBL;Acc:G0XZA6] MMDQWVLGILGSASVFLCLIGLLLVPVYGNTEGDALNALKTTLADPNNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGQLVPQLGQLSNLQYLELYSNNISGRIPYELGNLTNLVSLDLYLNKLVGPIPDTLGKLQKLRFLRLNNNSLTGQIPVLLTTVTSLQVLDLSNNKLTGPVPVNGSFSLFTPISFANNPLETPPVSPPPPITPTPTSPGVGNSATGAIAGGVAAGAALLFAAPAILLAWWRRRKPEDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLWGFCMTATERLLVYPYMANGSVASRLRERPESDPPLGWPIRKCIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEYEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDEKYETLVDADLQGNYNEEEVKQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDFNHAHHPHTDWIIADSTYNLRPDELSGPR >Solyc12g036300.2.1 pep chromosome:SL3.0:12:46175315:46186724:1 gene:Solyc12g036300.2 transcript:Solyc12g036300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSGEHSFDVKELLEIRARCKELRKEKDTLRGSQGQSVELIRKIEQHVQTLSEAREEDKYHTQKLKSELENCSQEIDYLQDQLNLRNEEMDSLSKCVCSLQLKLANLENMEEEVTRLREELEMSNAERLYLLQQLENRELETEGSALCIERLEESVASIGLEHQFEIESIKLDLITMEQNYFKAKKSQDETAQDNAMMNELIHDLQLQIYDAEKVIESLEKENVNLREQLQTSEMNARTFSEKVEELFRGLIPNNDDSSSSKEDASASSCCGDILGPLLIKLASLGPSDVDLTDKRKIMAGQIQNNESLVKQLKEELIMEKLKAKEESEDLAQEMAELRYQMTGLLEEERKRRACVEQLSLQRIAELEAQYVPLGGSFNEVPDIFSCVNKVEKERMKSFTEEDQNMSVKHKDRSNVVIGSISAGGACVSDGMKTSYAGCISVGFHVVKTCWFQMKC >Solyc01g010793.1.1 pep chromosome:SL3.0:1:5790660:5793549:1 gene:Solyc01g010793.1 transcript:Solyc01g010793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKPIDNSIDANPGLGYRRGIQQNYEFERVWKQSFFHIPFADQRCNKIPFRSRKTRELKNLATHLKRISDLTGSHFIYESVINGYKSAIVAFDVKSENYEIGKMHCHELIEVNGKLAAIDYDYESRQSGYLDMWILEQTPRNQWERHIIRFPLIWIDKKPIVISSCTPNNGEITSILTQTSIFYNVEQNKDGKDSASFLQIEIFNELYDAYPYLGLDCINCSNSTSILENHAGYRVDSNMYIAESPSS >Solyc12g044320.2.1 pep chromosome:SL3.0:12:60176390:60179267:1 gene:Solyc12g044320.2 transcript:Solyc12g044320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIDLEPPNSLSSSAPSFAIRPNNNNNNHNNSFLRSISTKEPLNFSEQLAYTPPRISTSALPSNTYSQPSTPRLSFTLNNPSTKSVDGDDHPDFHQTSYRCISSVLKKDGQILSVAVSNGLVYTGSQANLIRVWKLPEFTECDHLKTRASSMVVSLQVSNDMIYAAYGDCKIRVWRRTWEGVIKHVRVATIPKVGSYVRSYISGRDKMMKHMGPISSLAINISDDILYSASLDKTVKVWRISDLKCIETIQAHNDPINDIVVGDDGVLYTASDDATVRVWRRNFCSGDRPHSLTVTLPAKCSPVKTLALTSDGGVLYGGCSDGYIHYWLKGWFSGQLQYGGALLGHTHAVMCLASMANYVVSGSADSTCRVWFREQQDCQHVCVAILQGHRGPIRCVAAFPRLVNEENEDGCTVCTGSLDGVIKMWRVRRTSISDKGKGSSQNACEYFEIA >Solyc12g038250.2.1 pep chromosome:SL3.0:12:50277185:50278278:1 gene:Solyc12g038250.2 transcript:Solyc12g038250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKAPTTVAKSEDYKVPSVPKEEYKVPTLPKNDYFKKPSVSKDNYKKVSSVPEVSLVPKEEYKVSSLPKNDFYKKTLVIEDNYKKFLKNNDYKEPSVPKEEYKMSSLPKNDYKKPSVPEDNYKKVSTVLEVPSVHKPEYKVPSLQKIDYYKKPSVPQDNYKKVSSVPEVPLVSKKEYKNDYYKNPLEDKYRKVPTVPEVPSMPKHEYKVTSLPKNDYNKKPSAPEDNYKKVSSFPEVPSVPKQETQVPSLSNNGYYKEPSVSEDNYKKVPSVSTDNNYKVPKIPKQEYKMPSLPNDDYKTPSIPEDNYKKITIVSEIPSVPKQEYKVPSFPKTDYFKKPSPSPSPSPPYY >Solyc02g024065.1.1 pep chromosome:SL3.0:1:91325591:91327393:1 gene:Solyc02g024065.1 transcript:Solyc02g024065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTDLKAVALIQSMLSAPCFGKLKPVDHLKLLANLQVYKGTLPDGQLIAIKRAGQASKQGALEFKTEIEILSRFHHKNVVSLVGFCFRQGEQMLVYEFIPNGSLKESLSGKSGIKLDWKRRLRIALGAARGLQYLHDHVDPPIIHRDIKSNNILLDERLNAKVADFGLSKTMSEPDKGYVSTQVKGTMGYMDPEYYTTQQLTEKSDVYSFGVLLLELITARSPIVRGKYIVKELRQAIDKSKDMYNIDEFVDPAIPSNMTPISFRKFVDLAFMCLEDAGANRPTMGEVVKEIENIMEIDGLNSCAESRSTSVSHEGTSATFDHPYSEESLNRYNGPCMFQLFASDNPGARLRGFKMSDFIVFWTFSHSLYISFSFENYN >Solyc11g011820.2.1 pep chromosome:SL3.0:11:4787239:4795315:1 gene:Solyc11g011820.2 transcript:Solyc11g011820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNIFSPLSISTIIKIHQKKKTKSTMSNDETPIRFGIIGCAGIARKISRAINLSPNCTLYALASRSIEKAHNFAIKNNLFSDSLKIYGNYSEILDDPFVDAVYMPLPTTFHLQWAILAAEKKKHLLLEKPTALNVEELDKILDACDKNGVQFMDASMWYHHPRTGKTKEMILDSNLFGQVKAIHSSSTYAPGQEFLENNIRVKPDLDALGALGDAGWFCIGSILWAMNQTLLMTVTVLPNVARNSAGVILTCSASLYWEKEETVATFYCSFIAHETMNLRVYGSNGTCYLYDFIIPFEEDSASFNFTSSAKFVDLHIGWNVKPQVVEVTSQLPQEGYMIQEFADLVKAIKVSRSKPEIK >Solyc08g082900.3.1 pep chromosome:SL3.0:8:65672167:65677906:-1 gene:Solyc08g082900.3 transcript:Solyc08g082900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSYSEFEEECFFDSREEITSVSDLGSDCNETCVRSLGDECVLGYDLWNKDPESVDERRDRFLKWIGLSSSWDGPDGKEKGGITTHYRKMSVDRIRDVGETVLANSDSQESCFSGRSSQSFHSNEALELDEDGAAEVRLHWKIRNLDNGVEFVLDEFSQEGMLSQVREVGSNKLFTAEEFHRTLGPSPLVQKYLRRAADGIDTVDTKTKTKRSWLQKLTVATHNKVKSMGDKVKGKESNLKTGTNIQRVRVHTCDKESKELSSLHTGQEFLAHEGSISTMKFSPCGQYLASAGKDGMVRMWRVIADEIPNNLNAHDGDSSCLYFSLTPTSKLASLNDNKEKISVSKMMRKSPESACVVLPPKIFRILEKPLHEFHGHSGEVLALSWSRNGCNSGNGHHFLQYLLSSSVDKTARLWKVGQDQCLGVYSHNNYVTCVEFNPIDDNIFISGSIDGKIRLWEVHGCRVIDWTDVKEIVTAVCYCPDGKGGVVGSMDGNCHFYDVIVLSLALSNGCEIFTSSLKSAYGNQLQMGSQVCLPGKKKLARKRITGFQYCPSDSSKVMVTSADSQVRILCRSNIICKFKGIRNSGNQFPASFTSDGKHILAVTEDSNVHIWNYTEQGRRTNKPKKVRSSESFFSNNASVALPWSGFNTNPGTLPRSSILENRNVNRNSLPRASDCFSLGRTFLVDSLTKGSATWPEEKLPNSSSPVTVFPSVCKSEYKFLKSAWQGALSSPHLWGLVVVTAGLDGCIRTFLNYGLPIRF >Solyc06g010250.3.1 pep chromosome:SL3.0:6:5517538:5519733:-1 gene:Solyc06g010250.3 transcript:Solyc06g010250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAEGRFKFSLPVDSEHKAKTLKIFSFAQPHMRSFHLAWISFFTCLISTFAAAPLVPIIRDNLDLTRSDIGNAGVASVSGSIFSRLVMGVVCDLIGPRYGCAFVNLLTAPVVFSVAFVSTPQGYIAVRFMIGVSLATFVSCQYWTSTMFNGQIIGLVNGVAAGWGDMGGGVTQLLMPFLFHIIQLTGATPFTAWRIAFFIPGWLHIIVGVMVLVLGQDLPDGNLSDLQKSGDVHKDKFSKVVWFAVTNYRTWVFFLVYGYSMGVQLCMNNVIAEYFFDKFNLKLHTAGVIAGAYGMVNFVARPFGGYASDLAARKFGMRGRLWILWIVQTLGGVFCVWLGRAETLPIAILAMILFSIGAQAACGATYGVIPFVSRRSLGLISGLTGAGGNFGGGLTQLIFFSSTTFSTSMGLTWMGFMAVACSVPIALIHFPQWGSMFLPASKDENYNEEKYYSSEWSYDEKQKGLHNASIKFAENSVSERGRKHIIIYSATTPPHV >Solyc11g061890.2.1 pep chromosome:SL3.0:11:48880322:48880843:1 gene:Solyc11g061890.2 transcript:Solyc11g061890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYASFFIWTNVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFIPSIFIPDADYTKEIRRSIGVPEMDIYLREETNIDGDDESKKMILQASNFKYQ >Solyc07g009440.2.1.1 pep chromosome:SL3.0:7:4495917:4496750:-1 gene:Solyc07g009440.2 transcript:Solyc07g009440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSYSILILVQFLCFSLSLGGDTISVNVSVSSGQTIMSSGGNFELGFFRPGDSRSYYIGIWYKKLYPQEVVWVANRDKPLDSADANLIISRGNLVLVDRLQNSIWSALAGNINPNISVTALLRDDGNLILNDVSKASMPLLLWQSFDYPTHTFLPGAKIGYDKRTQRKQLLISWKNLSDPAPGLYSMEMDPTRAQIVVKWNRTREYWASGSWDGRTFSLVPEMRTNYIYNFSYIDNENESYFTYSLNNSSLTSKWMMDVSGLIKQQVWFYGNIDWNL >Solyc01g006820.2.1.1 pep chromosome:SL3.0:1:1390367:1391134:-1 gene:Solyc01g006820.2 transcript:Solyc01g006820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKRRFWRDLMFPGSSKKRLNSGSKSSSRASFLGAKMVILCLVTASSSG >Solyc02g094250.3.1 pep chromosome:SL3.0:2:55476258:55480404:1 gene:Solyc02g094250.3 transcript:Solyc02g094250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVVGVSAVFLLMRSDVKQSASIFKRNVRQIRHWLEEESASAAKEMEKAKPKEIPKKDIPKEDKQ >Solyc11g007900.2.1 pep chromosome:SL3.0:11:2105989:2132629:1 gene:Solyc11g007900.2 transcript:Solyc11g007900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTKFANLHHWAGARWAVLVNHGIHSDTIQHIIEVGKKFFELPFEERAKYMSIDMQAPVRVGTSYNQNKDGVFCWRDFLKLSCHSLSSDLLSLWPSSPVDLREAASNYSKQAKLLYQLLVGAILESLGIVNNNDSQNLKEFEDGSQLLVLNCYPSCPEPDLTLGMPPHSDYGLLTLLLQDEVKGLQIQHQGKWLTVEPIPNSFVVNVGDHLEVPKGWDKLSVSLISVETGKTVSKSGKASVRNSNCKWTETWSQSMWITADDISNNQEQFPLKFIVTMGSARSSILGEASVNLARFRDAKAFTPVSLPLKKCNHGTVLQLEIKCLTSIRDNESKDMPFYAEEENMEYNNMELKSEETSLPRLSSYHSFASTEDSLGRESFSSLSDSNRHGNLIIGRQESIDSRSTASCGSYSFCESPKSYNSPYNLMISGSGKNAQNQKDDFKQFSHDNTASVQLSASSRNSLPAKDTSTKELIAEAMMWEQNAHRLKIDLEMSRKEFADQTQQIENLKMELCSLGTERDESMQEIKHLEILLQESMEKEKATESLLFRVRDMDSVEKILKEELRIQKESNDNMSFQLSKTQESNIQLVSILQEMEETVEKQNLEIKNLLEVKSHSENLEDKLQQLQESQKNLENTTLHLEKIIREKTHEIELERDHRTQALIDCEEKWKNRSREQEEEITYLKVELSRLLSIEGSKTSEIKAEADCDLIKENETLKERLQELERDRKELTEENLELLYNLKSKGTSSISDSILRSSSVDHQLSQQSPSGSESKQKTCDQEENLALEFHSQVKDNALRPNAEINSCCVEVQEQDQRTEVAAMPSECQQEGDCQDGFIHKSVPIIFQSSKSGHIEDIYTLLNTLCHPAKDEQANAVLENFQLLRYRFEDLNKVLEGKIQNLSSDSLAKNSEILELKTKCLKKDAEIEALRNHQTDLSSQISDLQMSKCLMEERMENLQQELSATSNSLDTSTNGLMFLDRCICGGISKMTYEMKSSELESDKYELEFNLRELEKENVDLSERVSGLEAQLRHLTDAMEFNRLELQHSGNRVVSLESKIRKLEHQKESQKLDLKEQLLEMQKRWLDAQEECEYLKKVNPKLQATTESLMEECRLLQNLNAELRQQKLKLHASHNVLEAELRKSQHSLSTCLKRIESLEANFSSVMEEIESKEKILKFKLDDLHLQSREHGEKFLVEGCTLCEMSPENTVEVEKLQEQVQSLMVEMSNLMSELGTSKAKEGTLAANCDKLLRMMEHLVSSEAKLKCTINELESKLLSSECQLLQMTDENSSLKIQLHTLPLLQEEVLYLKEALSGMKFESERTQVTLLLKYGDCEELKDEKASLLQEITCMQKEVAKAEKCKYKTIALEEKVLRLEGDLTAKEVMCAKVSELKNELCQLRRSNSQLLWKIKSLQFEKENCLKQVTSLEKKLSKKRPDNQREDDDTVPTLDELKFPKNGNKESNYYRDLQETLAKSVSAKQSPGDTVAQTLDSNHIHKSHLISLSSKGRDDNTQKISQLEAELQEIRDRYLKISLKYAEVEDQREQLVMTLKAIHDEKTSTGYRSLSSFW >Solyc07g007945.1.1 pep chromosome:SL3.0:7:2606917:2607971:-1 gene:Solyc07g007945.1 transcript:Solyc07g007945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNESDVENMHHGLYSIPEDLKENGGNQLFMLFHTPGPLFRNTSLTVEGTASYGQNGRPFAAINFSIDT >Solyc02g087940.3.1 pep chromosome:SL3.0:2:50816611:50820310:1 gene:Solyc02g087940.3 transcript:Solyc02g087940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGEMQSSYNVKDKIVLELMDSTDSYLHLMNSLSSSLREGWLELASARHSMGASRISSALFDLKSHSAATTLQLNHQDAGPKSEESHFSLCKWASSESPKSCSEEDTLLQKKSNSPKVLNQDGSSNSEVQEEASEAPEAIESPRTVEDQARKERLKSLSMFGVLVCPKLRAAQSSFETALETLVEVANKRADLLNAYEQVQEKMESATN >Solyc08g080710.2.1 pep chromosome:SL3.0:8:64049854:64052563:-1 gene:Solyc08g080710.2 transcript:Solyc08g080710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLMHLRAKVQIVVAISLLLSYAKIEARQSLSMVEDLELERQLKLINKPAIKTIKMKPTLPNLQGDDTSSNINSPFTIGLKDGGCPTGTVPIRRITKDDLIRQRFLSQIRGADESPYGDDMEFSVFDGTNSSIPFKGGRKYATVQIPYNSTNKITGAGAIISLHNPQNLSGHQFSAGRIKVQIGIESIQVGWIVNPHVYGDTHTRLYIYLKTEKLACFNTRCPGFIHINTAIPLDGDLPASTYGGPIYDVPMYIARDMSNGNWWFKFGTNYTSVGFWPSKIFTKLNEFATSVEYGGIVYSPPGVPEPSMGGGYFPVGDLNKDGYCKNSTYLTDKNETKKSLDDIEVKLYANSPNLYRVADFPNSGVESGNLVLYGGPGEHMYKKHRDI >Solyc11g040390.2.1 pep chromosome:SL3.0:11:37936716:37958346:1 gene:Solyc11g040390.2 transcript:Solyc11g040390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSPISPSYSSHLSSKHVKANKTKFSTINKINSFPLIQRSPFLKVDFSSQWERGKSSKFSINAAVTSKEYSLDGALENTQLPKGDCWSVHKFGGTCVGTPERIGNVAEIITADQSERKLVVVSAMSKVTDMMYDLIYKAQSRDDSYITALDAVREKHKLAAVDLLDGDDLASFLSKLQDDVNNLKSMLRAIYIAGHATESFSDFVVGHGELWSAHLLSSAVRKNGVDCKWMDTREVLVVNPTSSNQVDPDYLMSEERLEKWYSKNPSKTIIATGFIATTPQNIPTTLKRDGSDFSAAIMGALLKAHQVTIWTDVDGVYSADPRKVSEAVILKTLSYQEAWEMSYFGANVLHPRTIVPVMQYDIPIVIKNIFNLSAPGTMICRSSGNEYEDGQKLESLVKGFATIDNVALVNVEGTGMAGVPGTASAIFSAVKDVGANVIMISQASSEHSVCFAVPEKEVKAVADVLESRFGQALSAGRLSQIAVIPNCSILAAVGQRMASTPGVSATLFTALAKANINIRAIAQGCTEYNITVVVKREDCVRALRAVHSKFYLSRTIIAVGIVGPGLIGGTLLDQLKDQTAVLKEKFNIDLRVMGITGTRTMLLSESGIDLSRWRQLLSVKGEMADMNKFVHHVRGNHFIPNTVMVDCTADSDVASHYFGWLHRGIHVVTPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFTGSRAFSQVVKEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLELELSDIPVQSLVPEPLRSSASPEEFMQQLPQFDQQLAAQRQEAENTGEVLRYVGVVDVVSGKGTVELQKYSKEHPFAQLSGSDNIIAFTTERYAKQPLIVRGPGAGAEVTAGGVFSDILRLASYLGAPS >Solyc07g009070.3.1 pep chromosome:SL3.0:7:4034536:4035012:-1 gene:Solyc07g009070.3 transcript:Solyc07g009070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTTFLALLLCLFLVAATEIQMAEGKYCWKKSGKWNGPCQYSYKCSHHCKYYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc06g008890.3.1.1 pep chromosome:SL3.0:6:2832072:2833409:1 gene:Solyc06g008890.3 transcript:Solyc06g008890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKAYSLAISLVILLITLIVIARITLKYSEAFFLIFGADIALIIGVLAGVLIRRKLNNRKLLLENQLDSDGRELRIEYSFLRKVAGVPTRFKLKELEEATDNFGSLIGRGSSACVFKGVLSDGASVAVKRIDGEERGDKEFKSEVAAIASVQHVNLVRLLGYCSVPPSGPRFLVYEYIVNGSLDNWIFRKRGIRGCLSLDLRCRVSLDVAKALSYLHHDCRSCILHLDVKPENILLDENHRAILADFGLSKLMGKDESRVVTTIRGTRGYLAPEWLLENGISEKSDVYSYGMVLLELIGGRRNITLAENGKSTNSKSKFSYFPKIVSEKLEQGKIMEVVDERLVHEAATGGVAVEMQVKRLACVALSCIQERPSLRPTMARVVEMLEGRVLVEAPTQTTMMILDLLDEGVDHHPGLPRVAAAMARSTDTNSLRSYTMSILSGR >Solyc09g090310.2.1 pep chromosome:SL3.0:9:70308680:70312778:1 gene:Solyc09g090310.2 transcript:Solyc09g090310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTLFAPALEGMKDVKSEHGELMTKPFLEVCKLVLPILDKFGAAMTVVKSDISGNIARLESKYNDNPSRFNYLYSFVQAEVEIKTAKSSSSCTNGLLWLTRAMDFIVVLFHNLAQHQDWSMSQACNDSYSKTLKKWHGWLASSSFTVAIKLAPDRKKFMEVISGNGDINSDMEKFSTTFSPILQQIHKFLTSVGLDSMKAS >Solyc07g041515.1.1 pep chromosome:SL3.0:7:53647318:53647896:1 gene:Solyc07g041515.1 transcript:Solyc07g041515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQWMILNIRILVNPFDDLEIDAFRIVKNMTIVGIWHSIPSNFSEISSILRNEIGQWLLKCRRPFFLVIRNDEVFNAKIVEDI >Solyc04g025500.2.1 pep chromosome:SL3.0:4:22576248:22577544:1 gene:Solyc04g025500.2 transcript:Solyc04g025500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNMAPPKSNTSYESLLRQNISGSSMAYHPPFLQNNNNNNNNNNNNNNNNNNNNARAHSISDNISSLMSPSPQENNEFHHNNREKSIILGILIAALNEM >Solyc12g035405.1.1 pep chromosome:SL3.0:12:40379014:40393099:1 gene:Solyc12g035405.1 transcript:Solyc12g035405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVNERTTVGVFRFCLSAPHTIEWRCNIDMCRLEGPNSISKRCMCIVRMVCLLVLLCVCIGTDTAAQSCITESSNWLYGPPPEKMTRSCVGVDWLIGFEFKSSVDLLLFKLLFMTRNPYSTTSETRSSIVIPGTCILLDQQIELAAPLCGSIKSSMEPSFHEKSSTVHPPLSAERTTESVMSGCWPSGCVISHKNHNFPHFCKQSVQIQLHVAADDNGVCSSGYLSTSSIPTISILFNFFNPLYDHYGSLVYLHNNSNHGAQLRFRRPTTGLCFSIHELNITTSILRTFPIARDRNNIVSSQNHMDSSIKLQNVTEQLKCYHLTVKGLGSGWVLNNIIYLTATLLHPDYKLQGAQGLPDTSY >Solyc11g022420.2.1 pep chromosome:SL3.0:11:13880904:13884141:1 gene:Solyc11g022420.2 transcript:Solyc11g022420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSPQEHDECHHDSRQQTIMFETVVASMNEMVELWKMNDTFWIDSSSDRRCFIHRDIYGRKFSNQVLPYQTSTGRIESSKDCGIVSMTAMELIHNFLDPVKWMNLFPTIVTKAKTIEVLESGTWEGSMQLLKLENFSSYVVVDNLMQHGLWWIFHMIYSMTFIVVYLVSLGSSHLIVQFKIWAMAKVSLIIQVKRVTYISLFICSLFLTVTWVEHVQVYEKYQVNHIFRDLLCDREAYGAKRWIVTLQRMYERFNFQMDSTCPTRHDFKGRLVRKQSIVNFSSLQGSFS >Solyc12g019770.1.1.1 pep chromosome:SL3.0:12:11635668:11635823:1 gene:Solyc12g019770.1 transcript:Solyc12g019770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMIGLQIQNFMQKAEETESSISELFRVIGGEKTLIEEWGDLFMKTYISRR >Solyc12g006730.1.1.1 pep chromosome:SL3.0:12:1188331:1188567:1 gene:Solyc12g006730.1 transcript:Solyc12g006730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFMIIGFEKRRSCADGDYDYTSAASLEGDDDDGDYDYAPAASLEGNDDDGDYDYAPAASLEGDDDDGDYDYAPAA >Solyc09g009710.3.1 pep chromosome:SL3.0:9:3110954:3122523:1 gene:Solyc09g009710.3 transcript:Solyc09g009710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGDDFSVAAELKRCKQKRKSQPKSNNIVPESPATAPAKVAGGEFSSGIPVSLFDYSVENHFKAVDIISKLTGVPDIDDTDRTELNRLASSITFLTEWRFLKYKTREVKFACENESSKGKDVIGEISLPQFSSAEVPKKQLVNEQPVPEKSSKDFVMYVGGLAWGMDWCPQAHENRDAPMKSEFVAIAPHPPDSSYHKTDASLTGRGVIQIWCLPDLIQKDIIVKEDYFAQVNKKPYRNLTRSEAGTGEVSGPQKPRGRPKKNPGKAVQAKASRPQNPRGRPRKKPVTESLGDRDSEDHSLQPLAIEWSLQSTELSVDLSCGNMNKAQVDIGLSQERCINAASLDSPLTGVRNKATLKGQTEKSGVIPLTQDVAEESPAVSSQAYTSNRLVSAGSSESGASTKRRKKEKEGMENQTHNPTFPLPMLTQEMHEESPNMSQSPESHGIHSSRLDENGSDVLQDIPTDVSLPRMVLCLAHNGKIARDIKWRPSNHYDVSRHRMGYLAVILGNGTLEVWEVPFPHTIKAIYPSVQKKGTDPRFLKLQPVFRCSMLKGCDGQSIPLTVEWSASPLHDMILCGCHDGVVALWKFSANNSSKDTRPLLCFKADTGRIRALSWAPLESDSGNTNIIIVAGDKGLKFWDLRDPFRPLREYHVGSGVHICSVDWLPEPRFIVISCDDGTLKILGLPKAAYDAPVTGNLLVGTKQQGFHSFTRSRLGIWSVQASRITGMVAYCGVDGTAARFQMSSKMYNDPLRTRAPHFLCGSFSEDESGISVVTPVPNTPFRMIYSGKQWRDAIPRSPHGLDKRMIEQSDEQPLALCYGDDPNVEGGSDDELASQSSKTKTKSTSKKPEAAEEEATRLRQKFEKLPPKILSIHRIRWNMNKGSERWLCYGGAAGLVRCQQIDL >Solyc04g052910.2.1.1 pep chromosome:SL3.0:4:51203079:51203399:1 gene:Solyc04g052910.2 transcript:Solyc04g052910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSTKKLIKMARKWQMFAAMQRKRISFPRNGSDVEIFSTFSSSIIEKDHFVVYIIDQARFVVPLAYLENEVIRQLLDMSEEKFGLSSGGPITLPCDSDFMDYII >Solyc04g014980.1.1.1 pep chromosome:SL3.0:4:5190055:5190219:-1 gene:Solyc04g014980.1 transcript:Solyc04g014980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDSINIILRKILKHPSQSATTICLSNFFLWSHCVLSWLSQTTTIRLGFDPTP >Solyc07g008320.3.1 pep chromosome:SL3.0:7:3076021:3098901:-1 gene:Solyc07g008320.3 transcript:Solyc07g008320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4CBV1] MSEENVKGSPYRRHQNEDLEAGSSSKSIDDDCGSPFDIPRTKSAPIDRLKRWRQAALVLNASRRFRYTLDLKKEEERKQLIAKIRTHAQVIRAAVLFQEAGKAVNGDGSLQRLPPTTPSLGEFDISQEELTFMSREHDVTALQNCGGVKGVSEKLKTNLDKGIDGDEVDLLKRKNAYGSNTYPRKKGRSFWRFVWEACCDTTLIILMVAAAASLALGIKTEGIKEGWYDGGSIALAVIIVIVVTAVSDYKQSLQFQNLNEEKQNIQIEVVRGGRRIPVSIFDVVVGDVVPLKIGDQVPADGILISGQSLALDESSMTGESKIVHKDSKSPFLMSGCKVADGYGMMLVVGVGINTEWGLLMASITEDNGEETPLQVRLNGVATFIGIVGLTVALLVLIVLMIRFFTGHTYNPDGSPQFKAGKTKVGKAVDGAIKIFTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEVYISGKKIDPPDDRSEVPPTVLSLLHEGVGLNTTGSVFVPQGGGAVEISGSPTEKAILQWGLNLGMNFDAVRSEASIIHAFPFNSEKKRGGVAVKLDSEVHLHWKGAAEIVLSCCTSFIDENGSVVPLGDDKMSLLKEAISNMAASSLRCVAIAYRPYEVDKVPTEEEIDHWEIPEGDLILLAIVGIKDPCRPGVRDAVQLCIDAGVKVRMVTGDNLQTARAIALECGILRSDADATEPNLIEGKRFRAMSDEERKNVADKISVMGRSSPNDKLLLVQALRSNGHVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAVTAGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHREPVGRREPLVTNIMWRNLLIQALYQVSVLLVLNFRGKQILHLDHETSARAIEVKNTLIFNAFVFCQVFNEFNARKPDEMNVFKGVLKNRLFVSIVGLTVVLQVIIIFFLGKFTSTVRLSWQLWLVSIVIGVISWPLAVLGKLIPVPEKPFSEYFSKKLPKRRNRQECS >Solyc11g013130.2.1 pep chromosome:SL3.0:11:5994156:5996381:1 gene:Solyc11g013130.2 transcript:Solyc11g013130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYPPPGYGAPPPPPGGGYYPPPPGPPNYQGYFNNDQCCPPPPPPPQHIYHHHHDDHHHHHHHHSGCLSFLRGCLAALCCCCILEECCCCCF >Solyc11g044570.2.1 pep chromosome:SL3.0:11:32673968:32677940:-1 gene:Solyc11g044570.2 transcript:Solyc11g044570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRLKTVDNGDVSEDGEIDESINENDETLMLPYRNSLHSLLSESNIQLPKKVSQSSKEPSVVESAKVGERFSRMLSSFDDVHMLAHRLKTVDNGDVSEDGEIDESINENDEDSCQRRREWAEKASNMASYFLV >Solyc05g016580.2.1 pep chromosome:SL3.0:5:17501658:17504633:-1 gene:Solyc05g016580.2 transcript:Solyc05g016580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYWSWIARIGRGLCKLLHRCLLWTARICCGFCTFVHSLSSVGCCIGRVISLVACALRAGGIICVLSASTKRHRQMACYNNQGLHVSIFAYTHQLNDKFLASMHFPGDVVQQHAASTKVFTHLAWFMHIWKATPDKDMKYHTRRCTVFATSMSAIRGTITQGLCATGKWRRPMDSALSAHIGLEMSASGRKYLRRPIHTNMEYACLESGVALRLSISTKSCMHKSHHVLINLVTLFVERMHSLGDIGKWQKTSSKAHIINGLSASARRYRRSNRREYTQRFVCFGKRCLSMEGSITQGLHTSEMACAHKVSNFGHCNATSAKACTHWSWRVCIGRATIASSNVKQGHQRQVSFGNEMLSNGRQDKSLHVHIVWVT >Solyc12g038020.2.1 pep chromosome:SL3.0:12:49393233:49394561:-1 gene:Solyc12g038020.2 transcript:Solyc12g038020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIFSHFGTVTYAEIIRYHKTRDSHCYAFIEFEDKESCDQAYFMMDNTKIYDRRIRVDFSLSVAKLWPNIDIETTKAVSISIMVLLMLLNSKKKKISNMAEMEIETSLISSIPNAGNREASAMAAAVRAVGGYFVLDDSFARERVSSIASRICAAQLSHRAL >Solyc09g007540.3.1 pep chromosome:SL3.0:9:1126553:1139977:1 gene:Solyc09g007540.3 transcript:Solyc09g007540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSESKSHETGKNCKPEAAASEGKQLTPEELEKKKKKEEKAREKELKKLKAAQKAEAAKQAQASSTVSKTAKKKSSKRDGGEENPEDFVDPETRLGEKKKLSREMAKTFNPSAVEKSWYAWWEKSNFFVADPNSAKPPFVIVLPPPNVTGALHIGHALTAAIEDTIIRWRRMSGYNTLWVPGMDHAGIATQVVVEKKIMRERNLTRHDIGREKFVAEVWNWKNEYGGTILQQLRRLGASLDWSRECFTMDEKRSKAVTEAFVRLSNEGLIYRAPRMVHWDCVLRTAISDIEVEYTDIKERTLLNVPGYEEPVEFGLLTSFAYPLEGDLGEIVVATTRIETMLGDTAIAIHPEDKRYSHLHGKFAIHPFNGRQLPIVCDDILVDMNFGTGAVKITPAHDPNDFEVGQRHKLEFISIFTDDGNINSNAGPDFEGMPRFKARVAVTEALKEKGLYRGAKNNEMRLGICSRSNDVVEPLIKPQWFVNCKIMAKQALDAVVDEDNQKLEIIPKQYGAEWRRWLENIRDWCISRQLWWGHRIPAWYVTLSDDKQKEFGVSDDHWIVARNEEEARDLASRKFSGKKIVELSQDPDVLDTWFSSGLFPLSVLGWPDNTADFKTFYPTSVLETGHDILFFWVARMVMLGIKLGGDLPFSKVYLHPMIRDAHGRKMSKSLGNVIDPLEVINGITLDGLHKRLKEGNLDAKEFERAKEGQAKDFPDGIPECGADALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAIRFAMSKLGEDYTPPTKIVPHEMPFSCQWILSALNKAIARTVSSLESYDFSDAATAVYSWWQFQLCDVFIEVIKPYFTGDNPEFVSARRSAQDTLWLCLDNGLRLLHPFMPFVTEELWQRLPASGDSIKKESIVISDYPSYVESWNNDNVETEMEKVSSIVRGLRSKRALLPPKERFARREAFVLCRTNDTVEIIKSRELEISTLATLSSLKVSSDTDAAPTQWLTEVVDESITVFLEDKGTIINPEAEVERLKKKREETRKQYETLTKTMSTSGYKEKVRANVHEENTLKLGALKQELESFEENIERLIRQMEAL >Solyc08g083240.3.1 pep chromosome:SL3.0:8:65877803:65882658:-1 gene:Solyc08g083240.3 transcript:Solyc08g083240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKGATSWLSAVKRAFRSPTKDNSCDKKAKIEHQLDEDEEKKRDKRRWLFRKQSQSEGKAMVDPKHAIAPEAAVATDQAALEIIRLTRSNKYSPSNNAAVLIQTAFRGYLARRALIALKGIVKLQALIRGQNVRKQAKMTLKCMQALLRVQARVREQRARLSHDGGRRSMFAETTNLWDSKYLRDIRDRNSRSRDGSSIADDCPRSLVELESMLQARKEASFKREKSLAHAFTQQELDEMDIVCSEERNERELEETANWLDEWMSSKQWNRGSFDRRDSIKTVEMDTAKPYCNMVPNARRSQHSSPLHRQASSPHYTANSPHHQRSSHYNYSAIQPPATPPPCQPKPLQMRSTSPRKSQSTANTPCLRSTSRSNSIMSRYSTSGNDASVPNYMAATESAKARIRSQSTPKQRPSTPERERVGAVKKRLSYPIPEPYTGYGYSQNLRSPSFKSLQAAYVGMEQQSCYTDSLGGEISPCSTTDLRRWLR >Solyc10g044990.2.1 pep chromosome:SL3.0:10:29271944:29286583:1 gene:Solyc10g044990.2 transcript:Solyc10g044990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQQHQQPAIFLAPPSIIFSFPAAPTMTSNSMEMDNVYEIRGSSSDAGVFSSDLKEFASLIEGRAYTSEVQKIYMAMRLTMELRSKIKASILSAFLNYVFPSGSEFHTRLSSYLPHGKACSSVSIVLQKSKYSITVDVLASGLYFYYSLSFELADDLSYIQGNFSLPTITCLLYTRVPQYTIISLVRLWILRSWDYRITLTCKSPYNMILHINSISKEVRLSPMNR >Solyc03g093440.3.1 pep chromosome:SL3.0:3:56148310:56160014:1 gene:Solyc03g093440.3 transcript:Solyc03g093440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHLFLFTILIFSKALVNEVWASLQYPVVVSTWPFLEAVRAAWNAVDGGFSAVDAVVEGCSACEKLRCDGTVGPGGSPDENGETTLDAMVMDGVTMEVGAVAAMRYVPEGIKAAKLVLEYTKHTMLVGDQASAFAISMGLQGPTNLSSVESIEKWTKWKDNSCQPNFRKNVIPRDSCGPFHPNGPSIRRCLMDNELRPNEFGSVNVGLHSHDTISMAVIDQIGRIAVGTSTNGATFKIPGRVGDGPIAGSSAYADAEVGACGATGDGDIMMRFLPCYQAVENMRLGMEPKLAAKDAISRIARKYPNFIGALFAVNRNGTHAGACHGWTFQYCVRTPGMNDVEVFTVHPQ >Solyc06g074120.3.1 pep chromosome:SL3.0:6:45983487:45988714:1 gene:Solyc06g074120.3 transcript:Solyc06g074120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bell-like homeodomain protein 2 [Source:UniProtKB/TrEMBL;Acc:Q7Y0Z7] MYYQGTSDNNIQADHHQQQHNNLGNSNNNIQTLYLMNPNSYMQGYTTTDTQQHLQQQQNQHQLLFLNSAPAGGNALSHANIQHAPLQQQHFVGVPLPAVSLHDQINHHGLLQRMWNNQDQSQQVIVPSSTVVSATSCGGTTTDLASQLAFQRPIVVSPTPQHRQQQQQQGGLSLSLSPQQQQQISFNNNISSSSPRTNNVTIRGTMDGCSSNMILGSKYLKAAQELLDEVVNIVGKSNKGDDQKKDNSMNKELIPLVSDVNTNSSGGGGGESSSRQKNEVAIELTTAQRQELQMKKAKLLAMLEEVEQRYRQYHHQMQIIVSSFEQVAGVGSAKSYTQLALHAISKQFRCLKDAISEQVKATSKSLGEDEGLGGKIEGSRLKFVDHHLRQQRALQQLGMMQPNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEVKNQEQNSSNTSGDNKNKETNISAPNEEKQPIITSSLLQDGTTQAEISTSTISTSPTAGASLHHAHNFSFLGSFNMENTTTTVDHIENNAKKPRNHDMHKFSPSSILSSVEMEAKARESTNKGFTNPLMAAYAMGDFGRFDPHDQQMTANFHGNNGVSLTLGLPPSENLAMPVSQQNYLSNELGSRPEIGSHYNRMGYENIDFQSGNKRFPTQLLPDFVTGNLGT >Solyc07g017225.1.1 pep chromosome:SL3.0:7:6523234:6526966:-1 gene:Solyc07g017225.1 transcript:Solyc07g017225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDEPVIVQENANRAVNIGQEIDYNHPLFLSPSDVSGNQIISFQLTGMENYAIWFRSIRIALLGRNKLGMVDGLCDKEKYSENLWNHWDRVDAVVQSWLMNSVSKSLLGGIMYAATAKSVWQDLQERFTKIDGSRTFNLHKEIATLTQGVNFVTVYFSKLKTLWEEFEALVPPPGCNCERSKEFILHLQKLKLFQFLMGLNDSYNQARSQILIMSPLPSINQAYVVVMGDESQSRTSDNQKFTRNSHLYCEVCKIRGHNKDNCWKIVGYPPEFKFKKKKFSEGESAAYNVSAKENTQNEVLQARNEQSEIKYGSDTNVFSHGKGSSSMDQIQFKQSQVEANHFTQEQYNHIVQMLAQHSPQINQNSMSNTAANTAGMTNSMAMNVSHKPNWIVDTGDTNHMASSLELLNKLSVNKLGYNRTVELPNGDETKALVELKFKQSEYDQSMFIKKDESGIVIILVYVDDLLVTGDSLIIVKETKEKLKQVFKMKNLGELRYFLGIEFARSNQGILMHQRKYTLELISETGLSSSKPAATPMDTNVKLTTK >Solyc02g065300.1.1.1 pep chromosome:SL3.0:2:37059039:37062278:1 gene:Solyc02g065300.1 transcript:Solyc02g065300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESGRSFARRNQLLEIEKQVHNWWTEGDVFKAEPKESPPKVGEKFFGNFPFPYMNGYLHLGHAFSVSKLEFAAAYHRLKGATVLLPFAFHCTGMPIKASSDKLTREISMFGNPPVFPAREEENVETEAKVETEGNQPAPGGKFKGKKSKAVAKTGGDKYQWEIMRSYGLSDEEIAKFTDPYYWLTYFPPLAVEDLKEFGLGCDWRRVFITTDINPYFDSFVRWQMRKLKASGRIVKDLRYTVYSPLDGQPCADHDRASGEGVIPQEYTLIKMEVVSPFPPKMSALEGKKVFLAAATLRPETMYGQTNAWVLPEGKYGAFEINDTEVFVMTYRAALNLAYQRLSHIPEKPTCLVELSGQDLIGLPLRSPLAFNEIIYTLPMLSVLTDKGTGIVTSVPSDSPDDYMALHDLKSKPAFRAKFGVKDEWVMPFEIVPIINHPDFGDRSAERICIEKKIKSQNERDKLDEAKKTIYKGGFYEGIMIVGEFAGMKVQEAKGLIRSNLLESNQAVVYSEPEKKVMSRSGDECVVALTDQWYITYGESEWRKAAEECLANMNLYSDETRHGFEHTLSWLNQWACSRSFGLGTRIPWDEEFLVESLSDSTIYMAYYTVAHFLQKGDMYGNDRSSVKPEHLTDEVWEFLFCDGPFPENSYISSSLLKEMKQEFLYWYPFDLRVSGKDLIQNHLTFCIYNHTALFPKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGMDDANFVFETANAAILRLTKEIAWMQEVLDAETSLRTGPPSTYADRVFANEINIAVRTTEKNYSEYMFRDALKTGFYDLQAARDEYRLSCGSGGMNRDLLWRFMDVQTRLIAPICPHYAEYAWRKLLKKDGYGIKAGWPEADLPDLSLKKANKYLQDTIVSMRKLLQKQVSGSKKGNANLTSQNKPSVGLVYVDEQYSGWKKECLGILQRKFDTSTGSFAPDKEILSELQKSEIGQQGNFKQIQKLCMPFLRFKKDEVVAVGVQALDLKLPFGEIEVLEKNSELIKRQLGLETLEILSMTDDALERAGPHAAVVKQNPPSPGNPTAIFL >Solyc06g082610.3.1 pep chromosome:SL3.0:6:48417127:48422299:-1 gene:Solyc06g082610.3 transcript:Solyc06g082610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMYCTNQLLHSLFVVATLLSLCRGDLNLDRQALLDFAAAVPHGWKINWDPATPICSSWVGVTCSNDEAHVAEVRLPGVGLIGSLPKNTFEKMDSLRIISLRSNRLSGNLPSSITSLPSLQYLFLQQNNLSGPIPSSLSNKLNILDLSHNFFTGKIPETIQNLSQLTGLSLQNNLLSGPIPNITLPRLKHINISHNNLNGSIPLSLQKFPNSSFVGNSLLCGLPLNPCSPVLPPSPPSPSPPAPSPNTQEKSSKKKLKLGVILAIAAGGVVLVLLLVVILVLCCLRRKRGDGRGVRKGKSPGGGRSEKPREEFGSGVQEPDKNKLVFFEGSSYNFDLEDLLRASAEVLGKGSFGTAYKAILEESSTVVVKRLKEVIVGKKDFEQQMEIIGRVGQHPNVVPLRAYYYSKDEKLLVYGYFSMGNLSMLLHGNRTPGRTPLDWESRVKISLAAAKGIAHIHSMGGPKFTHGNIKSSNVLLKQDMEACISDFGLAPIMNFPAAPSRYPGYRAPEVIESRKHSHKSDVYSFGVLLLEMLTGKQPMQSPGRDDMVDLPRWVQSVVREEWTSEVFDVDLMRFQNIEEEMVQMLQIAMACVVKVPDMRPNMEEVVRMIEDVRQSNSENRHSSERE >Solyc12g042073.1.1 pep chromosome:SL3.0:12:58026731:58027431:-1 gene:Solyc12g042073.1 transcript:Solyc12g042073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKISVGSFLAAGFWKVVTHIKVPFSFRMAGLPIDAASAISSCGHPGEHVMQNIGLIDQVTASSLLINRG >Solyc07g048035.1.1 pep chromosome:SL3.0:7:59348629:59358967:1 gene:Solyc07g048035.1 transcript:Solyc07g048035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAMKANAKYSAKQFNMKVNLILAVSDLASSRFGNDLLIFFAVLAIMGLVARSILASKGVTLAFAAAKEWPGVGISKTSGVAPVYVSVNCTKPDGEWKRSLDDKVNSCSSFPFSARTSQSGTKVNPNN >Solyc09g009160.2.1 pep chromosome:SL3.0:9:2492562:2497327:1 gene:Solyc09g009160.2 transcript:Solyc09g009160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKVASLRTEVSESEKKLAEKMQGLKRKLIVDEIFVRTRRLPTKIILGPCFKNMFTTELSPHYPLAEGEKLLLHSAEPDWFKDKTLRSWPNVSGMWIDWVDRVEKAKWEVWKSADIYDAIQLSKHDIPLDKNLLYGALCYWSISTNSFHFRFGMMGPTVLDIVALTGLRPHGEDVSVPLGVAKSARDLPEYTKIKECLTYCKFLDVSMGAMAVTEEEHISFLVMWLCRYLFCNSSITMIEQCTKLALALSKGRKLALAPFVLSNLYHACTDIVTGGFDDARGPFWILQLWLQAYFPEHQPSTLDDGSPLTYGHALVDGVLRPKTFSQYFLFFNKCSSRTASQFTPFSSRKFGPEWFKRSLDPYFQKLNRTELKDIWASYLIARDLPYSICMDESSKCKCMVEHYSPNQFARQFGMTQAIPFYQSANDSISKENFRDDSEETESRFSQLKREFSFVPFNVNPSSTDFFDFWWSTYMNNRDKTTTATDVLRKISLYVTPLGSSEKQEVAAHRSNGIKGNSQSAGKFVRNMKRKYEGYSIPSRSFVQQNDESGQQKNSYEVAEKISIKSTICKKMKTSAMKMPLLRTTSSVPFASAFLTSRASADEDETKADEQVSDANDTSTSALSSTSEKEGEKCTVSPSLAETESKASDVSEPPDCPVKFDNLEDFFARVSGQIKQAQSLGFSADHSSPIDENTSAMQKSTPSAEMLATAKEDIERLLIMPSQDLLQPENCSKLTAALSVYIASSDLSVERALAFEKLKENLPHLSSTYHRAKKDREDYYKKAAKKVILIDELTKGQEHYANLKDYSDKLECTTDSIRNQIRKLKASLKDAKTKRKAIQDQKLSLAKKCFEKSNALDEMEAESPEMKEIADSDIARVEEILTELKSKIKSNRVCKSLFHVNLLSSDP >Solyc04g051190.3.1 pep chromosome:SL3.0:4:49982791:50002195:-1 gene:Solyc04g051190.3 transcript:Solyc04g051190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-type monooxygenase 97A29 [Source:UniProtKB/TrEMBL;Acc:D2CV80] MASSLPLFQFPTHHYSKSRLTLSPKFKGSVSNFTIRCSNSNGKQPESVDEGVKKVEKLLDEKRRAELSARIASGEFTVEQSGFPSLLKNGLSKLGVPKEFLEFFSRRTGNYPRIPEAKGSISAIRDEPFFMPLYELYLTYGGIFRLIFGPKSFLIVSDPSIAKHILKDNSKAYSKGILAEILDFVMGKGLIPADGEIWRVRRRAIVPALHQKYVAAMIGLFGKATDRLCKKLDVAATDGEDVEMESLFSRLTLDIIGKAVFNYDFDSLTVDTGIVEAVYTVLREAEDRSVAPIPVWELPIWKDISPKLKKVNAALKLINDTLDDLIAICKRMVDEEELQFHEEYMNEKDPSILHFLLASGDEVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPSVMAKLQDEVDSVLGDRLPTIEDLKKLRYTTRVINESLRLYPQPPVLIRRSIEEDVVGGYPIKRGEDIFISVWNLHRCPNHWEEADRFNPERWPLDGPNPNETNQNFSYLPFGGGPRKCVGDMFATFENLVAVAMLVQRFDFQMALGAPPVKMTTGATIHTTEGLKMTVTRRSRPPIVPNLEMATLEVDVNSVSSDRAEAEASTVRP >Solyc12g009250.2.1 pep chromosome:SL3.0:12:2528325:2532343:1 gene:Solyc12g009250.2 transcript:Solyc12g009250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTQLTASSISAKGFASFEGLRSISNLKVASFAPLKQNRRSFHGLVVKASTVVSPKYTSLKPLGDRVLVKIKTAEEKSVGGILLPVSAQSKPQGGEVVAVGEGHSIGKTTVEISIKNGTHVVYSKYAGTELEFDGSKHLILKEDDIVGILETDDIKDLQPLNDRVLIKVAEVEEKTSGGLFLSQAAKEKPSFGAVIAVGPGPLDEEGKRKALSVSPGNTVLYSKYAGNDFKGADGSEYITLRASDVIAVLQ >Solyc03g044620.3.1 pep chromosome:SL3.0:3:10219813:10225949:1 gene:Solyc03g044620.3 transcript:Solyc03g044620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTLMIGPSYHLSGISSRRRVFHHGETFCFMMVLFHIIIILAKGEPCSMKGQQNQAEYDACMSYKPNEEDGFSGDLSNGFILENPVPRQSLDSVCSHTDLFCFPPRLREFLFEEKNTQSQVEEVSGVQSDVDLPVGSDEENKNLSRSSDSCIFKFLGGRTISCYLSYPEFYSELPCNCIRRNRADGVSFGEVPLSDDKYKKLKPKAEDGTGSFNILGGSSPHVEINPPLLDWGEKYLYFPSLAFLNVKNTHSDRSLTVFEPYGTNSQFYPCNFSEILLAPGETASICFVFLPTWLGLSSAQFVLQTSSGGFLVQAKGFTVESPYHIQPLVGLDISSSGRLSKNLSLYNPYNEALYVEEVTIWTSISSGDNTRYAKAICNMNKGEDSNNNFSLLGVKEWLDVKGDDEVGIPLVAIRPHRNWKIDPHKTETIIELDFPSHTTGEIFGAFSLELLSSSKGKADTIIVPLKAELGKMSAHSELMDPLLLSIQTVEPCATDGTSVVALSVRNDSPYILSIVKVSEAGENIKYFRVRYVEGLILFPGTVTQVAVVTYPLVQAHEMSMNCKLLVSTNDSRTSEIEVACMDVVSIHSGDKYDSSIGQKENSDEVEPGNTRASSSSSMRSPLEIKAVDTTMADESVLKNWKSHATAYDMSVLDESEVVFPVIQVGSYHSQWITIENPSQKPILVQLVLNSWEIIDECKTSGSHLQPSLSSRIVANYSTAPKRYGFSLAENAVTEGLLHPFSKASFGPILFQPAARCQWRSSALLRNNLSGVEWLSLKGSGGLLSLVLLDASVPVQNLDFKLNMPTPLNLSSSGVLYNMKDKFHACSLSLSKELHAKNVGDFPLEVKKIEISGTECGTDGFVINGCKGFSLEPEESIKLEISYHTDFSAATIHRDLELALATGILVIPMKASLPICVLHFCKRSLFWTRVKKLLVTILFLTSLFFLVLWCIIPQVVAFGSHECLPKSGKSYMTSVSHAGKLSRMHPTEKQIGKFVFSFKLNSLLRSIGEGEALSVESFSACEDIQAVSQNQSVTDQNVNHCAGYNSASDTQKGMEVSSSTKPVAIQSSDTYETSKTGNLTVKIAKEKGRRRKKKKNSATALVGLFDVSSSHSGNSTPSSPLSPTSNLTPRRPSPQSAVVDRPVKLINPFADVGSHQCKKNIHSEFASQRNVLQREVTLTDGGKNSCPPQEKPGAPKRSASKPVLLPSATFPCADKSVPRLMCRQPVLASSSVIAPHLRAPGSKPPNQMALKTDKKMGMEEKFTYDIWGDHLSNLPLVGRSKEVLETPPRALENSSSSFFLRGPQTLITNYQQITVSSDREG >Solyc06g053657.1.1 pep chromosome:SL3.0:6:36594090:36598246:-1 gene:Solyc06g053657.1 transcript:Solyc06g053657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEVATVAGEVRAPLSIDHNHPLFIHPSDTQGSLLTSIQLLGSENYSLWSKSLKLVLLGKNKLGFLLGTCTKSMYPSSMHEQWERCNAIVLGWIMNTVSNSLVSTVIYGSDAHTVWEDLRERFDKVNASRAFYLHKEIVTQSQGTASVSNYFSRLRELWDEFETLIPPPSCACPGSKQYAEHFQFQKLWQFLMGLNESYAHAKSQVLMQIPTPNVNQAYAMIINVESQRVTGASSSSFSAETSSETALMSIRMSGYNSGYHNSGGSSSSSTYYSNNGSSGNSGYKARNNGDSPENGTCDNSSVNTNAKPFGTSSNNFSGGTQGMSLFTHEQYNQILKMLSKGKGKEVDSMANVATASSSGTSGTFTTLMSDMAHTNWIIDTGASNHMVHCMNLMKHCTDLGSKNDMKVNLPTGTQVAISHIGDSLILEDKLVKDDLFSGRVLGIGKEDQGLYLLNTDTQPRTLQDKITRNKCTSSCISSSSSFTSTLFPVLDLPGDLDTELPTSSSNSSSQVFSSSRDVVQSVTHPRRSSRQSKPPKVEKELLVILVYVDDLLITGSSLKLIQQVRKDLQYRFKMKDLGELKYFLGIEFSRNADGVLMNQRKYALGLVSELGLAGCKPTSTPLEFNHKLTSTVFDKCTGMNAEDKNLEDYGKYQRLIGKLLYLTMTRPDIAFVVQVLSQYMHSPKNSHMEATLRVVRYIKGTAGLGLFMPSNNMSELVAYCDSDWGACIESRKSVTGYIVKLGSALVSWKAKKQNTVSRSSAEAEFRSMATTVAE >Solyc03g044740.3.1 pep chromosome:SL3.0:3:10469522:10474229:1 gene:Solyc03g044740.3 transcript:Solyc03g044740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGGIKSFNMEKIPKKHFILVHGFCHGSWCWYKIINLLEKCGHKVTALDLGGCGINMKQLNEIDSIFDYIQPLMDLMISLSKDEKIILVSHSYGGLCISLAMEAFPHKISTGVFISAYMPNHVDPPSLLILEYFKRTSVESLMDCQFKFDQGMENPPTSAIFGPQYMQANLYKNCQPEDLELSKMLIRPGKFFIQDMSKEGLLTQEKYGSVKRVYIVTQDDQVMQEEFQMYNIHKSPPHEVKIIANSGHMVMISRPHELFLCLQEIIENSYN >Solyc08g082710.3.1 pep chromosome:SL3.0:8:65530577:65534505:1 gene:Solyc08g082710.3 transcript:Solyc08g082710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNENPFCGANGSQEGSNYDEIFMQHSLQFSDSLKDLKNLRKQLYSAAEYFESSYGENEHKTLVIETLKDYVSKALVSSVDHLGSVACKLNTFLDEKVDEFSTTKLRFSCMEQKLQTCQEIVDRSGLLQQSLIIVTPKQHKRYVNTAAETQPAVPKPRQRNSEQNLCPQEDLQHGDCFPHPFQALPSKPHPSIPKYMKKHSKNSWTDASPNPLNFSFTRVPSNKEVGKRSISPLKFGVKRSGSVNRSVSPLTRFGSAVTRSISPSTSSIKQRCPSEPRRAMSMSINPERNSAKDMQEYARKSKNLLTAFLGRHGHAQGSRKAGIPSKYHDDRI >Solyc07g020875.1.1.1 pep chromosome:SL3.0:7:14340544:14340585:-1 gene:Solyc07g020875.1 transcript:Solyc07g020875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KMQLLLPITPLSN >Solyc06g083530.3.1 pep chromosome:SL3.0:6:48964945:48968208:1 gene:Solyc06g083530.3 transcript:Solyc06g083530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVDDGFTYCVVAVESVGRQVPIAFLERVKDDFTKKYGGGKAATAVANSLNKEFGPKIKEQMQYCVDHPEEINKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFKTQGTKVRRKMWLQNMKIKLIVLGIIFALIMVIVLSICHGFNCH >Solyc05g014360.1.1.1 pep chromosome:SL3.0:5:8209953:8210117:1 gene:Solyc05g014360.1 transcript:Solyc05g014360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPKTSSLVTSGNPENGKRRRQSLATRAARVHASERDMTIDAIWYILLFKLGI >Solyc05g009880.3.1 pep chromosome:SL3.0:5:4099888:4104340:1 gene:Solyc05g009880.3 transcript:Solyc05g009880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQSFMNPVHFQSNSEMLPWSIPPVQPFMNPVHHHDQSFLLPPSPSAYGLFNRNTNTDQQHLRFISDSLVGQVVHHHHHHNQPGSIAPFGLQAELQKMSAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPNTTKTDKASLLAEVIQHVKELKRQTSLISETSLVPTEIDELTVDNATSDEDGKFIIKASLCCEDRSDLLPDLIKTLKALRLKTLKAEITTLGGRVRNVLFITGDDYYCNNNNNREVDTCISGDDEDTEMMQQQQQQQPQYCISSIQEALKAVMEKSSGDDSASTSVKRQRTNNINILS >Solyc09g010160.2.1 pep chromosome:SL3.0:9:3542382:3543224:1 gene:Solyc09g010160.2 transcript:Solyc09g010160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVIKHDTEQWFFFIPIQDREARGGRPTRLTTQGYWKATGTPGFVYSSNNNRIIGCKRTMVFYKGRAPNGKKTQWKMNEYKATTHGQPSLITNNHITNLKMF >Solyc10g076810.1.1.1 pep chromosome:SL3.0:10:59873771:59874424:1 gene:Solyc10g076810.1 transcript:Solyc10g076810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELLPLSWLVQASAYKVKIGEETVITTVTDREAIAISSISALRSELETPDPFVGIDVVNNGDLLLFHVKNRCLIIQFNRMMLLDDLTDIPVPLKEFLRDKSITFIGPRNISQNCTESYISGTGSSSDKIVLNRVVDVGYLTGKLCKKPDLLSSTLEELLGRVGIDIKKPLISEGSMRPDWQSSSVLSEDEVKYAMYQVHACYQIASKLITDATASE >Solyc09g097780.3.1 pep chromosome:SL3.0:9:72290467:72295832:1 gene:Solyc09g097780.3 transcript:Solyc09g097780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAILFLGLFLAIFLMISSEVAARELAAETTNAVKLDNENAVNVDHYYGKGYGKPKKSNNKNVVHEDQFGGYPGGGYPGGGGGYPGGGGGYPGGGGYPGGGGGYPGGGYPGGGRGGGGGYPGGGRGRGRYPGGGRGGRGRGGYPGGGRGGGGYPGGGRGGGGYPGGGRGGGVGYCRNGCCNGNNYECYSCC >Solyc04g078410.3.1 pep chromosome:SL3.0:4:63229600:63243638:1 gene:Solyc04g078410.3 transcript:Solyc04g078410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLNAAGVTENSIIGSDEGGGSPTHETDIDMGDVKAEPVEYPSPPASRFREGEKVLAFHSQQLYEAKGWNKSWDEWAGIDRLMKHTEENVQKQQELKKKQDTDKNEKAARGSQMKTKGSTGKRGRKRKSDVPPKDKDGPPPEKLVNIQIPPQLKKQLVDDCEFVNHLGKLVRLPRSPNVEDILQKYHDYRLKKDGLISESVGEILNGLQCYFDKALPAMLLYKNEREQFEKSIKEDVSPSSVYGAEHFLRLFVKLPEILFYASIEDETLTELKQMLQDFLSLSSGGSLSAPQDLISSRNGKFTAGFYSVGDNAYIFAIWFTKPLADGSNTIVWMANRDQPINGQKSHLSLYKSGNLVLIDANQINVWESDTQSSTSSVELRLLDNGNLVVVTYQGQRLWQSFDSPTDTLLPEQPLTKISKLVSRRSLTNFSSGFYQLHFNEDNVLHLVFDGIEMTSVFWPSPWLIVWDAGRSTYNDSKTAVLDRFGNFTSSDRFGFQSADYGVELQRRFILDVDGNLRLYSLDKLSNIWKVSWQLFSAACRIHGVCGLNSLCSYDPVSGRKCSCVPGYRMKNPKDWSYGCEPEFDIFCNDTSLLDFIPLRHVEFYGYDIAYFRNKTLQECKDLCLKHCDCKGFEYKYVQGNGTYGCYPKTLLFNGYVQSSWPDFVYLKVPKARRAWQEQYKGNLQCDNKKVMLDRAYRRKEHGGWMKPFIWSVVVAGVFEILCVLTYFIKTRKSSNETTQGYLHLSTRFKKFTYAELKKATSNFSEEIGRGGGGIVYKGKLSDDRVAAVKSLYGANYQGEAEFLAEVSTIGNVNHMNLIEIWGYCAEGKHRLLVYEYMEYGSLSDNLNANNLDWEKRFEIALGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYKPKVADFGLSKIFNRGGLDHSNFSTIRGTRGYMAPEWVFKMPITSKVDVYSYGIVLLEMITGKSPEVCADGGSGDDDSMGLGLLVTWIRDKMRENSERKSWIQQIVDPALNGKFDLEKMEILLELALQCSEEDRDARPTMCEVVDKMLHPENFELKLDILKI >Solyc08g077700.3.1 pep chromosome:SL3.0:8:61725195:61742688:1 gene:Solyc08g077700.3 transcript:Solyc08g077700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRRGDQKKSESQNQYKRNSRVGGRSPSAQPQYQRVTSGKGGGSSVLPPPSPDSDSSSPTTQSFKKSDGETGPLKVTDAVQNDAPAITSGSDKFVEPADRIRHEDNQIVSSALSSHHTSSDLDPSAPKTPMKDDTSKNVSLQFGSFTPGFVNGMQIPQRTNSAPPNMDEQKRIQNLGMYLLSDIESWLWRLSWSSCLSYWKMARSSPFRPLQLLSSSTPHQPQLREDIGKPMNKNPDPQHSHERDLPVHVQVPAAQAVGSMQKLSSLPFPGVPVNPPFLQPHGPVQFGMPGPQLQGAIQHGQMMNFPSKFGHQLSTPFGNMGVGIPPQFGQHVRKVNSRKSVKITHPDTHEELKLDEKVDMKLDGGSSGLAIHHPGGLPSQPMHYGPSLPMSFSPQMSPNPMFLQNAPSVPITNSLVAPCAPGLGYSSSVQMAINQAPGSVRREVLASSYPVSLKTAETEKALQPPLRVNSSEEGSRILPETSVEASESASKPTTFASPSSTSLVSVDIPPSGSATAIGSNVNHVRGVDYVETELKNPPKKSQLHPQQLREEGNSASKSNPTPTNSVDRISEVSSTGGCETNNVVHQLSHSAASLSDCNVSKIVVPVATNNNKEVLPSASGAKCNEDSHIHTSPSSPSNTKTRGKVSEETKTEYRRVQGSTPKDKHTNKVKSANSRGKKKRREILQKADAAGDASDLYMAFKGPKEKLQSSVSSGSVESCSSLTARKISSSSNEDFSANEDKKTTAEPNSWEDAAMSTPKLETSGDNKIVNDNLRHPNGGSDTTGHMRYSRDFLLTLSSHFGDLPDNFEVPWHMAEALLSPNSSISKGVDFNKNGPNFGPGQINSRQGSSSWSDNRPTGMADDGRWTNEQHIDINQGGNANGARPGRGNHKNMRNLQGQPPNQYVSVFPAGTMLPLASQGGIQHIRSDANRWQRVSGSRKGSFASHSPLQVMHKADKKYEIRKVTDAEEAKRRHLKAILNKLTPQNFEKLFEQVKEVNIDSATTLAGVISQIFDKALMEPTFCEMYANFCYHLAGELPDFVEDNQKITFKRLLLDKCQEEFERGEREEAEADSMEEDGAVKLSEGKREEMRLQTRRRMLGNIRLIGELYKKKMLTERIMHECIQKLLGQYQTPDEEDIESLCKLMSTIGEMIDHSKAKDYMDAYFDMMTTMANNTDLSTRVRFMLMDIIDLRKNKWQQRRKVEGPKKIEEVRRDAVQERRAQVGRSPRGSSTVSSARRGQPADLSPRSSMLSSPAPQMTPFRGIPSQNRGFGSQDCRLEAKHPHESRTSSAAMPQRSSDDYKTMSLGPRGGPGRGTPFRGQVMHSASPVTASAGGWSPYNSRQESISKYAPGTVVKPTANYARPNQHEHAHYAEKELTNTNRPFGASAEPPASNSPGTIWSEERLGEMSIAAIREFYSAVDEDEVVLRIGELNSPSFHPMMLSIWVNDSFQRKERDRDLLARLLISLTKSKVVLETKHLIEGFESVLATLEDEVTDAPKAAVFLGRIFGRLISENVISLKEAGHLIQHGGEESGHLLQTGLGYEVLESTFDLIRSEKGESALKDICSASSTQLEDFRPPTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTEKAAVPNPPSTLKNGTLFPLCIMLSLLNLTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Solyc02g071595.1.1 pep chromosome:SL3.0:2:41564285:41567203:-1 gene:Solyc02g071595.1 transcript:Solyc02g071595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMARYIMSLNRGGKPSIVLRRRQIDQKLTCQVHEIVGRINGRFGTLTAVPIHHLVPDILMEIKTFLAADAKGYCLTRDKSFNLRASHTSYIEAVLETRFLSCGFKT >Solyc07g042923.1.1.1 pep chromosome:SL3.0:7:56604157:56604456:1 gene:Solyc07g042923.1 transcript:Solyc07g042923.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFSKEHNVLGGFAFIGEGIQVATCVAFTRKYKMEVLKEDDCDHVTLSFFGDGTCNNGQFYECLNMAALWKLPIIFVDENNLWAIGMSHLRSTFDPEI >Solyc12g019325.1.1 pep chromosome:SL3.0:12:9979662:9982853:-1 gene:Solyc12g019325.1 transcript:Solyc12g019325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHTSDRCFKLMKCEHCYATGHVKSNCYQLIGYRADFKSKKKVNAAIGGKVCDDQIVTQDQLMQMMKKATPEQMTQMLNVLNMNTTNQPHRSAHMEGNPMKLMNWIVDSRCTDHMIRSNQDLHDEIILRNAGKVQLPTGHSEVPSCTLEEEHVEEEHVMEEHVTNVHDQVYVDDLLITGNDHQLILETKTMLKDTFKIKDLDDLRYFLGIEFARNKDGIIMHQRKYYFELISDMTDKLLKDPNIYQKLVGRLLYLTITRPDITFAVQNLSQYMHEPKTSHMEAAIRMIKYVKQSLGLGILMSSSSTDQMTAYCDADWASCANTRKSITGYIVTYGNSLISWKSKKQNNISPLSRS >Solyc11g073250.2.1 pep chromosome:SL3.0:11:56566267:56569478:-1 gene:Solyc11g073250.2 transcript:Solyc11g073250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTGKMKKGAAGRRGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTGVKKGAAGRRGGGPKKKPVSRSVKAGLQFPVGRIGRFLKKGRYAQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHLLLAVRNDEELGKLLAGVTIAHGGVLPNINPILLPKKTGGEKAPKSPSKATKSPKKA >Solyc08g005270.3.1 pep chromosome:SL3.0:8:192488:202183:-1 gene:Solyc08g005270.3 transcript:Solyc08g005270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKFEKVLDRSRNIVVDLKRKREARCETHLSGATHVILPVRPLLNSSIRPLGKRTKLNGSRSRCGGYKFHQKESLLRYYLNFRRSGLPQRLMYYQNSQWTDFPENIVSMAKQDLRTKRSAREVVFNGNNYVLDFFHMMLLDLKSGMQQPIAWIDEAGKCFFPEVFAYCDELHEPCHCEDNDCVDVDSETEGSNDLELRLEIEVNGADISSSEESSGESNANFEQVNFCHEPAAKNRIAEVGDDHVRISDTKAKDDSVENYQMVENAVGRYDSKWKHMDPKAVSEMFLKGISSSASANIIDLQRISSSFMEVRKELFQKQVEILRKHRGDATVSYAWLPSSKGMITSIMKYGLANYYPSRTNSSYGIGVHLFPANCSEISAKCSDVDENGVQYMVLCRVIMGNMEVVCPGSKQFHPSSEDFDNGVDSVENPKCYVVWTMNTSTHIFPEYVVSFKFSSDSEGYLVGNRSPNVSAVTSCQGPADKVPADTLPAVLGSDCYQNSLGLASKKASRTPKSPWMPFPMLFAAISRKVRQEDMNLVCSNYELFKGKKINRDEFVRKLRLIVGDTLLRSTITSLQCQVPPKSMEMVSVKQEQESICLE >Solyc03g113350.3.1 pep chromosome:SL3.0:3:64983279:64991327:1 gene:Solyc03g113350.3 transcript:Solyc03g113350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLGELEKDADMVDLTSSLAGVKLVDAPILFFVISHKAIILELADIHRVAVEASETGSQHVELVRDLSRRLEFLKIVYKYHCAAEDEVVFLALDAQVKNVVYTYSLEHKSIDDLFVSIFKCIDCLQKETEEFAKLFNGLTCYIGTIETIISQHMLKEEEQIFPSLMKRFSSKEQARLVWQYLCSVPLLLLEDFLPWVITSLSSVRKTDFLNFIHVVLPEETLIQEVFISWLDNNELSAQSCLEHGKGANSHYGIANMKHMLKERTIVVHSSEMKLPTKKNPIDGFHLWHAAIRRDLKEILEELHHLRNSFCLSTLMSLVAQLKFFAEVLNFYSIALDQTFYPLIDELNKSDASASHEQFIEGSQIEELQRLLYCKLQDVIQLNVLVEMLCQEVESFVGRISKKLHFLETKVFLVIRENCSHELQLWLLYRSLQMLPLGLLKCMIIWFSAHLSEDESKLILNNVMLGSPVVNRSFTSLLYEWVRTGYSGKISLEKFRKDLEEMFSSRSSLLEKSFNNSGSSFLQSDMQSFDQSNNLLFQSASAVTSKNSVSYHPSPLGIIEKLDTSYSYGINTQIFSSDSQKSLSFFPGTSSRSSNDLKVPIREFIPIDFVHFFHKALINDIQDVVSLSVKLAEDVGVLAEFHRRFHHLHFLLRIHSNSEDEVAFPALESRVTLQNVSHSYSLDHNLEVENFNKISVILNKFISLQGDEVVDGKKFKYKRLCLKLHNACISMQRTLTDHINHEEIELWPLFREHFSVEEQEKIVGNMLGRTKTEILQEMIPWLMASLTPDEQRGMMMLWRKVTKHTKFFEWLGEWWEPVKREESLNAEMEPKIAPFLSIDPLEAVSTYLSRNGVKQGIWNEKGSEFVNHDIYQHGSFTEDKAHNAKGKQNIDLSEDMARYSTVVDKNKYTETVDSIAQKETTCQDIESCDKSRLQEHHLLTQEELVSVIRKISCDSSLDSEMRSHLMQSLLMSQWILTQKLSDSEVAAANDTEKFPGQCPSYRDEQEIIFGCSHYKRNCKLLAPCCKKLFPCIRCHDETTDHTLDRKTITQMMCMKCLKIQPIGPSCSTPSCDGFSMGRYYCKICKLFDDERQIYHCPFCNLCRLGKGLGVGYFHCMNCNACMSKSLSVHVCREKCLEENCPICHEYIFTSTNPVKALPCGHLMHSKCFQEYTCSHYTCPICSKSLGDMQVYFEMLDALLSEQKIPEEYAGQTQAILCNDCEKRGTASFHWLYHKCSSCGSYNTRLV >Solyc03g111600.3.1 pep chromosome:SL3.0:3:63726627:63729779:-1 gene:Solyc03g111600.3 transcript:Solyc03g111600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVQCELSFFCTRIRKPTISSVSEKDGEQTISESGGDNKKEPKKFLTWNANSLLLRIKNNWTEFTKFIENLDPDVIAIQEVRMPAAGSKGAPKNPRELKDDTSASREEKLVVTRALSSPPFKNYNVWWSLSDSKYAGTALFIKKCFQPKKVSFSLDAKGAISI >Solyc05g012200.3.1 pep chromosome:SL3.0:5:5461567:5469349:1 gene:Solyc05g012200.3 transcript:Solyc05g012200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIAVIVSGIKISLSSLDPNFISPIPLLEQKTLFSVDDIKRVLRRRGPDSLGCKKVLLRARVSSLPGGEQDVVAFVEDEDASEGENFHTGGGAAKEFIRELQFLGATLQLRGINPIVQPLVDMSGNILVYNGEIFGGIQMSSDNNDTEIFMQHLGLCCSHVSSPHNKTHTSGDKQSTVPELLSSIKGPWALIYWQSSSRILWFGRDAFGRRSLLVHWPTKEDPRFLLSSVSPHASVNESSEFADGEGISKTDFWEELPCGVYSLSIGDLGTDDYLIGEVLKHDWTDPKLKELITWERTSVQPKPEDLHVSHQKVYSKKEDSPLTPSILMESKLASTDCLLTSLPHRVMIALQESIMRRTALNTIYLATSVDYTNKCNAPVAVLFSGGLDSMILAALLDKCMDAKYEIDLLNVSFDGPFAPDRISARAGLKELQKVAPSRRWKLVEIDADLLKLTSETKHVMSLINPARTYMDLNIGIALWLAASGDGCLQDETGNDDTCVSVKYKSAARILLVGAGADEQCAGYGRHRTKYRNGSWLALNDEMKLDMQRIWKRNLGRDDRCIADNGKEARFPFLDEDVIRILLDIPLWEIADLNQPIGIGDKKILREVAHLLGLSEAASLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVTIHRNNS >Solyc10g083220.2.1 pep chromosome:SL3.0:10:63205189:63208651:-1 gene:Solyc10g083220.2 transcript:Solyc10g083220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVFSNWDITLYSFYWVSNERRSDSSIHLSPISFWRSCFTIGLRMKLKVVWRKVSDYVRYDLKEIAFPSSLPDPPHFKKRRKLSLKERYLVLKEASRLYAASWVRDIGPELRPNDYKKKREIEGELDGNGKEKEPSTLEDLAVAARGGMETLRPALQRVYMTRASAYKDALKSFIQGYQEGIQQTMEKTEDSKSSKDTDASNSKGLT >Solyc01g100220.3.1 pep chromosome:SL3.0:1:90146401:90148039:-1 gene:Solyc01g100220.3 transcript:Solyc01g100220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQKDESSGSEATGISKSCSDCKTTKTPLWRSGPSGPKSLCNACGIKYRKKKSSPIGLTKGATKKKEKPLSNSGSTEEVEYCKKGKMGNGKKDGKLSKVLRVKLMMLGKEVVILQRQRSAMKKPRKLDEVERAAVLLMALSCGSVFG >Solyc01g008405.1.1 pep chromosome:SL3.0:1:2419728:2420695:1 gene:Solyc01g008405.1 transcript:Solyc01g008405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVHEDMKCGLLKSNEAAFVSILSSCTCLDVVIQLVCVRLVDFGFQLFEAMSREFGFVARMEHYGCVVYLSGRVGLLQEAYDFIKKMPFEADATVLGALWVLVDFMECAFSSIYAGAERWNHAVSLRKAMLDAGIQKVPAHSFI >Solyc09g065493.1.1 pep chromosome:SL3.0:9:63846544:63848261:-1 gene:Solyc09g065493.1 transcript:Solyc09g065493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINLIVQNADVVDASFLAPYNFFLLVLKQCCQRLIWDTLSLEAQAKYLASLWLRFSVCKARRLSVKEEKKSASVYCKQRGGDMSFNVRLTPKIIPAWERRT >Solyc01g110688.1.1 pep chromosome:SL3.0:1:97224428:97228488:-1 gene:Solyc01g110688.1 transcript:Solyc01g110688.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVTMSDQNPNPKSIDLSLVIVRDVFYNLEVQRKQMHSKVLHSPKESLLRISLAHRSVSFKIVDIGRFGNRSFADERHRHIYETLGPELFKVDDIVLLVIGQTGMAGIGDWIHGMFIVQVLLDSIMIEMID >Solyc09g090720.3.1 pep chromosome:SL3.0:9:70628269:70633464:-1 gene:Solyc09g090720.3 transcript:Solyc09g090720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESLRNDHPELSDWYTTLADLYQRKLWHQLSLKLEQFVALAVFQAGDTLIQLYHNFITDFETKINLLKLAHFAVIVSRQYSEKDAGIGYLEGVAEKLQNTKETRIEEPILYIKLQIALFKLEQGDQKECKRLLEQGNATIDSMTDVDPSVYASYYWVSSQYHKTRQEFAEFYKSALLYLAYVSVDSLSESFKLDLAFDLSLSALLGDNVYNFGELLAHPIIKSLVGTQVEWLYHILEAFNTGDLVRYQELCRVHKAALSAQPALLQNEKKLLEKINILCLMEIIFSRPAEDRTIPLSAIAERTKLSVEDVEYLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGVPQIKSLRDRLDNWVDKVHTALLSVEAETPDLVSS >Solyc08g036570.1.1.1 pep chromosome:SL3.0:8:10991292:10991672:1 gene:Solyc08g036570.1 transcript:Solyc08g036570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVKESSSMRMVGESRMESIYERVRLLVSGNAVVVFTMSGCCMCHVVKQLLFGLGVGPTIVELDRDVAGKEIHALLFQLAGDGQQQPVPAVFVGGKFLGGIETVMACHINGTLVPLLKQAGALWL >Solyc03g082760.1.1.1 pep chromosome:SL3.0:3:54067532:54067975:-1 gene:Solyc03g082760.1 transcript:Solyc03g082760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNEDDWGIRAVVRSCSKMNNSAHVDHTVHEDPAHVNSVLVDHNTVNEDGNSVNDTTDTSLFPKEINSVGDFRDAFPVEKKRYFGLDEVISLANNMNITNSRIENQTETIPNTPLVIVEHDEEEEKNKKARGDGERTLGVTTGKSF >Solyc05g012480.3.1 pep chromosome:SL3.0:5:5715445:5721221:-1 gene:Solyc05g012480.3 transcript:Solyc05g012480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLHCHYKTFLYSNWLFQSHTMATRHLLNLTRRRSRITPFTVLPPCITFSSRSSTSITNPSQSPSLPSPPPPDAMIYDRLAEQVKSKIKRLEDPNPRFLKYNSPDPTVADHTSILSAPETKVTTLPNGLRVATESNLSSQTATVGVWIDAGSRFETEENNGVAHFLEHMIFKGTEKRPIRALEEEIENMGGHLNAYTSREQTTYFAKVLGSDVPKAVDILGDILQNSLLEEDKIIRERSVILREMEEVEKQPEEVIFDQLHTTAFQYTPLGRTILGPAQNIEKMTRAHIQDYISTHYGAHRMVISAAGAVKHEEVVELVKKHFTKLSSNPITTSQLVSEEPAIFTGSEIRIIDDDLPLAQFAVAFSGASWTDPDSIALMVMQQMLGSWSKSSGGGKHMGSELVQRVAINELAESVMAFNTNYKDTGLFGVYAEAKPDCLSDLAYVIMNGICKLSYKVSDADVVRARNQLKSSLMLHIDGSGPTAEDIGRQLITYGRRIPYAELFSRIDSVDAGTIKRVANRFIFDRDVAISARGPIQDLPDYNWFRRRTYWLRY >Solyc05g041980.1.1 pep chromosome:SL3.0:5:55247055:55248240:-1 gene:Solyc05g041980.1 transcript:Solyc05g041980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVVLKSYSGNKFGQDVVDLSNNEYLNNYCVVAVEFDTLQNREFDDINNSHVGIDINGLKSVIAKPAGYYTSNEKSFNNFTLASGQPMQVWVDYDYVDKHMNVKMALMHVAKPDRPLLSLVYDLSSCHQ >Solyc07g044970.1.1.1 pep chromosome:SL3.0:7:58148633:58149274:1 gene:Solyc07g044970.1 transcript:Solyc07g044970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIPIFNQMDNGKYGERPMILAMKGHPGTGKSTLAQSIAKTLKCPLIDKDHFKDCTKPLQQITKATKLINDLSYDAMWRVASAQLDLGLSVVIDSPLSRRAHLDRIIEIANHSGAQVVVVECKPRDQAKWRRRLELRGELVEYGSSWHKPSTWQEMEKLLEGYEGCWDYDVGVEVPRLVVDTTCANGVQQVVSNVLEFVSNACGEKKKKLYVN >Solyc09g005540.2.1 pep chromosome:SL3.0:9:355399:355789:1 gene:Solyc09g005540.2 transcript:Solyc09g005540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGQSGGRSTYEQAYMCGSLRAGGPQRYEQSPKVCRDAFSLQYSSNSGTGSLCSRQPFEQFGVAGKLDVGSGDHGTVQSSSAQESTSTVDLEAKLLESFRTMLLEMIKDTETAISCRKG >Solyc09g031890.2.1.1 pep chromosome:SL3.0:9:27817222:27818290:1 gene:Solyc09g031890.2 transcript:Solyc09g031890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTNGLTEQMDSSPSQEEWKWGNYARGAIYALQSK >Solyc09g050030.1.1.1 pep chromosome:SL3.0:9:35492753:35492941:-1 gene:Solyc09g050030.1 transcript:Solyc09g050030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S14 [Source:UniProtKB/TrEMBL;Acc:K4CT01] MRDKQRSKLSKLPRKSSFARVRNRCIYTGLPRSVYKFFKISRILFRGLASRGPLMGIKKSSW >Solyc09g005136.1.1 pep chromosome:SL3.0:9:115838:117804:-1 gene:Solyc09g005136.1 transcript:Solyc09g005136.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVVVRQDLIYAYGWPSRLVRPIWKVKRTPKREPSFRRFSCAIIHDFLGDPDSDVKNAKFFRGRPSRPYLCIRLAITACPTHLEAHDFLGDPDSDVKNANFFRERLSRPCLCIRLAITACPTHLEAHDFLGDLDSDVKNAKFFRGRPSRPCLCIQLAITACPTHLEAHDFSGDPDSDVKNAKFFRGRPSRPCLCIRLAITASHDFLGDPDSDVKNAKFFRGRPSRPCLCIHLTITDCPTHLHTIFWVIRIPTSKISNFFVDVRQDLVYEYGWTSRLVRPIWKIPTSKMLNFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHDFLGDPDSYVKNAKFFRGRPSRPYLCIRLAITACSTHLEPHDFLGDPDSDVKNAKFFRGRPSRPYLCIRLAITACLTHLKAHDFLGDPDSDVKNAKFFRGRPSRPCLCIRLAIMACPTHLEGQTSPEASIPLISTIFVCYSTRFFG >Solyc10g007255.1.1 pep chromosome:SL3.0:10:1659626:1663653:1 gene:Solyc10g007255.1 transcript:Solyc10g007255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAMHMKSNRSPGTCAALSIAAQALSNLQIFMIGTTCIKTCPFFSCKVEERKLNQGQIANAFNVRLCTGT >Solyc06g071610.2.1 pep chromosome:SL3.0:6:44240914:44244580:-1 gene:Solyc06g071610.2 transcript:Solyc06g071610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHKVNYKAFIYTCFSTISAILQFKFLSCDLMKATLKYLAGIAGSSGYGSKTTAEQVTQVCSVSFSSQLTAIITGATSGIGAETARILAKRGVRLVIPARDLKKAAILKEAIKKQSPWADIILLEIDLSSFASIQRFCAQFLSLGLPLHILINNAGKFSQKLEFSEDKFELSFATNYLGHFLLTEMLLDKMVETAEQTGIEGRIVNVSSVVHNWVKRDKFCFSQMLNPKKYNGTRAYAQSKLANILHAKELSRQLKARNAHVAINAVHPGIVKTGITRDHKGFITGMHFSFVSFSFFLAFHLNFAAERSKDNEKKLTFPHKIYTDSLYFMASKLLKSTSQGAASTCYVALNPQTRGINGKYFADCNECHCSALANDEIEAHKLWKHTRALIHRRFFQERERDL >Solyc11g008790.2.1 pep chromosome:SL3.0:11:2973663:2976964:1 gene:Solyc11g008790.2 transcript:Solyc11g008790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCLMALTSKDQMLAVCTTQDERSLPASFALFLGLCGKVLMGVFFGNLMFLGIILFGTRKFLNAKIGDSRCKHILLSILVSSYFKIFVIAMMVWEFPSSVVSIIKMFVLSSNALALLGLGISEWLGVNFSRPELVCPHFDMSVCQNHQIIAGYPERSSFLLSSTFPIRPLSNIGKLYSGDRGDAYVQTWRDGH >Solyc01g105220.3.1 pep chromosome:SL3.0:1:93352151:93358156:-1 gene:Solyc01g105220.3 transcript:Solyc01g105220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquinol oxidase [Source:UniProtKB/TrEMBL;Acc:K4B292] MNQLLVRLVMRRLVSGGTRNGCISSSSAAVMFREVHKPSSESVVMMSSQRLRFSGRWWRMMCSTTSKNSTKSSDVRKEDEKLSGDSVEKMEGEDEVALSSYWGVSRPKITKEDGSVWPWNSFMPWETYQADLSIDLSKHHVPKTFLDKVAYWTVKLLRIPTDLFFKKKYGCRAMMLETVAGVPGMVGGMLLHLRSLRKFEHSGGWIKALLEEAENERMHLMTMVELVQPKWYERLLVIAVQGVFFNFYSVLYLLSPKLAHRVVGYLEEEAIHSYTLYLNDIDRGEIENVPAPAIAIDYWRLPKDATLKDVITVIRADEAHHRDVNHFASDIHYQGKKLQEAAAPIGYH >Solyc08g081000.3.1 pep chromosome:SL3.0:8:64234723:64259187:-1 gene:Solyc08g081000.3 transcript:Solyc08g081000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRPRAVEKGVLGQNTSASPSGLLNIPPGPVYYPTEDEFKDPLEFIYKIRPEAEKYGICKIVPPKSWKPPYALDLNTFTFPTKTQAIHQLQARCASCDPKTFELEYNRFLEEHCGKKAKKRIVFEGEDLDLCKLYNFVKRFGGYDKVVKEKKWGEVFRFVRPAGKISECAKHVLFQLYLEHLYDYEEYYSKLNKLGHRSCRRGNQSERKRESDSPSSSSKRRRKNSEGDRTETRKTKEEEEHDQICEQCKSGLHGEVMLLCDRCNKGWHMFCLSPPLEQVPPGNWYCLQCLNSEKDSFGFAPGRELPLDAFRRIADRAKKRWFGSTSISQVQLEKKFWEIVEGSAGEVEVKYGSDLDTSIYGSGFPRLTDEKPSSVEPGTWDEYCASPWNLNNLPKLPGSMLRAVHHSIAGVMVPWLYIGMLFSSFCWHFEDHCFYSMNYHHWGEPKCWYSVPGSEAQAFEKVMRNSLPDLFDAQPDLLFQLVTMLNPRVLQENGVPVYKVLQEPGDFIITFPRSYHGGFNCGLNCAEAVNFAPADWLPHGGFGAELYQLYRKAAVLSHEELLCAVARSEFDSNAAPYLKTELVRVYSKEKSWRERLWKNGIVNSSPMPPRLKPEYVGTEEDPTCIICQQYLYLSAVACSCAPSSFVCLEHWEHLCECKPQKRRLLFRHTLAELNDMVLITDKSNHEEAAKKIRGQLLSSNDPSALSKKIKGGCITHMQLAEEWLIKSSKLFQNPYSSDAYRRAIKEAEQFMWADHEMDPVRDLVKRLIDAQSWAQNVRDSLSKVKSWMSDHNSVVKVQMEVVDNLLSLNPVPCNEPALVRLKDFQKEASELTLEIDSVLSSCSNILVSDLETLYSKTVDCPIYIKGSEELLCKLSSAKAWAERVRKCVSETSARVEADILYKLEKENLSLQVQLPEGEMLLDLIRQVECCQSQCCDMLKCSLSVKELESLLNKWDGFAVNIPELELLRRYHKDAVSWIKRVNNILLGISEREDQETVAHELTCIQKDASLLRVEVEELPCVDIELKKARCRVKALKALRCRTSMDYIEKLLMEASILQIEKEKLFTDVYEVKEIAVSLEERAKRVLENKEEISEFEDVIRASEEIFVILPSLDEVKDAVSMAKSWLSRSQPFLSRDSMTLGSSPSLEIDTLKILVSESKLLKLSLRELLMIQTLLDTCTRWEQDACSVLHDTECLLNGANTDDEILSRFGKIEKQIQAIESVVEAGQGLGFKFDMVPKLEDACSTLRWCFRALSFATAIPTLEEVKTNLEIATHLPIMYTTCSLCISLLDWVNWLNRALEVSILSTAGRSNLSDAEEVLRQYQNICVSSPAMISQLQKAIEKHNSWMDQVHSFFVLNFRDRSWDLLLQLKEKGNNDAFSCSELDMVFSEVHKTDEWKRRCEEVLHPSIRDANLLAALLQTKNALERSINICEKSNQTNASALCIFCSHDGVNQKLLTCSTCNDSFHLKCIGWSPGDANDSKVFICPYCHFMNSGKISRNGSDPLNIGRKSFKLHKLVELLSDAEDLCLWIQERAVLHQIGQKALDFKARIEEIVKFVLAYLDEDLSIIAKKFCVALKAVHIVGAYDSEANSKLELALARTSWKIRAQRLLDGSQKPSIQVLQRHLKEGLAVGIPSEDYFRQSLIEVKNLGLQWADIAKKVSTDGGALGLDKVFELITEGENLPMSCEKELKLLRDRSMLYCICRRPYDQRPMIACDKCDEWYHFDCIKLSSLPKIYICPACCCMEGEDFASMSTSGEEKVVGGKHEVPQTPSPRHRESRRRSRKTKWERTDVAADISRSSSNIEQLFWKNRKPYRRVARKRSHFESLSPFIFVQNS >Solyc09g090515.1.1 pep chromosome:SL3.0:9:70497273:70498525:-1 gene:Solyc09g090515.1 transcript:Solyc09g090515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVVSDFISFTGEFCVTFAFHMQSAALARRAVVADLVDQYVMDSDNVSVVMVKQTKAKQALLSCILCRVETNNHPLESEFHCGWSLSLVEPFMMESRIICVRRFDFSSTTLFTH >Solyc08g074440.3.1 pep chromosome:SL3.0:8:58675280:58679387:1 gene:Solyc08g074440.3 transcript:Solyc08g074440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNGIGLQTPRGSGTNGHIQTNKFFVKSKTNKVLVDGGKGYESGQGTAGVSRKANKDILEHDRKRQIQLKLLVLEEKLADQGYTDAEISEKLEEARRNLEATSEEFGGPTYEKVSETQTHQITALKEKQMENLKAALKIGAEHETQKKKHDDVAHLEEGEVNDLAKEKDEGKRHKKKEKRRGRDDSSDTDSSESDAKESKKTRKKAHASSDSDTAGGKKSRKLSFKDKKVRRRHQSDDSSDTDSSEHHAKESTKTRKKAHASSDSDTAGGKNARKLSFKDKKVRRRHHSDDSSSDSDSYSESDHEKKHVKVHRRHFVGDNDDRDVKTIKLQQGRRHDSDDDNHYNDLDVKNKMVQKEKTHVDKYDDGHDAKNKTSHRGRRHDSDDNDDGLDFKNKKSQRVRRHDSDDDSDGSDRVRRHDSDYNDDGRDAKNKKIQEGRRHNTKATYLIDGSSASQELLRGKRKLNDESPDQREGKSRRRDSSKDEKYGRADTEAENPNRSYRSTEDRSKDQQTRRCGREYEGNDGERENKIQSGNELQRESRRENRDFEVRGQERRENRNDDRWERKHKRDEEEDQYGKHEKEGDSQRGRYEQEEEHRSRMRDNHRGHDSYKRVRHDDSHSSGRRRYDDEKHADRQTRR >Solyc01g111100.3.1 pep chromosome:SL3.0:1:97390698:97394515:-1 gene:Solyc01g111100.3 transcript:Solyc01g111100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHSGDVSNNDASIRNIDSCSTVTELDDIDFSRLPRPRNLNIERQGSYDEKSLTETQLGFSPHPPSRAENFFRALEHFDCIFSPSKRSEFTTPRSPFGQGPHPMVAEAWDSLRRTLVHFRGQPVGTIAALDNSDEKLNYDQVFVRDFVPSALAFLMNREPEIVKNFLLKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVRNTETLIADFGESAIGRVAPIDSGFWWIILLRAYTKSTGDTSLSELPECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRCALLLLKHDAEGKEFVERIVKRLHALSYHMRNYFWLDLKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPEWVFDFMPVSGGYFLGNVGPSNMDFRWFCLGNCIAILSSLATPEQATKIMDLIDSRWHELVGEMPLKVCYPAIEGHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAEQRLSKDGWPEYYDGKLGRFIGKQARKHQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQLKPVLKRSASF >Solyc08g048410.2.1 pep chromosome:SL3.0:8:13094118:13095616:1 gene:Solyc08g048410.2 transcript:Solyc08g048410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANETCQPIENNCGCSSVTRETLIDLRLDIEEVDTVPTTVESFTKNKKIEFSCERCKTQGPFEKKLLVNHPPDVAVLHLKRFKNNGLIVKKMEKHRHASLYSMMLLRNFLFSEEIKYNLHVVIVLSGLPISSGHYHNFIHCASNKWYKFDDEKVDYVQEYLVLAEQT >Solyc01g091280.3.1 pep chromosome:SL3.0:1:84805022:84810648:-1 gene:Solyc01g091280.3 transcript:Solyc01g091280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AZ68] MYRSGTVMAWNVFRFCTALRGLGSIMILLVLGVVGVTYYAVVLTSYGPSLVSGSGILDALIALAVLVLFHCLLVMLLWSYFSVVFTDPGSVPPNWKPDLDEERSDTDPLTTSEFGASPADSTNPRVRFCKKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETTLVTLALLPQFIAFFSDGEIPGTPGTLATTFLAFVFNLAFALSVLGFLIMHISLVAGNTTTIEAYEKKTTPKWRYDLGRKRNFEQVFGLDKQHWFIPSYSEEDLRRMPALHGLEYPSKPELESQEF >Solyc03g044990.1.1 pep chromosome:SL3.0:3:11265244:11265853:-1 gene:Solyc03g044990.1 transcript:Solyc03g044990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWINPAYKFSNLSQVYILSSSTNLSIDIDFSTS >Solyc04g011460.2.1 pep chromosome:SL3.0:4:3900395:3902548:-1 gene:Solyc04g011460.2 transcript:Solyc04g011460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGKMGEDLLTTLTIESNHLSTLLSMDSGSSSHDEMERELIRTVNHLQPPDINLPLEPSPPPQLWNDTCDMLDVGLGSQHYEVETNISVTKIGKKCAKRLDSIWGAWFFFTFYFKPALNEKSKGKIIRESSGVSRYDKSDLKQDVFLVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGESPFPFTAEKGFVRSHKMQRKHYRGLSNPQCLHGIEVVRSPNLTGLDKEEQKKWMELTGRDMNFSIPSEASDFESWRCLPHTEFELERLPALKSNTLPRTERLLNGSSLNLSTRSANHVNGEGLNILSVCNKRKKNGFTRGNNDDCCLPNSQHPERLTDIHPISPPWLRDFSGAMQVASGPVTAAKTIYEDDAGFLILVSMPFVDLKSVKVTWRNTISHGIVKISCVSTGCIPMIKRQNRTFKLSDPAPEHCPSGEFVREIPLRTRIPEDAKLEAYGDETGTMLEIHVPKQRVGPEEHEVLVCLRPSPWTTHAYVDLTEVEHKIDPLLDHVPLKRRHSTEDYNEDDLIRKKI >Solyc10g055005.1.1 pep chromosome:SL3.0:10:56171684:56172609:-1 gene:Solyc10g055005.1 transcript:Solyc10g055005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVLYKLYFNKNNSYSFVKSLTIFSSFKFCSRHLSTINKTLCLSVQFVTFLVVRVLCWQQNSGTTINSQILIEVSKCVESINGFKEGGWKNTFCFYKPMSFLFSLLLMNYKDWTLEVFLLFKIMY >Solyc03g115270.2.1 pep chromosome:SL3.0:3:66545384:66546043:-1 gene:Solyc03g115270.2 transcript:Solyc03g115270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYSSKGMGSTCGACFQIKCVNAPKACHPDQVITITATNFCPPNYTKTHDVWCNPPQQHFDLSLPMFLKIAEYKAGVVPVVYRRVTCQKKGGLKFEIKGNSNWILVLVFNVGGVGDVVSVKIKGSKTGWAQMSRNWGQNWQISQQLAGQSLSFQVQTSDGKWVQSDNVAPANWRFGQTFEAKNNFYYDLF >Solyc12g099980.2.1 pep chromosome:SL3.0:12:67885342:67888402:-1 gene:Solyc12g099980.2 transcript:Solyc12g099980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLLQFFTLLYLLTVTFASIEEATSLLKWKATFKNQNNSLFASWTPSSNSCCGWYGVICLNGRVNRLNITNSSVIGTLYAFPFSSLLFLEYFELSLNNFSGTIPPEIGNLTNLVYLDFSMNQISGTIPPQIGSLAKLETLHIFDNHLSGPIPREIGYLRSLTELALYTNFLNGSIPASLGNLNNLSYLYLYNNHLSGSIPKEIGRLVNVVKVYLETNQLTGHIPPEIGNLTNAKLFSAPFNELSGSIPVEIGKMKSLQELILHTNNLSGIIPTTIGDLTELKYLQLYDNQLSGLIPGEMGNLKNLNALELFNNQLFGLIPSELGNLSILYHLTLSNNQLTGAIPSSFGNLRNLRVLYLHDNNLIEEIPSSICNLTFLMFLQLSRNNLKGKILQCLANITTLQFVTLSHNNLSGELPLSICDLTSLQVLDLSGNDLNGAIPQCFGNFSGHLEVLDMQHNNLSGTLPMTFRTGTLRSFNLHGNKLKGKIPQSLANCKELQVLDLGDNDLNDIFPMWLGTLPKLRVLSLRSNKLHGPIRTLGSENMFLELQILDVSSNDFTENIPTGLFQHLKAMKTIDPSKKAPSDGYYQDTVAVVTKGFEREIVSILYLYTVIDLSSNKFGGQIPSIMGDLIAVHTLNLSHNGFQGQIPPSFGDLSSVESLDLSGNQLSGEIPQQLASLTSLSFLNLSHNHLRGCIPQGPQFHTFESNSYEENDGLRGFPVSKSCSDAGVLDTNETVSGSNSEFQSDFWKGALMGSINSGNLKAATLRKL >Solyc03g083940.1.1.1 pep chromosome:SL3.0:3:55294040:55294306:-1 gene:Solyc03g083940.1 transcript:Solyc03g083940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEAARPPPSEARLQMAESWRRREEMENGEDDGGDGEWRRRRWRWRWRRRRRREEKEKTTAERNDERERDDDRERGTTRKREGRKR >Solyc01g106190.3.1 pep chromosome:SL3.0:1:94035455:94037998:1 gene:Solyc01g106190.3 transcript:Solyc01g106190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSVFRFQSYSVSSPLIRCFRSQAALKALAKASEDKVPNLILYNYPSFSGAFAALFTHLYHSHLNLPHLILPFSSVEPFRYNCYFLDFVGPKGFAEELTRRTSCQIVGFDHRKSALSKIPLNQSSGGSLTFHVNLEKTSSVAVYEHFSSRLSEVGSNKTDAISLLNSTFQDRVENVLKYIEDGDLHRWSLPDIRAFGIGINQWRSKLNCITNPHMYEQLMGIHTGDLIASGNSHISKRLAAAHKLLDKFFKIRLGRGLYGECLGVRADGDPDLSDEIGKELSKKSASVGLRPIGAVIYLQRKNLKMCLRTVDAATDTSEVAKAYGGGGSPCSSSFIIRMDEYNHWRSVHSS >Solyc08g081600.1.1.1 pep chromosome:SL3.0:8:64721376:64722011:1 gene:Solyc08g081600.1 transcript:Solyc08g081600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSNEIDHSLLTLSLSFSPSPVVAPPPPPPPPPPPSRRPRKRKRTLKSETIPAPYPWATNHRAKIHSLNVLRLNQISTITGEVQCRRCERKYEIGFDLCDKFAQVGSFISANKESMHQRAPDIWMSPMYLNCNFCEQENSVKPIISSKKKSINWVFLLLGQFIGFCTLDQLKYFCKHNEIHRTGAKDRVLYQTYLCLCRQLDNTGPFDY >Solyc03g093647.1.1 pep chromosome:SL3.0:3:56644484:56660374:-1 gene:Solyc03g093647.1 transcript:Solyc03g093647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVPCYESDKKHDCEPYCKFVYGKTFIDAECKAGLEKCTSQPTPMAVSSSTNGADTPFVDITHFRSLIGALQYLAITRLDMQYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISWCTKKQPKVSRSSTEAEYRALALLATETIVLGISQPTKST >Solyc10g006295.1.1 pep chromosome:SL3.0:10:943781:945573:1 gene:Solyc10g006295.1 transcript:Solyc10g006295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFTMKILLYLLTVILFIAGTVLAGDYVRPPPRKTLHFPWDPKPSSQPQQICYSCYLKLSKYLLILICKVIYFVYGFMI >Solyc11g008830.2.1 pep chromosome:SL3.0:11:3017192:3021441:1 gene:Solyc11g008830.2 transcript:Solyc11g008830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSLSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKVFGASNVTKLLNELQPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGGIASTTTHGLLAAAAAAAATTAHHHHHQQFNFMAGSGGGGGGGRDHSHHLYHHQFFPRDQQQQQHQHMIRAFEGHGSNNFDASSLFIGQLSQFQQPRAAGADGHRTPVDPS >Solyc05g016320.2.1 pep chromosome:SL3.0:5:15557134:15560814:-1 gene:Solyc05g016320.2 transcript:Solyc05g016320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSFKKLQLPSFLSSNLALLVFIPLVLLSIIACTLIGQQSSSSFVPFSSVWKWKSIGIFNSYSLRSLSSYEEQHEASSSFTSPFNNNSFHENLVVEEESIPHQPPQKEGGEDIERDKSNEGEEEIEKDISNEGGEHGDNATKEVLKMYRRLERLEAILAKSRSSIREAARNGSMISNHHDPDYVPQGPMYHNANSFHRSYLEMEKNFKVYVYEEGEPPIFHNGPCRSIYSTEGRFIHEMERGSLYRTKNPDEALVYFLPFSVVVMVQYLFVPGAHDMHALGRTVADYVKVISERHSFWNRSLGADHFMLSCHDWGPHLTSYVPHLFNTSIRVLCNANTSEGFNPLKDVSLPEINLKTGDIKGLIGGPSPSRRSILAFFAGGLHGNIRHHLLEQWKGKDEDMLVYEKLPNDKSYETMLKNSRFCLCPSGYEVASPRVVEAIYAECIPVLISDNYVPPFSDVLNWNAFSVTIGVKDIPNIKKILMSISQSQYLRMHRRVKQVQRHFVINDGSPKRFDLLNMIVHSIWLRRLNIRVQE >Solyc10g054305.1.1 pep chromosome:SL3.0:10:55174373:55181956:-1 gene:Solyc10g054305.1 transcript:Solyc10g054305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYSSDCVKKFLDLAVKCSLDEQKDRPFMLEVVRELENTTCMLPAIDNNNIALDLDVSTSPTSANSRHTTTYTTMEGIELVSDAHAKGQEHKSLGKIQALCKRTRQVSSLRIGPCTQSATQCQGRYYYVHNQSGCADKTKHIRCATGVCQQVKAKSMTPNKRHYQRQKFAIGTELSHESWDRHNAGFNMTSKALQEFLSQQLIHFHSFQHQMTCISLMQPVYACNIPPKKPDFGDANVTIILSQYRTLFPPVMSLAVH >Solyc04g051107.1.1.1 pep chromosome:SL3.0:4:49596903:49596914:-1 gene:Solyc04g051107.1 transcript:Solyc04g051107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNI >Solyc03g121720.3.1 pep chromosome:SL3.0:3:71275190:71285323:1 gene:Solyc03g121720.3 transcript:Solyc03g121720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVAEQNFSEEQERNDGSAGHELKHSLDDSSAGKLFVGGIAWETREESFRKYFSRFGEITDCVIMMDKVSGRPRGFGFVTFADPEAANKVLEEEHIIDGRTVEVKRTVPKEDMQVKGSPKTKKIFVGGLPLTLTEDELKEYFSSYGHVLEQQIMLDRESGRSRGFGFITFDSENAVEEVLNNGRMHEIHGKQVEIKRAEPKRAGAENAIESRQHRGGSGSRSYNNFGGSEGAYGGGYSRGYGGYGGYGGGAGYGGGGAGAGYGGYGNIGGSYGGGAGYGATSYGAPGSYGGAAGYGSGRGYTSSDDGSWYGGARSSASFNAKGYESGGSTGGARAYGNGGAAGGRCDVTVWNRTKSKCEPLISLGAKYKSSPEEVAASCDVTFAMLADPESAADVACGKYGAAKGMGPGKGYVDASTVDGETSKLICEHIRATGAHFLEAPVSGSKKPAEDGQLIFLTAGDSVLYDKAAPLLDIMGKSRFYLGEVGNGAAMKLVVNMVMGSMMASFAEGLVLSEKVGLDPSVLVEVISQGAISAPMYAVKGPSMVKSSYPTAFPLKHQQKDLRLALGLAESVSQPIPIAAATNELYKVAKSHGLSDQDFSAVIEALKVKLQQ >Solyc11g007240.1.1 pep chromosome:SL3.0:11:1641313:1643403:-1 gene:Solyc11g007240.1 transcript:Solyc11g007240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKPPNQNFLDGELVDIETLKNSWIIQHLEDEIMEDEFEKVDKLAFNQLCQSFENEILESNKMHILTLIQSLEDEGMVNSQFRSVYLLMKKEKPPSFFRNSVFIFFRDALSVIKNLILTLKSSSSSSSSASSSSVVDFDLLNMHCLQLKGSSSCIGACTIMNECSNFIEAIDKKSKNECLQAVKNLNKAYRELHIKFRSFMKVL >Solyc11g012260.2.1.1 pep chromosome:SL3.0:11:5132544:5133140:-1 gene:Solyc11g012260.2 transcript:Solyc11g012260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYCFHIYLSLDIILAIVSGLARGLLGLQLEPQFNEPYLSTSLQDFWGRRWNLIVTRILRPTIYKPVLSLSANILGRKWAPIPAVMATFVVSGLMHELIFYYLGRVKPTWEITWFFLLHGVCLNLEIYAKKVINGRFKLPRIIGTILTVGFVMITGLWLFFPQLLRCNSDVRALAEYEAIGAFFKDFTRAVKSTFSR >Solyc07g008760.3.1 pep chromosome:SL3.0:7:3727744:3747654:-1 gene:Solyc07g008760.3 transcript:Solyc07g008760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQNSQLSSLRALELRLLRCSIPSSSSSSSHQSTPTTSFSHLHTLIEQVLQFIESGQYTQALSSDGAKAIFTSQQLNHKLNDSSESAESFYSEFVPQCVTLFVNANGIEKSVPNSVEKLYKAVLVMAVAVAALLGFTQCNITGPTVKLPPMPLGAIVFGEEEIKTGSGGCSEWEVWAQKELMSVGSDLRAKFSNLQVKGINSGVDGARSISWWLARLLIIQQKLLDDRSSSLFDLLQVFMRESLQHIGSLEKTRYYWASLISEEDASAIVSMLHLEAGIMELTYGRVDASRVHFESAAATSRLNFSLSGALGFRTVHQAEPKAQLLLVGSADGDDSSASLGNDFQNKVSTQGENAFPQRPSETHEASDILMTPRFLEDDKKLECSAQDAQNHSIASMQLKPTQQAIILAQCLSIEKRARSDELQRWEMAPYIEAIDSQQSSPFTLQHLCGILRIRWESTRGRTKQRALLMMDKLVQGINDPSPGASQRMHCCFGVSIPTVPALRKEYGDLLVSCGLIGEAVKVYEDLELWDNLIYCYRLMEKKAAAVELIQARLSERPCDPRLWCSLGDVTSDDKCYEKALEVSGNKSARAQRALARSAYNRGEYEKSKDLWESAMAMNSMYPDGWFALGAAALKARDVEKALDGFTRAVQLDPENGEAWNNIACLHMVKKKNKEAFIAFKEALKLKRDSWQMWENFSRVAADIGNFSQALEAVQKVLDMTKKKRIDVELLERMLQELELRTATSHSECDALRGSSDSSEAGSDIISVDPLTSSDKDLAIERETEHLIQSVGKILRQIVQTGGNAEIWGLYARWHKLKGDLAMCSEAFLKQVRSYQGSDLWKDKDRFSKFAHASLELCKVYQEIARRNGSRRELSAAEMHLKNTIKQAEAFSNTKEYQDILACLDEVKAAQATP >Solyc02g079590.3.1 pep chromosome:SL3.0:2:44671521:44681973:1 gene:Solyc02g079590.3 transcript:Solyc02g079590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTKDYYFHQFLFLILISQFLHPILAIPTDTITPTQSLTKDQTLVSSDQLFELGFFSPGGANSDKWYIGIWYKEIQDRTIVWVANRAKPLSASSTSVLKITEIGTLLLVDGQTGNSVWSSDQTPATNVVAQLLDSGNFVIRPENDDREQSYLWQSFDYPTNTLLPGMKLGWDSKSGMNRNITSWKSAIDPAPGDYTFKINTSGFPEIYLTNKQEIIYRSGAWNGIRFSGVPEMKASDIISFEFQFKSDEITYTFKLHNKTLYSRLFVSHSGFLERFAWIPTSNLWNRFWYAPKDQCDGYTECGISGICDTNISPICKCMVGFKPRNQVAWDLRDGSDGCVRFHNLDCKTDKFNILKNMKLPDTTNSFVDTTMNLDECEAMCMKNCSCTAYTNSNISGSGSGCVIWSSELVDMRQYAVAEGGQVLYVRVASSDAVQIGGEGSGNSSRKTKIVAIAAGVTVGIALVLFGLTLCILSKRRKHQSSIRTKSVNRGTSERSQELLMNATIIPSKREFSGETSTEEFELPLFDYSTLATATENFSDATKLGQGGFGCVYKAMLVGQEVAVKRLSKNSGQGVEEFKNELRLIARLQHRNLVRLLGCCVDMEEKMLIYEYLENKSLDSILFNKQKSSLLDWQKRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKDLTPKISDFGMARIFGGDETEGNTKRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVTGKKNRGFYYQNNQLNLLGHAWRLWKEGSGSELLDPSFGESFSPSEVMRCIQVGLLCVQEQAEDRPNMATVVLMLGSESASLPQPKNPGFCLGRRPVDSDSYSTNYEETCTVNQVTVTMIDPRGTILLKCFALIIFLQTCNARKSKHYHCPPSACGHIRNISNPFRLNTDPKDCRYPGYELTCEGNQTLMWLFSVKLHVQGINYDNKTIRLVDPTLQTQDDLCSFRSQFNFNKYYTIIDSYYYSYDYTKTFLDPIFMFNCPFAVNNSAFVEISGCKLSRYTYLKIGETNASEVNDGCRVQFIGLTSWPNIKDAENNISLSDFHQAILYGFELRYYMWSSPQKKSDIEQLFWISLSIVEYFVFKRQIYGRNWIRTILSLLLYVMGKLPLASSYFGIKFVIGLPFVVVFLVIKFKRRHLSMYDTIEGFLQSQNNFMPIRYNYSHIKRMTRGFKEKLGEGGYGSVYKGKLQSGRDVAVKMLSKPKSGGQDFMNEVASIGRIHHVNVVGLVGYCVEGTKRALVYDFMPNGSLDKYISISEEGSPLLSWQRKYDIILGVARGTGYLHRGCDVQILHFDIKPHNILLDENFIPKISDFGLAKLYPPGNSIVTLTAARGTIGYVAPELISRSIGEISYKADVYSFGMLLMEMLDLKRPEVANEENSSQYFPYYIYDKFNKGKEIVVDEEANDDEKKMARKLALVALWCIQTNPIQRPSMSRVLEMLEGEVEVLEVPPQPLQSQPIVHQIMGSSMTFSSDSMALLENTADNLVEVDISSD >Solyc01g100040.3.1 pep chromosome:SL3.0:1:89988850:89992150:-1 gene:Solyc01g100040.3 transcript:Solyc01g100040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFSSMFNGLARSFSLKKRKNSSGNGKYDGRDAVEAMAKEAKRNDLILRSSGTVNVDGSKNFASLFSRRGEKGVNQDCFIVWEEFGCQEDMTFCGIFDGHGPWGHFVSKKVRESIVSSLLCLWQESLAEASADPDLDKKAQRFNIWKESFLKACATVDQELEHHPKIDTFYSGTTALTIVRQGEVLFIANVGDSRAVLATTCDDGNLVPVQLTVDFKPNLPQETERILQCNGRVFCLDDESGVHRLWLPDESSPGLAMSRAFGDYCVKDFGLISVPDVTQRHITSKDQFVVLATDGVWDVISNEEAVEIVSETPDRAKAAKHLVQCAVRAWKRKRRGIAVDDISAIVLFFLSKHFCQHIYPVTTPK >Solyc10g085320.2.1 pep chromosome:SL3.0:10:64666666:64671081:1 gene:Solyc10g085320.2 transcript:Solyc10g085320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKWPKKKTQYPLIALVFFIFIVFSILYNEIYIHEIQSKNSTHHTDDDDDSSTLAKEEEDPLFQSVKNLTVTKIPPVPLDKSSACHSTVKYSGKRAEWDSFKPESGGRREMPETCDYFSGEWVFDNSSHPLYKESDCPYMSDQLACHKHGRQDLEYQYWRWQPHNCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLMQSVIPADKKFMTPQAHLSIFRAEEYNASIEFLWAPLLVESNSDDPVDHRLSERILRPDSLLRHSSEWMHADILVFNSYLWWRQGPVKLLWSSEENGVCEEINGLGGMELAMDAWANWVDSNVDPAKKVFFVTMSPTHFTKGEWEPGSEGNCYNEKLPLNGTYWGIDSDLPTMQMVERTLARLGSKVNVLNITQLSDYRKDGHPSIYRKFWEALTPERLADPASYSDCIHWCLPGVPDVWNELLFQFL >Solyc10g079410.2.1 pep chromosome:SL3.0:10:61076715:61081024:1 gene:Solyc10g079410.2 transcript:Solyc10g079410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEARVEFFSIGPSSIVGRTIAFRVLFCKSISRLRRSIFHFMMYYLYKIKNCLSHYLTPLIKWFHPRNPQGILVLVTLLAFLLRRYTYVKIRADMVYKRKFWRNMTKSALTYEEWAHAAKMLEKDTPKMNEAEFYDEELVVNKLQELQHRRNEGSLRDIMFFMRADLVRNLGNMCNPQLHKGRLHVPKLIKEYIDEVSTQLIMVCDSDSDEILLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLMPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSWHVLQPFEQMGGILTVFRRIMRQGAVHEIRQLQVMLRHLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGNLVPYHPPFHLEPDQAAASGSSARRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRIKEFVRAYGGNFAAKLAHLSEMEVKHRCNQVLELGFPLRGLAKLFAQDWEGDVTVVMPATLAQYLKIIQNPSTLEVQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAASSQGMSSSTVKLNASRRIPSWNCIARENSTGSLEEEFHADASSSLHHHNAGRNWRCNNKNTALDHHGSDSESESADNNSWTRSGGPLMRTTSADKFIDYVQNLEMHPSQRSSRGLSVDLNNVVVREPLSPSPRVTTPDRRSDTEFDQRDIRIIVAEGDLLQSERTNNGIVFNVVRRGDLTPSNRSLDSENNSCFHDPVAECVQLENPDKDMDISSASEDGETENAVLNVVTENQII >Solyc11g072190.2.1 pep chromosome:SL3.0:11:55711174:55715527:-1 gene:Solyc11g072190.2 transcript:Solyc11g072190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTFSNLHTEAGLKSVNDHLSGKTYISGDQLTKDDIKVYGAILEQPSSDLYPNASKWYQAVSAKLASSFPGKAVGVRFGSQAAPAGAAPAKEAAKPAADDDDNDDIDLFGEETEEEKAAAESREAAKASAKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVQMDGLLWGASKLVPVGYGIKKMQIMLTIVDDLVSVDTLIEERLTEEPINEYVQSCDIVAFNKI >Solyc07g009460.3.1 pep chromosome:SL3.0:7:4557989:4564405:1 gene:Solyc07g009460.3 transcript:Solyc07g009460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVASPFEQSMESVTLWIQSLLFFIFIIATLYVVDTLIISNRLTTDYQNIQLKKQPHLPLRFRSDGTFKILQVADMHYGNGIVTRCRDVLESEFNYCSDLNTTHFLRKMIHIEKPDLIVFTGDNIFGSSATDAAESLFEVFAPAIESGIPWAAVLGNHDQESTMTREELMSFISLMDYSVSQTFPMDPMKQLPMTNIDGFGNYNLEVRGAPGSYLSNSSVLNLYFLDSGDRAIVDGVRTYNWIRESQLSWLRGLSKRFQGQWKLTDQSLEIPPLNPALAFFHIPIPEIRQGPIKDIVGTYREYVACSLVNSGVLKTFISMRDVKAFFIGHDHNNDYCGNLEGMWFCYGGGFGYHGYGVAGWPRRARVIQAELGKGKEVWMGMEKIRTWKRLDDGVLTKFDEQVLWDIHSSR >Solyc03g120660.3.1 pep chromosome:SL3.0:3:70468178:70468722:1 gene:Solyc03g120660.3 transcript:Solyc03g120660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMTCPMSPYLEQELDKRFNFLRLWKFPENQKSHFLKLHSDSIRGVVGNATIGANAELIGALPKLEIVSSYSVGLDKIDLGLCKEKGIKVAYCPDLITDDAADTGVALILAVLRRICQCDSYVKNGLWKNGDFMLTSKVNLFWT >Solyc01g110890.1.1.1 pep chromosome:SL3.0:1:97298766:97299068:-1 gene:Solyc01g110890.1 transcript:Solyc01g110890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIGLRAMIRQAKYNVLLRTKLEVPKGHIVVYVGEKYYKKHKKFVVPISYLEHPLFQELLRKAEDEYGFDHPMGGLTIPCSETTFLAIISHLDLISRCQ >Solyc03g007710.3.1 pep chromosome:SL3.0:3:2242488:2243901:-1 gene:Solyc03g007710.3 transcript:Solyc03g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNFLKFWRTTAAVRDIDSDFDSVQKFTGTVDYKGNTVSTESTHEEIDKDEDSFFDLVLTGINGYPKDNNIPSNSVSEKSCPVKQGKGKSDSPESPNSVLRSSPKFAVCFLGFRKSKPERVIIDDSSTVSPKNQTQKCKVEERESSINDSSKQFTRADMGKYLNLMKPLYSRASKRFTDKNQLSSSSSSVQSLSSPRISSEEKNGNRVAVLEAVRKRLGKSRSTASSFAGASIPPMNRRDDSLLEQNDGIQSAILHCKRSYSSARKDGSVLLSKKSNEDASCEEQNRWSI >Solyc01g057590.2.1 pep chromosome:SL3.0:1:62778748:62780490:1 gene:Solyc01g057590.2 transcript:Solyc01g057590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVSVIVSNVTLLFLLYLLIYSSIPVSRMMRLRETRENLDSYLNETHLDRIFVKSLQEKTEYKAPLRNSNNGGTEMLESRNQSQCNLVFLASANPYQPANGTTFEARRKSNLDVRLNYTPVHLIYTAYADVDVDKVRRRRFMRGITIVNWSLVLITFILIVLLACFLFKYIWL >Solyc03g098650.3.1 pep chromosome:SL3.0:3:62383788:62385201:1 gene:Solyc03g098650.3 transcript:Solyc03g098650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYWEFFVGEAEFKISMYCQGCEKQIAKIISKIKGVEEFMTDMNNHKVLVKGRINADKVLKKLKKKTGKKVEMVIKEEESKKNSEEIEGDLQLMKQNPREITEALVIGYCGDSMLYTMFSDENANACSIM >Solyc08g067425.1.1 pep chromosome:SL3.0:8:56534715:56542203:-1 gene:Solyc08g067425.1 transcript:Solyc08g067425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSAPSRHLIAAAAFTDTASAAASATMSSAQSFRGGRGRGRRNFSHRPSGERSEDDIVTGDSHFNSVRETNRNLRPSPNFRPFRPRAPSPNIHPTHHNYGQLPHPQPRQQFHPNQQSYRAMPPPAGFYQNQQFNRAVPQPPLRPQYYQNQQLNRPNFYENQKFNRPNFYENQPFRPRPLPPKALNFRNWEYARPGPPPHCERFTVLSYNILADYLANDHQRKLYFHIPQHILDWEWRKRSILSELGWWSADILCLQEVDRFQELEAELKLRGYSGIWKRRTGDPADGCAIFWHASRQENSIPLHPQIGRID >Solyc03g013320.1.1.1 pep chromosome:SL3.0:3:47411735:47411893:1 gene:Solyc03g013320.1 transcript:Solyc03g013320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIVFGNVCIFLHAAANFLFVFIFILKVWLVPSFAYLVFFRAMHSCLLESL >Solyc07g063230.3.1 pep chromosome:SL3.0:7:65872148:65886233:1 gene:Solyc07g063230.3 transcript:Solyc07g063230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVPNIKILRFVLLFTTCNLFIVEINAQNWLNGRATFYGVNQDPSTFGGACGYDNPYHAGFGVNTAALSSALFRNGQACGACYRVRCNRQLDRRWCIPHGAVTVTATNFCPPNNHGGWCDAPRQHFDMSLPAFLRIARQGNEGVVPVLYTRVACKRSGGVRFTLKGQSNFNMVMISNVGGSGDIKSVSIRGSRTKTWIPMYRNWGVNWQSRNDLRSQTLSFRITLVDVSSQSTKPISSSMNFAILRRSISYNLLQRAFPVRAYCATVYSSNSKRNNLFSRISPVRHADLIIPVLDEWVDEGRKVTSFELQRIVRDLRSRKRFSQALQVSEWMSVRGLCPFKSGDCAVHLDLIGVVHGWEAAECYFNNLTDEQKNDKTSGALFNCYIRERLVEKSLTHFQKMKELGYAHCTLVYNNLMCLYRSTGQLEQVSEVLSEMKENGVTPNNFSYRICINCCGERADFNGMEKLLEEMESQPFISADWITYSMMANVYIKAKFKEKALIFLKKLEDRLHKDPIGYNHLISHYGNLGNKEEMLRLWGVHKVVCKKQINRDYITMLGSLVKLGELETAETVLKEWESSCHTYDFRVPNILLIGYCQNDLVDKAETMLHDIIKKGQTPIPNSWAIIAAGYLNMNNMEKAFECMKKAIAVRGQNPAWRPKPHLVSSISKWLGDQQDSREVEAFLSSLKTVVTGNKNVHDATRNTEASGIEEENENEEILSYEQSKYVKSSDEQWYTIATSLHLVLSKREEKTGDFATLFGSISITQRDLGVVEMRGPLGAVIGKYPSSDGGVAEKGDGIIKHNRKCRDVVFLVIFITFWVAMIVNSSFGFNQGNPLRLTSGLDYKGNVCGDRHADPDLRELELRYWVNPNQVYESGSKDNQAKLSNARTICLMDCPIPSEDSLNWVCDYPEGEVRLSVDDWIDRNYDYFADLTPDLRNTSLQLQGPCYPVIFPSVNVYWSCQLIARASNVSLRHWKEMGGVNIVEDIAVDKSIHGLINSRSLVLKRYVADVGKSWGVLIVCGGILPVFLSVIWLLMIRHFVAAMPWITVVLFNGLIVSVTMFYYLKAGWIGNDPISPIIGEHDPYYHVSAREISHLHAAAVIMTAVMIISVLSSIAIVRRILMATSVLKVAAKVIGEVQALIIFPIIPYAILAIFYMFWFSAALHLFSSGRVVQNDCNTNCCAYDLKSKRVSCDRCCGYSIQYTSHIAAAIFFHLFGGFWATQFFVASSATVIAGSVATYYWARGGTSPEISFLPVLSSMKRLLRYSIGSVALGSLIVSFIESIRFILEALRRKLKVTNSAPESWFGRMVYSSSQCLLQCVGWIIKSVNRNAYILIAITGKGFFKSSEIATDLIISNILRIGKVNVIGDVILFLGKLCVSLASALFAFLMLDTHKYNIGHNKISSPIFPVLVCWFLGYVVATLFFAVVEMSIDTIILSFCQDSEEHQGTAQYAPPLLLETLNEQTEMQRLTQ >Solyc05g013320.1.1.1 pep chromosome:SL3.0:5:6404400:6405335:-1 gene:Solyc05g013320.1 transcript:Solyc05g013320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pto kinase [Source:UniProtKB/TrEMBL;Acc:P93215] MGSKYSKATNSISDASNSFESYRFPLEDLEEATNNFDDKFFIGEGAFGKVYKGVLRDGTKVALKRQNRDSRQGIEEFGTEIGILSRRSHPHLVSLIGYCDERNEMVLIYDYMENGNLKSHLTGSDLPSMSWEQRLEICIGAARGLHYLHTNGVMHRDVKSSNILLDENFVPKITDFGLSKTRPQLYQTTDVKGTFGYIDPEYFIKGRLTEKSDVYSFGVVLFEVLCARSAMVQSLPREMVNLAEWAVESHNNGQLEQIVDPNLADKIRPESLRKFGETAVKCLALSSEDRPSMGDVLWKLEYALRLQESVI >Solyc01g091260.3.1 pep chromosome:SL3.0:1:84796696:84804327:-1 gene:Solyc01g091260.3 transcript:Solyc01g091260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGRGDNFNSPFGGIMSSIFGFGGRDPFDDPFFSRPFPNLSRTSILHPTTPHDDESNRPVIQEIDTEDDDEDAPLEPDEESGDVNGCNKERDRAYANRNPLVEHPEDLTDDHSKSSKIISKDVTRGMEDMKLEGTQSKPQSMSYRRVTYGGINGTYYTATTTRRAGNDGRVLEESKQADSTTGQATHRISRGIQDKGHSLTRKLASDGKVDTMQTLHNLEEDELAGFEQTWNGNANNEIPGWNSGFDFHANAGTSSNWLTNWEAGFRDPFSGARPSDNSAQSAQRRTQSGRPKKRTMSKLFGGKNPFDDPFFTEPFGGWFGWNDPFDVQQDSRKQITIEELNPEGDGGQAQENSEPTKDLVVKNKKPSKKSNGSQSFSYRRVSYGGLNGMYYICSEGKMIGPDGVVLAEMKEEDKTIGESLHTISKGIHNKVISDVNSFEIEILRLGVCQGHSVTTKHSSDGREDTLQTLHNLNEDELGDFEQNWKANADKYLPGWDKNFSLLENQGSISSLWDEFANWRGLGGYESPALEYYGNAGPVAQVSESGEDSSRRATRRVPVE >Solyc03g098520.1.1 pep chromosome:SL3.0:3:62245156:62245822:1 gene:Solyc03g098520.1 transcript:Solyc03g098520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGYKQIVDTIMIQASMNPFSNCHENSLTLQENQVKRHRKHILTTYSRKRNKKKDVSKDAGVDPPISGLVPTEEIEINMDDANIPIVNSISIEETEIDMELSVESEFCVTGYHGKLPLAVLMGKKRLRTISSMNP >Solyc03g094080.3.1 pep chromosome:SL3.0:3:57218455:57232621:-1 gene:Solyc03g094080.3 transcript:Solyc03g094080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHQDNEYDDEHEHEVYGGEIPDEEGEMDAEYDTSAAAESEDDPNAKELENMKKRLKEIEDEAGALREMQAKVEKEMGSAQDPTASASQAEKEEVDARSIYVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGYAYVEFVEVEAVQNALLLNESELHGRRLKVSAKRTNVPGMKQFRGRRFNPYAGYRPRRAFVPGAPVFPPFGRVPRFRRPIRYRPY >Solyc10g081030.2.1 pep chromosome:SL3.0:10:62336948:62340676:1 gene:Solyc10g081030.2 transcript:Solyc10g081030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLSIYWSAACFSLFRCNRKAMRVAYSLIIVSILKCLLLWPELGDGSGRSKQSRSEKKSRKAMLKLGMKPIPGVSRVTVKKSKNILFVISKPDVFKSPNSDTYVIFGEAKIEDLSSQLQSQAAEQFKAPNLSNVISKPEPSTVAQDDEDVDETGVEPKDIELVMTQAGVSRPKAVKALKAAEGDIVSAIMELTN >Solyc02g084820.3.1 pep chromosome:SL3.0:2:48548236:48553395:1 gene:Solyc02g084820.3 transcript:Solyc02g084820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSSVAMALFRKRWLTVVALLVMVSVTTAIAFIVRASLESSCDCRLYATNQKRYNASPELTKPIGVAVTQSPLSFMKSRLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVCWITNQRPSETNNIVYSLEHKMLHRGVQVVSAKGQEAIDTALKADLVVLNTAVAGKWLDAVLKEHVSEVLPKVLWWIHEMRGHYFSLDYVKHLPYVAGAMIDSHVTAEYWKNRTQERLRIKMPKTHVVHLGNSNELMEIAEDSVAKRILREHVRESLGVRNEDILFSLINSVTRGKGQDLFLRSFYESLQIIQERKLQLPSIHAVVVGSDMTSHSKFETELRNFVISKKIQNYVHFVNKTLTVAPYLAAVDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTQEIVTNGTTGLLHPVGKEGIMPLAKNIVRLATHVERRLTMGKKGYEKVKETFLEHHMEERIAGVLKDVLQKAMEHQRT >Solyc02g080165.1.1 pep chromosome:SL3.0:2:45027818:45029025:1 gene:Solyc02g080165.1 transcript:Solyc02g080165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMVEKGHLPKVVTPDYDSVIQKFSGIGKAYAAELFFREAYEKSIKLQDKTYGSMLRAFSKEVSQCEKHQWKEAEELLNMVVCHYWNTLDLCGLQLVIQRSANGATTEKT >Solyc07g062750.3.1 pep chromosome:SL3.0:7:65574004:65579221:1 gene:Solyc07g062750.3 transcript:Solyc07g062750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFSRVPMLRRFPVYSPALPYSSSLQSNRKINISASLSRIEESTSSLIQHTPVRTRWKPMCLYFTQGKCTKMDDPMHINTFNHNCSLELMRNAARLENLKQQEFEYFLVLDLEGKVEILEFPVLLFDAKTMDVVDLFHRFVRPTKMHEERINQYIEGKYGKLGVDRVDVSHGSSLLWVSLVCAESTIGIPFSEKKESTIGIALPFSKSSLSFLLFHEHKNEFLHYFVIAIYYCMNVMGSDTEAACMLRIKLRTHYPPTPCNVPILISYVTVLIRYIHEKVASRSVAIGNILKDPNLVWHDTAIPFAEVIVQFEVWLGERQLWRNELGGCLNNAAFVTCGNWDLKTKVPQQCTVAGMKLPPYFMEWINLKDVFLNFYKRRAPGMLSMMRELQIPLSGSHHLGIDDSKNIARVIHHMLTDGVLLQLTAKRNPRSPENVEFLFKNRIV >Solyc02g069175.1.1 pep chromosome:SL3.0:2:39684744:39694155:1 gene:Solyc02g069175.1 transcript:Solyc02g069175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTHFKIKDLGEMRYFLGLEIARSRDDIMVSQRKFALDLISDFGLAGTKPVNTPLEVNQRFTSQDFDMSYADWATCPMTRRSVSGFVVKIGDSLISWKSKKQNTVSRSSTEAEYRSMANVVSEVVWLIATLGDVSSSYLKTNIC >Solyc02g094440.3.1 pep chromosome:SL3.0:2:55604248:55607306:1 gene:Solyc02g094440.3 transcript:Solyc02g094440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCARKFLLATVLALLCFSWTIAASDGPFIVAHKKAALTRLKSDIERISISIDIYNEGSATAYDVSLYDDNWSQDVFEIVAGNTSMSWERLDAGASLSHSFELEAKKKTVFYGAPAVITFRIPTKAALQEAFSTPILPLDILADRPPEKNLLRDEFPFFAWTVQIRGEYSSQAKKLMAKYGSLISVISIVVLFVYLIASPSKSNAAKKKR >Solyc09g015930.3.1 pep chromosome:SL3.0:9:11381850:11400301:1 gene:Solyc09g015930.3 transcript:Solyc09g015930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYVPPHLRNSAAGKTTTTPTINSIGADHFSSKHTSRISSLEKSSNGYSSSARRSSLNYSLPNTFAVPDPIFPHWKPSERVLRLKSEQIEEIRLRLNVDVNVSPVSCPAPSPIESFPDMCLHASIMKDIEKHGYTAPTSIQAQAMPVALSGRDLLGCAETGSGKTAAFSIPMIQHCLAQQPLQRGDGPLALVLAPTRELAQQIEKEVTAFSMSLDSFKTAIVVGGTNISEQRSELRAGVHIVVATPGRLIDHLQQGNTSLGRIAFVVLDEADRMLDMGFEPQIREVMRNLPVKHQTLLFSATMPAEIEALAQDYLTNPVRIKVGKVSSPTANVSQTLEKVPENDKIDRLLDLLVEEAAQAEKSGHPFPLTIVFVERKTKCDEVAEALTQQGLLATALHGGRSQNEREAALRDFRHGPINILVSTDVASRGLDVTGVAHVINLDLPKTMEDYVHRIGRTGRAGSTGRATSFYTDRDMYLVAQIRRAIADIGSGNDVTIAMGKTARRKEREAAAAEKEARSELSKFSLVGSTVNVEDKYRHMIAPSMIKKEGAADDAWDD >Solyc10g079960.1.1 pep chromosome:SL3.0:10:61517557:61518426:-1 gene:Solyc10g079960.1 transcript:Solyc10g079960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYFSVSLSYSLCNCLIVFYFSGYPLKKAEAYASLRKVPRPRRSNAVEW >Solyc01g096175.1.1 pep chromosome:SL3.0:1:87147561:87147996:1 gene:Solyc01g096175.1 transcript:Solyc01g096175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSVGLRGYCCFNCRNIVADHNDIINKEFLGIHEKGRGRAFLFSHAINVVEEPPYYIIMITGPHVVSDILCAECGKNLGWKYQRSFREVNKYKEGKIVLVKYNIIKV >Solyc06g007170.3.1 pep chromosome:SL3.0:6:1230005:1241119:1 gene:Solyc06g007170.3 transcript:Solyc06g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILANLTSCSLFMGSWVYDETYPLYQSSSCPIIDSQFNCQMYGRPDTDYLKYRWKPTNCEIPRMRGKTIMYVGDSLGRNQWQSLICMISAAVPRGAQTQFITGDPLSTYKFLDYGVSVSFYRTPYLVDIDSVQGKRILKLDDITKNSIAWRGVDVLSFNTGHWWTHKGALQGLVALERGLRTWARWIDANIDKSRTKLFFQGISPTHYNPSDWTTGTSTSSSTRTCYGETVPMTGTAYPGTFPDQMGVLKEVIGDMNNPPFILDITFLSAMRKDAHPSIYSGALTSEQKANPDHSADCSHWCLAGLPDTWNQLFYTALFF >Solyc05g014270.3.1 pep chromosome:SL3.0:5:8049128:8055324:-1 gene:Solyc05g014270.3 transcript:Solyc05g014270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSSSACLVRSFSQPSQERTEGDPLYRALSTSVSFGRFMSESLDWEKWSSFTHNRYLEEVGKYAKPGSVAEKKSYFEAQHKKAAAKKAALLLEQQNAAVDKSSDLNVTNQNNDHYTGNSELTEPSSCVGIEETQREEGELNVTTQIIDMESELTENGSYEGTEEALGDQDPLNVTNPTVDHCTMRFELPQNRSHMGIEEVQGNEGDNTTTCGSYPIHEEINLETTGTENSIKQSYPVENELKSLNQQENVVVVVEVSENVQQLKEKTQTKNVAMEGDSMLSTKKKPKKPLTLTTRLTTKNDSSKFKSRVKPVTALQPIATDKSAPTNRSNGKVMIDKKKSNPKSRQMSIKFFSHREETKKLMSPILEKIVNSRFVRSITKTSRDSKIQQTSTLASVSGISKRPPEAPQRANKRYRTKLDQSLSRSRKEEGELVSHSRNLKSINKHGNVACSSPTVFSPFSLRSEERAAKRREFFQKLEQKLNTKEAEKEQQQAKPKANATSSSKVLISRAKPNPSIHHERESSSNQMKKGKATSSSKVLISGAKPDPSIHQERESSSNQMKKEKTTSSSKVLTTRAKPNSSIHQEREISNNQMKKVLFSFPLTRKSPN >Solyc02g072250.1.1.1 pep chromosome:SL3.0:2:42124627:42125811:1 gene:Solyc02g072250.1 transcript:Solyc02g072250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFLSFTFLLFLLSTKSSSQSTNLDPNQLKALRSLSVPTGKDPCSPLHNSTICDSSTPFHHLISLKLINCSTDVTLSQSSLKTLSTLQDLQFINCPVSPVHFPSELSSSLQSFTCINSLKKLTSVWLIQLRNVVSLTVSHVPVSASGPSIILNSIKNLQSVTISHANLKGVVPTKWHMNLTYVDLSGNQLKGKIPSSLTELENLLHLNLSSNSLNGTIPNSFGNLLSLRNVSLASNALSGSIPKSISAISGLVHLDLGSNQLNGTVPKFISDMKGLKYLNLERNNFEGVLPFNASFIKKLVVFKVGENSNLCYNHSTLSSKLKLGIAACDKHGLPVSPPPPKEDFSDDTAEDDTPPPKHEHGPSRVVFGVAIGLSSIVFLIILLVLLSKCCK >Solyc08g062787.1.1 pep chromosome:SL3.0:8:52136987:52144636:1 gene:Solyc08g062787.1 transcript:Solyc08g062787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLLVMHSGRWNNENCYVDYSTEAIVLKEHATFRELMDLVSKQICVDLSFNIVKLKYKIEAQYQAASPNQPSDARERGPMPPVYPTSSEFHQGDHFATFQQTQSASPTNSTQDTPLVYTFAPHKAPTVTHHTPPVYTYVTAPPITKAPEFHRPDVNHYIEIEGDGKSIDAEMMNKKMKSLEDALRGLHGFDSSQSFSKSGRNTAPLEIHNNVGVRVYVSLKKDNKELPKYPICVSVFVNDCQLANRNMFEDGFEMCRPDGIDIVDTESLVFSVPNNSDNMNCDFITNAKHKVVLEDQVYKDKGTLKAVMTQYAIDHRFQWKMDRSSQTWYV >Solyc03g007410.3.1 pep chromosome:SL3.0:3:1952119:1954970:1 gene:Solyc03g007410.3 transcript:Solyc03g007410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDQNLSDLFDDSECDIFGILEALEGGGGGGGNSGITSKFNDNINNQTATIATTITTTTSDEITGLVSEEGKKRKLISQKSTGSCATLQEEETIENKISHITVERNRRKQMNEHLSVLRTLMPCFYAKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRVLPPQLVPISPRLLTPSPLSPRKPPLSPRMNLPISPRTPQPTSPYKPNANANKPPEPSPTTSSNSSIDSHVNNELAANSKSAIADVEVKFSGAGANVILKTVSPRIPGQAVKIIAALEQLALEILHVSISTIDGTMLNSFTIKIGIECQLSAEELAHQIQQTFC >Solyc03g078210.3.1 pep chromosome:SL3.0:3:51610467:51619305:1 gene:Solyc03g078210.3 transcript:Solyc03g078210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIKGKAISRAAIRKSCGSEKMEFAHKPPATLSPEEVWHRFRDLPQVHNPINIVGDVNVQFLRDISWGPDHTVRIMSKYFINLYKFHTEEWSKGKKTNNSGVWVKGSFKKMKNISGKLRKQTNKKKQQNSQSALLPPTSQSSQPCAGPPKEDSFPSTFPPQGTSLPHTKTSLSSIIHSQQNSQPPSFPLFSTSLPPSFFSIPPSGPIKNNSTTSQGRNTSLQDNIVPTPHINSSLSNRISQKVCSHQCFHHRLKLHLQNHRHVAYLGQILELIYQ >Solyc11g062130.2.1 pep chromosome:SL3.0:11:49293548:49297009:1 gene:Solyc11g062130.2 transcript:Solyc11g062130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMNQHPTVFQKAANQLHLRSSLSQDVHARYGGVQPAIYQRHFAYGNYSNAGLQRGQATQDLSLITSNASPVFVQAPQEKGFAAFATDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKASKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYRSSLDAFSQIVKNEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVLVLGKKYGSGGA >Solyc01g094965.1.1 pep chromosome:SL3.0:1:86235561:86237390:-1 gene:Solyc01g094965.1 transcript:Solyc01g094965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTDQISIAAVYSEQFRSNSGARYQRVTTYSVMKSVSDVVLASPKSPTFRSQFALRNKLLDNSWRKLAFKRSISTLKLNKTGVSNSYCCHPFLENK >Solyc03g006590.3.1 pep chromosome:SL3.0:3:1174476:1178151:1 gene:Solyc03g006590.3 transcript:Solyc03g006590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVEGGWGWPPSPSGSPMYITKDDHWTHFDNSVNAVSFGFVATAVLISMFLVMAIFERFLRPNSQALSTSRGRNLGDIESQMSFNGKLGHQTSKVSTKAREVSVLMPGEDVPTFLANPAPVPCPPERDPWPLHQQNSLPGLLNLDSNAQS >Solyc09g010980.1.1.1 pep chromosome:SL3.0:9:4333413:4333640:1 gene:Solyc09g010980.1 transcript:Solyc09g010980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEEEMCTTPKNQEYRIQTPLECPPAPKKKKNENRAAGKRYKKPKITAYFHSPDLDAFFGGDGARVLVMSPAIQ >Solyc08g062210.3.1 pep chromosome:SL3.0:8:50816625:50828549:1 gene:Solyc08g062210.3 transcript:Solyc08g062210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSKKGGPGKEGQSFAPLFVSCSSMWNSSDKAEYAPSKKVLTGVESALEHHSDIKKSECQFQDQDSTSTLSTGQSNHVEAAMGKSNTVLQNVAVHPGWGGTYEVQAESGTNASRSGESDTNTLPQPQVNHNHPMACVSYPFADTYFGRLVTAYGSNAIIYPQMVGVTSTRVALPLECTESLPIYVNAKQYSAILKRRQVRAKLEAQNKLVKDRKPYLHESRHRHAMKRARGSGGRFLNTKNMQESKPSSPMHDRNIFKRQAGGNLSSSMVQHSESGSWGTSTQSGSDVTSIFSGDNMFQQPEFRVSGFPFHIQEAEDFLHVGT >Solyc12g009200.2.1 pep chromosome:SL3.0:12:2484949:2487746:-1 gene:Solyc12g009200.2 transcript:Solyc12g009200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4DC08] MALAIHSTAFSSIPIRELPTKTFSGKFTTCMLSRKSRLYAGKEVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPESLKWFAQAELIHSRWAMLAVSGILIPEWLESLGFIDNFSWYDAGEREYFADSTTLFVVQLALMGWVEGRRWADIVNPGCVDIEPKVPHKKRPKTDVGYPGGLWFDPFMWGRGSPEPVMVLRTKEIKNGRLAMLAFVGFCFQAVYTGQGPIENLMSHLADPGHNNIFAAFASH >Solyc02g088940.3.1 pep chromosome:SL3.0:2:51494305:51504015:1 gene:Solyc02g088940.3 transcript:Solyc02g088940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMVHSSSQDTSQVLMFSQCRGGCCIIPGGFGERDVEGKILAAKYVRENRIPYLGICLGMQIAVIEYARSILGLQDANSTEFDPNTHNLWIIFMPEGSKSHMGVPCVLDQEERILESKILNLCRNRSFVDERHRHRYEVNLDMVQKFEDACLSFPGKDESDRYMEVMLQ >Solyc01g090040.3.1 pep chromosome:SL3.0:1:83601101:83610209:1 gene:Solyc01g090040.3 transcript:Solyc01g090040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding THIFHNNGFISSSSSSCFLEEDGDEKLLIMVANSWGWRIIRLERPRNDRLFLISRIHTIVSGNTEVPDAMEMIFQSALALG >Solyc10g075150.2.1 pep chromosome:SL3.0:10:58931442:58933070:-1 gene:Solyc10g075150.2 transcript:Solyc10g075150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKIACLVVLCMLITNAPHAKAVTCGQIQVGVVNCLPYLQNRGPIGGCCGVIKDLLKLCKTPHERRKSCKCVKKAANTIKGIDFGKAAGLSGVCGVKIPFEISPSVDCSKGEVTLMKEICFPHHNI >Solyc05g049995.1.1 pep chromosome:SL3.0:5:60707660:60714317:-1 gene:Solyc05g049995.1 transcript:Solyc05g049995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCHTLDEVTCDQDFASENTPITEPTIEPNLPVPAPTNEYTTSPIESNVPVLDSASLTPRRTTRSCHPPRYLKDYTYSLPKLQSSSPINNTTDSQSLTSFTNHPDHEFDLMHYKSTTSPLYPTEKLRLTEGKLLSDPTYYRKLVGKLNFLANTRMDIAYSVQHLSQFMQSPREPHLKAAYHVLRYLQHDPTLGVFINNKSDVTISAYCDSDWASCPDSRKSMSGYFVLMGDSPISWKFKKQPTVSLSSAEAEYRAIRQVVGEVVWLERLLGELSMKEPEEHMNFEEINTRFRGEELQKE >Solyc04g064765.1.1 pep chromosome:SL3.0:4:55925537:55926123:-1 gene:Solyc04g064765.1 transcript:Solyc04g064765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAKERKSLWDAEEESPNSPKYEEWGPPKADNLWQSKSRSGWSSGDNVTGTEDLRKDNYHDKSMSPAFERQSNSHSPDNGRAQSRRGRVRGNRARKHG >Solyc03g118940.3.1 pep chromosome:SL3.0:3:69186353:69188736:-1 gene:Solyc03g118940.3 transcript:Solyc03g118940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQLSIKASAEDMFVIKDTTLLKKLETEKKDAEPMCNVLEPLSDYCETKGDIRVQGNSSTIFVVSSHDFNINSWIIQPYPRKGNAGAMSRVKSWTVKLVQDGEKIPKCSVYHGYPALLFSLGGYSGNHFHDFSDLLVPIFSNSRYFNSEVHFLATDYKSWWIGKYRTLLNNMSKNKILDIDNEKKVHCFPSVTTGLKSHTEFGIDSSKFPNRVSMRDFRQFLRSSLSLNRVESIKMKDDIVTRPRLLIMSRKKSRILLNEDDVRQMAENLGYEVVLAEANLSTNLTKFAQIVNSCDVIMGVHGAGLTNMIFLPNSAVLIQLVPLGAMDYLAKRDFGDPAREMNIKYLDYKIGVNESSLVEQYPLNHKVFKDPSSYFRKGWGVFRSIYLDKQNVKVDFNRFRSTLLEAKRLLATS >Solyc10g008640.3.1 pep chromosome:SL3.0:10:2702347:2710420:-1 gene:Solyc10g008640.3 transcript:Solyc10g008640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:K4CY41] MATSESNFNEDIKKDFYIPTYILSEDASRSDDVKLPDVPTCPVLVFINSKSGGQLGGDLLNTYQSLLNKDQVFDLGKETPDSVLRRLYLNLEKLKSNGDKFAVKLEERLRIIVAGGDGTAGWLLGVVSDLKLSQPPPIATVPLGTGNNLPFSFGWGKKNPGTDQNSVISFLKKVMDAKEMKIDSWHILMRMRAPKEGCCDPIAPLELPHSLHAFHRVAPSDDLNVEGCHTFRGGFWNYFSMGMDAQVSYAFHSERKMHPEKFKNQLVNQSTYARLGCTQGWFFASLVHPSSRNIAQLAKVKIMKKHGEWQDLDIPPSIRSIVCLNLPSFSGGLNPWGTPNSNKRRDRDLTPPYVDDGLIEVVGFRNAWHGMVLLAPNGHGTRLAQAHRIRFEFHKGAADHTFMRIDGEPWKQPLPVDDDTVVVEIAHLGQVKMLATHDCRSKSVHDPSGHVNHDCDDGDSDGEEDDSVVEEQRKFGAADTFKIPEEVDISHIS >Solyc12g036350.1.1.1 pep chromosome:SL3.0:12:46350091:46350297:-1 gene:Solyc12g036350.1 transcript:Solyc12g036350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTWHTQLGIFKHREVLCMCQLGHVDDVIDSGCNTNFLRILSLCPTKFGESLFCQLSLVFLYKNESI >Solyc04g081130.1.1.1 pep chromosome:SL3.0:4:65251973:65253616:1 gene:Solyc04g081130.1 transcript:Solyc04g081130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKTSLVLWQLHLLLLLSCHDLNLANAAGGKWDLLMSNIGISAMHMQLLNNDRVIMYDRTDFGASNISLPDGKCRNNPKELALKIDCTAHSVEYDVSTNSIRPLMVQTNVWCSSGSATSDGSLVQTGGFNDGKLMVRTFNPCNTCDWQEMGDGLGQSRWYSTNHILPDGSQIIIGGRDAFNYEFFPQTASTSNVFNLPFLRETNVPREENNLYPFVFLNVDGNLFIFTNDRAILLDYTTNTIVKTYPQIPGGDPRNYPSTGSAVLLPLKNLRSQKVQAEVLVCGGAPKGSYLRATKGDFVGALNTCGRITITDPNPQWTMETMPLPRTMGDMVILPNGNVLIVNGAATGTAGWQIARNPVFSPVIYRTDNPSDSRFEVQTPNAIPRMYHSTAVLLRDGRVLVGGSNPNELYNFTGVVFPTDLSLEAFSPSYLDVEFANLRPQIISPDSHLKFTYGQRVDIRFTALGLLNRDLIKVTMVAPGFNTHSNTMNQRMLVLPRGIVRQVGRFVYEVSCVFPKSGSLAPPGYYLLFVVHQDIPSEAIWVRVN >Solyc08g065417.1.1 pep chromosome:SL3.0:8:53483272:53486716:-1 gene:Solyc08g065417.1 transcript:Solyc08g065417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLNVFCVIVEHRVSSDMDSSLAIRVNRHWQRRRNRQIEKDKKLIFFIDVYGKCPNLTCHNLTSEEAFEQIGKGTESESGKQTELLILLQILDSKEGKATTNKDLLLIHQKIEASSLNGPATYNC >Solyc08g081300.3.1 pep chromosome:SL3.0:8:64483980:64489926:-1 gene:Solyc08g081300.3 transcript:Solyc08g081300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCCCCASKRVELNGSPSFYRYPTVPEEREPLSSHHVTAAAFSNALLVDTNLDTSSPDTYRPPPMPMPYETYVGRPRTPPGNPDGIKNEAAVQETNSEAGGALSSADAAEAVDKDKKESEGNVQTADIQLDAIKEVEDELEKSDELKKSNVLVLLPPQEECPICLEEYDAENPKMSTKCEHQFHLSCILEWMERSDTCPVCDQETVFNPAIDE >Solyc01g005670.3.1.1 pep chromosome:SL3.0:1:466435:467304:1 gene:Solyc01g005670.3 transcript:Solyc01g005670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSDIQEAELSGTKEVFLSAIRYATSTCDSFPQFEDDLRTSAQEQVEFMLEDDEKIPLVIADDEIKVETRIVVSKIFSSFENELFSLILEPDIANMEMEKNIMRSLSDLEWMHNTLLKMDLMKDFVTHWSNISSNLLKVIEDKRLDSVMWDLKMKLIEVTSKVLEAVGYGTVVLPAESRVELLKTWLPYIRKMKSLSDEMEAAFPYKMSDDLCQCVEGAIVSLVSALPSNDQADILADWISAEQVKYPDLSEAFEIWCYRTKSAQRRLDEALTESTTPMPLPLSPST >Solyc01g091810.1.1 pep chromosome:SL3.0:1:85240670:85243113:-1 gene:Solyc01g091810.1 transcript:Solyc01g091810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPANSSVMGTKNICILLVLDDFTCHNIVSDMLHNQTYQVLHVGKTMDTLNAVWERKSIFNLVLTNIHRLNTNGVDILQIIKNKLNLPTIFMSPDDTRCENQVQDCSVGAYVVSFSDTNEMNKFWQMVLEKEKGRKTVVNQEENDSRLPQNVTAETSRENTTSADTDVAIKAHDLKGKRKANSEENRDIEKKRRVVWTPKMHQSFLQAIQYLGYEKAVPKKIVEIMNEPGLTREHVASHLQKYRMCIKRAQESSAASIYDQILTNDANAKCFQVQPYLSPLNFSGSRGYAHSIQQPFQNHFQQGTGGMNCPTSGQMGSFQQQNSLLDLQQADHNGLIGQHSTFMPRIAHNSNFRVYGDKRKNMLFSIQSGNENQPTNSSNSDLEFLGFRLSTDGKSVNFGHKSSSCTVIPNNAYSGLCSSISEDYIHKQQSSPKFLETPIENTLIQSSSVQPENLTFNHTSNSISQQQNLLLFDNAENTISCQIPEIPDSFIPQEQLAPQPSSKDLEDYSAILFGDDEVYVPSLENYDSIQQQYSAPELPQITLEANSLGTEIDIKSLLETTEDRSTQLFWEDNEFL >Solyc08g080490.3.1 pep chromosome:SL3.0:8:63894966:63896216:1 gene:Solyc08g080490.3 transcript:Solyc08g080490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTMIVGAISLAILFMAATVCSSRIMTITIAEDALNPQTQSCQQQFQQAQQLRSCQQFLRQRSQQYEDQQIPRDVQQCCNQLEQIQDPQCRCEGLMKVVQQEEQTGKVQGRQRQQMLQTAENLPGLCRLSPQRCEIQTVRSFF >Solyc02g077315.1.1 pep chromosome:SL3.0:2:42851584:42853603:1 gene:Solyc02g077315.1 transcript:Solyc02g077315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCLLRKQNPSMPLWLRNSIKLTNRMPSKMVSLEQR >Solyc02g086915.1.1 pep chromosome:SL3.0:2:50139584:50141548:-1 gene:Solyc02g086915.1 transcript:Solyc02g086915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSCHYFSSPLTTSTKSIKPKLNYSHFAPALSKGSIRHFVPLCSSQRSLPSESECQDKEKDWFLSLKKCAAAVALSVSLISGLPGSQWLGPAHASTPALPDVSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKIAGVKALDSVERNTRQASRALKEGKTLIISGVAKSKTDHAIELLNKLEAGLGELQQIVEDRTRDAVAPKQKELLNYVGG >Solyc03g115650.3.1 pep chromosome:SL3.0:3:66771853:66774683:1 gene:Solyc03g115650.3 transcript:Solyc03g115650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A-1 [Source:UniProtKB/Swiss-Prot;Acc:Q9AXQ6] MSDEEHHFESKADAGASKTYPQQAGTIRKGGHIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDTLLAQVKDGFAEGKDLVLSVMSAMGEEQICGIKDIGPK >Solyc11g020355.1.1 pep chromosome:SL3.0:11:11090983:11091275:1 gene:Solyc11g020355.1 transcript:Solyc11g020355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVLNRRVDIRVDQMVKAGLVDEVRQIFIPDADYTKRIRQSIDVKRFND >Solyc03g026050.3.1 pep chromosome:SL3.0:3:3481034:3482366:1 gene:Solyc03g026050.3 transcript:Solyc03g026050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRSTCEPLAVGRVIGEVVDSFSPSVKMKVIYNGRKQVSNGHEIMPAVVATQPRVEIGGEDMRSAYTLIMTDPDAPSPSDPYLREHLHWIVTDIPGTTDISFGREIVCYETPKPVIGIHRYVFLLYKQRGRQTVRAPATRDQFNTRSFSAENGLGSPVAAVYFNAQRETAARRR >Solyc03g071720.3.1 pep chromosome:SL3.0:3:20615216:20618902:1 gene:Solyc03g071720.3 transcript:Solyc03g071720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVCAKMSRPFATAPLVVPVAHSLLPRHLRLSNLPLPSLTATTDTDIFRRRFFSPYNFRSVPGPVMAGLGGFDVIGDGGSMVQDAGATALVIAGAYAFVSTFDLLSERKLIEQNLSRKLVHILSGLLFMASWPIFSVSGRARYFAAVVPLTNCLRLVIHGLSLATDEGLVKSVTREGKPEELLRGPLYYVLVLILSAVLFWRESPVGVISLAMMCGGDGIADIVGRRFGSTKLPYNKQKSLVGSLSMFVVGFLVSIGMLYYFSALGYFQLDWVSTVERVALVSFVATMVESLPITGMVDDNISVPLVSMVVASLAFAY >Solyc02g078590.1.1.1 pep chromosome:SL3.0:2:43819489:43820778:1 gene:Solyc02g078590.1 transcript:Solyc02g078590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIKRRSLYAIGSCFLLFLLAYNSSTVFYVQVPVPGNSSPEISSFLTENVARKSRKLVSLSTSAKRSSSAIYAVKEETPLVKPNTRLIISRKNPNMVGFSYDSEAYQPRRRKKKKSLVKGDRINVFSMRMKEFFGSKASNSSCKFRFFMTWISSIESFGERELFAVESLFKAHPNGCLVIMSTSMDSPRGMQVLNPFLEKGLRATAISPDFMYLFKNTIAQAWIDNLMKGNIDPGEVSLGQNLSNLLRLGLLYKFGGIYLDTDVIVLKSFGKLRNVIGAQTIDVETRNWSRLNNAVMIFDKRHPLLYKFIEEFALTFDGNKWGHNGPYLVSRVVSRVSGRDGYNFTVLPPMAFYPVDWNRIGSLFLGPRNETQSKWLLLKLQQIQSGSLAVHLWNKQSRELEVEEGSIIQHIMSDCCVFCNSYSSKL >Solyc09g059700.2.1 pep chromosome:SL3.0:9:55371253:55375061:-1 gene:Solyc09g059700.2 transcript:Solyc09g059700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRNTTRIITRGTKYTLHNMVEIPSFDLGITQIKTQISGYTTAMELHKKSKDEIVRTPSKRKVGSTVKAIQKNCYKRRKVKNVVSDMIGEHAVNEAQNEVNEELKGIRFHVLARPIKPPRMQVWGGNDDAAAKFAILYFICTFIYSGEKKSSSILRIHFNLVESSRYHEYPWAKDVFYKLLKSPCKFGYECCSAVDSNIAVKKSNRIPRIVNWMTRNSRIHYEFLMEGMFSDNSNPLKFKNIEPTLKEIAFYQLESKSNANTENTFQIVSDKDDDEDDDFTSKPPSHRPHNKEKGKQKAYVLRSTLIKKSNLHAGSRLKDKRPTVLNGCRKTKSTILNFHSNPLEDNVSVQEMHNCPDDSANRTPPRISKEPQETKADEIGLLRQDLASFKNYVNNEFKELQLFIMGNFRQVMDTLNRSCRESGAPHQEDATESPSHVPNWSNNNQISNVMDKPHCDANEVRTPRFVLQEHVKINVNEYLQPIQIHIQDPLTNHNIQQPQSQIELIDALLPDIDAINPKKNDVVHSEVVVHPEGVVYDTTPVPLKRIRHPYRLTGDDNVIQNDGIQQTQSQFELLDALLPDIDTIYPKKNVVVHSEVVVHSEGGVYDNTPVPVQSIIHSDQLICSPYSTNIGSSSGSSYDVVKTYEKKHPFVSDFIQGPYNYDLFDDYCLWLSEGLLVSHMNKMHGEDRYKNKKAKLAVDMDLGIHRI >Solyc07g065120.3.1 pep chromosome:SL3.0:7:67149629:67157360:1 gene:Solyc07g065120.3 transcript:Solyc07g065120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMRSVLCLLVLCCSVAFVAAQRSNNVTSKWKTLSGDAPKVIARGGFSGLLPDSSFNAYMLAQAISSADWVAWCDVQLTKDGVGICFPDIKLNNASDIDTLYPNRNNNYSVNGIPQTGWFSIDFSIKDLAPVSLKQGVYSRSPRFDGTPQQILTVQDVATQVKPPGLWLNIQHDSFYSQHNLSMRSFVVSLSRSVIANYISSPEVNFLRSIASRLNPRVTKRVFRFLNEDDIEPSTSQTYGSLVKNLTFVKTFAAGILVPKHYIWPTDSSLYLQPHTSVVSDAHKEGLEIYAADFVNDVPFAYNYSYDPVAEYLSFIDNGEFSVDGVLSDFPMSPSASVDCFSHLGANDKPQVTLQIIAPQGASGDYPGCTDLAYTKAASDGADIIGCPVQMTKDGIPFCLSSINLIDTTTAAQSPFSDIATTAPELQITDGILTINLNWSDIQTLKPVISTRYSDFRLSRNPKARNVGKFMSLADFLTFSKTANVSGIMISIENAAYLAKQGLGVTDAVLDALSTAGYNNQTARKVMIQSNDSSVLEEFKKSSYELVYLVDDNISDIKNSTILEIKTFAKSVVITKKSVFPSEDAFIIGQTNIVQKLQSANLPVYVRLFNNEFISQAWDFFSDSSTEINNYVLGAGVDGLVTEYPGTAARYRRNRCLAYKNLPPYMSPVQPGSLLGLMTVQSMPPVEPPSPVLDVSDVTEPPLPPVAKINPSNDDGSTARAPTTPPNGQSSVVASILMSSVAVLLAIFMVY >Solyc08g066510.3.1 pep chromosome:SL3.0:8:55301590:55303644:1 gene:Solyc08g066510.3 transcript:Solyc08g066510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4CLM7] METPDFFQTGYYNSSEKQLISDVKNGEHFVVDDLLDLPNDEGMATDDTLDLTVIGNSTDCSVVHNSCNSSLSGSNHHPQSLGYRDFPQGHLSTEFALPYEDMAELEWLSNFVEESFSSNEMHKMQMVQAMRNRTDSEIHQFIPDPNRASATSNTIFKPEMPVPAKARSKRSRMAPGNWASRLLVVSPNTTNPDSSMDTISVQDMSSSSESGMIIPSSGKKTVKCSSAPKKKENNIHHVPSNNTGSNSEGRKCLHCATDKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFMLTKHSNSHRKVLEIRRQKEVTQVEHQHQHQFLPHNMMFDVSNADDYLIHQHMGPDFRQLI >Solyc04g050430.1.1 pep chromosome:SL3.0:4:47012054:47012418:-1 gene:Solyc04g050430.1 transcript:Solyc04g050430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMEMSHCGKEFFSIIQKEESDLRTLLNISDKYTVLFLQGESILVIKALKEPKRERKKMKNIKHNGNISPDVVIEIAKVMQPRSMANDFS >Solyc04g077930.3.1 pep chromosome:SL3.0:4:62884772:62885153:-1 gene:Solyc04g077930.3 transcript:Solyc04g077930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYSNQNRNEKTQKKFVPKKEMQASQTLANSFRQSVSIKSEGSTNADNSSSAGSSAGEVKSRVRMGESGAWVPAAIPSGKFVDYLRVHWILWNRSVWWMF >Solyc01g090290.3.1 pep chromosome:SL3.0:1:83827427:83836923:1 gene:Solyc01g090290.3 transcript:Solyc01g090290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEQKQAEHIDFFVKQASALKGSALTTVIVEATSHPSLFAFSEILSVPSVLELGGTENSVYLDLLRLFAHGTWSDYKSIAGCLPQLASAQALKLKQLTVLTLADTSKELPYDQLMQELDVTNVRELEDFLINECMYVGIVRGKLDQLKRCFEVQFAAGRDLRPGQLGNMLQTLTDWLTTSDNLLVSIQEKIKWADTMSESDRKHRKEVEERVDEVKKSLSLKKLQTVSRPTLTSEGMRRSTLNLVE >Solyc11g044480.2.1 pep chromosome:SL3.0:11:32829561:32849849:1 gene:Solyc11g044480.2 transcript:Solyc11g044480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMSRKVVPACGSLCFFCPSLRARSRQPVKRYKKLLGEIFPKSQDAEPNDRKIAKLCEYASRNPLRIPKITEYLEQRCYKDLRNEHLGSVKVVTMIYRKLLSSCKEQMPLYAASLLGIIRTLFEQTQHDEMQILGCNTLVDFINSQMDGTYMFNLEGLIPKLCQLAREVGDDDRALRLRSAGMQTLAVLVWFMGEQSHISIDFDHIITATLENYIDFTVNLENGQDSKQSQPSEQWVQGVLNSDDHSSSFPDMSKKVSTSPNIMNANTTSSIETAKSPSYWARVCLRNMALLTKEATSVRRVLEPLFHSFDTENYWASEKGLACSVLMHLQCLLEESGENSHLLLSILVKHLDHKNIVKQPDIQISIVNVVTHLVESAKEKASATIVGVINDLIKHLRKCMQYSTEASSPKDGLNTSNSNLQSALEKCILQLSKKVADVGPILDMMGMVLENIPASAVAARSLIAAVYRTAQIVSCIPNVSYYRKAFPDALFLHLLLAMAHTDHETRAVAHHIFSTVLMPPVSPLSSLHSRNSSQSILVQSPRKLAKVRTKSFSVQDGKGSRDGEVGEVNEDVSRHSHQSGDSRSQSESCDFKDALPDRKSEFTSLRLSSHQVSLLLSSIWVQATLTDNTPSNFDAMAHTYKIVLLFVRSKNSSHMALVRSFQLAFSIRTISMDKEGGLQPSRRRSLFTLASYMLICSARAGNLAELSPVVKSSLTDEMVDPYLKLGEDLRLQTGSGSETYGYGSQEDETAALRSLSAVELDDEKFKEIVMLHFTSKCGTLSEDELSSIRKQLLERFEPDDAYPLGIPLYMETPHPCSPLAQIEFETFDEVMGPPSLIDEETISDANGSQSGRKTSLSINSLDILSVNQLLESVLETARQVASYPTFSTPIPYDQVKNQCEALVTGKQHKMSTLQSFKMQQETKALISYNENDRKNPSLPKMDMVLHQDLQLTTVDSTHAQNSHSCSREYGEQSFRLPPSSPYDKFLKAAGC >Solyc01g007260.3.1 pep chromosome:SL3.0:1:1819144:1823385:-1 gene:Solyc01g007260.3 transcript:Solyc01g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGGCTVQQALTSEAASIVKQAVVLAKRRGHAQVTPLHVANTLLGSSSGLLRSACLQSQTQTQSHPLRCKALELCFNVALNRLPTSSSSSSSPMLLVNPYQNQSQSQSQHQHPSISNALVAAFKRAQAHQRRGSIIENHHHHQQQQQQQPILGVKIDLEQLIISILDDPSVSRVMREAGFSSTQVKTNVELMCNTTSPSSNFKENNNNHIVKASCNKVSSSTPNSFLHVKDEDVMSVVESLMNKRRKSIVIVGEYIGNLEGVIKGVMDKVDNCFTIDQSLKEIKLISVPLSTFANITRVEVDQRIGELTCLVKSLVTKGVILYLGDLKWIIDYRANNNNNNNNFGYYCPVEHIIMELGRLICSIIGENGKFWLVGIATFQTYMRCRSGHNSLESIWGLHPITVPTGSLGLSLNSESDTQLELRSKASESEMILDSVDDDDQENQLTCCGDCSSKFKVEALRLQQNNASNIESSTLTTSSLPSWLKEERQRLNSTHHDQNQKGVSVEQLWKKWNSICNSSHKKTKTFERSLTFPTSTSPSSIINSPFLDQEEQTWRGGNDTTTREPSLRIYIPEHSDSDNPRNAFSSNSTSSIDQIMEMEYNISMFKEFNLENLNILSNALEEKVSWQKEAIKEISRTILECRSRMIKRSNGEAKEETWLFFQGHDVQAKEKIARELARVVFGSYSRFISIASSSFSSMKLDYYKRSRDEQSCSYIERFIQALCSNPHRVFFIEDVEQMDYCSQRGIKKAIERGKITNSSCEEVSLSDAIIILSCDHESFISSRSSRGVSPNGDGLDNEEMKSISPNCVSLDLNISIDHGDHEISVDDIRLIESVDKCIMFRNQEM >Solyc06g011277.1.1 pep chromosome:SL3.0:6:6240074:6248117:1 gene:Solyc06g011277.1 transcript:Solyc06g011277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILTIAAPVPGRPPEEAAAAAAPRCLEACSSGAAPSRSLQQQRCAVRQQARRSQDNSQQIDELKKELCKSFSMKNLGHAKQILGMIITRLRDKRKIYLSQKNYIERVLDRFNMKNVKFVSTPLAGYTKLSKKLCPTTIEEKENMAKVPYSSVIGSLMYAMVCIRPDFRGSYFMEVEVVEVCCTIYN >Solyc11g072480.2.1 pep chromosome:SL3.0:11:55961098:55966981:-1 gene:Solyc11g072480.2 transcript:Solyc11g072480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGLLNFFTFLASIPILSGGIWLSSRANNTDCLKFLQWPLIIIGVSIMVVSLAGFAGSCYRNTFLMYIYLWAMFFIIAALIGFVIFAYAVTDKGSGRPVMNRAYSEYYLQDYSGWLEQRVSSQNYWVKISSCIRDSRSCGKMRRIYNGAPESVEMFYLRKLSPIESGCCKPPTECGYVYQNETVWVPGGGLVGADPDCVKWSNDQEQLCYNCDSCKAGVLASLKKSWRKVSVINIVILILLVIMYMVAIAAFRHNKRIDNDEPYGETRMEKAQPSRIHF >Solyc08g077590.1.1.1 pep chromosome:SL3.0:8:61676091:61676615:1 gene:Solyc08g077590.1 transcript:Solyc08g077590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKCRPVVIAPSNLLLNWEAEFQKWAMDIPFHNLNSKNFFLKEDEGTVGVFHCLSGAAKKNLHLIWMVKLKSWAKSKSVLGINYDLFRILIGEDVEGYNKELREILLKFPSLLVLEEGHTARNEHSLVWKALKKVETEKRILLSGTPFQNNIKELYNTLCVVSPKFAADLEQK >Solyc03g122050.1.1 pep chromosome:SL3.0:3:71527133:71531249:1 gene:Solyc03g122050.1 transcript:Solyc03g122050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSYHNFSRARNPTPASPFASDNDRSWQGELSWQFDPTGWRDNRNLSAALSPWTAADTSFTSAPVGSRIFRRSANDYYLSRTNGVFQNFINPSYDHSYSGFQPSGRLELQSFDSRANENSYTSRNHVSHEYKGKPRKSPRLTTITEGTSAGKSGPLAVKDELQSIDYDRIEDFERQFQVDGSNAYNHGIVRDHHGHVNHMGHSFNTDTSDFDVKCVDHVYEEQSHHVQHSRQSNLHLYGNDLYDDTDKNHAVHDEEDDTAAPKPVGLLSLFKYSTKLDIVLLLLGCIGALINGGSLPWYSYLFGNFVNKIALEKDKDQMVKDVGMVCVLMTGLSVVVMVGAYLETTCWRLVGERSAHRIRTKYLRAVLRQDIGFFDTELNTGEIMHGISSDVAQIQEVMGEKMAHFVHHIFTFINGYAVGFRRSWKVSLAVFAVTPLSMFCGLAYKAIYVGLTLKEEESYRKAGSIAEQAMSSIRTVTAFVAEDYLDAKYVESLQKSGRLGAKVGFAKGAGIGVIYLVTYATWALAFWYGSILVAKGELSGGAAIACFFGVNVGGRGLALSLSYFAQFAQGTVAATRVFEVIDRVPEIDPYSLEGRRLSTIRGKVEFKCVTFAYPARPTVQILQSLNLVVPASRTLALVGISGGGKSTIFALIERFYNPLQGKSYYLGWP >Solyc02g068090.3.1 pep chromosome:SL3.0:2:38706986:38711554:-1 gene:Solyc02g068090.3 transcript:Solyc02g068090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSIANLFSFFNPSKPPTPKAPTLQFSPSAVDSLTSSRPNNDSSSMVVSSNDNHKYPILPVSSSDSDVMAVTCPSLAYANTLYFRSAYNVQVIVGDNEPEEKLLGRFRREVMRAGVIQECKRRRYFENSQDEKKRRTRDAARRNRRRRGPPRNFSDDKQETTKSKRDDDGEDNWELPDGGVAF >Solyc10g007450.2.1 pep chromosome:SL3.0:10:1799950:1803163:1 gene:Solyc10g007450.2 transcript:Solyc10g007450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKCTKIYLFVVVFHLLIVLSYEEQQLNSCNIFEGSWIYDESYPIYDSLKCPFINQGLNCIKNGRKDKFYLKYRWQPNDCNLSKFNGENLLKNFRGKNFMFVGDSLSSNQWQSLACMLHAAVPNSNYTFDSTKDRSVLSFPEFNFTVTFLKDGFLVDLVVEKVGRVLKLDTLSQTEQWKGVDVLIFNSYHWWIHTGRQQTWDYFQVGDKLYKEMDHMAAYKIALTTWAKWIDSNVDPAVTEVFFQGISAVHARGKDWDEPQVKDCSGQTKPIEGSSYPGERYPGEAVVKSVLSNMTMPVNLLDITLLTQLRKDGHPSRVASGALNDCSHWCVAGVPDTWNELLYTMLLQK >Solyc04g080850.3.1 pep chromosome:SL3.0:4:65002212:65008992:-1 gene:Solyc04g080850.3 transcript:Solyc04g080850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSEEGQVIGCHKVEEWKVQLQKGVETKKLVVVDFTASWCGPCRFIAPILADIAKKMPHVMFLKVDVDELKKVAEEWNVEAMPTFVFIKEGKEVDRVVGANKDGLLQTIEKHGAAPAVVTA >Solyc05g016430.1.1.1 pep chromosome:SL3.0:5:16238012:16238422:-1 gene:Solyc05g016430.1 transcript:Solyc05g016430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKRVKFMKSMKKSSWILTVSKNKINKGNTEATINKNTKKSANQEQLVHYFHVFLKNQCLRRNVLIESLRMTRFPRWEYWKLNLVNKRCTRLLKSGEIFEIDIENRFREPSVCMVAHGENSLMSVGTCRIWFRTC >Solyc05g023720.1.1 pep chromosome:SL3.0:5:29081532:29082145:-1 gene:Solyc05g023720.1 transcript:Solyc05g023720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHTIAIHIKKQITRSISVLLMIYILTRTSISSAYPIFVPQAVLPDTAFEAVVRIPYDMQLKQVLANGKKGGLNIGAVLILPEGFELAPPDHISPEMKEKIGNLSFQSYRPNKTNILVGGPVPGKKYSEITFPILSPDPATKKDVHFLKYPIYVGGNRGRGQIYPDGLWYELI >Solyc01g112220.3.1 pep chromosome:SL3.0:1:98183751:98186238:-1 gene:Solyc01g112220.3 transcript:Solyc01g112220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCFSSNSQSPSHFSSGISSQTTTTTSSSTVSNVSGNSQFSAASGVDEVYGQILPHPNLRTFSFTELKTATRNFRSDTVLGEGGFGKVYKGWLDDRASSRTASATGTVIAVKKLNSESLQGLEEWQCEVNFLGTLSHPNLVKLLGYCWEDKELLLVYEFMQKGSLENHLFGRGSAVQPLPWNIRLQIVIGAARGLAFLHASEKQVIYRDFKASNILLDGVRFFFFSPGTLSCLSQSYNAKISDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVQTGHLYVKSDVYGFGVVLVEMLTGLRALDTNRPSNQHNLVEWIKPHLSDRRKLKDKMDSRLEGRYPSRAAVQIAQLALSCLGPEPKTRPGMKEVVEKLEQIEAANERPKEPRITSRHQTAYRYGQPPLHHRSSLHPRNDVNRAYPLPKRAS >Solyc01g005650.2.1 pep chromosome:SL3.0:1:455415:457261:-1 gene:Solyc01g005650.2 transcript:Solyc01g005650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVDPHFVYYIGMDSDHEFYDMYSADEEEDSNNVDESNIVTYEQKNYKILKDFDIRQLVKEDISKISAILSVPRDVSLALLRRYNWKVDRANEEWFANEQEVRKSIGMFLCDNDNNNYVPVSKKSKKNDNIVNCGICFEEYNDVVYATCRKHPFCKLCWEKYIGASINYSGPNKCLALRCPDPSCEAMVGESIIVELASEIDKSKYYDYLFRSYIEENKKTKWCPYPGCECAIEFEIGSDDYSVISKWKYVNEEESANTNWFRTFTKKCPECDRSIEKNMGCMHMTCRCGYQFCWLCFKEWGVCASRCNRYEEKKEVTNAKKKIERYTHYYERWLSNGKSKDKALNDLKEMKEEGLKKLSDDNSLHKEELGFIIEAWKQIVECRRVLKWTYAYGFYLPKEEEAKTQFFEYLQGEAEAGLERLHHCVEKDLMKHLGSDEMDYTDKGSYKKFANFRSRVIGLTKITRNYFEKLVMALENGLEDVTNNPTKKIEQPMSSNSTSNNIMWMCDRCTFLNEDIHTVCQMCIED >Solyc01g110990.2.1.1 pep chromosome:SL3.0:1:97328484:97332162:1 gene:Solyc01g110990.2 transcript:Solyc01g110990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AESELKKLEYLSLISKVCSELEAHLGFGDKVLAEFITELGRSCLTVDEFDDKLKESGAEMPDYFVRTLLTIIHAILPPSAKSKSEKESNKDGNDSEFSALKIRDNRDRVKELEKEIELEARSKRRDEKGEERDRRREGDRDYRRERGRDRRDRDRGRDRDRDDGRVERRASERRRDRDGDGDVYAKGSRDDYEQDGDDDRRDRRKSRHHVDEPELYAVYKGRVSRVMDSGCFVQLNEFRGKEGLVHVSQLATRRVSNAKDLVKRDQDVFVKVISISGQKLSLSMRDVDQNTGKDLLPLKKSSDGGGLTTNPSGMNNEGSKTGIGLSGIRIAEQEDVVPSRRPVKRMSSPEKWEAKQLIAAGVLGVHEHPMFDEEGDGMLYQEEDGVDEELEVELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKAVTFGQRSKLSLQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAATSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLWREILIDDNLSQYSVIMLDEAHERTINTDVLFGLLKQLMKRRPDLRLIVTSATLDAEKFSGYFFDCNIFTIPGRTFPVEILYTKQPESDYLDASLITVMQIHLTEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHSEMSPTAVPEIQRINLGNTVIMMKAMGINDLLSFDFMDPPTPQALISAMEQLYTLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDFGCSDEILTIIAMIQTGNVFYRPREKQAQADQKKAKFFQPEGDHLTLFAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGRNFTKIQKAIGAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKTADPTKLTKRKRQERIEPLYDRYNEPNSWRLSKRRA >Solyc10g076240.2.1 pep chromosome:SL3.0:10:59177450:59186771:-1 gene:Solyc10g076240.2 transcript:Solyc10g076240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSFFLLHVLVMFSLASMALSDSLSPSFYNHVCPEALPAIKRVVEDAVRKERRMGASLLRLHFHDCFVNGCDASILLDKTATIDSEKTAIPNNNSIRGFDVIDKIKSEVDKCCGRSIVSCADIVAVAARDSVVALGGPTWEVPLGRRDSTTASRTKANNDIPPPTLDLPALINSFKKQGLNEKDLVALSGGHTLGFAQCSTFRNRIYNDTNIDSTFASQRKANCPRSGGNTNLAPLDPTPALFDSKYFSNLVSKKGLLHSDQALFNGGQTDNLVKKYSTNLGSFSKDFAESMIKMGNIKPLTGNQGQIRVNCRKFPGILMLGDLKEVPKLLYGLKQAPRQWNVKLTEALIRLRSTRLGILFSSKNNKQLKVYCDSDWGACLHTRRSVTEFMIKLGDSLISWKSKKQGMAFSELSDDFYHHICPKALPTIKRVVVDAVRKERRMGASLLRLHFHDCFVNGCDASILLDQTSTINSEKTSRANNNSARGFEVIDKIKSEVDKVCGRPVVSCADILAVAARDSVVALHGPSWKVKLGRRDSTTASRTAANNNIPTPFMDLPALIKNFKKQGLDEKDLVALSGGHTLGFAQCFTFRNRIYNETTIDPTFRRQRQANCPRSGGDSNLAPLDPTPALFDSKYFSDLRSKKGLLHSDQALFSGGKTDDLVEKYSKDLGMFSKDFAQSMIKMGNIKPLTGKRGQIRVNCRKVN >Solyc03g063520.1.1.1 pep chromosome:SL3.0:3:36858655:36858906:-1 gene:Solyc03g063520.1 transcript:Solyc03g063520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHRLEASVTVVALPHYCCWFGTATVSPVMEELVATACFIVSVFYVIGPRRWRRIRIKRKEWKRKERGSNSTEKEIGRSDDG >Solyc10g077133.1.1 pep chromosome:SL3.0:10:60126244:60127373:-1 gene:Solyc10g077133.1 transcript:Solyc10g077133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLEDNQVFDILRLFSFFSIIVIFLLSILEYVGCQNISHPPYVIVAKDGSGNYSSIMAALFACPNNSISLYYIQIKQGIYEEYVQIDSWKTNIVFLGEGMDRTIITGNKSYGGGIGTYDTATVGVDGRGFIAQDIAFRNTAGAVNYQAVALRASAECITFYRCQFDSFQDTVYTHNGKQFYRECVILGTIDFICGDATAVFQSCLIEIRKPLKGQYVVITAQQRNNNGQTGLVLQNCTLKLATPDAGDNVAMYLGRPWGNFSRTVIMQSYIDIFVDHKGWIEFGNMPIVQPYYLEYQNKGVGADTKRRVKWASTTNDPRIVSNFTVRNFINGDEWIPSTVPHYLDLM >Solyc02g071780.3.1 pep chromosome:SL3.0:2:41696450:41702639:-1 gene:Solyc02g071780.3 transcript:Solyc02g071780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSNPKKSFASDALITTLSNSIQALGRGFDVTSDIRLLYCKGAPGSRLVHLDEENRVDLLHPDGGILLPNVTVDIECSQELRTIEATPVFTFHEMAKYFNAISNIFGDVPLGSFNSMFNFTGSWQQDAAATKSLAMIGHVIPLFTVRLVKFDLLLRDEIKRAVPYSWDPASLASFIENYGTHIVTSATIGGRDVVYIKQHQSSPLLVSEIENYVNDIGEQRFSDSKNLSSAGPLRYKDKDVTVIFRRRGGDDLEQSHDKWASTVETSPDVINMTFTPIVSFLEEVPGIKYLSRAIELYLEYKPPIEDLQYFLDFQIARVWAPEQNNLQRKEPVCLSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGDKQNRLSVNLQHLVSLPKILQPHWDSHMAIGAPKWKGPEEQDSRWFEPIKWKNFSHVSTAPIEHTETSIGDLSGVHIVTGAQLGVWNFGAKSVLHLKLLFSKVPGCTIRRSVWDHSPSNLSAVQRIDGSSTSLQNENKKGDTSSQTGKLAKIVDMTEMSKGPQDAPGHWLVTGAKLGVDKGKIVLRVKYSLLNY >Solyc11g066840.2.1 pep chromosome:SL3.0:11:52942624:52944868:-1 gene:Solyc11g066840.2 transcript:Solyc11g066840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVTSLSHELCIGVEVKAGQTLKVKPELFKLIHISQAAIGEVKDVKEAKYVPLRLTVGDNKYVIGTLSAEDRPQLMFDLVFEKEFELSHGWKNGSVHFMGYSADDPSSEEIDSGDDVFSDEENVMEALNGKLEADVKDVKPDAKKSAPAKDEKNAKVAESKKETESDEDDDDSEDDSDDEDDSEDDSDDVPLGMDGPEGMDLSDDSEDDDDSEEDDDSEEETPKKVEQKKRPAPSPKVAPGSGKKAKQATPDNKSGGKKGPATPSAKQNGKPAFNGNNKPKTQSPKSGGQFSGNKSNNKNFSGQKNFKGKQGRK >Solyc06g036310.3.1 pep chromosome:SL3.0:6:25952961:25954070:1 gene:Solyc06g036310.3 transcript:Solyc06g036310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVVLKLEYVDEKVKQKVMKKVSVVEGVESMSMDTKDKKLTVVGNVDPVVIVSKLKKLCHTEIVLVGPAKEPEKKKDNEPKKTEDKKKAAAIKAYPAPVLYQYQYQQPYPQYPTPVPAYQHYYSVEEDSNSCVIC >Solyc12g094410.2.1 pep chromosome:SL3.0:12:65611929:65621489:1 gene:Solyc12g094410.2 transcript:Solyc12g094410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDSVSCSSGGLLELPQNRTRKRREKVEVFQEVIRRLRESNDEESNQPGFEDELWAHFTKLPLRYAVDVNIERAQDVVMHKNLLQMAHYQNPAPAVEVRLVQIHRISDGNPGDSVHSTFSRRGGVQSIDHHGSMHPPPAFGLSPSTELALGTNKLYVQDGNSAVSGNSLSFRPLYEITISTVDKPKLLFRLTSLLSEIGLNIQEAHAFSTKDGYSLDVFVVDGWENEETDQLRSVLVKEISNMEVKVIDRLNATLKQPGLNQDLLFPKMKFVQTGINIIANHVDTPSNGSEAWEIDNALLNYEYKIATGSTGDLSVKMSTSNQEYNIVTHYGLTSFRIYRYKGSFHNQEVAIKVLKSECLNEDMRRDFAQEIYILRKVRHKNVVQFIGACTKPPRLCIITEFMSGGSLYDFLHKTKGFFRLPVLLKVAIDVSKGMSYLHQNNIIHRDLKTANLLMDENHVVKVADFGVARVQVQSGVMTAETGTYRWMAPEVIGHRPYDRKADVFSFGIVLWELLTGKLPYEFLTPLQAAVAVVQKGLRPTIPANTHPMLVDLLEKCWQQEPLLRPEFSEILDILQDMTKKVLAMSFHVSTFFDRIQESLFNQYVIETLSGPALVEIDQSEQEEEGEKVMVEVEKLQSAGATLPADLKTSIFAKRPIGTPRKTPTGSTPRSSAFSRVISEKEKEGGITIDHLHKLNQKNISAWNMKRLMNMVRNGVLSTLDEKLPQSTFEDDTAVQITSEKKAKAAAKQIFNNVAKPGSKFIYLEDLMRFMKEDEASKTMCLIESGTETKGISKRALKNWAVNAFRERRALALSLNDTKTAVNKLHQMLNVLVAIIILVIWLLILRVATMHFLVFLSSQILLVVFIFGNSAKTTFEAIIFLFVMHPFDVGDRVEVDGVQARNSSVSKLFLRYDNQKIIYPNSVLSTKPISNYYRSPDMGDSVDFSIHISTPMEKIAMMKEKITRYIENRSDHWYPAPMIVMRDVENMNGIKWSVWLSHTMNHQDMGERWARRALLIEEMVKTFRELDIQYRMLPLDINIHNLPPLSLTRAPSNWTTCA >Solyc11g028180.1.1.1 pep chromosome:SL3.0:11:20650594:20650851:-1 gene:Solyc11g028180.1 transcript:Solyc11g028180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPGPPLSSTRVPISAAKNLESPPARRRRLLHASTWTTFILHYSATPVSQSLRLRRTRKAVTLFSQSLTQASGEVRGHKSPGNY >Solyc06g053720.2.1.1 pep chromosome:SL3.0:6:36672152:36672667:-1 gene:Solyc06g053720.2 transcript:Solyc06g053720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKNNNVEDYGNEDDGLIMCSWPPRCYTCSFCKRGFKSAQALGGHMNVHRRDRAKFLMMRHHSPPPTTNDKPRCSLLNLKTNPNPNIPPSSTSPPPSPSSSRKLSHCSHGGANSHEIMRKCVAIPNLKSSTTTKDSLCMRDKEFVRLDLQIGLFTESKQELDLELRLGYT >Solyc06g065945.1.1 pep chromosome:SL3.0:6:41449129:41452810:1 gene:Solyc06g065945.1 transcript:Solyc06g065945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTNPNFFYLMDLDDEGCLRNVFWADARSRAAFNSFSDAVAMDTTSLRNKYGIPLISFVGLNNHGQPVLLGCGFLGHDSAEYFIWMFKSWQTCMLGRHPQVILTDQSKSLQIAVSKVFPRACHCYCLSYESIKMQLYKAVYNSLKITEFETSWGQMISQHGLKDSKWLQSLYEDREKWVPVYLKRYFLHGNHANKGKREFFDGYVHKHTSFKEFVDKYDLALQRKYLKEAMADMESRSSSFELKTKCRFEQQLSRIFTKEIFKQYQKEVRGNAFLLFITRQVNIAGPIMTFIVKERNPKEIRQFEVLYETTQVEVRCICSLRYSITTHPTALNNPVELYNHLHKHVMQVVEEGAQYMAVLQELQALLNRFPYRWQFGFTVMFDMEQFNAEL >Solyc02g090580.3.1 pep chromosome:SL3.0:2:52761929:52766639:-1 gene:Solyc02g090580.3 transcript:Solyc02g090580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFMYVRSSSTSLNFLFLFILLFKIHLKFTVKTSKPPFSYQILKKMNNRFRQPPHPKKVALNPQKEKKMEIQQNKFLSVEKPRVNRRQAAKERKLALLQDVDKLKKKLRHEENIHRALERAFYRPLGTLPRLPPYLPKYTLELLAEVAVLEEEVVRLEEQVVNYRQGLYQEAVSICSRRITDDNHLSDPCPQLHGKVSKQRHSRSFSMSEVNLGSSVPHSSLCLDRSASSRKLFLKESVFGSSRISYDVISNSRQAVMKSCNDSLIDGLRKENHSAESCTKDKPSPQKQVIKTSLVRPPVKPESISKIADVRVQCRVAEQAQESYLASLDERESEAESTENKISEDILKCLSSILLRLSTSKGKITNPESFRSLGAKVLNESNGERELQDPYCICSELRKQDIGEYRYILNIDANSVNLNRKMNASFLIHRLKILLDKLSSVKLEGLTHHQKLAFWINTYNSCVMNAFIEHGIPETAEQIVSLMQKATINVGGHFLNAIMIEHFILRLPYHLKYTCSKSAKDNELKVRSVFGLEWSEPLVTFALSCGSWSSPAVRVYTASQVETQLETAKRDYLQAAVGISATNKLIIPKLLDWYLLDFAKDLDALLDWVCLQLPDELRNQTMKCLERRGREPLSQLVQAMPYNFSFRYLIHQ >Solyc08g045880.1.1 pep chromosome:SL3.0:8:15861306:15863448:1 gene:Solyc08g045880.1 transcript:Solyc08g045880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISCDWKTPGTTCLFSAAITASFPSVFSWRKERWAKSCGGWWAGVEPLAPMSLKQRFKSEKEIPFFELPNHQLEGFRGLHLPITGPKKRLSVAPLESKPFEVPVVVAVATPTLSFLSLRIPIFKSSQQ >Solyc05g006060.1.1.1 pep chromosome:SL3.0:5:806698:806871:1 gene:Solyc05g006060.1 transcript:Solyc05g006060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDQCRTKRKLQNIRWSTSSIHAIRALLSSIDKFVRFIILQRKICTIRWIMHETYSK >Solyc12g007310.2.1 pep chromosome:SL3.0:12:1700810:1705531:-1 gene:Solyc12g007310.2 transcript:Solyc12g007310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILPMASSIRPSLSSLKFSGSARFSVSHFSHNSTRKFTYCHLGTAVPQVQSFGLKASKLLREKGGCLSISAAGNMTHASTASTQENVLEWVKQDKRRMLHVVYRVGDLDRTIKYKYLDVPYFACLVLFNCHGHLFLYPLFSLSVIVCRFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDQYDIGSGFGHFGIAVDDVSKTVELIKAKGGKVTREPGAVKGGKTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLERAISFYENAYGMKLLRTRDNPEYKYTIAMLGYGPEDTSAVMELTYNYGVTEYDKGNAYAQIAIGTDDVYKTAEAIRLCGGKITREPGPLPGISTKITACLDPDGWKTVFVDNIDFLKELE >Solyc01g111660.3.1 pep chromosome:SL3.0:1:97779722:97781067:1 gene:Solyc01g111660.3 transcript:Solyc01g111660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLSPFAHNALILFPLIQIDSEAKMSKEVIEEGQVQQHGKDYVDPPPAPLLDFAELKLWSFYRALIAEFIATLLFLYVTVATVIGHKKLNGADKCDGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVGYIIAQCLGAICGVGFVKAFMTHPYNALGGGANFVQSGYNNGTALGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIADNKNVWDDQWIFWVGPFVGALLAAAYHQYILRAAAIKALGSFRSNATN >Solyc06g005870.1.1 pep chromosome:SL3.0:6:883115:883439:1 gene:Solyc06g005870.1 transcript:Solyc06g005870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRSSQSCFSPFLQVVSSDEGGELW >Solyc04g080010.3.1 pep chromosome:SL3.0:4:64394239:64396376:1 gene:Solyc04g080010.3 transcript:Solyc04g080010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BV80] MTIPEKIKKPHVAFLPSPGMGHITPLFEFAKRLVIHHGFHVSFFVITTAASAAQNELFRPENLPADFTAVEIPPVENISSFLTDDMKVVTQLCIMVRESLKHLPSLLMKNRPKALIIDLFCTDAFEICEKLSIPVYSFFTASTILMAFSLYLPTLDSEVEGEFVDLPETIQTPGCSPIHPHDILDQVKDRKNEEYNWYLLNVSRLPLAVGIFVNSWDDLEPVSLKALRENLFFQNIPLPPVYTIGPLIKQDEVVTEQDAEILAWLDDQPPDSVLFVVFGSGGTLTSEQLTELAWGLELSQQRFILVARKPSDASASAAFFNVGSDENDPLLYLPEGFVRRTEGRGLVVPSWAPQTLILNHRSTGAFLSHCGWNSTLESITNGVPITAWPLYAEQRMNATFLAEEAGVALKFSKNPGEELVDRNEIEKNVRIVMEGEKGKDMRRRAKELKESAKIALNSGGSSYESLCSVVEFWKSQ >Solyc04g007520.3.1 pep chromosome:SL3.0:4:1207133:1223958:1 gene:Solyc04g007520.3 transcript:Solyc04g007520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGYRKSNKRNLVTIQNGNHSPLNIDEELDPWTAWAYKPRTITLLLIGACFLIWASGALEPQSTSSSDVTTSVKRGILAMVAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVALTFLLFQRRDDARQFMTFLHPDLGIELPEKSYGADCRLYVPENPTNRFKNLYDTLFDEFVLAHILGWWGKAIMIRNQPLLWVLSIGFELMELTFRHMLPNFNECWWDSIILDIFICNWFGILAGMRTVRYFDGRTYEWVGISRQPNIMGKVKRTLGQFTPAHWDKDEWRPLLGPWRFLQVLTLCVIFLTVELNTFFLKFCLWIPPRNPLIVYRLVLWWLLAIPTIREYNSYLQDRTPVKKVGAYCWLSLAICIVELLICVKFGHGLFPDPMPNWLVIFWTCTGVGLVLCLAAWSWQLHRTMRKKQQ >Solyc09g015225.1.1 pep chromosome:SL3.0:9:8233451:8244484:1 gene:Solyc09g015225.1 transcript:Solyc09g015225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQSFVDADLGGDVESRKSTFGYIYTIGGTVVSWMSSLQKCVSLSSTEAEYVAIAEAGKEMIWLADYLDELTVLKFYAKANHRQTEFEQKLYVSVTLNDEDEATFGFGRIKAATLRLCYIEHEAAVGLRRIKSSNSSSMLH >Solyc12g055890.2.1 pep chromosome:SL3.0:12:62834923:62837319:-1 gene:Solyc12g055890.2 transcript:Solyc12g055890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPGGKIPFVSQMKFISESSETRLACYRVLDNNGSTISGSVFEQVKKGLAVKIYRNMVTLQIMDNVFDKAQKQGRLSLYMATVGEEVINIASVAALTTHDIVLPQYREPGVLLWRGFTLKEFTNQLLGKKDDNGKGRQMPIHYGSNKLNYFTVSSTLATQLPQAAGVAYALKMDRKEACVVTYFGDGDFHAALNFAAVLETPVIFLCRNNGWAVSTPVNEQFRSDGIAIKGQAYGVQSIRVDGTDALAVYSATRAAREMAIKEQKPIIVEV >Solyc11g069810.2.1 pep chromosome:SL3.0:11:54731458:54741136:-1 gene:Solyc11g069810.2 transcript:Solyc11g069810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHEQDPDVVRWGLQLFDSDPYSNCAYSGAMPQNSLDYYQDHYFKGDHFSTESGNEENHNFNAQRLQEELSQLSVAEPPSILHQMEEHTQAHFYPQDWFGQPIGNYNIGEENCDEEETNDGASTSCSSPGEESYTGEDWSYSLELTDEYDLDGEVGKRLNQMIPIPHIPRINGEIPSIDEATLDHQRLLDRLQVYELVEFKVQGDGNCQFRALSDQFYRTPEHHKFVRQQVVNQLKSCPEIYDGYVPMAYHDYLNKMSKDGEWGDHVTLQAASDSYGVKILVITSFRDTCYIEILPTIQKSERVIFLSFWAEVHYNSIYPVRDITAVEMKKKYLAISNEQLESQDGYQ >Solyc06g084440.3.1 pep chromosome:SL3.0:6:49588811:49594086:-1 gene:Solyc06g084440.3 transcript:Solyc06g084440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIRIRSRDGLERVTIDNPHATIAQLKSQIESQLRVPVQSQTLSSNQNLLLAKTPDDWSRFTDMDNPHTPISSFNLTHGSILYLAYQGERTIPGPTVQPAGSFGRKMTMDDLIAKQMRVTRQENPHCELVSFDRDAANAFQHYVNETLAFAVKRGGFMYGTVSPEGKVEVHFIYEPPQQGTEENLLLLRDPDEEKLVDAIAIGLGMRKVGFIFTQTISQDKKDYTMSTAEVLQAAELHSEGDLKEWVTAIVKLEVNDDGAADVHFEAFQMSDMCVRLFKEGWFETDVKDEIDPKLSKMKKDVVVGVKDTREVDNDFFLVVVKIADHQGPLSSSFPIENRIIPVSMNALKDHFNRTKSLSFVKRISDFHLLLLLAKFLDINADVPALAECVLTQSAVPEGYKLLIESMASAS >Solyc07g017900.3.1 pep chromosome:SL3.0:7:8273104:8284832:-1 gene:Solyc07g017900.3 transcript:Solyc07g017900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSTSEKPLVELTKGANGLEKVILREIRGSSAEVYLYGGQVTSWKNDQREELLFVSSKAIFKPPKAIRGGIPICFPQFANRGPLESHGFARNRFWSIDKDPPPFPAATSSRAFVDLILKPSEEDLKIWPHSFEYRLRVALSPAGDLMLTSRIRNTNTDGKPFAFTFAYHTYFSVSDISEVRVEGLETLDYLENKERFTEQGDAITFESEVDKIYLSTPTKIAILDHEKKRTFVIRKDGLPDAVVWNPWDKRAKAIADFGDDEYKHMLCVEAAAVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPKRVLLGS >Solyc01g088020.3.1 pep chromosome:SL3.0:1:82744193:82758049:1 gene:Solyc01g088020.3 transcript:Solyc01g088020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVKMVNRSASAAFAPEAPYLAAGTMAGAVDLSFSSTANLDIFEVDFVSDDQQLKLTGSIPSSERYNRLSWGKCQSNSEEFSYGIIAGGLVDGNIGLWNPKPLISNGSEAIESALVGNLSRHKGPVRGLEFNGFTPNLLASGADEGEICIWDIAKPSEPSHFPPLKGSGSSTQGEISYVSWNNKVQHILASTSLNGTTVVWDLKKQKPVISFTDSVRRRCSVLQWHPDVATQLIVASDEDGSPALRLWDMRNILSPVKEFVGHTKGVIAMSWCPLDSSYLLTCAKDNRTICWDVVSGEIVSELPAGTNWNFDVHWYPKCPGVISASSFDGKIGIYNIEGCGRAGDGEGYFGSAPLRAPKWWSKKKSGVSFGFGGKLVSFGSADGPTGPTEVHVHSIVTEQGLVTRSSEFETAIQNGEKTSLRVFCEKKFQESESSGEREIWGFLKVMLEEDGDARTKLLSHLGFSLPVEEKDTMQNDISEQVNALALDENLSGKEAANNENLMHVLDNGEDFFNNLPSPKADTPVSTSVNTFDVGESVDVKDSQPEMDVQEESADTSFDETVQRALVVGDYKGAVAQCISANRMADALVIAHVGGASLWEQTRDQYLKTSHSSYLKVVAAMVNNDLMSLVNTRPLKSWKETLALLCTFAPQDEWTSLCDTLASRLLAAGESLPATLCYICAGNIDKTIEIWSRSLAGKADGKSYVDLLQDLMEKTIVFALATGQKRFSASLCKLLEKYAEILASQGLLTTAMEYLKLMGSEELSPELTILRDRIALSTEPAKDALKSMAFDNSQLHTGSGYVADQSGYGMADPSQHYYPEQPSKPQPSISNSPYAENYQQPFSSSYSGFGAPVPYQPAPQQNIQQPNMFLPTPTPPVPQGNIAPPPVATQPAKTSFIPSNPPALRNVEQYQQPTLGAQLYPGPANPGYAGGHNVPPAYVPHPSQAGPALGQKMPQVVAPSQAPRGFMPVNNPVQRPGMAPMQPPSPTQPPQAQPPAAPAAPPPTVQTVDTSNVPAQQKPVIATLTRLFNETSEALGGSRANPAKKREIEDNSKKLGALFAKLNSGDISKNAAEKLVQLCQSLDNGDFSTALQIQVLLTTSDWDECNFWLATLKRMIKIRQSFR >Solyc04g074470.1.1.1 pep chromosome:SL3.0:4:60539581:60540510:1 gene:Solyc04g074470.1 transcript:Solyc04g074470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKPIVTIFILLFSLFVLFPNPSLANSRILALVKEKPLVLKYHKGALLKGNVTINLIWYGKFTSVQRSIIVDFIQSLSPKTKKIKSPPSVASWWSTTEAYKGGASVISLGKQIFDEKYSLGKYLKDPQLESLASKATRVNSIAVILTATDVGVDDFCMNRCGMHGSTRLKKAGKFAYAWVGNSASQCPGQCAWPFQKPIVGPQITPLVAPNGDVGVDGMIINLATVLAGTVTNPFDGGYFQGPGNAPLEAVSACTGIFGSGAFPGYPGMVLLDKKTGGSYNAPGMNGRKYLLPAMWDPKTSKCKTLV >Solyc12g036670.1.1.1 pep chromosome:SL3.0:12:47724996:47725739:-1 gene:Solyc12g036670.1 transcript:Solyc12g036670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKNLPPWSYHKHWNERYKGDFTNLVRVLLKLFLLLFYLVWPLPSQTNKTIYYVAIVVSATPKGPSLTLFTFHLFFLFSLHSLPEKNKMERLALHSPSSATSAAAAATTSFSRLSYQHLRSRSFAIPTAALRQVSSLRSSNSGSRFNLTGPRFNLFHPKPFLFNPLSKPTSRNPPSPKPITASSSPESDKVVIVDVKPKTQGAKLIPLIISVSIGLIVRFLVLRPSEVCSPFSSQQSLVWSSAHCQ >Solyc09g014555.1.1 pep chromosome:SL3.0:9:6195250:6196206:-1 gene:Solyc09g014555.1 transcript:Solyc09g014555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYKGDSFFSCKAEGEKKISKQVIESIDHVKKVLTLKEFEGDLVNKYDNLKITLHIETKGEIDLLCWTMEYERPNENVPELIKLLGFIVDMTKAIDDHHETWF >Solyc06g075010.3.1 pep chromosome:SL3.0:6:46678724:46684047:-1 gene:Solyc06g075010.3 transcript:Solyc06g075010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTPLLHIDSRRGISLQLLKPSFSIHFLLIMASANGMSTASILSSSSKQVGLKGRRVNQLQGQKFNNKASKSRLVVRANAKDIAFDQKSRAALQAGIDKLVNVVGVTLGPRGRNVVLDEYDTPKVVNDGVTIARAIELADAMENAGATLIREVASLTNDAAGDGTTTASVLAREIIKHGLLSVTSGANPVSLKRGIDKTVNALVAMLERRARPVKGRDDIKAIASISAGNDDEIGTMIADAIDKVGPDGVLSIESSSSFETTVRVEEGMEIDKGYISPQFITNQEKLVVEFENARVLVTDHDISAIKDIMPLLEKATQLRAPLLIIAGEVTGEALATLIVNKLRGILNVAAIRAPGFGQRRKALLQDIAIVTGAEYQAADLCMPVESTPVEALGFARKVTITKDSTTILADDVSKDEIQARISQIKKELDMSESVSDSEKLSERIAKLSGGVAVIKVGAATEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSNYVPAIKDNLEDPDEKLGADIVQKALVAPASLIAQNAGVEGEVVVEKIKGSKWKMGYNAMTDKYEDLVEAGVIDPAMVTRCALQNAASVAGMVLTTQAIVVDKKMPSAPAFAPPQGLPMM >Solyc04g011350.3.1 pep chromosome:SL3.0:4:3828823:3838209:-1 gene:Solyc04g011350.3 transcript:Solyc04g011350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFRAGSSVAKLAIRRAVSQGGSYVPRTRIIPSQSRYFHTTVVRPKAQAAPVPRPVPLSKLTDSFLDGTSSVYLEELQRAWEQDPSSVDESWDNFFRNFTGLAATSPGISGQTIQESMNLLLLVRAYQVNGHLKAKLDPLDLEERDIPDVLDPVSYGFTEADLDREFFLGVWRMAGFLSENRPVQTLRAILTRLEQAYCGSIGFEYMHISDRDKCNWLRERIETPTPREYNRERREVILDRLMWSTQFENFLATKWVAAKRFGLEGCETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFTGGTKPADGAGYVGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVIGKTRAKQYYSNDVDRTKNMGILLHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPKAGRSSQYCTDVAKALSAPIFHVNGDDVEGVVYACELAAEWRQTFHSDVVVDIVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSSLEIYQNKLLQHGQVTKDDVEKIHNKINTILNEEFVASKDYVPQKRDWLSAFWSGFKSPAQLSRVRNTGVKPEILKDVGKAITSLPDDFKPHRAVKRIFDDRKKMIETGEGVDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGAKYCPLDHVMMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVLWEAQFGDFANGAQVIFDQFLSSGEAKWLRQSGLVVLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPDMEPTLRKQIQECNLQVVNVTTPANYFHVLRRQIHRDFRKPLIVMSPKNLLRHKDCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEDIRRLVLCSGKVYYELDEERKKVEGKDVAICRVEQLCPFPYDLVQRELKRYPNAEIVWCQEEPMNMGAYHYIAPRLSTAMKALNRGNVDDIKYVGRAPSAATATGFYQVHVKEQTELVQKALQQDPISSPV >Solyc03g083900.3.1 pep chromosome:SL3.0:3:55252966:55256897:-1 gene:Solyc03g083900.3 transcript:Solyc03g083900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLLVHRLCCIALFFTLCFAADPFANFELEYSYITASPLGVPQQVVAVNGKFPGPILNVTTNYNVVVDVKNKLDEELLVTWSGIQMRRTSWQDGVLGGRNFTYQFQVKDQIGSFFYAPSINMQRAAGGFGSFIVTNRNIIPIPFSPPDGDIVIIIGDWYTQSHKSLRKDLDDGKQLGMPDGVLINGKGPYRYNTTLVPDGIDHETINVDPGNTYRVRVHNVGVSTCLNFRIQNHNLLLVETEGYYTSQQNYTSVDIHVGQSYTFLVTMDQNASSDYYIVASSRFVNQTIWQKVTGVGILHYSNSKGKAAGPLPDPPNDVYDTSYALNQAMSIRQNVSASGARPNPQGSFHYGQINVTDFYLLKSVPPVSIDGKLRATFNGISFKNPATPIRLADLYYVKGDYKLDFPTKPMNRPPKVDTSIINATYKGFIEIVLQNNDTVVQSFHMDGYSFFVVGMGFGEWTENNRGSYNRWDAISRSTTQVFPGGWTAVLVSLDNVGVWNLRAENLDRWYLGQETYMRIINPEDHSNKTEMQKPDNALYCGALAYMQKYDFISLLLLHIVLETESIFSDNPIWKLGVIFYIVVGTLYCHQSCILVYHFSW >Solyc04g056290.3.1 pep chromosome:SL3.0:4:53918785:53931679:-1 gene:Solyc04g056290.3 transcript:Solyc04g056290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLDYYSNNKSLADADENLLTCLADLFMQISSQKKKTGVIAPKRFVQRLKKQNEIFRSYMHQDAHEFLNYLLNELVDILEKEASPTKSDQETSSPTEKNANGPTSVHDNGDKKEPLVTWVHKNFQGILTNETKCLRCETVTARDETFLDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADAEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYERVPNGSTS >Solyc12g096620.1.1.1 pep chromosome:SL3.0:12:66436313:66437455:-1 gene:Solyc12g096620.1 transcript:Solyc12g096620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFLSKFSFFLLLIIITLFFHSSHCDVLTRCHITSLFQFGDSIADAGNVIRIPGAVISAQAWGLPYGETFFHKPTGRFSDGRIIADYIATALSLPFLNPYMDKSGVSFSQGANFAVAGATAMNNSFLEERGIGHVPFNVPLPSQLEWFKSHLQSTYGSKYSTTLRNSLVVLGEFGGVDYWNALAGNKPEPEVRTYVPFIIDGIISAIKEVIQLGSTRILVPGVFPFGCLSSYLTRFADTNPNAYDQYGCLKFYNDFATYHNIELKKALENLRCEFPRVKIVYGDYYGGFRLVFRYASWLGFNPSTLVSACCGSGGRYNAGGCSSASTNVCPNPSQYVNWDGLHLTDEAYHRISNVVINNMLPKFGCYGLRNSSALSSY >Solyc02g080990.2.1 pep chromosome:SL3.0:2:45601932:45619362:-1 gene:Solyc02g080990.2 transcript:Solyc02g080990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPYPSGAGLHVGHPLGYTATDILARFKRMQGFNVLHPMGWDAFGLPAEQYAIDTGTHPKITTLRNISRFRSQLKSLGFSYDWDREISTTEPDYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVIDGVSERGGHPVIRKPMRQWMLRITAYADRLLEDLDDLDWPESIKEMQRNWIGRSEGAELDFVVINGNSQEEEKRITVYTTRPDTIFGATYLVLAPEHPFLSSLVSEAQSKHVEEYREHAFRKSDLERTELQKEKTGVFTGCYAKNPANGQAVPIWVADYVLGSYGTGAIMAVPAHDTRDFEFAMKYTIPISWVVRPDDSNCGNFEKPYSGEGSMINSSYSESGLDINGLPSKEAASRVVQWLEKSGNGKKKVNYKLRDWLFARQRYWGEPIPVIFLDDTGEGIPVPETELPLTLPELDDFTPTGTGEPPLAKADSWVITKDPLSEKPARRETNTMPQWAGSCWYYLRFMDPKNSSALVDKAQEQYWGPVDVYVGGAEHAVLHLLYARFWHKVLYDIDAVSTKEPFKCVINQGIILGEVQYTACKDDEGNLISADSVDELAEYKQERIPEEKVMKSGDLFVLKDNPNIRLIARAHKMSKSRGNVINPDDVVLEYGADSLRLYEMFMGPLRDSKTWNTSGIDGVHRFLARSWRLVVGSASPTGSYLDGTVTVDEKPSIEQLRSLHRCIDKVTEEIEGTRFNTGISAMMEFINAAYKWDKLPRSIIEAFVLLLSPYAPHMAEELWSRLGHSNSLAYEPFPKADTAYLKESTVVLPVQINGKTRGTIQVEETCTEEEAFRLASFDTKLSKFLDGKSIRKRIYVQGKILNIVIDMPKKAKVAQQ >Solyc06g074280.2.1 pep chromosome:SL3.0:6:46060548:46062206:1 gene:Solyc06g074280.2 transcript:Solyc06g074280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKRAAQNGDINAFYGIIEENPSILKEIDALEFVETPLHTAAACGNTAFAIEMLSLMPSFGRKLDPRGYIRSRERLTPLHYVTAQTDNVELLGEFLLACPTAIKEVTIRGETAVHLAVLSNKLPAFKVLMGWLYRTNNKRILDWKDNEGSTVLHIAVETSQVEVVKILAKEQAYVNATNRYDKTALDIVEDQITFSASNDNPNQVYVKIKKILDKVGAMTTSELPKKFTMADLLQDIPHFTDLTNVIRSHAAAIVDSRKGLTEDARNAYLIVTTLLVTASFQAVLSPPGGGWETKDDDTNNNNKTSLVMPAKTTNSSIHKHSFPSVRGPKKGLFYPFLIMNSITFALAVALTFALLPFHVENTALLLWSLVSLMVTYSMSVILISPNHNGEWVIAAACSFAALFGVHGFFIFSKLTQVAGSMWIPIPCVANFIRRTCTRCLERYRMERKVRLSKYNS >Solyc03g112565.1.1 pep chromosome:SL3.0:3:64435538:64443476:1 gene:Solyc03g112565.1 transcript:Solyc03g112565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFDHRFQRTDQWKPVYSWLETLDTDEVVKSKEIIDWLTENSDVREQLNSRHSRYHLMHYIKKCHMKILKRKEKKKGPGFTKNVSVAEVKKSEDVKKLGPVQNTDYNFSNAVPKDTDLYKAKQTEALQKYEILVELEKQLSMHFPKPGNIGNKEN >Solyc08g065197.1.1 pep chromosome:SL3.0:8:53092254:53095725:1 gene:Solyc08g065197.1 transcript:Solyc08g065197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKKICVTLASTLKKIVDSDGDFEDLPSQFQSKSLKNKDGLEKKRPVNDGKTRNHLPKSVILPESRYSDNEYQISNRDFCLVESGKFNSYQWGLDIYKNLSDSMRHELKSTHKYYRIGGFPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKNIVASEDEVSKFRLPETRDYHAEILKLEPKGSSHGLDILTNEVIELRKELVKVNENNKALEEKIDLGFNQIKEFVVNSNKQLLEDISLLFSKSGGSSSVIREVKEPSKKHAGETFSGNDAHVMGSNQNEESQVLKATVRFVDVENFERVSSKIDVAAIAIEKVLSEVVADINVQEVAYMNTVGAKPDCNIFETKHPFLYATGGDDESDLIDSFTKWLYTGTKKRGKKPYTDALNVINPTFELGICTVDERLWFFKLAHSGQQWCDERDKSSGYCEKDETCNQVLVILASILFF >Solyc05g005170.3.1 pep chromosome:SL3.0:5:159158:162275:1 gene:Solyc05g005170.3 transcript:Solyc05g005170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLSFRSVTFMFMVTFLVWSSLNVEFCNARRGKHWRQHGTTSSVSLYKKKGKNHGSNNHHKSGGKPKPKYASPPPISPPTKGYDNVPSTNLFDVTNFGAVGDGKTDDTKAFQAAWAAACKVEDSTMIVPSKYVFLVGPISFSGPYCQHNIVFQLDGTIIAPTDAKSWGSGIMQWLEFTKLVGITVKGSGVIDGRGSVWWQDTPYDDPLDDELKLIIPLNKTLLRHPLTPINSSLSGKMPSIKPTALRFYGSFNVTVTGITIQNSQQCHLKFDNCIGVLVNNFTVSSPGDSPNTDGIHLQNSKDALIRSSNIACGDDCVSIQTGCTNVYVHNINCGPGHGISIGSLGKDNTKACVSNITVKDIIMKNTMNGVRIKTWQGGSGSVQGVFFSNIQMNEVQLPIVIDQFYCDKSKCKNQTAAVALSDINYAGIKGTYTVKPVHLACSDSMPCQDVTMMNIQLKPIQERYHMYDPFCWQTFGELYTPTQPPIECLQVGKPTSNKIQADHDQC >Solyc07g021007.1.1 pep chromosome:SL3.0:7:15314209:15314509:1 gene:Solyc07g021007.1 transcript:Solyc07g021007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFMHPHPKSTGMHSNKYSVIELPLLNTLFFGQNSVSWSSNKQRTIARSSTEVEYRVVASALAETDW >Solyc09g091010.3.1 pep chromosome:SL3.0:9:70839990:70842309:-1 gene:Solyc09g091010.3 transcript:Solyc09g091010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIGQWNGLKTTINRGDGGLLHLHLPLNPSPSPLLFFTQKPISLNPLNPLSSHLLLQKQQQPLHICCSRPSSKWDSNAESIKNQNFNNLEDEEEELDEEEFLEQGAQFFAEYIESIWIFKVFCSYGFVLIPILIVLISTGGAKAFAMAFALPIGQSTLFFAIQKILDVIQNKPTRKSTAKKRQRAAPNSSKTNFWRRGGSSKTRKRKTGYQSWVSNSDVSASKDDREVSRFGGWDELDQEMQSSKSSDKSSAETAKIPVEKGKLSRPEATSDTPLLLRLLISMFPFLASWTKML >Solyc03g065310.1.1 pep chromosome:SL3.0:3:43440722:43441006:1 gene:Solyc03g065310.1 transcript:Solyc03g065310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALKVYWFVSVHHLHQIRRKVDFSCTTFSDEGDYILIDHIFISIRMYYYV >Solyc08g067840.3.1 pep chromosome:SL3.0:8:56936775:56945065:1 gene:Solyc08g067840.3 transcript:Solyc08g067840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATALLSSPPLPSSSNHSILSTPLKKQSKILWNQKKCGKFRVCSEFCKEPTSQYGVSRRDLTFIALSSSLSLIFPFSGFTAEEELKMGSSVDDINAYSYLYPIEIPSKKFAFKWVETRKSERYSSAAPLSPDARLRIVSERVDIIDNLIISVSIGPPNSQFLKSKEKSTWSAKDVADSVLSDKSALRVTSTQRLAESSLLDAHASEIDGESYWYYEYLVRKSPTKAAALEPNLFRHYVASTAERDGYLYTLSASTLGKQWEKMGPSLEKTVASFRLLPPTESYVPPYKDPWRFW >Solyc01g016390.3.1 pep chromosome:SL3.0:1:19403447:19405851:-1 gene:Solyc01g016390.3 transcript:Solyc01g016390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPPTLPITRKQSSFPPPPLQIRDYNSPRMSELRKSLFSPSLRTPTFRSNRKSLLFLAYVFTFLFVTCATFFVFNNPSINHSFRKILNSRRSHFSTIFSHYFHSDHQNSTLYSLPFTNNDSSISHNGFIAISPSQRNDYSENGLQSSIKNDPTSKIEEEEIQKVNLLLNSEKNDHVLKNEGKSSRKREEEERQNVNVLSNSDKDDQVLKNEGKSSSKREEEERQNVNVLSNSEKNDQVLKNEGKSSCKREDKETSNSEKNDKFLKNEGKSSSKREDKDTSNLEKNDQVLKNEGKYSSKKEEEERQKENVLTNSEKNETRKEAWWEVMNHCDVFDGMWVKDDANPMYEPGSCPFIDEPFDCYQNGRPDNGYQNFRWQPKHCSIPRSCFGNVLFLLFRLDAKQMLELLRGKRLVYVGDSLNRNMWESMVCLLRNSVEDKNRVFEVSGREDFKKEGAYSFIFAVSFIISLVFPSH >Solyc08g082020.3.1 pep chromosome:SL3.0:8:65055211:65062494:-1 gene:Solyc08g082020.3 transcript:Solyc08g082020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVVGKRSESAVTTIVNLAEEAKMASEGVKAPSHAAIFSVCKSLAAGGIAGGVSRTAVAPLERLKILLQVQNSHSIKYNGTVQGLKYIWRTEGLRGMFKGNGTNCARIVPNSAVKFFSYEEASKGILWFYRQQTGNEDAELTPLLRLGAGACAGIIAMSATYPMDLVRGRITVQTDKSPSQYRGIFHALRTVFVEEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLVKTRPFGLAQDTELSVMTKLGCGAVAGTIGQTVAYPLDVIRRRMQMGGWKNAASVVIGDGKTNAPVEYSGMVDAFRKTVRHEGVGALYKGLVPNSVKVVPSIAIAFVSYEVVKDILGVEMRISD >Solyc01g097160.3.1 pep chromosome:SL3.0:1:87982566:87989548:1 gene:Solyc01g097160.3 transcript:Solyc01g097160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSACNNPLLSSSFLHQPLKSSRFPNVSIFFPIISNPITPNRCIPIKTTIKGSMESRNPTKGRSTSPRKFKPRYGTSRRSTIKKSLSQEQVNFTKPIPDDPVVGIIGGGMSGLTCALYLEKRGIRSTVFDTGIHGLGGRMGTRMIDHQPLIFDHAAQFFTVTNPKFAELVDDWLKRGLVREWKGTIGELEVGGNFVPFPSSPPKYIGVNGMRPLADSLLSQTSLVNVVRPCWVSALEPFNGTWHLSEKGKPCGQFDAIVIAHNGKCANRLLAASGLPLIAKQMKRLELSSIWALLAAFEDPLPTALNAASLPFEGAFVKGVESVSWMANNTKKLLASDSGPQCWTFFSTATFGKQNKVPQESIPNATGEKVKEAMLEGVEKALGLSKSSLQKPFYTKLQLWGAALPTNTPGIPCIFDPRGRAGICGDWLLGSSLEAAALSGIALADQIADYFEQGGPCSDEFAVGLHDEYKPLEGHDIGQFPGLDTVDQITNVPALTLAT >Solyc08g063080.3.1 pep chromosome:SL3.0:8:52695590:52700729:-1 gene:Solyc08g063080.3 transcript:Solyc08g063080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-sulfoquinovose synthase [Source:UniProtKB/TrEMBL;Acc:C0LIR3] MAHLLSTTCSLDVSSSKKLHFKSINQCSTPNPTCFNMGISFSPLKLSLQSKRSAKYVIRASAVSMSQEARTQNDSGSQQTTDGASTRKKVMVIGGDGYCGWATALHLSNKGYEVAIVDNLIRRLFDDQLGLDSLTPISSIHNRIRRWKSLTGKDIQLFVGDICEFEFLAEAFKSFEPDAVVHFGEQRSAPYSMIDRSRAIYTQHNNVIGTLNVLFAIKEFREECHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPYPKQASSFYHLSKVHDSHNIAFTCKAWGIRATDLNQGVVYGVRTDETAIHEDLVNRLDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVEIAIANPAKPGEFRVFNQFTEQFSVNELAALVTKAGQKLGLEVKAISVPNPRVEAEEHYYNAKHTKLIELGLQPHLLSDSLLDSLLNFAVQYKDRVDTKQIMPSVSWKKIGAKPKTVAA >Solyc12g087810.2.1 pep chromosome:SL3.0:12:64289464:64300984:-1 gene:Solyc12g087810.2 transcript:Solyc12g087810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQTKFLLFAGLAHHVGLIKSPQCISLTEMLQRYKSHVEAEKEISTEIQVAEHSKYSGFITMGKLLQTTERQLEETNDDVLTVTDLIHLENELQTALIQLRSRKTHLLLESAKGLHEKEKLLQEEKKHLEDNIASIKKNTKVNEMSTDFADFPAPHSISGQQRITLNFL >Solyc01g100050.3.1 pep chromosome:SL3.0:1:90026314:90037767:1 gene:Solyc01g100050.3 transcript:Solyc01g100050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLEEEKFKESVHKLEQESGFFFNMKYFEEKVHAGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKQDKAKAVEILVSDLKVFSTFNEDLYKEITQLLTLTNFRENEQLSKYGDTKTARSIMLIELKKLIEANPLFREKLVFPTLRSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCTPPNGAVAPTPVNLPPAAIAKPAAFTALGAHGPFPPAAAAAANANALAGWMANAAASSSVQAAVVTASSLPVPPNQVSILKRPLTPPATLGMLDYQSADHEQLMKRLRPAQSVEEVTYPTVRQQASWSLDDLPRTVAFTLPQGSSVTSMDFHPSHHTYLLVGSTNGEITLWEVATREKLVAKAFKIWDVQACTLTFQASASKDAPFSVSRVAWSPDGTFVGVAFSKHLVHLYATVGTNDLRQHLEMDAHAGSVNDLAFAYPNKQLCIVTCGDDKLIKVWDITGRKLFNFEGHEAPVYSICPHQKESIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGHWCTTMLYSADGTRLFSCGTGKEGDSFLVEWNESEGAIKRTYSGFRKKSAGVVQFDTTQNHFLAVGEDSQIKFWDMDNINILTTIDADGGLPSLPRLRFNKEGNLLAVTTADNGIKILGNPAGMRSLRTVEAPPFEALRSPIEAAAIKQGSGSSVPNATPVNCKVERSSPIRPSPILNGVDSVPRSMEKPRILEEVSDKAKPWQLTEILDQAQCRLVTMPESSDSNNKVARLLYTNSGVGILALGSNGTQKLWKWTRNEQNPSGKATANVVPQYWQPNSGLLMTNDIVGINLEEAVPCIALSKNDSYVMSAAGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRITGLAFSTNLNILVSSGADAQLCLWSIDSWDKRKSVPIQLPAGKAPSGDTRVQFHADQVRLLVSHETQLAIYDASKMERIRQWVPQDALSAPITYAAYSCNSQLVYASFSDGNIGVFDADTLRLRCRVAPSAYLSQAVLTGSQSVYPLVVAAHPQEPSQFAVGLTDGTVKVIEPLESEGKWGVSPPVDNGMLNGRVASSSTANNHAADQVQR >Solyc11g017270.2.1 pep chromosome:SL3.0:11:8143569:8147178:-1 gene:Solyc11g017270.2 transcript:Solyc11g017270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRYAPNIVITIFLSFSLQIVFSQLPTNQINVMRSVYDLFQNDTGDSFVWNGTDKASTPCSWKGVSCNSDNSSLTKVTFSLFSISSSEFLPFICQIGTLESLDVSLNFLSSIPNEFITVCGGISGLKLLNFSGNRLEGFLPTFTGFGKLESLDFSYNSLKGKVDLQLNGLNSLKSLNLSSNRFNGSVPTSLGKFNLLEELHLSANAFQGEFPTQIVNFGNLTLIDLSLNKLSGVIPDRIGELSKLQVLILSANKLSGTIPQSLRNITTLTRFAANQNYFVGNIPFGITTYLRNLDLSFNTLNGTIPQDLLFPMNLQFVDLTSNKLEGPVPSNMSINLIRLRLGQNALSGSFPSASFESLQSLTYLELDNNQLTGPIPSELGKCQKLALLNLAQNKLSGVIPVELGDMSNLQVLSLQSNNLVGEIPSNISQLNRLQKLNFSSNSLTGSIPSSLSSLRSLTNLNLQGNKLSGRIPVDISNLNVLLELQLGGNQLSGPIPDMPLSLQIALNLSHNLFQGPIPSSFSRLTSLEVLDLSYNRFSGQIPEYLAGMKGLTRLVLSNNQLSGVVPKFGSFVIVDTDGNGVLIYPSPVAPPQAAAKKRKSIVVAVVVPIAGVATIAIFVVIAISISRRYYRINDEHFHSGVQISQSPVVQGKVLTANSIHKSNIDFTKAMVAVSEPSNVVFKTRFSTYYKAVMPSGTTYFVKKLNWSDKIFQLGSHELFGEELRNIGKLNNSNVMIPLGYLLAADSAYLFYEFAPIGSLYDVLRGSLGYSLDWASRYSIAIGVAQGLAFLHGCDKGPILLLDLSSKSILLKSQNEAQIGDIELYKVMDPSKSTGSFSAVAGSVGYIPPEYAYTMRVTMAGNVYSFGVVLLELLTGRPAVSQGTELAKSVLSNSEKHSKWDHILDSSISKASLNVRSQMLAVLKLALACVSVSPEGRPKMKSVLRVLLHAR >Solyc12g005870.2.1 pep chromosome:SL3.0:12:501415:509139:1 gene:Solyc12g005870.2 transcript:Solyc12g005870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFCRIWGEKGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITIVDGSKVEVGDLGNNFMVDESSVGQSKAECVCAFLQELNDAVKAKFIEEHPEELIETNPSFFSQFTLVIATQLVEDSMVKLDRICREANIILIFARSYGLMGLVRISVKEHTVIESKPDHFLDDLRLNDPWPELRRFAETIDLNTTDAVVHKHTPYIIILVKMAEEWTNMHGGKFPSTREEKKQFKDLIKSKMSTVDEENYKEAMEASFKVFSPVGIGPNLQKIINDSCAEVDSNSSDFWVMVAAMKEFIASEGGGETPLEGSIPDMTSSTELYVNLQKTYQAKAEADFLAMEQRVKNLLKKFSRDPASISKANIKSFCRNARKLAVCRYRLVEDEFNSPAQPELQKYLTDEDYGYVIAYHRNMD >Solyc06g062670.3.1 pep chromosome:SL3.0:6:39669908:39673288:-1 gene:Solyc06g062670.3 transcript:Solyc06g062670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVTCEEAAASLSSSSNMNNDTNGAFCYPPQHQLVTQQIKKKRNQPGNPDPEAEVIALSPKTLVATNRFFCEICNKGFQRDQNLQLHRRGHNLPWKLKKRENKEVVRKKVYICPESSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDCKAHFKTCGTREYKCECGTIFSRRDSFITHRAFCETLAMESARSVINERNPTIFSPQMNLQFQQPHYFNSHEQIQAATFPMKKEQQSSDFRHIEIPPWLITTNSQPFQLGAINPSPSVPLSNFSSSSIFPATTRLDQQYSQSSHKDLNLHHQNPNPNLRGPTLGYDSMGESGVVSPVHISATALLQKAAQFGATISNKASAVTATAAYTGTAKIPHNTHVSVTSTDSATKQTQQKLSSREDLTTITGPANNISGIMTSFSNGFDGSTMFEDAILFGGFNNLNSKKENEDEDQQLYFNGSMNEEDHILTKDFLGLKPLSHTDDIFNIAALVNTEFKNHKTWQS >Solyc06g059750.3.1 pep chromosome:SL3.0:6:37741404:37753494:1 gene:Solyc06g059750.3 transcript:Solyc06g059750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGPPNPIGGSQSVPASLLRSNSGVMGGQGGSMPSPGGFPSMVSPRTMFGNMHMLGNASNVSHQSFANGGPNTGLAGPGSSQRGPVDNGAESDPLSGVGNGMGFSAPSTSFMSSAMVTNPDSSRVQGQQFPNPAGNHMLTDQQRSQQLDSQNFQHNQQLQQFPSPINSQAQQQQHQFQSMRGGLGSLAPVKMETQVTNDQTPQQLQALRNLAPVKMEPQQIQSMRGLAPVKVEQQQSDPSLFLHQQQQQQFLQMSRQSPQAAAAAQLLHQQRLMQFQHHHQLLKTAPQQRNPLQQQFQPQNLAVRPPVKPVYEPGMCARRLTHYMYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICSRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRLVFSQPDLKIVSWEFCARRHEELIPRRLLIPQVNQLGAAAQKYQAATQNASSSASVSELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRNGSSAGVQGPVQSTEDQQQQQQQQQQQQQQQQQQHTHQTVNSSNHETTSQSGVPPLPLSNGMSNVNNSVNQVPATSSSGTVVGLLHQNSMNSRQQNPVNGGSSTYSGNAVQMPSPNSSSTMPQSQPNSSQFQSPTPSSSNNPPQAVHSGLSSVQHMNSANSPKITMQNGTANANNTGIGSGFGSMNNGLGQAAMVNGMRAALGNNPSAMNGLVGMTMVREHNMSQQQQDLGNQLLSGLEAVNGFNNLQFDWKTSP >Solyc12g015990.2.1.1 pep chromosome:SL3.0:12:6063382:6063564:-1 gene:Solyc12g015990.2 transcript:Solyc12g015990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQFQHEVAMLANLKHPNIIRFIGACRKTNVSCIVTEYTRGGSVCQFLQNQVVPLKLGV >Solyc06g072660.3.1 pep chromosome:SL3.0:6:44947292:44953725:-1 gene:Solyc06g072660.3 transcript:Solyc06g072660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISTLDHTTLYSTVFILKKILSSKHKQNLLKTSMAADKETLEEKKQEKETIEKEAKAKRSSEEENEGAEEMDVDKEDEKAKEKVVKKVEDEGVEKEEEAKSDDAISPKTPGSRPTRERKTVERYFESLVYRGSATKPLSIEKGQGTQLKDIPNVAYMLSKRKLDDNLHTLHSILFGKKSKAHNLKKNIGLFSGYVWVENEEKQRAKIKEKLDKCVKEKLLVFCDILNIPVSKSATKKDELSVKLLDFLESPHSTTDSLLAEKELRRKKRKSKGKTSKSTGSLDKAAGKSEKKHQKPKIEEDESDDEPSSNESGDDNDGEEADEVGSDHEESGSEKEEEKNEEQEEEKPKKKKSNGNVSSKKDSGNKVTEKPKAVKKDNSAKSPKSMTKSTKVSSSTASKRGASGADSLVTPKKQKVEKNSQKEENESVKENASNKKKSTKTPAKVSEKDGKEKSGKKAKAEPKKEEIHAAVVNILKEVDFNSATLSDIIRNLGSHFGVDLMHRKIEVKAIITDVINNMSDDEEGDDSEAENDEEKNEGDDPKAENDEEKNEGDDSDA >Solyc03g006400.3.1 pep chromosome:SL3.0:3:990847:1000856:-1 gene:Solyc03g006400.3 transcript:Solyc03g006400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEATSWIRRTKFSHTICHRLDAARLSSTTVSFQPRSITGAKTRPGTTSLNSRPDPTVKQIQQNLSAKKQRALSPLPKTKLPDTFKEARSNQRRFSTPHPQRKGHEKGIVGKLFLKDSHEKKIASSSSAISKSAAKFNDKSKSRNWKDSAWTKYFDQNGRRVTAVETTDEYTVDLSKLFLGLRFAHGAHSQLYHGIYRDEPVAVKMIKLPDDDDNGALATRLENQFTREVTLLSQLHHQNVVKFVGACRKTPVFCVVTEYLSEGSLRAYLHKLEDKSINLQKLIALALDIAHGMQYIHSQGIIHRDLKPENILIDENFHLKIADFGIACEEAYCDLLADDPGTYRWMAPEMIKRKSYGRKVDVYSFGLILWEMVAGTVPYEDMTPVQAAFAVVNKNMRPPIPGDCPLAMRTLIEQCWSLQPEKRPEFWQIVKVLEQFESSVAHDGTLNLVQNSMFHHKKGFLHWIQKLGSAHQNASSKLDKYLYNYLVKKGLHVTAEIFAIEANVVNPDTVAIESPEGLLMEWWDVFYEKFSSNQAKRAQEPYSEAAQAIDNVEYPIPFAAPTSFPHSARPAHNISPLVPAFHLRAPEDEALFDLRFPEMDRPSPSQSQRVPIVTDSR >Solyc08g006360.2.1 pep chromosome:SL3.0:8:996780:998393:-1 gene:Solyc08g006360.2 transcript:Solyc08g006360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSHKAHCLILPYPVQGHINPMLQFSKRLQSKGVKITIAPTKSFLKNMKELPTSVSIEAISDGYDDDGINQAKTYESYLARFKEVGSDTLAQLIKKLAKSGCHVIDWMSKIYPIKTIGPTIPSMYLDKRLHDDKEYGLSMFKPMTNECLNWLNHQPISSVLYVSFGSLAKLGSEQMEELAWGLKNSNKSFLWVVRSNEESKLPNNFLEELTIEKGLVVSWCPQLQVLEHESIGCFLTHCGWNSTLEEISLGVPIVTMPQWTDQPTNAKLVKDVWEIGVRAKQDEKGVVRREVIEECIKLVMEEDKGKLIRENAKKWKEIARNVVNEGGSSDKNIEEFVSKLMTISSK >Solyc03g078847.1.1 pep chromosome:SL3.0:3:53049153:53049473:1 gene:Solyc03g078847.1 transcript:Solyc03g078847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVTGWRKCMDYKKLNAWTEKDHFPMPFVDQRLDRLAGKGWYYFLDISIVPEDQEKPTFTCPYGTFTFKRMSFWLCNAPTIFQRCMMSIFSDIVEDTIE >Solyc10g081540.2.1 pep chromosome:SL3.0:10:62704064:62716929:-1 gene:Solyc10g081540.2 transcript:Solyc10g081540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNFYFNDLQITLATLLVFSLSIILWTRNWKSTKLPPKIPGSWPIIGHLRGFGDGENVPLARTFGKLSNQYGPIFTIKLGMYRYCVINNWEAAKDCFTINDKELAARPISLAAEHYGYNYARFSFANYGPYYCQVRKLVLQNVLSSTRLEKVKNVRISEVEISIKELYNIWGKGENSNTINISKWFEKLTLNIIVKMIAGKRYISLEKDQEAQCFRRAFAKIMYLAGEFILYDAIPFKIFKYVDFQGHIKTMKQIYKDLDDILQSWVNEHMEKKKIEGDNNNEQDCIDSMLSVTKLEDFKAYGYTRDTVIKAIVLSMILDGSDTTAVHLTWLMSLLLNNPRVMNNAQKEIDSKVGKDRWIEESDIKDLVYLQAIVKEALRLYPPAPLLVPHEAVEDCTVAGYNIPKGTRLFANAWKIQRDPRVYSEPDKFIPERFLNEHSNVDARGQHFEFIPFGSGRRSCPGINFATQVAHLTIGRLIQGFSFGTPSNLPVDMTEGQGITMPKAKPVEVVITPLFSFSMDLESTYEIDRVAGFISARGFRRVALQFPDNLLKDSTRIVAALHEKLRSFSQLHAGRNGDAKDVKLYVMADTMYGNCCVDEVGASHANADCVIHYGHTCFSPTSTLPAFLVLGKASLNVPLCAQTLCKYTIKAGKPILVLYGLEYTHTITEIKASVGAQVCSELEVHYADIISPIITPPETFSSMNEQPELSDGQCANGCSIEVNDAIYCVGGLTWSLPVGHRIEDYLIFYVGSDDPAFANILMTYNACEIGVGFTFFSVFSMLLIVVSMFSELFPVRYDATEDKLVNEFSQQKRILKRRYFLIEKAKDASIIGILVGTLGVAGYLHMIHQMKDLITRAGKKAYTFVMGRPNPAKLANFPECDIFVYVSCAQTALLDSKEFLAPVITPFEAMIAFGRGSEWTGAYVTEFRDLITSSPMEAKNQSEARFSFIQGGYVEDVEQQEVEEVEDGVSALVNITEKALRVRDKDSQTLMPGTAKSGAEYFATRSYHGLDIHPENNFSEPFLIGKSGRASGYRNETAQKS >Solyc02g071630.1.1 pep chromosome:SL3.0:2:41588074:41589622:1 gene:Solyc02g071630.1 transcript:Solyc02g071630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICWFKKKRIVHPIQEDFFSEEDWNRFRRMILRRTINNRRRTTSRRIKVEPKLSRFWLESRGRLPPVQPVPVVEVQTEPCRSTTEAFERLLQNIHSSIAAGCSFIGLDPLLPLTHSEDRKLATFQLIRSEPVPFFGISGILKSFEGAITDSVRLFKPKYEGLFQILQSLIQLFKVT >Solyc08g068750.2.1 pep chromosome:SL3.0:8:57938067:57958576:1 gene:Solyc08g068750.2 transcript:Solyc08g068750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPPSLSRRPLPSSFSSLPSSPSSFPPPLFSPFPLPFSLRPASVTAARFHWRAAGGEDQVAPPLFSSPWHRRRQPTMTTVPGEATSEGKPKAKNGAKNKETLKK >Solyc02g068190.1.1.1 pep chromosome:SL3.0:2:38776594:38778582:1 gene:Solyc02g068190.1 transcript:Solyc02g068190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRPVGIKLDPSKKPVYLTKSQREQLALHDEIADRKRCHEHLQVKYNRPSSDNHCSEKNRKRERDRNGDRDREKELNRVRLENPAEKELEAIHEQYLRSKKPKKRVITSCAKLRSSFGWENSEDTSRDVNPLYQNPHEARPHFGRGFFGGIDRREQKKLAIKNERKIQEEIWKKGGVEATAVEAAALKKKEQNADLYDTFDMRVDRHWTDKKLEEMTERDWRIFREDYNISYKGSRIPRPMRNWAESALTTELLKAVERAGYRKPSPIQMASIPLGLQLRDVIGVAETGSGKTAAFVLPLLNYITRLPPLSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYCVLNQCNYVVLDEADRMIDMGFGPQVVGVLDAMPSSNMKPKNEDEKLDENKIYRTTYMFSATMPPAVERLARNYLRNPAVVTIGTAGKVTDLITQHVFMVKESEKMFKLQRFLDELGDKTAIVFINSRKRVNTVAKHLDKAGYRVTTLHGGKSQEQREISLEGFRTKKYNVLVASDVAGRGIDIPDVAHVINYDMTNKLEAYTHRIGRTGRAGKTGVATTFLTLQDTEVFYDLKQMLIQSDSPVPPELARHEASKLKPGSIPGRPPRRNDIVFTH >Solyc06g011620.1.1.1 pep chromosome:SL3.0:6:8503453:8503785:-1 gene:Solyc06g011620.1 transcript:Solyc06g011620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVISKFMFGAAIFFKNPLDAATVFTAITVFRILQDPIRTFPQSLMTISQAMVLLGRLDGYMTSRELDSDVVERQQGCNGSIAVEVKDGIFSWEDDGEKIVLKDINLQV >Solyc09g031830.1.1.1 pep chromosome:SL3.0:9:27883417:27883686:-1 gene:Solyc09g031830.1 transcript:Solyc09g031830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNFSISCSFATKYFLCASVKKHAFLGRDTTSPIESQVSNIFIPNDFPQSGDETYNLYKSFHFTSRSDPFVRRAIYSIAYISGTPLTEG >Solyc05g053250.3.1 pep chromosome:SL3.0:5:64238916:64241641:1 gene:Solyc05g053250.3 transcript:Solyc05g053250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARQIQKRILSLLSTKTYIAQGLPWSSVSPSRSSFGMNDIFSRRCLQTVAGITKQAVEVGETNKNCDPDTNTDSAAAVVSSIKKETTYKYTVQSNLKTSPRHDLMMIFTCTVCETRTMKTVCRESYEKGVVVARCDGCKNIHLIADRLGWFGEPGSVEDFLAARGEEVKKGCVDTLGFTLEDLAGKKTLETIRGEVESKSEI >Solyc11g028185.1.1.1 pep chromosome:SL3.0:11:20668403:20668717:1 gene:Solyc11g028185.1 transcript:Solyc11g028185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLRKETNIDGDDESKQMILQASISSIKCDTRRLICNQLDKIQRLINEKMWSVHHIIAMDVFKEDRKKDLDEAWSNTVLQKCLDIVKRFLKNDHHNNFIECT >Solyc08g074655.1.1 pep chromosome:SL3.0:8:58911963:58915606:1 gene:Solyc08g074655.1 transcript:Solyc08g074655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDVLKNSTSSMDSHHSVNMADDCELTPCVVINNAPLLSNHTGRDGSQSDPNNMHITSEDADPILDTSPRNQLPQVPSDIVLRTSTRPVKPPLWQTDYVMTQQPAGHCLYSITDVVDYDSNDHNLILETKKNLKENFKIKDLGNLRYFLGIEFARNETGILMHQRKVDLHFPPTDENDRLLSDPSVYQKLVGKLLYLTITRPDIAFATQLLSQFMHNPKTSHMNAAMRVVKYVKHAPGLGIFMSADSNNQLTAFCDADWASCPNNRKSVTGYMITYGNSLISWKSKKQNTISRSSAEAEYRSLASTVAEIIWLTGLLKELGVQVKLPILLNFTF >Solyc05g051930.2.1 pep chromosome:SL3.0:5:63163322:63165112:1 gene:Solyc05g051930.2 transcript:Solyc05g051930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTLLIEIVMVMIKLKNNLTLILINFAAIMEMADETLLPGVYKEVGKDLRIDPAGLGSLSLYRSLVQCLCYPLAAFLAARHNCANDIALGAFLWSGAMFLVAIYSTFAEIAISRGLNGIGLAIVKPAILSLVADSTHETNRGTAFGWLSLTGCCGAIISGTVIAETSFVGIPDVNANDQPSPKPFQEQLRELLKEAKGVIEVPSFKIIVAQGFFGSFHGTSLRFTTMWLELVGFSHKTTALISTFFVVSMSIGAVFGGFMGDVWA >Solyc08g028707.1.1 pep chromosome:SL3.0:8:42454071:42454500:1 gene:Solyc08g028707.1 transcript:Solyc08g028707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYKRVMKPVISSSPPKATMVHQLLMQPCRDALLFLRSPSLEHWKAVKMVLRYINASSTSYLQISCHFDSNLYMYADVDWMGDPNDRIFTSGYILFFGPNPIFHFSKKKQSVARSFTEAE >Solyc10g005925.1.1 pep chromosome:SL3.0:10:709874:716432:1 gene:Solyc10g005925.1 transcript:Solyc10g005925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKGVSDKAVNQLEKTVSSKLEASVARQIQAQFQTSGKQALQETLKSIMEGSVIPGFEMSCKAMFEQVDLTFQKGFAEHTGSALQQFESMHSPLVHALRDAINSASSMTQTLSGELADGQKKLLTLAVSGANSKLSNPLLEAPVDPIKELSRLLAERKYEEAFTTALHRTDVSIVYCLLFITCLWTVKISGTLVLLLNAIPCTRQMILNLGRSRFIGDKATVDLSGILSMNPLPLSQGVLLSLLQQVACDITNETSRKLSWMRDVVSAINPTDPVIVLHVRPIFEQVYQILNHHRTLPTTTPAELSSIRLIMHVKSYSRVGSDFRGRVEEQVLVLVNKSDKNILMRIKSSKPARFSQPSTATTS >Solyc10g017900.1.1 pep chromosome:SL3.0:10:6147029:6147708:1 gene:Solyc10g017900.1 transcript:Solyc10g017900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGKVALLPIPLGIANFLVHHIHAFTIHVTVLILLKGVLFARNSHLIPDKANLGFRFPCDEPGRWGICQVSAWDHVFLGLFWLYNSISIVIFHFKLIESIVWAHNKLKVSPTTQPRALSIIKGHAVGVTHYLLGGIATT >Solyc04g005620.3.1 pep chromosome:SL3.0:4:408262:409722:-1 gene:Solyc04g005620.3 transcript:Solyc04g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKAVSVESGEASKAKGHGVNRGLSVFDLVLRIVAIVGTLAGAVAMGTAEQTLPFATQLVQFSAQYDDFDSFKLFVIVNAIVCAYLALTLPFSIFHIMRSRAGKSRILLIFLDAIMLVLLTSGASAAAAIVYLAHTGNTSANWFSVCQQYTDFCQRSAGSLIGSFGAMVCLVLLIIFSAVAISRR >Solyc12g100150.2.1 pep chromosome:SL3.0:12:67965909:67969216:1 gene:Solyc12g100150.2 transcript:Solyc12g100150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGGRKQGATSPCAACKLLRRRCTQDCVFSPYFPSDEPHKFASVHKVFGASNVNKMIQELQEYQRGDAVSSMVYEANARIKDPIYGCVGTISSLHHQIEFLHTQLAIAQAELVHMKMQQFSSMSGGGGTAANSPKNVWSPSSQHLQSEVYSEFEIYDSVQQPQINI >Solyc05g052910.3.1 pep chromosome:SL3.0:5:63930597:63937956:-1 gene:Solyc05g052910.3 transcript:Solyc05g052910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSVNHISQPCIYGHFVSSTTERKSRLMKWLSKLFKGGGSGNRGQQPQFLGDENMVWRAPARSMDDNSRTNKEKEELDRAIALSLAEDLRRPKGYRWRTDQDEDLARSLQDNSNSSSHPPKYAPSYAPWEYNPNSYRKCSGCNKDIISGNYLGCMETFFHPECFLCRACGVPITEYEFSLSGNNTYHKSCFKEMTHPKCEVCHQFIPTNGAGLIEYRCHPFWSQKYCPAHENDNTKRCCSCERLESRNARYMSLGDGRSLCLECMESAIMDTGDCQPLYHSIRDYYEGMNMKIDQQVPMLLVERHALNEAIEGEKHGLHHMPETRGLCLSEEQTVTSILRRPKFGGRGLIGIRTHPQKLIRRCEVTAILVIYGLPRLLTGAILAHELMHAWLRLKGYRNLTPEVEEGICQVLSHMWLESEVVPGSRNMPSTSTASSSSTWSSSKKGGKSQAESKLGEFFMHQIAHDASPAYGGGFRAAYAAVNKYGLRSTLDHIHLTGNFPL >Solyc09g007880.1.1.1 pep chromosome:SL3.0:9:1413153:1413332:1 gene:Solyc09g007880.1 transcript:Solyc09g007880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVIVISLPLILFILIAALACYLFGKSRGRREAAMVPPYYGPPPPAPPPGVLSPPPQK >Solyc08g008305.1.1.1 pep chromosome:SL3.0:8:2718879:2719883:-1 gene:Solyc08g008305.1 transcript:Solyc08g008305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTYDEAAQIRSSLSQILLTSSTNTLDSIFSHCQEPKDQMTTTSPVLEPLCSSVYLRQIDLLQKIWEQNRKNITIPTSSTQTQLQESLYSQEFWEQNRANVTIPTTSTQTPLQESLYSQRYVSPNKKKLYRGVRQRHWGKWVAEIRLPQKRMRVWLGTYDNAEAAAYAYDRAACKLRGEYARLNFPNLRDPSELGFGDSAKMNALKNSVDAKIQAICQKVKRGRAKKGIVESEKKVAKDVNLDSSSSSSSLVGSESWSHSDMISQSSSDDGFLNSETCSVIGDCLMGPEYDNCYSTSITPQFERPVLESEFEDYSLATMPSFDPELIWAVLAS >Solyc04g005250.3.1 pep chromosome:SL3.0:4:182643:189122:-1 gene:Solyc04g005250.3 transcript:Solyc04g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFSGEDNDSIDWDTEDELEIQEMPDATFSSCTNLRSVGYHTVSGHREARSSSEPCQSKFIQQFIVMGFPEESIAKAIEQNGENEGLVLDALLTFKALEDSPEEQPSTSTQMEPCITSDDSSSQYNENFLDDVSEDDSWSLDSDNCVNSAKQSYLNDDNCSLSENEKTLLFLANMGYPAEEASIAMERCGPEAPFPELIDFMCAAQMAREEDVHLPEDEKPKLNSGGYKRKMYNEVRVKKKQRAITDEETIHLPRPMIGFGVPTESLPAVVKRTLPEQAIGPPFFYYENVALAPKGVWDTMTRFLYDIEPEFVDSKYFCATARKRGYIHNLPIEDRFPLLPLPPRTIHEAFPLTKKWWPSWDTRTKLNCLQTSIGSARLADRIRKAMKAMENFDSEPPLMVQKYVLDECRKWNLLWVGRNKVSPLETDEFEMLMGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSVLKDLYPNGINVLSLFSGIGGAEVALYRLGIPLNNVVAVEISEVNRNILRSWWEQTNQKGNLIDFHDIQQLNGDVLEQMIDSIGGFDLVIGGSPCNNLTGSNRVTRDGLEGGKIATKRSNSVSKREALANTGGEQILSRRPLSQSGGKDINAEYVAFTADYKSPRHHPPRHN >Solyc03g083250.3.1 pep chromosome:SL3.0:3:54527141:54535330:1 gene:Solyc03g083250.3 transcript:Solyc03g083250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLRSKSSRRTASMAITHFRYFIINDFHTGHLPNFHLCSRTKWDNLVSNSGHSSSLFKPVSFRGEFVDRCYDNLKNSSRKSKEKINMSSNYGEPPEIWQPPGEGIVVRPGVKFVQVGEGEVPGSGSGSGGGFGSGSKDGSWGGSNLGTQFPTPKQLCRGLDKFVIGQERAKKVLSVAVYNHYKRIYNDSSHKWPAGNDSSEKTDGVENESVELEKSNILLMGPTGSGKTLLAKTLARLVNVPFVIADATTLTQAGYVGEDVESILYKLLTVADYNVAAAQQGIVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRTNMRTGGVTSAAVTSSLLETVESSDLISYGLIPEFVGRFPILVSLSALTEDQLVQVLTEPKNALGKQYKKMFQMNGVKLHFVEAALRLVARKAISKNTGARGLRSILENILMDAMYEIPDVRTGDDVIDAVVVDEESVGTEGRGCGGKILYGKGAFDRHFPQNRSKDSEANMEASDGDHEAEQELPSIVAL >Solyc12g035670.2.1 pep chromosome:SL3.0:12:44327823:44348475:1 gene:Solyc12g035670.2 transcript:Solyc12g035670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGFGKNTGPIAPPKAQTPFGNSRTPSTSDTLPKWGNGHKYIYHDALSTSVRGSQLQDLRTTGPHTSFSSDAEIPGASMTMRGSRSDLISSDQCPFVSQQNQSSPLFQNESPLVPKSTRSPPLAFHNNLHTEANIPPLGGAQRPSLPPHMRGNSTQSFQNLPIRLPHQRLPSIPTNYDPGRQIAVKHADQVSKRTRSPPHSPPNVASFEKSALGLRESKRPSTSPSKLRSNAPPDSLAPQSSMSGYGVNVGVDLSKPMNFPVSKRTKFPSVPSSDQVLQYDSNHADEDIQRETEAKAKRLARFKDDLSRQNARDDSSIPQKGPSTRMSQYQSVVDRPKFSAEDIVDSSDDFSDGNLLSDYQGSESSGVIIGSCPDMCPVYFVQVINFSPDVELVPEFFSVAESERAERERKGDLDQYERLDGDRNQTSKLLAVKKYTRTAEREAVLIRPMPILQKTMDYLLNLLEQPYGESFLRLYNFLWDRMRAIRMDLRMQHIFNREAINMLEQMIRLHILAMHELCEYTRGEGFSEGFDAHLNIEQMNKTSVELFQLYDDHRKRGINVETEREFRGYYALLKLDKHPGYKVDPAELSLDLAKMAPDMRQTPEVLFARDVARACRTGNFIAFFRLARRASYLQACLMHAHFSKHFIHWCFQLRTQALASLHSGLQNSQGIPVNQVSKWLGMEDEDIEGLLEYYGFSLKEFEEPYMVKEGPFMEVDNDYPVKCSKLVHKKKSRTIFEDVSVPHVVSVTEKKRETLLDKDHQQKPSAFQFLKPDHSSLPIEENMHDYETVSSRKDEIEAIPITKTEFYQETKYGSQQDPPSRAVSSLLAPPLVFFPHMSPEVQQQARVRSAEKPEVQLQARVGSSGTPKNDEVAQFDARSMPIQFIPARDEWDSSPVLPASSLVEDTELKHMSDEENEDEELVITSEEAETNEPAASYYDEEVAEAKLKLIIRCCLLNLDLMSAAITLVSLLTLSIHRKWKRRSLKKREMREEKQLASKAALSSLSLGVPMWPNRIQHSTTVEFNIDHAVSKWYRTLEKSWSRLNVSDVVATTLYEKNAAARCLCWKVIICCEDNNINNLNPKNGVDQLNAKSWLLSKLMPAREDEDDTLITSPGLSVWRNWILNESGGDLICCLSVIKYSNFENLNETVAGASAVLFLLSEGIPWVLQKNQLHRLLMSVPSGSQLPLLIVSELCKENADPSTIVKELELHEVHESRLHSFSVVYLKNQQMEQLNGFFSDEQLRGGLKWLASESPPQPVIQCVKVRELVLYHLNSLLGVLGEMNVCDVGPDNCISAFNEALDQSMREIAAAAHANPTCWPCPEIGLLEEHSHEHEAVTQHLPQTGWSLAPRIEPVVRAISDCKFPSFLDDTSWLHRGSDVDLKSQILQLQSCLTKYFTEISKLMVLPLAEKEASVMMQKFVQLQLQNSYYYIVPNWVMIFQRAFNWQLMKLAKETSFSVYILIKHDLSTSMLGAVELEASAQPHYHLSHPSLDEMVEAGRMPLLGCAMLDGEGRAFQPYPGMTSDSEEIPTTTGACNEIEDGKDVEYVKASYNGMEDLNEGESEPLMTIKEMKETDKLGELLDRCKIKQNMIDENLSIYF >Solyc01g087060.3.1 pep chromosome:SL3.0:1:81869621:81874752:-1 gene:Solyc01g087060.3 transcript:Solyc01g087060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKREREGDSIYMYLSIYIYIQKSSTYIPTKSTFHFSTTIWSLLCCFLTHQHTTTLSVSVFLLHFFSDLLVVPLKSVKKMSGDQHMEAMHYMNMGFPYNVPESFPGFLDGVSQAPIIQYHNNPVQIQDQENAYWSMNMSYYKYEHSNLESTSYHSYETGNNHVSRPDFSERPWEYAVPMNVHEGVSTDVIYEENTVPVEDVGTEECVLSNQDDSNHQFGR >Solyc09g090510.3.1 pep chromosome:SL3.0:9:70486938:70496461:1 gene:Solyc09g090510.3 transcript:Solyc09g090510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIVGAGISGLVSAYELAKSGAKIVIYEKEDYIGGHAKTVTVNGVDLDLGFMVFNRVTYPNMMEFFESLGVDMEISDMSFSVSLDKGHGCEWGSRNGISGLFAQKKNVLNPYFWQMIREIIRFKQDVISYLEELDNNPDIDRNETLGHFIQSHGYSKLFQKAYLVPICASIWSCPSDGVMGFSAYSILSFCRNHHLLQLFGRPQWLTVRWRSHTYVNKVKDELEKRGCQIRIGCEVNSVSTNEEGCTVACTDGSKDVYDGCIMAAHAPDTLRMLGKEATFDETRILGAFQYVYSDIFLHCDQTLLPRNSAAWSSWNFLGTMNGRVCVTYWLNILQNLGETERPYCVTLNPPHTPDHTLLKWTTGHPVPSVAASKASSELYQIQGKRGIWFCGAYQGYGFHEDGLKAGAIAAQGLLKKNFSVLKNPTHMVPTWPETGARLLVTRFLKSFIATGCLILLEEGGTMFTFEGTEKKSFLKVSLRVHSPQFYWKVATQGDLGLADAFIHGDFSFVDKNDGLLNLFMIFVNNRDLKASVTKFSKKRGWWTPLLFTAAVSSAKYFIRHVSNQNTLTQARRNISRHYDLSNELFSLFLDETMTYSCAIFKSEDEDLKVAQERKISLLIKKAKVKKEHHILEIGCGWGSLAVEVVKRTGCKYTGITLSEQQLKYAKLRVQQAGLQDHITFLLCDYRQLPKMSRYDRIISCEMLEAVGHEFMEEFFTCCESALAEDGLLVLQFISIPDERYDEYRQSSDFIKEYIFPGGCLPALSRVTSAMSAASRLCVEHLEDIGIHYYQTLRCWRKNFLEKQSQIHALGFDDKFIRTWEYYFDYCAAGFKTCTLGDYQIVFSRPGNVAAFGDPYNGAP >Solyc01g097910.2.1.1 pep chromosome:SL3.0:1:88468018:88469100:1 gene:Solyc01g097910.2 transcript:Solyc01g097910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATSRASFTFNLSSSPSLTQPSTLKTKTHLTLPPFHIKSHSVSSFYRYPKPQFNLQPKSIDVSQEDKPISEQPITETPTSESEQEQEEEEKFDSRRLEEKFAVLNTGIYECRSCGYKYNEATGDPSYPIPPGLPFDKLPEDWRCATCGAAKSFFDSKSVEIAGFAQNQQYGLGGNTLTSGQKALLIYGGLLLGFVFFLSGYFLQ >Solyc07g056100.1.1 pep chromosome:SL3.0:7:64098868:64101313:-1 gene:Solyc07g056100.1 transcript:Solyc07g056100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFYGVRSDGGTTLDGSSLIIIVGIVITSVLMISMVIFACGDSSNGKKKHWFYRGGGCGGGGGGGCGGGGGGGCGGGSGGGGGAPRISMIGTIVELEEAAAVVVVAVMTVMMMMARFYGVVDGGSPIEGSGLMIMVGMVVMSVLMISMIIFACGDSSNGKKKHWGGGGIYGGGGGGCGGGGGGGGC >Solyc03g046594.1.1 pep chromosome:SL3.0:3:13368977:13371740:1 gene:Solyc03g046594.1 transcript:Solyc03g046594.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTSFSHVFCSRSMITCHARLCLTVYVVQGRYGIPCSTSSEHVCYPKDMRECEARRCLTVCAVQGRRWHVTPDEVRLYVLSKSDDNMPHATCVLPKDHAGMPRTMSFDRLFFPWAMMACHA >Solyc12g021340.2.1 pep chromosome:SL3.0:12:15671582:15693821:-1 gene:Solyc12g021340.2 transcript:Solyc12g021340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGHGLLPLGAVRTCQKEGRCPLIGSIHFLSSPFPSTLKSLKYKNNTRMQAVEEEYELKQMKDMAAAKKRWDALIRDGTVKVLTPREAGYAIQLSNKMLLDVRPSMERKKAWVKGSTWIPIFDVDASLELRTLSLKATNFLMGGWWSGVPALSYNKEFLSNVEEKFAKDTDLIVACQKGLRSIAACEVLHNAGYNNIFWVQGGLEAAEEEDLEREGPQPFKFAGIGGLSEFLGWTDQQRDAAAKEGWGYRLTFSARLVGLVLGADALFIGAQKVGQYLQMLRSH >Solyc11g006410.2.1 pep chromosome:SL3.0:11:1122232:1126814:1 gene:Solyc11g006410.2 transcript:Solyc11g006410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKMMKWRPWPEIASKKFEAKITVNCVKGLNFSQDFQRLVVEIKWKGSKGNSLTLSSLKRKNVKKSFTKEESLKDDGVVYWNEEFQSLCNFNVSKEIAFHPWEVSFTVFNVTNKRSNHKVPKVAAASLNIADFASEAREKEEIEIVIPLEAYSGGNKNNLSLCLSLNLVELGNAQEASETMPKFVMSAPVSPSPAEVSSTDRNELSALKAGLQKVKFFKGLSTMRRKKACHEEEGSDGRNSVRSDDTDLVYPVDTDSLGDSEEGESDEVKEDTSLRKSFSYETLAYAKHAGGSCYTNTSGSEDDDLIFYSHHKSVARRVYAEGATGEGHNQYSQQISKRKILPWKKRNLSFRSPKPKGEPLLKKHYGEEGGDDIDFDRRQLSSSDESSSGWNKSEESSTANEFSVSEFGEDSFAVGSWEQKEIVSRDGQMKLQTEVFFASIDQRNERAAGESACTALVAVIADWFHSNPEEMPIKSQLDSLIREGSLEWRNLCENKTYRERFPDKHFDLETVVQAKVRPLSVVPEKSFIGFFHPEGIEDEGFDFLKDAMSFDNIWDEISKSVQDSPSHGECFVYIVSWNDHFFILKVERDAYYIIDTLGERLYEGCNQAFILKFDRDTTILQLPNTSQQSDEKPASTKKEQTDKKQAASNEGKIVSNNTKEKMEESVVSFRDKVPENEDETSLVCKGKEACKQYIKSFLAAIPIRELQVDVKKGLMASTPLHQRLQIEFHYTKSFNTQLELESPSEELTDNSLALPMSAAVE >Solyc08g023470.3.1 pep chromosome:SL3.0:8:27694456:27707093:-1 gene:Solyc08g023470.3 transcript:Solyc08g023470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Geranyl pyrophosphate synthase [Source:UniProtKB/TrEMBL;Acc:Q1A746] MIFSKGLAQISRNRFSRCRWLFSLRPIPQLHQSNHIHDPPKVLGCRVIHSWVSNALSGIGQQIHQQSTAVAEEQVDPFSLVADELSLLTNRLRSMVVAEVPKLASAAEYFFKLGVEGKRFRPTVLLLMATALNVQIPRSAPQVDVDSFSGDLRTRQQCIAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKLAVLAGDFLLSRACVALASLKNTEVVCLLATVVEHLVTGETMQMTTSSDERCSMEYYMQKTYYKTASLISNSCKAIALLAGHSAEVSVLAFDYGKNLGLAFQLIDDVLDFTGTSATLGKGSLSDIRHGIVTAPILYAMEEFPQLRTLVDRGFDDPVNVEIALDYLGKSRGIQRTRELARKHASLASAAIDSLPESDDEEVQRSRRALVELTHRVITRTK >Solyc03g006990.1.1.1 pep chromosome:SL3.0:3:1547256:1548872:-1 gene:Solyc03g006990.1 transcript:Solyc03g006990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQRLKLKNTLAYLLENCRSMRELKQIHAHITTAPQFFITDRWLLISRIIFFCTVSQSGSLSYADTVFRLVPRKTLFIYNSMIRAYASRIHDPNSSQPLILYKQMLFDGITPDCITFPFVLKHCASRVDGLIGPSVHAHVVKFGFHSDVFVQNSLIALYSQFGSVDNARMVFDEMSNRDVVSWNSIIIGCLRNSELNMALELFRRMKYRNIVTWNSIITGFVQGGRPKEALEFFFEMQVSGDDMVSPDKMTIASVLSACASLGAVDHGRWVHDYLNRSGMECDMVIATALVDMYGKCGSVSKALDVFRSMKNKDVLAWTAMLSAFAINGNGREALELFLDMETAGVRPNAVTFTALLSACAHSGLTDIGRWCFRVMRHAYHIEPQVQHYACMIDILGRAGLFDEAEELIKNMPVEPDVFVWGALLGGCQMHQNFQLGEKVARYLIALEPLNHAFYVNLCDIYAKARRFDHVKEIRALMKEKEIEKTVPGCSAIEVNGVVCEFSVRGSSQVLMTEIKFVLSSLSDEIGRSFSMSTVVE >Solyc02g088140.2.1 pep chromosome:SL3.0:2:50956015:50957393:1 gene:Solyc02g088140.2 transcript:Solyc02g088140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARHLHVHKSTVRGITTCASLVNSTHLENSPISEPQHFANGSSTPPSEDTLLVQKILWNLKQGKSITNSLHGLNPSTFLEVLVNCRDNLHLAQKFINLVSVNCPNFKHCSRSLTATVHVLIRLKRVADAQGFILRMIRRSGVSRIEIVESVVSTYGVFGSNAYAFDLLIRTYVQARKIREAVEINACNGLLGGLVKIGWVDLAWEVYNEMTGSSIQPNVYTLNIMGLLEEAYEVIKIMKATGLRPCLLTYNSILNGLCKNGQYGRARELLVEMKDTGLAPDTASYNALLAECCKTGDVQEAESVFKEMACRAIIPDLVSYSSLIGLFSRTGHIDRSLAYYEHMRHKGLKPDNVVYTILIGGFCRNGSMKEAMKMRDEMLEQSLVMDVVTYNTILNGLCKGNMLHEADELFNEMLKEM >Solyc01g014532.1.1 pep chromosome:SL3.0:1:13981654:13981826:-1 gene:Solyc01g014532.1 transcript:Solyc01g014532.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLGLVNYFLGIFVSTCKGGYFLNQSKYIHDLLNHCF >Solyc04g011560.3.1 pep chromosome:SL3.0:4:3983980:3989443:-1 gene:Solyc04g011560.3 transcript:Solyc04g011560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNEVKKRKLGKQAADSIATPSKINKNQQPKTPKSDSSNPPNSNSGSDAESLPLKIQKLLEPYSKDQLVTLAVDTAVVLPAFYRLIQSHADKDISHRKIFVYGLPRDTTRPVLLAVFEPYGEIEECNLVMDHATGNAKGYAFVLFKTRKSAAKALKEPQKMINNRLASCKLASMKETAVSGTNEIGNRKIYVSNVPKKVNSEKLRSFFAKFGEIEAGPMGFDPSTGKSKGYALFVYKTVEAAKKCLEKSSKIFEGRQLHCKKAAEGKIGGGAASITTEAVQQPLLAMPPGQGVYAPVAAGHSMGMLGQNTDVPMMNPFYGGALANPYGAYGNPFAGVGGFGQQMGGGMGMAAGYGGVLDSVGGGVSGLGAYGGAGSSTGGSTGVLGAYGSVVSSTGGSTGGLGAYGGVGSRTGGSTGGLGPYGGVGGSTGGSTGGVAPGLLQLYQNNQAGQPSSAKYPGSSGYPPHFR >Solyc10g009483.1.1 pep chromosome:SL3.0:10:3587631:3589378:1 gene:Solyc10g009483.1 transcript:Solyc10g009483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRETTMLVLMVTISLLVPSLLCLICIACRLHLDAIHRRQLQVLEATSTLPRESSVVVTLPTSGLDDCMIQSYKKDDAREMKT >Solyc11g030760.1.1.1 pep chromosome:SL3.0:11:23234776:23235126:1 gene:Solyc11g030760.1 transcript:Solyc11g030760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLCTVLFGVLKSGSITFIGELPEQKVDKIKRLGFGLLNKITFLFPYVFWDSNVDIFGHVADYFMDEHFDKRKWDFRIRQAQERSFLSIKSIDTYFHNKNIQTWRKCDYFCAISLN >Solyc07g055366.1.1 pep chromosome:SL3.0:7:63554604:63561276:-1 gene:Solyc07g055366.1 transcript:Solyc07g055366.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPKVFLLLELFQFGRRFVRKKSLEEEELRGKENTRGFDTMFQFGRRFVRKKSLEEEELRGKENPIQYVERHHNTKASVLSFFQTFVDS >Solyc01g104430.2.1 pep chromosome:SL3.0:1:92697846:92701910:-1 gene:Solyc01g104430.2 transcript:Solyc01g104430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNPSPIMPPAFPRIMEVNPSPITPPAETQPSVPISVEHPNLAAFIQYGISNLPVSNNPAMIRQKYSSIIRHSSLKNASGVQRTVVIIIPRDQVLHFFGNQKTVEPRFCKIKTSGEYELPETELFWSTGLVFECRNL >Solyc08g062030.1.1.1 pep chromosome:SL3.0:8:50398759:50399025:1 gene:Solyc08g062030.1 transcript:Solyc08g062030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLCCGFHSQQAPPFFFSFQQLIVLFRLPLIAKKNRTFIVKWRTCQWAIQNEPFLISPIVHPCFTPTFTSCVSRFCNGTLTLLKKPS >Solyc06g033990.1.1.1 pep chromosome:SL3.0:6:23567213:23567650:-1 gene:Solyc06g033990.1 transcript:Solyc06g033990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQKKPLVTTTSPNIQSLDFTNSSSSSSYITFDENYEMLETSNQENDVTSIVNQDDDENIVILESNPESISTSSVDFYIRENDVTSIVNQDDDENIVILESNPESISTSSVDLYIRDFMDVSVYSSNVVDFWLELYMAEDNMKI >Solyc08g066700.3.1 pep chromosome:SL3.0:8:55586169:55592284:1 gene:Solyc08g066700.3 transcript:Solyc08g066700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDRKDGRTANQLRPLSCARNVLNRAHGSASWSQGETKVLAAVYGPKAGTNKNENPEKACFEVIWKPKTGQIGKAEKEYEMILKKTVQSICVLNVHPNTTTSIIIQVVNDDGALLPCAINALCAALVDAGIPLKHLAVAICCCLTESGHILLDPSKLEEQRMKAFVYLVFPNSTLSVLPEEALKVRGEPMEHGLITSSTHGVMSVDDYIRCLERGRAATSKLSDFFRRNLQ >Solyc01g080040.3.1 pep chromosome:SL3.0:1:79011725:79019331:1 gene:Solyc01g080040.3 transcript:Solyc01g080040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQALDYYNGQIEALMRAMSVAKIIREDKNPCMIEEGLYLGSLGAANNKVALKSLNLTHILTIARDINPPYPNEFVYKVLSVHDRVDVNISHYFEECFDFIEEAKGQGGGVLVHCFAGKSRSVTMVIAYLMKKHGMSHSEAFELVKSKRPVVSPNAGFMTQLENYDKTLKDLNTPNVML >Solyc04g076695.1.1 pep chromosome:SL3.0:4:61670293:61680218:-1 gene:Solyc04g076695.1 transcript:Solyc04g076695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFSSCISSIRISSFLTRTPRRLVSSWFSKFCKSLTLRPESSSPIICR >Solyc07g042570.3.1 pep chromosome:SL3.0:7:56158043:56163197:1 gene:Solyc07g042570.3 transcript:Solyc07g042570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit K [Source:UniProtKB/TrEMBL;Acc:K4CEB8] MVQEATNGGSEKSQLAITVEQLIAVNPYNPDILPDLENYVNEQVLSQTYNLDANLCLLRLYQFEPDRMSTPIVARILVKALMAMPAPDYSLCLFLIPERVQIEEQFKTLNVLSHFLETARFRQFWDEAAKSRHIVEAVSGFEQAIRAYAVHVLSITYQKVPRTILAEAINIEGVSLDKFLEHQVSNNGWVVEKGQGKGQLITLPPTEFNHPELKKNTADSVPLEHITRIFPILG >Solyc02g079000.3.1 pep chromosome:SL3.0:2:44164388:44180154:1 gene:Solyc02g079000.3 transcript:Solyc02g079000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLTTTPPPQQLNSIQLSSKPLLLTLPFKCRRKFSRIRRPTIVASSSNTNNSPEGFSWLQLSQSIRRGSERFLNQLEDSVKQETGFDFKDVKVKVGEFSGRAVDSAKNGQVLLQRFQSELFPEFLNWNKFESWKDVKKWDSKRVGVFILYIIVTVCSCQKIYMAIRAPIINRERKELTEAYMEALIPEPTPVNVKRFKKGLWRKTTPKGLKLKKFIEAADGTLIHDSSYVGEDAWADDSGSHNMKEVIDHDSRLRVEDKETLKENLGISAENQDTGGTWRARLQEWHKILRKEKMAEQLDSVNARYVVEFDMKEVENSLRKDVVEKTRETQGTRALWISKRWWRYRPKLPYTYFLQKLDTSEVAAIVFTEDLKRVFVTMKEGFPLEYIVDIPLDPFLFEMISSSGAEVDLLQKRQIHYFFKVLFALLPGILILWFIRESMMLLNITTNRLLYKKYKQLFDMAYAENFILPVGEVGETKSMYKEIVLGGDVWDLLDELMIYMGNPMQYYEKDVKFVRGVLLSGPPGTGKTLFARTLAKESGMPFVFASGAEFTDSEKSGAARINEMFSVARRNAPAFVFIDEIDAIAGRHARKDPRRKATFEALISQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRVYIGLPDAKQRVQIFGVHSAGKQLSEDIAFEKLVFRTVGYSGADIRNLVNEAGIMSVRKGHSKINQQDIVDVLDKQLLEGMGVLLTEEEQQKCEQSVSREKRQLLAVHEAGHIVLAHLFPQFDWHAFSQLLPGGKETAVSVFYPREDVVDQGYTTFGYMKMQMVVAHGGRCAERIVFGDDITDGGVDDLEKITKIAREMVISPRNSRLGLTSLTKKIGLGDRPDNPDGEIIKYKWDDPHIVPADMTVEVAELFTRELTRYIDETEELAMRGLLANRHILDLISNELLEHSRITGLEVEDKMRGLQPAMFEDFVKPFQINMEEEGPLPHNDRLSYQPLDIYPAPLHRS >Solyc01g105160.3.1 pep chromosome:SL3.0:1:93327438:93339116:-1 gene:Solyc01g105160.3 transcript:Solyc01g105160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRLLHNSSRILLMLKLYAESQNLQSSCKTLLLAFYSWFFASGAHSVPAIVSDSSSNTTACSEDSRRENYFEIFEKKMNAKRIQLKESGRALAVSWALCGVCLVGHLSHFLGANASWIHAIHSTGFHMTLSLFTLLVPGRQLIIDGLKSLIKGSPNMNTLVGLGALSSFAVSSMAALIPKLGWKTFFEEPVMLIAFVLLGRNLEQRAKIKATSDMTGLLNVLPSKARLVVSGDLGESSSTVEVPSSSLSVGDQIIVLPGDRVPADGIVRAGRSTVDESSFTGEPLPVTKLPGAEVAAGSINLNGTLTVEVRRPGGETAIGDIVRLVEEAQSREAPVQRLADKVAGHFTYGVMTLSAATFMFWNLFGARILPPSLYHGSVVSLALQLSCTVLVIACPCALGLATPTAVMVGTSLGATKGLLLRGGSVLERFSTVNTIVFDKTGTLTIGRPVVTKVVSQGQGHQEDVDARKTFVSFACIAEWSEVDILKFAAGVESNTNHPIGKAIIEAAQTAKSPKLKVLDGTFMEEPGSGAVGYIDDKRISVGTLEWVKRHGVLENPFQESDDFKNQSVVYVGVDGVLAGLIYVEDQIREDARHVVESLTKQGISTYLLSGDKKNAAEYVASVVGIPKENVYYGVKPDEKNKFVSRLQKDQKVVAMVGDGINDAAALASAHVGIAIGGGVGAASDVSSIVLMHDRLSQSFSFSSCSVIMLLGYYTKVGNQLLDALELSRLTMKTVKQNLWWAFGYNIVSFSVSALHENRTSFFVHCHLKQVGIPVAAGVLLPSTGTMLTPSIAGALMGLSSIGVMTNSLLLRLKFKSRQKEIHGQSVIVDIPFDSDSLNQEKLKHPYPTSRYCTEYNIVVAAVWTDMDGGLCFGSKLISHQILMDPATCSFTWLGDADICSSQTTYRNCIPSF >Solyc10g081160.2.1 pep chromosome:SL3.0:10:62422285:62428498:1 gene:Solyc10g081160.2 transcript:Solyc10g081160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC22 [Source:UniProtKB/TrEMBL;Acc:K4D303] MATNENLPPNVIKQLAKELKNLDDSPPEGIKVGVNDDDFSTIFADIEGPAGTPYENGVFRMKLILTHDFPHSPPKGYFLTKIFHPNIASNGEICVNALKKDWSPSLGLRHVLMVVRCLLIEPFPESALNEQAGKMLLDNYDEYARHARLYTSIHAKPKTKLKTGAISESTTALNVGQTHTSLCNVDQKTVVSGVAPLQQPSPLSPTANIVKGGNNLDQPLTADTAVSGSAAPPSLTMKKETGLAKLPADKKKIDARKKSLKRL >Solyc04g054190.3.1 pep chromosome:SL3.0:4:51841050:51856019:1 gene:Solyc04g054190.3 transcript:Solyc04g054190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISATLSTATLPELKFLSPISTSRSFRFRIPQRSRIKAASGKREDNVVLEERDAELLRKVNGSVNGNGSVKRSVDLNGALLVDKYSNGSVGVIESENGSLMKYVNGNGVAGKSAEKVVEVKAEEVVEKRNKKSIDEIGQEEAWFKKNKEVKVSVPPGGRWNRFKTYSTIQRTLEIWGSVFTFLFKAWLNNQKFSYQGGMTEAKKTERRKVLAKWLKETILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGGSLDNIFERFDREPIAAASLGQVHRARLNGQEVVVKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKNLDYVKVPSIYWEYTTPQVLTMEYVPGIKINRIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLQASIQMGILVPTGDMTAVRRTAQFFLNSFEERLAAQRKEREMAQAELGFKKPLTKEELKEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGIEVAVKDFRKRWDRQSQAFYNLFRQADRVEKLAAIIQRLEQGDLKLRVRALESERAFQRVAAVQKTIGSGVAAGSLVNLATILYLNSVRIPSIIAYTACAFFGFQVLFGLLKVKKLDERERLITGTA >Solyc05g051400.3.1 pep chromosome:SL3.0:5:62549633:62553918:1 gene:Solyc05g051400.3 transcript:Solyc05g051400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGEASASASVQTTQTLRPALAFPPPNNTTNAHVPVAPRVGPVAVGVRIVQQEGVAALFSGISATVLRQTLYSTTRMGLYDMLKKKWTDPNTKNMPLGKKIVAGLIAGGIGAAVGNPADVAMVRMQADGRLPAAQRRNYKSVVDAITQMSKNEGVTSLWRGSSLTVNRAMLVTASQLASYDEFKEMILGKGLMKDGLGIHVTASFAAGFVAAVVTNPVDVIKTRVMNMKVEPGAAPPYNGALDCAMKTIKAEGPMALYKGFIPTISRQGPFTIVLFVTLEQIVIYFASALEYFLADMYPVLLGAQLMD >Solyc01g081140.1.1 pep chromosome:SL3.0:1:80314219:80316166:1 gene:Solyc01g081140.1 transcript:Solyc01g081140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKSIGSSSRKLMHASSSDVQLNICGLPFSLNRELLAARSSKLDALLRENPEDDLSHLLGDIPADSETFEIVARFCHGFDINLSSENVIKVLCLAHYLGMSEIHSTNNLTKKACFYFQNDVLPSWNKSIKALRSAENILQQAADLALIDACAESIIAKVMHDPSLLGEPMRNVTTTDDDSENDENAYKPNVRRRLFVLDWKSEDLTLLPIPLYEPIIRAMVQRKVPLEYVASSLFEYLNKWVFSDTKGGEDDPLTCEKNSQRVIIEAVERLLPQERGLIPISLLSQMLQSAIILDAHTECKTGLEIRIGKQLDQATVKDLLIPAQGYAKEERYDTESVKRILKNFYSNYASTDKSGLVVVAELVDNFLAEVSSDIDLKLNTFLSLAELSQAATGGTNRNSDGMYRAIDIYLDKHRYLTDWEREEVCRVLDSSKMSPEACEHAAQNEKLPIRVMVQILFSVQLKLKDTVSKKIQGSPDNRLLKLEEDERGTSSNEEEIMKAEIEKMGSKVVKLEKECDTMRREIQRGGSQHKNQKGKTSIWKEMKRKLGCMTSLHEPNCHVKKKKVHPPK >Solyc07g054970.1.1.1 pep chromosome:SL3.0:7:63257048:63257200:1 gene:Solyc07g054970.1 transcript:Solyc07g054970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVESLGRDCRDSHVKKSQQRPSIVQSFPVCQIFRLIVCRSSIKRMSS >Solyc04g079920.3.1 pep chromosome:SL3.0:4:64331502:64337192:1 gene:Solyc04g079920.3 transcript:Solyc04g079920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDLSENGHRKRRDKPEDKDSGKVERKKHEDRKTYGDREDRRERRRRTERRESDDDGEGRRERRRMESGNRDGSDEKKDDWRGDEHGDGGGRMERKGKDGNKENDDERDDRKDRRRKNYDDDKRESRKEETQVDERGDRRERRRRIERSESDDDGEDKDRDDRRTRRRRAERGNRDSSDDEKDDLRRKRDGGERMDMRRKDENKDDNDRRDRRRKHEQDDKKEKSRRNEGESNGRKERRRREDDDEKVHKRRKDEHGLRDRVDRYNDGREGDKRGRKDVEREKRVDGDDNNRKDIRQRDGDDKHGKENNRKDNAQEDGTFKANEDSKVQKQDANLPTDLAKLGRSGGVYIPPFKLARMMKDVQDKSSVEYQRMTWDALRKSINGLVNKVNAANLKNIIPELFAENLIRGRGLFCRSCMKSQMASPGFTDVFAALVAVVNTKFPEVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQIVHELIALELLTVLLEKPTDDSVEVAVGFVTECGSMLQDLCPRGLHGIFERFRGILHEGEIDKRVQFLIESLFALRKAKFQGYQAVRPELDLVEQEDQLTHEVSLSDTIDPEIALDIFKPDPNFLENERNVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKIHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHCLAYIRLTEEDTTSSSRIFIKILFQEMSEHLGIRLLNERLNDPTMQESLESIFPKDNPKNTRFAINFFTSIGLGGITESLREYLKNMPRLIMQQQKPVSDSDESGSSSGSESSESESESSSSSSEESDDSRRKQRKRRR >Solyc02g085160.1.1.1 pep chromosome:SL3.0:2:48778881:48779762:-1 gene:Solyc02g085160.1 transcript:Solyc02g085160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRGQEKDMGLPNPNPMSYNPSQLNQQESSSSAANKFLTAPNRTTNEHENTIFSPNQTLDQHNITQNSDPDPVRQLSTSSASERNITPVRYKECLKNHAANLGGYVLDGCGEFMPSGEEETLEYLKCAACDCHRNFHRKETEDESQTPGVHRNNHRIPNQTPPSLPAVPTQQQHHHKYPHSYPRGHMAPVMMSFGGNTGVAAESSSEDLNMFHGGQGVIQPCNFSASKKRFRTKFSQQQKDRMLEFAEKLGWRIQKQDEQEVHQFCNEVGVKRQVFKVWMHNSKQATKKKQN >Solyc03g078515.1.1 pep chromosome:SL3.0:3:52473931:52484962:-1 gene:Solyc03g078515.1 transcript:Solyc03g078515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQENSIEDLKVAWETIVSKAKSDHSSSHFPTSTDYISNNPTFELQQSTTSSSTQQQLVNQQAIVAHQPTFPISTQLDVCRLLAIPVRCKVYKPPPVAPDIQKLLNIQSNSSSMETSKFEKR >Solyc10g007345.1.1 pep chromosome:SL3.0:10:1728329:1729770:-1 gene:Solyc10g007345.1 transcript:Solyc10g007345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWNGSSRKHELFHVAFSNFLNNKSINIQLSSLTIVISFETSLFSSFATMISSGNGSWMTDSIHILDQNFLKINSDGSCKDGYCGGEEVIRDQSGSLSFTYYLNLGQGTSNSAEAKAMLYGVQWCISNKYEFILAESDSRLLVNCVNDLIITPWRIHDEGKELKDHMENTGFILNHYREANKVADAPASMSSSNPGNTLYEDFVDLPAGVKGLMTMDRCGDQFTNQTKDEAGFNMGSSIRTLRRLVNYIFLHTGSLLRKPIDKCYLLYLLHLI >Solyc08g067040.3.1 pep chromosome:SL3.0:8:56007525:56024621:1 gene:Solyc08g067040.3 transcript:Solyc08g067040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASGDPHQHQQHQHPPLPQQQFQQQHQQQPQQQPQQQHQQQPQQQHQQQHQQLHQQQHPPHFGEFPRGPQPLPGPPPMMRQPSASSTTLNSQLPGPPPHPSYDAHADSFAAKRMRKIGQRRAVDYTSTVVRYMQIRMWQRDSRDRTVLQPSPAAAVDILPAVAYLDNPSTSFAAKFVHTSLNKNRCSINCLAWTPSGRRLVTGSQSGEFTLWNGQSFNFEMILQAHDQAVRSMVWSHNDNWMVTGDDGGTIKYWQNNMNNVKAHKNAHKESIRELSFCSTDLKFCSCSDDTTVKIWDFARCQEERSLSGNAGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELSSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKEVESFRGHQKDVTSLAWHPFHEEYFVSGSFDGSIFHWLVGHEAPQVEITNAHETGVWDLAWHPIGYLLCSGSNDQTTKFWCRNRPGDSARDKFNLGQQGLGDQHNVLGRMPGNFPGPEPPSTPGAFASGMLRTEGTIPGVGAAMPLSIPSLDSPSQGEQKTSMPLGAPPLPPGPHPSLLASNQQQAYQQNMQQAQQQSLPQQMTSLPLQPPNLPQLQPPHMPLMPHPHLPRPPHQLQPVNMPGIQSSMPGSGPIQGMPPMGIQGNMNQMGPPMPQGHFVGMPPGSGPQGNVPPGGMPNGLPNMQGPQNAGGNQMFPPVRGFNRPQAGQMPLMPGLNPYQQSGNPNTPPGMHMQSNFGHQSGMPPPLPPGPPPHNQGHQ >Solyc08g079725.1.1 pep chromosome:SL3.0:8:63315874:63318922:-1 gene:Solyc08g079725.1 transcript:Solyc08g079725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNHNLSSGVSTLPGNLGWRGGLRGERDSSVENGEIHHYEEETSVLFVTALHQMKVRKLIPERRILPYPLFLQYLLQLLEIQRSFTMGNKLHCREGMSLEYSVLRQQIIPFPQRLAMAPATMRRKFFNANVEEVNGKHETLEHFSQTMGTTM >Solyc04g076030.2.1.1 pep chromosome:SL3.0:4:61058401:61062445:-1 gene:Solyc04g076030.2 transcript:Solyc04g076030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLVSSFHHQHSDLQSAHLNFSYPRFDIGQQNSFPTYMTPQSNEIPLNGDSPYFTFPGLPVSNASWPAETCNWLYYSPLFYQGFNPVSTTLPKEKLAPRALENLEGSKHPNGGTTSTQKRFLVFDQSGDQTTLIYNSANGTHVQCPASLNPKAPALYKEDPEIKRNETSPFGHFFGDEYYEENNRDDVESEMHEDTEELNALLYSDDDYNYSEDDEETSTGHSPSTMTTHDMRECFDGRGEEVASSAGVTKRHKLLDGSYDAPELRDTATSAKAYTCSDLEDDAQSSCGNGLEQDSGAPDSPSGKKRLRKDKIRETISILQEIIPGGKGKDSMVVIDEAIHYLRSLKMKAKSLGLDSL >Solyc12g098840.2.1 pep chromosome:SL3.0:12:67206768:67212249:1 gene:Solyc12g098840.2 transcript:Solyc12g098840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENASGRGTDNIENEVLESSDGLNCARVSDNTEKQKVVAADKVPYYKLFSFADPVDHALMVIGMITAVGSGICFPLMAVLFGELVDSFGMTVDSEKIVHEVSKVALKFVYLALGSGLATFIQVACWTVTGERQAARIRCLYLKTVLRQDIGFFDQQTNTGVIIESLSSDTLTIQDAIGEKVGKFIQVSATFLGGLVIAFIKGWRLALVLSSSIPPLVISSAVLIILLAKLTSRAQTAYSEAATVAEQTISSIRTVASYTGEKRAISEYQNSLNKAYHSGVQEGLASGLGFGVFMFVFYSSYALAIWYGAKMILEHNYTGGDVMNVIMATLTGSFTLGYASPCLSAFAAGKTAAFKMFQTINRKPIIDPYDMKGQKPLDISGDIELKNVHFCYPARPQESIFDGFSVSIPKGTTTAIVGRSGSGKSTVISLIVRFYDPQAGEVLIDGINIKEFQLRWIRGKIGLVSQEPVLFGSTIKDNIAYGKDDATLEEIKDAVRLANASKFIDKLPQGLDTRVGDHGNQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQETLDSVMINRTTVIVAHRLSTVKNADTIAVLQEGKIVEKGSHLELMRNKEGAYVQLIQLQELSKYSGEQESNELDSEEIIINQQIPVTRSASRGSARIENSSHHLSSMSVSAAEKAVGECHDPNSTVVLSKGKENTICRLALMNKREIPELLFGCIAAMVNALILPIFGVLLSNVIKTFYEPAHKLRKHSRFWSLSFLGLGLASLLATPLRTFFFAVAGCKLIRRIRLMCFEKIVYMEISWFDRKENSIGAIGCRLSTDAASVRGMIGESLALLVQNTSTAIAGLVIGLEASWQLSLIMIVMVPLIGLNGYLHMKYVSGFGGDAKKLYEDASQVASEAVGSIRTVASFSAEEKVVQLYKRKCEDPVRAGIKEGLVSAAGFGFSMFCLYSVNAASFYAGARFIESGKVTFAEVFRVFYGLSLTATAISQSGGLAPDSTKAKTGASSIFALLDRQSKIDSSDNSGMTLENVMGNIEFRHISFNYPSRPEVQVLNDISLAISSGETVALVGESGSGKSTVISLLQRFYDPNSGLITLDGLEIQKLNVKWLREQMGLVSQDPILFNDTIRANIAYGTETDATEAEILAAAELANAHNFINKDSSCNNVQGYETVVGERGIQLSGGQKQRVAIARAIVKCPKILLLDEATSALDAESEKVVQDALDRVRSGRTTVMVAHRLSTIKGADVIAVIKDGVIVEKGNHETLVNRQDGIYASLVSKSASTMN >Solyc06g048775.1.1 pep chromosome:SL3.0:6:31765783:31767050:-1 gene:Solyc06g048775.1 transcript:Solyc06g048775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQYIFGTLGRGLLIRLGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISWCTKKQPKVSRSSTEAEYRALTLLAAETIDHTTTQGNA >Solyc10g080480.2.1 pep chromosome:SL3.0:10:61860730:61867872:1 gene:Solyc10g080480.2 transcript:Solyc10g080480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKRTRNDVGANVNGGVKKYKPEVDSISGGVGSKSKPCTKFFSTAGCPFGESCHFLHYVPGGYSAATQMMKLTPAPSLRNAAAPPYSNGNAPSLKTKLCNRFSTAEGCKFGDKCNYAHGEWELGKPTIPSQEDPRAMGFGSMPGRFGGGRVESAASFGTSATAKISVDASLAGAIIGKGGVNSKQICRQTGAKLAIRDHETDANLRNIELEGTFEQISQASAMVRELINSLGPVGGGGRTHGNSGGAAPPMNNLKTKLCENFAKGSCTFGDRCHFAHGAAELRKTGE >Solyc01g087880.3.1 pep chromosome:SL3.0:1:82627242:82630010:1 gene:Solyc01g087880.3 transcript:Solyc01g087880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQKANLFKGQQKKKSVPSRHGKAPQIRKGKRAVKPSKNTKQMDVDRELTKFINQCNETKAATFATKDGGQLCILKKPESSSKKSESSSGASK >Solyc04g024430.3.1 pep chromosome:SL3.0:4:31221963:31225332:-1 gene:Solyc04g024430.3 transcript:Solyc04g024430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACFGYALLCCWDCLQFDYDWASYFLSFFFSLLTKKRFSALETFLKQFKHLNGLRHQMEASFLEMFRELWMQERIEDIGKVIRREQLEDGCIFLVIT >Solyc05g050750.1.1.1 pep chromosome:SL3.0:5:61733054:61733506:-1 gene:Solyc05g050750.1 transcript:Solyc05g050750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDELKRVFHMFDRNGDGRITKKELNDSLENMGIFMSDSDLAQMINKIDVNGDGYIDIDEFGALYETIMEERDEEEDIREAFNVFDQNGDGYITVDELKSVLASLGLKQGRTVDDCKRMIKKVDADGDGMVNFIEFKQMMKSGGFAALS >Solyc10g062030.1.1 pep chromosome:SL3.0:10:23933807:23937535:-1 gene:Solyc10g062030.1 transcript:Solyc10g062030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRAAFMAIITTFSRGHGRVREDRSLPGGDWCPLRGRNPYKRGESRPNILSIGGKFAHIRSPIYVHPG >Solyc03g096500.1.1 pep chromosome:SL3.0:3:60057881:60058801:1 gene:Solyc03g096500.1 transcript:Solyc03g096500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDQKQTIASLRHEIDYLLMKIHMSEATHKYAFEARKLKIWFLKQKFEEVDNTVKFYRGEIETLERENEDLKLKLNRMDIELLKKKNELVKIQIKELEAKVAELENKRKIQDNEISQSLTDENKYLFLALFVGNINGSLDDDISWKHSIAAMDRCSGAPFIIVAMISKWGSRTNLLKKVNMLETTHKVRSEEH >Solyc06g083430.1.1.1 pep chromosome:SL3.0:6:48887200:48888177:-1 gene:Solyc06g083430.1 transcript:Solyc06g083430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSPPPLLLPPPESNQPQISPNPPIPSPQKKTQPIPWTHQETFNLIQAYQEKWYSLKKGQLKASQWEEVAITVAARCGFDEPSKSATQCRHKIEKLRKRYRAERLKPYPNSWQYFDLMDRMERGPLPIAAHPVAMVKCQNSNSTSDQRYYDTDSDEVDVSFMDLRKNKCKSINHIVRGEMGMMGVNVVADNRNVNRMVKDRNFDPMRGMRNSMNEKRKGYFENVGINNDDDDVEEEADEEEDDVDGEGSVGGSELAAEIRGFAERFMRMESKKIEMMKETERFRMEMEKRRMEMILETQRNLIDTINSVVGSHKKVKVAHEF >Solyc08g007700.1.1.1 pep chromosome:SL3.0:8:2187771:2190002:-1 gene:Solyc08g007700.1 transcript:Solyc08g007700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILNFFPVFTILGCFSWPSIQGELETYIVHVESPENKISTQLLSEDLDSWYQSFLPNTVASTNSNEEEGPRLVYSYRNVMKGFAARLSEEQVKEMEKKPGFISAQPQRILSLHTTHTPSFLGLQQNSGLWSDSNYGKGVIIGVLDTGISPDHPSFSDEGMPPPPAKWKGKCESNFTTMCNNKLIGVRTFPKENGSPIDDDGHGTHTASTAAGSFVRGANVYGNANGTAVGVAPLAHLAIYKACESIGCASSNILAAMDAAIDDGVDILSLSLGGFTRPFYNDPVALGAYTATERGILVSCSAGNSGPAKSSLSNEAPWILTVGASTLDRKIKATLQLGNKHEIEGESAFHPKVLNSTFFPLNEPGKNERESTERSYCQDGLVYNSNGGKIVLCQVGGGISRVGKGQVVKNSGGVGMIIINEKGDGITTSVSAHVLPALDITYANGMKVLAYMNSTKKPVARITFQGTIIGDKNAPVVAAFSSRGPNLASRGILKPDIIGPGVNILAAWPTSMENNTNTKSTFNIISGTSMSCPHLSGVAALLKSAHPTWSPAAIKSAIMTTADTVNLANKPILDERLLPANIFAVGAGHVNPSRANDPGLIYDTSFKSYLPYLCGLNYTNQEIQRLLQRKVNCSEVKSIPEAQLNYPSFSITLRANSQTYTRTVTNVGEAKSSYSVEIVSPPGVSVIVKPSTLKFSKLNQKLTYRVTFSRTENSSTSGTVQGFLKWTSNRHSVRSPIAVVLV >Solyc05g014500.2.1 pep chromosome:SL3.0:5:8356970:8357820:1 gene:Solyc05g014500.2 transcript:Solyc05g014500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGQRQIVCLARVLLLKRRILVLDEATASVDTETDNVIQKTIREETNGCIVRTVDVALCSTESQTDIKKYNKWSSYSKRACEVE >Solyc10g007156.1.1 pep chromosome:SL3.0:10:1587141:1589306:-1 gene:Solyc10g007156.1 transcript:Solyc10g007156.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSIYSYPFNFTINFDQYLMLKNKKMANIRSSKKMNEKKKIPQRGLGVAQLERIRLEEQHKKDSIFRTIQCPKSIPTSSRIDFSSKDCVFTPNQSTPFLENSSKLWNGEYSQKIDHHGLVFGHNLNLASVLQQRCQQYQKTSTSTSIMASVKRPYPFSQEYSHVPSFQCKKCCVSPVSRSHESASSRNECSINVEEGNVLKREVVSKSRALSESEPSISLRESKALNGDFLRLAPPAAALPHLGEVNRCSLTDSAPQCLQLFDYVPSRVAAAEHTPASGLSISVQQPILGFFPSAKAQIGREGTRGSDFHTEVGGNVDLELKL >Solyc07g064860.2.1 pep chromosome:SL3.0:7:66974360:66976172:1 gene:Solyc07g064860.2 transcript:Solyc07g064860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYNQFLYFCNISRIKLLARPRQPFFLNTIRRYSKENYDHHAEEKAPTTAEEFTRVAEEMAEEKQHQGFSSQTVDKAQDAIKEATATTDSTFESVKTGKDNFHKNGDDDSNDLLKSNPQNQISS >Solyc09g066260.3.1 pep chromosome:SL3.0:9:64919958:64920319:1 gene:Solyc09g066260.3 transcript:Solyc09g066260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTGTGSSPCGACKFLRRKCAVDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVPDRCEAVFTIAYEAQARIKDPVYGCVAHIYSLQQQAKFSC >Solyc10g054810.2.1 pep chromosome:SL3.0:10:55907705:55909505:-1 gene:Solyc10g054810.2 transcript:Solyc10g054810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:X-intrinsic protein 12 [Source:UniProtKB/TrEMBL;Acc:K4D174] MFSNTQRFKLNNIDDDGKKPNFLSILGVPGFFCLDVWRASMGELIGSAVLVFMLDTIVISTLESDTKMPNLIMSILIAIVITILLLAVVPVSGGHINPIISFSAALVGIISMSRAIIYIMAQCVGAILGALALRAVVSSSIEDTFSLGGCTITIIASGPNGPVIVGLEMAQALWLEIFCTFVFLFASIWMAYDHRQAKALGLVTVLSIVGLVLGLLVFISTTITAKRGYGGAGMNPARCLGPALVRGGHLWDGHWIFWVGPTIGCVAFYVYTKIIPAEHFNAEYGYKHDFVGVVKALFGSNV >Solyc02g021700.3.1 pep chromosome:SL3.0:2:24058326:24071108:-1 gene:Solyc02g021700.3 transcript:Solyc02g021700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSHLNMGTAKAPTLLSSRPSFCNIGPQFIAPKSGARRAFSSRPLTQMETGGLDSDGRTFKNAEEMWREEVGDGDPQKKFQWYNKGINYWQGVEATVDGVLGGYGHVNTPDIKASEDFLNTILAERFPDAGRGRHLVTLDCGSGIGRITKNLLIRYFNEVDLLEPVSHFLESARVNLAPENLMVSELHKAANFYCVPLQEFTPDAERYDVIWVQWCIGHLADDDFIAFFKRAKVK >Solyc03g119080.3.1 pep chromosome:SL3.0:3:69264228:69280854:-1 gene:Solyc03g119080.3 transcript:Solyc03g119080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKETYACIPSTERGRGILISGDTKSNSILYCNGRSVIIRYLDRPLQVAVYGEHAYQATVARYSPNGEWIASADVSGTVRIWGTHNDFVLKKEFRVLSGRIDDLQWSPDGMRIVACGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIATCGEDFLMNFYEGPPFKFKLSHREHSNFVNCLRFSPDGSKLISVSSDKKGIIYDAKTGDIIGELSSEGGHQGSIYAVSWSPDSKQVLTVSADKSAKVWDISDDGKGKVKKTLASPGSGGVEDMLVGCLWQNDHLVTVSLGGTISIFSASDLEKPPVSFSGHMKNVNSLAVLRSDPKIILSTSYDGLIVKWIQGIGYSGKLDRKVTSQIKCFAVVEGELVSCAFDNKIWRVSLLGDQCGDANSIDVGTQPKDLSLALNSPEVTLVSFETGVILLRGTKVLSTINLGFTVTASVISPDGTEAIVGGQDGKLHLYSIAGDSLNEEVVLEKHRGAITIIRYSPDVSMFASADVNREAVVWDRASREVKLNNMLYHTARINCLDWSPDNTMVATGSLDTCVIIYDVSKPASHRITIKGAHLGGVYGLAFTDERSIVSSGEDACVRVINWNTVQILKCSFGLNCGQLMNGANSCNHATVLTVHSDDDDDDVSPENTILDTGGLSRESFPKGFIFGTATSAYQVEGSASTEGRGPSIWDTFLKIPGLEPNNANGEIAVDQYHRYKEDIDLMAKLNFEAYRFSISWSRIFPNGTGKVNWKGVAYYNRLIDYMLKRGITPYANLNHYDLPQALQDRYNGWLGREVVKDFADYAEFCFKTFGDRVKNWFSFNEPRVVAALGYDNGFFAPGRCSKPFGNCTEGDSATEPYIVAHNLILCHASAAQRYREKYQEKQKGKFGILLDFVWYEPLTKGKADNYAAQRARDFHLGWFLHPLVYGEYPKTMQNIVGTRLPKFSKEEVKMVKGSFDYVGINQYTSYYMYDPHYTTPQPLGYQQDWNVGFAYDRKGVPIGPRAHSYWLYIVPWGLYKAINYVKEHYGNPTIILAENGMDYAGNITLPKALHDTKRINYYKSYLQQLKKTVDDGANVIGYFAWSLLDNFEWRLGYTSRFGIVYVDFNTLKRYPKMSAYWFKKLLKRQKH >Solyc08g007580.3.1 pep chromosome:SL3.0:8:2125220:2129374:-1 gene:Solyc08g007580.3 transcript:Solyc08g007580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYLKLHSYFLLSKTNKKESEKLDSIQSTMAVPISLSLSKLHSLPNPKQTQFSFTHKDPNFIFFTRKKTHFPAPIISNLKNVAAILGFSGLAFTTLMVGSASGSELGLMGSSSFQFNEPSNALSLHTWASHVSTIVEWVTAMALVWQYGEKSGYESWKGLSWGMVPLLGGALCACTWHFFYNSESLEVVVALQAALTVIGNATMCFAAFRIYRSTQNQSKEL >Solyc03g114700.2.1 pep chromosome:SL3.0:3:66084083:66085969:1 gene:Solyc03g114700.2 transcript:Solyc03g114700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSFSSFMLVMLLFIFLTIKDTIAHTDDQAKQIVHEAENYNASDLKSYLSVKKKVLESVPAAAAFRLGGRKMMIERTKDMKEVKKGESSGAASASVHSVGNLNYRKGQGKLREMSGNYNRPLGHDSRKNLHYQGNESDSMNSASTHNLKNDDQSDDNGSFQKIESEKLVDDMTELFTMMNKDYVGGPGSGSKPRHKPPINNFQPLHRSNP >Solyc06g083140.3.1 pep chromosome:SL3.0:6:48727680:48728914:-1 gene:Solyc06g083140.3 transcript:Solyc06g083140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTQCLIAFKASNGSMIVNTYNLTSYTSITQTDKLLFEVLNSKAEYSNGAMQILATLILPSNMTTVNQVWQVGLAVKDGTPMAHKFDPDNLKSKGTLNLTTSSGGDEKNATAPAPAGGSGQSDDKMGGSSRISNKNTSFYVFVMFLGVLFFNLRSI >Solyc04g016590.3.1 pep chromosome:SL3.0:4:7438406:7447400:-1 gene:Solyc04g016590.3 transcript:Solyc04g016590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRLSLRLMILLLLLPSWSSKGEHLAGSNEPIKIEGRACHNSASLTGWGLVFYGVISSSQEERKRRRKRNDHTDVGLSLFTGNKVV >Solyc05g048830.3.1 pep chromosome:SL3.0:5:60371197:60373640:-1 gene:Solyc05g048830.3 transcript:Solyc05g048830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCDKKGLKKGPWTPEEDEKLVEYIKNHGHGSWRSLPHLAGLARCGKSCRLRWTNYLRPDIKRGPFSHDEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLSMGVDPQTHEPSSAPNGQMITPPTSLAARHMAQWESARLEAEARLSRESQPLVPSSVGRSGTDYFLRIWNSEVGESFRKFNKKEGRTTCESPASQASSSTKFGSTSGVTTEMDVSFAAYQNEETEWKNSQPYTEDVLQGYDDTSSSSGLEDSSESALQLLLDFPSNNDMSFLGHSDTYSLYPEFLSESSFKCSSAQHEVGFL >Solyc06g084210.3.1 pep chromosome:SL3.0:6:49435902:49453195:1 gene:Solyc06g084210.3 transcript:Solyc06g084210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGGPRPRNAPPPNYNPNALADGMQNLQVNRPNQPPSAPRPNTPFGQQPPFSGGPPVSRPGPPPPGVFQRGPAPPSGPPHGLPPPVAQSVPPFASRPLPPGVMPPSMGGAPPPPGSLPSALGPRPGPPGPFSSSPLTTGPAVPPPSSISSSISNGPPAGGPGMMQGGGRFPPPSNTMRPPFGAPPPAMVSPGASSQPSGMRSPFGSSSSVSATPVTAQPPPPFSGSFQNMPPPSGSSPFAAPVQAMPPPMGAPYGTQSWQPHQGAPPSAIPGSMQPPSMYGMPPPLPNQAVASITPSIGHTSPSKVDPNQIPRPIPNTSIVLHETRQGNQANPPPPATSDYIVRDTGNCSPRYMRCTINQIPCTVDLLTTSAMQLDLLVQPLALPHPSEEPLQVVDFGESGPVRCSRCKGYINPFVKFIDQGRRFICNLCGHTDETPRDYQCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMNAIQTGATAAACSAISQVISDLPDGPRTLVGVATFDSTIHFYNLKRALQQPLMLIVPDVQDVYTPLQTDVIVQLSECRQHLELLLESIPTMFQNNRIADSAFGAAVKAAFLAMKSTGGKLLVFQSVLPSTGIGALSAREAEGRTNVSAAEKEANKLLQPADKTLKTMAIEFAEYQVCVDVFLTTQSYVDIASISVIPRTTGGQVYYYFPFSALADTAKLYNDLRWNITRPQGFEAVMRVRCSQGLQVQEYSGNYCKRIPTDVDLPAIDCDKTIMVTLKHDDKLQDGSECSFQSAVLYTTIDGQRRIRVSTLALPCTTMLSNLFRSADLDTQFACILKQAASEVPTAPLSRIREQVTNLCINILHSYRKFCATVSSSGQLILPEALKLLPLYTLALVKSTGLRADGQIDSRSFWINYVSPLSTPLAIPLVYPRLIAIHEFDTKENDDSLIPPSIPLSSEHITDNGIYLLENGEDCLIYVGNSADPNVIRQLLGISSVEEIPAQFVLQQYDNPLSKKLNDIINDIRRQRCNYLRLKLCKKGDSSGMLFLSHMVEDKTQNGLSYVEFLVHIHRHIQNKMA >Solyc01g109810.2.1.1 pep chromosome:SL3.0:1:96583393:96584444:1 gene:Solyc01g109810.2 transcript:Solyc01g109810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNIKLEKANAMLRYKKRQRVTTLFRFIEFCIFFAIISRFSTQLPLNFKGLGFTIISPRFVFVLGNIIVIILFLISGQSSTKDGSTNNVKIDMYDEFKQKCLMNKDTYCEQSKKQSTGVQDTCCCEQSKKQRTLLERQLEKKIHRSHSDNSLSLSLDEKKPRKKLTRSATLRSRKVINTDSIKPIMTKTTTSYPEDEMSNEEFKKTVENFIARQQRFLREEEFSAVVSYES >Solyc05g055190.1.1.1 pep chromosome:SL3.0:5:65788276:65791362:1 gene:Solyc05g055190.1 transcript:Solyc05g055190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKVFIIFATLFFHFSIVYSCLEGERVALMSFKSMLTDPSNRLSSWKGEDCCIWKGIKCSSEGRVVVINLRNVNPEEVTINSNKEVVSSSNNISDFSLKGTISPLLFTLDDLQYLDLSFNNFMYSKLPVEISNLTKLTYLNLSNAMFQDSITTQFSNLTSLRYLDLSCADSVLDFSSITIRLTLPPKLDFDSLLSFMSYGYLSSPNLRWLEGLRRLRYLVLTGVDLSKASESFHWAKPISGLSNLMSLQLSSCNISGRVPIEQLLNLTSLSTLDMRSNVLTSTIPDMISNLTTLSVVNFRGNNLDGHIPYLPQLERLSISSNPAMTIDLVSMFSAPWPKLTLLDISFTRVVGPIPPSLSNSTLLSYFRADGCSIQGSIPSSITKLQKLSILMLNNNDITGQLPVSMSSLVSLQYLSLFQNRLQGYIPNSICQIPSLEYLNLQWNDLTGRLPSCILQLPKLSLLYVQMNNLNGNMPLSMLQKSRLDFISFGVSGLSVELDDQIQSFVQTFQPTVLEFTSCNMRGEIPEFFSNLTSLEILILANNSLSGAIPYWLFNLPSLSVLDLSMNNFKGVIPPIIQMKSSRFTTLVNLARNKLQGPIPTQLENVNVIDLSFNNFAGSIPTQMGEVHGIRSISLSGNRIHGPIPESFCQTTNVLQVLDLSNNSLSGNIRRSFGNCKSLIYLSLGKNKLSGSVPKELERVTSLRYLDLNGNEFEGSFPTVIEKFQDLEILNLAGNRFEGRIPKFIGEIHSLRILMLASNSFNESIPEEVMKLENLQYIGLSRNNLSGTIPQNLDGLKMMMKTQNQTTILGYVYSLKFTGAQLEIVTKGQTHFLVSVYSYNSGFDVSNNALTGKIPDKIGLLSGIPFLNLSHNHLTGVIPMTIDEMISLESLDLSYNQLTGEIPATLAPLDFLAYLNLSYNNLSGRIPKNPHFDALYQDRSAYIGNKYLCGAPDGMNCSNNGPSIISDTTDDGYDQEHVLFVLVIFSGFVTGIAGVFLLLHLINENWRSRYWRAVDRIVLKIVNSKL >Solyc08g080200.3.1 pep chromosome:SL3.0:8:63633650:63649570:-1 gene:Solyc08g080200.3 transcript:Solyc08g080200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKDRQIQEWQGYYINYKLMKKKVKQYDNQIKAGSLDRRHVLKDFSRMLDNQIERIVLFMLEQQGVLASRISELNKQQESLQEQPDISKIIELRESYRDVGRDLLKLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLADLQDRQGSYLSIYDQPSLPLQDSVVDSLQAAVDRLSHSTNFLNFLAQHALIMQEEFPTPVEEQVDDQRYHFMSLILNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAIAQIFSSVYFSAWSNRSYFRPLVFSSIVLFVGNIMYALAYDLNSIPVLLIGRIFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWLMAFAWLVYLIWLWISFREPATETEVNTGPQEPANNVENDVLESGITQPLLLKVEDNQQDDENDQEYDESEEAPEESRQPANSIAEAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVVTTYYFSWSTGTVAIFLACLGLTVLPINVVVGSYISNMFEDRQILLASEIMVFLGILGSFHIVSPYTVPQYVCSGLIMFVAAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTFARVIADVTITLAGYLGQTPNYSFMRQATTAPEISHYDYIIIGGGTAGCPLAATLSQNYDVLLLERGGSPYGNPNITYLSAFGSALSDLSPKSPSQRFISEDGVINARARVLGGGSCLNAGFYSRAGTKYVSSVGWDGQLVNESYVWVENKVAFQPPVRQWQSAVRDGLVESGVVPYNGFTYDHINGTKIGGTIFDAAGRRHTAADLLEYAKPSGITLLLHATVHKIIFQTRGLSRPKAHGVIFRDALGKKHTAYLRRGEMNEVIVSSGALGSPQMLMLSGVGPSEHLKAHNITVVLDQPNVGQNMMDNPMNAIFVPSPLPVEVSLIQVVGITRFGTYIEAASGENFSGYRSSRSDYGMFSPKIGQLSTVPPKQRTPEALEKAINSMNALDAAAFRGGFILEKIMGPISTGHLELRTRNPNDNPSVTFNYFKEPEDLQRCVDGLKIIENIIESKSFSQFRYDSISLPALLNLTASAPVNLLPKHDNISVSLEQFCKDTVMTIWHYHGGCQVGNVVDQDYKVIGIDNLRVIDGSTFNYSPGTNPQATVMMLGRNCVLKEALTRQSASMDMFHQSLSFLQHCGVTVKLSIGHRFEQK >Solyc05g007760.1.1.1 pep chromosome:SL3.0:5:2222497:2224095:1 gene:Solyc05g007760.1 transcript:Solyc05g007760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEDRIQNLRSKATELLLRKEWKDSIEVYTELISLCHDQISKPHQNLDPNNLPKLKKSLCLALCNRAEARLNLQDYPQALLDCNEASQIGNTHFKTLLCKGKILLSLNQYGLALDCFKKASLDPNELENSEMLNGYLEKCRKFEFLSRTGAFDISDWVLNKFQGKPPELAEYIGSIEIKKSDISGRGLFATKNLDCGSLLLVTKAVAVERAIVPESVFQDSKEQAQLDMWRNFIDRILESIKKCNRTRDLISKLSNGENEDDLEVPDIDLFRPEGEDSSTLHDKKIDKEKLLNILDVNSLVEELISAKVLGKNSDVHGIGLWILSSFINHSCDPNVRRSHVGDHLMIHASRDIKAGEELTFAYFDVFSPFRDREEKAKNWGFVCTCKRCNLEKGVCSNQEMMEIEMFLGKGLDNGGVVYRLEENMRRWMVRGKGKGYLRSSFWRVYSEVYESERLLRKWGSKVPLMDNVLDSVVDAVGSDERIVKLLMRKNGHKGNGIVEMEKAMKLGRGLYGKIMKKQTLRTILIQLGN >Solyc05g015007.1.1 pep chromosome:SL3.0:5:9352047:9356953:-1 gene:Solyc05g015007.1 transcript:Solyc05g015007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSDYVPSEVPTLIDIGVVRPKGQGMETTCQKPKKIIWTQYKCGLLIMDKGWMDDPNFSKRYVEECKEFEKNHQRFLEEPCNIRIGLAIDGFNPFGNMSTSYSMWPVIRVPYNLSPSKPVIDDPIYNINKIVIDLRVTIRNLGTTNNNNSFPTTTSTTNSLTVEDNIFVEQDSKEESNSILHSSYQLLPTITMFPMVTQCLQAISSAADGVSTQLGDTPSISTATSSSLPHKQKRKFSTAPKPFPSWPLITYHRRE >Solyc05g050180.1.1.1 pep chromosome:SL3.0:5:61036794:61037384:-1 gene:Solyc05g050180.1 transcript:Solyc05g050180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTNFSPFLGPSPVLYFCTVCNGMFHTYSGFINHIQITHPLSSEQDIILHSSVYASGTFLPANPLSTQPAAPRNVRPERNNNIVIMPTPSSNLNHPMISRDILLPIDRQLMARRNWFVPIGRGTTPIVDRQQMERKNMVESSREAIADRTIPLIDQLDVPISSNADELINIDEEQIDLDLELRLSLEKQVCESFL >Solyc05g044615.1.1 pep chromosome:SL3.0:5:58037606:58044630:-1 gene:Solyc05g044615.1 transcript:Solyc05g044615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHQTQSRAESISSKQSSEFSSPPAGQSVLPPFFIGVIRSNSKPGDALAAAFAASRSIPAPRAAAIKSKKASSEVLQRALESDESASIDPSACTDANISDKNLDTFGRTVFLHEIGSETIGLEGNIKDQFQAGQVQLSDTDNDSREVSTVDAVKSNLNEALSYTGAQVESPSRIESDSVFHDSSGLDEIEDRQVQPLFGGEDNVVSADSSEEAGTKEILSSPVYETLSDEDLTKNDGAKLEHENVITQSKEGEVSSNGDETNSLNDAASIIDELVLQQESMRDSTNPKKNYHSALKPLELAEEAEKKQAFTAMHLEEGASAQPMRLDGVHRSSNVLGYFDVDENNTITQTLLSQAFRREHGSSQVLAVHLKYIAVGMSKGSILVMPSRYSSSHHADNMDAKMLIFGLSGDKSHAPVTCLSFNQQGDMLFAGYGDGHYTVWDVQRASVLKVVTEHKAPVVHLLYLGQDSQVTRQFIVLSGDTKGVVNLDRFTVFPLFNRISLSKSQELLNESNSTTLCAVSLLSGESYGSATVASQEGGSPSLIEEGVVILGTHQYALVAKLSPTFKVYAKIPRPDGAREGSMPYAAWKCMESHISSVLVCMNFGHGYVAFELAKIVFVAAESITTETYEKVSLLAIAWDRRVQVAKLVKSELKVCWRWTTDSSAVGLAWLDEQILVILTATGQLCLFSKDGNLIHQRSFSMDGSCGENLMSYHSYFSNVFGNPEKGHHNCLGVRGATLYILRPSQLVVSRLLSWKERVEVLHKAGDWTSALNMAMSLYDGQAHAVIDLPKNLDDVQKTLMPYLVQLLLSYVDEVFSYIAVTSGNPHGQPGQSNELKYDADFVNPDIKEQYTLVGGVSVEFCLHIKRLDVLFDEIFPKYVAVNHKDTFLELLEPYILKDMLGSLPPEIMQALVEHYSTKGWLQRVEQCVLHMDMLSLDFNQVVRLCREHRLHGALIYLFNKGLDDFRTPLEELFLILRDSKRGSAIALGFGGITSNEETIQIKGNIKLSIISASRTLRRLQGDNYFGCMVTLTDTVTILIIYAPRRLIQERNNKDWEWRGRDYAEYFLGNEMNL >Solyc03g111793.1.1.1 pep chromosome:SL3.0:3:63907852:63909768:-1 gene:Solyc03g111793.1 transcript:Solyc03g111793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like kinase [Source:UniProtKB/TrEMBL;Acc:A0A0M3L7B4] MTFTASYIHLSFLSFFTVILLVQAKLNLYSPDHSALLLVQKGLGIPAQRNVLENPCNSVGISCEKRLINNSNVLRVTRVVFKSYGLKGTLSPAIGRLSELKELSLQNNKLFDRIPTEIVDSRKLEILNLQNNQFSGKVPPELSSLVRLRILDLGSNELSGNLNFLKYFPNLEKLSLADNMFTGRIPQSLKSFRNLRLLNISDNSFLEGTVPVVSQVEHLSTDLNRKDYVLKRYILAENATRPRHSPAMAPESKSRYAQAPGPSTVVVPVKKDKHNKIRRKVGAWILGFFAGVFAGGLSALIFSVLCKVLMFLIRGIRNDPGLTIFSPLIKKAEHLAFLENEDGLESLELIGQGGCGKVYKAALPGSDGKIIAVKKIIQPPKDAAELTEEDSKAMNKKMRQIKSEIKIVGQIRHRNLLPLLAHMPRPDCHYLVYEYMKNGSLQDTLQQVREGKRELDWSARHRIAMGIAAGLEYLHINHTQRIIHRDLKPGNVLLDDDMEARIADFGLAKAVPDAHTHITTSNVAGTIGYIAPEYHQTLKFTDKCDIYSFGVLLGVLVMGKLPSDEFFQNTSEMSLVKWMRNVMTSEDPNRAIDPKLMGNGNEDQMLLVLKIACFCTMENPKERPNSKDVRCMLMQIKH >Solyc12g062800.1.1 pep chromosome:SL3.0:12:34898224:34898604:1 gene:Solyc12g062800.1 transcript:Solyc12g062800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLIKGRWKWLSMIVWRGKMEVVVEDILKCTFDICTMIFNCSFMFDKP >Solyc04g076260.2.1.1 pep chromosome:SL3.0:4:61278200:61281604:1 gene:Solyc04g076260.2 transcript:Solyc04g076260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEWWWSVLGAAVPAVMAGQAFRTKKRRDEEERSNRARGREKNSDDIFVCERVCTSNRMLKKVGAFSKDPTPDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLKRCQSECLRLSQSSVVSS >Solyc06g083450.3.1 pep chromosome:SL3.0:6:48897289:48898475:-1 gene:Solyc06g083450.3 transcript:Solyc06g083450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLLSFHLKDAILEGEIPFNKAHGVHAFEYHGKDSRMNGLFNKAMQNVTCIAMKKIVECYNGFEGVKETIDVGGGLGISLASIISKYPNIKGINFDLPHVIKDAPTYEGIEHVGGDMWDSIPQGELIILKGVFHSLDDEDCVKILKYCWRALPNDGKVVVIEQIQPEYPETNLLSKHLFTLDISMMIMFHGGKERTKQQFEDLAKQAGFTSIKVVARAYYSWLIELYKY >Solyc09g075040.3.1 pep chromosome:SL3.0:9:67259148:67263721:-1 gene:Solyc09g075040.3 transcript:Solyc09g075040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4CV81] MKFCKKYEEYMEGQCRNKKLPRVGLKKLKKILKRCRKCHQSRSVVGLSGDNNIVHDSSSCSQHQCSVCDGSFFPSLLKEMSEVVGSFNKRAQKLLELHLSSGFRKYLILLKEKIQGNHIALVQEGKDLVTYAIINAIAVRKILKKYDKIHYSKQGQAFKSQAQSMHIEILQSPWLCELMAFHINLRENKAKNGKALALFDGCSLVFKEGKPSLTCELFDSIKLDIDLTCSICLDTVFDPVSLTCGHIFCYICACKVASVTIVDGLQAANHKEKCPLCREEGVYESAVHLEELNILLSRSCPEYWKERLQTERVERLRLAKEHWESQCRAFMGV >Solyc01g105827.1.1 pep chromosome:SL3.0:1:93756094:93760547:1 gene:Solyc01g105827.1 transcript:Solyc01g105827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQVVIEISSKLAENYCICFTFHFATSSQKVPVVVKPDHIISIKLEGSFGKKLPFTSEWTLCDIITINSEWCKSVETALVELYLKCKDSDVYNTDNESSGAIVLTFALFDPDWSEIQEAIKMLNVRYKDKWNDITALDPTRSYSPFFGRKSISVEEHDHPNSRDNFEEIIFPEGDPDAVSISKRDVDLLKPKTFVNDTIIDFYIIKLADLDRDPSKACEGRAAFLRVRRWTTKSPLEFDSHLSPWRVKRIVLNWRKFLAEEEMEKSSRVPCILHMDSIRGTHKGLKNLIQSYLLEEWKERHKEVGEDVAKKFSSLPFVRLEVSLISHLSIMH >Solyc07g021154.1.1 pep chromosome:SL3.0:7:16397692:16398183:-1 gene:Solyc07g021154.1 transcript:Solyc07g021154.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKEVFIVIKVKNTNRSLVAFKLTFLDHLQTPGIIDKFLKVMGSEGKMQMVMYGIGSNFTDWGRRKT >Solyc07g066470.3.1 pep chromosome:SL3.0:7:68019734:68023064:-1 gene:Solyc07g066470.3 transcript:Solyc07g066470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVALNASNLSSGSLLPIGFSSPCRKSALSLQRRRVHVTRASVALEQQAQTKVAVIRVGTRGSPLALAQAYETREKLIASFPDLAEEGAIEIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLTAGSLAELPSGSTIGTASLRRKSQILHRYPSLNVLENFRGNVQTRLKKLNEGVVQATLLALAGLKRLNMTENVSSILSIEDMLPAVAQGAIGIACRSDDETMANYIAALNHEETRLAIVCERAFLTTLDGSCRTPIAGYACRGEDGDCIFKGLVASPDGTRVIETSRKGPYTSEDMIRMGEDAGQELLSKAGPGFFGN >Solyc01g099910.3.1 pep chromosome:SL3.0:1:89907367:89910286:-1 gene:Solyc01g099910.3 transcript:Solyc01g099910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEHRTLNVNGINMHVAEKGKGPVVLFLHGFPELWYTWRHQIVALADQGYRAVAPDLRGYGDTDAPKEVTSYTYFHVVGDLVALIESLGVESVFLVAHDWGAMIGWYLCMFRPDLVKAYVCLSVPFRPRNPKMKPIPTMRAFLGDDYYMCRFQDPGMEDEIAKYGSEAILKKILIDRTPGPPRLPKENPFGISTNDKLPLWLSQDDLNYYSTKFDQKGFTGGLNYYRALDLNWELTAPWTGVKVNVPVKFVVGDLDVVYTTPGVKEYVHGGGFKKDVPMLEGVVVLEGAAHFINQERAQETNSHIYDFINKF >Solyc01g103290.3.1.1 pep chromosome:SL3.0:1:91791650:91791814:1 gene:Solyc01g103290.3 transcript:Solyc01g103290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKMAPPLSISSPRLLFQNLPVAFFSCSPSSSSCCCTEDLRYTSFFFVLLIFSV >Solyc09g061780.2.1.1 pep chromosome:SL3.0:9:60556899:60557435:-1 gene:Solyc09g061780.2 transcript:Solyc09g061780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPGMAARTALTMSSYSL >Solyc05g041670.2.1 pep chromosome:SL3.0:5:53868119:53868825:1 gene:Solyc05g041670.2 transcript:Solyc05g041670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPEAGQLIALLLKLTNPKKTIEIGCANIMQIIAIDLDREAYEMELPIIQKANIEHKINFIQSSTLSALDELLNENDNRGIFDFAFIDADRVRYQKYHERMLDLVKVGVSMPEECVKETMKPNRQHIIEFNKFLASDTHVQISQVPI >Solyc05g008820.3.1 pep chromosome:SL3.0:5:3053304:3055784:1 gene:Solyc05g008820.3 transcript:Solyc05g008820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGNTHEIERGCGHTIKSHGLSVARIHIHDWLILLLLVVIAIVLNVIGPFHRFVGKDMMTDLKYPMKDNTVPAWSIPLYAVLLPIIIFVFIYLRRKDVYDLHHSILGILFAILITAVVTEAIKNGVGRPRPDFFWRCFPDGKDEYDRWGNVKCHGKESDIKEGHKSFPSGHTSASFAGLGFLSLYLAGKIKAFDRRGHIAKLCIVFLPLLMASLVGVSRVDDYWHHWQDVFAGGLIGLFVAAFCYLQFFPAPYHTEGWGPYAYFRAVEEVRVSRQHATPTNGGVEVEHSEVQLNQQSGTTTIAFEDVENGRI >Solyc01g014533.1.1 pep chromosome:SL3.0:1:13996952:14003927:1 gene:Solyc01g014533.1 transcript:Solyc01g014533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSFVKVDINRLKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFDMSSSKPIDTPSAANIHLTAMFAPQSEEENEGTSDVGLIYGGDTQCLVIVYSDSYYAGDVDTRRSMTSYVFTLGGSVVSWKATLQPTVTLSTTEVEYMALTEAAKEGIWLKGLTIMVE >Solyc07g039380.3.1 pep chromosome:SL3.0:7:47456900:47457592:1 gene:Solyc07g039380.3 transcript:Solyc07g039380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMIEYVLSWFTPTVLFCVLNLAIATLLITSTFRNTSNDKKQEASEDQLPTTPSLLQRVSPSLLQRVRSINFSFSSQKPTPPFPSLDEQQVEDLKCHVTRSKSVTCAEEEKKVGNHHRRGGGGGRKLFRDEEEDAVDKKADDFINKFREELKMQRLQSMEMLNRGVSI >Solyc01g066513.1.1 pep chromosome:SL3.0:1:74311655:74312338:-1 gene:Solyc01g066513.1 transcript:Solyc01g066513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMHGPSDSQISMMFHCFGAGKVNTSLSGLVKPSPKVSKLTKNEITVKLMDSYSNLVLLQQSKLKLEISSDNSSGSSIWTFSDNKDGTYSGSYLAKDVGSYELCASFDGMRLMPCPFGVNVYTSEYFPRVQNDSVWVWEDDSIAFDALENDYFAGHNITIVEFSKASVLPSHMN >Solyc10g084160.2.1 pep chromosome:SL3.0:10:63951316:63956114:1 gene:Solyc10g084160.2 transcript:Solyc10g084160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLESAKKEVLAEIVKLAQKREMKGSKGGWKDFLRSYDKKLGVSLSDPSKRSIDVLLAFLKTFSEDDLKFFDKVFQCHSNRDAVEKLQKSSPDSESPEQRLVRLTFEHPQYPIDYSFPSHEEDWLVTKRSKKSNFIQSKDMVAIDCEMVLCQDGTEALVRICAVDRNLEVKLNEFVNPNKPVVDYRTDITGITAGDLEGVSCSLANVQTSLKKLLSHGTILVGHSLHNDLRALKMDHARVIDTSYVFKYQGQAFSRRPSLSNLCKSVLGFDLRKIGSPHNCLDDAITAMKLVLAKLECGGDNIIPLVCEEAQEPAMSKLLVHRIPAAVHSQELHKVIPGDFTVEVKANKKGQADKYSALINFKTQHEANEAFDKLEGNQEKDIGGRPQKLVSFHLDSGVLGSCYVRKMDANNDSKDKEVTPKKRSLEDEEAIVESKKPKTEDQCKELKKAAGAGCNQCETHSMEIEKLKKDLAHRDEEISALNKIIVNLVRKQGF >Solyc02g093820.3.1 pep chromosome:SL3.0:2:55165729:55172060:1 gene:Solyc02g093820.3 transcript:Solyc02g093820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDSAPATKRQRFTAVDPMELESAKAKLAAAKETFGREIRVFETATASSVPPDVSSNVSEEPEDFYEFTPEDYYRLLGTKKEEKHLKTKKIREAEEAARRARITKAVIRVRFPDNYILEATFHPSETIKSLLDLLVKVIAHPELPFYIYTTPPKKQIKDFSKDFYSAGFIPGAVVYFSYDLPKGDDGAAASGSYLQEEVMSLQGLDSMIEKMEPGEPSRDESPTTNPPPSVPDQKPAADKKKIKPKWLKL >Solyc04g076120.3.1 pep chromosome:SL3.0:4:61158864:61162275:1 gene:Solyc04g076120.3 transcript:Solyc04g076120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKQMLCCNLFSVIFLFLLAEIIHFPECVKAAGSPVKFLPGFQGPLPFELETGYIGVGDSDDVQLFYYFVKSESNPKSDPLILWLTGGPGCSALSGLLFEIGPITFEPVEYGDFKPAEYNGSFPSLVLNPHTWTKVASFIFLDLPVCTGFSYARTSVARQSDDLLASDHAYQFLHKWFNDHPEFFRNPFYVAGDSYAGIGIPIISQLIANGNEKGLEPFIDLKGYLLGNPATFHGEYNYKISYAHGVGLISDELFESLKQNCKGEYFDIHPSNTKCWNDMQTYDQMLGGITNAHILEPKCEFGSPRPQKWIGQRRSLDEMLYLGRLRCRFDWEKMSYHWADDDKVREALHVRRGSIGKWKRCAGDALPYQKIIGNSIPYHANLSVKGYRSLIYSGDHDTMIPYTSTEAWIRSLNYSIVDDWRPWIVDGQVAGEEDIQLQSTSLLNV >Solyc02g032320.1.1.1 pep chromosome:SL3.0:2:28698429:28698638:1 gene:Solyc02g032320.1 transcript:Solyc02g032320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILITTVGIMVLIYSDNYIAHDQGYLRFFAYMSFFSTSMLGLVTSSNLIQIYIFWELIGLCSYLLLGF >Solyc01g010797.1.1 pep chromosome:SL3.0:1:5794495:5796716:1 gene:Solyc01g010797.1 transcript:Solyc01g010797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLFSFYFVNAFQNSVIPWYPNQLFWISINVILSGQNSFCSKKEFITPQMEKKGGKTSASVLQLDTFNNPCLNSVNGLFCGWESSYMQPAAIFNPSTKQVRFLPNPTEGKSWNKCSIPEENKYKVLLTTYNTRDRHTKYWIFTLGIDKLWRDTHYTFPCIPFTLPNVCISGVIYQLFMVDYISIVAFDVKSQKKIEIITLWNTIESVYYYQLIEVKDKSGITGCRKWVSGYFDLWILEKTPTGVPSIWNNTEPKSVSFFMARNLCWMELKIKGLPKENNIKGIYSYVGSLVPFGYLVQTGRRRGYMDMWILENPEIIKN >Solyc01g067930.3.1.1 pep chromosome:SL3.0:1:76752474:76753868:1 gene:Solyc01g067930.3 transcript:Solyc01g067930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRFLSARRAWQVRRIMRNGKLTFLCLFLTVIVLRGNLGAGRFGTPGQDLKEIRETFSYYRKRVEPRRVLEEAQQVSSSSTVSDGGASSSDSNNYATFDLKKILVDEDDGEPEFKRDPNQPYALGPKIIDWDEQRGEWLKKNPNFPNFVGTNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLLRKLLLSHPEVEFLWWMDSDAMFTDMAFELPWERYKDANLVMHGWNEMVYDQKNWIGLNTGSFLLRNTQWALDLLDVLAPMGPKGKVREEAGALLTRELKDRPVFEADDQSAMVYILATQKEIWGDKVYLENAYYLHGYWGILVDKYEEMIENYHPGLGDHRWPLVTHFVGCKPCAKFGDYSVERCLKQMDRAFNFGDNQILQMYGFTHKSLGSRRVKRTRNETSNPLEVNDELGLLHPPFKAVKVPSS >Solyc08g062615.1.1 pep chromosome:SL3.0:8:51637548:51638747:1 gene:Solyc08g062615.1 transcript:Solyc08g062615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGYIGNHQIKGKDELKWPNAAVEFQFQIGNMLLTLEDTSMLNCRPVDTPMEPNIKIVHGQEEPLKDPGHQLEIEWKLNYILTITRWTFHLWLANFSGLYVTVI >Solyc02g077070.3.1 pep chromosome:SL3.0:2:42693433:42696194:-1 gene:Solyc02g077070.3 transcript:Solyc02g077070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVDTPQNYPTIMNTLHDLTIFYSKLTRMICSCVNGGLHEESGV >Solyc07g041215.1.1.1 pep chromosome:SL3.0:7:52208479:52208829:-1 gene:Solyc07g041215.1 transcript:Solyc07g041215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQESRPIGSPPKSWSELSEDFLARFMPRSITDRLPDQFSRLEQGPMTVSKYEARFHKLYYPATIIFPTEGENVRRFVHGFRYHLSVDRKHMVSAGRSFLDVVDHARFMEHIHRED >Solyc11g068650.2.1 pep chromosome:SL3.0:11:53581887:53591894:1 gene:Solyc11g068650.2 transcript:Solyc11g068650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIRLPEPPSSPRGIGGVPDIFEGGVHGVIRRAVVIGNGFPASENQSIGLIQALGLSDKHTLYRVTRPRGGVNEWLHWLPVSLHKTVYYIISQICGILLRRRTRKLGTLPMENGGNVGLSSILEADVKSIVRMARETFEKDGPLLVIASGRDTISITSSIKRLASDNVFVVQIQHPRSRLDRFDMVITPKHDYYSLTPQGKEQVPRFLQKWITPQEPPDKHVVLTVGALHQVDFAALRAAAATWHEEFAPLPKPLLVVNIGGPTRYCRYGADLVKQLTASLHNVLGSCGSIRISFSRRTPEKHSKIVVKELGLDPKVHIWNGEEPNPHMGHLAWADVFVITADSVSMLSEACSTGKPVYVVGAERCTWKFTEFHKTLRERGLTRPFTGLEDMSESWSYPPLNDTAVAASRVNEALAEKGWKIKA >Solyc12g040570.2.1 pep chromosome:SL3.0:12:55402165:55403993:1 gene:Solyc12g040570.2 transcript:Solyc12g040570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITGRSMVIPIRLLGDVDRCCMMLDCWSLTRCCTTNMATPTRIGLAGLAVMGQNRALNIAEKGFPTSVYNRSTSKVDETVERAKKEGNLPLYGFHDPESFVLSIQKPRVIVILVKAGLPVDQTIKTLSAFMEKGDCIIDGGNEWYENTERREKEMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGGSGNFVKTVHNGIEYGEMQSIAEAYDVLRSVGKLSNDELHQVFSEWNKGELLSFLIEITADIFGVKDDKADGYLVDKVLDKTGMKGTGKWTVQQSAELSVAAPTIAASLDSRFLSGLKDERVEAAKVFESSG >Solyc05g017845.1.1 pep chromosome:SL3.0:5:18488069:18489142:1 gene:Solyc05g017845.1 transcript:Solyc05g017845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLMDTKDIQRQVEKLLNKGYMRQNMSLCAVHVLLVPNKDGVWRVCVDSQDINNITMKYHHHIPK >Solyc06g066570.3.1 pep chromosome:SL3.0:6:41931971:41938658:1 gene:Solyc06g066570.3 transcript:Solyc06g066570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQNLASSSAPRGGATVHPPPQHDAWINTYRNLHPQWQSLLPPPQQSAIPISISRVNQVDAGRLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERASEIRGKVRTGLEGPGLTVAQKIWYCVATVGGQYIWARLQSFSAFRRWGDSEQRSLARGVWLLMQRMEGIYKAASFSNLLLFLYTGRFRNLIERALRARLVYGSPNMNRAVSFEYMNRQLVWNEFSANNVKELDFKQFSNMQELLLLLLPLLNSASVKSFLRPFSKDKSSDSSVDETLCPICQGTPSIPFVAIPCQHRYCYYCLQTRCSASSSFRCPRCGEPVAAMQRHGGLVSNIPQKQ >Solyc10g085790.2.1 pep chromosome:SL3.0:10:64994600:65000072:1 gene:Solyc10g085790.2 transcript:Solyc10g085790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSSTSGITSSTAASTTANPPESKSWADQVDEIDQAEQSADQAEQSSASNEEGATAEINIGSLQVDESKRENSTLSEPEDSSIQAVTSGDTMYKSAKRFEDLNLSPELLKGLYVQMQFERPSKIQEISLPMILTPPYKNLIAQAHNGSGKTTCFVLGMLSRIDPKLAAPQALCICPTRELAIQNMEVLLKMGKFTGITSELGIPADSANYIPISKRPPVTAQVVIGTPGTINKWVTARKLGMSCMKILVFDEADHMLAESGFQDDSIRIMKAIVKASANCQVLLFSATFGENVKAFVTKIVQDLFVQDYNQMFVKKEELSLDSVKQYKVQCPDELSKIMVIKDRILELGQKVGQTIIFVRTRNSASMLHKSLVDYGYEVTTIQGALRQEDRDKIIKEFKDGLTQILISTDLLARGFDQSQVNLVVNYDLPVRHESPTEPDHEVYLHRIGRAGRFGRKGAIFNLLCSDRDNMLMSKIENHFNHQVAEIPSWSNEEDFENALKIAGLL >Solyc06g076480.3.1 pep chromosome:SL3.0:6:47656631:47658535:-1 gene:Solyc06g076480.3 transcript:Solyc06g076480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSFCFCCSKLPSNPKLHFPPQTHQATSQVSLKSAESSRNGFISLSESISRASFLALLSASLFFASDPALAFKGGGPYGAGVTRGQDLTGKDFSGKSLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRGADFSLANVTKVNLSNANLEGALTTGNTSFKGSVIQGADFTDVPLREDQREYLCKFADGLNTVTGNATRETLLCEYFRFISFYMIKN >Solyc10g055360.1.1.1 pep chromosome:SL3.0:10:56582630:56582800:-1 gene:Solyc10g055360.1 transcript:Solyc10g055360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKLLEYFSSYGVIQKVPFGFDKKTGKSRGYVIFVYRTLVGARAVLTSLLMDTI >Solyc02g061790.3.1 pep chromosome:SL3.0:2:33850499:33853736:1 gene:Solyc02g061790.3 transcript:Solyc02g061790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRFGKRKEENEYASEGDNPPKKASRTDDSDDEDAIVVCEISKNRRVTVRNFGGRVMVDIREFYVKDGKQMPGRKGISLSMDQWNVLREHADEIDEAVAENS >Solyc03g091000.1.1.1 pep chromosome:SL3.0:3:55539395:55539724:1 gene:Solyc03g091000.1 transcript:Solyc03g091000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQASITLFLSLNLLFFALVSADCSTDILKFGACANILTDLVGVIIGTTPTSSCCSLIDGLVDLDAAVCLCTALKADVLGINLDIPLSLNILLNVCGKKYPTGYTC >Solyc06g005100.3.1 pep chromosome:SL3.0:6:118535:125032:1 gene:Solyc06g005100.3 transcript:Solyc06g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEAWNAYPKCRSVVKLPYFSKFFMSVDTIHKADNGYSENVHGLSENQLAVRQVEFIDIASAATDYWSYVVGRNNVDFSNFQSARCGRGPLLEGWQDLCNPVMTTYKLVTVDAPYWGFGSRLEQALMAGERSLFLESHRNCFAWIDEWFGLTVEVLRELERQSDYALNMKLGRPCSAESWMTQEESPLEGEKSVA >Solyc08g062625.1.1 pep chromosome:SL3.0:8:51656039:51656464:-1 gene:Solyc08g062625.1 transcript:Solyc08g062625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDGNFNSFLVTDVCEDGSIAGSIQSEDWANVRNVTNDDLDLSKMASGMKEKFKKYWGTPKK >Solyc02g031920.3.1 pep chromosome:SL3.0:2:27664096:27665955:1 gene:Solyc02g031920.3 transcript:Solyc02g031920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKETTKVAIDKYRRFLHEDHVAAAETMEWRHGSPPIYDSVNNVFEQGRTKVWPKGSLEETIQNSIKTWEMEIKYKTCVNDIRTINLEKFKLFVNGREGLSAEETLKVGGYNALLKTSMPNEFKYHKEDEETFESSHTNFRSAFPRGFAWEVINVYTGPPVVTYKFRHWGFFEGPFKGHAPTGEMIQFYGIGIMKINI >Solyc10g052875.1.1 pep chromosome:SL3.0:10:53975842:53977927:-1 gene:Solyc10g052875.1 transcript:Solyc10g052875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPIVLPPHRYISLINVKGRRRPIIIITELTFDSRWAFISEKMRNFMPHKRGRPVETTTDQ >Solyc08g029053.1.1 pep chromosome:SL3.0:8:38362625:38372030:-1 gene:Solyc08g029053.1 transcript:Solyc08g029053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIYSVKLGIGCLSCLVYSVYKA >Solyc05g053160.3.1 pep chromosome:SL3.0:5:64140453:64142460:-1 gene:Solyc05g053160.3 transcript:Solyc05g053160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTVARTVAAPLLFLNLIMYLIMLGFASWCLNKYINGQTNHPSFGGNGATMFFLVFAILASILGIISKVLGGNHLRVWRNDSLAAAGSSAIVAWAVTALAFGLACKEINIGGWRGWRLRVLEGFTIVLAFTQLIYVLMLHAGMFSSRYGPGYREPEYGSGGVPPAGEKGVGVPTTAV >Solyc05g047615.1.1 pep chromosome:SL3.0:5:59986453:59989778:-1 gene:Solyc05g047615.1 transcript:Solyc05g047615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAFIKSSEQPTQQPARPFQQPPVKGRKKKQSTARASSSSVACTSSSSVGMPPPSSVGRPPTSLVGMQPAASVGRKRTRDVGFGVYTDIQSGRQVIDAALMLGVYVV >Solyc02g089195.1.1 pep chromosome:SL3.0:2:51712148:51713362:-1 gene:Solyc02g089195.1 transcript:Solyc02g089195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFMKHAEIPGGLIYITNVYDHRVLFAIIKKGVELRTGRRFIWLFRSAGTSLTLLLMRVEYTHNNYSLSGIHALG >Solyc12g035828.1.1 pep chromosome:SL3.0:12:44503112:44503382:1 gene:Solyc12g035828.1 transcript:Solyc12g035828.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNMRINEDRNKAFGRTLLGVKVIAINSDQLPRKSRRIGPIMGHTFHYRCMTIKLQPGYSIPTLIKK >Solyc04g056744.1.1.1 pep chromosome:SL3.0:4:54813139:54813438:-1 gene:Solyc04g056744.1 transcript:Solyc04g056744.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSIFLLISFHFLLRKWKKYFSNNSQTKELPPGPWKLPFIGSMHHLADGLPHRVLRDLAKKYGPLMHLQLGEVCAIVVTSPDMAKQVLKTHDIAFAI >Solyc01g060215.1.1 pep chromosome:SL3.0:1:69737295:69740925:-1 gene:Solyc01g060215.1 transcript:Solyc01g060215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTADIASQGTSSIVAASLYHGRTDVATLSSQDHGRTNVFASQDFSDHGICASPTMDCVSTHGDKQLWRSNELQRYHQHIMIMYWTCKGIVRTKREPLKLKMSHRRSGKSGSTDSEFEELKYKYHGDLRDDDLMVFLGWG >Solyc02g085500.3.1 pep chromosome:SL3.0:2:49004775:49006191:1 gene:Solyc02g085500.3 transcript:Solyc02g085500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRGKKKAETKRMGKSLKLRFSRVIASFNSCRSKNPSSLPQNPNFFPHKLTSTKHISPDFPLIDQNQNQNHRNYVPESTMISVGCCRSEFKWEKEEKFHVVSSSFVSEEEECEEEINLALRPPLTPPRFSRIVVEKKKKKQQRVKKTKTKSRIIRMSTSSADEYSGILSGTNTDWDNNEEETESLVSSSRSCYDFSSDDSSTDFNPHLETICETTTMRRRHKRNANTKRRSIKQSRPSFSSSKGRRSSVSTSSDSELPARLSVFKKLIPCSVDGKVKESFAIVKKSQDPY >Solyc07g048080.3.1 pep chromosome:SL3.0:7:59394750:59402018:1 gene:Solyc07g048080.3 transcript:Solyc07g048080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVGALGKTMALSLSSRSLFTSSYKSISPLSRNPFSSFPIRQYRILFVLDPSNNNNNNKTNRNKLLRRRTSFSTHASTALQEAPAPNEKMVLPTNESSDGLLRIRHTCAHVMAMAVQKLYPNAKVTIGPWIDNGFYYDFDMEPLTDSDLKRIKKEMDRIISRNLPLVREEVSRDEAQRRIMSINEPYKIEILESIKEEPITIYHIGDEWWDLCAGPHVETTGKINRKAIELESVAGAYWRGDVKKPMLQRIYGTAWENEDQLKAYLHFKEEAKRRDHRRIGQDLDLFSIQAVNWQDEAGGGLVFWHPKGAIIRHIIEDTWKKIHMERGYDLLYTPHVAKADLWKISGHLDFYKENMFDQMEIEEELFQLRPMNCPYHVLIYKRQLHSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILQQFGFDKYEVNLSTRPEKAVGDDEIWEKATFALKDALEDKGWSYQIDDGGGAFYGPKIDLKIEDALGRKWQCSTIQACFDLGLLFHWPVDFNLPQRFDITYVDSNQERKRPIMIHRAVLGSLERFFGVLIENYAGDFPLWLSPIQARVLPVTDAQLQYCNEVVKKLKASGIRVEVCSGERLPKLIRNAEKQKIPLMAVVGPKEVETETITVRSRFAGELGNMSIDEFISRTKTASDNRTFL >Solyc12g015700.2.1 pep chromosome:SL3.0:12:5679562:5683538:-1 gene:Solyc12g015700.2 transcript:Solyc12g015700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMLVQINGVTKIHELLPAINDEHGGVTVKVETPMEPNVFHYMLKHSITEWKMQGKKGVWIKIPIELANLVEIVVKEGFWYHHAEPDYLMLVHWIADSESTIPANASHKVSIGAIVLNHKRELLVVQENIGRLKGSGIWKIPTGTLEEGESIFEGAIRELKEETGIDTEFMEVLAFRQIPKSFFNKSDLFFLCMMRPLSFHIQKQDLEIEAAQWMAFEEYANQPLIQKDGLSKYIRDLCLAKSEGDYQGFTPMTITSSVIDDHISSLYFLKDALHQD >Solyc08g045640.3.1 pep chromosome:SL3.0:8:17826320:17829374:-1 gene:Solyc08g045640.3 transcript:Solyc08g045640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMMVGQRSKNRRPPNIINFATPISIFKTKQNKKDCAVSNFTFRERRRENYFFFLSPFHSHTDTVGGWFRRKEDLMEYERIHKVQTGIISPSKLRMKVIGHQQQQKKTKQGSNTNSSTTSPVRRLHQDSEFVNTSLLAAQTQEQYCNYEGRENGGNCSSVHPVKGCEDDYDSTSSFEFHKGERSSMQQHSRSFSRPMSSKWNDAEKWIINRQPNYHSNKIQLHNRGATTSMVEKVDFAPETKDLTEVDSSCLTEDITVGPAIRSVSMRDRGTEMTPIPSQEPSTTATPVGATTPLRSPTSSIPSTPRRGQPAPTPTDNYIDNASQTSTENNKRELSEEELKMKTRKEIVALGVQLGKMNIAAWASKDDKNKSKADAERMEYAKRAAAWEEVEKSKDTARYKREEIKIQAWESQHKAKLEGELRKIEAQVEQMRAQAQAKMVKKIAMARQKSEEKRAAAEARRNQQAGKTTEQVQYIRQTGRLPSSSFTCCGWL >Solyc07g062650.3.1 pep chromosome:SL3.0:7:65469005:65472189:1 gene:Solyc07g062650.3 transcript:Solyc07g062650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSMLKSIVRRSSTAGASYVSRRGFASGSAPERKVAVLGAAGGIGQPLSLLMKLNPLVSSLSLYDIAGTPGVAADVSHINTRSEVAGFAGEEQLGQALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKSLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTYDEKKLFGVTMLDVVRAKTFYAGKAKVNVAGAQVNLPVVGGHAGITILPLFSQATPKANLSDEEIVALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVVECAFVQSNVTELPFFASKVRLGKNGVEEVLGLGPLNDYEKQGLEALKPELLSSIEKGIKFAKEN >Solyc06g054090.1.1.1 pep chromosome:SL3.0:6:37018114:37018695:-1 gene:Solyc06g054090.1 transcript:Solyc06g054090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETSSKSEGKEIDEITLRPIELTDVDDFMEWATDEKVSQFCTWDTYTCKDEALDYINNNAILHPWLRVICTKNRAIGAISVTPNSGYLDSCRAELGYVLAYKYWGKGIVTKAVKIVVSNIFKEWPDLERIEAFVDVDNKGSQRVLEKAGFLKEGVLRKFRTIKGRSRDMFIFSILSADALQDHYNYLRLLCS >Solyc10g078205.1.1 pep chromosome:SL3.0:10:60201850:60202286:-1 gene:Solyc10g078205.1 transcript:Solyc10g078205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTPQLTVNFSFYLGSQLNVVVNNAELAKEVLKENDQNLANRFRTKPLDNVSKIGMDLIWADYGPHYVKVRKVCNLELFTPKRLESNKHCKIKG >Solyc08g067310.1.1.1 pep chromosome:SL3.0:8:56448861:56450222:1 gene:Solyc08g067310.1 transcript:Solyc08g067310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CLV7] MEERQLLFDKYEIGKLLGKGTFAKVYYGKEVETGESVAIKVIKKDQVQKEGMMEQITREISVMGLVRHPNIVELKEVMATKSKIFFIMEYVKGGELFAKLVSKGKFKEDTARKYFQQLISAVDFCHSRGVYHRDLKPENLLLDENEDLKISDFGLSALPDEQLSRNDGLLHTQCGTPAYVAPEVLRRKGYDGAKADIWSCGVILYVLLAGYLPFQDENVMNMYKKIFKAYFEFPPWFSMDSRRLISKLLMADPDRRITIQGIMRVPWFRKDFAMPRAFSIQDFQKLENDHVEEGMSSKQGGGVLRKSPSSPAFFNAFELISSMSSGFDLSSLFEIKGKASSMFTSRSTARDVIRKMEKMAKVMRYKVYRVKPFKLKMQCPEEGRKGRLLVTAEVFKVAPEVTVVELSKSSGDTLEYNKFCEEEVRPALKDIVWTWQGTGTGNADIDNKQDLEQ >Solyc02g055390.2.1 pep chromosome:SL3.0:2:3135004:3135694:1 gene:Solyc02g055390.2 transcript:Solyc02g055390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSATPAAVAVEAESEVDADDSEAIEEGIPHRWMEFTVKIEAAIQHLLHHRSWFRQFHSGTVRGVLPPPGFSSKPRSRDFERNVDNKRNNFAELNHRGIGLNHEYERESKHLSRNGNNYDIDSDDQGVSRQLDSTVTPAESKLHSVLASDVENSTLELRGEDAVSGDVLGRSSAQDQSKLDELGEHVISSLGT >Solyc09g055650.2.1 pep chromosome:SL3.0:9:43237890:43239210:1 gene:Solyc09g055650.2 transcript:Solyc09g055650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVMSYFKPLDGGCYDGTSGYNSNFPEQSLKNNVNIEENGAQYWINQEQKKTGKNAKEVTMLVKGQWTEEEDRILMKLVKQFGLKRWAQIAENMVGRAGKQCRERWHNHLRPDIKKETWSEEEEVMLVEAHKQIGNKWAEIGKRIPGRTENAIKNHWNATKRRQISRRNKLKKEERSNAEYDQAASSSFSSGRNKDM >Solyc02g062380.2.1 pep chromosome:SL3.0:2:34524160:34527199:-1 gene:Solyc02g062380.2 transcript:Solyc02g062380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEFFKNIQRIDIHRLLGKTEEIYVTNIVSLATKRLSAPQLPIPFKSQIPYWNNPVSWTTRPLPRVYTLAFRQCIPGAAQQSVVIASSSVLWLMTETAVFPVVQGVVELKASFFAGSGVGLSSSKKLKKSPLHPAIVSSQNAMAEVANRSDTDSSSKVLNSIGSPKIARQSEKFEIGLDEELSGCWLASDVGFSAESEIRSEPIDGFTAGPPNPLAISGTCPTGASSSNSGVPSSVHKTALNASDCEIAYYGCNRFYLSLESYDLYWTEHQQFHGIFSHWKELHTRSHDWMMVLGWMDGVCWNKNYSSPNIVAKMMTAHPSSPSQCNH >Solyc10g045020.1.1.1 pep chromosome:SL3.0:10:30007700:30007861:-1 gene:Solyc10g045020.1 transcript:Solyc10g045020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSISLFCINRWCTRLKHGTMSYKLFDVSLIMLYYQHTFLPFKTQNTSHTVIF >Solyc08g015870.3.1 pep chromosome:SL3.0:8:6169553:6174496:-1 gene:Solyc08g015870.3 transcript:Solyc08g015870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4CJB5] MSGGGGDEGTSLEFTPTWIVALVCTVIVAISLLVERIIHYGGKYLLKKNQKPLYEALQKIKEELMLLGFISLLLTVLQARILKICIPKHLTNHWLPCKKDDDVNDSVHFQTNFFSLIPGGRRLLSGSANSGYCEAKDKAPLLSLTALHHLHTFIFVLAVSHVTFSALTILFGGIKIRQWKSWEDSIKKEEYNPEEVLRSKVTHVHDHDFIKGRFLGFGKRSTLLGWLHSFVKQFFGSVTKLDYTTLRLGFIMTHCKKNPKFDFHKYMTRVLEADFKKVVGISWYLWVFVVLFLLLNVHGWHTYFWIAFVPFGLLLAVGTKLEHVITQLAGEVAAKHIAVEGDLVVKPSDDHFWFHRPRLVLFLIHIILFQNSFEIAFFFWIWAQYRFNSCIMGQVGYIIPRLVIGVFVQFLCSYSTLPLYAIVTQMGSSFKKEIFDEHIQEGLLVWANKARRRAVNGSNQVEHKESTSLMSVQLAQVGTQESAMEEGNGGEISHANDQLNSKVN >Solyc04g081970.3.1 pep chromosome:SL3.0:4:65884919:65887005:-1 gene:Solyc04g081970.3 transcript:Solyc04g081970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTNFLLKPSPNLASITKISPSLYSNFPFEKSKQSIFKNLKTNKPLLITKATAAPDVEKKVAKSERVQKVNSMEELDEALKNAKNRLVVVEFAGKDSERSKNIYPFMVNLSKTCNDVDFLLVIGDETEKTKALCRREKIDKVPHFNFYKSMEKIHEEEGIGPDQLAGDVLYYGDSHSEVVQLHSREDVEKVIQDHKIDKKLIVLDVGLKHCGPCVKVYPTVIKLSKQMADTVVFARMNGDENDSCMQFLKDMDVIEVPTFLFIRDGEICGRYVGSGKGELIGEILRYQGVRVTY >Solyc06g048940.3.1 pep chromosome:SL3.0:6:32089631:32093878:1 gene:Solyc06g048940.3 transcript:Solyc06g048940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPVVILIFTTVIMSLECLVQSDASTHRYKSGDDVPFYANKVGPFSNPSETYAYFDLPFCQPDNLEKKKESFGEPLNGDRLIFAPYKLEFLAEKDAKVICKKRLTKEEVAQFRTAVALDYYVQMYYDDLPIWAFLGKVEKDGIDDPNEYRYHIYTFYQFEIYYHKDHVIEILVRVDPSFTADVTNDEEVDVEFLYTVVWKETNIPFEKRMDKYTSSSALPHHLEIHWFSILNSCATIFILMSCMGAIYLRVLRRDIYKLAQDDEFSDNQEETGWKSLHGDVFRYPKCKYLLSSALGCGTQMLAVVVVILCLGVLGVFQPYDRGVLPTALVIIYAITSAVAGFSAVSFYHQLEGSNSLSVLLLTGGMFSCPLLLTFFFLNTVAFNYGSTAALPLGTIVVILLLWVFLALPSLVLGAISGKRIRSEFQAPCHTTKCPREVPPQRWYRRVITQMAMAGLLPFGVIYIQLYYIFASVWGYRIYTIYGILFVVFILLLITTALVSIAMTYIQLAAEDHEWWWRSFLCGGSTGLYLFGYSMYYYFSRSDMNGFMQTSFFFGYMACVSYGVFLMLGTVGFHACLLFVRLLYGCIKCE >Solyc04g008690.3.1 pep chromosome:SL3.0:4:2333749:2343249:-1 gene:Solyc04g008690.3 transcript:Solyc04g008690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSNTGFHQQALSFQSGAIGSSSSQIMLMSDYYSHLGVNFDVNNSGVGGGMLYSGNPTMMTTNSSSSSSPRTSQYGSCSDSFLIDTVPGLKHDTGLAVEWTVEELYKLEEGLIKYANEPRIMKYIKIAASLRDKNVRDVALRCRWITRKRRKPEDYSLGKKVKDRKDKLAEMSSMSSVSASLMSLAPYSLSMNHHGHGDVLPSGALLGTRRLLEENNQALNRISANLSTFKLQDNVDLFFQTKNNLTAILNNMKNMPGIMSQMPPLPVFLNEELASSLSPSSAQPMMFGCRSGIQLKQEPAC >Solyc11g012310.1.1 pep chromosome:SL3.0:11:5159628:5161070:-1 gene:Solyc11g012310.1 transcript:Solyc11g012310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHPYKLSMARSDTHIPIVKGFGFYHCHYYKGRMMLRNVEMIMEPLLQIEPLRLKISSFLLRAIQKMTPNILKGKMKEAMKILFMILLFVALLYLIKNFV >Solyc12g096040.2.1 pep chromosome:SL3.0:12:66093205:66100925:-1 gene:Solyc12g096040.2 transcript:Solyc12g096040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGAQQYNPRTVEEVFRDFKGRRAALVKALTTDVEEFYQQCDPEKENLCLYGFPSESWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGARFGFDRADRRRLFNMINDLPTIFEVVSGTAKKQSKDKSSMSNHSSTKSKSNSKVVQRGSESQIKYSRPQPKDDDEDGLDEDDEQGETLCGACGENYASDEFWICCDICEVWFHGKCVKITPARAEHIKQYKCPSCTSSKRTRP >Solyc11g044460.2.1 pep chromosome:SL3.0:11:32934086:32937744:-1 gene:Solyc11g044460.2 transcript:Solyc11g044460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFLISCCKRKRRRPRDQMGYYRDNSHGGNSTDYYNSGPYGNWHNNNNIQSTDHMVKMPNPQAPSTNVSSELSWPIAPPPPPPMMSSSEMSSAAFSGPQQPPLPPPHPSMALGFNQSSFTYDDLSTATGGFVKSNLIGQGGFGFVHKGVLPNGKDIAVKSLKANSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSQRLLVYEFVPNGTLEYHLHGTGRPVMDFPTRLKIALGSAKGFAYLHEDCHPRIIHRDIKAANILLDHNCEAKVADFGLAKLSNDTNTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSYGVMLLELITGRRPIDISSDDDTLVEWARPILIRATEGGDYDELIDPRLEGNFDAQEMLCMVACAAASIRHSARRRPKMSQIVRALEGDVSLDALNEGMKKSPSSAMFGSSESSEYDGGSYDLKKFKKSGLSSQEFTSSEHGTGEFVHSDGESQEHGQKRRTP >Solyc02g069560.3.1 pep chromosome:SL3.0:2:39974734:39979765:-1 gene:Solyc02g069560.3 transcript:Solyc02g069560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSSENRVKTSKFADQNKAPKGSNSNVKGNNNMSKVRSSWGSQIVKGFSGEKKTKLQTIIHAKKELVPGNENSNQKNSSGISQPRVKRSLMGDLSCSVVSSQVHPQTVNIHRTKSSGSRDLFNEIDHLRNLLQESKGREMKLQAELSEFKRSPKVVELERELERKKSEINSFAKKVELMECEKAVLSHQLSSLTAARERQDTNSNKEDFKSVTSLEMEVVELRRLNKELQLQKRDISCRLSSMESQLAIVGKVPEGDTIEHIKAEASLLRYENENLCKQVEGLQMSRLNEVEELAYLKWVNSCLREELRSCSSMTCDKTSSPHGSEKSRESLCLSYDHSDEDSKCSSARRLSLVKKLKKWPITDEDMQQVGSPDNIINHSWEDTQSSTRRHSISGSKFCVEDLIFNKRRQSDVFMCSKEVEKEIEPIVSQHKLTNPLEVEKRVLRIPNPPPRPSSVALIEEEGENSVQVPGPPPPPPPPPPPPPPKLMAKTTSGMVQRAPQVVEFYHSLMKRDSRKDSLNGGVCDASSVSDVRSSMIGEIENRSSYLLAIRADVETQAEFVISLIAEVNNAVYSDIEDVVAFVKWLDDELCFLVDERAVLKHFDWPERKADTLREAAFGYRDLKKLENEVSTYKDDPRSPCDIALKKMISLSEKMERSVYNLLRTRDSLMRHCKEFKIPTHWMLDNGILSKIKFGSVKLAKVYMKRVAAELQSKGPLDKDTSMDYMLLQGVRFAFRIHQFAGGFDAETMQAFEELRGLALSLNKK >Solyc04g015760.3.1 pep chromosome:SL3.0:4:6046070:6051581:-1 gene:Solyc04g015760.3 transcript:Solyc04g015760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAELNFLKEKMSSNLELEVRSSATVPSLQVPTFHHTPYYCEENIYLLCKKLCDDGLADPNGSDLFVIFISNEKKQIPLWHQKASQRAEGVILWDYHVICVQKKRNENSSSLVWDLDSSLPFPSSLGTYVADSIRPSIQIFSEFKRFFRVVHAPIFLRHFASDRRHMKDSAGNWTADPPSYEAIVAEDGAVHNLNEYITVSPDDVVKNVEADTVNVVLSEKLGVVIGEDDLLGFFSLIS >Solyc12g014620.2.1 pep chromosome:SL3.0:12:5627614:5631659:-1 gene:Solyc12g014620.2 transcript:Solyc12g014620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITKASLLILFLSLNLLFFAIVTATNTDCAFCPYHPPGSGGSGGGGSGSGGGGSGSGGGGGGSGSGGGGSGSGGGGSGSGGGGSGSGGDNCGTCGNGGGGQGKCPKDALKLGVCANVLNLVNVTVGSPPPLPCCSLIQGLTDLEAAVCLCTAIRANVLGINLNIPLSLSLVLNNCGRNPPTGFTC >Solyc12g055800.2.1 pep chromosome:SL3.0:12:62725098:62729744:-1 gene:Solyc12g055800.2 transcript:Solyc12g055800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTALLDRLKAVLQLLSLWFSGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGGPERTGSVTIVGAVSPPGGDFSDPVTSATLGIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYEKFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMLRNIIHFYNLANQAVERGAGMDGQKITYTLIKHRLGDLFYRLVSQKFEDPAEGEDVLVGKFQKLHDDLVAGFRNLEDETR >Solyc12g100020.1.1 pep chromosome:SL3.0:12:67907057:67909628:1 gene:Solyc12g100020.1 transcript:Solyc12g100020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMSYGQFSFFLLVCFCQLSFSSSIPHLCHKDQSSALLKFKKTLTVDPSLVLCNFYYYTSSWNMSIDFCSWDGVIWDEMTGYVIELDLSCNSLVGKIDSNISLFQLSHLQSLDLSMNNFSYSHISPKFGRFSSLIHLNLRNSYFSGQIPSEIFHLYKLQSLLLSTSYDTVPKLATHDFRFLLQNLTLLRVLDLRGVSISSAITLNFSSHLTTLVLRKSELYGVIPESIFHLPKLEILDLSSNYKLSGYFPKTKWNSSASLIDLNLQGVNFCGNLPESLGYLTSLRTLFLVLCNIWGPIPESLSNLTRIEYLFLADNFLNGTIPSWIFSLPSLIDLELSNNHFSGQLEDIKSDSRLFIDLSNNQLQGNLPKSIQNLVNITNLDLSFNNFSRNVDVSFFSDFKHLSSLDLSYNSISLTNENNVNFSLPESLVYLQLAACEVKELEFLRSAKKLEDLDLSNNKLQGIFPDWASCNWMFSLRTLNLSHNMLTSMELIYLARNNLKGEIPQCLGNISGLEVLDMHHNSLTGTLPNTFRFRSSLRSLNLNGNKLEGKIPQSLANCKELQVVDLGDNHLIDIFPMWLGTLPKLQILSLRSNELHGSIRTPTIENIFPNLRMLDLSSNSFIENLPTGLFQHLKAMRTICQAMNAPSDGGDGYQDSVNIVTKGLEREVVRILFLYATIDFLNNKFEGHIPSIMGDLIALRMLNLSHNEFNHLVGVIPAQFSSLAVLYFSYNHLEGCIPQGNQFHTFDNNSYVGNDGLSGFPLSKVCGSDDNDTETNDTTSGLDDEEISE >Solyc07g052565.1.1 pep chromosome:SL3.0:7:61176617:61179677:-1 gene:Solyc07g052565.1 transcript:Solyc07g052565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDQENRKHRMGVAAIRLGIKDTMRSSIPPNLTLFPQINVQSEIILLVFIFHFFGCYQLEVVPGGEFRVAYEEAMKYGGKVILGDCPVQITLGRTWAKMQLWHKTKFLSSLLFQALFLPNTEDLNKMLKEMDDVDMLTLAIQEMSKRFPTLWTTLVHERDLEISQAALDLNVLV >Solyc07g062605.1.1 pep chromosome:SL3.0:7:65423519:65426708:-1 gene:Solyc07g062605.1 transcript:Solyc07g062605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFNKDIEGLCDDGFDGSGNETHMFANVHFGNEGDTKKCLVTGMIDFEGDLTSQTDEPGHLCGENFVLTAHHDSHDIKEDSGEDPCERELTNNHVEKESEPLPSLDIVPADITPQPSSCPSLNVICHVVESSNQGVKSSSYLQKRHNVLDKSHVLGEMESSVLRSSKIEGNGWKDVVGKGIASPPSQESYAIGSAAKSSGILRPSKPKWRDHCFVELDESELLTIKDSPNDPRPLLRHHIHRLLRAAGWVIGRRKRNNKFHGIGEYVYKSPEGRPIREFWRAWTLCGQSLLTYADGIFPEKDCTLWSDMTQFLSDLSVSVKEIDKELATLETASALARLWSLLDPFVTVVFIDKALRSLKEGKTIKAKMTLATAPVKNHIKNVDDTGNLFADERTLQNQPCSSSFVSDSALNETDKWIHEDYGDESSLNLTEPQMGEGKCINGVSCDYPNERSMCLRDTVSEGANKYRKLLKNGNDLPELAPLPSCGPETNGEQEYCLFEVPICSGHALTSIGGSDNMTKSLTIISDGIPHAESSVLKREVLKKSKKLSEMESANGQDDQCDPSGRKSGVHEVITSKQNSGPKKRKKCRLSDDDLLISAVFRNTTCKSGNKRSSGKIKPLRKRKNQKSGGKLLLRSLIKGGKHFPEEKWPTFASRTVLSWLIHSGVVSLNEMIQYQNLKDDSVVKTGYITADGISCNCCDEVLSISSFKKHAGFKHNRPCLNLFMENGKPFTLCQLEAWSDEYKARRAVSQTSQAEERDQNDDSCGRCGDGGELICCDNCPATFHLACLFTQVRLLTLFT >Solyc11g008670.2.1 pep chromosome:SL3.0:11:2849701:2857685:1 gene:Solyc11g008670.2 transcript:Solyc11g008670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAMMIDGVEEVKMEVVSDGSVKKTMKRKRVSLVMDSPEEKAAKIDGLEVEMKGLVEYYKEVLEKKVVEVEDLKGLGLNSVIACMMEESSLSLSKLVDVIFDKISGSECSCSKVSVKSAVILVGQRMLYGIPDADVDVLEDESESALWCWETRDLKLLPKSVRAILKIRRTCRKKIHERITSVFALLTALKKVETDQNCIQEQMKASEKLGKVLNEADIRLLVASMEQKNGAEVAEKSVKLEEKLLIKQLERNKREAEKEKKRMEREIQKEKLKSEKELKRLQSEAEKEEKRFEKEESKLKKQLMREQEETEKDRRRKEKEEAEVKRQLTLQKQASMMERFLKRSKTNSSSQNSQSLDEPASDFAPTKCEKMPESVTLSMDSVLTQNDDFNADDIWKSHLTSWHCLGRSILSKGKVHWGIRRKPKTNVVKEIKLTASRGLTCDVEVNTEKLVDGWAEPSSNTRSYNAGEVNAIPFCQKGLLRRQLLQFDKCHRPAFYGVWPKKSQVVGARHPLAMDPELDYEVDSDEEWEEEEPGESLSDCDKDDNECLEEECARGEDEDESEDGFLVPDGYLSDEEGVQVDKVESHDAEESKFLSSSAQEGLSEEFAVLLRQQKYLHNYTEQALRKNKPLIILNLMHEKAPFLLADELTGNEKVDQMCLGALTICSLPGYSSIPISTCDDVIEGDSEPCPSGSKAITPQIASPAALADSDLPKVVSVIRSSSHGINKVVESLQLKFPGISKSQLKNKVREIAEFIDGRWQVRKDVLVNLGLSVSPEKVKRTKSIATFFSKRCLPPSGNTINLHETSPQPRQKTSSSSVSIQPQQDCTYNQE >Solyc01g097810.3.1 pep chromosome:SL3.0:1:88390859:88398744:-1 gene:Solyc01g097810.3 transcript:Solyc01g097810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Zeta-carotene desaturase [Source:UniProtKB/TrEMBL;Acc:Q202I0] MATSSAYLSCPATSATGKKHVFPNGSPGFLVFGGTRLSNRLVTRKSVIRADLDSMVSDMSTNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYESRTFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLLKKVGAEKNLLVKEHTHTFVNKGGEIGELDFRFPVGAPLHGINAFLSTNQLKIYDKARNAVALALSPVVRALVDPDGALQQIRDLDNVSFSEWFLSKGGTRASIQRMWDPVAYALGFIDCDNMSARCMLTIFALFATKTEASLLRMLKGSPDVYLSGPIKKYIMDKGGRFHLRWGCREVLYETSSDGSMYVSGLAMSKATQKKIVKADAYVAACDVPGIKRLVPQKWRELEFFDNIYKLVGVPVVTVQLRYNGWVTELQDLERSRQLKRAAGLDNLLYTPDADFSCFADLALASPDDYYIEGQGSLLQCVLTPGDPYMPLSNDEIIKRVTKQVLALFPSSQGLEVTWSSVVKIGQSLYREGPGKDPFRPDQKTPVENFFLAGSYTKQDYIDSMEGATLSGRQASAYICNVGEQLMALRKKITAAELNDISKGVSLSDELSLV >Solyc12g035220.1.1.1 pep chromosome:SL3.0:12:39389534:39389695:-1 gene:Solyc12g035220.1 transcript:Solyc12g035220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFDSQISQSHMISIQHVLHKFRFFSYSNEAYIHSNLIFVFALFLFNLIKSL >Solyc01g058590.1.1 pep chromosome:SL3.0:1:67083859:67085249:-1 gene:Solyc01g058590.1 transcript:Solyc01g058590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALESAAGVSPMTVKELTLAVKWSDIEYTVRFCSDDTVGDLKLRICEVTNVLPKRQKLLYPKVGAKFADDSLLLSQILFQNDYDQRGSLSIKEKLFRCRIFNKEAEMTDFSFKPSLIFFSCYKRRSDKIGK >Solyc01g015210.1.1 pep chromosome:SL3.0:1:17829381:17830708:1 gene:Solyc01g015210.1 transcript:Solyc01g015210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSSNYPNFIKIICSSDELCHLRNPLVFAKSHCKNMLSPVFLESPHGKSWEVEVIMSQDEIWIAKGWKSFCPYYSISVKSLLMFTYIPCSHFDVTIYDQSTSIIEYPIHQDIEVDEEEDVIPFFQYNAIAIEEDIPVYLQANANVIEQDKEVGEANSRSEQVNPKIYRSLHNLLNINGDKLHFEMVIKKAHSTYMTIPLRYSQGTYIINMANMRLVSEEGEEWRVDIGYNAGKVIIKGGWSAFQKDNKISNGETWRFKLIRGPIANVLQVHKNPTLLLIATN >Solyc06g065390.3.1 pep chromosome:SL3.0:6:40923499:40926555:1 gene:Solyc06g065390.3 transcript:Solyc06g065390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSRQYIVFPGRYIYTQRLKGANVNDKIILNKVLLVGTKTSTWVGKPVVPNATVHAVVEEQLKDKKVIVFKYKKKKNYRRNIGHRQPITRIRIMGITGYQDSPAVTLADLEAAK >Solyc05g006455.1.1 pep chromosome:SL3.0:5:1077547:1078195:-1 gene:Solyc05g006455.1 transcript:Solyc05g006455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKFLSFLIFHYFLINSSTIYASLPFPNDSLPTKSGYLRVNDTTSSAIFYTFYEAQNLTTPLSQTPLLIWLQGGPGCSSMLGNFYELCPWRVSSSHRQKIEHVAHNPNNGSWNRIFGLLFLDNPIGVGFSIAATPEEIPRNQKGVAKHLYIAIKKFIELNESFKDRPIYIAGESYAGKYVPAIGYQVLKKNW >Solyc09g018960.3.1 pep chromosome:SL3.0:9:17765061:17768013:-1 gene:Solyc09g018960.3 transcript:Solyc09g018960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDVLARDLGFRHAGKSAPMRSDIRSTSSSDPDPMLFNVFGGPPKYTKTNNSADYNYDSIFVSAKNNNNNDDNIKTSSFPVNDKPVYDDDIFDGLPGVKSKALSSSSTLRFEDDVFATMTSPPQQNIHFDDLLGNLGRNEKVAQPKSSVGSTEFDDLLAGFGTGTI >Solyc08g082320.3.1 pep chromosome:SL3.0:8:65270924:65275913:1 gene:Solyc08g082320.3 transcript:Solyc08g082320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFEEDGENTVSIGEFLQDLEEQELEAGLVLGGDEGKECTYSKGYMKRQAIFSCLTCTPDGIAGVCTACSLSCHDGHEILELWTKRNFRCDCGNSKFGEFFCKLDASKDVENTKNSYNHNFKGSYCTCGRPYPDPDVEDQLENLQCCICEDWFHEEHLGLESSDMVPRDDKGEPQFEDLICQGCATVCSFLKLYPDSIFAPVQQQTTTNSSKDKEVVEDAPLTVGSSKELINGSSAIETPVTDNSPKQDCNGKTVLGENAATNTFLNQCNTDAGPSTKCVVGLNLLEAPNSLEKSKSMFLSKDWREVLCRCPNCIEFYKQKGLAFLLDKEDTIAEYEKLAKQKIAEHEQEQSAELLNNLGHVEKMEVLTGIADLKDEIGTYLASFDPSKPVTSADVHKIFENLAQKRRRMS >Solyc02g088425.1.1 pep chromosome:SL3.0:2:51164629:51173817:1 gene:Solyc02g088425.1 transcript:Solyc02g088425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWWSFGSVSRITSGSAIWNEHFLCRAKTVVEYFGEQFLLEKFLVCSICIKGPPGRQSLKAEAMIFLQSCCCSLCRNFADTSYGGYEGRLDEWPNIKALVSRIMEQIPSLWYGASEVYVVPCLEREALKEKELAERRLIRLILEYSTRLMLLIVPLTMSDQDPKSIFPPIYHSSHYVDILASIKKKEAKFKNLILANFLGILARSLTKIQELMTGFVMEELPEKEFFTKPHRRLMGYLKVLKSKTIPPPDPLLGTRFQDDNPYIAENGIEASFKHEVVVTYNFGNMEHHLPAITYLGKVGYLFSDPDIMMEMI >Solyc01g103360.3.1.1 pep chromosome:SL3.0:1:91865453:91866342:1 gene:Solyc01g103360.3 transcript:Solyc01g103360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKTHGSVSLKLLLICVFIAFLLLFVLRSTLTLSSVSTSSSKSTCSSPKCNKIPSSVAKSLIHYATSAITPQQTQKEILVTSKILDKKSPSNFLVFGLGHDSLMWHTLNYGGRTIFLEEDEAWIQQIKKRFPMLESYHVTYDSKVNQANVLIEAGKGTECTTIADPRYSMCQLALKGLPSEIYDIKWDLIMVDAPTGYYEDAPGRMTAIYTAGMMARNREDGETHVFVHDVNRDVEDKFSREFLCEGYMKKQVGRLRHFTIPSHKSNLNMPFCP >Solyc05g005260.2.1 pep chromosome:SL3.0:5:208916:218633:-1 gene:Solyc05g005260.2 transcript:Solyc05g005260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGVNSHVPSKGWELGPKWVMSWEPGSSSTLILDTKNLLNQHFKIKDLGEMKYFLGLQIARSSTGISVCQRKFCLDLVSDLGLTGSKPASTPLEANHKLTSVLYDESVAASSGKPLNDEFLKDPTSYQKLIGKLLYLTMTRPDISYAIQNLSQFMHSPKKSHMEAALRVVRYLKNAPGLGIILSSEISHALNVYCDADWATCPMTRKSISGFVVKLGGSLISWKSKKQNTISRSSAEAEYRSMANSYNEEMMGTLTGDFTEQLNAPPIAADEVFYNGQIRPLFPLFNQSLLLSDEELEALKEQLPIRPPVKKIFIQTEDNPIPASSNSLTESEEIVGPFCEWSKNKAIEATSDNPEVCKKSNSTGFSKLWRFKDFLHRSNSDGRDTFVFLNPTTPAAKVEEKIVRTDETTTSEKKKKEISGEVKVIGKVTKKMKKVVKKSEGVLAHEAYMKSKAKAEDRRRSYLPYRPELVGFFTNVNGGLTKNVHPF >Solyc02g061840.3.1 pep chromosome:SL3.0:2:33898909:33904042:-1 gene:Solyc02g061840.3 transcript:Solyc02g061840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRQRYSRSPSPWEEKSRSGSRSRSRSPPGSYSRPKERSRSRSRSRSRGREEVSNPGNTLYVTGLSTRVTERDLEEHFSKEGKVKSVFLVMEPRSRISRGFAFITMDSLEDANRCIKHLNQSVLEGRYITVEKSRRKRARTPTPGHYLGLKNARDDGYRGDRGRYRDREDYGYRRSPRHSPYRGGRDYSPRRSPYGGRSRRERSRSYSPYGRSYPRGPR >Solyc02g062910.2.1 pep chromosome:SL3.0:2:35390262:35394549:-1 gene:Solyc02g062910.2 transcript:Solyc02g062910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDSHFLINPATFRPGATISISKAKSKLKSEHDPDKALKIYSSVSNHYTSPLSSRYAQEYTVKRLAKSHRFSDIEAFLESHKDDKKITQEPFLSSIIRSYGLAGMFDQALKTYLQMDDLGTPRSAVSFNVLLSACVNSKFYDRVPQLFDEMPKKHGFLPDKVSYGVLIRSYCEMGKPELAMEKLKEMEEKGVEITAVTFTTILNSLYKGGRCDEAEKVWNEMAKRGCGPDVGAYNVKIMNFQGGDPEGVKVLIEEMSNVGLKPDTISYNYLMSCYCRNGMMDEAEKVYEDLETKGCNPNASTFRTLIFYLCKNEQFETGYKVFRESVRANKIPDVNTLKYLVHGLAKSSKAKEAKEMIRTMKKKFPANVVKVWTKIEEELGLAKVELGDIKSNESNVAHLVRLLPSNAAGLTSSKLTRKTSKHEIPLVLIPPAMMVFEVPDAAKPSFNMVTPF >Solyc09g092440.1.1.1 pep chromosome:SL3.0:9:72008436:72010268:1 gene:Solyc09g092440.1 transcript:Solyc09g092440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHFHFLHQLQRCARFHFPTEGRKLHAHIIKIGLYDCSLKLCNNLIDMYGKCGLLDDALQLFDEMHHRDLASWASVFTAHNEANQPQKTLLLFRNMFLDGLRPDHFVFASVVKACANSGALRVGKQVHCQFLKSVFSVDDVVKSSLVDMYAKCGLPDNAKSVFDSILVKNLICSSAMISGYARCGRKNEAFALLGELGEKNLQCWTALISGFVQNGNLIDAVDVFLEVRREGVDMRDPFILSSIVGACASLAALQLGKQIHRLVLGLGYECSLFVSNALVDMYAKCSDIVEAKKIFDSMLTRDVVSWTSIIVGMAQHGQAIEALSLYDDMILAGLKPNEVTFVGLIYACSHVGLVNKGKSLFKSMIDDYKLSPSLQHYTCLLDLYSRSGHLEDAENLLNTMPFQPDEAVWAALLSACKQHGNTEMGVRVANRLLILGPKDPSTCILLSNTYAGAALWDNVSKLRKQMANLEVRKEPGYSSIDSGKETTTFYAGEALYPMKDAIFSLLKEFDSEMRKRGYLPDTTFVLHDMEQQEKERQLFWHSERLAVAYGILRTVPGSVIRVVKNLRICGDCHTVIKFISSITSRKIVIRDANRFHHFNEGACSCNDFW >Solyc09g059600.3.1 pep chromosome:SL3.0:9:55140424:55143802:1 gene:Solyc09g059600.3 transcript:Solyc09g059600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGGIIVWNPPACVENFQMQHLSKHKISSEDLKMISEVFMGQLRQLFGLKSKSFYAGGFTTSVLLTSDKGFAEWELDVLSRHHTCFNLLQCGTTLGSLSRLVQSLPRMIIMDEIGKQVKYSLEAAKLSLGNVSLGYSDASAVSSRKARALAEDAFYHPSMMSVSYYSFEHCFAVYSSLENGKDIKWKAESTFHGRSRLKLSSLSELHIKP >Solyc04g015330.3.1 pep chromosome:SL3.0:4:5520337:5526358:-1 gene:Solyc04g015330.3 transcript:Solyc04g015330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVVASLVEPLQVPYNYNSCKKSQIKKSPKCVMVASSSSGVGSLVIETNQDQQQISTSTQTPTTTLSSVAARRLILLRHAKSSWENRSIRDHDRPLSRSGQLDAIKVSQNLLKLGWIPQLILSSDALRTRETLKIMQEQVQAFLEAEVHFISSFYSVAAMDGQTAEHLRQAICNYSRDEISTVMCMGHNRGWEEAASVLSGATVELKTCNGALLEATGKSWEEAFSLAGPGGWKLQGLVKPDTAV >Solyc11g066100.2.1 pep chromosome:SL3.0:11:52067538:52069938:-1 gene:Solyc11g066100.2 transcript:Solyc11g066100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPGDKPMIVVTYKGEEKQFSAEEISSMVLTKMKEIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKSTSTGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSGVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELSGIPPAPRGVPQINVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIERMVQEAEKYKSEDEELKKKVEAKNGLENYAYNMRNTIKDDKVSSQLPAADKKKIEDAIDEAIKWLDSNQLAEADEFEDKMKELESVCNPIIAKMYQGGAGGATMDEDDGPSVGGGAGSASGAGPKIEEVD >Solyc03g044793.1.1 pep chromosome:SL3.0:3:10556910:10558724:1 gene:Solyc03g044793.1 transcript:Solyc03g044793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGTRWKITKDNLVRHDEMLVDLLNSQEEVCHARSKIQGALNLILGRLGVLERAPAVPNVGAGLLSILNPYNRNRAQLNTVALPMWELPCFASQEPKVWIRKCERYFIQYRVDNEQRVELAALYLNDVAEVWYQSMVLSGGIPNWIEFREELISDVVEEFNKLQQSGNVDEFLERSEDLKDQMLIRFIGALKKEIKFEVKMFKPTMLKEAVEKVRMKEMAIEVARNRSKGVNRIVTPIVQEMGNKGSSAIGNINGPYRLNPEVYEFRKSNHLCFRYGEKYGPGHLCKTRQLNCLTGIIVNEDDNEPVLVTEENEEITIERIVEQEVQQVVCLNALTGHNKGENTILVGGTVKKRDLAILIDSGSTHSFIDKHTVTALVYQPCPCLPVRVTVADGNYVMCNSHRKDFSWKMQERIFTKDLLIIPLGGCALVLGNNWMKKHNPTKFDHEQRCVTIGKKTNKLVLPRIVEEGNLSMLSSEAMRKMLKKGQDMVTHLFMMNMVTSNEEEEVDEVLQEVLVKYSYIFTKPKSLPPARAFDHEIRLKPGVMPISLRPYRYNFHQKNELEKQEKEMLSSGIIQASQFPYYSLALFVKKKDGT >Solyc05g010240.3.1 pep chromosome:SL3.0:5:4416636:4422238:1 gene:Solyc05g010240.3 transcript:Solyc05g010240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSLNHLPAITLKNGSVNRISKRVSCSMLNTRAMAKELYFNHDGSTTKKLLAGVDLVAELVGVTLGPKGRNVVLGNKYGPPKIVNDGETVLKEIQLDDPLEYVGVKLVREAGAKTNNLAGDGCTTSIVLARGLIAEGVKVTAMGANVVQVSRGIEKTAKALISELKLMSREVEDHELEDVAAVSAGNDYAIGNMISEALRQVGREGVVTIEKGNSTKTNLEVVEGMQFDRGYLSPYFVTDRRKRIAELHDCKLLLVDKKISNPKELVKILDNAVKEKYPVLIIAEGIEQDALAPVIRNKLRGVLKVAAIKAPSFGERKSHYLDDIAILTGGTVIRDDIGLTLENAHKDLLGSASKVVITKDSTLIVTDGNTQTAVSKRVSQIQNLVENTEEKFQKKILNERIARLSGGIAVIQVGAQTQVELKDKQLRIEDALNAARAASEEGVVVGGGCCLLRLSSKVDAIKETLDNEDQKIGADIFKRALSYPAKQIAKNAGVNGSIVVEKILSVDDMKYGYNAARDRYEDLMAAKILDPTKARYYIS >Solyc03g119780.3.1 pep chromosome:SL3.0:3:69827119:69842751:-1 gene:Solyc03g119780.3 transcript:Solyc03g119780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPRKGKIEEQKGDNSEAVVRHQKLCLSIDMDKRRIYGYTELDVIVPENGILGLHADNLVIDSVTVDGEPTEFEVFPHYLALENGDRWCAVSSATSAADAAGSVYLSHLDRELLSNLLIMCKKPAEHDIERQEMHLENGLNSSAENNQNVKKVRIDYWVEKAETGIHFDGDVLHTDSQIRRARCWFPCMDDNLQCCCYDLEFTVASNLVAVSTGSLLYQIWTKDVPARKTFVYRLSTPVNARWISLAVAPFEILPDSTITYLSHICLPADLTKLRHTVGFFHSAFSFYEDYLSASFPFGSYTQVFIPPEIAISSASIGAALSIFSSQFLFDGKVINKTIDTRIKLAYALARQWFGVYITPEAPNDDWLLDGLAGFLTDMFIKRFLGNNEARYRRYKANIAVCRADDSGATALSAVAASKNLYGTQCIGLFGKIRSWKSVAILQMLEKQMGPESFRKILQQIVARAQDVNRLLRTLSTKEFRHLANKVGNLERPFLKEFFPRWVGSCGCPVLKMGFSYNKRKNMVELAILRECTARFDLGDTMSNGKPDSEKQEGDGWPGMMSIRVHELDGMYDHPILPMTGEPWQLLEFQCHSRLAAKRFQKTKKSSKPDGSDDNGDTVANVDMRATSDSPLLWLRADPELEYLAEIHLNQPVQMWINQLEKDRDVVAQAQAIATLEALPHLSFSVVNALNNFLGDSKAFWRNRIEAAFALAGTASEETDWAGLTHLVAFYKARRFDANIGLPKPNDFRDFQEYFVLEAIPHAIAMVRAADQKSPREAVEFVLQLLKYNDNSGNPYSDVFWLAALVQSIGELEFGQQSIVYLSSLLKRVDRLLQFDRLMPSYNGILTISCIRSLTQIALKLSEFVPLDRVIELINPFRTSKTLWKVRVEASRSLLDLEFQRNGIDAALALFIRYLDEEPTLRGQVKLGVHAMRLCQIRNESDFDSDVKGETLVALLRLLESPISFNNVILRHYLFCILQVLARRAPTLYGVPKDETLRMGHAAFCSNLKNIFADLVKQSKPPEFPLENLEDILDDSAIADALPGNENAKGATISVPDSLFVSEVQKNTEDALLSNEIINTATGSIPDSLVVTEVQNETDLLNYRHGVMHLVGDFPLASSADPFREEPVLPDNEQTKPMVSLLHETGGMSMGPPTTDNLGSRDQGQPAINLGQDNPGISEPIREPDAVSASLERKKPVFKIKVRKTVTSSRAEDNENVTVDKSQDGFRDVDRGASSSVSVDAPQRNVVELLSSGGNQFPEDVNSCHDVGSHVTASIGSAKVAVEVEELTKELQCTAESSKVSLVPQLDGHLLADITRVDDPEAEPHKYASLHSLTMPNLPVHGKTKEKKKDKGKKRKLEGRKDDPEYLERKRLKKEKKRKEKELAKILKDEAKASTSLESRRKNEQRGTKAETIRNDHKLSLVEQEDGRKDEAEPRQVVNGAEAKATSSGLSGRNEDIGAKGASLQLKPGGSSGVMLNVDRGDTSLNAAPPTSSHKFKIRIKNRTLGKS >Solyc10g084490.1.1.1 pep chromosome:SL3.0:10:64121913:64122518:1 gene:Solyc10g084490.1 transcript:Solyc10g084490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTSDDMPDKEANRAAKTLLEIKSNKSTNLGERSEESRAINSPETIYPCSEEIRACFRREEALRYAQPNKAFSYTAVDGKKVVVAPLKKRGGKLFKRICHYDILKSNKPPFFTLHCLVRDAAARLPGGVGTRDDVCVLARDSQFIVEDISDSQLRKAVKGGLDRLHYEDDPCVKYEKERHQWTYLHGDRKLEDFEDDST >Solyc06g007450.2.1.1 pep chromosome:SL3.0:6:1458471:1458542:-1 gene:Solyc06g007450.2 transcript:Solyc06g007450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFKLQLVIGVPTHMWRKYLMAIF >Solyc08g029200.3.1 pep chromosome:SL3.0:8:37920316:37934084:-1 gene:Solyc08g029200.3 transcript:Solyc08g029200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CJY2] MEVEWLLVIHGLVTLLVLISFLCGQWPIFEGTFIQKIHFFLTFGACDYFRRFVGAMFGQKGSNALFCVEYYCCDRPNPTLQVIYLGIIGAIYYFIVQSSFKYIPGHYLGEVHRYISLLAVGGGILLFLLTSFSDPGTVNAVNVSHYLSAYPYDNIIFSEKECPTCKIPKPARSKHCSICDRCVARFDHHCGWMNNCIGEKNSRYFMAFLFWHFLLCVYGAVAIALVLAGRLKELRVIDILTVYYGIEKSFSSLAPHVVVWLLDSYNTQILIMVFLAIISMLLAGFLAYHTKLCVTNTTTNETFKWKEYLSWKRKVNEAKASAAALKASLGELNHEKKHPVSKWKAFFQRSPLEEVEVVKNNIYDRGVLQNVFEIVVPLSSRRSFLQRKPKSG >Solyc04g054300.1.1.1 pep chromosome:SL3.0:4:52221886:52222218:1 gene:Solyc04g054300.1 transcript:Solyc04g054300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLSVRSKKTRELVSNWWSKNVTEKLKKKMNVVKKWCVKRNAKIKINKKKKPIKLQRGRSGVWVANWNKKKIECMDVMGNESRKARTRMWLQQQEESDENVRSKLILN >Solyc06g036180.3.1 pep chromosome:SL3.0:6:25755237:25758971:1 gene:Solyc06g036180.3 transcript:Solyc06g036180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSCFLKEIGLDHDDVLVHLGPSPHALKPSMKSKSSIYQPEKPNETLCPSGRDARTDVL >Solyc07g008520.3.1 pep chromosome:SL3.0:7:3440422:3447492:1 gene:Solyc07g008520.3 transcript:Solyc07g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEDVYTKDGTVDYRNKPANKKKTGTWKACPYILGNECCERLAYYGMSTNLVYYFKTQLSQETVTASKNQSNWSGTCYVMPLLGAFLADSYLGRYWTIAIFSIIYVCGMTLLTVSASVPGLRPICYQKNKCHSTDSQTAVFFVALYLVALGTGGIKPCVSSYGADQFDDADPIERNYKNSFFNWFYFSINIGALVASSLIVWIQQDISWGWGFGVPAAAMALAVVSFFSGSRLYRYQKPGGSPLTRICQVVVASLRKFHVTLPAEKSLLYETAEAESAIKGSRKLAHTNDLRFFDRAAVKTGSDENRGSINSWKLCTVTQVEEFKSVVKLLPIWATGIIFSTVYNQMSNYFVVQAMSMDTYLGKMKIPEASLSVFDTIAVILWVPVYDRVLVPFVRKFTGHKNGLTQLQRMGTGLVISVFAMVSAALVEVVRLGIVKRHNLYNSKDIPMSVFWQIPQYMIIGCAEVFTFIGQLEFFYDQAPDSMRSLCAALSLTTTALGSYVSSLLVIIVTDISTRNGKMGWLTDNPNYGKLHYFFFLLSVLSVLNFFIFLIVARRYKYKKAINKDDHVPMMANDDDNKLAY >Solyc10g050253.1.1 pep chromosome:SL3.0:10:48695645:48696317:1 gene:Solyc10g050253.1 transcript:Solyc10g050253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIIVKGSNPPHVSELEEVSYERSWPLHYFLRIEVNYFEGGINLNRSKYVVELLSKIEMTLAKVVSTSLGKNMVWMKLWLVYKKPKHSTSSRRKKNSQVHQILRIISQSPCRLYGYSDADLGGCTTTRRSTTSHSINLGANFNSWTSKKQNTVTRSRAKTEHREVASTATALTWILYLLYDL >Solyc06g075390.3.1 pep chromosome:SL3.0:6:46934156:46940963:-1 gene:Solyc06g075390.3 transcript:Solyc06g075390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLTPYSSFPFLPPIRVKPSLASLRQQLLPCLQPQIYAIAKTSGFYRMAAANGSSDLYSEMEVDAFRRLFPLRYHEQHLLKSVRPDARKLGSARDTTLALGAVASAEGSALAKIGSTTMLAAIKMEIMTPTVECPDEGSIAIEFHMPPICSPLVRPGRPAEAASVISKQLSDTILSSGMIDLKELCLVGGKAAWMAYLDIYCLDADGSLFDAALLSAVAAFSHLNIPVVSLNEDGRIVLVSEDNNQLKLEKQPVNTEKRKLKLNSPPFSLTCLLHKNYILADPTAEEESIMETAVTVVLDSSYQLVSLYKPGGPVHAHTSVIQDCVALAKRRVKELQSVLNEAISDMEVE >Solyc11g027697.1.1 pep chromosome:SL3.0:11:18841000:18849295:-1 gene:Solyc11g027697.1 transcript:Solyc11g027697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEILCPYLDQLVVVYLYDIVVYSSTLQEHVEHLKKVFKVLRENQLYVEREKCQFAQPKIHFLGHVISQGELRMDEAKVKAIQDWEAPMKVTELRYFLGLDKYYRRFISGYSAIAAPLTELLKKNRFWLWSEECQEAFEVLKAVVTEAPVLMLPDFTKTFEIHMDASDFAIGGPLENRYGRTTLGVACHHRLWTSRHSQTTSGLTRSDDVGRGMPSSPLGSTDGRKASGVACHNRPWTAQTVGRRRAWHAVIALGQHKRSATVGRAYTQRSGPMAPKSTCRWLSRRNISAAVTVLKYNFHGAAGRILCRRLKYATGYSRPRPSGICQGLRRMGLDCKKRPWTTLTVERRRAWRSITALGQHTQSDDIGHGMPSPPLDITHHDITTLGQHTQSNDVVHGMTSPPVDYAHAHMVKQRRAWHDLTALGQHTRSYYVGRGMTSPPLDSTRGRTTSGHTWSNDVRRGMASPPLDSTHGRTTPGVTCITAFRQHSRSNDVGRGMPSSPLAAQTVERRRAWHDITALGLHARSDDIWHGMTSPPFDGTHG >Solyc10g080970.2.1 pep chromosome:SL3.0:10:62268470:62274572:1 gene:Solyc10g080970.2 transcript:Solyc10g080970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSDHKATIIDGKAIAQTIRSEIASEVQRLSEKYGKVPGLAVVIVGNRKDSQSYVNMKRKSCAELCIKSFDIDLPEDVAEAEVISKVHELNADPDVHGILVQLPLPKHINEEKVLCEISLEKDVDGFHPLNIGKLAMKGRQPLFNPCTPKGCIELLVRSGISIKGKNAVVVGRSNIVGLPVSLLLLKEDATVTVVHSRTKEPEKIIREADIIIAAAGQAMMIKGSWIKPGAAVIDVGTNAVDDPTRKSGYRLVGDVDFQEACKVAGWITPVPGGVGPMTVAMLLKNTLDGAKRVIEK >Solyc11g071300.2.1 pep chromosome:SL3.0:11:55120291:55131325:-1 gene:Solyc11g071300.2 transcript:Solyc11g071300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQDLPLSQATMESDETSNTPSDDISSLQSSRPLHGRTSGPKRRSSHWTPEEDEILRQAVQQFKGKSWKKIAECFKDRSDVQCLHRWQKVLDPELVKGSWSKEEDDKLIGLVNIHGPKKWSTIAQELAGRIGKQCRERWHNHLNPAIKKEAWTQEEELTLIRAHEAHGNKWAELSKYLPGRTDNAIKNHWHSSVKKKRDSYIASGLLAQLPTLSNVNHQNQSIPSSSVMLHQTSEDESVHKEGAELEEVMECSQGSTLAGCPQSTSDLGNTFVHKRENGGMSMEIVREKDTSSSAAPCPTYYTPAFEDVGCSMQEVPSELVDSNSLEQHTFSHDWGNSTGNDWQFNMDDFTEFIQESSGHYMQCLNGNENHDMVTNPLQNAMESGATSNAGNIVEGPYNLNEMFNLNELFDGSRIEYPEVGIPQCSLPETGVNGSGEPADSLIYQSSNNQIPESGNMAPQNCNDFEASTYQQFSVPSHFSSEDRSLVFGIASDQFNYPPLENPVQESFSSRCDGFICPSEFGSPSNDNGIDNAVLKDHPDYTKDSSRLEEQKDEGALCYDPPRFPSLDTPFLYCDLKQSGSDTQQEYSPLGIRQLMTTSTDCSTPLRLWDSPLRADSPDAILKSAAKTFTGTPSILKKRQRHLVTPLSEKRWEKKLESDLNKESFSNMVTEFPRVDDMFDESANEKASTEDKENLHPSSEDGRKETGDGVTGLSCIGNSERQLDGGGANYHKEPHSEYAGTNDAMGKVKQPPGVLVELSTNDMLFSPDRFFTKHDRATSLSIKALGNQYARRLEAASSNQATVSSSSIVCSPDVLGKSQSGAFIATSMQYTTSTALENTTENSENVFGADTSNIFGETPFKISIESPSAWKSPWFTDPLLSSPRYEKELTYEEFAFLLSPGDRSYDAIGLMKQLSEQTAPSIADARQILGSETPETILLGRNSKEQKADENRTLLASNAMSERRTLDFSECGTPGKGNETTTKFGSNDNVSSPSSYLLKCCR >Solyc05g050720.3.1 pep chromosome:SL3.0:5:61692642:61696752:-1 gene:Solyc05g050720.3 transcript:Solyc05g050720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFITVDHCMTVLFNCMSTITMSLSMSDDCNRFLQSSYTHSETFAGASVLCPKIHGVYPRLPSYTVSYKRSTLKSHGCVQPVSGKVPCRSITHLSLAGRAIKCASFVERNYQSSVNDDEDPFWINATKQSVRAAKSIMEFLVEQPSQLKYIEWPGFQSTLKTAILALVLVGLFIIALFSVDSALSYMLALFLRRAA >Solyc03g063440.1.1.1 pep chromosome:SL3.0:3:36773671:36774036:1 gene:Solyc03g063440.1 transcript:Solyc03g063440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFCFSKLDSLTCNEKEKAVEDNLHQLFEYMKYPASVSALVGSTVDECDTEMRDKMDEIHLFASQIEYNLEKNILQLYLEEKEIVPDCKGNANLDVLKFWKHNMNIYPELSLMPIFFKYTY >Solyc04g050775.1.1 pep chromosome:SL3.0:4:48198726:48198993:1 gene:Solyc04g050775.1 transcript:Solyc04g050775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTYDKPIEQMVNAILHTLCYNDRTRLQEIWRDESVNGTITNLSLNGTTRAKRKDKEIVEYIN >Solyc02g088240.3.1 pep chromosome:SL3.0:2:51036940:51040775:-1 gene:Solyc02g088240.3 transcript:Solyc02g088240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFTSQMVHEWQEAYMDYNYLKNLLKDILNFKKKNASLSEIAATPEGSLKRRISMYRAFSGLQSRYTSFKGNNNSNNHEDEVILVNTVQQEGPHQTMFLMSSEQGGEYEMVFFKRLDDEFNKVVTFYKKKVGQVKDEADELSKQMDALIALRIMVDKPSIDMHSAPGMDPASVVPLHLTSQLRSHMEVIQEVEMSSEEIVEDESTSGKRDTTKMNPMGFRPAPVEILDNVKINIEPATPMSTLKNVIKSAKSDLSFSRQELRKAEEQIRMAFVEFYQKLRLLKNYSFLNVLAFSKIMKKYDKIASRKASKSYLEMIDKSYLGSSDEVAKLIERVEVTFIKHFVNGNRRKGMKSLRPQAKRDTHRVTFFMGMFSGCSIALVAAIAVAIHAGNLLEHKDRGQYMDNIFPLYSLFGYIVLHMLMYAGNVYYWKRFRVNYPFIFGFKQGTALGYRQVLLLASGLSLLALSAALSHLDMDMDPKTQKFETLTELIPLALVIVTLPDFILADQLTSQVQAIRSLQFYVCYYVWGNFRTRSNECLKSNVYQILYIVVAIIPFWSRFIQCLRRLFEERDSMQGLNSLKYFSTIVALVMRTLYSQKGGTFWRVMAASTSGVTTVANTYWDLVIDWGLLQRNSKNPWLRDKLLVPHKIVYFVAIVLDIILRLVWMQLVLDIKQLSFLHEKAFLAVVACLEILRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFNYDEDKSH >Solyc09g065620.3.1 pep chromosome:SL3.0:9:64016822:64019091:1 gene:Solyc09g065620.3 transcript:Solyc09g065620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGNWQSKHRERHIKMGVSSIFEVGNETIDTINVKSSSSLPCSLLVFSPTKKAPYPVLLFFHGFMLQPSWYKSLLQHISSHRYIIVAPQFPLMNQEVKTVQKIAEWLINNLKSVLPEKVQPDLEKVAISGHSKGGNTAFAVALDSSMPLKFSALLGIDPVAGCSPSCLRPPYVLEYIPRIFNQSIPVAVIGAGLSNQSTCCLLPSGAPNGVNHAEFFNESKPPCYYFLAKDYGHADMLQADGIMAILIRIMMKSGKGSKKSMRRAVGGIVVAFLKAYLEGQIDDLNEIVKSPNLAPIILDPVISIKD >Solyc01g096240.3.1 pep chromosome:SL3.0:1:87204794:87210319:1 gene:Solyc01g096240.3 transcript:Solyc01g096240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQDAFAAGGEFGIFEGRSFALIHPIVMGGLFVYTLYAGYLGWQWRRVRTIQNEINELKKEVKPVAVTPEGTPVENPKPSPVEAKIQQLTEERKELIKGSFRDRHFNAGSILLGFGVSEAIFGGITVLWAVAAALVPAMQKGNETARSLHIALNAINFR >Solyc01g091000.3.1 pep chromosome:SL3.0:1:84576613:84579604:-1 gene:Solyc01g091000.3 transcript:Solyc01g091000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYAQNLPQWLITLLTEKFFNACIIHEDAKKNEKNVFCLDCCEGICPHCLSPHRSHRLLQIRRYVYHDVIRLGDANKLLDCKSFVFLQSYTTNSAKVVFLNQRPQTRASRGSGNCCIICDRGLQDPFLFCSVSCKVQHILKTEGKLTKYIYRCEYMTLPEPGLDDGQMTPDTILEPIGSVRTESGSSGSGGAAEEVGCRTVGCTATTEVVRKKRSTLSAFRSVFQPGCGPGSGISVSMLNRRKGTPQRSPLY >Solyc11g071820.2.1 pep chromosome:SL3.0:11:55469095:55477879:1 gene:Solyc11g071820.2 transcript:Solyc11g071820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFVFERKSISGPISLVKHFSNKDIKKATDGFRRIVYNSSKIVAYRAKFQNGHAAFVKEVRGFEDEDDTAFYREVQLLGRLHHRHIAALNGFSCGPKRFLVFENMEKGSLKEHLSDPLMTPLNWRIRLQIAVGIAAALEYLHFFCDPPMYHVSVSSSTIMLDENFTAKLCDVSLLCSVENNNPLPKSKCSKECGNEICKQTIFQLGLLILELVTGQSSEEGGVDLVQWVQDSRFRRRSIHQMIDPDLGDSYDFKELKGLLAVAKMCVQSIHKPTIKTPQILWYLQKKLGRTPVVC >Solyc07g006180.3.1 pep chromosome:SL3.0:7:1007234:1033769:-1 gene:Solyc07g006180.3 transcript:Solyc07g006180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKCYKTLIKVYIGLPKVKDKIDSKVVKSNTTKREGWVRRGVNNPESIADHMYRMGVMALIAADLPGVDRDKCVKMAIVHDIAEAIVGDITPADGVPKDEKSRRERAALEDMCKLLGGGSRAKEISDLWMEYEENSSLEAKVVKDFDKVEMILQALEYENEQGKDLEEFFQSTAGFLGGGYHRCSSIASSEPKVLKFEDEEKLLGNAKDSLSVEEISKIREEYNAAKEKFLKIPDALKQMPKMNPKGIYVNKNVRLDSIQVYGFDYDYTLAHYSSNLQCLIYELAKQQLVNEFKYPDTCLEFKYDPTFPIRGLYYDKSKGCLMKLDFFGSIELDGCYYGRRKLSREEIDEMYGTRHIGRDQARELVCLMDFFCFSEACLIADIVQHFVDAKLEFDARYVYEDVNRAIQYVHNSGLVHRGILADPPRYLVKNDQLLRFLRMLKDKGKKLFLLTNSPFYFVDGGMRFMLQDSLGQQDSWKELFDVVIAKANKPEFYKSDHPFRCYDVEKDTLAFTKVDAFLPGKIYYHGCLKAFLQITKWKGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELKSEIMIQNDNSYRFEQAKFHIMQELLGRLHATATSSMTSEAYKSLLRELNDERQKTRYKMKGMFNKSFGATFLTDTGQESAFAYHIHQYADIYTSKPENFLYYPPEAWLHVPYDIKIMPHHLKDMTTSIILPMPKEILNQYTKLLRCPGYLQRPHSPEEITQIFGRFIGKIERRRRNFQKLIEMVSIEQVESPAAGKTSTAAEAPSGYVSDGYETASDSELNGAENGSNRESENTINTASSSSDGDFLKEKTQEQQPEVNQEQLNEVLSVAILTTTTAYLGLHWKALAQANDAKVEGNALFKDGLYEEALSKYELALQVAADIPSSTEIRSICHANRAACFTKLGKHEETIKECTKALELNPTYIKALVRRAEAHEKLEHFEEAITDMTKILELEPSHDQARRTVIRLKPLADEKREKMKEEMIGKLKEMGNSILGRFGMSVDNFKTVKDPNTGSYSVQFQK >Solyc08g066560.3.1.1 pep chromosome:SL3.0:8:55361602:55363469:-1 gene:Solyc08g066560.3 transcript:Solyc08g066560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTCHLNNCVSMEIPDRYWVAIASKREFTLHRLAFLWFRKITPHP >Solyc03g121290.3.1 pep chromosome:SL3.0:3:70926083:70928796:1 gene:Solyc03g121290.3 transcript:Solyc03g121290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRKQKGRRQRKDRKKGKMMEIINNTDERAVNVDRISELPEHILHHILCLLRWPADLARTSILSKKWKVIWESFTSFDFDQRHFQCVKAADKWDHLQPLPVEKQSSIFKQEFESLGFVELPLIHKFILFVENTLGTRLKQLPSIQKFRLHVVFSVHLLAPFMNHWISVATDKNVNELDIHAKMDGKLYALPELVFASRTITSLKLYGCEVGDSTAIKLQNLRELSMKAMRINENIVHNFVQACPLIEDMRLINCYGLKFLHVSSLPKLNKFEVHERSSLRSVKLEAPDLETFWFHGKKSSRCKLILAGCGNLKNLTLNHSHMADKTFHELISHFPLLEKLFLLECRTLHRITILSDKLKTLSLVRCHKLKEANIDAPNLLSFEYTGAELPFSSMNASRLQEVKLHLKSQKQKSVQKFIEGFDGKGFKLLLASKQGVNIYEELRGLHLSYFGPYKIQLTKSARMVENLLNSHLRDFHPKTLILKTSLRSDLLVFIQEKILNKEKIPPSCCKYYSKKCWRHYLEGAKMSLLPDVSSAEYPNTILELKWSDAAPLPEDVEI >Solyc09g008010.3.1 pep chromosome:SL3.0:9:1485967:1491878:1 gene:Solyc09g008010.3 transcript:Solyc09g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFPFYNAEKKEEPKTTKSNPVLSSSFGLFDSEFRHSHRESSSQNVSDTSSESRGRSQIPSLSDRPSDLRAFTFSELKAATKNFNRTTKIGEGGFGCVYKATVKTGEDSSKKIDVAIKQLGRRGLQGHKEWVTEVNVLGVAEHKNLVKLVGYCAEDDERGIQRLLVYEYMPNRSVENHLSARSETPLSWAMRLKIAQDAARGLAYLHEEMDVQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPPEGLTHVSTAVVGTMGYAAPEYVQTGRLTSKSDVWSYGVFLYELITGRRPLDRNRPRSEQKLLEWVKPYISDSKKFQQIIDPRLDGKISRSAQKLSIVANRCLVRHAKTRPKMSEVLEMVNKVVETSTGIGNPGPPVRIAEPTSPESTRKGKRKVDTKLGDGSRLVRIWSTKLTNTC >Solyc06g073470.3.1 pep chromosome:SL3.0:6:45421635:45427202:-1 gene:Solyc06g073470.3 transcript:Solyc06g073470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWVRSIRRPLSSLVLLQSRAPNYSSLSASAAAVEAERCIKEGPRNNWTRDEIKSIYDSPLLDLLFHGAQVHRHSHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKAQKLMNKDAVLEAARKAKEGGSTRFCMGAAWRDTIGRKTNMNQIVEYVKEIRDMGMEVCCTLGMLEKQQALELKKAGLTAYNHNLDTSREYYPNIITTRTYDERLETLKHVREAGINVCSGGIIGLGEAEEDRIGLLHTLSTLPTHPESVPINALIAVKGTPLEDQKPVDIWDMIRMISTARITMPGAMVRLSAGRVRFSVPEQALCFLAGANSIFTGEKLLTTPNNDYDADQNMFKLLGLVPKAPNFSEDEKDSEDEKMEASV >Solyc09g031900.3.1.1 pep chromosome:SL3.0:9:27812045:27813443:1 gene:Solyc09g031900.3 transcript:Solyc09g031900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTKMTSNQLSKEIDFCPHQKLKQNPTDTKSTVT >Solyc11g020530.2.1 pep chromosome:SL3.0:11:11387685:11388768:-1 gene:Solyc11g020530.2 transcript:Solyc11g020530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTINFKRGCETQGGDRKCPTGQCCGISGSCSYPYPQGQCGLEASGRKCPKGVCYNYSGLCGNTLDYYDVDKCQSQCSGPFTQGRCGWQADNGSCPTVVCCSLSGWCGTTSCHCGCGKCQSQCKRPYPPSPPSITEGRCGKQTGGRKCPNGVCCSDLGWCGTTSIYYYPNRCQSQCSGSTGVYCSLDGWCGTTPAYCASGNCQSQCKNTLESTKNRMCGIKSFYL >Solyc09g065230.1.1 pep chromosome:SL3.0:9:63469883:63470167:-1 gene:Solyc09g065230.1 transcript:Solyc09g065230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCVDDSFLFSPSSTAFAFMQTRNHNCLNYLTNIVYKFNGGVLNVYPVDLFEHIWIVD >Solyc04g080790.3.1 pep chromosome:SL3.0:4:64953310:64961758:-1 gene:Solyc04g080790.3 transcript:Solyc04g080790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYYSNLSNQREVLPMSFLPDQKFASYQSTGLSDSPVHLNQHSASVSYSELPSQNYSEVQTLKGKDEMLFIPPTSESGGMQPIGRAVNAVPNFLENSVMMDPHSFPIKQLLVPNGEQSLQNQGLSLSLGTQVPPSLHVYSYQDDYTNSSLSSLVGTHVLHSDQGSENKESKVAEYLSFDLAGGSRAANNPQSSMTLRELNSGVHSHVGSGAAAAIYNSKYLKAAQDLLDEVVNVQEALKQSDKLRNFNLLSHDRSEEADFKSSSSATGISDDHNNSTKGELSATERHDLESKMTKLFSMLDEVDRRYKEYYQQMQVVVSSFEMVAGLGAAKPYTSLALKTISRQFRCLRDAIKKQIQVTRRSLGEQGDSQGERLYRLRYVDQQLRQQRSLQQFGMMRQPWRPQRGLPETAVSVLRAWLFEHFLHPYPKDSEKIMLARQTGLTRSQVANWFINARVRLWKPMIEDMYKEEFGVAEAGSGASPERVAVDSKEKSIAENTGEDIPESFTIPAANCSHLDPSDESSNIVTNVHNNSFTTKFSFQDGDYEHDRIDCGSNKLQSEQIPIAHRFGPKPTSDGSIIGPVNTYHPSMLGDIMGNQVSLALRLQNSQMDQQPISGRPQLRQDEKTDSTHVDISKGEYYYIDPVNQQERFSGSHLLSDFVVYMNQEIDQRNNEFSFSAAAMNQSFSNVCGTESFVSAIGNSKYLKPTQSLLEELVCIGGKTIDSSNEKFIRRLSRNSKKGSLSLRAMLKGEIPPNNELFNERHELYVKIMKLIALLEEVERRYEQYYQHMEEVTSTFEVIAGFGAGKAYTALALQAMSRHFCCLRDSIISQINFIRQKMPRDVPKISSGLSHLSLFEKETLQNRISLQQLGIIQSNRQAWQPIRGLPETSVAFLRSWLFEHFLHPYPNDSEKLMLSSQTGLSKNQVSNWFINARVRLWKPMIEEMYKEEFAESSVESDNLLNREAVTDSAEE >Solyc10g050735.1.1 pep chromosome:SL3.0:10:50366010:50373357:1 gene:Solyc10g050735.1 transcript:Solyc10g050735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGGDSWAREAQCRKRRVDELMVDNIDSSAYKKLSSGKFVCIVCSHRPVLDTPLMLSYFTSGDACSPTIFFLVNSQLSEAASLDKEFLLGPSEPWKIGRVHVKGSSHRAEETRLRERELGRQDEINKRIALSECDTATSKTLTSSQLCRSASKPLIGCTRKAASYVLHQNLAQSSASQGDEIKCTKVDTSSVLANQRSSQCVQIGVTTNQTIISQAYNQERRERELKFTSAGWKRDGHGKWFKDENVEFDSDEEDPNLCLP >Solyc07g055940.2.1.1 pep chromosome:SL3.0:7:63994902:63996509:1 gene:Solyc07g055940.2 transcript:Solyc07g055940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEGVFDRMDIPKSYPPTLFVHMPKDKRRSVRIARYLTLLQGKGIDVAEVKCMEFALSPNLLSDRIPGLDLATSVKLYSLFQEKDFVDTKGFMRNDGRTIQWKEALKEREIILPDKSIANHIQEEMNLAFAYHEMTSLQSEQIFN >Solyc06g054327.1.1 pep chromosome:SL3.0:6:37266394:37269858:1 gene:Solyc06g054327.1 transcript:Solyc06g054327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTLRAPDVDNLEFDGWLVFSLRIPVAIKQQRLEAGFVGPRLEGVGGLSRGRVGKGGATVNF >Solyc01g096110.3.1 pep chromosome:SL3.0:1:87081288:87101971:-1 gene:Solyc01g096110.3 transcript:Solyc01g096110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHKISCCNIDDDVVSKLPLQMIKSEIIPPAPNRSKSPSEFEPAIDWQPNFAGYSWIAYGASSILVIRQFPNPLSQTETVVGTVFQQVLELSIDGTGTVSAVAWSPVTPSSGDLAAALDNCIGLFSYDADASHSSFCWSQTSILVQSTKVDSITWTGSGDGIISGGIELILWRKKERSWEIAWRFKPELPQTLISATWSIEGPFAAAPPYRLHFEGSGSHIHAGNKCVLVCQRDADSKHVEATLPHPLPVSMIQWRPSTITHSTRDGKYSSRLVLLTCCLDGATRLWTEIDDGRVRKVGKDGNEHKMNKFSFRVIAVVEVNQALNGRLGLDVSVRWAADINGIITVNGEAVSYASPDEHQHGNASRCEWLVAVGPQTTLTFWAIHCLDDFSPLRAPRVTLWKRKELNSPKEMPRGLLLNKIFIMRNQVFGPPTVCSFINLLPNNYLAWMQFYSSKFPSGAKVSSELISTEDSMPNKCQSECLLSLCATGLSNTDSHSNKILQVAVHPCLSELEIASSLDTDGKLLFWLFSSASNTILGLPTLSPSWKLFGKGATALPRPKYTSLSWAPTLLSEERILVIGHADGIDFLLVKALKAEELEMVCHKICTIALTAGSQEEGPDSVFSIPLPATCNKTFISNSFLLLAVWKKGFQALSWKIYLHHYDLSGSRCGCSFDSTNTFQDNIWKFESSYSGKKYLVSVEPCSSAFPDPHHHNKISSSAVICPTNSGFSEEIFANNLYSNYFAYHMVTGCVDGSLQLWRSVPAVSSNSQWDLVGTVALHQGPISAVSASICGRKIATISKEGTVSASTTIHIWECVRVEGTGSFILEDTLYFDGDVVASNWLTIGNGQFLLGVCSRDKLHVYAQKRCGGQCNLEPEKSLEGNIWLRLATRHTNPTIQDFFWGPKTMMVVVHDKYISVFSKFLYFMDKKLLPQLGAEVCEESSICQCGSNKVPVFDGHENCDNAQRRSDFLLNMEVVNETSLFSSMTKSQEGSTSVKNGIWSILEIAELVGGSLPLVHPEAFLVNLLSGNWKRSYVALQCLSKHVTSTKLSAKICCLRAFSGLIFPISLSNYLEGNVLSSSNEKSFQWGGPSDSSSWGYAASDNALSISSARSEITDFIEAVDKLQKFAAISATEMMQIRAAIHLLDEVSNMQSTSAYNSLDGPGRRFWVSVRFQQLYFVQRFGRLPSEGELVVNSGLIGWAFHSDCQENLLDSLLSKQPSWQEMRDMGVGLWYTSVAQLRLKMEKLARQQYLKNKDPKACALLYIALNRLQVLAGLFKISKDEKDKPLVAFLSRNFQEDKNKAAALKNAYVLLGKHQLELAIAFFLLGGDTTSAVTVCAKNLGDEQLALVICRLVDGYGGTLERSLISKILLPSALAKVDYWLASVLEWMLGEYSQAYLRMLAYPTGSLNGKCIFSSRQPAFLDPNIGDFCLMLAAKTTMKNAIGEQNAASLSRWAILMRATALSRCGLPLEALECLSSSVGVTGDSKRRSLPDNVDSGCLHEMLSALLNETSSNWLSLDVALQIDSHMRSDLSMHYMSKMLKRHPSWVDNDMTCLQEQMCTVSENQEYKLLIEAFQDELMTTIASFQLKFSLIPLHLIYSIFLSFCNRGLAYIGCHLLCDYINKYLSSEQGSGLDGCSLYPCLPELFLRVSGELFYIFARYIIMCSMDCFYMKSFTFRSDRADENIYCAIPELYKRRLCWSFWCIRAMMQFSSVSCTENFVGTHFTILDLSEYLLLFASAWVQRNFGDLILIVKPLLMTKNSDQTDIKDIQKLLRESLRMMASDLPSHAAGSSVQNKNLMPQAQFGDVILSVPEERWHVMVASFWGRVFSLLKPKLNQLCPELEESGLFLPPGRYPSISTSSILLDGNNVSTHNGMVPGFLAKILMVTCAHISSYCVNQFASVLLESMDTGATALFCSEDYQSKHKAPDTKLSHSNNDLDKVTGEDQLSAFEALWDLCSELKKVNQDFVLQDQKCLQHTLHKSFKGWSEMYPSIVRECEVDETFDREERLGSPSSAAGSPLACLSPNNHPFQSFGGKDTHHTKKVLPFRSPIEIYKRNGELLEALCINSIDQHEAALASNRKGLLFFKREDGLPCGNKADNVWAEADWPHNGWAGSESTPIPTCVSPGVGLGSKKGTHLGLGGATVGTGFLARPTFGLPGYSNMGGSSLGWGVQEDFDDFLDPPATTENVRTRAFSAHPSRPFFLVGSSNTHIYLWEFGKDRATATYGVLPAANVPPPYALASVSAVKFDHCGHRFVSAASDGTVCTWQLEVGGRSNVRPTESSLCFNNYTSDVTYVTSSGSIIAAAGYSSSGVNVVIWDTLAPPATSRASIMCHEGGARSLAVFDNDLGSGSISPLIVTGGKGGDVGLHDFRYIATGKTKRQKHTEIGDHGVNSMVDTQKKTGDQNRHGMLWYIPKAHAGSVTKISTIPHTSFFFTGSKDGDVKLWDAKSAKLVFHWPKLHERHTFLQPSSRGFGGVVQAGVTDIQIVSHGFLTCGGDGTVKLVMLNDYLGL >Solyc09g007210.3.1.1 pep chromosome:SL3.0:9:827667:828926:-1 gene:Solyc09g007210.3 transcript:Solyc09g007210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKKKRRRAAHDSDSDSESRPFQSKLKPDSVILQTLKALKSSCSTTSKTLSLSDVGLSSTCREVADLPIDEVQSEIESLAFTIAKSILSGEGFSFSVPSRAAANQLYVSELDRIVLKDKSSARNFGNVSTVRKATITLRILQLVHQLCTSNIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCIVGCTRSSLNVVAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALYILLVEKDAAFMRLSEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKMELNLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPAWVEELNLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQEQDWL >Solyc01g079620.3.1 pep chromosome:SL3.0:1:78605034:78608476:1 gene:Solyc01g079620.3 transcript:Solyc01g079620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB12 [Source:UniProtKB/TrEMBL;Acc:B4YAJ8] MGRTPCCEKVGIKRGRWTAEEDQILTNYIISNGEGSWRSLPKNAGLLRCGKSCRLRWINYLRSDLKRGNITSQEEDIIIKLHATLGNRWSLIAEHLSGRTDNEIKNYWNSHLSRKVDSLRIPSDEKLPKAVVDLAKKGIPKPIKKSSISRPKNKKSNLLEKEALCCTNMPACDSAMELMQEDLAKIEVPNSWAGPIEAKGSLSSDSDIEWPRLEEIMPDVVIDDEDKNTNFILNCFREEVTSNNVGNSYSCIEEGNKKISSDDEKIKLLMDWQDNDELVWPTLPWELETDIVPSWPQWDDTDTNLLQNCTNDNNNYEEATTMEINNQNHSTIVSWLLS >Solyc08g076540.3.1 pep chromosome:SL3.0:8:60645886:60650227:1 gene:Solyc08g076540.3 transcript:Solyc08g076540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLEAGRPLGPRRGYMNGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRVHIGQLVKDTSAKLKQASETDHRVEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSYTASEIDVSSGKSPEQRALLVESRRQEVLLLDNEIAFNEAIIEEREQGIQEIQQQIGEVNEIFKDLAVLVHEQGAMIDDIGSNVENAHAATAQGRSQLAKAAKTQRSNSSLTCLLLVIFGIVLLIVIVVLAA >Solyc02g065070.3.1 pep chromosome:SL3.0:2:36802894:36807922:-1 gene:Solyc02g065070.3 transcript:Solyc02g065070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTSKPSPEPNYSNNDLYAPDGTAIPAKDNNSEDSKSKEGAEVGKKSPFFPFYSPSPAHYFFSKKSPARSPSNSTPRRFFKRPFPPPSPAKHIRAVLARRHGSVKPNAIPEGNELEGGGGLDKSFGFSKNFENKYELGEEVGRGHFGYTCKAKFKKGELKGQEVAVKVIPKAKMTTTIAIEDVRREVKILRALTGHENLVKFYDAYEDHENVYIVMELCEGGELLDRILLRGGKYTEDDARAVLTQILKVVAFCHLQGVVHRDLKPENFLFMSKEENAQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVISYILLCGSRPFWARTESGIFRAVLKADPGFEEQPWPTLSSEAKDFVKRLLNKDPRKRMTAAQALGHPWIKNSHDVEVPLDILIFKLMKTYMRSSALWKAALRALSKTLTVDELVHLKQQFALLEPNKNGTINLDNIKAALMKYATDAMKEARIHDFVASLNALQYRRMDFEEFCAAALSVYQLEALDQWEQHARCAYEIFEKDGNRAIVIEELASELGLGPSVPVHAVLLDWIRHTDGKLSFLGFAKLLHGVSSRSITKVQ >Solyc06g053500.3.1.1 pep chromosome:SL3.0:6:36376915:36388551:-1 gene:Solyc06g053500.3 transcript:Solyc06g053500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLPGYSALAPKTKNLVMAGGLTGFVFGVYYYTMRAVGGSDELQVAIDKFEDAKRSSDAEASLAPKQ >Solyc11g007680.2.1 pep chromosome:SL3.0:11:1896441:1914915:-1 gene:Solyc11g007680.2 transcript:Solyc11g007680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTTTTTTISGDSITVTDDQKNNPTNPLASFISSVLQLFKPPPASSKKIEPTAATSDLKPIASAEKEEKAAVVKFPRQDLPSLKLETEGAEPNTNPIVLWQVYAIGGFFVLRWAWSRWNERRGNRKPSDEEPPPSQE >Solyc10g083090.1.1.1 pep chromosome:SL3.0:10:63098769:63099758:-1 gene:Solyc10g083090.1 transcript:Solyc10g083090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSLFKSKKKPPFSPMLCRLSRCGNLRTLSIRDENNHNIFNSQRFYNNVDDEMVDEVIENLKLEKDRFFVESGQKTSSLLDMSSSRLSKRRTISKRLEFLPFNNDSYVITLMDSIDAYGETSRSILEGSSSRLSKSTNNSTSSKRLSYRPSNDSMDSYGDQETSSILDMSSLSSNDSISSNGLGYLPSNESMDATSILERSKSNSSHGFVYYVPCKKTYVIMRLISRDPYEDIKYFLERMVDENLEIEDWKESLEELCGWLLEINEKNIHKYIVGAFCDLWMSYSCTSTTNTPFEFNSSKPPSLYFMSMIEDEADQMIAASTFSVIS >Solyc12g008630.2.1 pep chromosome:SL3.0:12:2007250:2015544:-1 gene:Solyc12g008630.2 transcript:Solyc12g008630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRCVSSRLSSLKARQGNRVLTRFSSSAAVATKPSGGLFSWITGDTSSSVTPLDFPLNDVKLSPPLPDYVEPAKTRITTLANGVKVASEASVNPAASIGLYVDCGSIYETPVSYGATHLLERMAFKSTLNRSHLRIVREIEAIGGNVTASASREHMIYTYDALKTYVPQMVEMLVDCVRNPAFLDWEVKEQLEKVKAEISEYSKNPQHLLLEAVHSAGYAGPYGNSLMATEATINRLNSTVLEEFVAANYTAPRMVLAASGVEHEEFLKVAEPLLSDLPKVSATEEPKPVYVGGDYRCQADAEMTHFALAFEVPGGWMSEKESMTLTVLQMLMGGGGSFSAGGPGKGMYSRLYLRVLNQYPQIHAFSAFSSIYNNTGLFGIQGTTGSDFGPQAVDVAVKELIAVANPSEVDQVQLNRAKQATKSAILMNLESRMVASEDIGRQLLTYGERKPVEHFLKAIDAVSAKDIASVVQKLISSPLTMASYGDVLSLPSYDAVSSRFRSK >Solyc07g043340.1.1 pep chromosome:SL3.0:7:57165416:57166183:-1 gene:Solyc07g043340.1 transcript:Solyc07g043340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSFHRSILSHELMGFVDGSIPHYSPLLGDLEDLCTKLKLHEQRLQRFKELASPITHQAFAAHSVSTPIQDFCSEFGFGRGRGRSSSRSRGRGGKGRGFGGRVQQPQINSSNHNTHISAIG >Solyc03g031410.1.1.1 pep chromosome:SL3.0:3:3991618:3991776:-1 gene:Solyc03g031410.1 transcript:Solyc03g031410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIECSPITVSLTITIVHELFFLIFLLLVHHNRAFQCFYRAFLLNSRNGINP >Solyc07g042480.3.1 pep chromosome:SL3.0:7:55804939:55808848:1 gene:Solyc07g042480.3 transcript:Solyc07g042480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFRALSLLLANCLTLDKVASWSSYFLSSHS >Solyc10g047706.1.1 pep chromosome:SL3.0:10:41764029:41765165:-1 gene:Solyc10g047706.1 transcript:Solyc10g047706.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYFPEWVTIGFSGAIGTFSAIHTIYSWNFISYLKYNGNISDPDIPLPSPVPYSSFGIRASGTEVTQLEVEDANTTLQKWKIKPGKVIFVFKATTEEEDVLKHK >Solyc04g081400.3.1 pep chromosome:SL3.0:4:65478670:65482705:1 gene:Solyc04g081400.3 transcript:Solyc04g081400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:Q4PS96] MSVTVSSPAVRSFHVSRSPHKTISRPRVIISAVRSTDSLGVAPILTKLQKDCATPLPVLRHVADAMADDMRAGLAVDGGSDLKMILSYVDTLPTGNEKGLFYALDLGGTNFRVLRVQLGGKEERVVATEFEQVSIPQELMFATSEELFDFIASALGKFAQKEGGNFELQQGRTREIGFTFSFPVKQTSIRTGILIKWTKGFAVSGTAGKDVVACLNEAMERRGMDMQVSALVNDTVGTLAGARYWDDDAMVAVILGTGTNACYVERVDAIPKLAKRMSKSPITIVNTEWGAFSNGLPLTEFDREMDAESINPGEQIFEKTISGMYLGEIVRRVLVKMAKVGGLFGSSYVPEKLVTPFVLRTPDICAMQQDTSIDLEAVESVLYDVAGVKSDLSARKTVVDICDTIAKRGGRLAGAGIVGILQKMEEDSKGLIFGKRTVVAMDGGLYEHYPQYRGYLQEAVTELLGSEISKNVVIEHSKDGSGIGAALLAAANSKYEHDD >Solyc11g045480.2.1 pep chromosome:SL3.0:11:30639594:30653320:-1 gene:Solyc11g045480.2 transcript:Solyc11g045480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESMIPSTSVVKCCDCECNCSITSSSFSGNWILVIPGLVLPQEARKDMGNECIALREMVCGQQETIQELSVESMILRLQREKADSQMEFRQFKMFTEEKMAHDHESLTCEVQMYKHEMLSYVLLESEVEYDGEKENGYFSRNNSVGESSDGRFDIPSYDYPPLKSTINENQMHTEVNDEVVDIDKYAFGEAPRSCDNLRYLGKRINQLETTPSSRTNGEVFNNNILEKAIVAQSPFVPNKEICSDFISVANRGVCKLRKVDESSEVGDEMSVKVYTIDSIHQGAGYNGNSETKGLVHTPRDSLNHTDFGDPQVTKLYLRLQALDADRESMRQAIISMRTDKAQLILLKEIAQQWCKEASPERRTPVRKTSVVKSFSFISIFKWIMSVVLWRRKAHRCKYLFGLTANRVGLLMLLDKGPRVGQWRCLSSTQV >Solyc04g080210.1.1.1 pep chromosome:SL3.0:4:64547824:64548624:-1 gene:Solyc04g080210.1 transcript:Solyc04g080210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRFKLKLSMPSFRFCRPKKASFLPKSPMPLSLYKFSPANILDNSPVPVPPSTPHHPYILRKAHNLASKTYNSPSSEYSDPDNNNMRRGESRKSRLNMSFSSVDSGWFSFNSECCDEKPNDETESFMSSPSFESSFDVDHGIDPLSGIRRKKNNNNTKVRRLRRYLSNSLKDSMMPCMADGKVNESFAIVKRSVDPYDDFKNSMKEMIMEKEMFEAEDLEQLLLCFLSLNSRHHHAIIVEAFTEIWEELFGKSSKSMDLKLPRFQ >Solyc07g053380.2.1.1 pep chromosome:SL3.0:7:61961292:61966193:-1 gene:Solyc07g053380.2 transcript:Solyc07g053380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNTTSLSRISKFSKYFSNNGDNIKPQRLIQLLQEPNWIQSLDETKALHALTITIGQDPTQPFLANNTIMFRYSIFGDICIARKVFDNMSKRNVASYNTMISGYSRNGSLLEAWKLFSEMRGCGFVPTQFSFGGVLGCECLDVIQGFQLQALAEKLGLFLVDAVTGTALLGLFGRKGWLDEAMQVFDEMPKRNLVTLNCMISLFGLYECVEESMMVLRRLLRSGMAPSESTFVGILVGFVGELDLILGELVHGLVVKDGLDFSVSVNNSLINMYAKCSDIHKAEKMFEDAPVKDVVSWNTMVGAMAKIGRADRALVVFRKMSVSGVLPNDTSYVSALSCCTSQQFRLLGESIHAKVMQKKFESDVYVGSALLDFYVKCDGLDDALVCFDEISEKNVVSWNTLMLGYSSKGSSYVITLLQQMIHSGCVPTEFSFSIAVKSSGLSEVLQLHSLSIKMGYIDNDYVSSSFISSYAKSSSVDNALRFVPTNEMPLPAFASNMIAHIYNRNGQFDKTQELFSDLENPDTVSWNILIAACSRNGDYTEVFELLGHMRMARLSPDRYTYVSLFSACTKLCNLGLGSSLHGLIIKTDFKRCDTFVCNIMIDMYGKCGSLASSIKIFNEMTDKNVITGTTIVSALGLHGYAHEALEKFKEMDMTGIKPDKVAFIAVLSACRHVGLVKEGMELFEQMKGKYGVEPEMDHYLIAVDLLARYGYLTEAEQLITGMPFPPNALIWRIFLEGCKKKRSIDNTVALAS >Solyc10g080395.1.1 pep chromosome:SL3.0:10:61804216:61805304:1 gene:Solyc10g080395.1 transcript:Solyc10g080395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACITNCLLEWGLDNVFTITVDNASSNDVTVKEISKKLSNWGTNIMDGDHLHVRCMAHILNLIVQDGLKENGKSVKLVRQAVKYIKQSPAKLRKFKECCESELITCKKSLCLDVPTRWNSTYSMLDITQHFELAFERYSFYDIGYLNHLRTFGSDSSENKYETSVEDGTSFEDGTTSNILSSEMMTNEDRNLKEMTESMNEKFKKYWGEPQKMNKMIFISSVLDPRNKLDYVPFAIVDMFGKEVGEKLCSEVKKYMNKLFEYYVKKSPKSSLHVPSSPTSSGNSSSISSVSGCGNFVNRGRLRTKQQFEKHKEVS >Solyc04g007730.3.1 pep chromosome:SL3.0:4:1405731:1409020:-1 gene:Solyc04g007730.3 transcript:Solyc04g007730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSPVNPKTALEGVHGVQVVPHSPFALEEIKQHGGLHRRSHASFPVGTRHHLIVQRVWQQRPGCLRPIRCSCHGDRTVAETIANVITSLPFIALGLQAPRKNLHCKLYANSLIGVGIISSLYHASRGKIRRYMRWADYSMIATATVCLSRALRNENPKLLMAASAVLLPIQPLMVSAVHTGMMEVAFAKRALQDPDLRMSHNVHKMSSLLGGALFIADDVFPETPFLHAGWHLAAAVGVSTCNKLLE >Solyc11g063650.1.1.1 pep chromosome:SL3.0:11:49977977:49978192:1 gene:Solyc11g063650.1 transcript:Solyc11g063650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKVIFIIGAVGTGKSRLSVGLATHFRGKIINSNKMQVYKGLEIVTNKITHKEKKVYDTIS >Solyc10g079515.1.1 pep chromosome:SL3.0:10:61181540:61182187:-1 gene:Solyc10g079515.1 transcript:Solyc10g079515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPVMKKFKRAPPPPPPSSSSATQGPKPSKHVVHIGGISVEFPYQPYGTQLAYMNRVIVTLDRAHRDGHSHALLESPTGTGKSLSLLCSTLAWQQNCKSKNRYSYFTHSKPDPQALADPIGHGGGFIPETQ >Solyc10g044510.2.1 pep chromosome:SL3.0:10:26614559:26622592:1 gene:Solyc10g044510.2 transcript:Solyc10g044510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4CZH6] MEGAFREGRSLKETPTWSVATVTTVMVFVCLFAQRSIYRFGRWLKKTRRKALFASVEKIKEELMLLGLISLLLGQCARQISQICVNSSLFTSRFYLCSQEDYDSSSSSTSDTIHSSILSNHTTLIPPKGIYQQSHQCGEGREPFVSYEGLEQLHRFLFVLGITHVLYSCIVVGLAMTKIYSWRKWENQVSSGEQNNLQVPKNKEMRRQSTFALHHASHPWSRSRILIWMLCFLRQFRTSIHKSDYLALRLGFITNHKLPLTYNFHKYMVRSMEDEFYEIVGISWLLWGYAIICIFINIHGLNIYFWLSFIPAILVVVVGTKLQHVVSSLALEIAEPKGPLIGLQVKPRDELFWFGKPKILLRLIQFISFQNAFEMATFIWSLWGLKQRSCFMKNHAMVMIRLISGVLVQFWCSHSTVPLNVIISQMGSRCGKALVAESVRDSLHSWCKRVKDRSKHDALRSITTRSTCSLGSTIDEGDEIATVASVTLSPCSSRGSFNHLDEKVLSNDHQEDCIVETTNQPGHELSFRNSEVLVTDAEEIVDDEADKIETLFELFQKT >Solyc02g062180.3.1 pep chromosome:SL3.0:2:34283314:34289037:-1 gene:Solyc02g062180.3 transcript:Solyc02g062180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDHHHRSSTTDRFLLDYNADELRIAGEFLSNWFPFLSRDFCSSCTHTLSHRIRSLGRREAVGDAEQLKQQENFVVLTPELPDSNACNGNHDNCYGNSLGSWQDCAVLNGSADTNSLGSWKDGAVVQEPFDDALTCRNKSNSYVGGRSLGSLKGYADLNDTADTNSLGSWKDGADVQEPFDEALAPRNKSDNNVGGAVHPLGSWKDYADLNDTADTNSLGSWKDGADVQEPFDEALAHRNKSDSYIGVADRPIGSWKDCADLNDNVDTNSLGSWKDSADVQEPFEGALTPRIKPDNYLGGAARPVKEASRSKTFRSSRPTAIWRMKMPLADELDAVEISESSICSSQLRNGNGMNKEETSVQGAKPKMELSMEQREHIRFCNVKRKKDFICLERVNGKIVNILDGLELHTGVFSMAEQNRIVKFVEKLEEIGKSGQLKERTYTAPQKWMRGKGRATIQFGCCYNYATDKKGNSPGILKSETVDPLPDLLKSMVRRLVRWHVLPPDCVPDSCIVNIYDVGDCIPPHIDSHDFFRPFCTVSFLSECNVVFGSNLKIVGPGDFAGAIAIPLPMGSVLVLNGNGADVAKHCVPAVPTKRISITFRRMNESRRPIGCAPEQDLLGLQPISHEADRYEKSKTYKPWHSK >Solyc06g072780.2.1.1 pep chromosome:SL3.0:6:45019231:45021155:-1 gene:Solyc06g072780.2 transcript:Solyc06g072780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWHLMEALLVQIFGLTTRALLRNKCMYPELLTLRKRSGFEVGIMDPVGKCWRWSKSNGDGKECRCKKSTTLFSCISVWDSVLSLGIKKVMFPFTRSSGAMLISIIKVK >Solyc06g042930.1.1 pep chromosome:SL3.0:6:30817003:30818246:-1 gene:Solyc06g042930.1 transcript:Solyc06g042930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPTDSHPFSVAGLQASGLSGWMLVKKYDEGTIKDLCIGAEKGDVQDQNLVLQNSIQRFAVLDDYSSPNQQSCNSADRVEFEEKLIVDTNESNDAEFAQKINKIKEKGVVAENSSMRQGDKHTLDKLHEAIQTPKSDVQLENLAFDGQKF >Solyc05g055347.1.1 pep chromosome:SL3.0:5:65881022:65881730:-1 gene:Solyc05g055347.1 transcript:Solyc05g055347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTIDLCSTPINLSYIKKKIPHKYSCSIQLVEFHLPYMSEPPPHYHTTNGLPLHLQSTLYKAFMISEPQLYQILKDTKLDVLIVDAMGSKVIQDVEDMEETELIEWLGKQKEHSTLYVSFGSENKLALFLFSTIFRSKLFLLHMLKHLKVLYFPLHKSLSQFVSREL >Solyc01g007930.3.1 pep chromosome:SL3.0:1:2041631:2056135:-1 gene:Solyc01g007930.3 transcript:Solyc01g007930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKTWPATKSSSDGLRRFTAFPFIRKQTHLQNRPSENPFSDHSKKINTTTPPSSSNSCCSSSSSSNQYCYNQDFSQLPYDIILKIAARFSLSNVRASSLVCRSWCEALRPLRESMVFLRWGKRFKHGRGGVKRNLSKALDSFLKGAARGSTLAMVDAGLLYWELGKREEGISLYRKAAELGDPAGQCNLGISLLQVNPMDPKEAVKWLYKASVSGHVRAQYQLALTLHKGHGPKRNLQETAKWYLRAAEGGYVRAMYNTALCYSVGEGLMQSHELSRKWMKRAADRGHSKAQLENGLSLYSDGDRMQAVVYLELAARAGEAAAHPVKNVILQQMSTFSRDHAMLLVNSWRSLPSSH >Solyc02g071330.3.1 pep chromosome:SL3.0:2:41375198:41386676:1 gene:Solyc02g071330.3 transcript:Solyc02g071330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALRKQASKLREQVAKQQQAVIKQFSATGYESSDVMVIDEMEMQLHHQLDKLYKSTREKRDFQKEIVKAAETFTAIGYKHIEPGTKLSEDCCKYGVENPNDEVLAKAASIYGDARKHAEKEVEDLNKLFFSQVLEPLRAMVAGSPLEDARHLAQRYSKMRQEAEIQATEVSRRQARVREAPIPENVAKLHSAETKMQELKANMTVLGKEAAAALAAVESQQERLTFQRLVAMVEAEKLYHERVAVILGNIEAEIVSEKQRKEAAPPVATLPVNPPAQIPEKTKYFLAEAIHSFEAESEKELSLSVGDYVVVRKVTQSGWSEGECQGRAGWFPSEYVEKRQRVPTSNGATEAY >Solyc08g063050.3.1 pep chromosome:SL3.0:8:52658464:52666318:1 gene:Solyc08g063050.3 transcript:Solyc08g063050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMRLLTQVERQQPQLRQLSNFLNRTYLTSREAIGGGVHGAGRTKGRFRSSYVGSLARRARETEETTDAAYLRELYHKNDPEAVIRLFEGQPSLHSNPAALSEYVKALVKVDRLDESELLRTLQRGIGGTASSHAEEANMGALSAFRNVGKATKDGALGTPNAPIHMVAVEGGHFKEQLWRTFRALGLAFLLISGVGALIEDRGISKGLGLNEEVQPTMETNTRFADVKGVDEAKGELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNDGVIVIAATNFPESLDKALVRPGRFDRNIVVPNPDVEGRKQILESHMSKIVKADDVDLMIISRGTPGFSGADLANLVNIAAVKAAMEGAKAVSLADLEYAKDKIMMGSERKSAFISKETRKLTAYHEGGHALVAIHTDGALPVHKATIVPRGMALGMVAQLPEKDETSMSRKQMLARLDVCMGGRVAEELIFGESEVTSGPSSDLQQATNLARAMVTKFGMSKEVGLVTHNYDDNGKSMSTETRLLIEKEVRELLERAYNNAKMILTTHIKELHALANALLEQETMTGNQIKALLTHVNSKQTQQKKPQLVSEESPSQPNPSPPSNPAAAAAAAAAAAAAAATAAAKTKGIAPVGS >Solyc02g082470.3.1 pep chromosome:SL3.0:2:46729449:46736906:1 gene:Solyc02g082470.3 transcript:Solyc02g082470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYWVIPAGILLLFFSLTVLASELFGLPSGSIEGVSDGVTLAPARRNLLSELKKPETALFAELDGTVSLREQNSLNTMKPPLWSFRSGSSIYSSYQAPVNYNNSKEASSDIGSGYFIDCGRGDDWELYAHNRLGKLKLMKSIDEYISSTPQIAEDGGIVLGSKRTTAFLIDAKTGRLIYTYSMPSSPATQDNDTAFHHNGTIEEKSLPSYTLYITRTDYALTSFIPNSDKVLWNMTVAEIGAAALCKVDDALSGDIMESDKSEPDVHFNMPLPCQSRALIYRRRGHDNKMLPEADNHGMLPIPTSQPNVDNAATPVPDKNVNTFHLKDIIKKTMLVILTVFIIFFTKNIMLQDAKSPFKKGISKCSERLTMPIHSILATLVGAFTHNRDLVAELNGNLHSPNVPSKRKKSRKSGKNGSNGIKSDKDTSSGTGLKYADVDADNKLLNFLQPSICTKGGRSIGKLFVSSSEIAKGSNGTVVFEGIYEGRAVAVKRLVRAHHDIAFKEIQNLIASDRHPNIVRWYGVEQDQDFVYLALERCICSLSDLIQIYADTSENACPNQNLDGESMKHRRYLDNLKGIILDTDLMNENGCPSPLLLKLMRDVVSGLVHLHDLGIIHRDLKPQNVLITKEKFLCAKLSDMGISKRLIGDMSSLGHRPTGNNLHDTLSISTMSSLLHSGEDCYGSSGWQAPEQLLHGRQTRAIDMFSLGSVLFFCMTGGRHPFGSPLERDINITKNKMDLFLSEHIPEAVDLFSRLLDPIAELRPKAVKVLAHPFFWTAEMRLSFLRDSSDRVELEDRETSSDLLKALEGTAPVALGGKWDEKMEPPFIKNIGHYRRYRFDSIRDLLRVMRNKLNHYRELPTEIQEIIGTVPEGFDGYFRRRFPRLLIEVYKVMSEYCKDEACFQKYFTSSVL >Solyc10g054560.2.1 pep chromosome:SL3.0:10:55546533:55552409:-1 gene:Solyc10g054560.2 transcript:Solyc10g054560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase 16 kDa proteolipid subunit [Source:UniProtKB/Swiss-Prot;Acc:O24011] MSNFAGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Solyc03g044867.1.1 pep chromosome:SL3.0:3:10751441:10761799:-1 gene:Solyc03g044867.1 transcript:Solyc03g044867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSFQDQLKSFSMTELDNSSIDGGSTTREVLPKPPYIDIEDSQHNEIDDVLLDGIHDLENISDGESDNHAADPETQDALESPDASGTILLFLYVDDIVIAGSSLIHIQEIITALGNEFSMKDHGPVQFFLGIERVLNQFGMKEKMNSVSTPLAPHFKLSNAM >Solyc06g069660.2.1 pep chromosome:SL3.0:6:43501515:43505067:1 gene:Solyc06g069660.2 transcript:Solyc06g069660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDALDKYEYKTYMGGIVAQLQDHYPDASFMVFNFKEGDHRSQISDILTQYDMTVMDYPRKYEGCPVLPLEMIKHFLRSSESWLSIEGQQNVLLMHCERGGWPVLAFMLAGLLLYREQYTGEQKTLDMVYRQAPKELLYVLSPLNPQPSQLRYLHYITRRNIGLDWLPSDIPFALDCIILRVLPQFDGGRGCRLMVRAYGLDPASPTSNRSSKLLFSTSKTKHHGRLYRQEECALVKIDIHCRVQGDVVLECIHLEDDLVREVMIFRAMFHTAFIRSNVLMLTRDEVDVPWDAKDQFSREFKAEVWYLFL >Solyc09g007040.1.1.1 pep chromosome:SL3.0:9:703236:705491:-1 gene:Solyc09g007040.1 transcript:Solyc09g007040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRLGSFRCYVNHRIPSCFRNEQSSNDHIILLCKQKLFKQALESFELLERNTTYNLYPSTYAQLVSACSSLRSLPYARRVHTHILASNYQPDMIFQNHLLNMYGKCGSLKEARKVFDEMLERNLVSWTSIIAGYSQNGQENEALDLYFQMRQFGLIPDQFTYGSVIKTCSNMKQVELGKQLHGHVIKSEHGSHLIAQNALIAMYTKFNQIDEALSVFSRINSKDLISWSSMIAGFSQLGYESEALSCFREMLSQGIYKLNEFIFGSIFNVCRSLAQAEYGRQVHGLSIKFGLSFDAFAGCAVTDMYARCGWLHSARTAFYQIGNPDLASWNALIAGFAYGGDRDEAVSLFSQMRTLRLTPDDVTIRSLLCAFVSPCALFLGKQVHCYVIKSGFDLEISISNTLLSMYANCSDLPDAHKIFNEIKNKADLVSWNAILTAFLQQRDSGEVFSLFKMMLLSSNKPDHITLVNMLGASGKVASLEIGDQVCCYAMKNGLSEDIYVINALIDMYVKCGHMTSAKKLFDSMNNPDAVSWSSLIVGYAQFGYGEEALDLFQKMRYLAVKPNQVTFVGVLTACSHVGRVKEGWQLFRAMETEFGIIPTREHCCCVVDMLARAGCIEEAEAFINQMEIDPDIVVWKTLLAACKTRNNLDVGKRAAEKILEIDPSNSAAHVLLCNIFASTGSWKDVASLRGQMRQKGVKKVPGQSWIEVKDRIHVFLAEDCMHAERDSIYSMLDELWLQMLDDDYLPLQS >Solyc07g045490.2.1 pep chromosome:SL3.0:7:58752308:58753476:1 gene:Solyc07g045490.2 transcript:Solyc07g045490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFQIILHGFLLWASMGFLMPIGILVIRMTNRHEECGTRLKIIHAISQILSFLLVTAAAIMSIGNFDNSFTNNHQRIGLAVYAAIWLQAVTGILKPDRESKGRSIWFLVHWLLGVTVSLLGIINIYTGLQSYYTRTMRSTSVWNLAFTVEIVVILFIYLLQEKWALYKANQERFSQ >Solyc09g037070.2.1 pep chromosome:SL3.0:9:20819322:20820027:1 gene:Solyc09g037070.2 transcript:Solyc09g037070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYEKDPKAAMAGLLNMMFEIFKCFVFQINEASFNHGGREALRSCIKALNFCATEIW >Solyc03g093560.1.1.1 pep chromosome:SL3.0:3:56373569:56374303:-1 gene:Solyc03g093560.1 transcript:Solyc03g093560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQETCTSLDLIRQHLFDESLDQTCFSFETTQTSNLDDIASFFNATSKTEYDGFFEFEAKRHVIHSNSPKQSNLRERKPSLNVAIPAKPVVVVENVEIEKKHYRGVRQRPWGKFAAEIRDPNRKGTRVWLGTFDTAVDAAKAYDRAAFKLRGSKAILNFPLEVANFKQQNDETKTEMKSSGSKRVRGETEELVIKKERKIEEERVLPTAAAPLTPSSWSTIWDEKGIFEVPPLSPLSQLVMI >Solyc09g082620.3.1 pep chromosome:SL3.0:9:68771234:68772305:1 gene:Solyc09g082620.3 transcript:Solyc09g082620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILHHNFSFAKIATLTFLLLTPLVSAFRINPAKTLGGSESDIIERVVSQRKLSGPGSSPPTCRSKCGKCSPCKPVRVSIQPGFSFTLEYYPEAWRCKCGNNLFMP >Solyc09g009840.1.1.1 pep chromosome:SL3.0:9:3333021:3333887:-1 gene:Solyc09g009840.1 transcript:Solyc09g009840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQVDLETLVSACAGGGSDRKVACETLADSDGDGEKRVKDDLDDEEKQSEHPPDFPPESFWLSKDAEFDWFDRNAFLDRKQSTKGIGHNPGSNSSSQRFSSTLKSKASIIGFPKTQKTSFIDSKRRTCKPANIRLFPAKRSESTLKSASVTEPSSPKVSCMGRVRSKRRSRSRRSSEKSKKCEKNSLERSRSNNGEKRKSGFCSRLLSIFRSNRSNKKPSRSSTDKTLGSKSTTEPINPLRKSKSVTVGKTRGGAPVSVEPVGLGGMKRFSSGRRSESWGGDEINAVV >Solyc06g053930.3.1 pep chromosome:SL3.0:6:36929346:36931185:1 gene:Solyc06g053930.3 transcript:Solyc06g053930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLNNDQIVELQEAFSLFDRDGDGCITVEELATVIRSLDQNPTEEELQDMITEVDSDGNGTIEFTEFLNLMAKKMKDTDAEEELKEAFKVFDKDQNGYISANELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNFDEFVKMMMNV >Solyc04g017597.1.1 pep chromosome:SL3.0:4:7650569:7653687:1 gene:Solyc04g017597.1 transcript:Solyc04g017597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQDAVNDKGDTGHRGNDAGNIKNGQRQYNQRINMVHVAGGGKIKQRLFPELEGTSDDCYSSPVASEVVHSPDEHVFASDSPLQESLVPDKTTNDSPPPVRKSCRPSRPPIWMTHFVCPSKEERLALIPSPIKDDALLSDIKGYQRLKGKLLYLTLTRPDIAYIVQTLSQFMQNPKRSHLEAAHRVVRYIKNEPGLGILLSAEGMLLAQHIVMLIRPVVQIQESQ >Solyc01g107880.3.1 pep chromosome:SL3.0:1:95160461:95169313:-1 gene:Solyc01g107880.3 transcript:Solyc01g107880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATAVPASNDGGGGGNSPAERSSADAINSSSSPSASSSSGLSTDQVTRKDLNSLASRFDDDEEEEDVCRICRNPGEADNPLRYPCACSGSIKYVHQDCLLQWLNHSNARQCEVCKHAFSFSPVYAENAPARLPFQEFVVGMAMKACHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFGEAHRLFLGHLSTTIILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQEADREDDGDRNAARAPRRPVAPANRNFAADANEEDANGAQGIAGAGQLIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYLSWILSSASNPVLSTVMPLTETALSLANITLKSAWTAVANLTPTANDESSLLGQVTEMLKANATELSEAANNLSTTVSTDLLKGSSVGTSRLSDVTTLAVGYMFIFSLVFFYLGIVALIRYTRGEPLTLGRFYGIASIAETIPSLFRQFVAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSITQRVEFFSVSPLASSLVHWVVGIVYMLQISIFVSLLRGVLRNGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVYLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWSLGLTDFLLPRPEDNGRQENGNGDQGRQDRFQAPHGVPDRALVGFAPDNRARHAAASSNFVEDYDNEEQADPDRYAFVLRIVLLLVVAWMTLLLFNSALIIVPISLGRALFNSLPLLPITHGIKCNDLYAFVIGSYAIWTAIAGARYSIDQVRTRRVAALMNQIWKWCVIVLKSSALLSIWIFIIPVLIGLLFELLVIVPMRVPIDESPVFLLYQDWALGLIFLKIWTRLVMLDHMMPLVDESWRLKFERVRENGFSRLQGFWVLREIVLPIIMKLLTALCVPYVLARGVFPILGYPLLVNSAVYRYAWIGCLGFSLFCFCAKRFHVWFTNLHNSIRDDRYLIGRRLHNFGEEVLQRHNEVEVGGEGEIPLLNGDVEEVADIGLRHRRGIMQDA >Solyc01g103000.2.1 pep chromosome:SL3.0:1:91536990:91542800:1 gene:Solyc01g103000.2 transcript:Solyc01g103000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRVLQLQIEVWILTFALQVLTGLKNVLCWQYKQMFNLNNEKKTEMKKKKPFFTPF >Solyc10g079220.2.1 pep chromosome:SL3.0:10:60929493:60930565:-1 gene:Solyc10g079220.2 transcript:Solyc10g079220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESPDAEFGVRFRPTDEQLIRYLIKFVVSKNYVCKDIEFEELYGSKKPWELLEDSSGTKYFFTKLKKRDTRFSRTLVGGGSWKGKSKGKSIGAKKIGMKKTYNYEENKKDVVNDVSWIMKEYSLDDKVIKLLSNRGVMKHKDVVLCYIRCKVKKSRNHMPTTTGSGYDDGDGDDTLLLPQGPNEFGYGDQFPQQIVNPMQNVGSGYVGCDDTLVPQGPNEFGYSDQLPQQIVKPMQNIESGYVGCDDENRNDMTTQPQWPMEDHQLSQLIVTFPMVQGNDAAMNEGNMNGELVFPYQEEQVLQNVEETAGMAISVALLVYFLSTM >Solyc01g016805.1.1.1 pep chromosome:SL3.0:1:22165191:22168036:1 gene:Solyc01g016805.1 transcript:Solyc01g016805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISLHGAQRDTWHVLLAIRMHLHKR >Solyc01g059840.2.1 pep chromosome:SL3.0:1:68612792:68614183:-1 gene:Solyc01g059840.2 transcript:Solyc01g059840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSYYMLVILGVVLHNLMFCLAENVTANFVFGDSLVDVGNNNYIPSLSKENFYPNGIDFGRPTGRYTNGRTIVDIIAYLNQFTGQEMGFNFTPPYLAPTTSRPVVLQGVNYASGGGGILNGTDVDIVKGGRINMDAQLDNFANTKQYIITRLGEAAAMKLLENALFSVTMGSNDFLNNYLTPVLSTAKQLTVPPKKFTQNHLQRLYNMGARKIIVVNVGPIGCIPYQREINPSAGDKCVDFPNGLAQLFNNQLRSLVTQLNSKLVGSKFVYADVYEIVQDIIQNYSSYGEFYSPRLHNSMCANSACCSGGGRFGGIIPCGPSSKICEDRSKYVFWDTYHPTDAANMVVAKRLLDGKSAEIWPMNVRQLLASS >Solyc02g067440.3.1 pep chromosome:SL3.0:2:38193260:38197843:-1 gene:Solyc02g067440.3 transcript:Solyc02g067440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTEKIDRKGNENGELKKKRKRQKIGMSRVQKLYRTCKQVFTNCKPGVVPSPENVELVKSVLDKMTEADVGLRPNMPYFKSTVSDKPPKITYLHLHECDKFSIGIFCLPPKAVIPLHNHPGMTVFSKLLFGKMHIKSYDWADNLLPKSTTPGDCTGLRLAKLKVNSKFKAPCKTSILYPADGGNMHCFTAKTACAVLDVLGPPYCDPDGRHCQYYYDFPFANMSVNDFLVPEEEQSEYAWLKEREKPEDLTVAGALYSGPNLV >Solyc04g081955.1.1 pep chromosome:SL3.0:4:65875017:65879031:1 gene:Solyc04g081955.1 transcript:Solyc04g081955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKSMVRLNFCRYFLSSFSSQSKFRFFSSVSTSEIALCGDVGDGKDENGGPICRTEVLSKEEAQIAEKFHSLIKDHHRKNPTATPTSIPLNPNITFPTLSFDFSSISDNRSISPPVVSRVIEKCGSVRHGIPFSQALAFFNWAAARDSCGSFLNPEPYNEMIDLAGKVRQFDVAWHLIDSMKLKNIKIPIETFSILIRRYVRAGLASEAVHTFNRMEDYDCKPDRNAFSVVVGILCKKRRAAEAQSFFDSLKDKFEADVVLYTSLVHGWCRAGNISEALCRSGQTTRAYDVFSEMIDVGCQPNAITFNNLMRVHVKAGRTEKVLQVYNQMKRLSCDPDVITYNFLIDARCRDENRDEALKVVNVMAKKGCEPNASTFNPIFRCIAKARDVNAAHRLYAKMKELNCKPNTVTCNILMKMFAESKSTDMVFKIKNEMDENEVEPNVNTFKILISMYCGMGHWNNAYKYFREMIEERCLRPSESVYDMVLKQLRKAGQLRKHEELVEKMVDRGFATRSV >Solyc10g082055.1.1 pep chromosome:SL3.0:10:63074052:63077507:1 gene:Solyc10g082055.1 transcript:Solyc10g082055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFFVDVRQDLVYAYGWPSWVKRAPKRAYASFRRFSCAIEHHFLGDPDFDVKNAKFFRGRPSRPCLCIRLVITASHHFLVIRIPTSKMPNFFVDVRQDLVYAYGWPSRFVRPIWRVKRAPKRAYASFRRFSYADSDIKNAKFFRGRPSRPCLCIRLAIMACPAHLEGQTSPEASIRLISMIFVCYSIPFFGPCLCIRFAITACPAHLEGQTTPEASICLISMIFIPTSQMPNFFVDVRQDLVFAYGWPSRLVRPIWRVRRAPKRAYASFRRFSCAIAHHFLGDPDSDVKNAKSFRRRQSRPCLCIRLAITACPAHLEGQTSPEASIRLISIIFVCYNTPFFG >Solyc07g054180.3.1 pep chromosome:SL3.0:7:62662176:62666334:-1 gene:Solyc07g054180.3 transcript:Solyc07g054180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHIFTGDLFKHFATNMENFEQSYADGTEEIPSTASPFDDHNYDGFDHSQRYEFDVTSAEDGGDVPPPMPSDIDDFSAGEQQHYYHDNMQSPENSGFAPSPNREYQGSPFHETMEGNQGSDVQSKPYDLGADTDGLFSSGTDGPLLPDPTEMREEGAAFREWRRQNAVYLEEKEKKEKEMRNQIIAEAEEYIRAFYEKRTLNCESTKANNREREKLYLANQEKFHKQAHKQYFKAIAEIIPREVPNIEKRRGKKEEEKKPSVSVIQGPKPGKPTDLSRMRQLFLKLKQNPPPHMLPPPEKDKDGKDGKDGKDGKDGTKEGKDAKNEKISTPKEAKDGKNEKIATPKGSKDAKNDKSPKGKAANDAKNEENAVQQGSPAAAIDKDISSVKDADAAQQGSPAAGSVGTPKESKVDTSTTVADGKVAESDSTSTA >Solyc10g086410.3.1 pep chromosome:SL3.0:10:65359904:65363233:1 gene:Solyc10g086410.3 transcript:Solyc10g086410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDMKLWPFKVIPGPGDKPMIVVNYKGEEKQFSAEEISSMVLIKMKEIAEAFLGTTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTIKDEKIASKLSADDRTKIEDAIEQAIQWLDGNQLAEAEEFEDKMKELESLCNPIIAKMYQGAGGDMDDEGPAPSGGGAGPKIEEVD >Solyc05g013460.3.1 pep chromosome:SL3.0:5:6653458:6663001:1 gene:Solyc05g013460.3 transcript:Solyc05g013460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BY67] MANDTTVAPLLTSRENNHENDELQSPKFRPFSHGSSSNNSDDIKEIDGVKDLVREFSAESKKLWNLAAPAIFTSLCQYSIGAITQVFAGHVGTIQLAAISIENSVIAGFSFGVMLGMGSALETLCGQAYGAKQLEMLGTYMQRSWIILTSTALILLFPYIFATQLLRFIGQTSNISKWAGTFAIWMIPQLFAYALNFPIQKFLQAQSKIMVTAVIAGFALAGHTLFSWLLMLKLRWGLVGAAVVLNSSWWFLVVAKLVYIFNGACGEAWSGFSMKAFQNLWEFVKLSFASAVMLCLEIWYFMALILFAGYLKNAEVAVDAISICMNIQGWTVMVAIGFNAAISVRVSNELGAGHPRSAKFSVMVASITSLLSGIFLSTILLVCRSWYPPFFSNNEQVQQLVYHLTPILATTIVIGCLQPTLSGVAIGAGWQAYVAYVNIICYYLFGIPIGLILGFFFDIGVMGIWFGMLAGTTVQTGVLIILILRTNWNKEASLVGHRIKQWGGDSRAKEIDER >Solyc10g017980.1.1.1 pep chromosome:SL3.0:10:6240974:6241165:1 gene:Solyc10g017980.1 transcript:Solyc10g017980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMMKFFVLVVTILALLLSVANAQQCGSQAGGALCANGLCCSQYGYCGTTPDYCGQGCQSQCN >Solyc03g117100.3.1 pep chromosome:SL3.0:3:67835105:67837157:1 gene:Solyc03g117100.3 transcript:Solyc03g117100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCRKNSVSCGRGSVPVYLNVYDLTSINGYAYWLGLGVYHSGVQVHGVEYAFGAHEYSTTGIFEGEPKQCEGFTFRKAILIGWTEMSHGEVKRVMEEFAEKYRGNAYNLITKNCNHFCNDACVKLSGNPIPSWVNRLARIGLFCNCIIPVSLNSTKIGHHRIEDKAGEGEKKKLRSRSSRLTPSSDSSSPSSSSSCSPPLVTTSSNRSRGKSPVPSSSPLIANSI >Solyc05g010150.3.1 pep chromosome:SL3.0:5:4322073:4328261:-1 gene:Solyc05g010150.3 transcript:Solyc05g010150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAKANPNSPFLSSSSSTHYSSFPFHQVSKKKVSFLVDFQCRKLVFACSEKPIPDSVNLDPSISNSGSGSSKNDSDGASSSPSNAIDFLTLCHSLKTTKRKGWVNHAIKGSESIADHMYRMSLMALIVGDLPGVNRERCIKMAIVHDIAEAIVGDITPSDGVPKAEKSRLEQAALTEMCKVLGGGMRAEEIKELWQEYENNASLEANLVKDFDKVEMILQALEYESEHGKVLDEFFLSTAGKFQTEIGKSWAAEIHLRRNSRLGN >Solyc02g069420.3.1 pep chromosome:SL3.0:2:39887918:39890149:1 gene:Solyc02g069420.3 transcript:Solyc02g069420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGRKKQKLKWSKLYTFSCLNPQTNDGDPTSFSFVANAPSPQSFIGKPGYSRVVFCNESHFHKHKHYKYPNNYVSTTKYNIVTFFPIALFEQFRRVANLYFLLSAVLSFTSLAPFSPLSVIAPLVFVVGISMLKEAMEDWNRFLQVHIGNGEFVEKAWKDVYVGDVIKVNKNEYFPSDLLLLSSSYEDGLCYVETMNLDGETNLKVKRSLEVTLGLDGDEQFRNFSATVRCEDPNPNLYTFVGNLELGNESHPLSPTQILLRDSKLRNTDYIYGVVVFSGPDTKAVRNSTRSPSKRSRVERKMDYVIYVLFVMLILISMVSSIGSAVLTRSYAVKWYYLEVKNGTDSSFDPSKPVESWLLQFIRALVLYGYLIPISLYVSIEVVKVLQAMLINKDQKMYDDVTDKSVEARTSNLNEELGQVEMILTDKTGTLTCNQMEFRKCSIEGISYGGEITEIDLAASRRMNVEVERYRFSLGGYDPTGRSLEMFEFSMADTTTEKMALGFDKGMETPNTTTPRNSITRRDMAIKGFNFRDDRLMDKMWINRSNVSDMMMFFRVMALCHTGIPIEDERRDRMKYEAESPEEVSFLIAAQEFGFKFCHRTQSVMIVEELDPSSGMDVKR >Solyc02g087460.1.1.1 pep chromosome:SL3.0:2:50535549:50537354:1 gene:Solyc02g087460.1 transcript:Solyc02g087460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFRFLLSLVLILIPLLLPLLDAAVAEDDLKCLEGFKKSLEDPDGNLNSWNFKNTTIGAICKFVGVTCWNDNENRINSLSLPAMNLGGKVTEPVQYCASLTALDLSGNSFSGPIPSQICTWLPYLVTLDLSGNDYSGPIPADLAKCTFLNKLSLSDNKLTGNIPPEFSSLSRLNSFSVANNQLSGRIPAAFDSSKFNFEGNSLCGGPLGKCGGLSKKSLAIIIAAGVFGAAASMLLAFGAWYWFFTKAGKRKRGYGVGRDDSDSWADKLRAHKLTQVMLFQKPLVKVKLADLLIATNGFRTDNVINSTRMGTTYNAVLRDGSALAIKRLNTCKLSEKHFRDEMYRLGQLRHPNLVPLLGFCVVEEEKLLVYKHLSNGTLHSFLNGNASELDWPTRFRIGLGAARGLAWLHHGVHPPILHQNICSNVIFLDEDFDARVMDFGLARLMTSDAKESSYVNGELGEFGYVAPEYSSTMVPSLKGDAYSFGVVLLELATGQKPLEVTAGEEGFKGNLVDWMNQLSASGRIKDAIDQNMRGKGHDEEIVQFLKVACNSVVSRPNDRWSMYQVYEALKSMAEKQGFSEQYDEFPLLFGKEGTIRSPV >Solyc05g050970.3.1 pep chromosome:SL3.0:5:62032218:62036565:1 gene:Solyc05g050970.3 transcript:Solyc05g050970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLTLSQAILSRSVSRHGSSSSPNSQLSPSSISLPTFSGLKSTTATSFRRRILPSAAGAGRHSAIRASAAVETLQKTDNSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEIMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDAVREEDLKSFRQWGSKTPGHPENFETPGVEVTTGPLGQGIANAVGLALSEKHLAARFNKPDAEIVDHYTYCILGDGCQMEGISQEAASLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVAARYEALGWHVIWVKNGNTGYDEIRAAIKEAKAVTDKPTLIKVTTTIGFGSPNKSNSYSVHGSALGAKEVEATRQNLGWPYEPFHVPEEVKSHWSRHVPEGAALEAGWNTKFAEYEKKYPEEAADLKSIITGELPADWEKALPTYTPESPADATRNLSQQNLNALAKVLPGFLGGSADLASSNMTLMKMFGDFQKSTPEERNIRFGVREHGMGAICNGIALHSPGLIPYCATFFVFTDYMRAAIRISALAESRVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNVLMLRPADGNETAGAYRVAILKNKTPSILALSRQKLPQLAGTSIEGTAKGGYTISDNSSGNKPDVILIGTGSELEIAVKAADELRKEGKAVRVVSFVSWELFDEQSAEYKESVLPAAVTARVSIEAGTTFGWEKIVGSKGKAIGIDRFGASAPAGKIYKEFGITAEAVIAAAKQVS >Solyc05g005360.2.1 pep chromosome:SL3.0:5:287820:288809:1 gene:Solyc05g005360.2 transcript:Solyc05g005360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNTEMSLELPAHLNQEVVEIISQEQDQVIPNVARIMQSTHPSHVKISDDAKRTMYHCMSEFICFVTYEANAHCQREQRNTITVEDVDWVINKFGFDDYIEPLPYYFPPNSEDDGGACGSLMRESLLKRPMVDTASSSNITPYNVPPNFPMAHHHFVYPPPMGNGDMQGEASTSQCAGASVDTDVESPMEEDKE >Solyc03g006100.3.1 pep chromosome:SL3.0:3:764580:775330:1 gene:Solyc03g006100.3 transcript:Solyc03g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFTLLFLTIFLLPHYVMTQTNITTDQLALLSLKSQISSDPFRFLNESWSPAISVCRWVGVICGSRHQRVKSLKLSNLALTGRIPRDFGNLTFLVSLDLGSNHFYGNLPQEMAHLRRLKFLDLSLNSFRGEIPSWFGFLHQLQVVNLRSNSFTGSIPPSLSNASRLETLQISANLLQGNIPEEIGNLHNLNWLSIENNQLTGSTPFTIFNISRIEVISFSDNSLSGDLPNGLCNGVPILKELDLSINKLDGHMPTSLSNCSQLQLLSLFGNNFDGPVHNEIGRLRNLQTLEIGYNHFTGIIPQEIGNLVNLMDLNMENNQITGSIPISIFNISSLQSLLLWGNNLSGILPRDIDNLTKMQFLNLKKNRFTGEVPKDIRNLVELEEFDVGFNSFSGSLPVEIFNISRLRTIQLSDNNLSGTLPPNIGSTLPDIEILYLASLTNLVGTIPHSISNCSKLTDLELSDNKLSGLIPISLGYLTHLNFLNLWGNNLTSDSFLSFLASLTNCRNLNFLSLSFNPLNAMLPVSVGNFSKSLVKFYAAVCNINGQIPNEVGNLSSLLDLDLSNNNFIGSIPTSTSNLRNLQRLYLNNNKLTGFIGDNLCKLQHLGAIYLGQNQLSGSLPDCLGNVTSLREIHMYSNKFSSNIPTSLGNLKDLMVLNLSSNNMVGSLPPEIGYLKAVTYMDLSMNQFTNGIPREIGGLQNLEILSLRHNKLQGSIPDSFSNMVSLGYLDISHNNISGTIPMSLEKLQYLKYFNVSVNKLYGEIPSGGPFKNLSSQFFISNEALCGLSRFSVPPCPTSSRHRSNRKRLLLLFLLLGIALVLVPIAFLFLWIRYRRGKRSPQRADSLSNTTAERISYYELLHATDSLSESNLIGSGSFGSVYKGVLRSETAIAVKVFNLQLEAAFKSFDTECEVLRSLRHRNLVKVITSCSNLDFKALVLEYMPNGSLEKYLYSHNYFLDIRQRLSIMTDVACALEYLHHGCSLPVIHCDIKPSNVLLDENMVAHLSDFGISKLLGEDESDLYTKTLATFGYIAPEYGLDGLVSIKCDVYSYGIMLLETFTRRKPSEFEGDLNLKQWVSYSLPEAVMNVIDANLLTGSIPFTIFNISRIELIVFSNNSLSGNLPNGLCNGLPILKRLHLSMNELRGHLPTSLSNCSQLQVLSLAFNDFDGRIHSEIGRLSNLQGLYLRNNHFTGIIPQEIGNLVNLVELYMEANQISGSIPISLINISSLETVSLWRNNFKGSLPREIGNLTKLQVLYLSENRFTGEIPKEMSNLVELQVLALGLNSFSGLLPMEIFNISRLRIIQLSGNTLSATLPPNIGSTLPNIEVLSMGLLTNLVGTIPHSISNCSKLTILELSRNKLSGLIPSSLGYLTHLNFLNLWGNNLTSDSFLSFLTSLTNCRNLNFLSLSFNPLNAMLPVSVGNFSKSLVKFDASECNIKGKIPNEVGNLSSLLDLHLSDNNFIGSIPTSIGNLRNIQYFNLNHNNLTGFIGDNICKLQYLSEIYLGQNQLSGSLPNCLGNVTSLRWIYLASNKLSSNLPTSLGNLKDLLVLDLSSNNMVGSLPPEIGYLKAAIYMNLSMNQFTNGIPTEIGGLQNLEHLYLRHNKLQGSIPDSMNNMVSLEYLDISHNNISGTIPMSLEKLQYLEYFNVSDNKLYGEIPSGGPFKNLSSLFFINNEALCGLSRFNVPPCPTSSTHRSNRNKLLLLLLVLGIALVFVPVTFVFLWIKHRRGKRDPQQADSLPMATLERISYYELLQATDSLSDSNLIGSGSFGSVYKGVLRSGTAIAVKVFNLQLEAAFKSFDTECEVLCSLRHRNLVKVITSCSNLDFKALVLEYMPNGSLDKYLYSHNYFLDISQRLSIMIDVACALEYLHHGCSSPVIHCDLKPSNILLDEDMVAHLGDFGISKLLGEDESDLYTKTLATFGYIAPEYGLDGLVSIKCDVYSYGIMLLETFTRRKPSEFEGDLSLKQWVSYSLPEAVMNVVDANLHFDSVFRNASWLQ >Solyc04g081430.3.1 pep chromosome:SL3.0:4:65495995:65500534:1 gene:Solyc04g081430.3 transcript:Solyc04g081430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 7 [Source:UniProtKB/TrEMBL;Acc:K4BVM2] MSTATYPPPPPFYRLYKDYLQDPKSAPEPPPPIEGTYVLFGSNYTTDDVLPNLEEQGVRQLYPKGPNVDFKKELRALNRELQLHILELADVLVERPSQYARRVEEISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAIEDIKRRREEAQKLLKEALGTLEGQ >Solyc01g079290.1.1.1 pep chromosome:SL3.0:1:78232762:78233616:-1 gene:Solyc01g079290.1 transcript:Solyc01g079290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC26 [Source:UniProtKB/TrEMBL;Acc:K4AXH4] MDEANKNMYGEIEEIIDDIEQRFNQINKFSILYYPPNDHHFLKYDRNSKNMGLDSAFRQQIEKEWLLLEKKLPSSIVVKSYEKRIDLMRTVIVGPPNTPYAHGLFFFDILFPRNYPNCPPRIHYHSYQLDLNEFLDPKGKVTLSLLEGGNIVANWYCGVQGKWNPHKSNILQVLTAIQTSILSTTGVNPCGREGYKNAFTSTCKGMICMLKEPLMNFRDFVAGYFRTRAHHILLNYKKQMDDSDSMVDLFHELYRAFEQNGTYCKHHILVDLPAEEKIKQPRVS >Solyc02g089615.1.1 pep chromosome:SL3.0:2:52007125:52007703:-1 gene:Solyc02g089615.1 transcript:Solyc02g089615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSLKELFFLPLVVSIDVSQLFRFSVVPCIPCVRRTDTPASKGKEPAYTLYQYLLALDQRPKSTSLVGIHELMESKGGKKKSSSSSLCYEAPLGYTIEDVRPNGGIKKFRSAAYSNCTRKPS >Solyc03g095910.2.1 pep chromosome:SL3.0:3:59012891:59019144:-1 gene:Solyc03g095910.2 transcript:Solyc03g095910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFQLNCTCNYYPPCPQPELGMGNTQHTDVDFVTVLLQDDIGGLQVLHQNHWVDVPPTPGALVVNLGDILKYLSAEHRAISNRDGSRTSVACFFRESHVESSKLYGPITEFLSEDNPPNIVPPQ >Solyc11g067240.2.1 pep chromosome:SL3.0:11:53265082:53277260:-1 gene:Solyc11g067240.2 transcript:Solyc11g067240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAIEYVRQAVQEDNGGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGSGPGPNGGDAAVIAKPKTKPKDGEDGEDPEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYSLDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLSESDFEDLARKTEGFSGSDVSVCVKDVLFEPVRKTQDAVFFTQTSNGTWIPCGPTQQGAVQTTMQELAAKGLASQIIPPPITKTDFDKVLARQRPTMYSNFKEQAIEYVRQAVQEDNSGNYAKAFPLYMNALEYFKTHLKYEKNPKIKEAITQKFTEYLRRAEEIRAVLDEGGSGPGPNGGDAAVIAKPKTKPKDGEDGEDPEQSKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGHNDDKVLVLAATNTPYSLDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLNECDFEDLARKTEGFSGSDVSVCVKDVLFEPVRKTQDAVFFTQTSNGTWIPCGPKQRGAVQTTMQELAAKGLASQIIPPPITKTDFDKVLARQRPTVSKSDLEVHDRFTKEFGEEG >Solyc05g055650.3.1 pep chromosome:SL3.0:5:66057063:66060456:-1 gene:Solyc05g055650.3 transcript:Solyc05g055650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPEKFYGKPSCCRRTAEKWVVILALRYGEVVHPSIRRNHVYIATGNLYSVPKRIEDCQKEQNHTDPTQPDKCIEPENHSDSVMALDLDSGEIKWYKQLGGYDVWFVACANSTNPNCPIGPSPDYDFGEAPMMLSVVVNGRKKVDIVAAVQKSGIAWALKRDNGKLFWTTEAGPGGTGGGGIWGAATDRKRVYTGIVNTGDLNYTLYPSTNITTGGAWVAMDAQTGKILWTTAVPDNGRSNPVANGVLLAGSQNPRGPIYAINAKTGKILWSNKTGATVFGVCQ >Solyc06g005890.3.1 pep chromosome:SL3.0:6:889826:896753:-1 gene:Solyc06g005890.3 transcript:Solyc06g005890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDDLQVMPASTASLTALFGKLGVSDKSEIEAKTVEVGTQEVLQLLKFSLLSKTALTNMVLNSEGSWLKDISKFEDNQTAKLDKKTMSQNTNSMNLKLIVSKSKKKVLYAEAGVKLVDFLFSFLVFPLGAVVKHLGGNSRLGCIDNLYKGAAELSLENYIKSEECKNMLLSPKLFPHSGFDSHILNVEEEYPKYRYDDDWGHIELVRNTNKSEGSSIVYEEEASDLAINILDPKSPTGETIKGEGYLKGPATFMIMDNLIVTPFSPTTIITQLNQMEVSTSDVGERTVTVGKDEALNLLKASLISTTVLNDVFNIREPYPKVMLKV >Solyc12g006250.2.1 pep chromosome:SL3.0:12:767712:772446:1 gene:Solyc12g006250.2 transcript:Solyc12g006250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKTAQSWFTGGPSNDLQKTPSSLLADWNAYASTQDEGSSSDLGFDLEAAVRTANDKVSGTFNVVTKGVKNLPGSFQSATSNVPSGKSLMYFGLFLAAGVFFIFIAFTMFLPVMVLMPQKFAICFTIGCAFIIGSFFALKGPKNQLTHMTSKERLPFTALFIGSMVGTIYVSMVLHSYILSVLFSVIQCEYQSIKGTKAHLLEPSERMEDAIPLTRVDYRSLYRIVLALSYYVISYFPGGSAGMRFLSSTLTSSIFRCFGR >Solyc11g062060.2.1 pep chromosome:SL3.0:11:49178647:49181919:-1 gene:Solyc11g062060.2 transcript:Solyc11g062060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYTNYFHGWFNNFNPIYHSSSSSTPPPYPFSSSYNNNNNNYIIPSSTNFQYLQPPPSPPLKEPLPLLSLSPIHQHQGNYYSSTMEVDHNNSKENKDQESFISNNFGDQESVSVALQLGLPNPSSHDDVISRINSSTNKEEVQEEEEEVTLANIGYSSTLHKGQYWIPTPAQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCFCCSPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKAFGNGHEAYGIDCLEEEDEPASEIEQDNDHDHDPSN >Solyc03g121420.3.1 pep chromosome:SL3.0:3:71019702:71021216:1 gene:Solyc03g121420.3 transcript:Solyc03g121420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDLDSDNWVVDELGATDLFNQLLPTMPWNSVMLFNKLQDRMMKELHEQGKTIKDIEEVLKRAPAIPRVVPAIKAAHALGCDLRIVSDANLFYIETILNHLGISDCFTEIHTNPGYVDEEGRLRIRPHHDFHTSSHGCSSNTCPPNMCKGLVIEKIQASLAKEGKKRMIYLGDGAGDYCPSLKLKEQDFVMPRKDFPVWKLINENHDLVKAEIHGWSDGEEQEQILLQIIKTISMEDNQFLSVDCKFQTIPINAVHEAITKALPVPY >Solyc02g072500.3.1 pep chromosome:SL3.0:2:42245958:42255320:-1 gene:Solyc02g072500.3 transcript:Solyc02g072500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPKPEEISHPPMDQLQGLEYCIDSNPAWGEAIALGFQHYILALGTAVMIPSFLVPLMGGDDGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSWAFVVPIISIIHDSSLTRIANPHDRFLSTMRAIQGALIVASSVQIILGYSQLWAICSRFFSPVGMVPVIALAGFGLFDRGFPEAGQCVEIGVPMFILFVIFSQYLKNFQFRQWPVMERFALIITITVIWAYAHLLTASGAYRHRPDATQNHCRTDKAGLISAAPWIKIPYPLQWGAPTFDAGHAFGMMAAVLVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILFSGLFGTATGCTVSIENVGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFIAGVSLFLGLSIPEYFREYTTAAFHGPSHTKAGWFNDFLNTIFSSSPTVAMMVAVFLDNTLDYKDSAKDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >Solyc10g081190.2.1 pep chromosome:SL3.0:10:62441148:62446105:1 gene:Solyc10g081190.2 transcript:Solyc10g081190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LRR protein [Source:UniProtKB/TrEMBL;Acc:Q96477] MEAVFKTQSLFLKLWGLLAVVLAVAVAVKGNSEGDALYALRRSLSDPGNVLQSWDPNLVNPCTWFHVTCNGDNQVTRVDLGNSKLSGHLVPELGKLEHLQYLELYKNNIQGTIPKELGNLKSLISLDLYNNNISGTIPTSLGNLKNLVFLRLNDNKLTGPIPRELTSISSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFEHNPRLEGPELLGLATYDTNCS >Solyc05g006430.2.1 pep chromosome:SL3.0:5:1065623:1069081:1 gene:Solyc05g006430.2 transcript:Solyc05g006430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4BWH0] MSINMILYLFIFYILSMIPSVTSQSQNVETFFPFPLPNLLPPPIPPVQRSPPPPPSSPPVLPPDPTDKPALPPSVSTPDTSSNKAVGTAIGVTAASTVVLSALLFFFLVRYSRRRRKQQEGTAVGDPRGGGGAIHQDQFLKFEGNLKGVIVDENGLDVLYWRKMESDEQRKESFKKKKVFVNALRDEEEKRMISRGVGGRKKPDHPIQELPLLRGKSSTSQSPSWLDLENKQPNPDDGIVFHPMERQASSSQLETRAPPPPPAPPLSVSAIPKAPGPPPPPPPGLKKGPPLPPPPTHSSASSSGEGGSGSGNDKVKLKPLHWDKLNANVEHSMVWDKLDRGSFKFDGDLMEALFGCVATNKKPSGTESRALSPRADISGPPSQIFILETRKSQNIAIVLRSLGVTRKEIIDALIDGQGLSVDTLEKLCRIAPTKEEETEVVTFEGDPTRLADAESFLFHILKAVPSAFTRFNAMLFRSNYGTEIQHHKEYLQTLELSCKELKAQRLFLKLLEAILKAGNRMNAGTSRGNAQAFKLTALRKLSDVKSMDGKTTLLHFVVQEVVRAEGKRCVLNRNQSLRRSNSQTTASSAIPTSKNTTENDETEKEYMMLGLPIVGGLSSAFSNVKKAAAIDYDLLSKTCSMLTAQISEIKTHLAQRDNVKGLFGKEMKKFLDAAEKEMKTVRDEQDRVMELVKKTTDYYQAGASDDKGWQPLQLFAIVKDFLEMVDKVCVEITRNMQKKKPLVAVGGSSSPGMENSRAVRFPKLPANFLSDISKSSSSSDTSDDS >Solyc02g081230.3.1 pep chromosome:SL3.0:2:45818934:45822769:-1 gene:Solyc02g081230.3 transcript:Solyc02g081230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWHLSRNKMLFFSCALFITLAVCVHLTPYFPSVSSMLSSPGSLPLSSSSSNGNLDSCISLLHQVAFDFQKLNNENSSVENTVRSSSEESWKWIESEPVVQCDFHKLSMSDASDLFNGSWVVVAGDSQARLFVVSLLELLLGESEMEMIRGDLFKRHSDYNILIDEIGMKLDFIWAPYVSNLTDLVLGFEEKKSPYPDVFVIGTGLWDMLHINNATDYGVSLKLLGDLVVLLLPVPSDFVNDGAGTNLVSKLYVEYR >Solyc08g008285.1.1 pep chromosome:SL3.0:8:2712474:2713446:-1 gene:Solyc08g008285.1 transcript:Solyc08g008285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSRKLRFFEQVGVNTESEVEGPQDDGYRWRKYGQKDILGSTFSRGCYKCTFRRYYKCTFRRIENCQATKHMQRFDVGPAVFEITYGGYHTFSRCQINGLGIAHNLDHSEKYLSDIGLFRDLHHSDSDRTDSFSTNSSTTSSSIGGEFARSSGARPDFSIFTKRHPQTKANTPQKDDKDE >Solyc03g078640.1.1.1 pep chromosome:SL3.0:3:52687368:52688822:-1 gene:Solyc03g078640.1 transcript:Solyc03g078640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLLHSCRFTKVLLVLCLVFVFFTLSFIGAHSELQTQNNNVRSRRMLEFQSDSKPIKKKISTTSTSVSAPKNQTKSTNNSSKNQTKQIKTSSSSSFGSTKNQTKLSKSKLPISESQTKPSSTITKSEKFTFKSQLQKLNLTPSKSSNSTKITSSTFKKSSDLPKISSTSSPKNKTIKSTNTQLEKGINQSKSKTSISKIQQTDKTTKTIKTQLKKDSSESKSKTPITKIQTPAVKKPQKKSQYSWLEDEDDDMISEFRDLPSKFHETFLPDLEKISKTSQVYLNKANKEITKNFKPYVGNKYAPIIASLISFAFILIPLILVSLIFNKIKAYFSLQKLLIFIQVYLSIYFSILCLSSLVTGLEPLKFFYATAQSTYICLQLLQTLAYVLYLLMLLMYLILVFSTETGPTTKVIGLAQTIVGFAVGLHYYMTVFHRAVLHQPPKTSWRVHAIYATFFLVICISSNADRRKKAYLVEGGEVEKKS >Solyc12g019180.2.1 pep chromosome:SL3.0:12:9459466:9461636:-1 gene:Solyc12g019180.2 transcript:Solyc12g019180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 7 [Source:UniProtKB/TrEMBL;Acc:Q9ZT65] MSYIILFPLILTNIIIFLLFNSSSAANSMYNVQTYGANSDGKSDSSNAFVSAWTAACASTSAATIYVPKGSYLLNNVYFFGQSCKSNDITIHIEGTLIAPSDYNVIGKSGNWIKFERVNGVSIVGGTLDGQGTRLWTCKNSGKGCPKGATTLAFYNSNDITISGLTSKDSQMFHILLDGCHNAKLQGVKISAPGNSPNTDGIHLQSSSDVTILNSQIGTGDDCISIGPGNSNLWFENIACGPGHGISIGSLGWEMQEAGVQNVTVKTSSFTGTENGVRVKTWARPSNGFVRNVLFQHVVMNNVQNPIIIDQNYCPNSGNCPEQGSGIKVSDITYEDVHGTSATEVAVKFDCSKTNPCNGIKLLDVKLSYKDHPSEASCVNAGGMASGLQQPTSCLQI >Solyc01g081250.3.1 pep chromosome:SL3.0:1:80365913:80372067:1 gene:Solyc01g081250.3 transcript:Solyc01g081250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVKIYRNWSSPYGLRVVWALDIKGIEYENIFEDLSQKSPQLLQYNPVHKKIPVLVHKGKPICESLVILEYIDETWKETTPLLPKDPYEKAIARFWAKFVDDKLLPSIWSVFTEKRYEAKKEALVPAMQNLEFIEEQLKGKKFFGGESIGYVDLALGWMAYLLDVFEEVLDLKLFDADKFPLLSGWTKNFCDAPAIKQHLPPRDKLIKTNMADEVKLYRTWSSRFSLRIIWALHIKGIEYEAIFEDLSHKSPQLLKYNHVHKKFPVLVHNDKPICESLVILEYIDETWKETSHLLPQDPYEKAMARFWAKFVDDKLYRTWSSPFGLRIVWALHIKGIEYEAIFEDLSQKSPQLLQYNPVHKKIPVLVHKGKPICESLVILEYIDETWKETAPLLPQDPYEKAMARFWAKFVEDKLLPSVWSIFTEQGYDAKKEAFVPAVQNLEIIEEQLKEKKFFGGESIGYVDLVLGWMAYLLDVFEEVLDLKLFDADKFPLLSGWMKNFCDAPAIKQHLPPRDKLVTKFQLLHEKFQTAN >Solyc06g072230.1.1.1 pep chromosome:SL3.0:6:44650516:44651118:1 gene:Solyc06g072230.1 transcript:Solyc06g072230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLLLSIIPFTLASSSSLSPVLDVNGDEVQAGPNYFILPVIRGRGGGGLSPFNVKNNNTCPRDIIQWDDEEQEGLPVVFTRIDAKKSVVRVSTDVNVRFYTPTICARETIWKLGDYDDKLKKYFVVTGGVEGNPGLKTVGNWFKIERFGSGYKLVYCPSVCKFCKVICKDVGIFITNDGVRLLALSDTPYKVMFKKTF >Solyc08g078150.1.1.1 pep chromosome:SL3.0:8:62096998:62099382:1 gene:Solyc08g078150.1 transcript:Solyc08g078150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFYRNLKHTRNLIEIYRSYHPFRNTAGSCDFNVKGPYFSSRYYCSGKSSDEWTEDVEYLDESGSVIYSGKGIRSVEPGLDDHVMVGGLKKPILNASAVAKLVEIVKRWRWGPEMETQLDKLQFIPNMTHIMQALKVMEDSDASLSLFRWAKRQPWYKPNDACYITLFDKLNQSRDFDGIQLVFDDMVLDSGENGASSLFNAYNRVIQYLAKAEKFEVAFCCFKKIQESGCIVDTGTYNSLITVFLNNGLPYKAFEIYENMEKAGCSLDASSYELMIPSLAKSGRLDAAFKLFQQMKVSNFRPGFGIFASLVDSMGKAGRLDTSLKVYTEMQGFGFRPSATMFVSLIESFVKAGKLETALRLWDEMKKTGFRPNYGLYTMIVESHAKSGKLDVATSVFSDMEKAGFLPTPSTYSSLLEMHAASGQVDAAMKLYNSMTNAGLRPGLSTYTALLTLLAKKKLLDVSAKILLEMKAMGYSVDVNASDVLMVYIKDGSVDLALRWLRFMGSSAIRTNNFIIRQLFESCMKSGAYEQAKPLLETYVNSAAKVDLILYTSILAHLVRCQDENNERHLMSILSATRHKAHTFMCGLFTGPEQRKQPVLTFVREFFQGIDYELEEGASRYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALVAVVHTLHRFRKRMLYYGVVPRRIKLVTGPTLKIVVAQMLSSVESPFEVSKVVLRAPGDAVLDWFKKPIVQQFLLNEIPSRADILMHKLNILFPTSAPEIRSLSPPKPIFAGKAM >Solyc07g007920.2.1 pep chromosome:SL3.0:7:2576494:2585326:-1 gene:Solyc07g007920.2 transcript:Solyc07g007920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFEEQFDQITTLLSSNKLLAYSTLLHLQQQSGADPSLVKLLADSSSIIVSYIISDVSDNDEEIAAQALKCLGFMIYHPSIVGSITGDDARAIVDSLVEVITTSKIKSVCNLGVWCISMQQFNSLLLDENFQSLLRAIIYALDNPIGSLSITFEAMQAVMKLANTSAQNMRAMSNIWAPPVYKRLVSSDKRERDMSERCLQKVSSVILPPPVILSKYSDRADAPPKIIVLKEAALVTDLKKTLLLTMEELLNQGLKIQTLQVWRWFMCLLGPYGMKYKHLVNKLLKIPEQTFTDNDPQIQSASLVAWEGLIDSLICSQLHAPESNVLVKNPTDQRVFKGSDPTEADGFPKKIKLVMTPLVGIMSSNCDASVHVSCLNTWSYLLYKLDKLASFHSVVRTVWEPILEVIIKVGPVNKNIWSWSFCIELLDNFISAGNKDVNSMLNDHKAMRLPESAKYSWKYYPIKWSPLDLGNLEFFLNTIHGLIIHGSDITLSGEIRTVTYGAASSLFRSLLRSVKHCLKSDLITYDEVILSLNMMLKFLKSVYENMHSSDGGIDDLLPLLLQLLEAFVEELEPSTLQSPLYKVIVDFKIFETSEPVYKFKSAKIPDIGFMNCMEKVSPVAYITLLYFHAVTRATLKAPDYDIVEGKHRYVKLLLSSYEPLEILHLFVSLLYTEKMSCCFEIWVALANCLKDYIDNHNFRSLFKLQSDSPGYAITIHFLCYPFAAYSCRKVYLMLQHVIEVWKSLYVSLSRASEIGYPTLTEDLLSMLCSYFNEALTNGNLVPEPQSSVNGQDIDVLLLFGETMICAVEQASLIAKSEVNESESWRSSIIKSSLDFTSCFVKLSRAKGETNLSTSLIERRLLSSLVHFVGCLHLQKDIALFIEMMTSTLLLWLSHFEAQDSNFKDQLQQLWIQTLNCLQKTLPIIEFNSSFLQLQEPLLGKTLDHPDLVISNFTVNFWNSTFGEQTKLDYPESLLPVLDKLSRRGKIKLGKNSLLANTNDRSDVDKVTVPNRHKVPTTLHRCSKRVELVGNAANSSEGNDRIYSKSKRRHTELTEHQKEVRRAQQGRLMDCSGHGPGIRTYTSVDFSQGTEESQESQDIRDADTILEMLRKAK >Solyc10g081300.1.1 pep chromosome:SL3.0:10:62529061:62530988:-1 gene:Solyc10g081300.1 transcript:Solyc10g081300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVGKKLAVLVGCNYENTPYRLHGCHNDVLAMRDVLVNRFGFDSQHIELLMDKSGSPIMPTGVNIKKALNKMVDEAEQGDILYFHFSGHGTLTGKKNQEEAIIPCDFNYITNVDIRKIVNRVPQGATFTILSDSCHSGGLIDKEKEQIGPSHHKPEKNSYVSVPNKSGSTSKSYYSKPKFIPHETILEYLTSLTNINTSNIGTHMLQLFGNDASVMFSLPQLELDLLKPLKQDEGILLSGCQANEECEDVGGIENENKAYGAFSHAILIVLEKNCDPISYKELVMKSRYVLENDEHIKTQHPCLYCSDENAQALFLSQG >Solyc03g026130.3.1 pep chromosome:SL3.0:3:3566504:3574685:-1 gene:Solyc03g026130.3 transcript:Solyc03g026130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYTLPASFLIFIPFFLLIFKQLVPKSTNLPPGPRPWHLLGNLLQIGKTPHVSLAKFAQIHGPLISVKLGTQLVVVASSPASAAEILKSQDRLLSVRSVPKVATYELSVIDQHSIVFSSDLSNQWKFLRAFCRTHLFSPKAVESQAALREKKVSEMMNFLRSRKGETVKISEILFGTILNTLGNLFFSKDLCDLDYETNTSGIKHVIRKFVELGAMPNISEFYPLFDALDLQGLRKQTEIYQNRLVNIWSEIVKEKRQAISRSSSDFLDAMIDNGFSDLQVDILLMELISAGSDTTTSTIEWAMAELLRNKGAMRKLKAELASKLGENDIITESNVSELPYLAACVKETLRIHPPTPFLIPRRAPETCKIMDYTIPKNSKLFVNAWAIGRDSNTWEDALSFRPERFLNSNVDFRGQDFEFIPFGAGRRICPGLGFARQEIQLILASLIHYFEWSLPNGEDPMQIDMKEKFGVTLQKEKSLLAYYMLPPSFLIFIPLLFLIVKQFIPKSGNLPPGPRSWPLLGNILQIGKNPHISLAKFAQIHGPLISVKLGTQLVVVASSPASAAEILKTQDRLLSARSPPSVAPYELSVINQHSIVFSSDLSNHWKLLRAFCRTHLFSPKAVESQTALREKKVSEMIHFLKSKKGETVMISEILFGTILNTFGNLFFSKDLCDLDHETKTSETKRVFRKFIELGAMPNISEFYPLLEALDLQGLSKQTKMYQNQLINIWSQIVKEKRQAVNRRSSDFLDVMIDSGFSDLQINILLTELISAGSDTTTSTIEWAMAELLRNKGAMHKLQAELTSKIGENDIITESNISDLPYLAACVKETLRLHSPTPFLIPRRAPETCKIMDYTIPENSKLFVNAWAIGRDSNTWEDALSFRPERFLNSNVDFRGQDFEFIPFGAGRRICPGLGFARQEIQLILASLIHYFEWSLPNGEDPMQLDMEDKFGVTIQKEKPLLIVPM >Solyc09g010280.3.1 pep chromosome:SL3.0:9:3646509:3667053:-1 gene:Solyc09g010280.3 transcript:Solyc09g010280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKLHHQNLPSSSSSISRPSVKAVRNYYFSRKVVGLDHLIYNQCNTRRRCHTKLYLLQGGNRDLNPRTSRILHLLPFASAEDGVSVNGSSRPTTSSDMEEMRLKLDISLQGEDNGSGLVQSLHDAARVIELGLRQQGSLSRVSWFSTAWLGGDRTIWIKELSYQASVYSLLQAAIEILSRGDERDNDINIFTQRSLSRQSAPLESLIRDSLLAKQPEAYDWFWSEQIPVVVTTFVNYFEKDLRFAAATAETRKQTSLSPRNASDVSLLMLALSCIAAIMKLGAAKLSCTQFSSLVPDTLGRLMDMLVEFIPLRQAYHSVKPIGLRREFLVHFGPRAAACRVQNESGTEEVIFWVSLVQKQLQRAIDRERIWSRLTTSESIEVLEKDLAIFGFFIALGRSTKAFLSENGFDTLDEPIEELIRYLIGGSVLYYPQLASISSYQLYVEVVCEELDWLPFYPGITANFIRNTGHKSKQEVPPNLEAIPLVLDVCSYWIQSFIKYSKWLENPSHVKAARFLSTGHNKLKKCREDLGIEKTRVGAYSQIKKETDSFDKALESVEEALVRLEVLLQELHMSSASSQKEHLKAACSDLERIRRIKKEAEFLEVSFRTKAAFLQQEEDATMSTSSSGDKQQFSKRKDNKDGQNRSGNNRIQGLWSFVGRRPSKSADQASSTPNEISDDGSKELSESTGVMDSKSTEVRRFELLRSELMELEKRVQRSADQYEYEEEESQKVDRTSTHPAGAERTQLVLQKKKESVIEKSLDKLKETSTDVWQGTQLLAIDVAAALGLLRRSIVGDELTEKEKQALRRTLTDLASVVPIGFLMLLPVTAVGHAAMLAGIRRYMPSLIPSTYGPDRLALLRQLEKVKEMGTEVNPTEKADE >Solyc04g082370.2.1.1 pep chromosome:SL3.0:4:66145369:66145605:-1 gene:Solyc04g082370.2 transcript:Solyc04g082370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFGPNSYSQQPIDSSTNNYPGPIEITSAYNSDTRRPGPLPFRPNPAVEDERLQTAHSYTCNNFPLSLLSRKGHCNSKI >Solyc12g010020.2.1 pep chromosome:SL3.0:12:3151852:3152334:-1 gene:Solyc12g010020.2 transcript:Solyc12g010020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTGPGNGGAITGALFLKQFVDEKVQWLHLDVAGPVWSDEKKNATGYGVSTLVEWVLRN >Solyc02g072100.3.1 pep chromosome:SL3.0:2:41951492:41958002:-1 gene:Solyc02g072100.3 transcript:Solyc02g072100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVGSSRTKGDESVRKIRKPKPWRHTEPITRAQLLKMREEFWDTAPHYGGKKEIWDALRAAAEAEIGLAQTIVNSAGIIVQTTDLTVCYDERGAKYDLPLYVLSEPTNLTGEN >Solyc12g038843.1.1 pep chromosome:SL3.0:12:52248348:52255830:-1 gene:Solyc12g038843.1 transcript:Solyc12g038843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVVMGMGMVEEETCNSLVVVMMEIEVEETCSNKEVEEKVKEVMNICNNMVEEVKETVAVETYNNMEGVVMVRTVVEIYNSMVVEVMVKVDEEPCSNTGVVVKVMVETVVAEKEKEVVLTYNHTKEVVEKRIHKGLPTLH >Solyc09g061270.3.1 pep chromosome:SL3.0:9:58744072:58755901:1 gene:Solyc09g061270.3 transcript:Solyc09g061270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYSWFRRSLSRTTKTTTTTVTAQPDDELLYGVSDQLVDFIKSFSIDTFRNFSLPNEDDGDTPENVRRDLSDWQQRHALLFLAISERPVLVSSACMYSLPTSCYTPELSQLRFKLCPRFLKDHQFWTIYFALVKDFVAKYELRAIQLDKLKQMRLESENSPDVAACEVEMSEAKRTTDVSPTISEDHN >Solyc05g012490.1.1.1 pep chromosome:SL3.0:5:5730531:5732126:1 gene:Solyc05g012490.1 transcript:Solyc05g012490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAEFLTEMEDNQDLNFVGDKKSLCGNSLGGDMRSHVVEGNVEKFESWSSRETPHQSKFDVGSHSGYGLRENPKKTWRAVDSTFSPLPPQERVCQQCGKVFQSMKALCGHMACHSEKLVMDSHSDTETDDDDDDEEEETKMKTRSLKTKRYKRLVAKPSNYCLVNNNSNNNNGVVNYGSSSVSEIDELDQEEVVAKCLMMLSRDTGNWNGVNSVVESSDNNSVVLETKSSSTDMKFGRKDRLKCVYNQNETPGTKKLSGLDVDQAESESSDSGYFLDDNTIVESDISVDGFNRNGNSKWSTSQMSHAESGVDKGKGLNRTKKYPIESRKDLTAECDYDYYAIASYIDKCEPRKRMKDSSYHSELGNKFVKKIKLGPKSSEGCNAIQKKKYECLNCKKSFNSYQALGGHRPCHKKANAYLESINGTGESSVDDKNRETFSSRTPASTAKDQSYNPEKTIKPKKSQRHECPFCDRVFKSGQALGGHKRSHFVVGTEQNMNRSSAVKKVDDLLDLNLPAPLDDDDDEHAHLVSW >Solyc03g005040.1.1.1 pep chromosome:SL3.0:3:42015:42440:-1 gene:Solyc03g005040.1 transcript:Solyc03g005040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKRLFEHIDENGDGKISVLELQQCVDLIIGKDVLLFEEAEAAIVAHDSDNDGLLDFDDFMRLVEDGGTKEEKERELKEAFRMYEMEGCGCITPESLKRMLHRLGEKKSVDECRGMIGRYDINGDGLLNFEEFVIMMCC >Solyc02g062690.3.1 pep chromosome:SL3.0:2:34957316:34958969:-1 gene:Solyc02g062690.3 transcript:Solyc02g062690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMSVLERQRAVLERIYNHSKQQLSSLVPQQELAHLITGCVQGNFNMFGGGDSNFVNFQEMARPSFSTISNSSITTVSPPPEKESDLSSMIAPRENVVSTKKRKAEFIEEEDCEKSPGNDSKENSKTSEVQKPDYIHVRARRGQATDSHSLAERARREKISKKMKYLQDLVPGCNKVTGKAGMLDEIINYVQSLQKQVEFLSMKLATLNPRLDLNTDNIFVKDLPSYMTTTFPPTVAVPTLSEYNMIQHQQAGSTGDVAQMLPQRRDLMSFPDTYLGSSHVTVVQPQQPTFEPDLQSLFSVGFN >Solyc02g083220.2.1 pep chromosome:SL3.0:2:47246957:47259228:1 gene:Solyc02g083220.2 transcript:Solyc02g083220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIGFMEPVLSILRCVCFNCSKILADEDNPKFKQAMRIRNPKNRLRKMLDACKNKTKCEGGDEVDVQGQDTEEPVKKSRGGCGAQQPKISIDGKKMVAEYKMQKKRSDDPDPEQMPEPVDRKQQLSAERGVEHSEAGN >Solyc03g114040.3.1 pep chromosome:SL3.0:3:65562858:65573143:-1 gene:Solyc03g114040.3 transcript:Solyc03g114040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFATSQLPHSPPSPSLEPPLTCAQGHSSTLIIPTDEGGSICLLCLSNLISNPRSPTVHVSYALSQLSIALFQPSFRQTFFTFHSHFLISPLVGVLSSFYDEPIAKQTMDLIMQLCEAPDCDVHAEFVARLADRLSSGSLAWSQRQLHSPTVISKAFSTENDLEDPIKIYTKNRSSLGLPKKPFLLSRRNGCPHFQSRQWPSIVQVTLETETVAISFCLKQSPEGQFLLEYSEDIQGEILFVLYKLFLLNGSQDGEDPPSLFGHFSKLLHLALAVLMKAQSDDVRLNCIAFLTVMMQRGFFQTTSIIGTRSRSCYDVDHLMETNEQISDGPLAILFAEAVKGPLLSSDNQVQIAALDLLYLYLSRKDVLENEIQVFVEENIADYAFEVLRLSGCKDPTIKSCIQLLDILATAEQAFRQRLAIGFMALIPVLHHVAEIPFHPVQTKTLRLIWNSVENCPGVVSKSQVEEISLSLTGMLKKNLDGEIGMLPETLALVCSIFVALMKCSSTCGISSFSLSIQDASRNCILTCLINYGKYPGQLLNSLYLLKEAYSYSVGMNSSSSMNTDLRRSIIDVCKTHILPWFMKSLHEMEDEDIPAAILENFYSILLQDSDTETKIFANVLLTSSWFSFAYGCLGLFPSEKMKRNVYLIFSVMTDIILGVDSARCISDAAPHLPSDPIDLLFLLGHKSSQNVELSSRQLAVLLLLYISSLYDDRIADDKLVLASLEQYILLNSSENMHGASVLGIFINLYALYRGLAKVSYQIPYSPEAERIVFHLLAEKGWDLLSTRIHVTSLKWLFQQEKICNVLSAQILKFCRCHCSNINQINIHGEANQKIDVYVMTELVALGDNFVGTLMVFLLGEAGEQYSEYDVVSLVNTIKRIIEISPSTSDQFCLHGIATAIKNLYYKFGHSLSPDTFMSISELVSYILQAVHSESLSDDESWVAIVVKIIDYLIPSVAADGWTPGTLISIGILSMVLHHSVNQVMVEASKTIILSTHMLSLVNTTIANACSKGPSLLEQNEGARSVEALVFVLSLLFFSLRSAHAILPGIMDFQYLLDPTNIPQPWSYISIQCHDLCKLLHFGSIPIKLLSSQYLLELFSGIEDEKSRKSDTLEFRTNYLTSIMAVLEGQLFSSDMSVAMNCSLCLSMLIGWQDVETEVSAIQRNNWCRFIVEELVISLAAPSLASKSFMIHHKPAIHIAVELLRRKKVLQWMTSIFDESCIFAITRNITNSNLSAELVFLLRKLLNYGFLNDEQIAALNQVFQECRKCIYTDKLQHAGAEEKTWNVAAASDYVGKVCQFLISLVTTQPSSGMESSRFQSEKRELLEEIDLFSKCLMRED >Solyc11g072750.2.1.1 pep chromosome:SL3.0:11:56255008:56255931:-1 gene:Solyc11g072750.2 transcript:Solyc11g072750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKFCISLLVLQALFNVFPTIEAVPAFCPGVFREYIGAEGKNVTFSDVPINPNIEFHFLLSFAIDYTNTKSPEPTNGDFLVYWDTDNLTPSHISSIKAKHKNVKVGMSLGGDTVNGKNATFTPTSITSWVSNAIHSITKIVKEYNLDAIDIDYEHFNADPDTFAECIGRLLYYLKQNNVVTYTSIAPYADDSVQVHYLALWRKYGHLIDYVNFQFYAYEKGTTILQFLHYFETQMYNYKGGKILVSFGTDNSGGLSPRHGFFDACSILKSRGTLHGIFIWSADDSIKDHFLYEKLSQNLLASATV >Solyc12g015650.2.1 pep chromosome:SL3.0:12:5647288:5651459:1 gene:Solyc12g015650.2 transcript:Solyc12g015650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFQLIFFFFIILLFPICSFLKLQTEFSTTDDCFNTNPKVSCLQYFYFMPSFYVVRVVLYSAAPVLWCYIYRKGGSSKQLCLLNVALMYVWKLTFLPLMFTFVMAQSGRKTEKLDINWERAFDLYLNAVACDNELLWVQATTTLAKLSKHAPEDLLARTIPVLVELLRRIPSTDLSPSIQEAAAHCLKCVACRGEGRLAVLIGESGAIPSLLSLLLHADGSLRRVLLKCLRNSVTFAAHNREIVVRHGGLEIILNLLNTCSDDLKLYLLEILSALALLREVRRTILTSRGVSFLVEAARRGSMGSRCRAAQAIGLLGLVKRARRTLVDSGTFSVLLELLQVGDTSTKVVAANALGVISSHVDYIRPLANAGAIPLYAELMQGTDPMGKEIAEDVFCILAVVEENGVAIVEHLVRILRGDSAESKAAAADVLWDLAGYKHLPSVVRNSGAIPILVQLLEDENIDVREKVSGAITQLSYNEADRAALANSGAIPRLVDMLQDESEEMRDNAAEALVNFSEDPSLGDRISDVLNSPSFQNMQERLTQIRASDAYLAASLRHMNTEQLTMDPAALI >Solyc12g088910.2.1 pep chromosome:SL3.0:12:65080855:65086742:1 gene:Solyc12g088910.2 transcript:Solyc12g088910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKIWKPQDLFVIKSPKQSLRLVLLVFAVLCGIYILSMCINQTSNYFLQAKSLSIHVINRHNCHYPIFQEEDIHYLHFPKPQTFSREECACNPVRFFAILSMQRSGSGWFETLLNSHMNVSSNGEIFGAKSRRTNASVILEIMDNVFNLDWISSSSKNECTAAIGFKWMLNQGALEYHEEIVDYFNRRGVSAIFLFRRNLLRRLISLLANSYDKDAKPLNGTHKSHTHSPYEAKVLAKYKPMVNMSLLIPNLRNAQRKGNRALECFKSTRHILLYYEDIVQNRTKLADVQEFLGLPQRELKSGQVKIHNGPLSQQIENWDEVQKKLKGTKYETFLTKD >Solyc11g011544.1.1 pep chromosome:SL3.0:11:4611078:4612600:1 gene:Solyc11g011544.1 transcript:Solyc11g011544.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMVEYSCGTSSDFTRVVHDSSISPSNALRIAMLIVRAAEAHKKDKGISDQSQVLVSFKSTLIAFMVAEFVQKIEANSFPVNVLDDYW >Solyc09g064610.3.1 pep chromosome:SL3.0:9:62155051:62158986:-1 gene:Solyc09g064610.3 transcript:Solyc09g064610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFLQFLLDNLTSFINDKLVLFFGFEKEFEKLSSMFSTIQAVLEDAQEKKLKDKAIENWLQKFNGAAYEVDDILDECKSEATIFEQSRLGFHHQGIITFRHKIGETGFVFTEPEVYGRDKEQDEIVKILINNVNVAEELLVLPIIDDFDEKRLLKEGSLYVEDLASFQKKLQELLTRKRYLLVLDDVWSDDQDKWAKLRAVLKVGARGASVLATTRLEKEIVKKYGGVPLASKTLGVILRFRREESEWEHVRDNEIWNLPQDESSILPALRLSYHHLPFNLRQCFAYCAVFPKDTKMEKDNLITLWMAHGFLSSKGNLELEDVGSLTCLKTLDCFVIGRRKGYRLGELRNLNLGGSLSITHLERRSLSPGLDERSVLKNVVSIDVDSCKNCLRLPPFGDLPCLESLCLFGGSVDYIEDNVHDCRRFPSLRCLVIKGFPNLKGLLQKEAKDQFPILEEMENHDCTMLVFQTLACVKKLESQCRTDFTLEEMFKHLAYLESTSITSFKKLEELPTSMASLTALKRLDIRSCHSLESLPEQVLEGLTSLMELFIQDCEMLKTIRGIATPNNPYKTSSCSLSRDGDLAFEDSKLTLSSITGDLELPSYLQSLPAGIMDTENLQASRIVYCPELAKRCGKEIGEDWNKIAHIPNVQAYQLVLFVVLLPGKPSI >Solyc12g009140.2.1 pep chromosome:SL3.0:12:2452941:2457449:-1 gene:Solyc12g009140.2 transcript:Solyc12g009140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAGITSIGVRGKDSVCVVTQKKVPDKLLDQTSVSHLFPITKYLGLLATGMTADARTLVQQARNEAAEFRFKYGYEMPADSAILNGLSLGYLKGNADCGIADKSQVYTQHAYMRPLGVVAMILGIDEEKGPQLFKCDPAGHFFGHKATSAGSKEQEAINFLEKKMKNNPAFSYEETVQTTISALQSVLQEDFKASEIEVGVVRKEDPVFRVLTTEEIDEHLTAISERD >Solyc04g057830.1.1.1 pep chromosome:SL3.0:4:54877661:54878281:1 gene:Solyc04g057830.1 transcript:Solyc04g057830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGCYTSNLSTIKVIFHDGTTRMVIGKKLAGEIMFEYPDCMICHADSFFIGQPIPSLGIDDKLKNNDTYFVLPLDSFTNKVLSASSLATLGSNNSNDNNKRGHVNFKNPAFEYIKGSNGRVLIKVAPEFMIKLLQRGNKEEDEDCGSTSSTSPNFLCSTPELRKHYEQLVGAKGQIWSPKLDTISEYKIRYSPCRLIGLEWREKE >Solyc10g049560.2.1.1 pep chromosome:SL3.0:10:46056645:46066004:-1 gene:Solyc10g049560.2 transcript:Solyc10g049560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMQADCPTFISCSYKDEMKLRKKNSKKNQANHLFIDSVYTLYNIFDICNSV >Solyc08g075000.3.1.1 pep chromosome:SL3.0:8:59258521:59259100:-1 gene:Solyc08g075000.3 transcript:Solyc08g075000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKIQRGRTWGLRLWTKFELKLLRDFGGWKTFVRFWVGDFGLGKFSKKSPLSLQRLFESKYIEFSFIGNQG >Solyc06g076160.3.1.1 pep chromosome:SL3.0:6:47430845:47431408:-1 gene:Solyc06g076160.3 transcript:Solyc06g076160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELILNPKVMKKVQQEVRQVAKGKTKVHETDLYKLEYMKLVIKEALRLHPPAPLLIPRVTTSTCKIMDYEIKENTRVLINATAIGTDPKYWENPLTFIPERFMNKDIDYKGQSCEFLPFGAGRRGCPGINFSVPLVELAIANLLFHYDWTLPHGMKPKDLDMEEALGLTMHKKIPLCLSASYYYDL >Solyc03g122170.3.1 pep chromosome:SL3.0:3:71574154:71582430:1 gene:Solyc03g122170.3 transcript:Solyc03g122170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPVNVNEFQELARQALPKMYYDYYTGGAEDQHTLKENVEAFSRIIIRPRILVDVSKIDMSTTILGHKTSAPIMIAPTAMHKLAHHEGEVATARGAAACDIIMGLSYMSTCTVEEIASSCNAVYKRRDITALMVHRAEKNGFGAIILTADTPRLGRREADIKNKLAIILCAVVLQSYLVLIKKEKSHNCRMIAPQLKNFEGLISTEYVSDKGSNIEALAAVTFDASLCWKDIEWLKSITKLPILIKGVLTSEDAIKAIEAGVAGIIVSNHGARQLDYAPATISVLEEVIPYVADMFNVVVHAVQGKVPVFFDGGVRRGTDIFKALALGAKAVLIGRAVIYGLAAKGEVGVKQVIQMLRDELELTMALSGCCSVEDITRNHVITEKERFICRM >Solyc07g017340.1.1 pep chromosome:SL3.0:7:6835694:6836359:1 gene:Solyc07g017340.1 transcript:Solyc07g017340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHVCNAPSTKASENEKGKSIATGTAEERPVNKLKRPGSVEHLWVKNYFSFPTTAYQKNERY >Solyc10g054790.2.1 pep chromosome:SL3.0:10:55902077:55903688:-1 gene:Solyc10g054790.2 transcript:Solyc10g054790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNNIFLGDEESQLSAGNTNRVQPYSSTPKKNTIDDEGKKQNFLSLSQRLGVPDFFSLDVWRASMGELLGSAVLVFMVDTIVISTSESDAEMPNLIMSILLAIVLIVLLLAVVPVSGGHLNPVISFSAALVGIISMSRAIIYIMAQCLGAVLGALALKAVVSSTIEDTFSLGGCTITIIAPGPNGPVTLGLETAQALWLEIFCTFVLLFASIWMAYDHRQAKALGLVTVLTIVGLVLGLLVFISTTVTTKRGYAGVGMNPARCLGPAIVRGGHLWDGHWIFWIGPTISCLAFYVYTKIIPPKHFHAEYGYKHDFVGVVKALFESNV >Solyc10g079160.1.1.1 pep chromosome:SL3.0:10:60895259:60896488:1 gene:Solyc10g079160.1 transcript:Solyc10g079160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIARRSKSSVHLLHEVLFSDHPLPCSYTQQQTYVDVYMKWKKDSFFDSIDTIHRSVQLKPLIALKNCIVSSSPNDYCIPISVISKKGLELGIPIKVARFLRLYPSVFEEFTGPNYNLPWFKLTQRAIELDREEREVYLKFKDDIILRLKKLILMSGREQKLPLKIIQGLQWYLGLPDEFLRRPQDNLDGCFRVVEMEDGLKGLAVDVHGSERFLSVMQTNAMRRGTYSGVEGEAIEFPLYPSKGLRLKRKIADWFDEFQKFPYVSPYEDYSGLDPNSDIAEKRVVGVLHELLSLFVEHAAERKKLLCLRKYLGLPQKVHKAFERYPHIFNLSLMNKTCTAILKEAYCDRGAIEEHPLAKVRKRYIKLMKESEGILRRRRFNNKPIDQGDSNIKDLDCTDDEDKKFTT >Solyc09g072980.2.1 pep chromosome:SL3.0:9:66067164:66073433:-1 gene:Solyc09g072980.2 transcript:Solyc09g072980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDMGRVETEAIAKPSSSSSSSSSSSAVTTSTSVTETVNGSHDFKITGYSLSKGIGIGKYIASDTFMVGGYTWAIYFYPDGKSTEDNSTYVSLFIALASEGTDVRALFELTLIDQSGKSRHKVHSHFGRALESGPYTLKYRGSMWGYKRFFKRTALESSDYLKDDCLQVHCCVGVVRSHTEGPKTYSIPLSPSDIGLHFGQLLESGKDTDVNFDVNGEVFAAHKLVLAARSPVFRAQLFGPMKDQNTEHIKVEDMEAPVFKALLHFMYWDVLPDMEELTGLNTKWASTLMSQHLLAAADCYGLDRLRLLCEANLCEEVAINTVATTLALAEQHHCFQLKSVCLKFVAMPENLRAVMQTDGFDYLRESCPHVLTELLEYVARVNEHSSITNKLGNGLVLDGGDFNGRRVKQRL >Solyc01g080930.3.1 pep chromosome:SL3.0:1:80122744:80132798:-1 gene:Solyc01g080930.3 transcript:Solyc01g080930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSSSNNGGTDQVLVEERASVRTIILNRPKQLNALSFPMISRLLELFHASEGDSNVKMIILKGNGRSFCAGGDVTAVVRNVRQGNWKLGADYFREEFTLNYLMATYSKPQVSILNGIVMGGGLGASVHGRFRVATEKSVCAMPETALGLFPDVGASYFYSRLPGFFGEYAGLTGARLDGAEMLACGLATHFVPSERLPFLEQALAKVNTSDPYVISTIISRFSDMPKLKAESPYHKMKIIDRCFSRRTIEEIISSLENEALNKKDDWISSAIQSLKKASPTSLKISLRSIREGRLQGVGSCLVREYRMVCHVLRGEFSKDFFEGCRALLIDKDKNPKWEPSRLELIRDDDVDRYFSKIDDEDWEDLKLPPRSNLPPYAIAKL >Solyc12g094630.2.1 pep chromosome:SL3.0:12:65787262:65791529:1 gene:Solyc12g094630.2 transcript:Solyc12g094630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAISNSLLLSTTPSLHFTSGSSLKSADKSVGGTTKLAFSPRHGGKSSSSRRSLTVQAEYSDGGRPSNSSIFVGGFLLGGVIVGALGCIFAPEISKALAETDRKDLMRKLPKFIYDEEKALEKQRKILTEKIVQLNDAIDDISNQLRSGDAENGAAVNLDEVESVI >Solyc10g054070.1.1 pep chromosome:SL3.0:10:54498625:54500139:1 gene:Solyc10g054070.1 transcript:Solyc10g054070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMREDEGIVISSSNNKRCEDCGNQAKKDCLYFRCRTCCKTRGYQCQTHVKSTWIPISTRRSRHHHPSSTVQQPNPKRHRPNHALLQTGGEEEKEELPSEVSLPAIFRCVRVSSVDNMVDQYAYQTSVNIAGHVFKGILYDQGNNMPNQTSSRGFHQQQLTNFTPPISTTYPTFMPGMQLFQYPKSS >Solyc01g107395.1.1.1 pep chromosome:SL3.0:1:94860170:94860775:1 gene:Solyc01g107395.1 transcript:Solyc01g107395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNYYSSMLSVRDEESDKKYLSSITSKQQIQHNTSTNTRSDKLKKRPSCIIDSKCVPVDRNICIHLPQDLQREISRYLISQEAYMNFRLVCKLWRSIAPPLHWNVVVDDHATPSYNQDSVWLLSLNQNDGLCTFSNFNGYMINNDLVGCEIRYAKDGWLLVSKGKSLFLLEPSGKQIIHLPQKTDEYFCDIMSFSASPTN >Solyc05g008637.1.1 pep chromosome:SL3.0:5:2941690:2942884:1 gene:Solyc05g008637.1 transcript:Solyc05g008637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMRLLSLYCTLTQAVILGKSSILLLCGKQLRAHEEMCSDQIAGARKNQIAGARKTSNTPLHEEHWSYVKDAGQKKWNKEKRELESNFGWRCKYILQFLKLSHECF >Solyc04g005850.1.1 pep chromosome:SL3.0:4:542177:545741:1 gene:Solyc04g005850.1 transcript:Solyc04g005850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSFSSSIGDSIDFDEKDLSLSDKLKVFKASAYDPDSYVTNRCRQMSEKEIRHLCHYLMDLRKASAEEMRKSVYANYAAFIRTSREISNLEGQLIALRNLLSTRAAIVHGLAEGINVDSLASSDGSTQDDRSNNGDNDSINTESWLGQFIEKLEVLLAERRVDEVLDVLDEGEHMANDTHNKQTLTPSALLSLQKVITEQKQKLAAQLAEASFKSSVGGAELRSAVQALKRLGDGPRAHTLMLSSHQQKLHGNMQGIRPSGTSHGVAYSASLSQLVFSTMAQATSDSLSLFDDEPSYTSELVTWAVNQTENFAHLIKRYVIASPAASGCLRPVAESVHISLGHCSLLEARGLALSPILLKNFKPCVEQALYANIKRIEQCTAALAAADDWSLTYPPIGSRSLGTSSLAGVIASQPKLSSSAHKFNTMVQELCEDISPLEILQLSENTLEGVMQVFNSYIGMLVKALPGSVDNENLEGSVNRIVRLAETEPQQIALLANAILLSDELIPRAAAKLSSAQQSNKTDDTSKRSTDRQSRPIEQRELKRRLQRLVDQLRDSFCRQHALELIFLEDGGVRLSPDMYLNMEGSPEEIEWFPSPVYQEMFEKLTRIASIASDMFVGRERFATILLMRLTETIILWLSEDQNFWEEIEQGPKPLGPLGLQQFYLDMEFVILFASQGRYLSRNLQQVIKNIIGRAIEAVAASHIDPYSVLPEDDWFAEVAQIAIDMLTGKTQVGAMENVNSPTASSVLSHGSN >Solyc06g075510.3.1 pep chromosome:SL3.0:6:47000650:47010183:-1 gene:Solyc06g075510.3 transcript:Solyc06g075510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNVSVIYNNDLPQVSLLDESATSNSSLRNAEATTSAGDEDSCAGELFAFNFGILKVEGAETSRSSNNDDEEGYGKNQRVTHSQFVTRQLFPVDDGELNRKQTDRVILSSARSGTSIGFGDVRIIQQQQTEQPKQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGMDIAILNIQFGGFDTAHTAARAYDRAAIKFRGVDADINFSLSDYEEDMQQMKNLGKEEFVHLLRRHSTGFSRGSSKFRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAAIKTSGREAVTNFEPSSYEGETMSLPQSEGSQHDLDLNLGISTTSSKENDRLGGSRYHPYDMQDATKPKMDKPGSVIVGSSHLKGLPMSSQQAQLWTGIYSNFSSSYEGRAYDKRKDTGSSQGPPNWALQMPSQVDTNSPLTMFCTAASSGFFIPSTTSITSSTSALATSTNASHPEEMGKVSYMMRKGIQNLKLLWTTPAKDIIFLRAEQEFDVESIDAAQISNLVNGAGSLIPVVAAIIADSFLGCFSTIWISSIISLLGTNLLALTATVDSLRPKPCEVGSTSCTSKPKVQYVVLYAAIVLATLGSGGTRSTLSTIGADQLADKPKDQGIFFNWFFFFWYSASVVASTAIVYVEDNVSWKAGFFICAASNIVALVIFLLGSRFYTKFKPQGSPFTSLARVIVASISKRQVALPSTAEDFFQGCDVAPKSLPVVPSKTFRYLNRAAIISEGDVKPDGCSTRPSFQIPAGSIQVFVLVSTALFLALFDKFLFPTWRNLSGRSLTPLQRIGVGHVINFVSMGVSALVESKRLNVAKSNQVVPMSVLWLVPQLVLNGIGEAFHYPGQVSLYYQEFPITLKNMATAMISMLVGIAFYLTTVLIDVVRRTTTWLPGNINNGRLDNVYWILVVGGILNFGYYVICAWFYNHKNLNKVDSPSDE >Solyc07g062980.3.1 pep chromosome:SL3.0:7:65730112:65731252:-1 gene:Solyc07g062980.3 transcript:Solyc07g062980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNDAGEGVVMQVMKHYCQVETCEANLDGAKKYHKRHKVCQVHAKAPIVLLAGLKQRFCQQCSKFHELSEFDGTKKSCRLRLDGHNKRRRKTPLPIELEDNQCRLINGEARPHMDMTLSSRNTIYTADISG >Solyc12g009230.2.1 pep chromosome:SL3.0:12:2509821:2518386:-1 gene:Solyc12g009230.2 transcript:Solyc12g009230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQQRLKQQQGLMQQSLYHPGLIASPQIEPILSGNLPPGFDSTTCRSVYVGNISSQVTEQLLQEVFSSTGPLEGCKLIRKDKSSYGFVDYFDRQSAALAIVSLNGRQLFGQCIKVNWAYASAKREDTSNHFSIFVGDLSPEVTDSTLFACFSVYPSCSDARVMWDQKIGRSRGFGFVSFRDQQEAQSAIDDLNGKWLGSRQIRCNWAAKGAGASNDNRSSDAKSAVELTTGTSDDGQLKANEDAPENNPQYTTVYVGNLAPDVTTIDLHRYFHALGAGVIADVRIQRDRGFGFVRYSNHAEAARAIQLGNARILFGKPIKCSWGSKPTPPGSSTNPLPPPVIRQLPGISAMGLAMYERQVALARMTGMQTLMQHQGQRIGVASQVLYDGGIASPQPPVYY >Solyc10g019235.1.1 pep chromosome:SL3.0:10:12667315:12669308:-1 gene:Solyc10g019235.1 transcript:Solyc10g019235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLRAEFEMKDLGAAQKILGMEIIRDRERRKLFLSQRSYIQKVLARFVMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTCYVFTLGGSVASWKATLQPTVTLSTTEAECMALTEDAKEGIWLKGLIVVDEDGPP >Solyc01g100140.3.1 pep chromosome:SL3.0:1:90106174:90109257:-1 gene:Solyc01g100140.3 transcript:Solyc01g100140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCGGHNLYLLLSSSSLMLSNSIFVMECDNKGLQLAILSSLTSAYMQRKRKSRRPGSHSTLSLLRKELREGNLQSLFGGSSCVVPSTSTAPDPLLSSFILPMGDDFKSAQTCSPAETISAKKSSVVTASERKVQEAPLSIKDQEEKAKRTHATTVKNIINVQVGFNSLSSSPCQSNSAPKNMQFNIFGVEIKMQPMAFIQLPKSSNWHGHTMPSTSEHVVYKCKAEKSILTNGSVRHGQWAERMANAISEKSHIKSHCFNKQHVFYTRDFTQKRQEYSDPPQGRFGCQPVSQFNYTNYGRLHRGLHFTMLEQMQLKLSSKSAGQCP >Solyc12g056090.2.1 pep chromosome:SL3.0:12:63013485:63017171:-1 gene:Solyc12g056090.2 transcript:Solyc12g056090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPESLQPVCGQEALDLLNCTTESPYDKEKCQKLLETLRQCVINKKVKKFSLSDPRIGKPEGSNEKRS >Solyc08g023545.1.1 pep chromosome:SL3.0:8:27512709:27522323:1 gene:Solyc08g023545.1 transcript:Solyc08g023545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYYGVYTFLTSPLGIDSKRGVELDPYKINAISELPPPRTRKEVMSFLGRLNYISLFIAQFTMVCEPIFKLLKKDAPTKWTKECQIAFNVVKIYLSNPPIL >Solyc08g074970.1.1.1 pep chromosome:SL3.0:8:59224125:59225570:-1 gene:Solyc08g074970.1 transcript:Solyc08g074970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNKSKKTLFSPTAFFFIVLFTIPILLLLHTPTNSICTTTSSKIKSWSGDLRDAEFSWNRLKFNDKNPPLKTLKIAVFSRKWPMSATPGGMERHAYTLHMAMASRGHQVHVFTSPPMEHVTPTTSHMIDDSSQSSPIIHWNEGVTGRWGYKKAWENYQEENEREPFDVIHSESVALPHHLALSLPNLVVSWHGIALEGVQSSIFQDLARNPNEPMLSTFNQSLQGVIPKVLDEIRFFHNYAHHVAISDSCGEMLRDVYQIPRRRVHVIVNGVDEKDFCEDSRLGHDFGSKIGLPQNASIVLGVAGRLVKDKGHPLLHEAFSQLKGKYPNVYLIVAGSGPWLQRYKDLGPQVIVLGSMSPSELKAFYNSIDIFVNPTLRPQGLDLTLMEAMMSGKPILASRFPSIKGTLVVNDEYGFMFTPNVESLVEALERVVEEGRGKLARRGKACREYASSMFTAKKMALAYERLFLCVKNETFCTYD >Solyc07g066660.3.1 pep chromosome:SL3.0:7:68152866:68166136:1 gene:Solyc07g066660.3 transcript:Solyc07g066660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNSQKHKSIQNGGIRELKIYEEADKIKYQSRDSLSSRCKGKSGVLSMIDVQTSQKNLKSDIKNEEKTKEKCSTSVKIFVKRKVLTDISNIRGNSLRTKSYNSSKLVDSNDKWSRITNNSSRNFIMGNVRTNMNGATGDEEILTRAPFKDTKASFEGPKTKIQGRKSVTIGIRSTRRNALPPSRRSLLTLQQVNVDDTNYKEKGNLRRNLSGATGDRQILTQAPFKAVKAPFDGPKTKTQGSKSATIGISLILSVWLLRTTGRNALPPSRRSLPVLEQVNTKGTHNKAKENPKELEKGKGINGVPVLAKLKAAGDVLPQLSNHNNIRRNRVSDASARMAPKGHTKAEIGALRKKSVKTVLKISARGLNSQPFSKSSSMSNVHKCTSRVAIPYKRLEDVSTSSLSKYATSDISAEQPHQKEVVSSSNGSLDTPECSIARRKSYRRKSFTCLLMARSKFLKEQCGSVKLENLSNIYDNCNHLEVTEYVDDIYLYYWVIEAQNQPIKNYMEIQKEITPQMRGILINWLIEVHLKFDLMQEALFLMVTLLDYYLSLVRVKKNDLQLVGLTSLFLASKYEDLWHPRIIDLLSISGESYTRDQMLDMEKDILRKLKFRLNAATPYVFMLRLLKAAQADTRFEHLAFYLIELCLVEYEALNYKPSMLCASAIYVARCTMQVMPAWTPLLGMHARYQESQLRQCAEMILRFHKSATTALLKVTHEKYMCSSNGKVASIRPLESLP >Solyc02g030655.1.1 pep chromosome:SL3.0:2:27110478:27111916:1 gene:Solyc02g030655.1 transcript:Solyc02g030655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKKLRNVKLNLGRSLNGNFGVNSKKLKILNCSSSITNENSSLLFSHGLQGSVASSLTELETQQPPNRWKSAKEVGEKLRKFLYKMPFVFYDGPNGKKTKAYLLYSFTKREDLKIVCACHADFLTPAQFVKHGGGVDVENPLNHIEIILDY >Solyc07g007780.3.1 pep chromosome:SL3.0:7:2416908:2426183:-1 gene:Solyc07g007780.3 transcript:Solyc07g007780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMAVVVLCLLLLLQFPLIFASRLLGHKEACGIYRVDYSDDLNRQVFYVNGILADKDLFCKALKFDHAERCVIQNVGFQYCGLDVLIDELHFKSGRKFVHKLVRQGSNGHNFDDTSQKAKEKHADDISVNPKKLFMAVPAFFILCCGLICPCFRSRKKETDQSALVKDPNSMDSASSLEMNFVPEKAPGSPVRVPASPLRVPPSPSRFSMSPKLNRIGSIHLNMNQALKATQNFSSSLKIGEGGFGMVYKAQLPDGQTVAIKRAKKEHFDALSNEFRSEVELLAKIEHRNLVRLLGFVDKGNERLIITEYVANGTLREHLDGGRGKILDFNQRLEIAIDIAHGLTYLHLYAEKQIIHRDVKSSNILLTESMHAKVADFGFARLGGSDADKTHVSTQVKGTVGYLDPEYMKTYQLTPKSDVYSFGVLLLEILTGRRPVELRRPPDERVTLRWVFRKYNEGSVFEMLDPLMKESVNGEILVKMFGLAIQCAAPTRKDRPDMKIVGEQLWAIRMDYLRNGRRQ >Solyc04g026110.3.1 pep chromosome:SL3.0:4:18823872:18825410:1 gene:Solyc04g026110.3 transcript:Solyc04g026110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFMSFAVQKLGDFLIQQVSLRTNLRKEVFEINSIANDVVAILKTYNFEADKGDDDGFASRLKACACICRKETKFYNVNKEIQSLKQRIMDICRKRETYDIKNKNINNNTGEGPSNQVRTLRRTTSYVDDQDYIFVGFKDVVQTLLAELLKTEPRRRVVSVYGMGGLGKTTLARNRYINPRIVSSFHTRAWICVSQEYNTMDLLRNIIKSIQEAWESLKRAFPDSKNGSRVIITTCKEDVIERVDDRGFVHKLRFLSQEESWDLFCRKLLDVRAMVPEMESLAKIMVEKCRGLPLAIVVLSGLLSHKKGLDEWRKVKDHLWKNIIEDKYIEISKILSLSYNDLSTALKQCFLYFGIFPEDKMVDAENIIWLWMAEGFIPRGEQRMEDAAEGFLNELIRRRLIQVVDTVWEKVTECRVHDLLCHLAIQKKGTSHLRFLT >Solyc09g061890.3.1 pep chromosome:SL3.0:9:60851839:60856726:1 gene:Solyc09g061890.3 transcript:Solyc09g061890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4CU19] MAIYWRSCLSLSALLILVLLVINVNPSHSAEEAEQFQSLKNLTMTNSLSEENVPNHEHAVDDPEKVVSMVDMSIKNSTERRKLGFFSCGTGNPIDDCWRCDRNWQRNRKRLADCAIGFGRNAIGGRDGKYYVVTDPNDDDPVNPRPGTLRHAVIQDRPLWIVFKRDMVITLKQELIMNSFKTIDGRGVNVHIANGACITVQFVTNIIIHGINIHDCKPTGNAMVRSSPSHFGWRTIADGDGISIFGSSHIWVDHNSLANCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYVRDKIMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRYLAPANPFAKQVTHRVEQSDVWKHWNWRSEGDLMLNGAFFTPSGHGAAASYARASSLAAKASSLVGTLTSNAGALTCRRGYQC >Solyc03g058440.1.1.1 pep chromosome:SL3.0:3:26761334:26761531:1 gene:Solyc03g058440.1 transcript:Solyc03g058440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEIIRETKITGLGAKDVLLYLFGVPFITLFIKQSVIPQAIPNYIFVPAVTSATVFLLAKLHMI >Solyc11g068910.2.1 pep chromosome:SL3.0:11:53765560:53769640:-1 gene:Solyc11g068910.2 transcript:Solyc11g068910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKDVAVEKTDAGPIELPAPPGWKKRFTPGKSSTPRRYAIVFVSPDGDEIKNKKQLDKYLKSHPGGPPASEFNWGTGDTPRRSSRLGGKSEAMETPESDTPSTKRQRKSSSKKEAKEDGSERKAEGATEKETKANDEPALPDAEDLEAQDVEMASKNLTDGDNTKDEKEKTNDGEIVPKEPLASEDKMEIVHEKEETKDEKEKTDDGEIVPKEPLASEDKMEIVQEKEETKDEEEKTNDGEIVPEEPSESEDKMEIVQEKEEILDEGNIEKMKNREAEDKPLDNSLKTDNKTLPLNVLEENKIESKPAGTDASSLSVEHSKSTSGSQEEIAEEAPAAEDALDALEENKIESKPAGADASSHSAELSKATSVSQEEAPAAADPLVQNSNDGKVHENEQIVREIPIEEINGTESAAACVNNSVQQEATVINQATSS >Solyc03g116140.3.1 pep chromosome:SL3.0:3:67119608:67124055:1 gene:Solyc03g116140.3 transcript:Solyc03g116140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGQWLEKALLELCGKIENGLDLDAEIISGLVSYCELAPPLDAKEYLDNIIGQDAGKSVTGEYLRRRGHSDLYQGTSASKLQAYVKPPSGDSLAAGTKKQVRAPKESKASSKQEILSTAETSNGRNLQRGSQGNSTKTTAPQSQASQKNSKKKKSEKVISLAEAAKGSIIFQQGKPCLCQARRHRLISNCLSCGKIVCEQEGEGPCNFCGALVLKEGSSYAGLDEGPVPISDAEEAAEAYAKRLVDYDRNSAARTTVIDDQSDYYEIEGNSWLSKEEKEILRKKKEEIEEAEHAKRNRVVMTFDLVGRKVLLNKDEAAEELQKGILFRPAEGKEATRIKPNPNLKVQPVFVDPGPRKTPKEKNNNKGPRNGLCLEITGRVQHDTSEHSRLIVEGKLHGSSSSKIVA >Solyc05g041113.1.1 pep chromosome:SL3.0:5:50424353:50434676:-1 gene:Solyc05g041113.1 transcript:Solyc05g041113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCNQILRLLNRSQLNETSANSNMTGILASSSSLINTHPTHSQWIVDSGATNHMVNDNSIFNAGLTVARIGKVQIPTGESAMITHSGKYDNGLIPILHPTKIAEEFPIARLGECDIEPLIDSIETKTIVEAASSVDSVGQPPVLKNNFKIKDLGDLRYFLGIEFARNSEGILMHQRKYAMELISDSEMSGSKPCATPVEVNKKLTTSEFDNHFKLDNGNVLLDSGEYQRFVGRLLYLTITRPDIAFAVQSLSHFMHAPKSSHMEAALRVVKYVKQAPGLGFCDADWGSCINSRRSITGYMIMFGNSLISWKSKKQPTVSRSSAEAEYRSLASTVAEVIWLIGMFRELEAESAYARAEAIIGEIQRINIMAVEALRR >Solyc11g012770.2.1 pep chromosome:SL3.0:11:5526527:5549874:1 gene:Solyc11g012770.2 transcript:Solyc11g012770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLQLQLKELGSKLENPPTSKDSLIKLLKQGSTFLSELEQSPPKAMLEAMQPLQSAIVKPELLKHQDREVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVSTFSGLGDINSPSFGRRVVILETLARYRSCVVMLDLECDDLINEMFQTFLNVVRDEHQDSILTSMQTIMVVLIEESEDIREDLLHVILSVLGRHKKDVSIAGRGLAMKVIEQCSGKLEPSIKQFLVSSMSGDSRPTTFEIDYHEVIYDIYRCAPQILSGVVPYITGELLTDQLDVRLKAVHLVGDLFALSESAISEAFHPIFLEFLKRLTDRIVEVRMSVLEHVKGCLLSNPFRQEAPQIISALRDRLLDYDENVRKQVVVVLCDAACNALTSMKVDTIKLVAERIRDKSLLVKRYTLERLADIYRIYCLNSSSGSIKGVDYEWIPGRILRCFYDKDFRSDIVEHILCSSLFPNEFSVKDKVKNWVKVFSSFDKVEVRALEKLLEQKQRLQQEMRRYLSLRQMQQDGDATEIQKKVVFCFRIMSRCFTDPGKAEESFQILDQLKDANVWRILTVLLDPNCNSIRASSSRDELLKILGEKHRLYDFLGTLSMKCSYILFNKEHVKEILQETNIQKSAGSTDLILSCTHLLVILARFCPFLLSGIEEDLIHLLEDDNEIIKEGVLHVLAKAGAAIREKLGDSSRSLDLMLERICLEGSRRQAKYAIHALASIMKDDGLKSLSVLYKRLVDMLEEKSHLPAVLQSLGCVAQTAMPVFETREKEIEQFITKNILELSHTSEGKAKESWEDRSEICSMKIFGIKTLVKSYLPVKDANLRVGIDDLLEILKNILSFGEISIQIKSSSVDKAHLRLAAAKAMLRLSKHWDHKIPVDVFYLTLGTSEASFPQVKKLFLNKVHQYLKDRYLEPKYTCAFLLDLQFQQPDFEEIKSNLSDVIQIYQQGKARQLSVQSEAITPVPFPEYILPYLVHALAHHSLFPNIDECKDVKAFEPTYRQLYVFLSMLVHGDEEGKPEGGISREKESISTIKSILHSIKHSEDAVDSTKSKNSYAVSDLGLAITNRLVPNHDDLKELKASVSLPPSLYKQHEKNEEKDQSLVEVKTWLADEGIMAHFESIKFETNGTLKSEITEDETMKDSETEGNEVPLGKIMERLKARSKMRKELKDDSSPAEVRTENDVDILKMVREIDSNNVVDDNKLDASNGHESAVKTKASNKRQKRGTDISVPKGAKRQRSSSSSVHKLSSKLEESIEKEEDLQSMSEDKSSEENVFEPEESDLLTSSIRKKTSLPPRQKRKATDKNHDDTCEIGMDSREVKKIKGNREAVNTHMQGNNKSGSHKKSKKKSVSGLAKCTAKVDTTPTVDLIGCRIKIWWPMDKKFYEGVVKSFDTHKSKHVVLYDDGDVEVLRLEKECWELVGGVQKPVKGSNSKKGSGSKKESGERKKRTLAASRQKKETDKMSPLSPVRGKRTPRKNLKYGQKGPSKSSLSRRSLLLGKPLITSKSKADNLSSGESESEQKESTHEFSLSEHELSDKDDIAYFDGKPGADADRLSGMEESEEEECPVENKDEDELGTPQDSRGSDREISSSHEKPHADGSTEKSNDDAERSDSHGSVRDDADSHSTDQGDSGSSSAAKSDEELSDDELLSTWKQRAGKSAGGK >Solyc06g074320.3.1 pep chromosome:SL3.0:6:46083011:46096021:1 gene:Solyc06g074320.3 transcript:Solyc06g074320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQGIGETGLTDSGSSHHNHNMPYAVYRGLNPASTSFMYHSTPNGNQEGAGFDFGELEEAFVLQGFKMSNDEAKASLYAAAATGKPAATLEMFPSWPMRYQQTPRENSKSREESTDSGSLSSRAQPHFEPESPISRKASSDHQLQITHKSQEQQQLQDMASNNNPRTGVLQTELSSKSNSEKKKGAASTSERVLDPKTLRRLAQNREAAKKSRIRKKAYVQQLETSRIRLSQLEQELQRASSQGIFLGGGTAAGSNISSGAAIFDMEYSRWLDDDHRHIAELRTALQAHLSDGDLRLIVDGYLAHYDEIFSLKGVAAKSDVFHLITGTWTTPAERCFLWMGGFRPSELIKMLIGQLDPLTEQQVVGIYSLQQSSQQAEEALSQGLEQLQQSLIDTIATASLHDGMHHMALALGKLSNLEGFVRQADNLRQQTLHQLHRILTVRQAARCFLVIGEYYGRLRALSSLWLSRPRETLIADDNSCQTITGLQMVQSSQNHFSNF >Solyc12g089015.1.1 pep chromosome:SL3.0:12:65158499:65161209:-1 gene:Solyc12g089015.1 transcript:Solyc12g089015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQNLTRRKTLAPTLLTSEAPQPSHTACTPLSSRLPHDKPRNQECNPIHREHLWDAGYLEVEELTDALIGTGEGATMSHGEEAAAAYECSSLLVLFLLLDSMDLLLLSFSAIDV >Solyc09g061450.1.1 pep chromosome:SL3.0:9:59390010:59391537:1 gene:Solyc09g061450.1 transcript:Solyc09g061450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFHLSSFFSKFIFNSSSTLSISTFTSSTILIRTLTSSILPLVNNKPCKNREKKKKKRRKHTLIYIYATQGKALAIRWLLAASRKRPGRNMAFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFADFR >Solyc07g008000.3.1 pep chromosome:SL3.0:7:2697425:2709878:1 gene:Solyc07g008000.3 transcript:Solyc07g008000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFDLHDLSDDADYADSMQQGSESMTRSGNSNRNSSSEQVGAEIVYFKDNVAIHPTQHAWERIRGRLKLIKQGGSLSMTWIPYEGQSSSARLSEKDKSLYTIRAVPFSDIRSICRHTPTLGWQYAIVVLSSGLAFPPFYFYNGGLKEFLATIKQYVFLVRSAEDANIFLVNDLQDPLQRTLSSLELPGAVSVANSPTSSIAPSKSSFSRTDGEALDKNSAVIQQNGRQRQKHNDPRHLSIQVLEKFSLVTRFARETKSQFLREAQGDGFISNAMKKHDKKTNNYSSVVESNDVHKPLEDVHVPANSSEESSCEKHNKNEEAAMRDENLEYDYDKLSLVWGKPRQPPLGSEEWSTFLDSEGRIVDSQALRKRIFYGGVEKGLRKEVWRFLLGYHSYDSTYSEREYLASIRKSEYETIKNQWKSITKEQAKRFTKFRERKALIEKDVVRTDRSIAFYDGDDNSNVKCLRDILLTYSFYNFDLGYCQGMSDLLSPILYVMGDESQSFWCFVALMERLGPNFNRDQNGVHSQLFALSKLVELLDNPLHNYFQQKDCLNYFFCFRWVLIQFKREFDFEKTMRLWEVLWTHYLSEHLHLYVCVAILRRHRSKIIGEEMDFDTLLKFINELSGHINLDATLREAEALCICAGENGEACIPPGTPPSLPFEITSMYNQQDDDDIL >Solyc08g061130.3.1 pep chromosome:SL3.0:8:46816364:46820534:-1 gene:Solyc08g061130.3 transcript:Solyc08g061130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HY5 description:Transcription factor HY5 [Source:UniProtKB/Swiss-Prot;Acc:Q9SM50] MQEQATSSIAASSLPSSSERSSSSALHHELKEGMESDDEIRRVPEMGGEATGTTSASGRDGVSAAGQAQPSAGTQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLIDLEARVKELETKNAELEERLSTLQNENQMLRHILKNTTAGAQEGRK >Solyc10g083320.2.1.1 pep chromosome:SL3.0:10:63279204:63279563:-1 gene:Solyc10g083320.2 transcript:Solyc10g083320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLAKKAKAITSPTHEYFLIKDNKSNEEWSLANPNSTIPIGNLAIYVGEERERFIVPTSYLSHPLFTILLEKTYNEFGFDQTNGLVVPCSVNAFQEVVNAVECCNGKFDFGELVEEFL >Solyc03g033950.3.1 pep chromosome:SL3.0:3:5675370:5680771:-1 gene:Solyc03g033950.3 transcript:Solyc03g033950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPSSTSTSLKEYLKKYESNTNEEGKKKQKKKKKVKPNDVKGVVVVDEDPVWQKPVKIEDEEDESADEELPQINEDIEVKRMKRLEQIRARRPFGSVSEDGSGWVSVSSTSNDQISDLSPTWRRPGRNDSPSPEPQLNLSSPSADLSPPRKSRARNDTPSPESEQPRTQEGDLSPPRKRSARNDTQRTRILTPSPEPQLKPSSAKDADLSPPRKRRVRNDTPEPEVKPLSTRDADISPPRRQRARNDTPESDMKSLRSRDTDISPPRRRKTRNDTPSPEPKARPSADLSPPRRRQKYVQSLSDISDVSPPRRGSRAQGDSRADQGTDLSPLRKKKAQNVLEARPDSSTTKQGPKTGLVSGKDIKEEIDRTKKEDWMRFQEMDPLVSGRGAEPVYRDKITGQRMSKEEFLKSKKKKDEKEKPKEIKLEWGKGLAQKREMEVRLQELENEKDKPFARSRDDPELDTMLKERVRWGDPMAHLVKKKLDPILPDFGANEKMKDSGFIIPQDIPSHSWIKRGLDAAPNRYGIRPGRHWDGVDRSTGYEKELFKRTNEKQATEREAYLWSVSDM >Solyc04g081460.2.1 pep chromosome:SL3.0:4:65512551:65513336:-1 gene:Solyc04g081460.2 transcript:Solyc04g081460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFIGERRGVSWRDQTLESISAPPLALIVLFGLVIFLMSMSTYSEYKATVEKSKANGFILMEELQGLLCTIWLAKKVDLLGELLCFWCCFWSWFIIKTHFNLLGFVYFEKLFDTELRRIQNLHSIRFKI >Solyc02g083450.3.1 pep chromosome:SL3.0:2:47404752:47410469:1 gene:Solyc02g083450.3 transcript:Solyc02g083450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVMFLLTITLLSIFPFRQCHGRLFTFEMHHRFSETVKKWSLQKLAAPLQNWPVKGSLQYYTQLANHDRLLHGRRLFKFDGPLTFSDGNSTFRISSLGFLHYTTVTLGTPGLKFLVALDTGSDLFWVPCECGRCASTDDPTLYSSDIELSIYSLNGSSTSKKVTCSDSLCTDRNNCLSSNNHCPYSVSYVSSETSTSGILVDDILHLRTDDSEEKNFVQARVIFGCGQEQTGSFLDVAAPNGLFGLGLEKVSVPSILSQEGFMADSFSMCFGSDGAGRISFGDKGSFDQEETPFNINPLHPTYNISVDQIRVGTTLVDSGFTALFDTGTSFTYLADLSYTKLSESFHSQVRDKRRPPDPRIPFEYCYDMSPDENTSLIPSLSLTMSGGGQLAVNDPIIVISTQNQLMYCLAIVKSDALNIIGQNFMTGYRFVFDREKIILGWKKFDCYDIEKIDHFPSQPVNTTHVPPAFAVVPGNDNAEKSHERTTTTRQSSFASSVYQTCYLNLINCFLASVILLLL >Solyc12g010660.1.1.1 pep chromosome:SL3.0:12:3608141:3608890:1 gene:Solyc12g010660.1 transcript:Solyc12g010660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLATKLYSDPCIMYRFDIRAKATVSQEYCVRNVLLSLLSSISDEPDDQLADRLQKHLKGRRYLVVIDDIWTTKAWDDIKLCFPDCNNGSRILLTTRNVEVAEYASSGKPPYHMRLMNFDESWNLLHKKIFEKEGSYSPEFENIGKQIALKCGVLPLAISVIAGLLSKIGKTLDEWQNVAENVSSVVSTDLEAQCMRVLALSYHHLPSHLKPCFLYFAIFAEDERIYVNKLVELWAVEGFLNE >Solyc12g009460.1.1 pep chromosome:SL3.0:12:2723125:2725848:1 gene:Solyc12g009460.1 transcript:Solyc12g009460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASIASLIRTMELLLMSDSPMRSLICYHEEEIVDLHKKVSFLEAFLKEKQISDYGEMTDLEARIKGFANVAEDRIEFGLREAMIAEDEMQRGKAHEELRESLLRVAKDIDCVLEESKKIQHHKGRQASMWSLARETSSSENLQVSNNMMGRDKEEKRMLEELTRGSTDELKVIPIVGMGGIGKTTLAKQVFNHPSIQSHFDVRAWATISKEYNVKEILVSLLQSIIKIDHNVYSRDESELADILQKKLKRKRYLIVMDDMWSYKAWDDMRQCFPVDDNRSRILLTSRHTEVAIYASSSNLSLKMSLMNSDESWDLFKSKAFANESFPPELVPIGEQIANKCQGLSLTIVVAGILSKSKRTKEEWENVAENIKSLKLVRLWIVEGFLKLEGDLEVEAENRLQDLVDRCLVLVSQRNADGTKIKTCKIHDLVHEICLREAQNQNILFIRNDYLSDADGDDDEETKLVPPAGCRWISIQERQQTDVDVQGFKSLSLQNHQLIRMSANDETSPLRRTRSIFLFASPYLSNNSNLELGHLNLIRVLDLTSIFFSSFPLQILSLIWLRFLSLSTHTSFGIPRGIRNLWNLQTFIARGSASSFIKFPGLIWETTQLRCLKLRKFYLSDPLSSSTDADRHLVWSNIETVSGLIPYCCTKKVISRIQNIKKLCIRGHVYDYSMREEDMNFHSLADLHQLETLSIKVDWFQVRRSDLRFQRSPVYVPSAEHFPTKLKKLKLVGTRLAWEDLNIIGKCPNLEVLKLKPDACHGTEWYPIEGGFPQLKLLLIEGTNLKYWKATDDHFPVLEHLVIRHCFHLEEIPAEFADIYSLQLIELQNCSAKLMASAGRIQEEHEYIGNKPVEVRSDNDPG >Solyc01g044307.1.1.1 pep chromosome:SL3.0:1:43155228:43157801:1 gene:Solyc01g044307.1 transcript:Solyc01g044307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDIDMYDGTDVDSAGDDLYGDDFINGGDSGSESSGDDIIDNDPDDLPHDKNYVVLSDDDIGRLMDDDISKVSSVLSVSRTDASALLRRYNWSVNKVHEEWFADESNVREAVGLKIQEKVVHSREVTCGICFEDYSSDGILASACGHPFCVECWKGYISNSITDGPGCLNLRCAEPSCKVAVSQDMIDRLASDDDKKRYYGFLFRSYVEENRKIKWCPAPGCNFAVEFDIGSDNCEVICVCSNYFCWSCTEEAHSPVDCDMVVKWMEKNNAESENTNWILAYTKACPKCKRPIEKNEGCMRMTCRDPCKYQFCWLCLNSWSVHGYNSCNKYMPGNEDDQKKEMAKQSIEKYTHYYERWAANEKSRRKAVKDLKRMGEVNVKELSELQSIPETQLKFILEAWKQIVECRRVLKWTYAYGFYLPDEEQTKRQFFEYLQGQAEAGLERLHLCAEKELEIYLNVEEGNSKTFDDFRIKLNSLTSVTRNYFENLVRALENGLEDVDSQGAHGNIATSSKTPALSSKRTNSKKLVLGGDRMLVKGRAEKIMTLMRTRNGKQPSTNSTASSKHILVPGGGHTARANNLDIREDNTVRTSKSPFEAWGVKFMESKEKPVATRSFTLGPQFLQRAGVNSTTSSSNLQLAGGRKLINVGGGSVKNLANAHVDGSDKLTLSKTPHVPGSDNLTVSKTPHMAGMSSTTSSSNLLLAGGRKLMNIEAGSAKNLASIHVDGSNNLTMSRTPHVAGSNNLTLSKTPHVAGSSSRINAAEKHRKTNSGASLIIKLDDNDLGEASSATGASLTQKFIADFEGWACDSCTFLNADSTTTCLMCSEDASGSWECEKCTFLNKKHASTCQICEHRK >Solyc01g086640.2.1 pep chromosome:SL3.0:1:81493850:81497677:1 gene:Solyc01g086640.2 transcript:Solyc01g086640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKTNKKKQQCYIAVPSQIINSISSSGLQSLLLSPKKKNPSFSVKLKLLLNNPRFWVLFLFVFGFVGMLKLWFNFETLLPFAPNPCGIFQEKTSFLNDHQVSQLSIGALNLGNGEKEEEGDEKNEFWKQPDGLGYKPCLDFSSEYRKTSSDIVKGRTRYLMVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLDHFKEVLANDVRIVSSLPSTHVMTRPVEEKRTPLHASPEWIRSHYTRKLRRDGVLLLRSLDSRLSKDLPSDLQKLRCKVAFHALRFSPSILELGNKLTERMRSKGPYVALHLRMEKDVWVRTGCLPGLSHEIDEMINNERKQRPELLTSRSNMTYHDRKLAGLCPLNALEVTRLLKALGAPKRARIYWAGGIPLGGKEALQPLTSEFPHFFNKEDLALPGELEPFSKKASLMAALDYIVTENSNVFMPSHGGNMGHALQGQRAYAGHKKTITPNKRQMLRHFLNSSLSETEFNRIILDLHRDSLGQPELRKPGRDVTKYPVPECMCNGTMNHSST >Solyc02g061900.1.1.1 pep chromosome:SL3.0:2:33992424:33993338:-1 gene:Solyc02g061900.1 transcript:Solyc02g061900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMNFDEEQLLRYLLKFVTGMLVECDQIRFVDLYGKEKPSQLFETTTTDRHHVFTQLKKKKGNGKNFNRGIVGGGGSWKGIDNGKPVYNQKGLKIGFKKTFRFDEENHVWIMKEYRPSDNILKALRLRGQIRHEEEFAVCSITRSVYSSKVIIPSDNNVENFIDSVLISSSQCQEAQNQFQELGQSFAQYQFQDLEQLSSTNGIPSDGSNSILNGNVGPVTLVFKESNVSAAKEDECSVHQQTPSVECSVYDIATYHKELDAYAAFQLKSMVPNIPQQQEDDEPDSIPLFHEDFNIDYTHLLT >Solyc07g005280.3.1 pep chromosome:SL3.0:7:230176:231516:-1 gene:Solyc07g005280.3 transcript:Solyc07g005280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALGDQMILRVDKHLRHAGMAGFQEFQCSRYKSEPPAESKALT >Solyc04g010150.1.1.1 pep chromosome:SL3.0:4:3431221:3431457:-1 gene:Solyc04g010150.1 transcript:Solyc04g010150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYCLQMQKCKMMVEGEVSVLCLFDSLGKLLHAQGSPIEYIEKVLTVETTAFGKTLEYAISTGQKLVKISSNAKNVC >Solyc01g105270.3.1 pep chromosome:SL3.0:1:93388924:93396258:-1 gene:Solyc01g105270.3 transcript:Solyc01g105270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSISFLLEFAKDYDDGTPRVRPIFDWFNAMMEVSDYEKQAIFYSLSAAYALVSFVALVSTRGPRGMENECKVQLIRIQLRLSGIGWTTQKVFHLMNFVVCGLRAILFGFYSSVFNLRSKALEMMLLDLPGLLFFSTYTLLVLFWAEIFHQARNLPIDKLRPAYYAVNAVVYFIQICIWIFIGVGPASAAVETAKLFFAVISFTAALGFVMYGGRLFAMLRRFPIESRGRQKKLHEVGFVTGICCICFMIRCVMVAVSAFNGNADVDVIDHPVLILFYYVVVEILPSVLVLFILRKLPPKRVSEQYHPIQ >Solyc01g101130.3.1 pep chromosome:SL3.0:1:90851314:90855999:-1 gene:Solyc01g101130.3 transcript:Solyc01g101130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEERPSSLVVDPLLKDLSEKKQNFRRNVVSLAAELKEVRSRLAYQEQSFVRETLTRQEAESKAKKMEEEINKLQETLEEKNGELQFSALNTEKLDDLKSQLSATQATADASAASAESAQLQCLVLLKELDEKNSSLKEHEVRVNKLGEQLDLLQKDLQARESSQRQLKDEVVRIEHDIMQALAKSGANTDCELRKVLDEVSPKNIEKMNKLLTDKDEELAKLRYEIRIMSAHWKLKTKELESQLEKHRRADQELKKRIMKLEFCLQETRSQTRKLQRMGERRDKAIKELRDQLSVKQVGTSSNNNQNFWDTSGFKVVVSMSMLMLVLFSKR >Solyc12g011370.2.1.1 pep chromosome:SL3.0:12:4203786:4205546:-1 gene:Solyc12g011370.2 transcript:Solyc12g011370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPKIQQPHKRYWRFSKQDFFPEPSFHNFGSYKNALSQTPNRLKNRLFSRSSDTSELIELKKESENEMKLCLTWWDLIWLGFGSVVGSGIFSITGQETRNDAGPAIVLSYAISGLSALLSVFCYTEFSVDIPVAGGSFSFLRIELGDFVAFIAAANILLEAMVGAAGLGRSWSSYFASIINNNPDFLRIKIDSFVEGFNLLDPIAVVVLALANVVAITGTKMTSTLNWISSIISAGVIVFIIIVGFVNGKTSNLVPFFPFGAGGVFRAAAVVYWSYTGFDMVANMAEETKRPSRDIPLGLLGSMSIITVVYCLMALALAMMVKYTQVDVNAAYSVAFEGIGMNWAKYLVGICALKGMTTSMLVGSLGQSRYTTQIARAHMIPPWFALVHPKTGTPIYATLLTTITSCILALFTSLDVLSSVFSFSTLSIFMLMAVALLVRRYYVTDVTLKQDLGKFLVCLFIVIGSSVGATVLWSTNVKGWVGYAVTGVLWLLGTSGMALLPKQRIPKVWGVPLVPWVPSLSIGMNIFLIGSLGKEAFYRFFICSAVMLIYYVLVGVHATYDIAHPDKQKSLIDEGKDSSDQVS >Solyc08g065710.2.1 pep chromosome:SL3.0:8:54053255:54057754:1 gene:Solyc08g065710.2 transcript:Solyc08g065710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISWYNIIVIFLFGLVSIFVNIEGRSISQFLNQESQGTKWAVLVAGSNGWDNYRHQADVCHAYQLLKNGGLKDENIIVFMYDDIAHNRENPRPGVIINNPHGNDVYKGVPKDYVGEDVNALNFYNVILANKSGIVGGTGKVLNSGPNDHIFIYYTDHGGPGIVAMPSGELVYANDLVNVLKKKHASGTYDRLVFYLEACESGSMFDGLLPEGLDIYVMTASEPNEDSWATYCGEGTPEEPCLVQCSPPEFQGVCLGDLYSISWMEDSDIQDRTADSVQGQYSRWKIRFMFFILRPKVANRTAANITHGSYGSHVTEYGDIVVSFDSLAAYMGENFKNHSHDSVDAKSFSTSSSRNVDQHSTELFYLFAKHRKAPEGSNEKYEALVKLNEVKSQRSQVDYNVKHLGELLFDVEKGNEVLNSVRPARQPLVDNWDCLKSYVKIFEAHCGRLTTYGRRHVRGIANICNAGITNEKMVAMSAQACSS >Solyc01g058470.1.1.1 pep chromosome:SL3.0:1:66698568:66698762:-1 gene:Solyc01g058470.1 transcript:Solyc01g058470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVQNELFVYFTYLFYFIFLIRLSLDLVIKVVWSMISLGHQSQEPSIPILKSMALFVHICIYD >Solyc12g099730.2.1 pep chromosome:SL3.0:12:67730228:67732711:-1 gene:Solyc12g099730.2 transcript:Solyc12g099730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMVHGIKELFDQEHEGVRWVIMGDDDSIFFLENLVDVLGKYDHNKYYYFGGQSEYILSNFWYSFNQGFGGAGIIMSFPLAKALAQDMESCIRRYPHLNSADLITMTCIVDLGGSFVPLKGLHQIDLRGDISGFLSSHPKEPLISLHHFDAVSPIYPSMDRIQSTKHLMKAAKFDNSRILQQIICHHRLSNWTFSVSWGYSIHIYEKIMPRSHLIKPIQTFNTWSKPQNPPFYMFNTRSNVNDSCETPHIFYLKSIGGANKNGIMATYSRSVVRKLQGCPINGNHPANYVNKIQVYSPRKKRAEMDRCECCDIIHTTGSKKAIVQLRECFTNEKIA >Solyc05g050805.1.1 pep chromosome:SL3.0:5:61843171:61847345:1 gene:Solyc05g050805.1 transcript:Solyc05g050805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEWGARRSDNSKYYEVLGVSNNSSQNELKKAYRKSAIKNHPDKGGDPEKFKELAQAYEVLSDPEKRELYDQYGEDALKERMGGGSGGHNPFDIFESFFGGAFGVYPSSMWVSTEVNLVLLVYVSSPFLGKVQRVELLEDVMDVKVLECVLQQDR >Solyc09g015000.3.1.1 pep chromosome:SL3.0:9:7427724:7428194:1 gene:Solyc09g015000.3 transcript:Solyc09g015000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNIFGPFSLDLWDPFEGFPIANTPSSAREISAFANAKIDWKETPQAHVFKVDVPGIKKEEVKVEVEEGRILQISGERSREKEEKNDQWHRMERSSGKFKRRFRLPENAKTGEIKAEMENGVLTVTVPKEEEKKKSEVKAIDISG >Solyc09g097860.3.1 pep chromosome:SL3.0:9:72346537:72352027:1 gene:Solyc09g097860.3 transcript:Solyc09g097860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSVQPRSTILRENQEAMLSPNPSSARQKWLTPPPYRKNKPSKENAPPSDLNSSPAVTGMKIMKSPLPPRHPNSNPLKRKLSVESGCSEIGAVAAGSSDSGVKVIVRMRPPTKDEEEGEIVAQKVSNDSLSISGHSFTYDSIADVQSTQLDIFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLDENLASDQQGLTPRIFQRLFERIEEEQVKHSDKQLAYQCRCSFLEIYNEQITDLLDPSQRNLQLREDVRTGVYVENLTEECVSTMKDVTKLLMKGLSNRRTGATSINAESSRSHSVFTCVVESHCKSMADGLSRLKTSRINLVDLAGSERQKLTGAAGERLKEAGNINRSLSQLGNLGLGNITIALIHLGILFSFWKFLLSWNLINILAEVSQTGKHRHIPYRDSKLTFLLQESLGGNAKLAMICAISPAQSCKSETLSTLRFAQRAKAIKNKAVVNEEMQDDVNILREVIRQLKANT >Solyc12g042080.2.1 pep chromosome:SL3.0:12:58055522:58058378:-1 gene:Solyc12g042080.2 transcript:Solyc12g042080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYFKSIGLGFKTPREATEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVTIGQCRPLSKTVRFNVLKVIPAGSGGGGKKAFTGM >Solyc10g085220.2.1 pep chromosome:SL3.0:10:64612716:64616039:1 gene:Solyc10g085220.2 transcript:Solyc10g085220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENSRSKKTIPPYMKAISGSLGGIVEASCLQPIDVIKTRLQLDRAGAYKGIAHCGSTIVNNEGVRALWKGLTPFATHLTLKYALRMGSNAVFQTAFKDSETGKLSPQGRLMAGFGAGVLEALVIVTPFEVVKIRLQQQRGLSPELLRYKGPVHCARMIVREEGVLGLWAGASPTVMRNGTNQAAMFTAKNAFDTILWKKHEGDGKVLHPWQSMISGFLAGTAGPICTGPFDVVKTRLMAQSKSVGELKYRGMFHAIATIHAEEGLRALWKGLIPRLMRIPPGQAIMWAVADQITGFYERTYLTNAPL >Solyc09g065990.3.1 pep chromosome:SL3.0:9:64487183:64499290:1 gene:Solyc09g065990.3 transcript:Solyc09g065990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQLVKVKRDVIAACMTCPLCHNLFRDATTISECLHTFCRKCIYKKLSDEETEHCPICNIDLGCVPLEKLRPDHNLQDVRAKVFPYKRRKVNAPEIVTSVALPVRRKERSLSSLVVSTPRVSSQTGITGRRTKSVARKALCGSNFSIEKSLKNEDGSGEDQLDSSSSLETLNKFTQNMRLNSSSAEPSSHPTPDKETENGSQQWEGKVDLWKPLNCLVEAANRSKSSRFTSDGSTAKSEGRHSHDREGHVRKTKVKEHGQKSKIKDDNNSDPAPPEFDKPKKSRRIRQKKASFYGEFDISPQTFLDATTARCEKRIYPIWFSLVASEDQEGDAPLPQISASYLRIKDGNIPVCFIQKYLMRKLDLKSEDEVEIRCMGQSIVPSLPLNSLVDMWLQTTPSERIPAIIGSSAKDFVMGLAYARKSPGTAAS >Solyc04g077140.3.1 pep chromosome:SL3.0:4:62167129:62169349:1 gene:Solyc04g077140.3 transcript:Solyc04g077140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLSVCNLALKIPIASKPARSVVHPSSSPCFCKIKLKNFPLQTAVVPCILPESQFPDGQVQSHAASFHLNKSDLEKLVAKSLFGGRKLYLQISIYTGRRGSTCGVNSGRLLGKVDVPLDLAGTESRSVLFYNGWIVIGKEAKNSSAQFHLSVKAEPDPRFVFQFDGEPECSPQVFQIQGNIRQPVFTCKFSFRTPGDRNQRSRSLPLEHSSSRGWLSSFGSERERPGKERKGWSITVHDLSGSPVAAASMVTPFVASPGSDRVSRSNPGSWLVLRPGDGTWKPWGRLEAWRERGSADGLGYRFELIPDSAAAGIVLAESTLSCSKGGKFVIDLGTSVSTNGKSTPVNSTSPACSPRGSGDFGYGLWPYCMYRGFVMSANVEGEGGSKCSKPTVEVSVQHVNCTEDAAAFVALSAAIDLSIDACRLFSQKLRKELCPSQDLLS >Solyc08g081770.3.1 pep chromosome:SL3.0:8:64856179:64862409:-1 gene:Solyc08g081770.3 transcript:Solyc08g081770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLVLSCESSSFLFKPSFALSNFSPVNPSLTAISRFSRRHSLCKQHGWSEDFPKVVRTRNYKRCHMTRSAYCDEECSITNKTALSKRKNQSTSVLQVLDMPENNYLKSIVLSGLFTLLFTQQASAASEVATGLQSFPFFGDLGDLSTGFASAFLLIFFSELGDKTFFIAALLAARNSAVVTFLGTFGALGVMTIISVVLGRTFHYVDDVLPFRLGGNDLPVDDIAAVCLLVYFGVSTLLDASSSDGMKAEEEQKEAELAVSEFSGNGAGLLSAASTIVSTFALVFVAEWGDKSFFSTIALAAASSPLGVIGGALAGHGAATLLAVLGGSLLGTFLSEKVIAYIGGALFLVFAAVTVIEIVS >Solyc01g090180.3.1.1 pep chromosome:SL3.0:1:83681665:83682483:-1 gene:Solyc01g090180.3 transcript:Solyc01g090180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMAVPVNETFFISHGSPMLSIDDSLPARHFLKCFNQKVFTQKPNSILVISGHWETSEPTVNCITGLNDTIYDFYGFPEQMYQLKYQAPGAPKLAKRVKELLKSSGFNRVHEDNNRGLDHGAWVPLMLMYPGADIPVCQLSVQTKKDGTHHFNIGKALAPLKEEGVLIVGSGSATHNLRALRDTAGVASWAMDFDNWLKESLVNGRYEDVNNYMTKAPCAKIAHPWPDHLYPLHVAMGAAGENAKAELIHHSWSNHALSYASYKFESQLK >Solyc07g006320.3.1 pep chromosome:SL3.0:7:1142541:1146328:1 gene:Solyc07g006320.3 transcript:Solyc07g006320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSNPTISQAHPETMDFLSRTWCNFAVQAFQPEMQDQALILHETSIKSLSIDNKPPLPKMDKSMKMDDADNSIPPWKSNDVKSWIWMQQAMHPEVNYNSYFQKKWMPWNIGPLKNVSIKKWIKEIKQKRKEEKRLQKAEVHAAISVAGVAAALAAIAAENMNHDQSGCTKESAVASAAALVAAQCAQVAEAMGAKRDQISSVIGSAMTGTSASDILTLTAAATTSLRGAETLKARSGYKNILNGSTPVLPIEDSNDYNFNHEKCRSILSKGADLYIEKSEGRSKLRSVSIMLNSEAKVILRTRKPTMLKTFSSQAESVVLDLHAELYKDSNGAETDSCYLIVLTTNRGVIKLDMMDDYQRYKMWSMTINQMLTLSTSFTKYELQYYKS >Solyc09g090760.3.1 pep chromosome:SL3.0:9:70660104:70673082:1 gene:Solyc09g090760.3 transcript:Solyc09g090760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLLSKASLFFNPRVIFSSSTTMATSTSISVSSKPRLKGIVFDMDGTLTVPVIDFQAMYRAVLGHDEYLAVKSKNPSGIDILHHIESWSPDKQRRAYEVIADYEKQGLDRLQIMPGAAELCSFLDSRNIRRGLITRNVKDAVDLFHERFGALSAVIESHYLEIKDGVWIGKQFRENSLLVKFSPALSREYRPYKPDPAPLLHICSTWEVQSNEVMMIGDSLKDDVACGKRAGAFTCLLDERGRYDAPEYANVQHKPDYKVSSLVEQRDMVYPRKPPRSIPAYTNGEYELFLFTLGGETGDLQRLCIDLACIKNDTNVSLKRKSISPISSPSEPSPKRDTLNSPPSSAAIAAANNGTDPISPITSPSAKRPSNNNKTLRRTLSEPPIFNSFTDFCRYMNSQSPENSKNNPISVKSTLRRSTSDPTAAKIILAPAPAPATATPALTSGTGHEKTTRRSKQQNPSTNNTIDKQWKPKSHLIKILEDPQVEKVYSPKVKKKMVFEEKNGGLSQMRSQYMAILCGVGYWVQGFRCFPWLGLNFHMANGMNMHPSTLQLVQYFGILPMVAKPIFGILSDAVYIGAAHRIPYISLGVLLQVLAWGQLALTSAASEALPALMACVLLSNIGASITEVAKDALVAEYGQKNKMPGLQSYAFMASAAGGILGNLIGGYFLLKTQQPKLMFLAFSALLALQLVVTSATREESLGLAQSSNYSVVRKPITKIFRKQYSDLMVAATGESIARPLIWIVMSILAVPVLSGSIFCYQTQYLNLDPSVIGMSKVIGQLLLLSLTVFYDRYGKRIPMRKLIGIVQITYAASLLLDLVLVKQLNLKLGISNEWFALCFSGLAETIAIFKLLPFHVLFASLAPSGFEGSLMSFLASALCLSSVFSGVLGVSLATFLGLTPGNYSSLHIGILIQFVAALLPLRWLSYVPMAQPAAEKGKKRGQSKRTRKYRRVGRVVVDSFYSYRRKRESDFDEDPLLTK >Solyc06g073460.3.1 pep chromosome:SL3.0:6:45415875:45419704:-1 gene:Solyc06g073460.3 transcript:Solyc06g073460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTNLAALFFLVLALFLFYRYPSFSLMAEGSPKSIYDFTVKGNEVPLSNYRGKVLLIVNVASKCGLTDSNYKELNILYEKYKDQGFEILAFPCNQFLWQEPGTNEEIQQTVCTRFKAEFPVFEKIDVNGDNAAPLYKFLKSEKGGFLGSAVKWNFTKFLVDKEGKVVERYAPKTPPLQFEKDIKNLLGVA >Solyc09g065155.1.1 pep chromosome:SL3.0:9:63309034:63311119:-1 gene:Solyc09g065155.1 transcript:Solyc09g065155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLQTIYSSVRSPPCPLFIHPRRRQCFHFRSRRNCANSSVYSPVDNVKLVINNVNRPEQTPRTLFPGGFKRPEIKVPGLVLKVSCEDVLRDETVVNEIDQAISGRVDVVVLSGGGASGGKLYEAACLLKSIIKGMAYLLIDGRVDIAAAVNASGVLLSDQDLPAIVARNTMMDSKSEDLVVLPLVARIVQTPAAAVDASNSEGADFLIYEVGVNSKPEELVISVFERVKIPVFVMIGSLGDRKLFNEASNLLESGASGLVISMEDLRSVSDDDFGKLFYSPSALKKKSEEKSQSNSQLNSDLGNGFPGRKGVAGFIDLRDREEKLLENERLVLCDAINVIEKAAPMMEEISLLKDAVSQLDEPFLLVIVVIER >Solyc01g010900.3.1 pep chromosome:SL3.0:1:6296248:6301352:-1 gene:Solyc01g010900.3 transcript:Solyc01g010900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQFSLLNTCHNFQYYFLILKHNKMGLLTLLACLVLSWKFINTYRQKNKKGPINWPIVGAGLEQWVNFDRMHDWLVEYLAQSPTVVVPAMTTTYTYIAHPTNVEHVLKTNFDNYPKGEVYHSYMEVLLGDGIFNVDGELWRKQRKTASFEFASKNLRDFNTVVFRDYSLKLFNILNQASLKNEHVDMQDLLMRMTLDSICKVGFGVEIGTLNPNLPENSFAKAFDAANVIVTLRFIDPLWKIKQFLNIGSEAILDQSIKKIDDFTYSVIRKRKAELETNDKINKHDILSRFIELGKDPENNITDKSLRDIVLNFVIAGRDTTATTLSWAIYMIMTHEHVAEKLYAELKSLEQVRAKEENVTLHQYDTEDHVSADSRAIQFAGLLNYDSLSKLYYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTKLKAGGMVTYVPYSMGRMEYNWGSDAASFNPERWLKDGVFQNASPFKFTAFQGGPRICLGKDSAYLQMKMALAILCRFYKFKLVPGHLVKYRMMTILSMEHGLKLTVSLRS >Solyc01g016435.1.1 pep chromosome:SL3.0:1:19659965:19665105:-1 gene:Solyc01g016435.1 transcript:Solyc01g016435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMHDEDEWFKYKKIFIDKTIRERYQEKWICGLCAEVVKDEMMRCERLINAEEALTRHLHLYKKLSSSTPHPDPTIHLITAMRQLLRRSYGDRILIFCGLYAKIYFKN >Solyc04g072450.3.1 pep chromosome:SL3.0:4:59543736:59546641:-1 gene:Solyc04g072450.3 transcript:Solyc04g072450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMLNNTVLTCASTLLPSTQDGVPLLAIETKVEGDQNTNDEQTKVVDADEEGGDGDDNDENGNEGFEEGEEEYSDEGVHGNKSKGNAKKSNGEGEENGDAEDEEDVGEEGHDDDGDDDDDGDDDNDDDEEAEEGEGEEEVVEDDDPEDDDEEDEEEEAIQPPKKRKK >Solyc02g080580.1.1.1 pep chromosome:SL3.0:2:45334423:45334890:1 gene:Solyc02g080580.1 transcript:Solyc02g080580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFNSNRNRGKTEKDGDFEEEDVWGYVNERDSSTVILPRGKSTSHKSSSSSSPVKIPEWFKNSNNKKSRRRVSTIITEDDSSFSSVTYPGNVVVEEEEDDYGDGIVPPHEYIARRVARNQIASFSMMEGVGRTLKGRDLSKLRNAILTKTGFLE >Solyc01g097750.1.1 pep chromosome:SL3.0:1:88345275:88346974:-1 gene:Solyc01g097750.1 transcript:Solyc01g097750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYAILCCFCRKKKKSHPVIWSVTGGSPATPPPPKPLSTNRDVEKGEIKPKNNSAMRDGGMVILGAAAATLVSAVVIDSAYNGGGTSGGCGSGGNADGDGCGGGGCGGGGGGCGGGGCGGGCGAIVCGSVSAVAMVWYFGGRKQNISRNNVHVPDPDPPDVERAVVTSTSARRDWGIRGWGGGGMSSWGGGAIGVGARI >Solyc04g072280.3.1 pep chromosome:SL3.0:4:59397525:59401660:1 gene:Solyc04g072280.3 transcript:Solyc04g072280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4BTL5] MRLKVKIFIQQILVFLFLLGLLPAHAFVHRHRFVIKEAPYSKLCSSKNILTVNGQFPGPVIYANTGDTLVVNVQNDGTQNITIHWHGVKQPRYPWSDGPEFITQCPIRPGTNFSQRINLSDEEGTLWWHAHSDWSRATVNGALIIRPANKTNYPFPRPTAEIPIILGEWWKSDVQAVVNEFLTSGADANKSDAFLINGQPGDLYPCSTNDTFKLTVEKNKTYLLRIVNAVMNNLMFFSVANHQLTVVGTDGAYVKPFKSNYITISPGETIDVLLEANQNPNHYYMGAKAYNSVVGVDFNNITTTAIVQYRGNYSTSSPPILPDLPNFDDTNASFNFTRSLKSLADKGHPIDVPLNVTTNLLFTFSVNTLPCEDESCSGPNGDRFAASVNNISFVLPRIDILEAYYKNIQGVYRDEFPSFPPLNFNFTGDSLPVELQRPDRRTEVHVLEYGTHVEIVLQGTSLLGGVDHPIHLHGYSFYVVGSGFGNFDKDKDPLNYNLVDPPLQNTIAVVRNGWAAIRFKADNPGVWFMHCHLERHVSWGMEMAFIVKDGKGSEEKLLPPPPDMPQC >Solyc01g008640.3.1 pep chromosome:SL3.0:1:2641328:2643413:1 gene:Solyc01g008640.3 transcript:Solyc01g008640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFNIFSLFIFVSFLFLLIKYWKNSNDQIRKKLPPGPWKLPLLGNIFHLLGGPPHRVLRDLAKKYGSIMHLQLGEVSLVVVTSPDMAKQVLKTHDIAFASRPMLIAAEIVCYNGSDIVFSPYGDYWRQMRKICIMELLSAKNVKSFSSIRQDEVLRMIEFFRSSSGETVNATRRIFQFASSMTCRSAFGKVFKEQDELIQLVKKVSRLTEGFDVADIFPSLKFLHVLCGMKSKIMDVHHELDAILENIINEHKNNGELGGEGLVSTLLRLMKEGGLQFPITNDNIKAIIFDIFAAGTETSSATIDWIMVEMMRNPNVLSKAQEEVRNAFKGKETFDENDVEELKYLKLVVKESFRLHPPFPLLLPRECREEVEINGYTIPLKTKVMVNAWAIGRDPKYWDDAESFKPERFEDNSMDFIGNNFEYLPFGSGRRNCPGISFGLANVYFPLAQLLYHFDWKLPNGINSSELDLTESAGSTCARKNNLYLIATPYQ >Solyc11g062260.2.1 pep chromosome:SL3.0:11:49447104:49457088:-1 gene:Solyc11g062260.2 transcript:Solyc11g062260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWNQQTEIYYTNGAMPYNSIGSFMDFFGGVTYDHVNYIFADPPYAQESLYPSISTNPYKFGYSEAGSFSYYDYDREYVVNDHVSGIEEHDRHLENPSTTTVNVAANVHREEISGSNSLTNSVECPRGQINTRDSEVVWQDNIDPDNMTYEELLELGEAVGTQSRGLSQNQISLLPVTKFKCGFFSRKKSRIIGV >Solyc01g009565.1.1 pep chromosome:SL3.0:1:3755515:3756183:1 gene:Solyc01g009565.1 transcript:Solyc01g009565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNLIRKHWTQYFKTSQKRSYGMRVEIVVKCLTFSLTSKIFDNMNYAGPLPSSICNMSWLGFLDLSHNNFSNSISSCLRSMASLRVLDLRRNNFTESLSPLCVLSTDFLEW >Solyc01g103720.3.1 pep chromosome:SL3.0:1:92147970:92162048:-1 gene:Solyc01g103720.3 transcript:Solyc01g103720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIQTFFLQGSVSTLLSSQSSVTSCRKVSVKFTVSCCSATSPLAVVNGNVEKRPSDRTEVRIGLPSKGRMAADSLDLLKDCQLSVRQVNPRQYVAEIPQIPNLEVWFQRPKDIVRKLVAGDLDLGIVGLDTVCEYGQGDEDLIIVHDALEYGDCRLSLAIPKYGIFENVNSMKELAEMSQWTPERPLRVATGFTYMGPKFMKENGLKHVTFSTADGALEAAPAMGIADAIVDLVSSGTTLRENNLKEIEGGVIVQSQAVLVAGRKSLMQRKGALDITHEMLERLEAHLRAVTANMRGSSAEEVAERILSQTSLSGLQTFLWLNNSSGGTGFYTYTVHYPAIDLITISRDQWLGQEWGPTISPVFCKHDGRVTANYFAIVICVPKKALYKSVQQLRADFSDDGSLGESGSEDSHLSGEEDVFVSSDNEDSFDAKSDDVSGNGASGSGRDDTGHGKDDDAESDDSRTVVEESDSSEDEVDPRNTVGDVPLKWYKEEEHIGYDLAGKKIKKKERQDKLDSFLASVDDSKNWRKIYDEYNDEEVELTKEEVKVIKRLLKGKAPHADFDPYAPYVDWFSWDDSKHPLSSAPEPKRRFIPSKWESKKVVQYVRAIRKGLIKFDEKPKEEPSAYLLWGDDSSAIDRQGLAYIPAPKPKLPGHEESYNPSLEYIPTQEEINSYQLMFEEDRPKFIPKRFTSLRNVPAYEKAVNENFGRCLDLYLCPRARKKRINIDPESLKPKLPSRKDLRPYPTSCYLEYKGHKGPVVSISTESTGQWIASGSSDGTVRIWEVETGRCVRIWELGESVSHVSWNPLPERPILAACMGEDVYLLNTGLGNAEEQKSLEELLHVETPSTPDDSDNDKIAVNWVQDDKNGGIRLKHFKTQRIPFKLHGLPVSTAFHPSRSAFFISTKKNIRVYDLLKQKLIKKLETGVREVSSIAVHPGGDNLIVGSKDGKLCWFDMDLSSQPYRVLNCHPKDINRVAFHRSYPLFASSSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHASENGRGVMDCKFHPRQPWLFTAGADSVIKLYCH >Solyc04g077555.1.1 pep chromosome:SL3.0:4:62598770:62602361:-1 gene:Solyc04g077555.1 transcript:Solyc04g077555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDPVHYHFPVLEDFFHSSNDFNDLFSLLETEIPVQSTSGSETNRLIFSLEERKRRRKISNRESARRSRLRKKNHIEKITEQVNRLKAENRDLKNQLWLAAHHCQAAQSETDRLRLESFFDMNPWIMPVRVNIPLVHDYALTHS >Solyc08g076960.1.1.1 pep chromosome:SL3.0:8:61022667:61023362:-1 gene:Solyc08g076960.1 transcript:Solyc08g076960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKPETSLDNPVHQRSEPGSETGSSLSTITTHHLTVPPGLTPEEFQELSSSIAEFHSYRINPGQCSSLLAQRIHAPVETVWTVVRRFDKPQTYKHFIKSCSVGEDFRMTVGSTRDVTVISGLPAATSTERLDILDDDRHVTGFSIIGGEHRLRNYRSVTTVHGFERDGEIWTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVTETLAREAGNGSVNSRDASHR >Solyc12g038600.2.1 pep chromosome:SL3.0:12:51544272:51549113:1 gene:Solyc12g038600.2 transcript:Solyc12g038600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVVVRDETRSIFTFGLSFSSKDLLVLEHGGVCYSRPYSQKIGTSDALGNFPTFLSMIGRYFGPEFLLKLYLSGAVAGSVFYLLYHAFIVPSLQTQRGQLLSMHPSQTLGLGASGAVNAVMLLDIFLFPTKIVYFNLVIPVPAILLGIFMVGKDVLRIYEGDTLVSGSAHLGGAAMAAITWARVRRGRF >Solyc11g063690.2.1 pep chromosome:SL3.0:11:50033199:50034373:1 gene:Solyc11g063690.2 transcript:Solyc11g063690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKVIFIMGATGTGKSREIEPDSDFTAEDFCLQAVIYIEKILKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKAGLVDEVRHIFIPDADYTKGIRRSIGVPEMDRYLREETNIDGDDESKQMILQDSISSIKRNTRMLICNQLAKIQRLISEKMWSVHHIIATDVFKEDREEDLDEAWTNTVLQPCLDIVKIFLKNDYHNIIIDCT >Solyc09g082505.1.1 pep chromosome:SL3.0:9:68646637:68648720:1 gene:Solyc09g082505.1 transcript:Solyc09g082505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSIEPSESFKSYELKYTLSGHKLAISSVKFSPTMANSYCECFAAGVYCVEPCACQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRNADTISETGDDSSKTPASARHKRGCNCKKSGCLKKYCECYQGGVGCSINCRCEGCKNAFGRKDGSVFIGTDGDAEEEETDAYEKSTVDRASHKNLLHSDVELNPDSTVPATPLAFRRPPMQLPFSLKNKPPRSSFLSIGSSSGIFAGQVKEDEMPEILQQGGTSSPISGIKTASPNRKRVSPPHCDFANSPSRRSSRKLILQSIPSFPSLTPNP >Solyc02g021640.3.1 pep chromosome:SL3.0:2:23816534:23820427:-1 gene:Solyc02g021640.3 transcript:Solyc02g021640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMKLGSKTDAFQRKGQAWFCTTGLPSDIVVEVGEMTFHLHKFPLLSRSGVMEKRIAEASEGEDGCVIELNDIPGGAKTFELVAKFCYGVKLELTAGNAVYLRCAAEHLDMTEEYGEGNLISQTEIFLNQVVLRSWKDSLKALQTCDDVLPYAEELRITKRCIDSLAVKACTDPNLFGWPVMEHVGPLQSPGGSILWNGISTGARPKHSSSDWWYEDASTLSLPLYKRLISAMESQGVKQDIVAGSLSYYAKKYLPGLNRRQTSSESTNRLAPVGLGSSLSEEDQKLLLEEVDNLLPMQKGLVPTKFLFGLLKTALILRACPSCISNLEKRIGMQLDQATLEDLLMPNFSYSMETLYNVDCVQRILEHFLAMDQGTGGESPCSIDDEQLIGSPSLTPITMVAKLIDGYLAEVAPDVNLKLPKFQTLAASVPEYARPLDDGLYRAIDIYLKSHPWLGESDREQLCRLMDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSENLDEGSRQLRSGTVGPNEGGWATAVRENQVLKVGMDSMRVRVSELEKECSTMRQEIEKLGRSKGSSTWGNVSKKFGFKMKSQMCSAQEGSVSNQNKINSKAIKDDKAKENYRKH >Solyc05g045650.3.1 pep chromosome:SL3.0:5:58235406:58240241:1 gene:Solyc05g045650.3 transcript:Solyc05g045650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEVVVAVGPQSSGIAHVISHVVNELRVPLLSFATDPTLSSLQYQYFLRTVTSDYFQMYAIADLVDYYGWKEVIAIFVDDDNGRNGISVLGDALAKKRAKISYKAAFSPGATMSDIDDLLVSVNLMEARVYIVHVNPDTGLSFFSKAKKLGMMSSGYVWIATDWLPSVLDSSDFNKDTMDVLQGVVALRHHTPDSDKKKTFTFRWKNLKSIKTSRFNSYALYAYDTVWLVARALDLFFKNGGNVTFSNDPSLRDTNGSSLKLSSLRVFDQGQKLLQILVGMNFTGLTGQIQFDPQKNLIHPAYDVLNVVGTGLRTIGYWSNYSGLSVTTPEVLYTKPANTSTSNQKLYNAIWPGETIRRPRGWVFPNNGKPLRIAVPFRVTFEEFVKKDKGPAGVKGYCIDVFEAAIDLLAYPVPHVYILYGDGKRNPSFNSIVNDVAQNKYDAAVGDIAITTNRTRIVDFTQPYMESGLVVVAPVKETKSSPWAFLKPFTIQMWGVTGVFFLFVGCVVWILEHRMNPEFRGPPRKQLITVFWFSFSTMFFAHRENTLSTLGRCVLIFWLFVVLIINSSYTASLTSILTVQKLSSGVAGIDSLISSPDPIGVQDGSFAYNYLIDELHVPKSRLRIIKSEAEYVSALQNGPKGGGVAAIVDELPYVELFLSNSKCIFRTVGQEFTKSGWGFAFQRDSPLAIDLSTAILQLSENGELQRIHDKWLSNNECSSQNNQVDDTRLSLSSFWGLYVICGGACAVALVVFICRVYCQFLRYDPETEEPEISEPESARSSRRSLRSRSFKDLMGFVDKREAEIKEMLKRKNSDNKKQISHISDVQQNSPV >Solyc03g117510.3.1 pep chromosome:SL3.0:3:68133508:68138845:1 gene:Solyc03g117510.3 transcript:Solyc03g117510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELPEVEAARRAIEDNCIGKKIVKAIIADDSKVIDGVSPVDLKASLEGKTIVAANRKGKNMWLELDSPPFPTFQFGMAGAIYIKGVAVTKYKRSAVKDDDEWPSKYSKVFLELDDGLELSFTDKRRFARVRSLENPVSVPPISELGPDALLEPMTVDEFYKALSKKKIGIKALLLDQSFISGIGNWIADEVLYQARIHPMQSASSISKEDCATLLKCINEVIKKAVEVEADSSQYPSNWISHSREKKPGKAFVDGKKIEFITAGGRTSAFVPELQQNTGAESAKAAGKRQQVKVQKIKHNDSDSQDEEPEIEETAAGKSKVKQRGANTKRASTNKKSKESNSDDENDSDEAPKKSGKAKQNKSSKGKGGDKKKKTRGTNTAAKRKLEESDDEEDTDDNDASGDDDDSEQDKDQNLSSKTQSKGKKTTKQMAEPRQTRNKPSKKQK >Solyc01g088450.2.1 pep chromosome:SL3.0:1:83125933:83126856:1 gene:Solyc01g088450.2 transcript:Solyc01g088450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQFEVLLAATTTDLLICGIKFRWFCRPDNHLPPPFTDEARYCAVCLYDVISGENCRKLPKCGHVFHVECVDAWLQSNRTCPLCRRQVTDQGRGETVISRAEDFILEKIYNPITEIVTVLVSGVNWMEEMFHFSILV >Solyc02g063400.2.1 pep chromosome:SL3.0:2:36034127:36035305:-1 gene:Solyc02g063400.2 transcript:Solyc02g063400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNNLLLFCFLFSLCFLSSYARTNCSYFHFHGKSYNSCSHLHTLNSFIHWNYDMTSRTVDIAFSKSQSQHGRWLAWAINPTSTGMIGSQAFVALQRSDGTLEAYTSPIDTYGTTLVKGDLSFTVHNVSAQYTDGQVIIFARFELPMNGTFGTLNFHSGKTVAMSSPNVKLNSRSKIKIAHGVINAVSWGMMMPLGVVLARLRYLRLQEYPALWFNLHIYCQSIAYFLGIAGGGLGFYLGRQSSSVKQHSCHRYIGAALLVLATLQVLAHRLRPSKEHKYRVYWNIYHWCTGYGTIIMGILNCFKGFQMMDVGIWKNAYIAFLASLAFVAAVLEVCRCYLNANKGTATPEGVSANSIEDKA >Solyc10g045730.1.1 pep chromosome:SL3.0:10:35846635:35847071:-1 gene:Solyc10g045730.1 transcript:Solyc10g045730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAPTWNFNFREVLRTMILSVFWIPTLVFCLIVVHAKNTTHSILFLIPVFCNTSGLILLLCLDFFALIFPVVSFLFGVMMFHIQIANIHKEVLHYLPIILLPTQRNTTSLRYTVYDRKVEVA >Solyc01g057355.1.1 pep chromosome:SL3.0:1:60762478:60763174:-1 gene:Solyc01g057355.1 transcript:Solyc01g057355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNNVDESQNLSLENSNESDSLSRIFMAKPNELVPPKWAGTKLLSEEGRIYQKIKDGLPPIMTNRVTITAIHLCKRRVPVEESRFEVYLENVKKVTRARGRRVDSSGWYGTSAKNVDSLMRRGFEMNSIMPASYPHGVGNSEKVKLGSKQLFPSSADFDTGVDDLTNPK >Solyc01g106010.3.1 pep chromosome:SL3.0:1:93889772:93891901:-1 gene:Solyc01g106010.3 transcript:Solyc01g106010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTISSLNFPPRTKLSSPNPAIFPQNYNFVPFCTENMASSPFSGGLINSRVDSPIAIGCKNASVDSANSGFSTLMEYMGKGGVDVGDDLVLLFGHLEYASKKIAALVACPFNSSLNNNVAIGNTGTSSDRDKPKPLDIVSNEIILSSLRNSGKVAVMASEEDECPVWITDDGPFVVVMDPLDGSRNIDASIPTGTIFGIYRRLVEIDHLPVEEKALLNCLQSGSKLVAAAYVLYSSATILCASFGSGTHAFTLDHTTGDFLLTHPNINIPPRGQIYSVNDARYFDWPEGLRQYIDTIRQGKGQHPKKYSARYICSLVADFHRTLLYGGVAMNPRNHLRLVYEANPLSFLAEKAGGRGSDGKNRILSIQPVKLHQRLPLFLGSLEDIEELQSYGDVQQKVNPGYDV >Solyc05g051900.3.1 pep chromosome:SL3.0:5:63145519:63148548:-1 gene:Solyc05g051900.3 transcript:Solyc05g051900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKNLRSNMLTLVLVNIAGIMEKADETLLPGVYKEVGEDLHTDPTGLGSLTLFRSLVQCLCYPLAAYLSRHNRAHVIALGAFLWSAATFLVAVSANFTQIAISRGLNGIGLAIVTPAIQSLVADSTNDSNRGTAFGWLQLTTNFGSTLGGLISVLLAQTSFMGIPGWRISFHLVGMISVAVGISVSFLANDPRFVDSDGNAKDQPPPLPFQEEVRQLLKEAKEVMKVPSFQLLIAQGISGSFPWSALSFAPMWLELIGFSHKSTALLWTLFNVAQSLGALFGGTMGDVLAKHLPNSGRIILAQISSGSAVPLAAILLLLLPYDPSTTLIHGLVMFIMGLCVSWNAPATNNPIFAEIVPERARTSIYALDRSFESIISSFAPPLVGILAQHVFGFKPIPEGSTGSEEIKTDRQNAASLAKALYTAIGIPIAICCFFYSFLYCTYPRDRDRARLQQTEETGNASSEERQALLENDDERLLLVS >Solyc08g068660.1.1.1 pep chromosome:SL3.0:8:57848561:57848857:-1 gene:Solyc08g068660.1 transcript:Solyc08g068660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPLNLDTILVNYMNRLTQQVNYHLGYPVNICYDHYASLAPLLQFHLNNYGDPVLQNNVSFHSKYFQVAVLDWFSQLWDIEILSSGCFGLVFTTLGY >Solyc06g065590.3.1 pep chromosome:SL3.0:6:41093460:41096078:-1 gene:Solyc06g065590.3 transcript:Solyc06g065590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFKRCFFSLQFHQYQVVGRALPSETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKYPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRHHCIQIIKTATIPAKLCKRESTKQFHDSKIKFPLVFKKVRPPSRKLKTTYKATKPNLFM >Solyc03g118960.2.1 pep chromosome:SL3.0:3:69194112:69195029:-1 gene:Solyc03g118960.2 transcript:Solyc03g118960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEYGNSSPLTLNLLQFSLQLISIMFVGHLGEFPLSGASMANSFTSVTGISLLMVLILMKKFSSLFPNRWGCQSALDTFCGQSYGAKQYHMLGIHMQRAMIILSLVCVPLPSISDEAGHYALYLIPGVFRLRLFPMCCEIITNTKYCHPYGVCAGVTTLIHVVVCWILVFKTGLGVKGAALANSISYWLNFLFLALYIKFSPTCAKTWTGLSKEALKDMFAFVRLAIPSAIMVWSVYIS >Solyc04g081650.3.1 pep chromosome:SL3.0:4:65693089:65694441:-1 gene:Solyc04g081650.3 transcript:Solyc04g081650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLKAAQSDNEVELVSFFLIELCLVEYEMLRFPPSMLAAAAIFTAQCTLGVSKEWNKTCEKHSSYVKDQLLECSKLMVSFHQKAAIGKLSGVHRKYRTSKYGYAIRCEPASFLLEAWF >Solyc10g050113.1.1 pep chromosome:SL3.0:10:47778893:47780472:-1 gene:Solyc10g050113.1 transcript:Solyc10g050113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGLIYEVRYKKGAENRVADALSRRDERLQESEKSSAGLLMSVSMIMPMWIQEIMHNYMENPCVQELLTLISVDVHEPSLWHNVNRDTPLGGLSGQLGTFKRLTQYFYWPRMRAMVNEYVAQCESDGQTETVNRCLENYLRFMTTSRPTQWKKWLPAAEWWYNTNFHTDRVVIQSDLSYTNDDGQFLVKPVAILQWQMVKRNNVAVVQVLAQWSNIPKEDATWEDYDFLKAKFPGFDSNP >Solyc06g073550.3.1 pep chromosome:SL3.0:6:45483458:45496138:1 gene:Solyc06g073550.3 transcript:Solyc06g073550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENESTGDDQKEVIEPPKSPWKTPAPAPVPADKGSASSGDDDSDSWPALADAQQMIKSGDSSSAAKLPSLPPQQEIGSRNVASDKVRGEQLKFHGSSSAKSSNKSSSAVQQRPVHRHNQNGVPSFPVPLAYHQSGFPPFYQSMVPMPHIPLPGYAYQPPRGSFSGAEGHVARSDGDAASQAFVPPINGGFRPPSRGDPNDHDAKFYRGRPNTQERGSQFSSSLSNQRSVGSKDDIQLQQSMGLRPFLRPPYFGPAPGYMDGANFPGHPGAIYFLPSPTPVRVPYPPFFVPHSVSSGASTPPSPALALRESILKQIEYYFSDQNLQNDRFLLTLMDDQGWVPISSIAEFKRVKKMSTDIAFIIDALQASSTVEVKGDKLRRRDEWSKWVSASADQKSSPLTPVEHSVGKVIKKDEVNENKEDGFQVRFSQENRVGELASLEKHAKKVSVFSKAETSRKKSGFRGPTHRVDKGSGDARMVMASNVVEENVDDLSNDFSSTFMLDEEMELENKKDQSSLSGRVEEEDDEMYVNDEAIEKLVIVTRNTRASQVSGTVGKESKPISTELASAINDGLYFYEQELKATRSSHRSNNSNNDPRDDISRSFGTGAALSKSKYADHSSGGKNTEGPGSSNSRRKQNKGFAKPHPIHKQRLFSGNYRNHGVSRNSVGAISESPPSDSVGFFFGSTPPDSHVSRPSKLSASPHSNLASNSPPVGSMPKPFPPFQHPSHKLLQENGFTQQLYKKYHKRCLTDRKKLGIGCSEEMNTLYRFWSYFLRNMFIRSMYNEFQKMAQEDAAANYNYGMECLFRFYSYGLEKEFREDLYDDFERLTLDTYNRGNLYGLEKYWAFHHFRQQRGQRAPLKKLPELDRLLREEFRNLDDFKHARGGASASTKEDGH >Solyc02g085650.3.1.1 pep chromosome:SL3.0:2:49121157:49122374:1 gene:Solyc02g085650.3 transcript:Solyc02g085650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIALRQARHLTTTAAAVAAASSPSTITISISKAKSKLKAEHDPDKALEIYSSVSDRYVSPLSSRYAQEFTVKRLAKSHRFSDIENFLESHKNSPKITQEPFLSSIIRSYGVAGMFDHALKIYHQMDDLGTPRSAISFNVLLSACVRSKLYDRVPQLFDEIPVKYGFLPDKVSYGILIRSYCETGSPEMAMEILKVMEEKSVEITTVTFTTILHSFYKKGKSDEAEKVWNEMVNRGCGPDVGAYNVKIMNIQGGDLEGVKALIEEMNDAGLKPDTISYNYLMTCYCKNELMDEAQMVYDDLEKNGCNPNAATFRTLIFYLCKKGRYETGYKVFKESVKVQKIPDFDTLTYLVEGLVKKSKLKDAKGMSRTVKKKFPPNLVKAWTKLEKELGLAKAEAPDNRVQ >Solyc01g008130.3.1 pep chromosome:SL3.0:1:2208897:2218498:1 gene:Solyc01g008130.3 transcript:Solyc01g008130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMDSINLCKTDEADAEAEAEAEEGMISDENGKEKGNLNSVDSVNILNSDIHAGNEGGGAEDINSAVSGIIDDSVKENSNLLGVIKPHSLLPQPEVPAGVAIIVSPGEMNRSQSMPESFDMPAIGKFFREKSNSLSSAITKRLSSMRDQFDDEKVVIKSESQQVTEFDLSGMKVIVNLKPPVKKLPGRVSFFSRSNCRDCTAVRSFLHKENLNYVEINVDVYPKREKELIERTGSANVPQIFLNDKLLGGLVALNSLRNSGMLEKKFAEMLAGKCPEDAPAPPVYGFDDDYEEQNDEMVDIVRVLRQKLPIQDRIMRMKIVKNCFSGGELVEVLIHHLDCGRKKAVEIGKNLARRHFIHQVFGENEFEDGKHFYRFLEHEPFVPKCYNFRGSTNDNEPKDVAVLGQRLGKIMSALLESYASDDRHHIDYIGISNSEEFRRYTNLIQDLHRVNLLNLSADEKLAFFLNLYNAMAIHAVIRIGHPGGMIDRRAFFSEFQYMVGGYSYSLSGLKDGILRSNRRAPFTLMRPFPSGDRRLEMAFQKVNPLIHFGLCNATRSSPAVRFFTPQNVESELRYAAREYFQRDDAMQVDLSKRTVYLNRMIKWYNTDFGQEKEILKWITGYLDATKAGLLTHLMGDCGPVNVVYSSFDWSPNA >Solyc07g009310.3.1 pep chromosome:SL3.0:7:4358829:4360135:-1 gene:Solyc07g009310.3 transcript:Solyc07g009310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHTNHFKENKKIINYIKTIGYMAKSTIFLVLLLCFILISSNEMQAVEGKLCYWRSHEYRTKFCLFSEICNKKCKIEDSRVTKGECVRKGFFRYCFCYRKC >Solyc03g043820.1.1 pep chromosome:SL3.0:3:7511152:7512029:-1 gene:Solyc03g043820.1 transcript:Solyc03g043820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRIKSDEPRLSLSLKTPFESVNKIPSLEEALNSELEHGQVDPNMNMKKLRRTISNRLSAQRSRMRKTQYIDLLKKEAKDLEEMIAFFGRKIENDKDNNKKLQLETSLTNLIYSQCKMKSWKLS >Solyc06g007900.1.1.1 pep chromosome:SL3.0:6:1790223:1790378:-1 gene:Solyc06g007900.1 transcript:Solyc06g007900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSNLNYWAIPKDFTLHVPSKYVLYILIECHYMLICFLIKKLKRISMICT >Solyc01g067560.3.1 pep chromosome:SL3.0:1:76161995:76182287:-1 gene:Solyc01g067560.3 transcript:Solyc01g067560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIKNRGLKYYINFFSRLLPPKYFHLFLPILSTANFCNFSPALQNSPNSPICIEAHGSEFGLRSSNSFRRFFKIFGMAPAKKVRSLSKRQSLTTDISPSKDGNGDNAKKSLQRKKKLSDMLGPQWSEEDLTRFYQAYRKYGKDWKKVSSAVKFRSAEMVEALYTMNRAYLSLPEGTASVAGLIAMMTDHYCNLAASDSEQESNEDAGTSRKFQNRARVKVLPDTSKASEMTSSALAASHGCLTLLKKKRSGGSRPRAVGKRTPRFPVSFSYENPKGEKYFSPSRQSLKLQADDSDDDVKIALVLTEASQRGGSPQVSKTPNRRTDGAMTSPIGTAERKRVKMDMGNVKLLSNEVDGEEGSMEADTGELMRYKNELGESGTVDRTTQKRKRPYGRKLEVDDSGDNHFDDIREACSGTEEGQKLGAARGQLEMEATNEKNSRKRSKKVLFGRDESSAFDALQTLADLSLMMPTAENEDESMIQVKDEIDDHVDESGSLEAIPAHRQRDKRGSMGVKSRWSQPLSKFEVASSTVSKHGRVTPTDANTGPEAKQARKAQKAMSSKARKAEGHLNYDVTESQEAAAKEASKKSTNKGKRSYQVSPKFIKDQEHSSCADPRTERSDSAQSTAQIPVENQVNLPTKVRSRRKMDLKKPLRQKDSKMSDKGLDDTSASFTALCDKAFSLKKKISSCLSNHQVRRWCTYEWFYSAIDYPWFAKREFVEYLYHVGLGHVPRLTRVEWGVIRSSLGKPRRFSEQFLNEEKEKLNQYRESVRSHYTELREGTREGLPTDLARPLSVGQRVIAIHPKTREIHDGNVLTVDHSRCRVQFDRPELGVEFVMDIDCMPLNPFENMPTLLTRHADAVDKFFESSNELKINARANEFMQFPAGDSQENGDISSHFSPPNHPISNLLKQTKVVSAEADMQSKSGVMETTAFQQIAYSKPSAVALIQAKEADVQALAELTRALDKKDAVVSELRRMNDDVLENQKSGDCSLKDSEPFKKQYAAVLIQLNEVNEQVSSALFRLRQRNTYHGSIPLAWPRPVPNFADPSIASTFDRCTNQPQESGFLVNEIIENSKIKSRTMVDAAVQAMLSFTGRDNTTEKIEEAIDYVNDRILLDDSCVPTPPDLKSKNMSDRNEAEIPSELITKCVSTLLMIQKCTERQFPPADVAKVLDSAVASLQPCCSQNFPLYAEIQKCMRIIKNQILALVPT >Solyc02g084930.3.1 pep chromosome:SL3.0:2:48615653:48619601:1 gene:Solyc02g084930.3 transcript:Solyc02g084930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTMSMEDILLLLSKYYDILLVSILVISITALYLSKGAKNAKSCIPGSLGIPFVGETFALLSATNSVKGCYEFVRLRRERHGKWFKTRIFGKIHVFVPSVEGAKAIFTNDFALFNKGYVKSMADAVGKKSLLCVPQESHKRIRRLLSDPFSMNSLSKFVQRFDEMLYERLKKVQKQRKSFTVLDFNMKTTFDAMCDMLMSIKDSSVVEQIEKDCTAISDAMLSFPVMIPGTRYFKGIKARGRLMETFKGMIAARRNGKEYYEDFLQSMLEKDSCPANQKLDDEEIMDNLLTLIIAGQTTTAAAMMWSVKFLDEHREAQNRLREEQLSILRSKPTGALLTMDDLNSMSYASKVVKETLRMSNVLLWFPRVALNDCSIEGFEIKKGWHANIDATCIHYDPTLYKDPMQFNPSRFDEIQKPYSYIPFGSGPRTCLGINMAKVTMLVFLHRLTTGYKWTVDDPDRSLERKAHIPRLRSGCPITLTALPDE >Solyc11g039815.1.1 pep chromosome:SL3.0:11:42312503:42312840:-1 gene:Solyc11g039815.1 transcript:Solyc11g039815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFIISHPLSVPFMSINFFKNQTPFSIIMGLEEKGCVLYGKYELRRFLVQGSFSKVYHDLNFVTGENIAMKVL >Solyc01g107800.3.1 pep chromosome:SL3.0:1:95112132:95113206:-1 gene:Solyc01g107800.3 transcript:Solyc01g107800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANNAKVIVFHPSLHKQGSGTITASPRIWFLVFLSFFTFAFALTFFTAKDAIPTSKTGTDTAAGSSPLPAAVFNTLLYYASLNSSTVSSRMSAVELKSIATVLRRCKAPCNFLVFGLTHETLLWHSLNHKGRTVFVDESAYLVSKLEEKHPEIEAYDVQFTTKVSELHDLLDYTKEQLKGECRPVQNLLFSDCKLGINDLPNHIYDVPWDVILIDGPRGFSSAAPGRMSAIYTAGVFARSKRGNSGKTHVFVHEIDRNVEKICSEEFLCSENLVETKELLGHFVVEKMEANRFEFCSVFDPSSSPSE >Solyc08g082460.3.1 pep chromosome:SL3.0:8:65381117:65384185:-1 gene:Solyc08g082460.3 transcript:Solyc08g082460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPTDIVGTQLVFFGDHGGYGLEELLRSTAGVLDKGVFGTSYKSELPEKNAVAVKRLKVGCLAEEEFIEKIGEVGMMVHENLLTLRAYCWHQNEILLVYDYVRMGSLAFRLHGNEGRSKASLTWEVRSSIAYGVARAIEFLHSRGSDFCHGNIRSSNVFLTDSLSGVRLSEFSIARILSSDTKLELVAGYRAPEVINAHEVSQKSDVYSFGVLLLELLTGKAPLDAFTKNKGVDLPKWTRSMFQEKPVIDVFDTLLPKHDQSSAEQMVLLLQLAVCCTFQYPNKRPSMAAVTKQIRGTCRFH >Solyc02g085040.3.1 pep chromosome:SL3.0:2:48702738:48708086:-1 gene:Solyc02g085040.3 transcript:Solyc02g085040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAMKAIYTVGFWIRETGQALDRLGCRLQGNYYFQEHLSRHRTLMNLFDKVPVVEKDAFVAPSASIIGDVHIGRSASIWYGCVLRGDVNSVSIGAGTNVQDNSLIHVAKSNISGKVLPTIIGKNVTIGHSAVLHGCTVEDEAFIGMGATVLDGAVVEKNAMVAAGAHVRQNTRIPFGEVWGGNPARFLRKLTEEEIAFISESAANYSNLAQVHAAENAKELDKIEFEKVLRKKLARKDEEYDSMLGVSETPPELVLPDSSLPDKAPKAS >Solyc09g005210.3.1 pep chromosome:SL3.0:9:172167:173185:1 gene:Solyc09g005210.3 transcript:Solyc09g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLIMFIKRSTRASILQPSYMSKIHPSYHPKILRRKRLVYNKDDDGEYNNNNRLLPPRVITSRPRVDSPSIFTVWKKSSMSFQGTDGFTVFDKQGRLVFRVDNYTRRKNRAIASRGSRSGGLVLMDAQGKPLLTLRPQMNAQLQYEWNGYRGGEDDDGSTPLFVMRKPPSSLFAMMGASMTTTSCQAQVFVGMDMKKKPNYRVEGSFRRRTCNITNSDGHIIANLSRKVANATTHTTILISDDVFSLMIQPGFEPHIFMAFVIILDRMYPNSYTPLICSECN >Solyc02g069580.3.1 pep chromosome:SL3.0:2:39990839:39999962:-1 gene:Solyc02g069580.3 transcript:Solyc02g069580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGRGRAQTRSSRSMSLGGMDYADPKKKSNFVGKILMAAVLTALCILMLKQSPTFNTPSAFSRHQPGVVHVLVTGGAGYIGSHAALRLLKENYRVTIVDNLSRGNMGAVRILQELFPEPGRLQFIYADLGDAKVVHQIFSQNAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLTVLEAMSAHGVPTLIYSSTCATYGEPEKMPITEETPQLPINPYGKAKKMAEDIILDFHKNSNMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACYDAARGIIPGLKVRGTDYKTTDGTCIRDYIDVTDLVDAHVKALEKSRPGKVGIYNVGTGRGRSVKEFVEACKAATGVPIKVDFLPRRPGDYAEVFSDPTKIKLELNWTAQHTDLQESLQVAWRWQKSHLNGYGSSLVKSS >Solyc06g083230.3.1 pep chromosome:SL3.0:6:48784598:48789146:-1 gene:Solyc06g083230.3 transcript:Solyc06g083230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCH1 description:GTP cyclohydrolase 1 [Source:UniProtKB/Swiss-Prot;Acc:Q8VYU3] MGALDEGHYHAEIDNEVSFELGFETQPETLVIQDAVRVLLQGLGEDINREGIKKTPFRVAKALRQGTRGYKQKVNDIVHGALFPEAGLEGGSGQAGGVGGLVIVRDLDLFSYCESCLLPFQVKCHVGYVPSGKRVVGLSKLSRVADIFAKRLQSPQRLADEVCTALQHGIKPTGVAVVLQCMHIHFPNFESAFLDSTSQGWVKITATSGSGVFEDGNADVWTDFWSLLKFRGISIDNAHRRSSGQSWCPSQSCGMPGQANSAMTNAVNSILKSLGEDPLREELVETPSRFVKWFMNFRNSNLEMKLNGFVRSRIDTRSPQGGNFNDGICSELNLSFWSQCEHHLLPFQGVVHIGYHSSDGVNPVGRPLVQSVVHFYGFKLQVQERVTRQIAETVSSFLGEDIIVVVEANHTCMISRGIEKFGSNTATFAVLGRFSTDPVARAKFLQSLPDSGSAGR >Solyc10g006020.3.1 pep chromosome:SL3.0:10:762817:771930:1 gene:Solyc10g006020.3 transcript:Solyc10g006020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIARSKSRIFSIISRSNRRFSSSVASEPPKEPIISSSSLLKDQAPPSQNPPPPLESSVAENKSWSFLKYSVIAAVTGGVATAGYATYAYTTDEIEDKTKSLRESAKYAVGDDASALDKFQALLYSSAMTVPAKLVELYIELRRLTEDQVRGFSEPTSDKLLPDLHPLEQHVFTLVLDLSETLIYSDWKRDRGWRTFKRPGVEDFLEYLAQYFEIVVYSDQLSMYVDPVIERLDPKHCIRYRLSRGDTRYVDGKHFRDLSKLNRDPSKIIYLSGHALESSLQPENCLEIKPWKGEADDTVLLDLIPFLEYVAKHRPADIRAVLASYQGRDIPKEFVERSKEHQRRMQEQKQHGRLWRR >Solyc09g015200.1.1.1 pep chromosome:SL3.0:9:8222380:8222565:1 gene:Solyc09g015200.1 transcript:Solyc09g015200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNCVMIVSMITFICFSLCFGDDVMLQTHNNLITKPYSRNCGNVSIPFPFGITRDCILNN >Solyc10g062120.1.1.1 pep chromosome:SL3.0:10:24677734:24678114:-1 gene:Solyc10g062120.1 transcript:Solyc10g062120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGPISHRVLVVIGRCCFAKTRYGNWILFRSFLFVVLMKLRKDYFRSLAGCLEQVLCGGKCRLNCGVLGICGGILGGEDGCSASMSWWSLKNRGFGRCSCLGKIEMVRKVKGKGGELKMIPGKY >Solyc12g017910.2.1 pep chromosome:SL3.0:12:7756889:7765268:-1 gene:Solyc12g017910.2 transcript:Solyc12g017910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVDIDHERDSMEQPPSPAAGQTQLERRKGTLASKFMLVNISSNLLLAYQSLGVVYGDVGTSPLYVYRSIFVGKLQNYQTSEAIFGAFSLIFWTITLIPLLKYVFVVLSADDNGEGGTFALYSLLCRHAKFSLLPNQQAADEELSAYKYGFSGQSTSCLSLKRFLEKHKKSRTILLIVVLLGACMVIGDGVLTPAMSVISSMSGIQAATEHLSHGGVLILSCIILVGLFALQHSGTHRVGFLFAPIVTIWLISIFLIGLYNTIFWNPKIVSALSPYYIVKFFKETGKDGWVSLGGVLLSIAGSEAMFADLGHFTATSMRIAFPFFVYPCLVVQYMGQAAFLSKNIDSIPNSFYNSVPDSLYWPVFVIATLSAIVGSQAVITATFSIVKQCNALGCFPRVKIVHTSKHIKGQIYVPEINWILMILTLAVAVGFQDTTLIGNAYGLACMTVMFITTFLMALVIIFVWQKSVALAIPFLLLFGIIEGVYLSSACIKIPQGGWVSLVLSFAFLTIMFVWHYGTRKKYNFDLHNKVPLKWLLGLGPSLGIVRVPGIGLIYSELATGIPSIFSHFVTNLPAFHNVMVFVCVKSVPVPFVPPEERFLIGRICPRPYRMYRCIARYGYKDIQRDNGNFEDLLIQSIAEFIQMEAVEPQLSSSESPSFDGRMAVISTRSVQSGSTLLVSEEDYGITNSIQSSKSLTLQSLRSAGDDENPQMRRRRVRFRLPENPGMDPAVRDELSDLIDAKDAGVAYIMGHSYVKARRSASFMKKLVIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Solyc01g065830.1.1 pep chromosome:SL3.0:1:72227509:72229151:-1 gene:Solyc01g065830.1 transcript:Solyc01g065830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSPSKRFTRGIPLHVEIDVEHPSFSLGLTQEFGEISGSLSKSTTFQEIRSKFNNDPSRFVDGGVKNPVDVVTGSSKKRKQKADVYTVHNDKNEAVGSGSFSSDITNPTTPSLEFHMSFTSTPTAGDNPSQPPSAAAAVSKLRQPGMERTGIVDENGAENRKKSLHDPMNL >Solyc09g007340.3.1.1 pep chromosome:SL3.0:9:935940:939199:1 gene:Solyc09g007340.3 transcript:Solyc09g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNSDQNLKVVSVDDQSGNETLMKNRNNLNLVCESVIDDGVRVFGEGNDVVKGLRVEMEGLGVEIDLGPCDLEGGMGFAGYGRLDGELVVDLTRFSQLTRRGNIVEGADNMQEVSGGTNGSVRDGRTVNFNDGIHVGLDAVIPERREVKHRMEDEGKFNVSDLVWGKVRSHPWWPGQILDPSAASNSAMKYFKKNCYLIAYFGDQTFAWNEASSIKPFQMYFSRMEKQCNSENFSHAVNCALDEVSRRVELGLACPCLLEETRAKMECQIVAVAGIQAESNMRIGSDNFSDQTPFNPAELVRTLKSVAAAPHSRLDRLSFVLAKAQLVAFNRWNGYNEHPVIEELCDLFENDNDVEPLPGKKDASDVVSEENSNVQGTSSTKRPRCSGIADHPGKKVKSMSMLVYGSSSRISNDQKKSRGIAGRERKSVSSEKRHLTSEYMPSNSKAKRRKKELSQSSRNKISLPSHKAARRNLKSIDRNSRRTSEHNENSRNIGFGDSVLGLVKSEGAQLIPKKLPSSTEMLSKLYSAARDPMNASSILLSQASLFCDYRNLTCSETTVSADHSKLTEKHIGQNPSNLATETLLIEGIEDSYWTDRIIQCNPEDQVLFESEVQNEEDFPNAKWEISPGLSTILDHKQEVGPSVENSERENLSDLVDGSSEYSPTMLILKFSDLESVPPVVDLNIIFSQYGPLCESETKLIHKRKQVKVVFKRRADAETAFSKSGKFSIFGPSLISYRLQYSPSPCKASCTSKRKRKYAASLAVNGVRK >Solyc02g078260.3.1 pep chromosome:SL3.0:2:43573445:43580592:1 gene:Solyc02g078260.3 transcript:Solyc02g078260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RPB2 description:DNA-directed RNA polymerase II subunit RPB2 [Source:UniProtKB/Swiss-Prot;Acc:Q42877] MDMEDEYEPQYNVDDDEEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKINFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPLDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAFVAEVRSMADTQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFNDTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRGYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGTWVGIHRDPDMLVRTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQRLMIKKKDIQTLQQRESPDEGGWHDLVAKGYIEYIDTEEEETTMISMTINDLVQARLNPGDAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAISCYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRASTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPISQDDAQGQASRYTRKDHSTSLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKDVKLAKDQKKKGA >Solyc05g055630.3.1 pep chromosome:SL3.0:5:66052041:66055927:1 gene:Solyc05g055630.3 transcript:Solyc05g055630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C2N9] MELKKFLSIHILCVLIFVGFLYYFTIFVFLDDLLSLQSSAGKFHSFFFTSMASLCVFSFFVCVLKDPGGVPFSYLPDVEDHEASDQESKRSGLLKKKCDKCSEYKPPRAHHCRICRRCILRMDHHCAWINNCVGHRNYKAFVALIFYATVAIIYSSVILVSDTIHKDWNLDGVMHLKLFYIAAGVVLIGLSLTLGTLLGWHIYLTMRNMTTIEYYEGKRAAWLASKSGMNYHHPYDVGAYKNINLVLGPNMLKWLCPTAVSHIKDGLSFPTSREYS >Solyc11g006580.2.1 pep chromosome:SL3.0:11:1210153:1215068:-1 gene:Solyc11g006580.2 transcript:Solyc11g006580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMALFCRSIVSNFTLPRLPLQLVPLNTTVPFPHCPSKYHQITALPLVLRSKTASFASLPSPPPSSTMENPPEGYRRNVGICLMNPSNKKIFAASRLDIPSAWQMPQGGVDDNEDPTNAAIRELREETGVTSAEIVAEVPHWVTYDFPPEVREKLRHQWGSDWKGQAQKWFLFKFTGKDEEINLLGDGTEKAEFGEWLWISPEQVIELAVDFKKPVYREVLSVFSQHFQ >Solyc01g008485.1.1 pep chromosome:SL3.0:1:2508901:2509857:1 gene:Solyc01g008485.1 transcript:Solyc01g008485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLAVRALIIGAIWKDEVERPKWTARLFYAIVAVVVILLLMRLHEPGIRNEFPTLSMMDTVVNVTDSNDNATDDSKTGSEKSVFADDLDEETDLKEESDFILFVNSLCGERADFTSLWAISLACPPYPFQ >Solyc03g119530.3.1 pep chromosome:SL3.0:3:69594152:69603197:1 gene:Solyc03g119530.3 transcript:Solyc03g119530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSCNGCRVLRKGCNEKCSIKPCLQWIQSPEAQSNATLFLAKFYGRAGLLNLINSGPDHLRPAIFRSLLYEACGRIVNPIYGSTGLLTSGNWPLCQAAVEAVLNGAPIVKLSPDSAADATSPPLKACDIRHVSRENISDPDLHKVKSRTRFKRVSAKPEPRKQSPDVDDAARVMWSWCHKEEEEAVGSPSLNSGLSQQRTEEPSRDGESGDVDSGSVETVEALPAKLDESDVCLELTLGWLPLIRS >Solyc08g076940.1.1.1 pep chromosome:SL3.0:8:60997927:60998241:1 gene:Solyc08g076940.1 transcript:Solyc08g076940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNCLTCQVLRRMDSNNVDNNSQDMSNFSSCLGRVDRSWSGNMAPNSDYENVMTRTSNKGAHRLHNSYGGPMEFPSVTPRLQRCSGMRRDWSFEELCRQMNEG >Solyc02g093400.2.1 pep chromosome:SL3.0:2:54912808:54919995:-1 gene:Solyc02g093400.2 transcript:Solyc02g093400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTARRTTLVDYNQRHSPSTISKSSLQLIHLRRIVSISLFAKNNHLPNRCRRMRTISGRHLSSHSSDSSSDYENPLSCDSTHIDSVLRPGNLLSKSVTWIGVATITSKVLGLLREIVIASAFGIGPVVTAFRYASVLPGFAASVLGGVNGPIHITMTTTLRLVAISVGGLLAALVLIYSESVIHIYAPGAFFQHLILGFPAHAKLQDLYFAFASHWSLWTSAEGLTTSRIAIQQLKIMTPCVIFAGPVGLGFGYMSAKGENVFPAISPTLSSLLLIASLFSILVPAIFNSGLAQIASFTDLCFASHFAGAAAGLSWHWFCHPFYCIGALLYSFPFPIFLWVLLCGCPNSHFIIDIVKISDAFLLVMAPLGLLSSIVILPLLPIFSGLIKVVLLPIISVMSSLTGPIIRVLFERYAFDSSASALVSSIFLFYSLGSPFLIVRELVVAVFYAFGDGLRPFLVSIGAIALNAILDWFFVHRLHIGVQGLALSTSLTAALSLITLIQLLRRKVEGLFPLHELISLGLLLCFCCVISSFITSFSYENISKVFLSEYITRLPRIQEVYCIVSAAALGIIGFFAPLVLLNSRCYIFLHTFIPDLPDQMHFD >Solyc04g009515.1.1 pep chromosome:SL3.0:4:2943197:2944250:1 gene:Solyc04g009515.1 transcript:Solyc04g009515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLSIECIKYYNGADASIFCLKFLFIYVLSHSMVADNICLKALGTESILQILNLAILQNTLFSELLFQYFDALYIAETATKKEKEMVVWL >Solyc03g082560.3.1 pep chromosome:SL3.0:3:53923491:53929337:1 gene:Solyc03g082560.3 transcript:Solyc03g082560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLSASKSIAFNIRCSNSTSDPLPSSSVFKSLRIPRFWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYQDSMDSELQQTFNLAVENGINLFDTADSYGTGKLNGQSEKLLGKFIREFPGDKQVKNDIVIATKFAAYPWRLTPGQFVNACKSSLERMEIEQIGIGQLHWSTANYAPLQERALWDGLVAMYEKGLVRAVGVSNYGPKQLLKIYKYLDDRGVPLRSAQVQFSLLSMGSDQMEIKNICDSLGIRVIAYSPLGLGMLTGKYTPSNLPPGPRGLLFRQILPGLEPLLTSLREIAQRRRKTVPQVAINWCICKGTIPIPGIKSVKQTEENLGALGWQLSSDELLQLEFAALDSPQKMIQNVFQTK >Solyc03g093680.3.1 pep chromosome:SL3.0:3:56745318:56749970:1 gene:Solyc03g093680.3 transcript:Solyc03g093680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMAENDEFYLRYYVGHKGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPSVLKECRRIVADSEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLMDVQTSNDPEGLRIFYYLVQCWWADQLFHRI >Solyc01g014320.3.1 pep chromosome:SL3.0:1:11980677:11981884:1 gene:Solyc01g014320.3 transcript:Solyc01g014320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEMIDGAKEMVIDAIIQKLDIKTILSSSNTFHITELGCSVGPNTFSAMQYIVEALKDKYLLYQDQDINFTNNIPEFQIFFNNHVTNNFNILFRSLPFDRSYYAYGVPGSFYGRLFPSRSIHFVHSSSGINWLSKIPKELVDEKSPAWNKGLIHYVGASNVEVVNAYFAQFEKDMEIFFNVRAEEIVQGGMMVLITAFSGYIRLLKFFGSSLMDLANEGKLDESLVDSFNLHCIIPLLKT >Solyc05g008750.3.1 pep chromosome:SL3.0:5:2988348:2991806:1 gene:Solyc05g008750.3 transcript:Solyc05g008750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRKRKEEKMKHKRNSPSSPQDEGRSKRQKIKGDEETSKDKSRKEKHKSHKSKRRSNEDRESGEKHKSKSHKHKDKLKIKFEELSKDDYFSKNNEFATWLKDKKKLFFSDLSSEAARDLFSDFVKEWNKGKLDIQYYEGITTAPRSSHAWNIKK >Solyc02g079295.1.1 pep chromosome:SL3.0:2:44461577:44464355:1 gene:Solyc02g079295.1 transcript:Solyc02g079295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAAIYSNERDGDMQDFSSNCVKKYECHHYKGYLKLFDLIWTSSRDDNSFSKMLFKSPRLNI >Solyc07g016110.1.1.1 pep chromosome:SL3.0:7:6278313:6279068:-1 gene:Solyc07g016110.1 transcript:Solyc07g016110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNPYCWTIEHQKDLPENDDQNLSKGKLFILCGIRKVYLNYYFTQDGNWRFYDRTYFIYENTRRLCFDIEEHETWSSKFSQMVESLYVPLMYQQEMVQDIKDKALLIVQENDTSKNISIIIDIAHRIPQTIANIYQGHDHEEANEDELGLIEEQVAMDLMTLGEPRVFMPVVPTSKDAIEGLEKVKVETLNGDKSFGETCMICLGKLITKDIVELTRMPCKHVFHGDCIIQWLEINHVCPLCRFKMPIDK >Solyc09g014910.3.1 pep chromosome:SL3.0:9:7170085:7171889:-1 gene:Solyc09g014910.3 transcript:Solyc09g014910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDNNKTIVIGPQFCSPQPLQLFINKKIYFFSGHGYEVKDDNGNMVFTIENLLGFFRRKLIIFDAANVPILTLKSKHFTWHSRWECFKGDSTDEKDLIFSAKNSSMFQFRRNLDIFLANNISQQVCDFRMKTSYRESNCDIFARQSSTLIAQMDKKYTTGSVFLGRDKYMVKVNSDVDHAFIVSLIVILEEIASSGQGSSTHP >Solyc07g064600.3.1 pep chromosome:SL3.0:7:66801912:66804745:-1 gene:Solyc07g064600.3 transcript:Solyc07g064600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inducible plastid-lipid associated protein [Source:UniProtKB/TrEMBL;Acc:A0RZD0] MAFAVAPGSFCMPAMAANAGHNRTPMAIGIGRVSVANSRVPFVCLASTGKKEEISTDKAPAAVGPYSQGIKANNFIYVSGSLGLIPETGELISDNVEDQTEQALKNIGEILKAGGVSYSAAVKTTIMLADLGDFQKVNAIYAKYFPDPAPARSTFQAAGLPLNAKIEIDCIAVC >Solyc05g032825.1.1 pep chromosome:SL3.0:5:44885327:44886725:1 gene:Solyc05g032825.1 transcript:Solyc05g032825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDVQGRKEHKLIILNSQNQPVGPTDDVVIDLSSFLGTLARNATHCPFDIFDWRSMATKKDLWDYTKGKYIIPEAAYHWAMVTIRDAWRRHRIRMAKKPGHIPECQFRELLKYWNSEKFKKMSETNAKNRKKLMNPHTVGKKSFALVRNKLEKDKETVSSKDIFVVTRTRKPGRSYKGSNEDTTSKIAEMEQIEKQISINGEYVDAFSSVMGPEHPGRLRLYGAGVTKTTLKKKVGNWESTLSATTDGMHEMQERMQKMEKQKKIVRQEVIADVIAQLKHARLIDPNILAALSTPSPRESTSVQGDKQGWCL >Solyc01g090020.2.1 pep chromosome:SL3.0:1:83595066:83596681:-1 gene:Solyc01g090020.2 transcript:Solyc01g090020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLVNAVKAYFNRSWTRKDMMSLAPIPQKDCTSLNRASLYIPRESAYERELLSLTLACFLMFSTFVVNDLFSRFIDSYTAHLMLKVATMLTLFIGYLVLYSQEILYDARFGHINADIVHWAHSPSSSIYQL >Solyc12g062430.1.1.1 pep chromosome:SL3.0:12:32633158:32633433:-1 gene:Solyc12g062430.1 transcript:Solyc12g062430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTFKFDGDDISEYACRNVSYEQDDKNEFCDVYDGPYENGNGTIVYDGHSYVDSWDNEQDSGENYYSKDDFEMNGTYDSCDDVEGVEEA >Solyc09g020153.1.1 pep chromosome:SL3.0:9:18841477:18844374:1 gene:Solyc09g020153.1 transcript:Solyc09g020153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYTQINTLNELQNKLPPKQYNRICASSCFARLTAMRRCHVQAQLFRCIMLRELEGSSVNAILFYINGTTLRFTIREFAIISGLNCSDNGADFNFDTDQPNRIIDEYFSGNSHVTKARLAEAFKAKVWGDNQEDSYKFGILYYIHEFFMSAEPTTTTIDRLDFDLVETGRFMDYPWGRKAFNELAKSINNKINPCGQYYRIQGFPLPMQVWFYECCSYVDDKIAIKVSSHIPRIINWVTKNDHPRFDYFMKTIFNDADNPIKFRNIVPTAMEIKILKLPESTEQSMSQGLQTDHNKVTNPDDDFQNPPLRHTHEDQWRAKPHDHRPSISTVLRNAVMQVKDEFADLRKMLEDKFKTVLEAMNSKGNVVNDDQESLIGDVHHKPTYTPHEPQSHSANLNTKSSQLGAQKNPIGHQSALKDRELGDNLQELNQNSPLLDHVVLGDNLNDVSGTASQDQLVLYANVDAQQNAQRETESSSNSRVIYNIYNAASHERIAEAEESIIVAAPIQMVYMPDSNQETVVTESQDELPVHLLPSVNTLQNIVLQKQVEAEVTLMPAVRHRRPGPFNISPYMTSFGSDAGSSSRQPVVFYMKHPFVSLSDKEESDLFSNFWIWLKEDLLVKHYKK >Solyc08g042063.1.1 pep chromosome:SL3.0:8:24018668:24020529:-1 gene:Solyc08g042063.1 transcript:Solyc08g042063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFQSFHRAHMALYRHPLTHPSYTHHPIPTLSSHLDHPHMQTRSKSGIFKPKVYTTTVPAPSPSEPTTYKQASTNPLWCQAMDDEYRSLINQHTWELVPAPRHRKPIGCKSVYHIKRNVDGSISRYKKETFSPVIKQQTILLVLSLTLHNDWPLHHYMDQPPGYVDSRHPHLVCKLKKSLYGLKQAPRAWYNRFSSFLQDIGFQTCTHDTSLFTLRHPHGLVIILLYVDDIVITGSSSALISTITKSMHQNFQLKDLGPLHYFLGIEVLRTSSSLLLHQSKYTEELLTRAGMAESKTASTPMVVRPPSTSDSRLFDNPTFYRSIVGGLHYLDVTRPDIQYAVNRVSQSMHAPTEQNFQALKWILCYLKGSSRRGLLFQKGNLEHPVYSDSDWANDKDDRRSTTGYLLFLGPNLISWCTKKQTRVSRSSTEAEYQAMAAGVSEAMWLHHITDALGLPPFRPKIYCDNESTICVTKNPIIHDRMKHVGSDCHFVREHVMVGTMSAHHVPSSEQLEDILTKPLPPSEFHYLVTHV >Solyc01g065500.3.1.1 pep chromosome:SL3.0:1:71556354:71559332:-1 gene:Solyc01g065500.3 transcript:Solyc01g065500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVRKLVVEVTEARNLLPKDGHGTSSPYVVVDFYGQRRKTRPVTRDLSPQWNEVLEFNVGKPSDVFGDMLELDVYHDKSIGPTTRNNFLGRIRLSATQFVKKGEEALIYYPLEKKYWFSWISGEIGLKIYFVEQLLVPEVKPEPKQAPPADQPTEAAPEGEKPNSIEEEPPAQVVENPTTGEAEPPANEAENPTSVLMDPPQADEITQVKRSVSLGSIPEVKVSNNINIVTGPRPISRASSAIFSDAGSGPIEPSSFDLVEKMHYLFVRVVKARSLPTVGCPVVKMVVSGSHVLSKPARKTVLFEWDQTFAFGRDAPDSSSLLEVSVWDPSSAKSFDPTSDEAGHVFLGGICFDVSEIPLRDPPDSSLAPQWYRLEGGGAHRGDLMLATWVGTQADDSFPEAWKTDTADNPASKSKVYQSPKLWYLRSSVIEAQDISHSKDSSYHIKAQLGFQVQKTKSITTTTTGSQSWNEDLVFVAAEPFTENHLLFFLIETDRTAKEQTVLAVASIPLPTIERRVDDRKVASRWFTFEDPNEEKRIYKGRVHLRLCFDGGYHVMDEAAHVCSDYRPTARQLWKAPIGTVELGIIGCKNLLPMKGKGSTDAYAVAKYGNKWVRTRTISDSLEPRWNEQYTWRVYDPSTVLTIGVFDGCSEVAFESDECMRPDFRIGKVRVRISTLTTGKVYRNTFPLLLLSQTGLKKMGEIELAVRFIRATPTLDFLHVYSQPLLPMMHHVKPLGMVQQDSLRIAAVKIVASHLTRSEPPLRREVVTYMLDADSHSFSMRKVRANWFRIINVIAGVIDIVKWVDDTRGWKNPTATLLVHALLVMLVWFPDLIIPTFAFYVFVIGAWNYRFRSRDTLPHFDPKISLAESLDRDELDEEFDAMPCTRPNELVRARYDKLRMLGERVQTILGDFATQGERVQALVTWRDPRATGIFIGLCFVVAFILYLVPSKMVSMAFGFYYLRHPIFRDRMPSPALNFFRRLPSLSDRML >Solyc06g074610.1.1 pep chromosome:SL3.0:6:46291513:46293096:1 gene:Solyc06g074610.1 transcript:Solyc06g074610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALCCGEQPLLPTPGYHSMSGIVARLVSYFGSKAC >Solyc09g005120.3.1 pep chromosome:SL3.0:9:70160:75621:-1 gene:Solyc09g005120.3 transcript:Solyc09g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRQISIVIVLCASLFVLNVQSKTVDPYKVLGVDKSASQREIQKAFHKLSLQYHPDKNKSKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKGAPGFDVGSSGDHGGYTYFTSGGPGQSGFNFGPGGMGGQGGGKSFSFSFGGPGSQSSSGFGLDDIFSNMFGSGMGSGSRFGGFSGFGGSGKSQSRTKNSGKSIPSINSQLYKKEISDKGMTWLLLSHTSISRDIQYYESVIRDVASSLDGAMKVGRINCETDASFCKGLGIYPRNVPRLFVYSLKSSGDGALMEYSDDLDVKRVKSFCHDHLPRFSKRVDLDHFDFVSQSVGGLPKVMLLSAKKDTPVIWRALSGLYRNRFVFYDAQVRDSSDPALRRLGVDALPAIVGWLSNGEKQILSTGISVKDLKSAIQDLSGLLDNFERKNKKAASTQSKSEQSESEAKQVPVLTGSNFNDICGEKTPVCVIGVFRSSKAKDKLEKVLLSVSQKSLSRRQNTPYGSRDSVSYAVLDAVRQQSFLNAFDKSGYKSSDKVLLAYKPRKGKFAVYEGEITAENAESFISSVLSGDVQFSNTRQKPVAK >Solyc04g081200.3.1 pep chromosome:SL3.0:4:65281995:65292814:1 gene:Solyc04g081200.3 transcript:Solyc04g081200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVVVVKGGELLFCGSTCWDSVGRRKGLTEGNLVSPTRLRPLVGVDICFVAAGCASCHCVALDTEGRCYTWGRNEKGQLGHGDNITRDRPTVVSELSKYKIIRAAAGKNHTVVVTDDGQSFAFGWNKHGQLGIGSVKNETELSPVRCAVTEVNSVACGADFTVWLTSVEGASILTAGLPQYGQLGHATDNEYNTKDSSVRLAYEAQPRPRPIAAFSGETIVKVACGTNHTVAVDKSGYVYTWGFGGYGRLGHREQKDEFAPRRVDVFTRQNVLPPDAIVSAGSASSSCTAGGGQLYMWGKIKSTGDDSMYPKPLLDLSGWNLRCMDSGYMHHFVGADSSCISWGLAQCGELGYGPHGQKSSAVPKKVDILDGMHVISVACGFAHSMVVIDRTNVEDRLEQLDVYDGKASEEVGAEPVSESPASKKTTKKASAKAPAKSNKRKKSKDASESEDEEEENSDDESDDYEEQSNGRAKSSGRGRGKAAGKATAAKKGAGRGRGRSPAANKSSPPEVKVSTGKRGRPRKS >Solyc01g079330.3.1 pep chromosome:SL3.0:1:78258570:78268475:-1 gene:Solyc01g079330.3 transcript:Solyc01g079330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRKFGFEGFGINRQTTYNFERNQAPQRLYVPPSSRSGGSHDNYEDTDLDNIEYDEHDAVGGNNNDGGGGGGEDDEIDPLDAFMEGIHQEMKAAPPPKPKEKLDKYKDDVDDDPMESFLRAKKDVGLQLAADALHAGYNSDEEVYAAAKAVDAGLVEYDSDDNPIVVDKKKIEPITALDHSEIDYEPFNKDFYEEKPSISGMSDQEVNEYRTGLAIRVSGFDVPRPIKTFEDTGFSAELMKAISKQGYERPTPIQCQALPIVLSGRDIIGIAKTGSGKTAAFVLPMIVHIMDQPELQKEEGPIGVICAPTRELAHQIFVEAKKFSKSHGIRVSAVYGGMSKLDQYKELKAGCEIVVATPGRLIDMIRKKAFTMLRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKVEKLAREILTDPVRVTVGEIGTANEDITQIVEVIPSDAEKLPWLLEKLPGLIDNGDVLVFASKKATVDEIESQLAQKGFRVAALHGDKDQVSRTETLQKFKSGIYHVLIATDVAARGLDIKSLKSVVNYDIAKDMDMHVHRIGRTGRAGDKDGTAFTLITHKEARFAGELANSLVAAGQTVSVELMDLAMKDGRFRSKRDARKGGGKRAKGRGGGNNKGVRGVDFGLGIGYNPESNNPLQSAAPSRSAAVNSLRTGMMSQFKSSFVAASSNSQNQGMNNTAGAFPNKKMVLQGFVSGGTIGGNSNTPHISSTFTAATPGGYTSSQPARDGANQKSSESSKERSRERRRPSGWDR >Solyc01g100850.3.1 pep chromosome:SL3.0:1:90637344:90640833:1 gene:Solyc01g100850.3 transcript:Solyc01g100850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDKKPSVEINNLKFTYPGIDGHPPPGSTPLIDDFNLTLYPGDRCLLVGSNGAGKTTILKILGGKHMVEPDMVRVLGRSAFHDTALTASGDLSYLGGEWRREVAFAGFEVPIQMDVSAEKMIFGVPGTDPQRRDELIKVLGIDLSWRMHRSSDGQRRRVQICMGLLKPFKVLLLDEITVDLDVLARADLLKFLIKECEERGATIIYATHIFDGLENWPSHLIYVAHGKLQLAMPMDRVKQISNLSLMRTVERWLRKERDEERQRRKERKAKGLPEYEKQVEGTRVVGGDPGRAAGRPLNNGWAGGTLHSTIAGEENFFLSSNRVLR >Solyc12g062220.1.1.1 pep chromosome:SL3.0:12:31478788:31479444:1 gene:Solyc12g062220.1 transcript:Solyc12g062220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENEYLCLSFNNIGFSSDIAAKITDEFQAEENHSEEDFEFSLVSNKSNKFIHDDQKKVQQSIFPLFNRNLLLSDSDLKDKSILIPKKDLFLKNSESTSSSEADEVEMIPAGTYSMRKPKISESSPGKCKKSNSTGLVSKRWPRIRDLLWRCNSEGKEEDSFVFFKPKKAIENKTGKAKIKNSSEVVKMAEKLKQTKTNERKKGLSAVSAVRYVKNKR >Solyc10g011940.2.1 pep chromosome:SL3.0:10:4218712:4236572:-1 gene:Solyc10g011940.2 transcript:Solyc10g011940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKEGSSKKRMTGRSYKQWLKQRAQLRSKHSEDAATKEDQSAEEQEHKVEEVNAHRVDDRREKQICSSKENDKKVTEPFKAELKVDRTHEANCVRSKQDDKSEGESKDCSICKQKGNLLCCAGRGCKRNFHLSCLVPPVSYFPPGAWYCYWCVRRKMKLGVHAISEGIESLLDVRKLSLVNEVVQKREYLVKYKGLAHVHNLWITEEQLRLEAPAALARFKKYHKSVSWKTEWSVPHRLLDKRKLAVIDHNNTDVHGNDENDADCDYEWLVKWTGLDYSHATWELENASFLVSLEAVKLMTDYEIRHQQAKKEVHPLTEDEKRKANFPELPTPLFGSTPQVYNNHLSFVNNLRKYWQKGKSAVIIDDQERILKVVLFLLSLPKDVGLPFLIITTSAALLLWEAEFSRWGYANIVVYKGNRDIRAIIRTLEFYNKQGALMFQVLLSCYDAIVEDLEMLRPVGWGAVITDQCQGSSMSMHHSQIKVLIADMRLLIFRQLEDRCDRRFNRCNILSFLDPKDKANNKLLDTDSDIDLTEFKKRLKHFVAYECKSSASKFIEYWVPVKLSNEQIEQYCACLFSNSAWLCSSLKNDSPSSLCDILVSTRKCCDHPYLEDQSLRDVVMDGIPVDQHFDAEIKLSGKLELLNKILQEIKQQGQRVLVLFRSLGGSGVISIGDILDDFIYRKFGGDSYTSISGNVTRKMKEATLNKFNNKGSGKFAVLMETRACVPSVKLLGIDIIILFNSDWDPNNDLRSLQKITVYSQSEHIKVLRLYSCFTVEEKALILAKQGLTIDSNIENMKQAACHELLTWGASYLFSMLDCFHVQSSISKRSSEVAALDEVFAEFLGLMSSNCENTVNNSGSKILKVQQNEGTYPSKISLLGELEMQQMDDSSFVRRLLENESPHVFWTNLLHGRVPMWKHSPSPSRGNRRKARLQGDVNQPSKGEQVSKKEGNKVHLTPEPKLRKKRKLHVQGKLGTDISGFLCQSHFLGTSHLSAGDKHKCRRLATNCSPQCDKPHQSHENCINSPSHQILDSMNRSIDSSIQQSIGNLPMQQHVRIGAVNGTSMKSRNNHEDDASPNTPNLPQSPYLHPLHMEMERIQKEREQITKLHEHVKLLLQSEFEKELDSIMKKYDLLLQIAEMELSQKQVDLDTVYKKVHVHKLLAEAMIQIQDTADSVGPLEMTVDVVGSTITGSEESLPNRPSSVPAVTASISEPVNSEQRAADPQIYSENFPMAAIPSLNAELPRVEELAVVRRTSARATLQTTSSADLPTSGSRPTPTPNYQSVILTPNQLPIQTSRYAARPGAGCEQRRPAPHLLHFRPLPTMNSLNSSLL >Solyc10g055477.1.1 pep chromosome:SL3.0:10:56831937:56849296:1 gene:Solyc10g055477.1 transcript:Solyc10g055477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVNHHAAQSDPTDMPTETIPQQVQSSNTAAQKSTDDCLNVDASTTSKSKPLTLDDYPDFTMTQIIALDPILNATTTPNLRTRTKNDYVPTMFDKFSANVVVESWFVGYCRSGGLQQIKTLELSWG >Solyc05g056595.1.1 pep chromosome:SL3.0:5:66682189:66719183:1 gene:Solyc05g056595.1 transcript:Solyc05g056595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFICGGRTHMVSLALERNKADTVLSIPNKVECLNGVTIKIISLGFEHSIAVTDKGETLSWGGGQSGRLGHGHQSGIFGLIKSDSEYTPRLIKELEGLKVKNASAGMLHSVCISATENGSVHIFGERANKKLGFSEASNCSLPSVVDGLPYAEKAACGGYHTCVITGGGELYVWGTNENGCLGIGSTDVAHLPERVEGPFLRHSVSEVSCGWKHTAAISGGNVYTWGWGGSHGTFSVDGHSSGGQLGQGNDVDYIKPTKINFRRHVKALQVSCGFNHTGAIFEYN >Solyc10g052480.1.1.1 pep chromosome:SL3.0:10:52762166:52762849:-1 gene:Solyc10g052480.1 transcript:Solyc10g052480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTDFVCHHCFKNTFVSVTPIAAAASSPYLTIIHFSFSLINHYWYIRPNDQPPEYWGVILENSPTTSTPIIVHLFDNMFYEKLNHAISHVFGPFEDVFGDQQYGIVEETIRQLQSIRASTEMSEVCVHAELQIDHYCDRRILLAFEESSSVDGMVPASKSSIELLEPMEANERNSNDECLVCLDELGEETQVLRLPCSHMFHAECITKWLENSHYCPLCRFEMPTN >Solyc02g065340.2.1 pep chromosome:SL3.0:2:37073108:37074360:1 gene:Solyc02g065340.2 transcript:Solyc02g065340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPIFIKENKKLLAKTCLLKTNVVGMSWETKIVRENSNYFICEGDWPQFVVHHKLELGDILIFFLIDKSTFHVLPYSQKTFKNSSGRGAFQELTSSSEEEHDVGIARKLKKMKMEQKESSGVVKKEEAEGGKEENVPKTTRFSVININNKDPYFEMVVRKTHSFFMTIPKSFAIWTGITKMKKMRLVNGNGNKWKLVDIVHTQQRVYMKRGWAGFRTLNRIGNGQTCRFKLIKENCCEYSVLQVQKIHKSKCLK >Solyc12g005710.2.1 pep chromosome:SL3.0:12:377747:379433:-1 gene:Solyc12g005710.2 transcript:Solyc12g005710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVRLLMNLATIAILFGSTMAANHTVGAPQGRWDQSTGLTTWAASETFLVGDSLIFVYTPNHDVLEVRKSDYDSCQTTNAISTNGGGMTIISLSSTGKRYFICGTGGHCASGMKLEVNTLATVPPPPVKSPVSAPSPKIAPKISPVSAPSPKNAPKISPVSAPSPKSLAPSAPKSSPPATSPETPSLSPSSTEFVPTSSPSSSPSSADKVSVIASSTVVGFGLVIMMLFFM >Solyc04g025997.1.1 pep chromosome:SL3.0:4:20255921:20257663:-1 gene:Solyc04g025997.1 transcript:Solyc04g025997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIFLHSCTNIQPTGEECTTLQLPQPSHVTHDEPGTSNVNIDVGKPQEVLGFEDFSSEPPDQLLRRSIRVSSTGATPPPKRRKVVHPHKTKVSKSTTAEKQRSQNVYTSDLPTSQAENVSNVPVNSDFGKVDQQVGCLVELIKKNHSELMKVVGEKDNKTEKKHNVDQDIGGSAVDADEQTDKVDQQSVSPNHMDCSKEQHMEDALEVIHSPQRSHVLIEKVSLNNENDYTTGEASHSDTKILNADEHDVDTLQHNIEKHTTSLFSVDTSTEVENNVQPLCLMSRVEQNENAFWLSDSQLPTQLPVKKSSLPPDTETPTPRHSMPSRILRSPYLTDFGSNDRGKTKIDDDVLPLYPFEGCGILEQLPLGMMDEFSQWIEKDLLKPHANK >Solyc04g072160.3.1 pep chromosome:SL3.0:4:59262502:59268996:-1 gene:Solyc04g072160.3 transcript:Solyc04g072160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPTIKWAQRSDKLFITVELPDAKNVKLKLEPEGKFLFSATAGADNVPYEVDLDLFDKINVDESKSSTTSRSIVYLVKKAEDKWWSRLVKQEGLRPVFLKVDWDKWVDEDEEDSKPEPDMDFGDMDFSKLNMGEGPSNFDVDVPEGDDDSDTEEIEEEENSEPKAAVPPSTEPGVKA >Solyc01g093960.3.1 pep chromosome:SL3.0:1:85407387:85416661:-1 gene:Solyc01g093960.3 transcript:Solyc01g093960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCEAEVALIIFSSRGKLYEFGSAGITKTLERYQRCCLNPQDNCGERETQSWYQEVSKLKAKFEALQRTQRHLLGEDLGALSVKELQNLEKQLEGALAQARQRKTQIMMEQMEELRRKERHLGDVNKQLKIKVSLELSSFEGEGQGVPFPWSNCNASLDEAGSSTFHVHHSQSNHMDCDLPDPVLQIGYHQYMAADGASGSRNMAVESNIIHGWGL >Solyc04g051320.1.1.1 pep chromosome:SL3.0:4:50260494:50260643:1 gene:Solyc04g051320.1 transcript:Solyc04g051320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREENRVAEELAKEGARQSLLEETTLLEVPPVYAIEAVQTDTLGTTFV >Solyc04g077910.1.1 pep chromosome:SL3.0:4:62861247:62862505:-1 gene:Solyc04g077910.1 transcript:Solyc04g077910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDWGDIFKNLQMSANEEAIFKGDYEREFVHKQIFAEEFEDIGEDNEDYIENMLKEEEKIAIAGLTNILPLRTLSLPWLLEARRTAIYNIVYIGGCNGVRKITVYTAMIYVDRYLSSVPKKPISPIAKLLAISCLYLACEQFEEYECQISTSNYIGSIMSMKYNIITQFKWIVTFVTPIQFVKYFLSRFCRDLSRKLYAKSITVEIIMSTIGDVRLMSVSAFVVGAAATLLASNSNILTHEMIKDEINALPKKWLIPIDEVCSCYDRLLETNMHRLDIS >Solyc02g093280.2.1 pep chromosome:SL3.0:2:54826859:54829196:1 gene:Solyc02g093280.2 transcript:Solyc02g093280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNVYYHNANFSLTDPDPEPDDISVFLRHILLPSSSSSSSSSNFMALKSNEMQYSSSLPHLMPNNNQQGNLSSMMNSSACGIFSSSYGVCNGATTVSSSSVGTIDYDPDEYECESEDGTEDLGAEASVQPPSRNTSKRSRAAEVHNLSEKRRRSRINEKMKALQKLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLNMYPLGLPRMLQQNQLSHQKVGLCEGNAFTNAKVAGNLQVNQDASLNAIFNPTENCTETKVTPPITMSNINRSDSAFELESSMNIHLDPFQLSRSTSKEIWREDDLPLYGMNELTTKTASTGSNLAFSVPLDTDASNLKRSTREACLLRYQFGAVNETNLDCDQLLSQQLYSNF >Solyc07g006810.3.1 pep chromosome:SL3.0:7:1645971:1659165:1 gene:Solyc07g006810.3 transcript:Solyc07g006810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTTLFAGILRRCRRRNSVRRFISTHSQPALPSIEYPLSHPIYTIWAANTSLGKTLVSAGLSTSFLSFPHRKFLYLKPVQTGFPEDSDSRFVYNKYSEFFSQNRPEYSVFASNHVLKASVSASEAVYLGGFEIERDKDMSCGNGVVNLGLYEESKLQGSENWEMKGFSKLVCKTMYGWKEAVSPHLVAERENARVEDDELLGMLKGCLGSESESEKGEVLCVIETAGGVASPGPSGSLQCDLYRPFRFPAILVGDGKLGGISGTISAYESLILRGYDVVAVIFEDHGLVNEGPLSSYLRRRVPVLVLPPVPKEMSNNLMEWFQEALPTFHSLEEIMQSAFLERTSRLRNMPRKAHDVFWWPFTQHKLVPEENVTVIDSRCGENFAVHKVNNNVDLITQQFDACASWWTQGPDATLQIELARDMGYATARYGHVMFPENVYEPALECAELLLEGVGKGWASRVYFSDNGSTAIEIALKMALRKFLFDHKLVSDDLVAENVESCVDLKVLALRGSYHGDTLGAMEAQAPSPYTGFYQQPWYKGRGYFLDPPTVAMHDGVWKLSLPQEIETLKPSLEDLTFSLRENIFKESRDTANLADIYHSYIWKTLQLIIDSSGSTQTGALIIEPVIQGAGGMVMVDPLFQRVLVKECRNQKIPVIFDEVFTGFWRLGAESATEFIRCKPDIACFAKLMTGGIVPLAVTLASEAVFGAFIGDSKLQALLHGHSYTAHAVGCTAAVKSIKWFKDSKSNHNLISEAMLLRELWDSDMVRQISLLPAVHRVVVLGTLCALELRAEGSNAGYASLYARSLLQKLREDGIYMRPLGNVIYLMCGPCTSPQSCSNLLNKVYTRLEEL >Solyc04g077430.3.1 pep chromosome:SL3.0:4:62426736:62439548:1 gene:Solyc04g077430.3 transcript:Solyc04g077430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEYEEEMERVERIRRMQSVFNRERNKYKRSYESWQENGQSAYHQHFQRNDWYWKADTSFRDRGTNFREAPRANASNPLSHHYSVLGLDRSRTKPYTDDEIKSAFREKAKQFHPDQNQQNKDTAEAKFKEVMKSYEAIKSERKNGTSR >Solyc11g066890.1.1.1 pep chromosome:SL3.0:11:52983441:52984724:-1 gene:Solyc11g066890.1 transcript:Solyc11g066890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:K4D9U6] MQSLTPSSSGINLKSLIQTKIRSNRVNSIRPVITCVYRFDAANAAVNTGTANTNSAGHAGGSRADWQSSCAILASKVVSQQQNAEKSGGAGNITAVNGHKAAIDLDLVPIDNQPKPLTITDLSPAPMHGAQLRVAYQGVPGAYSEAAAGKAYPKCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLNRVISHPQALAQCELTLTKLGLNVTREAVDDTAGAAEYIASHNLRDTAAIASARAADLYGLQILAEGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSRED >Solyc01g066740.3.1 pep chromosome:SL3.0:1:74707349:74717598:-1 gene:Solyc01g066740.3 transcript:Solyc01g066740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNSMATLSSSTPPVFSYDDDKLDLESVRKVVVVINQHITALLADTKSWKSLKLKCSSKLDVCSNRGYLEFSEQSILSNLYWGIESIEAALQAKWSQERTSRLQNSEIMLQVPASLDEHGETAGIPNSYLTGYSYFYLSVVRKLQGDEWQVAMHFLQALAVSPRLLHTEIATELCRQLSVLCIEKEPYSKDENEVYAMMVKMARRYKAWLMYYQIMSSGEDGSLRNGESSSVTSTQHELQQIMSKKSRSTRSSDSCKNENTQCSSSNFEKVHPFNAKNDAKNEKEETIITSNECTKEKQVAITELSGVAEIPKNITTKCLKDILLDSESETFDFSSSSSASENFHEEYAEDMEITSKRSLQNQQTEDFYQNQKSSRSSLFLESLVCKSQVSGLRHNEGNRAEITNSLSRRFSGSFSHTDLSAEGIRGLKTHIHMSGNNEAPIMQQGLQMIDSRSDGYPMSMTLRDYQLCKTQHPRISSRQKNRCKKTLKEISEYSEENSQAEQAALLEKIISKLCFSEEFGDYKDYTVDLTTIYELLNNKTGLKYSLLKDIIIDQLLRAISTSREEHVIRESVSVLSIIISRNRSLVDDVKRKGLQLNHLATALKKNVHEAAILIYLINPSPAEIKTLELLPCLVDVVCASNNYKCSLRTLRITPPAASLMIMEALVTAFDYTSSETQLAVISSPEVLSGLLDVSRNNNLEEIIALAAVLIRCMQFDGQCRKQINHSAPLAPFISLLRSNYERATSIALEFFHELLRIPRSSAIEVLQKIQQDGSNNNKCALLLLIQKSQPEYKILAANLLLQLDMLEETSGKFVYCEEAMEALLESVSCEENSATQALSAFILSNLGGTFSWSGEPYTIPWLLKKAGLTSLPHKNMIKNVDFSDKCLQDNGIETWCSKVARRFLKFGSPLFHALEKGLESESRSTSRDYLAATAWLGSEITKAPDDLRYAACEILLSRIEQFVHPGLELEERLLGCLCIYYYTSGRGMKKLVNFSEGVRESLRRLSNMSWMAEELLKVADYIQPNKWRISCVHTQILEMSSNHSGAVTSLTYYNGELYSGHADGSIKAWDIKGQEATLVRDVREHKKAVTCFTISESGNCLLSGSADKSIKIWQMFERKLECTETILTKDPIQNINTHGELIFAVTQSHKMKVFDGSRKASKYFKNKSIRCGTLINGKLYIGCTDSSIQELAIPNSRQQEIKAPSKSWSMKNKAVNSLAVYKDWLYSASSTIEASHIKDWKKNKKPQISMSPEKGGNVLAMEVVEDFIYLNCSVSMSNIQIWLRGTQHKVGRLSAGSKITSLLTANDIIICGTETGMIKGWIPL >Solyc02g062990.1.1.1 pep chromosome:SL3.0:2:35517649:35518356:-1 gene:Solyc02g062990.1 transcript:Solyc02g062990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLHVAIVSSPGMGHLIPVPVLGNRLATHHNIKITIFTITTNSSSPEIKFLKKFNEKKTIEIIHVPSVNISHLIDSHTKLFTQLRILVREALPEIHSGIASMNHRLDALIVDIFGTQILPIAEEFNIPKYVFHPSNAWSLALFKYQQVLDQEIEGEYVDLKQPLEIPGCKALRPDDVMDRSDQQYHEYLKLTMEYTCFDGILINTWEDLEGETIKVMKNCNQFHIVQFTRLVP >Solyc09g089940.1.1.1 pep chromosome:SL3.0:9:70027876:70029789:1 gene:Solyc09g089940.1 transcript:Solyc09g089940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSLLVGPPALRREPPLSALTLNDPDTVDIPITGPSDEIKNLDLSKLPLRGLTENNSATFISSGNPCLDFFFHVVPDTSPDDLIGRLELAWAHNPLTALKLICNLRGVRGTGKSDKEGFYAAAFWLHYMHPKTLACNVHAFADFGYFKDLLEILYRILEGPFVRKMEKEEREKARGRGGGGRGRFKRVSRPSEDGKEKKMKVKKNLEELKEEIKAEQVKARVLRKEKEVAKAEKAFEKYYSDENYRRLHDKISDFFAEHLREDLEKLNSGKSNEISLAAKWCPTVDSSYDKATLMCESIAKKVFPRESYSEYDGVEEGHYAYRVRNRLRKDVLVPLHKALELPEVYMSANKWSSLPYNRVASVAMKNYKGHFFKHDQERFKAYLEDVKSGKAKIAAGALLPHEIIGALEDEDDGEVAELQWKRMVGDLCKKGKLSDCIAVCDVSGSMSGIPMEVCVALGVLVSELSEEPWKGKLITFSESPEMQKVEGDTLKEKTEFVRNMNWGMNTNFQKVFDTILEVAVQGNLSENQMLKRVFVFSDMEFDQASENAWETDYQAIQRKFSEKGYNNVPEIVFWNLRDSRSTPVLENQNGVALVSGFSKNLLTMFLEGGGVVNPVDVMELAISGEEYQKLVVLD >Solyc01g067350.3.1 pep chromosome:SL3.0:1:75626751:75628128:-1 gene:Solyc01g067350.3 transcript:Solyc01g067350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQDTNPTTLKVLMVPWLAHGHILDSLCPDLLIFDGYQPWAPELASSRKIPAIDFLIIGSASVSFFYHHYLYAGKRDFPFSGIFLKDYEAKQLQLTAEMNISIGPDVAFNGLEKSHDIILINTCNEIEGKYVDYLSTLSNKKVIPVGPLIREMGTTTENEENSKIIQWLDKKDESYFEYFLSKEEIEEIGHSLELSELNFIWVVRFPLGEETNIENALPKGFLDRVEGKGVIVEKWAPQARILEHPSVGGFLCHCGWNSILESLHFGVPLITMPMHFDQHTHSRMAVELGTAMEVVRDDKNGKLNKEKTAEVIRNVVTEKNGGENVKAKVKELSKKIREKGEEEFDQVVNKLLDLSTKNKQTVNT >Solyc04g016420.3.1 pep chromosome:SL3.0:4:7223329:7233231:1 gene:Solyc04g016420.3 transcript:Solyc04g016420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDTVGASQAKSEGIESYRKMQQASVKETSEVNEGQGGKNVAAYVAPAHEKSHGDVNMEADITMDDVIRAGGLGARDDLNSVLPVAADTTDFEASIRDAWDYEGQRESITRPGLGWTEPAKK >Solyc04g050935.1.1.1 pep chromosome:SL3.0:4:48933322:48933750:1 gene:Solyc04g050935.1 transcript:Solyc04g050935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTIIVVTIVVKLLKCILKRSKKLVVTQHVESPTTNGLYKFTIVEIENALNIGNMRRRKWIAHGRRGEFYQGILPSGQNVAIKEMHKTKDAMDSFTREVECLSRARHPIIVCLLGFCNEDGRHFLVYEHSSGGNLAQYLIS >Solyc03g111590.3.1 pep chromosome:SL3.0:3:63695665:63715741:-1 gene:Solyc03g111590.3 transcript:Solyc03g111590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASGNIEVFSWLKTLPVAPEYHPTLEEFQDPIAYIFKIEKEASKYGICKIVPPVPAPPKKTALANLNRSLSARAGSNGPTFTTRQQQIGFCPRKHRPVKKPVWQSGETYTVQQFQVKAKAFEKNYLRKNSKRALTPLEVETLYWKATVDKPFSVEYANDMPGSAFAPKKASLAAGGIGEVSTLADTEWNMRGVSRSKGSLLKFMKEEIPGVTSPMVYLAMMFSWFAWHVEDHDLHSLNYLHMGSGKTWYGVPRDAAVAFEEVIRVQGYAGETNPLVTFATLGEKTTVMSPEVLLSAGIPCCRFHDRELKSNKSKPDNVFSAGEDTYMRTIRCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEASNIATPEWLRVAKDAAIRRASINCPPMVSHFQLLYDLALSLCSRVPKNIRIEPRSSRLKDKKKSEGDMLVKELFVEDLNANNYLLHILGEGSPVVLLPQNSPGISICSNLVAGSQSKVNSRLFPSSSNSDHEVKSKKDSAYDDRKLGRKQGMKQYAGISLEKGKYSSWHTGNSLPDSGRKDDAQSSPETEKVNLDAARGMTYKCDTLSEQGLFSCATCGILCYTCVAIIRPTEAAARHLMSSDYSDFNGWTGSVSGITATGRDPNAAESDSSSGRFVKRAPALIDDPVESSDRIQKLNNGSVEELSRTNTRKETSSLGLLALAYANSSDSDEDEIEVDIPVEACESRHTESEDEVFLRVIDPYGNHRQKRAVSQGRNCQKFDNSVQLENESYPSGESNTLFGRSSHQPRSHQVPAKCISNIREIAQNNAVAPFDNARMQFTSTSDEDSFRIHVFCLQHAVQVEEQLRRIGGAHISLLCHPDYPKLEAQAKQVAEELGSDHFWREISFREASKEDEEMIQSALEIEEAIHGNGDWTVKLDINLFYSANLSRSPLYSKQMPYNFIIYNAFGRDSPDNTPEKSEYTGRGLGKQRRAIVAGKWCGKVWMSSQVHPLLAERTIDEEQEQNKSISALIKIEVKSERPRERTPTSKTVATTCKTGKKRSSTAASRNASNAQLIIADDHDDSLLSSILQQHRRKTNLRSKRIKYETPEPQKDVDKKKIFGSLIDDDPDGGPSTRLRKRIPKPSNESPAKSVKAKPAPTKQHESKKGPKVKLPFANSIAKKEPVTKGPRSNIGKRMREEGEYHCDLEGCSMSFSSKQELTLHKKNVCPVEGCKKKFFSHKYLVQHRRVHMDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYACSEIGCGQTFRFVSDFSRHKRKTDNSPQSRSGSRNDNEIDGENENGYEGDSKEAGFNANRINKRKINYPLRPDAQDCPYYMKTGMCKFGSNCKFNHPSRRRIQQGTKEKGKQREDSQERPGQIECKYYLTSGGCKYGKACKFNHSREKGAISPIVEFNFLGLPIRPGERECPYYMRTGSCKYGSNCRFHHPDPTTVAGADLSSGHNNTGAVPVQAASHSSASSWSPPRALNDTAPYVPNMYPPTQGTASPNIEWNGYQAPAYPTSEKRLPTPPAYAMNNPAKTNFYPLSQQSQQVEEYPERPGQPECSYFIKFGDCKYRSSCKFHHPKSRISKANSSTLNDKGLPLRPDQTVCSFYSRYGICKYGPACKFDHPENYVGSASAAESGFDQREQSQMSLGWQGQHLEVDH >Solyc01g086995.1.1 pep chromosome:SL3.0:1:81802284:81804755:1 gene:Solyc01g086995.1 transcript:Solyc01g086995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTINSRFVCAGMPFANSLLNKTSFLGEIGLVPCAMAGNRISQWQKGTFLYNQLVMRAKAVAVQECGVTRAMLWYQGESDTTLLSNANAYKGKMQQFFTDLRSDVGIPDLLIIQVALASGTNYTDIVREAQLNPDLANVVTVDARGLELHKDNLHLTASSQVLLGHMMADAYLQTISTTS >Solyc12g035420.2.1 pep chromosome:SL3.0:12:40381278:40382081:-1 gene:Solyc12g035420.2 transcript:Solyc12g035420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAISKLADIVLMVLDASKSEGHRQILTKELEAVGLRLNKRPLQIHFKKKKTGEIHNAKVLFHEDATVDDLIDTDSPTSKLRCHQLQHEAESGQIACKNVGSYGSCERLHSLKASNQTSQILWSFLLIECKALPQYGVLAQMLVDEDVVQIVKKNEKEDGGGRGWLKSHSNGPSLISDREKKAPLKT >Solyc11g007150.2.1.1 pep chromosome:SL3.0:11:1596516:1596596:-1 gene:Solyc11g007150.2 transcript:Solyc11g007150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSDRLISNQSLNSQKQDSLRTLYL >Solyc09g064780.3.1 pep chromosome:SL3.0:9:62397544:62409506:1 gene:Solyc09g064780.3 transcript:Solyc09g064780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVTGKQSSLWDGVIELTKLAQLKGTDPLMWAMQLSSTLNSAGHSLPSTDVANLLVSHICWDNNDPIAWKLLEKALALRIVPPMFVLALLSNRVVPTRRSYPVAYRLYMELLKIYAFSLPSLINGPNYQKIMEAINDTLHVSQIFELQGSESGLIVVGFIFTIVWELLDASLDDEGLLELTVEKKSRWPITSQDMGLNNHDGFAGGRTEKHEVLSKSNTVMAIEIIGELFRDKVTSAILYLVRTNMPTHWESFTQNLRLLVSNSSALRTSKNISPEALVQLTSDDHVVLSRKCKTSSHKRSHAVMASGSLGSSADQCHGASPAVLWLPIDLFLEDIMDGSQVAVTSAAETLTGLVKALQAVNSSPWKDTFLGLWIAALRLVNRERDSSEGPVPRLDTCLCVLLSITPLAIVNLLEEEEMNCSSTNQRKESSRKRHQDLVFSLQQLGDFEGLLTPPLPAAPLAILAAAKAMMFLSGVSVGSGYFEGMSLNDIPANCVGNLRHLIVEACIARNILDTSAYLWPGYVKGRCNQVPRSVSSQMPGWSSLMKGSPLTPQMVSSLVSTPASSLAEIEKIYEIAVNGSDDDKVSAAAILCGASFARGWNIQEHTVLFITRLLSPPVPANYSGTESHLIGYARFLNVLLVGVSSIDCVQIFSLHGLVPQLAGALMPICEAFGSCAPNVKWIVMSEEISSHAVFSNAFTLLLKLWRFDQPPLEHRMDAAPVGAHLTPEYLLLVRNSQLTSSDDLQKDQSKIKLLTRLSSPLSGEPIFLDSFPKLTLWYRQHQACIASPLSGLVPGTPVHQIVEALLNFMFRKINRTGQSLTPAISGSSSSSGPGNEDVSLHLKLPAWDILEAVPFVLDAALTGCAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKLASMNGTDWPSPAANLATVEQQIKKILAATGVNVPSLTVGGNSPATLPLPLAALVSLTITYKLDRSTDRFLNLMGPALSNLATGCPWPCMPVMVALWAQKVKRWSDFLVFSASRTVFHHNRDAVVQLLRMCFAATLGQTTSSIASNGGVGALLGHGYGSHFLGGISPVAPGLLYLRVHRAVPNVMFMTEEVVSLLMHSVRDIASSLLPAEKLKNSKYAKKYGQASLAGALTRVKLAATLSASLVWITGGVVLVQSLMKETLPSWFISAHGTEPSGGMSGGLVATLGGKALACFAVISGTFAWGVDSSSPAAKRRSSTLEAHLEFVAGVLHGKISLGCNKSTWKSYVSGLISLIVRCTPNWLLEVDVEVLKSISMGLKQWGEEELALSLLSSSGIGAMGATAEMIVEGGMNFVK >Solyc04g025300.3.1 pep chromosome:SL3.0:4:24243336:24259705:1 gene:Solyc04g025300.3 transcript:Solyc04g025300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSKWGGKPSNSSEKQKASSTPTVEEINRGVGDIGLNSEQNEGWEVYARKPKNKGGSSAGKQWAPQNPSPKAWGNQNTKAWGHPDVGKKSGTRNNAGSGRGSGNNWSTPSDPQKLARPHLYDGGFPSSAPVPPALKNGWDWSSRVASAHPKDNSQVAAAADDDKASEHDAEDNELDFLDESDDDLHSDDFDSDVGEMSYETRKKNPWFNQLFHSLDSLTVTEINEPERQWHCPACKGGPGAIEWFTGLQSLMTHAKTKGLRVKIHRELAELLEEELRQRGTSVVPPGEVYGRWGGMEFKDKEIVWPPMVIIMNTRLDKDENDKWIGMGNQELLEYFSSYAAVKARHSYGPQGHRGMSLLIFEASAVGYIEADRLSEHFSENGRNRDAWERRSARFYPGGKRLLYGYMADKKDIDNFNQHSAGKSKLKFEMRSYKEAVWNPAKQMREDNQQLIWFKNKAAKHQMQAKALEESLSLVSEKHRQTLEENKIVRLKTKMHHEQIKEEMEFQEQFFKDQIKIIHDARTAREDNFEKTQQEQREMVKQSNANTASVEDHRVRAEKVAKFIKLQDKEMEEFVEERENLMRTHDDRIAALRRKYWEEEVELERKFDLELSKLMEKYSPKQSDEVNSSGTM >Solyc11g021260.1.1 pep chromosome:SL3.0:11:13416339:13417147:-1 gene:Solyc11g021260.1 transcript:Solyc11g021260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISTIIKSLYNISKKNSHILCDLSYLSQAYVFYKFSQTQINAKKNGELGLIKVVWLKIKIENDSKLYSLSNEKDNLKKCYGYGLLAYKSINYENKSDSFFSRLPFEVQVKKNLEISYNSNTSKHNFVDMPSNLHINNYLRKGNILDRERNLDRNYFDWKIIHFSLRQKGDIEAWVKIDTNSNPNTKIGISNYRIIDQN >Solyc02g072460.1.1.1 pep chromosome:SL3.0:2:42226740:42227204:-1 gene:Solyc02g072460.1 transcript:Solyc02g072460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSLTLASTALIRYGIGPKIGALPRANMPSRSSSRLFRIQAVQDNGGGPRRLIDIIRNVPEVSRNYFKTPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLITEYVTRFYYTRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >Solyc01g013910.1.1 pep chromosome:SL3.0:1:10318260:10320146:-1 gene:Solyc01g013910.1 transcript:Solyc01g013910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVLVHASLKCRLIKASLHKHILHASPDLQRQICYTVENF >Solyc11g005230.1.1 pep chromosome:SL3.0:11:193139:194766:-1 gene:Solyc11g005230.1 transcript:Solyc11g005230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGEDMESNVDFHINDEAKRMLKEIELVKKNVENSELYAGMCLNLKQNKTIQKHFFRLLGGHSHVQVVIYGLGSTEYSFSSQFQLAVVLLLKRDFPNWIGNIEIYDPCMSAADIIFFEKLGLEVLTNDENCKRRAQRPTMFYMPNPCWYLIGNLLGANWSSSCLNKIFVLTNSFSYTLTNAPRCSTSLETVIRLERILDFTTEVTIETSGNQKYANLFSGFAWHFFDVDPNNDIDKPGCYWLDMQRHLEEGFLENMRSNMTSEDFAQIWIDFRGARRLRCKNVPPPPGCIKLNIYGIGRKSDQPGRYSGIFQDEKGKCFDRYRGDIDVEDNVIAGLEALRIGLAGCMEGRPNAPKLIVESDNVILVHYVNGLPEPNDTAMRWLGEIFDMLKHIACVVCHIYEEANEASRDLALKGECHR >Solyc06g069697.1.1 pep chromosome:SL3.0:6:43522410:43525790:-1 gene:Solyc06g069697.1 transcript:Solyc06g069697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEKNETVRTGDGFIDRSKVRILLCDSDAKSSEEVFTLLCKCSYQVISVRSPRQVIDALNAEGPHIDLILSEVDLPMSKGFKMLKHIMRDKQLRHIPVIMMSSQDEVSIVIKCLKFGAADYLVKPLRTNELLNLWTHMWRRRRMLGLVEKNIINYDFNLVVSEPSDPNTNSTTLFSDDTDDKSWKCVNPEICPSTMLQEEARCKVEKN >Solyc05g052310.3.1 pep chromosome:SL3.0:5:63431207:63437373:1 gene:Solyc05g052310.3 transcript:Solyc05g052310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQIAKGEEFVKKAEKKLSGWGLFGSKYDDAADLFDKAANCFKLAKSWDRAGAVYVKLASCHLKLDSKHEAAGAYANAAHCYKKTNMREAISCLEQAVHMFLDIGRLNMSARYYKEIAELYEQEQNLEQAITYYEKAADLFQSEDVTTTANQCKQKIAEFSAKLEKYQRAIEIFEEIAQHSIKNNLLKYGVRGHLLNAGICQLCRGDVVAINNALERYQELDPTFSGTRECKLLVV >Solyc04g079750.3.1 pep chromosome:SL3.0:4:64189039:64190732:-1 gene:Solyc04g079750.3 transcript:Solyc04g079750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFTNSMFCSIPPWKLRNPSKIKEHSSTAILKSPTLTSCQAKRCCDCYDMYKELVPYAKAWSWQKTIVDERKAQIERNEDLADTLIVLQHQPVYTLGTGSSEENIHFDVKKAPFELYRTERGGEVTYHGPGQLVMYPIINLRYHKMDLHWYLRSLEEVIIRVLSSSFSMEASRIDGLTGVWVGGQKLAAIGIRVSQWVTYHGLALNVTTDLAPFQQIVPCGIRDRQVGSIKGLLREHSHSNGCGTENLDDVQLIDVTHKSLVKEFCEVFQVELQCKPSPLLIS >Solyc10g081210.2.1 pep chromosome:SL3.0:10:62457490:62460919:1 gene:Solyc10g081210.2 transcript:Solyc10g081210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTNPAVFVNAELLRLYMGRRVRAVIQVIRSDGSGTVNGRSTDDQQIVVKGNPPGPLTTYVEVIGIADSNQSIRAEIWSNFGDTLDTYSYNRICMLANGDYKHLFI >Solyc12g041880.2.1 pep chromosome:SL3.0:12:56426834:56432322:1 gene:Solyc12g041880.2 transcript:Solyc12g041880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NXD1 description:Protein NEOXANTHIN-DEFICIENT 1 [Source:UniProtKB/Swiss-Prot;Acc:K4DEY3] MEVKDTNCTSLGYGKPPWIFKGSALYQLHLVKAENARAFIPKECKLVEAFGYTLGGFFLASYDDSPAGIFDELVVIAGLVWNPPTSCAWAARVLVGSDEACLHGRKVVGLPSQVARFSKKITALPQKPESKSSSFLRRIGLRTSSNYKNHMDVEVTEIKKQTAMSICNINVNATASQQDSKGWMGPLIKMSLPNFSGRTKYNSDLLKYSCQIECRVRAVQPAKVSGPSESDADKENSSEDQSSNVESVSRVPRGTKRNFSISVMLSKPILALEFNHLKMRVEAPTTVTACSHDTT >Solyc08g015635.1.1 pep chromosome:SL3.0:8:5148804:5151357:1 gene:Solyc08g015635.1 transcript:Solyc08g015635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYIYQVKDELPQSLAAINLQNITDDTLYVDSGASSQMAHRYPNSLKHYNEPDKIIVGNGLNKLAKDNCCTLEFDETNFVVKVKWTRTLLNKGFKRNGLNALEDNNLYSLTDAHDYNMLNNMCHTTLGHPNLNYLKFLSRSNPSHVLELVLQLGNKFSMKDLGPLIFFLGIEVNYFEGGIHFNQSKYGVEMLAKTGMTLTKALATPLARKHGLHEAVGSFVYASF >Solyc02g081410.3.1 pep chromosome:SL3.0:2:45945942:45950116:1 gene:Solyc02g081410.3 transcript:Solyc02g081410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKRKRNSAEYEEDEYDTFKPPPPPSSAAANGGIDLSLLEALEKSQQNPVEVLDIKTVKKLVLAFERRLKENIAARLKYPDQPEKFADSEVELHEEIEKLKILAGGPEFYPELVNLGTIASITSLLNHENTDIAIDVVGLLQDLTDEDVLEDNDEPAQILVDSLVENNALELLVQLLGKMSDSDPDESAAIYSILATVENFIEVKPSVAELVCERTKLMKWLLTRIKVREFDGNKQYASEILAILLQSSTANQKRLGQLNGVDALLQAVAMYKSKDPKTPDEEEMVENLFDALCCLLMPLENKEKFVKSEGVELMIIIMNQKKMCYGSAIRALDFAMTNYPPACERFVDVMGLKTAFPAFMGKLPLSKKNKKRYKEELEERLVSLVASLFGGILRGSRRDRLLSKFVENECEKIDRLMELYMRYSDRVKLESERFDQLELDDLEMDEDEKYNRKLEAGLYTLQLIAVILGHLWTSEHPRIRARIDLLLKQQKLTKQDVKDILQEYHDNIGDLEGPEEKERGQSKIQRFISSF >Solyc03g114110.3.1 pep chromosome:SL3.0:3:65620564:65626384:-1 gene:Solyc03g114110.3 transcript:Solyc03g114110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLPGHLNRFKNSLIYDQQKPFWKQIHDPNGEFVGRWNHIFLFTSFVGLFVDPLFLLLPIIIDNCMGTDNLLGYAIIVFRLMVDCFACFQIYLKFRTAFVSKKTRVFGKGELVMDRRLIAIRYLKNAFVIDVAAALPLPQIVIWFIMPLNGSSPSHANHSISLIIMLQYVPRFLVIFPLNSKIIKNTGVVAKTAWSGAGYNLLLYLLASHVLGAIWYLMSIERHFSCWTDECVKKKKGSPDCNHDYLDCSSLNKPGRQEWLETTEVFNRCDATRNITFEFGMFGDANTERVTSASFFDRYFYCLWWGLKSLRYSLRGLSLDFSQQMKDSGTSPRESADNFFSSERFMSIKKNICHTVYTIIQFLTINKQYPEEKPDLVSSSYAQSITTSTNIVETLLSSLICLLGLVFFALLIGNMQSYLQSMTARLEQWRIKRRDTEEWMRHRQLPEDLQDRVRRFVQYKWLATRGVEEEEILLSLPLDLRRQIQRHLCLALVRRVPFFSQMDDQLLDAICECLVSSLNTKDTFIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSIVLNPGDFCGEELLTWALVPNPNLNLPSSTRTVRCLTEVEAFALRAEKLKFVANQFRRLHSKKLQHAFRYYSHQWRTWGACYIQAAWRRHRRKILGEELSREESLYYRGGMDQDDNYGREYPEAGGNASDQATESSTQQLGVLASRFAANTRRGKTGGRVDSGESSLGMPKLFKPDEPDFSHDPSS >Solyc09g074770.1.1.1 pep chromosome:SL3.0:9:67002043:67002291:-1 gene:Solyc09g074770.1 transcript:Solyc09g074770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPFNHSQKFFSSDITNPTTPSLEFHMSFTSTPTAGDNPSQQPAAAAAVPKLRQPGMERTGIVDENGAENRKKSLHDPMNL >Solyc11g012150.2.1 pep chromosome:SL3.0:11:5083055:5090878:-1 gene:Solyc11g012150.2 transcript:Solyc11g012150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDLILKPSCSRCGSSSDLYGSSCKHLTLCLSCGKNMAETRDKCYECGTPVTRLIREYNVRACSSNDKNHFIARFASGLPKFSKKKNDSKWTLQKEGLQGRQVTDTLREKYKNKPWLLEDETGQFQFHGHLEGAQSAQYYLLMMQGEELFAIPAGSWYNFNKVAQYKQLTLEEAEEKMKNRRKTADGYQRWMMKAANNGPAAFGELERFDDKEGGSGGTRGRKKTSGDEDEGNASDHGDEDEEEEAARKNRLGLNKRGIDDDEEGPRGGDLDLDDDDIEKGDDWEHEEIFTDDDEAVGNDPEEREDLAPEIPAPPEIKQDEDDEEEGEEEGGGLSKSGKELKKLLGKANGLNESDEDDDDDDDTEEDISPVLAPKPKEAPKEEPVETNSPLKAAASGSNRGTPTSKSAKTKRKANGDESKPTSGAPLKKVKTEADAKPGKDETPSSAKNTALPKGASSSSKTAPSSATGPVTEDEIRAVLLQRKPVTTQDLVSQFKSRLKCKEDKAAFAEVLRKISKIQKTTTGSSYVVLRERTNQ >Solyc01g060284.1.1.1 pep chromosome:SL3.0:1:69998147:69998740:1 gene:Solyc01g060284.1 transcript:Solyc01g060284.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNGRKKIEIAKIQNQTNLQVTLSKRRAGLFKKASELSTLCGANVAIVAFSPSNKVYACGHPSVESIVDKFIGENPPPETDDPNPIIVAHQNANIDELNKKLNKFERTLERERKHGQALQALRTEPSNEKLSFFDLNILCESLEAADKKVEKLASQLMECGIEFPYKTIGSALAPLRARESTSSVSGEGSSGSGE >Solyc04g071660.3.1 pep chromosome:SL3.0:4:58729356:58739503:-1 gene:Solyc04g071660.3 transcript:Solyc04g071660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFENMKRKQLQALCKEHGIPANLTNLEMANKLSSLLKVNDEKPLTRGRSCLKMLDENESDAVNRKVKKVKFSPDNEVIEFTRSAQVKRGGRRNTMLYKNNLNVRSDGLKVGILDSPVRVTRSQGVNIEDGVTEKKGRTRQVKDSVISVNKSKDKVGVTTKRTLKNKEVGIILEKREENEGANDGVRMSRRVRSRKNAKESVEDSQEELKMDESEKAIDREEKRDKKVTFISGGHMDECTKSETKAKEPKRVTRRKSMTHHQTLPVQSEVDAEVREEVVEKPARVTRSRNLKPVEDNLSNKRNRTRGRKAIETSEEQLCTEFVESSVEAVKDEARVITRGSRQNSVVEAPEKALKRSGRIANKDKGSRLSDDITKEKMVTRGRTRNQSSSTVQASTSESGTKIVESKGKHEVVLQLEEPVEDPPRRSDRRKSVFPLEKLRGDEVAAKKEKRNFLTVNDDELRQKVTEEEPQKALKRSKRLATQVEDSVLAKDDIAENKLGRPTESQGTLEVVLQTGEVPVRSNRRKSVVPYLEKLRSDEVVVLKEKKTLNANDGEMGSKRTKEEPQKISKRSKRLAKQMEDPVLAKHDIAENKLEERRLSRRNVANANVDTKILDRSVQEETSVVKEERRRSGRSASRHTLAAVSDDKKEKGENQLTKRNCAVQDKDSTNKFTLQDLDSAKQSISSSKASVKASVVKKTSTAGKKKQGRLKRSNVEVEVLMPEDMEIVREPDNKDCNISGSGGTMSFSRSSLNELDAVPDDVNIILAGTKDDTTAESSILDDKLTEEGPLVDANSSLSENKSASKSLPFSDPFISDARPEENLLKELLQSDHEEQPASKGLCPGSVLADDAVPNDLTFDKQEPGATVVDDVEEFVTGLSYDVFDNCSLSQSNNMEEILDSGTKDDAAAESSPLADRLIEEGPLVDANASPSENNSISEPFSDSFNSNATPKQNLVEELLQCDHEAPAGKDLCPSPILADDAVPNEITSDKQEHGSIAAHNAEGLSDEILDNCSPSQSENMEENLDSGFKEQSETGCVVTEGVSHDEHEPASKVLCPGSALADDAVPNDIISDKQEHGTVEADNAEEVETGLFTEVLDNMEQHFDAGLKEQSETGYIVMEGVTYGEQEPGSKGLCPSSVLADDAVPNDITSDKLEYGSIAADTAEEFDSGSSKEVLDSCSVNQSVNMEDKLDSGFKESSATRYIVSVGVNYGEQELTAMDYAKELDAGLSSNVLLDNLGAFNHSNEMECKISEAEAESGPMEQSDAESDLVDANYDLDAPTFTSQDEIHAEECRNLEVAAPEKTVSSPIKTVLDLQERGVLGDGITLHVTPLEECDEEKQGEESKILFATPGYVSHSKEKKAFLAGDSRDEGLDREGQVSPISGNDTSNLHSSLRDCGEHGQGEYLKRLFATPFGSKCSKVDVATSSAINETSSQCQHSFHENENITLHVTPSEDCDDEKQGEELKVLFATPGYVSHSKDEKKASFDGDSRDEGLNREGQGEYLNRLFATPFGGKSSKVDIATSSAINETSSQCQHSFHENENITFHVTPSEDCDEVKQGEELKKLFATPGYVSHSKEEKKASLDGDSREEGLKREGQVSPISGNDTSSLQNSVCDYGEHGQGEYLKRLFATPFGGKSSKADIATSVAINETSSQYQHSFHENENMGEDLKGLFATPSVVSQSVGDLHYLFENQLSGEAMTTRGLGSSGKVNLSESVRDLFENKQVEQVRTSFASPVSVKCSHQETTSTKGNYSLSHEMERYICSGVERDLSESVSEQQMVPEINQSSPNRIASPISEPTCDDIGFSEQQMLPEINKSSLGNIKTSDAFNDGTEDHLRLLFATPIKEVSPSRLGEASSCQLKTAMIAWASEMAGKQPKQGFEFAGEPLTVEIISGGSDRTKSSHFSKEIEQFKGGPLFTTPSKGTRPLQFEESPGCEEDIFKYLDGVKLLNNREQNFEQHRDCQFSNAITADTGEATLHWIQTNDDNVPIQTELETQKEIGSARPFEPLHEEGEVTEDNALAEGKEDNHPVPYQESIEDEVINIDEAAKSGALSDAICQKPNILLTDIKTENVTQESTRKTDGFSVSAESGILEFDAESTALRSQEPIIITVEETKGDEVQKLEVSNISNTFLDNILNDDEYAKNNSTVLPADEQFQFPEHYVIENDVSSTEGFTAFTNECQHASKEYDLAAEGDRLVIFESNESTSMNVNDSGLTTQMDMPGIFAEEPRCGSDKEKSSAAKQQDESFDKKEHESTEAVLAPSKSHEGISFTEEGIAGEGKLIFEKKDELAEKKVALTPATGVAKTSFAKHLNSTVKKKNARSILIHGTPNKLTQIADMKENAPNVKGDIGTLTALRPDKRPALKDLPRK >Solyc09g005560.3.1 pep chromosome:SL3.0:9:386183:388198:-1 gene:Solyc09g005560.3 transcript:Solyc09g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAELDQTFMETKRNKLLWEVIATKMKEKGYNRSPEQCKCKWKNLVTRYKGCETVEPEALRQQFPFYNELQAIFGARMQRMLWIEAEGGVGGGASGSKKKAISSDEEEENDDSDGEKVGKKKRKVKGSMMVGSSSGNNNNNNLVSSLKEILDDFMKQQMVMEMQWLKAYEAKEEERRIKEMEWRQTMEALENERLMMETRWREREEQRRMREEARAEKRDALITTLLNKLRREDHNM >Solyc02g087250.3.1 pep chromosome:SL3.0:2:50332989:50344748:-1 gene:Solyc02g087250.3 transcript:Solyc02g087250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIINRAASIFLLLVFFLAFFKDSVHGRPLLLSMSRPKPDDAALFARWLVSQNYWGVLNTIASDMGGAPFGNVVSFSDGLPDKGRGIPYFYLTTLDPTARNALKDQRSSFTISEYAIGTCGKKDPENPSCAKITLVGKLKVVAGDPKETSFAQTALFTKHPEMEGWPKSHDFQIYKLEIEEIFMINWFGGPKPLTVDQYLQAKMIASSSSTPMLLPFCNFHPINPSKTLLKPSPSHSLFSSTPSLSPKPFFPRDSLPVFAASPDTEALPESAIRRIADKLRSLGFVEQPKNQETQENALSSNPTANSPGQIFVPLPTQLPKYRVGHTLDTSWSTPENPVPQPGLGKSIQKFHELRDEFLKEKDKERLKNKEYKKERAPSLAELTLPAEELRRLRTVGIALRKKLKIGKAGITEGIVNGIHERWRRIELVKITCEDICRLNMKRTHELLEKKTGGLVIWRSGSNIILYRGADYKYPYFSENSFENNSAQDANPDLFMGAEEHMTNSSGIDAVKSDASDRKSPPRVIQGVGSPDRVRFELPGEAEHTEEADKLLEGLGPRFTDWWGCEPLPIDADLLPAIVPGYKRPFRLLPYGVKPKLTNDEMTTLRRLGRPLPCHFVLGRNRKLQGLAAAIVKLWEKCEIAKVAVKRGVQNTNSELMVEELKWLTGGTLLSRDREFIVFYRGKDFLPSAVSSAIEERRKQVFEEEKRNGFNSSVANAKERKQSTTESVSDDGHAHRNNQKGVQEKKKLTSMEAAIKRTADKLTTALEKKAEAEKLLLELEEDEVPQQSDMDKEGITEEERFMLRKIGLRMKPFLLLGRRGVFDGTVENMHLHWKYRELVKVITGRKNIEEVHQIARMLEAESGGILVAVERVNKGYAIIVYRGKNYERPASLRPQTLLSKREAMKRSIEAQRRQSLKLHVLKLTQNIEALQSRLAKNEEISHIQSPDIVDRQVPVMGISDAAGGTNYQSIVASPIEDSGDAAEDTDPSSQKELSNDFSDTDHDSQQEFPINPFFQYEGKVEAMGDTIQPQHQSISSIKESKSMFNVNVDQETFGSAVSESVSKSSRGEVKIHFSETRSFNKPREVNNKMEVSQPPPVKPQQTLRSTRSRSEGMSTRRVQLSNRERLLLRKQALKMKKQPVLAVGRSNIVTGVAKNIKEHFKKYPLAIVNVKGRAKGTSVREVVFKLEQATGAVLVSQEPSKVILYRGWGPGGERGASNGNDTSNSKNSREQKELMSISPELISAIRLECGLQSNNDMEVAS >Solyc09g055950.1.1 pep chromosome:SL3.0:9:46493573:46494075:1 gene:Solyc09g055950.1 transcript:Solyc09g055950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPWIVLAYSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGGCFAMRHSWCYRRKYFI >Solyc07g006840.1.1 pep chromosome:SL3.0:7:1707516:1710588:1 gene:Solyc07g006840.1 transcript:Solyc07g006840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHNTSLTNNESKDNLISANHINELPISAVFSNKDSNGDQIMSPEDVEALMNKFPPGFRFHPTDYELIKHYLERKLANLPLHPNKIYELNIYKYEPDTIAAQLKPTTTENIWYVFTPRDRKYPNGERPDRCTWNGYWKATGIDKIIVDDDNISKIGSRRALVYYIGKPPNGKKTDWIMHEYMIPKITIPNSSTPRNPKLDEWVLCKFYNRNDVITSGSTRRKRKRGDHDPIIDEVHQDSVRNSFTNFNNNDNHAVMLSEGTSLGNDPTSYFNYNYNHAMMFFQGTDQDSMRKDHTNYDNNYNHAMTLHPQVHMEVPTLPQCPNMPFLSNYQNYVSDHFNDYSIVDPPLPLRIEPTPTNNSIEHDYTMNNVGVGGDYGEYSEVHDQYYVSDQYIVDNYESQYDTNNFVNGETTTSNNFVNGETTTSNNFVNGETTTTSNNFVNGETTNSNNFANGETTNSDNYETNTSNNFVNGETSTSKEDS >Solyc08g013670.3.1 pep chromosome:SL3.0:8:3142446:3143601:-1 gene:Solyc08g013670.3 transcript:Solyc08g013670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMNSSVLACSYAISGMSSSELTSKHTVTTMSSPSLQKLPLIIKAQQQSSSNISAGRRVALLGLAAALFTSASSANAGVIDEYLEKSQANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLALECEGKDKYKCGSNVFWKW >Solyc12g049570.2.1 pep chromosome:SL3.0:12:62145032:62161384:-1 gene:Solyc12g049570.2 transcript:Solyc12g049570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRSASFILDKNQNDVSGSHHLSPLSAQAAVDQPSEDVVSSNVSSKSGDLSGKFNVIDEFNNWRKQPDLAEAVAAIRALASVIRSSEATTMMELEIELKKASDSLKSWDKTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRFLERAEKFGEISYKARKIIAMLSQEFIFDGCTILVHGFSRVVFEVLKTAAQNRKNFRVLCTEGRPDRSGLRLSNELAKLDVPVKLLIDSAVAYNMDEIDMVFVGADGVVESGGVINMMGTYQIALVAKSMNKPVYVAAESYKFARLYPLDQKDMFPALRPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVGSLKSLDSGCKIIVEWSLAAAKKDVHDGKPRYCFPEIVSSGRLEVQVLKNPSTDEFHKVLDSWQPNIVYLQGEHLSNDEVGSLVWGGLDLSSAEAISGLFSSVLPTAVYLELPNGEKLAEALHAKGIPYVMYWKSAFSCYAASHFRHAFLCVAQSSTCHVWDAFQLAHASFRLYCVRNNFALSEMSQRDSDNVGPHLLGDPPNIDVPLPEAGPEDDEESNSDALPAIKIYDDDVTMRFLVCGLPCSLDECLLGSIADGLNALLNIEMRGSKLHNRVSALPPPLQAGTFSRGVVTMRCDLSTSSSAHISLLVSGSAQTCFDDLLLENHIKSEIIENSTLVHVLPSDEENRPPISAPRRSMSVACGSEVFEVCMKVPMWASQVLRQLAPDVSYRSLVALGIASIQGLAVASFEKDDAQRLLFFCTKQGKDGFFGNFKMGNPPAWLRPPAPSRKRSDFYQGASYICQNGLTPGNHVAVKEEKESRLGNGVATPLVTARQKLKVAAMRPIPHVRHQKMLPFSRISELDSLDGNQVKTNLPIIPSSTKGSNVGVTPATHRKSASSSHQAKQIISLNPLPLKKHGCGRSPIHVCSEEEFLKDVMQFLILRGHTRLIPQSGIAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTVTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCNSSAAGDWVNCGICGEWAHFGCDRRPGLGAFKDYAKTDGLEYICPQCSVTNFKKKRKTRRRILNRSEKALEGARR >Solyc10g079390.1.1.1 pep chromosome:SL3.0:10:61064346:61065434:1 gene:Solyc10g079390.1 transcript:Solyc10g079390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKAMGFFFILFPEDESTIITKNKQKNNLSPLKYINTLFIAQFIISICVFLIFITFLLFTVSTFEPSSRFKLQNSKSPSYQQHALQGMGTLFRKGTRSMSDLIVAHVIESVTVQELKLFIKLIYRSKISSKSDILFVFPKKSVLFENTIVEENTSFFKLINAYEYNSTIFDSTHNKAKASEEPIWGRKKQSNFIDEEAESIQLSYGSVIGFYADELDPENSLSGFMDHVPMNLRRWACYPMLFGRIKRNFNRIILVNVKEIFLLGDSPSRIKNLSLNQTQVLVFRRKNFEKTHKKQVSAEIIMGGLRGIRRLSNAMLIKIIQESMQQKKKNSINESVLFNQVIRNEFMMKNVELILSRVNY >Solyc10g084840.2.1 pep chromosome:SL3.0:10:64357086:64359280:-1 gene:Solyc10g084840.2 transcript:Solyc10g084840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISSYLIHILLCIFLFLFSIDGTQVILVNNCKESIWPGILGGAGQSTLKEGGFHLSTGEQMVLDVPDKWSGRIWGRQNCHFDENGKGKCDTGNCGGQLQCRGLGGEPPATVVEMTLGSSTSPLHFYDVSLVDGFNLPVSMKPVGGGVGCGVAQCEADLNICCPSALEVKSGDRVVGCKSACLAIQSPKYCCTGQYANPKTCKPTVFANLFKAICPKAYSYAFDDASSLYTCRAPRYLVTFCPPK >Solyc01g067790.3.1 pep chromosome:SL3.0:1:76580472:76586722:1 gene:Solyc01g067790.3 transcript:Solyc01g067790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGRKNANKCYPEKDSSVFDDTQPVDTQISPDTLPGEDDQNMAPADDGLFFNDAFETQLVNLCGENQILDIGGGETQVVDYGAETQVVDFGAETQLVDFGGETQQVDLDGETQLVDDHDCLHSKRIQTSENCNIEVVDSDTEGSDGTEVLCDTQELSGDDSMEHSYSSIDQVKLPKSSNSNTSERSSIAQSDVQRSLQRGFTSIRAASIRASGLAAYDMSRKGTKGSTRSIKSENPLEQEGADHNGTSVVRPQSVVRKELNLNACEEYDEQLKEVGNEYRCKVGSSAVRKLFRDEILIETKGPEDGNYDFQKTVDLPQLDSDNVLTGLSYLDSQEPGEETQANALEAVDKFLSLNPFDFDQHLDFGKSSIGKSKCVSAASGAKHLAQRAAGIADAEGGIYDWDDNREDEGGGEFFQKKKELLFGRIPTTEPLKHGSLDPLRRGSKSCGPKEKHMLNCKKFKGSPCSDSRLMSSKVRVKSELSKSRSRKKLVEELDEQFTVGAGNGMVDNGDGDNVPDLQNVGLDTQMAAEAMETLCFRVPVLENDFSNENKCNKSLSKSSCKGRVDDESLLKQRSPKKKARSSDTRPATRLSVQKDVKLVEVHCRETVKQQKSSKKQGNDEQGARLRMIKANMTISHSSRGKEEEFGQVERPPKESRGSMSVKNCHLQQQHDSFTPIAHRTRHNRAESQLKSRLSAAVTSNRSGIDGDACETLMDHGTFAADRTANLRNMKLMWGDHCAVDHPKGKRSHRKIPAMGQEATTQPCRRSKRLSGDQTSTSIDVSAKKRKCSPETPSGIASSGRGSRKKLSNEGINKGHPEGTNISDAFADGNTKALRYKSPEDSNMKADVATKQSVDEAHGVESLTGDQCKAPASACTTPTNSKILKSSVSPICMGDEYQKQSCRKNTSRSSLMREIISLHTTGTQVDSTLKDSRKRREMTNVRILFSQHLDPDIIKQQKKIIARLGASSASSMSDATHFMADEFVRTRNMLEAIAAGKPVVTHLWLESCGQASCLIDEKNYILRDARKEKEFGFSMPVSLARACQHPILQGYKVFITPNTKPGKEILASLVKAVHGLAVERLCRSAMKEEVIPDNLLVLSCEEDYEVCIPFLEKGSTVYSSELLLNGIVTQRLDFDRYHLFSDHVKRTRSTVWMKKNNNQYLAIAKCK >Solyc03g044543.1.1 pep chromosome:SL3.0:3:10041255:10041794:-1 gene:Solyc03g044543.1 transcript:Solyc03g044543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSISCFRVSPKTWEVVFYERSWPFTLLSKSSGEVFLSCGKSYGCILVKNDSKEPSIFDLTSLMFNPFYAKPKHLTFSRGKKNSQVHQMFYEYSDADWGVQLHAITFTVKKIVFLGKPRNKS >Solyc02g088230.3.1 pep chromosome:SL3.0:2:51007870:51031092:-1 gene:Solyc02g088230.3 transcript:Solyc02g088230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEYVSQMVHEWQEAYMDYNHLKSLLMEILNFKKKNAPLPEVAATPRGSLKRRVSMYRAFSGLQIRYNSFKGKNNHEDEVIVVNSVQQEGSESHYETTFLVSCEEGGECELNFFRSLDDEFNKVLTFYKKKVGEVKVEAEALSKQMDALIALRIKVDKISIVDQVIDTFSNEDSVFSPASVDTMHFSSPGRPHMEAIQEVEMNNEEILEQETVQEVEMTSEEILEEKAKIGKRDTTEMIPRDFRPAPVEVLDYVKINIEPETPISTLRCIMTSKPNLSFSKEELRKVEEQMKKAFVEFYQKLRLLKRYSSLNVLAFSKIMKKYDKISSRKASKSYLEVIEKSYLRSSDEVLKLMEGVEVTFIKHFVDGNRKKGMKYLRPQAQRETHRVTFLLGLFSGCSLALVAAIAVSIRAGTLLENEDRGQYMENIFPLYSHIDSFLLSIHKKNLCFQHLIRVMTVCLCSLFGFVVLHIVMYGANIYYWRRFRVNYPFIFGFKQGTELGYRQVLLLASGLSLLALAAALYHLDMDIDPETQSFQTLTELTPLALILLTVTFLPLNIIYRSSRFFFIKCVWRCLLAPLYKVTLPDFFLADQLTSQVQAIRSLQFYVCYYGWGNFRERSNTCQESKIYPILYIFLAIIPFWSRFIQCLRRVFEERDLMQGFNSLKYLSTVVALVMRTLYDQKRGTFWRVMAASTSGITTVGNTYWDLVVDWGLLQRNSKNPWLRDKLLVPYKSVYFVAIVLNIILRLVWMQLVLDFQQLPFLHRRAFIALVACLEILRRGMWNFFRLENEHLNNVGKYRASESVPLPFNYDEDKKFTSQMVPEWQEAYMDYNYLKGVLKDISCFKKKNAPLPEVAATPKGSLKRRISMYRAFSGLQSRFSFKGSPGRADNEDEEVILVSSLQQEGSQGHSQTMFLMSSEQGGEYEMVFFRRLDDEFNKVITFYKKKVGEVKDEADELSKQMDALIALRIMVDKPSIGMRSDQVMMDDDTTSSPGSVVPTHNIRSPGGAHMEAIQEVEMTGEEILEEESTSKEKKNLMRFRPAPIEILDNVKINIDPATPISTLKNVIRTSKANVKFSKDELKKAEEQMKKAFVEFYKKLRLLKSYRLLNVLAFSKIMKKYDKTTSRKASKSYSDMIDKSDLGNSDEVNKLIERVEVTFVKHFANGNRRKGMKHLRPQAKRETHRVTFFVGLFFGCSIALVAAIVVAVRAQHLLEHKDRDKYMNNIFPLYSLFGYIVLHMLMYGVNVYYWKRFRVNYPFIFGFKQGTELGYRQVFLLASGVSVLALAASLFHLDMDMDPKTGSYETVIELIPLVLVFVLLLITFCPLNILYRSSRFFFLRTAWHCLCAPLYKVTLPDFILADQLTSQVQAIRSLQFYVCYYIWGNFKTRSNTCQDSNVYKILYIVVAIIPFWSRFIQCLRRLFEEKDSMQGLNSLKYFSTIVALVMRTLYDQKRGTFWKVMAASTSGITTIANTYWDLVIDWGLLQRNSKNPWLRDKLLVPHKIVYFVAIVLDIILRLVWMQLVLDFQELPHLHKKAFLAIVACLEILRRGLWNFFRLENEHLNNVGKYRASKSVPLPFNYDEDKSMMKRKLIDGWNYFHEIVLRKVIDLSSSKYLTKQSMLEVLQLSKVSHENDWNNTTLETQMLEQLVANVHMSIISRPLNFTIMKARVRWNFIKIASVDAEGKFNSNATSKLLCVAPDKTLKISSSKIVEFVKFLIENSDEPVLRRIVSAVGKIIGGGWKVLEKLLEGVSSKCVVNSLPNFIRINQENTQQSNQFHNETALLFGSLNKRKYSLFFIIKSGDIISNDQNSLNDGYIVLHMLMYGANVYYWKRFRVNYPFIFGFKRLLFSRNLGGLKE >Solyc08g061420.1.1.1 pep chromosome:SL3.0:8:48734795:48735064:-1 gene:Solyc08g061420.1 transcript:Solyc08g061420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLVSPNIKVAMYPHQCGGFEFMWKHIAGAIKFQRLREPLSKSRGGCIISQPPGTWKTRLNIVFLQSLLKIHLKSRPVIIAPSSLLLN >Solyc06g054640.2.1 pep chromosome:SL3.0:6:37509697:37512336:1 gene:Solyc06g054640.2 transcript:Solyc06g054640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFNIKSNKKEKEIMGICELEEDKLSQMVRDFIEMDCENFQVDELVDHNNPTNTYLSLKDILENVSDGEKEILGKILFYWRNNMEPKKLRQWIVNRLRIDEYEASLCKTSWITSSGSPSAFQFTGDYEYIDVMMKGISNGSERVRLIVDIDFRSQFELARPTQEYQELLNSLPSIFVGTEDKLNGIISLLCSAAKQSLKEKGLHVPPWRKASYMHSKWLSHNCKKIALFAPTLHIV >Solyc06g082150.3.1 pep chromosome:SL3.0:6:48096403:48099805:1 gene:Solyc06g082150.3 transcript:Solyc06g082150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSKNTDSSSHGSEDREDHGGGQLYVSLKMENYKLKGELIPHVFGSVPLIGSWDSSKALPMERESTSMWELSFVVPPNHETLDFKFLLKPKYNHEPYIVEEGPNRVLIAGTLQGDGRSASFMLEKEDIIEYRVFVKADRVSPFDLAASWRAFQENRRLSTVRGVPDVSINSTPAMGAEHGSSASLELDLEHYVVPAPATSAPVYAANLTETPRSLTRKGVFSGTDGTIIPRPSSKDGHTSNDRSASIKMEVIVPDPTRVPSGSGTVESKSMGAFSSLQKQDSYRGILVDRGVGSPRLAKSPSTTTFTLDLKPDSDAKVCRNLMFP >Solyc07g022920.3.1 pep chromosome:SL3.0:7:23322859:23335046:-1 gene:Solyc07g022920.3 transcript:Solyc07g022920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLPRSLANSLRLHDDEEEEGEGDKDKTQNYQLSDSTYREMDLENRIDNSFDINEGGGVKEDLSELKQTLTRELWGVASFLAPPPPPPPPPPVPVVQRVKLDLNRIESVDRSNLCGEREKEYMGDYLGYSNYEEVEDSVYDAVGVTNEALTFARNIAHHPETWLDFSLEEEEFDDFKISETQQKHVFAVECLAPRLAALRIELCPVHMSEGYFWMVYFVLLHTRLNKHDAELLSTPQLMEARSMWMQELQKKTKPDSDFFGMNTLLMKVSTYSPHKSFDSTSSEDAHCKYFMPQRSFGFESTNPKIQFIDEAIVENPPSKILEKELVAGPSKPLFLDYDEHEDDWVQDIEESECYSGTGILTGNEEEISFSDLEDDIDCTMPVQSKLF >Solyc12g055930.2.1 pep chromosome:SL3.0:12:62870061:62874997:-1 gene:Solyc12g055930.2 transcript:Solyc12g055930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNILVTGGAGYIGSHTVLQLLLGGYKTVVVDNLDNSSAIAIKRVQELAGRFGSNLLFYNIDLRDKPAVEKLFESNKFDAVIHFAGLKAVGESVQKPLMYYNNNITGTITLLEVMATRGCKNLVFSSSSTVYGWPKEVPCTEESPISAANPYGRTKLFAEDICRDVYHSDSQWKVILLRYFNPIGAHPSGCIGDDPRGIPNNLMPFVQQVAVGRRPALTVYGTDYPTKDGTAVRDYIHVVDLADGHIAALRKLFDPSTGCEVYNLGTGKGSSVLEVVAAFEKASGKVVYFYIHPWNSESGRRPGDAEIVYAATEKAERELNWRAKYGIEDMCRDQWNWASKNPYGYEGSKESK >Solyc03g007640.1.1 pep chromosome:SL3.0:3:2179015:2180274:1 gene:Solyc03g007640.1 transcript:Solyc03g007640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNDWDLSAVVRSCNIIINKPTNVTASENDSYTFEKTLDFSVGREKKSNDQVVITNQNQEMYLHPTQPNQFSEENILPSLPTTVTTGVPTTTTTTTPQESIYVHQQLEKSNDQVIIMNQNKNPMMYLEPQFRQQIFLPPLPTTTTPEESVNQQQLVVHDQVYPNITMPVQNSMIRTFKRRDQSPRVFNEVLQEELTDDKWAWQKYGQKSIKGSPFPRNYFKCSASNCKATKIIEKSPKNEKYFLVSYSDVHNHDPPPSRRSLALYNNISKRRLPKSINIVPKALKLNASSSSSSSSSSSKRAKRVKVDASSTAPKPEIKKNNEMVDDVVENKGDIIEEEEITYDKIFMDFENSNKLYI >Solyc01g099270.2.1 pep chromosome:SL3.0:1:89423237:89425468:-1 gene:Solyc01g099270.2 transcript:Solyc01g099270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARTPPKLQNKKMVVPPLNPILLRETLNKVDKCMARLQELQYTVTGGHKVISGVTLSPRSTRGYLRTSLRCKQESLRIKNATSRKSPPGKMPTSGGEWRRMSLPAMLLGETVAEIKQASQFARDIVEAVGPKGSDDPKTPLIQRQNQKPSPENSELRSRRKREKQVTLQTMRTESDTPSKRRAKSRINFKVSPVQQKECEKENCKYIANRVSPRNRPWVKKTVLFPNPLFHSSPTSQQQKLSKTQSPVIARNRQSPHKFLVKSPPKAPKLQMKIRSPQKLHASPTRVTSLGTKFSTKSPPKASKFQVKIRSPPQLSVSPTRVTSLGSKSLAKSPPKFQVKIRNPPQLSVSPTRVTSSGTKSLAKSPPKFQVKIRSPPQISVSPTRATSLNKRSPKMSAAAKLRRSFSPSRLANKIASSPSKMRSFSPSRLANKIASSPSKMRSFSPSRLVSRLASPLKSKKSVQKIDGMKMMMSGLKQRPRASTTSKQFSVQGM >Solyc05g025980.2.1 pep chromosome:SL3.0:5:38823793:38829515:1 gene:Solyc05g025980.2 transcript:Solyc05g025980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSMQNVWETGAPLFLAGVLEYLADQVLELVGIAAMNDKKNRITPRHIQLAIRHVTIPNGGVIPNIHNIFPPNNKSNTSKAVVDVPKEQEDWNDNSIASIAMFASINLL >Solyc12g010100.2.1 pep chromosome:SL3.0:12:3227108:3232165:1 gene:Solyc12g010100.2 transcript:Solyc12g010100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKNRVKLNVGGKKFETTATTLASGGRTSFFGAMFNDDWNLHSDGSITEIFIDRNPEYFGVLLDLLRTGELYIPPKIDKKHLYREALYYGIEDHLRLAKWGPFDGNRLRLAESIKGPTKGKAEKIRASPNSWCCVVRDNIVSVYNWMLEEKTTITTRDYRRVNDVCWVNSDNIAVSSSDKYLASEGIGLFTASTGELKYNFQVKDEDQLKDYTAGALGVGSDYKLFSSCTEITNKKNGIAVWDQITGKQTDFLQYLPYSKATKLQWLQGKIRRLCRGLMLRIKECIKTPTDQRMYKDAIAIEERNSICIVDSHENLGFMDLRSTTSSIEWRSTTRDNIYNPYPKLAFHEGQLFASLEHTISVYCGPDWVPTSQLQPSHGGLIWDLSIGGDRLFALYWNIDIRTNTSVNWRYKKDSSSDMCFPKLVFHEGQLFSSLNNSISVYSGSDWLPTSQLRKSPGGPICDFFNWWYQLFALHKDENVIDLWETPRPPNFAMHSGSDWLQTSQLRHCDSGPIHDYSVGGNRLFSLQSTVKLNVGGRIFETTATTLEFSGQNSLFRAMLDENWNLHSDSAITEHFIDRDPDYFAVLLNLLRTGELYIPPNINKKLVYKEAEYYGILDHVRSAECDKFDGNRPRLARSITGWSVRDGGISRAIEASSNGWCCVAHGSVVHVYDWMLEERPTINLDYHKVNNLCWIDSENIVVSSEENLDSGGMGLFNASTGELKYKFQVTDVLEDYTAGALSVSSDNKLFSSCTKSTSNKHGIGVWDQVTDKQIDFLDRPPYKYQHNASKLQWLHDTKCLMVAGFHPRSNIILYDVRNDKMVWSKPGVYASSCYDIAREELFRDVIAIEESCSICLADWNECLGFMDLRSNGTIYWRNSVKGVQPFCNWGTGNYSCYPKLAFHEGQLFSSLNDTITVYSGSDWVPTSQFHQKHGGPIWDFSIGGDRLFSLHRNEDVLDVWETPRMT >Solyc01g065626.1.1.1 pep chromosome:SL3.0:1:71937316:71937693:-1 gene:Solyc01g065626.1 transcript:Solyc01g065626.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPLSPFNYPLWCTIANEIDCRLLAYSDSEWAGDAHDRTWTTGYVIYLGSSPISWSSMKQRLVSSSSTEAEYWAVVSTVSETDLPVFFMSFNFLLLLFQEFSVTISAPLIFVRIMFSINEFHNS >Solyc06g054145.1.1 pep chromosome:SL3.0:6:37058722:37060371:1 gene:Solyc06g054145.1 transcript:Solyc06g054145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKYQHDPHVLQRVSKHFFCENVYNDDSTDQPKPRWRFRNSFEEDVASAHMTYDDAFYNKKINSVKTDLRKTNLQRRKINMSAAADVLEAIEDPFDCIFGSSASVQDIHHPDAFSSLPRRHNYNQKRSQRRHRMRHKENMDV >Solyc03g071870.1.1 pep chromosome:SL3.0:3:21154567:21155321:-1 gene:Solyc03g071870.1 transcript:Solyc03g071870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAIAQNGHVIGEVLCKKSIHDPLNWEMAADSLRGSHLNEVKKMVVELRKPIVKLGDGTLTVAQVASIANISGVKVQLSETARAGLKASSDCVMDSMSKGTDSYGVTTKFGATSHRRTKNGGALQKELIRFLNAGVFWPNSKAIGPNGEKLDAHEAFRVAGLSGGFFQLQP >Solyc08g008290.3.1.1 pep chromosome:SL3.0:8:2714616:2715695:1 gene:Solyc08g008290.3 transcript:Solyc08g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFFAVLFLFFNLSLARTPFTQPENDVTHLKLPSENDLAIPELPHTLPESDDSAPAVNIPSKSDHENTRSVHSVPVTLVRFRPINRRFRLRSRLPFRLCHHLKPGSQRQIPYGNDMILPSKRNIDFDKFLNRIGVRQIPSDPFSFRHHRYNDKKIWKFLYDRENFGEMKLKDQLPHHDENEDNDHEQRQISISSENQGNFGEIKSKNENEFHAHDESDKRSKLYVKHYEMNLRKRFHYNNGEEGEKAKEENKWHKREKKKGGFMRGIRKFLHHYFD >Solyc11g044365.1.1.1 pep chromosome:SL3.0:11:33086995:33087381:-1 gene:Solyc11g044365.1 transcript:Solyc11g044365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFLGENPPTDTDAPNPIVFAYQNASVDEININLNSLEISLKKEKEYGETLQTSTKEPSIEKLSSFDLKTLCKDLEVADKEIKRVVSIKKERGYEYPYQTIGSAFAPLRVANHSSFDSNEGPSRSNE >Solyc11g042553.1.1 pep chromosome:SL3.0:11:36380798:36381443:-1 gene:Solyc11g042553.1 transcript:Solyc11g042553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVAAGSSNIGNESGSDQITENLPPNTIFPIDNFVNTQSRQVTLKSKMVKKNKEKKFVSELVVSSSQIRSGEENVVQIITNNGPNFVNAGKRLMETRSHVYWTPCAAHCIDLLLEDIE >Solyc06g054130.1.1.1 pep chromosome:SL3.0:6:37049232:37049570:1 gene:Solyc06g054130.1 transcript:Solyc06g054130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVLAKCDCCGLKEECTIEYISEVKENFEGKWLCGLCSEAVRDEFNRGNKKQYFIEMEEALKAHMSFCRKYKSNNPAVHVADGMRQMLRRRSGDLSTNSSSKKYTSRSITT >Solyc09g008625.1.1 pep chromosome:SL3.0:9:2092104:2092802:1 gene:Solyc09g008625.1 transcript:Solyc09g008625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVQVKYAPNGCGMKKGCVFSAGTSTKGSLSEVSDNLSTMLTDAPPKQQKQILGDHLYPLISEYKPHLAAKVTGMLLEMDNSELLSLFKSPESLAAMVQQAIETLNFPKTEVSPRDSCLPNLLSTKLQST >Solyc02g032310.1.1.1 pep chromosome:SL3.0:2:28688567:28689226:1 gene:Solyc02g032310.1 transcript:Solyc02g032310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCLGSYLSRFCNIDFDQSADLDDIDVLARETMFRVNEIEALYELFKKISSAGIGDGLIMNKKEFQLAINNTNVKESFFADRVFDLFDTKRKGILGFKEFACALSIFHPNSAIDDKIQFSFQLYDIKQQGFIEREEVKQMMLVALAQSNMKFSDNVIERIIDNTFEEADINRDGKIDKEEWRSLVLRNPSLLKNMTLPFLTDITTMFPNFTYHSRVED >Solyc10g084100.2.1 pep chromosome:SL3.0:10:63881740:63887415:-1 gene:Solyc10g084100.2 transcript:Solyc10g084100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAGSRGVNGPRRRNSRRSHPPPPPPPPQPEIRANGYVFAATPYLSRFPHGPSYYQYPGYYSTPPPPPRPRAMAAPYVLHQKAVTIRNDVNLKKETLKIEPDEKNPGKYLVAFTFDATVSGSLTVIFFGKEGEDCLLTPMKESLLLPITVEFQQGLSQKFRQPSGTGIDLSIFDEAESSIYADADVYPLAVKLEAIPGKESVPEDENAVSGSTNSQLTLAVFEKDKGEYNVRVVKQILWVNGMRYELQEIYGIGDSIDNEFDGNDPGKECVICLAEPRDTTVLPCRHMCMCSGCAKVLRIQTNRCPICRQPVERLLEI >Solyc02g083320.3.1 pep chromosome:SL3.0:2:47324602:47328209:-1 gene:Solyc02g083320.3 transcript:Solyc02g083320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPRKRYSRSPSPWEEKSRSRSRSPTRSQSRPRGRSRSRSHGRAEDTNPGNTLYVTGLSSRVTQRDLEEHFSKEGKVKSAFLVVEPRSRISRGFAFITMDSLEDANRCIKHLNQSVLEGRSITVEKSRRKRPRTPTPGHYLGLHQSARGDGYHGDRGRYRGRGDYGYRRSPRRSPYRGGRDYSPRHSPYRGGRDYSPRRSPYAGRSRRARSRSYSPYERNYPRGYR >Solyc05g047590.3.1 pep chromosome:SL3.0:5:59943536:59945854:-1 gene:Solyc05g047590.3 transcript:Solyc05g047590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4C0Z2] MGSSSSFITTKFLLLILICSTSFIKTTSSSLNSSSTLNTTTHISSLKSLCNSTPYPKSCFNTNKLSISINISPNILNILIQSLQTALLETGHLTTLFSSAGRSNLVEKQKGIVQDCKDLHQITISSLKKSVSRINTTSANSKHLADAKTFLSAALTNKATCLEGLDSSSGSLKSTLINTLSSTYEHVGNSLSMLSKYSVKKQSTLFSKQGTLFKKQGKKQSTLFNKQGNGNRHRRLLSASEPMWLSGKDRRILQSDDDYDQNDDLTYTVAPDGSGNFKTISEAIDFAPNNSYDRIFVYIKEGIYQENVEIPSWKTNIVLLGDGSDATVITGNRSVVDGWTTFRSATVAVSGEGFLARDITFENTAGPEKHQAVALRINADLAAVYRCTITGYQDTLYAHSFRQFYRECDIYGTVDYIFGNAAVIFQGCNIVSRLPMPGQFTVITAQSRDSPDEYTGISIQNCSILATEDLYYNSSTINSYLGRPWRDYSRTVYLESYIDGFINPEGWKEWSGNQNLDTLYYGEYENSGPASGVDNRVTWSGYHIMDYYDAANFTVSEFITGEEWLDSTSFPYDNGV >Solyc04g005160.2.1 pep chromosome:SL3.0:4:107065:114095:-1 gene:Solyc04g005160.2 transcript:Solyc04g005160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRSTSKVDETVERAKKEGNLPLYGFHDPESFVLSIQKPRVIIILVKAGLPVDQTIKTLSAFMEKGDCIIDGGNEWYENTERREKEMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLIAEAYDVLRSVGKLSNDELHQVFSEWNKGELLSFLIEITADIFGVKDDKADGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIAASLDSRFLSGLKDERVEAAKVFESSGVSDIFVEQSVDKNQLIDDVRKALYKGKEC >Solyc04g076525.1.1 pep chromosome:SL3.0:4:61500578:61506539:1 gene:Solyc04g076525.1 transcript:Solyc04g076525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQIWLQKMNRQMQAMNVDIHFSGDGEEVPAGNEKAVGNFPVGYEGPVDSVKVTMPHQTVCSASDVVALQNRETDFDQLTISFRGKIYVFDGVTTQKVHSLFKLLGGYEYSPGTQALGLLSANQKDYVDHPVHCADPKRLESLIRFYEKRKKRCYEKKIRYGIRQEVAFRMKRKNGLFARKGSNGPKQENIPSEETRCIHCGTSSKATPMMRRGPDGQRNLCNACGLTWANKVGMFSINYSLTG >Solyc02g090420.2.1 pep chromosome:SL3.0:2:52619910:52623346:1 gene:Solyc02g090420.2 transcript:Solyc02g090420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKWWRAVATTAGGLRSTEVTSRRTYFTIQAIPREVSGRRVSSRDRAQGRIPAVVFSQNYVQSKPDDPTSIVAASSVSRKFLLTTERKQIKTIIDSVDLPFFCSTTFPLQIRAGSGSSTLLESGKVLPIKIHKDEETGKILNLVFVWAEDGTKLRVDVPVVFKGEHECPGLKKGGYLNKIRPSLKLLCPAENIPQKIEVDISQLDVEDKVSLHDIDVHPTWKLLSKNETIPVCKVKATPVDS >Solyc07g049430.3.1 pep chromosome:SL3.0:7:59823317:59826573:1 gene:Solyc07g049430.3 transcript:Solyc07g049430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYTLMSIILSHYLLLVTKTLAGKVPAIIVFGDSSVDSGNNNQISTVLKSNFEPYGRDFYDKKATGRFCNGRIPPDFISEGFGLRPFVPAYLDPAFSISDFAQGVCFASAGTGYDNATSHVLNVIPLWKEVEYYKEYQKKLKAYAGKKKSKYIIKESLYLISIGTNDFLENYYSMQSQRSSQYTEDQFQDFLLQLARNFVHQIYRMGARKISLTGVPPMGCLPLERATNYVGGNGDGCNEKYNNVAKHFNVMLSGLVERLNEELPGIRVVFADAYNLLLQMITKPSSYGFEVASVACCGTGLFEMGLLCDKLSPLTCTDANKFVFWDAFHVTDKTNHIISDFLMEHVLHQFL >Solyc05g046045.1.1 pep chromosome:SL3.0:5:59030330:59037090:1 gene:Solyc05g046045.1 transcript:Solyc05g046045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVSTTIGSNDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPVLPISISLPCSLHSQKKRRSTSEVGLIYGGDTQRLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVVILQAQKEVEVVGSRPYELKVELGWNS >Solyc11g007190.1.1.1 pep chromosome:SL3.0:11:1616547:1616759:-1 gene:Solyc11g007190.1 transcript:Solyc11g007190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQVISSSVYCEKGEKVPQICRKTERKLSFELKLETIEEENDQKYGGQFCKMSHSSYKLANTSSNISKG >Solyc04g054820.3.1 pep chromosome:SL3.0:4:53229672:53235258:1 gene:Solyc04g054820.3 transcript:Solyc04g054820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVYHQAICFTANEGVRDFLITSSSGSLKMKPLLRKERCSLRQYVNCVKYSSKSSLCAIKPIFSSLSSTNDSRRTVRFIPAAESYLILIRHGESMWNEKNLFTGCVDVPLTSKGVEEAIEAGKRIRHLPIDVVYISALIRSQMTAMLALTEHHCKKVPIIVHDETEQAKVWSQIYSEGTEMQSVPVIKAWQLNERMYGELQGFNKLETAERCGQEQVYKWRRSYDARPPNGESLEMCLRRAVTYFKEQIEPQLSSGKNVMVVAHANSLRSILMYLDKLTAEEVIHLELSTGVPMLYIYRENQFIRRGSPLASMENGVYAYTENLAIYKQNLLDEVLDEVSL >Solyc10g017787.1.1 pep chromosome:SL3.0:10:6020852:6022542:1 gene:Solyc10g017787.1 transcript:Solyc10g017787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSITGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLSELFDINKIANVKSHHC >Solyc07g017940.1.1.1 pep chromosome:SL3.0:7:8617209:8618978:1 gene:Solyc07g017940.1 transcript:Solyc07g017940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRLRESRIKHQTTIIDTTLTQGTSLIKHLAACNASIRSKAFAHLQTWLVTQTQLDDDDMKKLWKGLFYCLWHSDKAPAQALLINRICSMVKTLDFGLCLHYFSAFLITLRREWNGIDHLRLDKFYLLIRKFLRVVFELMKKYGWNLEIMVKCNEILENNAFLATDKLLGHGVNYHIASVFLDELKGCFVPVQEDVVFCLFKPFLSVLGKSEDKIMVGKVKNCVFEELLKIGRSLLERRKEGVEVDESYDDVAFGIIALKTGFSGKLFEVGSSMDCVQGNRKVVLKLHEEFLRLEKEFEASGIEFSLPETNEVVDDGEDVPQLIPIEDSLTGKVGDLENDEGFGDEALRKCKKVKRAVDGGSKKAKKKEKKKKVSEDDTLVEENETNELEATKREKKKKKKKKNGLSNGDSRENMIAINGDSSNYELVTENKVTFNEEVISNLQMQFEKVAAEVASDDDNDSSHTPTISMKKKRKRGKIAEGTESCIHVISSEGNAATKSTEKSSKKVRFAMKNNLIWKPNSPMPPQNLRLPPTLTPRGSALKKGVPPGPIREMPLSKKMKQKKKGRKILRTVSPAMKRLKKMKAVST >Solyc02g064830.3.1 pep chromosome:SL3.0:2:36524739:36526903:-1 gene:Solyc02g064830.3 transcript:Solyc02g064830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIITYEEIQPDIQRIANGDRSPIFSSHPVSEFLTSSGTSAGERKLMPTTQDELDRKQLLYSLLMPVMNLCVPDLDKGKGLYFLFIKAETKTPSGLLARPVLTSYYKSDHFKTRPYDPYNVYTSPNEAVLCVDSFQSMYSQMLCGLLMREQVLRLGAVFASGLLRAIHFLQLNWQQLADDIASGILNPRVSDPSIRECISKILKPNHELAEFIIKECEKQNWEGIITRIWTNTKYLDVIVTGAMAQYIPTLDYYSGGLPKACTMYASSECYFGLNLNPICKPSEVSYTIMPNMCYYEFIPHDSANPSDSPRRLVDLANVEVGKEYELVITTYAGLCRYRVGDILQVTGFHNSAPQFKFIRRKNVLLSIDSDKTDEAELQNAVDSAAAILRLYNTSVVEYTSYADTKTIPGHYVIYCELLIKDPTNSPSHEVLNQCCLAMEECLNSVYRQCRVADNSIGPLEIRVVENGTFEELMDYAISRGASINQYKAPRCVNFTPIAELLNSRVISVHFSPGAPHWAPERQRLQF >Solyc07g040695.1.1 pep chromosome:SL3.0:7:49511197:49512745:1 gene:Solyc07g040695.1 transcript:Solyc07g040695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRVYQGQGPLGYAGLFPLISYGQTILSWRASYWNINSSNTRQKFFPGSSYRKSDDSRRQRFAHALPVNFSSINGKNYLEWAQSVKLAVDSRGKIRHLTGEM >Solyc04g012100.2.1 pep chromosome:SL3.0:4:4406390:4419910:1 gene:Solyc04g012100.2 transcript:Solyc04g012100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIRHKNLVPVITTCSSDYIRAFVLQYMPNGSLDNWLYREDFPLNLHQRVAIMLDVAKAIEYLHHSNDTPIVHCDLKQPTFFWMKIWWLMLVILASLKFYLSKSQQVVALNLPNLQLQGTISLSLANLSSLRELNLENNLFHGGISYGLGHLPRLRVIDVKKNQLNGIIPPTIGNATKLLNFSLSVNRINGNIPEEIGNLRQLAVLSSTDNKLTGSIPEALFHISSLLSVSLGKNSLSGPLLVGKGNILSHLEILSLTRNQISGRIPSSICQPIQLKILSISFNNITGEIPKNIDCLRSSRSFILIPEAIFNISSLNYIALTLNKFSGRIPTSAGLHLPNLLGLYLSGNELEGEIPLHITNASRLVRLGLATNFFSGSIPTNWESLRDLRLLFLHDNHLTSEYGLPFFQSLADCRMLQYLDVGYNPLNSIPPNSIGNLSSTIEFFEISDAQINGLIPTSIGNMSGLTTLVFQNNNFTGNILLEFGNLKQLPGLYLNNNKLQGHIPEAVCHLSHLGRLNLEEGEIPSDIGQLQVIVELVLSGNHFSGMIPSNLCDLQNLQSLALSNNSFSGQIPLSFANLISLEFLDLSLNALSGTIPKSLEKLPLKSINVSFNELEGEIPSDGVFSNSTLQSFLGNRDLCGAHILEIPACVVTYPGQQSNLKEVVVKIVTPVIISSFVILLLVSIWIMKWQKKGKSKDVEKVPEIKTFQLISYHEIQRATNNFDVSNLIGVGGSVSVYKGMLYSGVVVAIKVLDLENEHVCKSDHIRAFVLQFMSNGSLDNWLYREDRHLNLLQRVTVMLDVAIAIEYLHHGYDTPIVHCDLKPANVLLDEDMVAHVGDFGISKILVVSKSVAHTEILGTLGYIAPVQFSISIASSNETDQEALLAFQKLITSQSHFWANNWTKNTSFCSWFGVTCTPKTQRVVALALPDLQLQGTISPSLANLSFLRELNLENNLFHGGVPYRLGHLPRLRVINVRNNQLEGSIPTSLFQHQRVQIISLAYNKLSGEMWKGPWYVPELRILNLRNNSLTGIIPSSVGNATKLLNFSLSGNRINGVIPTEIGNLSQLIELHLFNNQLAGSIPATLFNISSLIRASLASNSLSGPLLLDEGNIVSNMKYLSISKNQISGCIPSNICQLTELKILSISYNNMIGDIPRNIGCLSKLEEFYAGNNPITGTIPTSLGNISTLRNLYCGNSRIVGQIPKAIFNLSSLEMIDCSFSNLSGRIPATSGLHVQNLKELFLGHNQLEGGIPLFITNASKLEILGLENNFLTGTIPTNLGNLHELQELFLHHNQLTNEPREHELQFFNSLSDCRMLRYLQVGSNPLNGILPNSIGNLSSTVEYLHISDAHIYGPIPRGIRNMSGLITLSLGENNLAGRIPSDVVKLEQLQGLYLNNNKLQGHIPEAVCNLSNMVQLSLDGNELSGLIPECLGNLSMLQAIRLSSNKFSSKIPLSIWKMSGLLYLIMSQNSIEGEVPQDIGGLKAIVGLDLSGNHFSGMIPSQLGDLQNMNTLDLSNNSFSGSIPLSFANLISLEYLDLSLNALSGTIPKSLEKLLYLKRINVSFNDLEGVIPSGGVFANSTLQSFLGNKGLCGMHILEIPACAITTTGQQSKSKKLVLKIVIPVVAASFLIFLFVIVWIMRRQKKANSKDVEKVPDIRTYQLVTYHEIQQATNNFDGSNLIGSGGSGSVYKGTLSSGTVVAIKVLDLQNEEVCKRFDTECEVMRNVRHRNLIPVITTCSSEHIRAFVLQYMPNGSLENWLYREDCHLNLLQRVIIMLDVALAIEYLHHGHENLIVHCDIKPANVLLDEEMLAHVGDFGISKILAVSKSMAHTETLGTLGYIAPGRVSSSGDVYSYGIMMIEVLTKRRPTDDEIFDENLGLRDPKRQRVVASTLPDLQLQGTISPSLANLSFLSLLNLRNNKFHGGIPYGLGHLPRLRVIDFQNNQLQESIPTSLFQHQRVQIISLAFNKLGGEMWKGPCLYGNRVGGNIPKEIGNLSQIEFLSLFDNQLTGSIPAALFNISSLLVASLAFNSLSGPFLLDEGNIVSNLESLTISNNQISGNIPSNICQLAELKALSISFNKITGEIPKNIGIIHVGSAQISGLIPTSIGNMSGLMSLAFEDKNLMGAIPSEVGKLQLQGLYLYGNKLQGNIPEGEVPHDIEGHCKTRSFCGYFILCDIIDGVSLDNNETKDERKFQRRGKGGSGSVYKGTLSSGTVVAIKVLDLQNDEVCKRFDTECEVMRNVRHKNLISVITTCSSEYIRAFVLQYIPNGSLENWLYREDCHLNLLQRVNIMLDVAVAIEYLHHSHYTPIVHCDLKPDNVLLDEEMVAHVGDFGIS >Solyc04g080990.2.1 pep chromosome:SL3.0:4:65138540:65141202:1 gene:Solyc04g080990.2 transcript:Solyc04g080990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMRITPTFDEITVTPNTHESENQNTLPDIDITIDDSSLNSSENHSLRFFVFSMLTRIHAGYFRISLSLCWETLLWKTLLDPNNNETKFLHRVPQIIYRPILIFLWSFALLILVLLSLLYLLKCVFRFNLVKREFLHHVGVNYLFAPWISWLILLESYPFIIAPKHLVYKALWWVFAVPVLILDVKIYGQWFTKGKRFLTAVANPTSHLSVIGNLVGARAAAKMGWQEVSVCLFSLGMVHYLVLFVTLYQRLSGSDRLPAMLRPVFFLFSAAPSMASLAWASITGTFDTASKMFFYLSLFLFTSLICRPSLFKKSMRKFNVAWWAYSYPVTLLALASTRYAKEVKGKVPHTIMLTLSSLSVLVIIALLLSTALYSKILLRDDDPSLKHSCLSETHSEY >Solyc07g026785.1.1 pep chromosome:SL3.0:7:31656532:31666476:1 gene:Solyc07g026785.1 transcript:Solyc07g026785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSAAFEMKDLGPAKQILEMKISRDRSAGTLNLYQELYIEKVLSRFWVNDAKPRTTPLANHFKLSKEQSPKTAEECDHMALVPYASTVGSLIGVVSRYVANPGKEHWEAVKWLLRYLRGTSSTSLCFGKGNVTLHGFVDADLGGDVDSSKSTSWYIYTTGRTTKCVSLSFTEAEYVAIAEAEKEKIWQADYLEELETLLIPKAFHDFLIKLVSW >Solyc08g078910.2.1 pep chromosome:SL3.0:8:62696544:62700466:-1 gene:Solyc08g078910.2 transcript:Solyc08g078910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSLALFLVFNVLFFTMVSACYTCPGPIKPNPKPTPSPSPNSQTKCPRDALKLGVCANVLNGLLNVTLGTPPVKPCCSLLGNLVDLEAAVCLCTALKANILGINLDIPISLSLLLNRTTSLALFVLVNLLFFTLVSACGTCPGVCANVLGNLLGVVLGNPPKKPCCSLIEGLVDLEAALCLCTAIKANILGINLNVPLSLSLLLNVCGKKAPSGFQCPK >Solyc03g097290.3.1 pep chromosome:SL3.0:3:61047782:61061355:1 gene:Solyc03g097290.3 transcript:Solyc03g097290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCSSVSPCLMVFVQVYKLPSERIYATYFGGDEKSGLPADNEARDLWLKFLPPSRVLPFDCKDNFWEMGDTGPCGPCTEIHFDRIGNRDAASFVNNDDPTVIEIWNLVFIQFNREADGSLKPLPAKHVDTGMGFERLTSILQNKMSNYDTDVFLPIFDAIQKATGARPYSGKVGADDVDNVDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGTEVLKAQQGFFSRDQCIIYTLLYVESSLVKVVVEVMGDVFPELKLRETHIRDIIADEETCFGRTLLHEAFVLWDTYGFPLDLTQLMAEERGLVVDVDGFNVAMDAARERSRNAQSKNASGAIAMDADATAALHKKGVAATNDTFKFTWSQDHESEIKAIYTGTEFLESAAAGNEVGIILESTSFYAEQGGQIYDTGSLESPSGSFQVCNVQIYGGFILHIGSFSGQAHKFSVGDKVICKVRLFSAWPSTTVDYNRRTLIAPNHTCTHMLNFALKEVLGDHIDQKGSIVLPEKLRFDFSHGKPVKTEELRKIESIVNEQIKSELDVFSKEAKLSDAKSIKSLRAVFGEVYPDPVRIVSIGQKVEDLLANPENEEWSSYSAELCGGTHISNTREAKAFALMSEEGIAKGIRRVTAVTTYRAFEATDLASSLEQKVNEASQTDESLLEEKVTSLNATVERAQIPTVVKTDLKAKLSVLQDRVIKAKKKIAAENIQKAVKAASEMAEAAASGGKAYCILQIGVGLDTAAVREAVVKVMEQKGMAVLVFSKDEAAKKVLVCAGVPEKGDKCKQLNVKDWLNAALKPLGGKGGGGKGGLAQGQATDISKVDEAMDVAASFAALKLN >Solyc04g005420.3.1 pep chromosome:SL3.0:4:290777:292989:-1 gene:Solyc04g005420.3 transcript:Solyc04g005420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFLLFSLFFLAITNHSSGLYCICKDGVSDQQLQKNIDYACGAGADCTPITQNGPCYNPNTIKDHCNYAVNSYYQRKGAAGATCDFTGTATTSPNPPTTTNSGCVYQSSPSNTGGGTTTPSTAPPGTTTPTIAPPGTTIAPPGTTTPTIAPPGSTIPTIAPPGTTTPGTGTGTGIVTTPGANNPPFGIGPSSVGIDGNAAMHLQNTIFFTLALLAISFLCSRV >Solyc07g042760.1.1.1 pep chromosome:SL3.0:7:56390373:56392184:1 gene:Solyc07g042760.1 transcript:Solyc07g042760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRIKRFISTLSSPFSEVHNTIKQLVVKGKYDQALELYTAKVHCSHTSSTFLLPSIIKACVHDQLFGFQLHSYVLKNGYSSESAISNSLISMYAKFFETKTAYQLFDTMPDRDIISWNSMINCYYMNGYLLESLELFKEMYNVHGFVPKPELIASVVPACVQTKNFKLGRAIHALTIVDERMETSILLTTALVDFYWKCCEPDLAFLVFQRMEVKNEVSWTAMISGCVAEKLHIEAVELFRSMQFENVKPNRVTLTSLLPACAELKYGKEIQGYAFRHGFDSDAKFSSAVVHMYSGCGGALQTIKNVFDRSTKKDVVMWTALITSYTRNKSSCREAIKLFNEMLLSGIQPNDVTLLALICACTNLLSVCHGRGMHGYAFTSGLSSHLFIGNSLINMYSKCGFLKDSAQAFQEMSIRDSASWSALINAYGTHGFGDKALELFNEMKESGIKTDSIALLAILSACNHCGLSEEGKEIFDEASKDRSCSITVELYACYIDLLGRAGKLEDASEVISRMPIKPTNRIWSSLISSCKLHGRLEVAERLAHKLAKSEPENAANYALLSLVYAESGNWPGVEDVRRDMKERKLRKSYGFSRIELDDKIL >Solyc08g075240.3.1 pep chromosome:SL3.0:8:59491527:59497193:1 gene:Solyc08g075240.3 transcript:Solyc08g075240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:K4CMP1] MDQKMTQNCCFLSKSSRSVIEYLGALSSNQLDSLTTLCDTFVPSIHDANSYGHQDQGNIDDDDSYAKFLQTSASMNGTPQHIAWMINNRLQHPKMNLCRLALWLLSTRIGTFILCGKASLSTQFPYLQTFSNISPKKREESVKSWATSCFKLLRILFFAAKILVLLVFYTQVNDENKNSSWKALGYSGPDPDFKKQKQENMNSKKKDDQPFGPLHEGIISLKNSQKIIFHRLQELGFSVSKPHNFNNARRSTECPAFIIECDAVVVGSGSGGGVIAGILANAGHKVIVLEKGSYLARSNLSLLEGPSMDQMYLGSGLLITQDMDVMLLAGSTVGGGSTVNWSASIQTPRHVLKEWSESYNLRLFESELYKEAMEIVCEKMGVQSEIEDEGFQNMILRKGCQELGYPVETIPTNAPSDHYCGWCSMGCKDGKKKGTSETWLVDLVKSGNGAILPECEALEVIHEEKNGNSGKSKAIGVAFAFQNIEGMREICMVKSTVTIIACGALSTPSLLIKSGLKNPNIGRNLHLHPVVIAWGYFPDSPSNSNETWPKAEKKSYEGGIMTAMSKVVANFEGSGYGAVIQTPGLHPGMFSALMPWVSGLDFKMRMSKYSRTAYIFALARDKGSGEAFSPYSVSYKLDQTDEENLKAGLEKTLRILAAAGAEEIGTQQEKGRSLKVNEASTKEFERFVREESSIEIGKHSVPICSAHQMGSCRMGTDPKTSVVNSKGETWEVEGLFLGDSSVCPTAIGVNPMVTIQAISYCTAQSVLQLLKNQKLG >Solyc03g044743.1.1 pep chromosome:SL3.0:3:10479988:10482568:-1 gene:Solyc03g044743.1 transcript:Solyc03g044743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAAEELEKGKDIGLGIRPINGPTSGMFMSYCLYVFSTLTCSKLRVESGKEFRELVYKFMEEFGKPNIVDFFPILRRMDPQGIRRLISIHARNFLKLFEGLIDECRKLHTNTRSNDNVLDVFLNVNQKDPAKGHKTYVPRTETTSNTVEWAMSEVMKTPEVMKKAQIELEKVIGKVKIIEERNVPLLPYLKYIVKETMRLHPPGPLFLRTAKQDVELCGYVIPKGSLVLIHVWFIARSLTIWEDPLGKDFELIPFGGGRRISPRLPLAMRTVLVKGEIEPKDLDMEEKFGLTLAKSRPLCTIPLPRV >Solyc06g050170.3.1 pep chromosome:SL3.0:6:32736710:32744703:1 gene:Solyc06g050170.3 transcript:Solyc06g050170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDYGKCWDTSKSLGVVYGDLSISPLYVYKSTFAEDIHHSETNEEIFGVLSFVFWTLTLVPLFKYVFIVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLEHPPEMKNSSKLKLLLEKHKSLHTALLILVLLGTCMVIGDGLLTPAISVFSAVSGLELSMSREHHQYAVIPITCFILICLFALQHYGTHRVGFCFAPIVMTWLLCISALGLYNIIHWNPQVYKALSPYYMVKFLKKTRKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAFLSKHHHTIHKIGFYVSVPDVVRWPVLVIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTNAKIHGQIYIPEINWILMILCVAVTIGFRDTKHMGNASGLAVMAVMLVTTCLTSLVIILCWNKPPILALGFLLVFGSIELLYFSASVIKFLEGAWLPILLALFLVTVMFVWHYATVKKYEYDLHNKVSLEWLLALGPSLGITRVPGIGLVFTDLTSGIPANFSRFVTNLPAYHRILVFVCVKSVPVPFVPPAERYLVGRVGPAAHRSYRCIVRYGYRDVHQDVDSFESELVSRLADFIRYDWYKAHGIMETCNEDDCSRSGASSGECRLTVIGTLDLSCAPAFEVEETMQPASVSVGFPTVESVTDVIEMQAVERRVRFAIDDESEVDSRDVMDCQLQGELEDLYTAQQAGTAFVLGHSHVKAKQGSSVLKRLAINYGYNFLRRNCRGADVSLKVPPASLLEVGMVYIV >Solyc04g063300.2.1 pep chromosome:SL3.0:4:55438228:55442835:1 gene:Solyc04g063300.2 transcript:Solyc04g063300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTIQKYLTQTHNFNNEFIIQAIHCYLVHLLVSLMRSNYSFNGEPTTPCSSKGATSSQAKALTTTIINHLRLGRLGKAVSVLFSAPVPVHFALYAHLFRICASNKAIVEVRKVESHLVSFAPTPPVFLLNRAIEAYGKCGCLVDARELFDEMPQRDGGSWNAMVTAYSQNGYAGKALAVFSDMHKSGVFAAEVTFAGVLASCASTLALWLSRQVHALVLKYGFGGNVILGSSLVDVYGKCRRMGDARRMFDEIERPNAVTWNVIVRRNLEMGNGKESVFLFFKMICLNARPLTFTVSNALVACSSFRGFREGIQIHGLIIKINFEEDEVVSCSLIDVYAKCGDLVCARTIFDLLSTKNLIHWTSMVSGYAMSGKTRQAREIFDRMPERSVVSWNAMLAGYAHNSQWDEAMELINLMCNNMRDTDRVTVSLILNVSAGLSDLELGKQVHGYMYRHGFYSDLSVANALLDMYGKCGNLRKARAWFYEMSYFRDMVSWNALLSSYARHKMSEEALVIFWEMQQEVTPSKFTFATLLAVCANIFACEQGKQIHGFLIRNGYDLDIVIRGALVDMYSKCRLLEYSLNVFIGTSVKDVVLWNSLMLGCYHNKQSEAILKLFELMKEDGVKPDSTTFQAVLLACISQGCVKLGRQYFNSLSQDYFIIPQLEHYESMIKLYGLHGFFDELEDFVEKMPFQPTAPMLGRVFDSSKEYNNTRLGKWASVLLQSFGQVDTSLLVDFGTFLVSQIAEYCSDTKYFTRAKYCREVDLLKVLELMNTYIIRAHQFFEL >Solyc01g105530.3.1 pep chromosome:SL3.0:1:93572671:93574007:1 gene:Solyc01g105530.3 transcript:Solyc01g105530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNEVTRLDAITAILCIESSMTTSAIVDSMGNALHSNFAENPDQEYAKQERLILERLHLLDEFAQVIGTGGSIC >Solyc08g076915.1.1 pep chromosome:SL3.0:8:60951347:60952361:-1 gene:Solyc08g076915.1 transcript:Solyc08g076915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDKEENNFFKRTPEQDFNFQDVPKHVLIVMNALKEFSIESLEWPLKNVALKSCCSVTIIGITPWLNIPLSAKTWSDIWSVDFQEVTMIRERSDQWRSTDYAKYHKVQYLIDLCEKYGVEPKIRTEMGHPLKSLVVDLITTLQPTLVVFDREGLGIDSPRFEIRKKGIEFIQGMLSRGGTRILEIDLCRGRLVPLECPHCVRKSSSSISLGRNGGYLDRGRERGNTI >Solyc03g113100.3.1 pep chromosome:SL3.0:3:64862411:64868216:-1 gene:Solyc03g113100.3 transcript:Solyc03g113100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC2 [Source:UniProtKB/TrEMBL;Acc:K4BKG3] MSTPSRKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTSWDGGTFKLTLQFNEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARLFSENKREYNRRVREIVEQSWTAD >Solyc06g053653.1.1 pep chromosome:SL3.0:6:36588899:36590778:1 gene:Solyc06g053653.1 transcript:Solyc06g053653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFFAASAFREMRFCRFNDFGEDGGPAAKELAPKVAGLQDFLVSKLGTGIPKIDVRHVVAAFMALKGMGGLLLVFGSMTGAVLLICYLIMATPLLHDISHFNIGEPQYFIVLQEFLQCLAFLGALLFFVGMKNSINRRLTKKKTPKSKTT >Solyc10g039395.1.1 pep chromosome:SL3.0:10:21820904:21821524:1 gene:Solyc10g039395.1 transcript:Solyc10g039395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQSVKLAIDGRGNLGHLTEETKKPGVGDPKMNSWRSENSFTWQIEVFLGIEVAQSKLGIVISQRKYALDILEETGMLKYRPIDTPMDPNVELLHGQGEPLK >Solyc01g008700.3.1 pep chromosome:SL3.0:1:2680876:2685791:-1 gene:Solyc01g008700.3 transcript:Solyc01g008700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIILQTQPLQFMNPITSKFIPTIRFTASSVAAFKLFSSSSSAAITTDSPVIEQNPSPKPAISPRNGVVKARVSKGILEAQLKMDWLESLSCPFPCTKPMDSGWVIGVDPDTSGALALLKPNQTPQVFDSPHLKVLVGKGVRKRLDAKAIVQLLQSFEAPLGTTVYIEQSTPYPQDGKQGWWSGGFGYGLWIGLLVASGFSVTPVPSSAWKSEFRLTRERSNKDYSRELASSLFPSLSSSLKRKKDHGRAEALLIAAYGKGIKINSDSPCAVENLDALATGEKLVNEFHLLSTPALNN >Solyc04g049490.3.1 pep chromosome:SL3.0:4:42027889:42047756:1 gene:Solyc04g049490.3 transcript:Solyc04g049490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDKQNTLDYINQMFPTEASLSGVEPLMQKIHSEIRRVDAEILTAVRQQSNSGTKAREDLAAATSAVQELMNKIREIKTKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRHYKEAAAQLEAVNQLCSHFDAYRDIPKITELREKFKSIKQVLKSHVFSDFSSLGTGKETEESNLLQQLSDACLVVDALEPSVREELVKNFCNRELTSYQQIFEGAELAKLDKTERRYAWIKRRLRTNEEIWKIFPRSWHVDYLLCIQFCKLTRSQLVEILVSMKEKPDVATLLTALQRTLEFEEELAEKFGGGIRSKDSVDDNEETERSGNKSQTVSDIRKKYEKKLAAHDGSQNEEQDGQKDSSVPGAGFNFRGIISSCFEPHLSVYIELEEKTLMDSLEKEMLEETWEIEEGSQTNILSSSIKVFVIIRRSLKRCSALTRNQTLFNLFKAFQKVLKAYATKLFARLPKGGTGIVAAATGIEGQIKTSDKDERVICYIVNTAEYCHKTCGELADNVSKLIDAQFADRVDMSEVQDEFSAVITKSLITLVHGIETKFDSEMAAMTRVPWSTLESVGDQSDYVNGINLILTSSIPVLGSLLSPIYFQFFLDKLASSLGPRFYHNIFKCKQISETGAQQMLLDTQAVKTILLEIPSLGKQTAGAASYSKFVSREMSKAEALLKVILSPIDSVADTYCALLPEGTLTEFQRLLELKGLKKADQQSILDDFNKRGSGISQPTIMAPSSAPNTSIAPVITNTAASPGAITSREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNG >Solyc02g043875.1.1 pep chromosome:SL3.0:2:1253516:1257165:1 gene:Solyc02g043875.1 transcript:Solyc02g043875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKSRKEEEKHRRRKKVLIVTGEAEEGKESVDYGGRSRRGRRREKTYLKPEEKLCCLSLNFGEESREYRERHPMGLIEAILGAIQEDKGLLVYPPDHVIYGDYTYDIWDVEFIKYFLDFLRPENMRVDVVSKSFQNSDGFTWERGKTQGYTYNMLEENSGSDDFASSSQQTATPIQLYSKHMGGHMASQFLIPILSYRPTISS >Solyc11g071320.2.1 pep chromosome:SL3.0:11:55137874:55140938:1 gene:Solyc11g071320.2 transcript:Solyc11g071320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTATSLFRRLNIKDLVSRTPTYSAPSDVSGDGLSLMFKRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGKDHTLYALKEGCVKFEHHKLSGRKWVHVEPKDGHVLHPVYSSTGAPELNTAA >Solyc10g054780.2.1 pep chromosome:SL3.0:10:55892648:55896286:-1 gene:Solyc10g054780.2 transcript:Solyc10g054780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKEKKSSPGIPWRTRIALSFVSTVTDYSRRQNGTVNRRLLGIFGLKTSANPNPVNGVKSYDVTVDSSRDLWFRLFIPTTDESRNLSSLPIIVFFHGGGFVYLSPDTKAYDAVCRRFARKTPAVVVSVNYRLAPEHKYPAQYDDGFDVLKFLDNEKSRELLPENVDLSRCFLAGDSAGGNLAHHVAKRASEMTSNFGALKVIGLVAIQPFFGGEERTESELKLVDVDPLISVRKTDWMWNAFIPPGEGMDRDHEVINVSGPRAVDISKLDKFPATVVVVGGFDPLKDWQRRYYEWLKRSGKDVHLSEYPTMVHAFYIFPEVPEATQLILEVKDFINKQCSKVVKN >Solyc05g046180.1.1.1 pep chromosome:SL3.0:5:59209944:59210174:-1 gene:Solyc05g046180.1 transcript:Solyc05g046180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGISSFGKVGSSTFENDGNSGFGISGNSGFGNVGNSGFGISGNSSLGKVGISGKCGNSGLGSSGIVTSRKLRAST >Solyc05g049950.3.1 pep chromosome:SL3.0:5:60646626:60649526:1 gene:Solyc05g049950.3 transcript:Solyc05g049950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein-associated protein [Source:UniProtKB/TrEMBL;Acc:K4C128] MSMSKSSKMLQYINYRMRVTIQDGRQLIGKFMAFDRHMNLVLGDCEEFRKLPPTKGSKEEREDRRTLGLLLLRGEEVISMTVEGPPPPDDSRVKATAGGVSAVPGPGLGRAAGRGVPTGPMVHAQPGLAGPVRGVGGPAPGMMQPQISRPPINYPPQPPPPMVRPPGGGPPPPMQMQMQRPGGPPMPPPPQFRPGGPGPFPPQFGQRPMGPPPPQMMRGPPPPGAQPPRPGMPGGPPHPGMPPPPPGGQVPVFGPPRPGMPPPPNAPHQQNQQQSCSVKVK >Solyc10g008790.3.1 pep chromosome:SL3.0:10:2849277:2855568:-1 gene:Solyc10g008790.3 transcript:Solyc10g008790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYWLKKVISLRKAKDGRSKRLKGTSIGRKEDEHSQKEPSRRTNGASVKKHREMGIPSEDNAAIRIQTAFRAYMARKTLRRLKRISRLRSMIQGPSVKKQASTTLSALHSWNRIQAEIRACRVRMVIEGRLKQKKLENQLKLEAKLHNLEVEWNGGPETMEVVLSRIHQREAAAVKRERTMAYAFSHQWRANSNPMFGSSIHDLGKANWGWSWKDRWIAARPWESRIPVQSSPKIANRTASKTPKSYKTQTTKTPVSVKSTSANRKRAMKPRKLSYEAADKLTALKGINKVETSIDKQEVAS >Solyc02g086010.2.1 pep chromosome:SL3.0:2:49442088:49457292:1 gene:Solyc02g086010.2 transcript:Solyc02g086010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIERKTRGIAWKLASFGCGVVAMESFWAFRRSSWLHTGFDKLVEDVIEHYLKVEEKPEFCNLPSFLFEESMGGAIALKVHQKQPDAWNGTVLLAPLCKVYLWKCFSILTDASDFDRVMTDSIVPPWLVTQILIGVAKFLPTKKLHIVRTQDLGETAVREAKKKEHAAYNV >Solyc01g079990.2.1 pep chromosome:SL3.0:1:78980896:78981895:-1 gene:Solyc01g079990.2 transcript:Solyc01g079990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSHSGACNGNCTGSSPGHPNKDCTCSHLSYNPVSRTSTGTGLNEDVITIQDTEGLKPGPYLKPDLGSSTNSPGVIFIGEGPYVLLPNIDVSKNLFYTPLLTNPISTAGSSFGGEPSTDYFIGVKTIKVNGDLIPINNLLLEINEENGEGGTKISTIDPYTKLESSIYKAFVHSLTIPRVKKVAPFEMCYKSSSLPSTRVGPGVPNIEFVLQGKNGETPSFIISGANSMVAVTDEVLCLGFVNGGENPTTSIVIGGHQIEDNLVQIDIDNKRVGFSNSLLYQQTTCANFNFGSTQGREIV >Solyc05g012690.3.1 pep chromosome:SL3.0:5:5881680:5888207:-1 gene:Solyc05g012690.3 transcript:Solyc05g012690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRAANFVARIVAKSRSIQDTPQNPFLKIRKFSSVSVPKPIQFLNFRSFSASPATYPQYVDDFEYKPYKIDNTQSLESEDDDGIGKIPVKAYFLCTSNLLTIFCGAISLSQKDDLVTRPIHHFGSIDLKRMQAEIPRDVLPSSSRSPNHIALRFFNLIPTNTLLRFGGNTNGCSYMVVFQYGSVVLFNVEDHEAEYYLQIVRRFASGLLREMKKDDYAVKEKPLLVEDMQGGADHIVLKNLDTDSIRIISSVLGQSIALDYFVSQVDGMVEEFAGINREMEKTGTFTMTRKKLFQLVGKANSNIADVILKVDSLEDYFLLQFLTFICIGILCEICFGGSQRFLEEEDKLAFGGFWITCNLTIAMRSEIAWRDAKYAQILEYLREEYEVSQRFGNLNFKLKFVEHNIHFLQEVLQNRKSDLLEWCIIVLLVVENAVCIYEIIRESSVIPV >Solyc03g114430.1.1.1 pep chromosome:SL3.0:3:65911182:65911511:-1 gene:Solyc03g114430.1 transcript:Solyc03g114430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDSTIWPNEQQQQPQQTVPYPEAVPNSAWRSSGSIGPFFAVISVLTILAILSCLVGRYCRNRKPVTPLDSVKQRDCRFGWLRGKLCWRCTNCGETSNNEGKGQDVV >Solyc09g072820.3.1 pep chromosome:SL3.0:9:65935342:65945572:-1 gene:Solyc09g072820.3 transcript:Solyc09g072820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHLILPYCQYPSNPMALARSHSRSELHVFHTGDDQRPPTETSKEFVVSLKKICKICRDEIEVKENGEVFVACGECGFPVCRPCYEYERSEGNQACPHCHTRYKRHKGCPRVVGDDEDDIHDDFEQEFQIKNHHTSPNHHQLENGEYNHNTNGAAAFNQSVIGSVAGKELEDEQKESYGDAEWKERVEKWKNRQEKRGLVNNGGNDQPDEDDDYLLAEARQPLWRKIPLPSSLISPYRIVIILRLVILGFFFHFRILTPAYDAYPLWIISVICEIWFALSWILDQFPKWSPINRETYLDRLTLRFERDGEPNRLAPVDVFVSTVDPLKEPPIITANTVLSILAVDYPVEKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKYSVEPRAPDFYFNEKIDYLKDKVQPTFVKDRRAMKREYEEFKVRINALVAKAQKKPEEGWVMQDGTPWPGNNTRDHPGMIQVYLGSEGALDIEGKELPRLVYVSREKRPGYQHHKKAGAMNALVRVAAVLTNAPFMLNLDCDHYLNNSKAVREAMCFLMDPQIGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGIQGPVYVGTGTVFNRQALYGYDPPVSEKRQKMTCDCWPSCCCCGSRKSKSKSKSKKKSIKALLGLGGLYNKKKKTMNGKNYTRKPSGPVFDLEEIEEGLEGYDELEKSSLMSQKNFEKRFGQSPVFIASTLKEDGGLPEGTNPTTLIKEAIHVISCGYEEKTDWGKEIGWIYGSVTEDILTGFKMHCRGWRSVYCCPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFMSRHCPLWYAWGGKLKWLERLAYINTIVYPFTSIALLAYCTLPAVCLLTGKFIVPTLNNFASIWFMALFISIIATSVLELRWSGVSIEAWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKAADDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQKGPILKQCGVEC >Solyc03g062830.3.1 pep chromosome:SL3.0:3:34544961:34556879:-1 gene:Solyc03g062830.3 transcript:Solyc03g062830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKAIQIVGSSSGFASYRFRKPQPNSAFSLISRKPILTTSETLLLPASQLHSHKSCFRDFRMSSTHSKSSSSSSVAVQSTGNIRKINFCQFCGGPNKHEIPDGEEKVRAICTHCGKITYENPKMVVGCLIEHDKKILMCRRKIHPSYGLWTLPAGYMEIGESAAEGAIRETWEEANAEVEVQSLFAQLDIPLIGQTYMIFLAKLKNPHFSPGPESSECQLFELDDIPFDSLAFSSMLVSLNLYIEDIKVGRPKFHYGVINKRPGTSPSDIHAYTLDFHMQS >Solyc06g082690.1.1.1 pep chromosome:SL3.0:6:48474255:48474452:1 gene:Solyc06g082690.1 transcript:Solyc06g082690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLIIYGFFFACIVGFLMNNKPEPEIPQFGLNDGVEQVKIGVVAVFDGHIGSEASETASRFFLK >Solyc12g008530.2.1 pep chromosome:SL3.0:12:1935704:1952882:-1 gene:Solyc12g008530.2 transcript:Solyc12g008530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4DBU1] MPNFKPFLNLIFLLLLLLINFDLIYCHTKGLRPKKKQLNVNMTQVQQSEQQFMQWVQFVGSLKHSLFKTAKNKLFPSYTLIVDKNPSHGDFTSIQEAIDSLPFINLIRVVIKIHAGIYTEKVNIPPFKSFITIEGEGADNTIIQYGDTAQTIGPNGKPLGTFGSATFAVNSPYFQAKNITFKNTTPVPPPGAIGKQAVAFRISADTAAFVGCKFLGAQDTLYDHLGRHYYKDCYIEGSVDFIFGNGLSFFEGCHLHAIAQVTGAVTAQGRSSMLEDTGFSFVNCKVTGSGALYLGRAWGPFSRVIFAYTYMDNIIIPKGWHNWGDPLREMTVFYGQYKCSGPGASFAGRVSWCRELTEQEAKPFISLSFIDGSEWIKF >Solyc02g071110.3.1 pep chromosome:SL3.0:2:41159334:41163057:-1 gene:Solyc02g071110.3 transcript:Solyc02g071110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPAIELTLVQGEEVKREEIIPQNESQLKGPTLVHYKWWIQMIIYSILVLSTQAIGTLLGRIYFEKGGNSKWLATLVQTIAFPILIPFLFIKPKKTNKNIEIIKKPSFIIVTSLYTILGLFIAGNCMLYTIGLQYLPVTTYSLICASQLGFNAIFSYFLNKQKFTPYIVNSLVILTISSTLLVLHNDDPSEPTNNNETSRQKYIFGFLSTLAASAGYALMLSTTQLALQKIFKRESFRLIIEMSTYQSISATMVLLIGLFASGEWKKLVKEMNEYELGKISYVLNLVGTSICWQFYTVGSVGLIFKVSSLFSNVISILGLPVAPALAVMFLHDKLSGIKVMSMVLAIWGFVSYMYQHYLDDLKEKAEKKRGKISEDF >Solyc06g071000.3.1 pep chromosome:SL3.0:6:43754605:43761297:1 gene:Solyc06g071000.3 transcript:Solyc06g071000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYRLSAYRQLQKRASSSHLNWITLFDSRRSNHTLSFATVKAEEVSGSQPAEVHNLVQGKWTKSSSWNTILDPLNGQPFIKVAEVNESELQPFVESLSKCPKHGLHNPFKAPERYLMLGDVSTKAAHALGLPEVSDFFAKLIQRVSPKSYQQALVEVLVTQKFLENFCGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAVIAPFNFPLEIPLLQLMGALYMGNKPVLKVDSKVCIVMEQMLRLLHECGLPVDDVDFINSDGKTMNKLLVEAKPRMTLFTGSSRVAEKLADDLSGRVKLEDAGFDWKILGPDVNEVDYVAWVCDQDAYACSGQKCSAESILFMHENWSKSSLLDKMTELAARRKLDDLTIGPVLTVTTETMLDHAKKLLQIPGSRLLFGGEALQNHSIPKIYGAIKPTAIFVPLEEILKDEHYPLVTKEIFGPFQVVTEYKDNQLPLVLDALEKMHAHLTAAVVSNDILFLQKVIGNSVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREIIYDVGPMPLGWKVPAST >Solyc04g076153.1.1 pep chromosome:SL3.0:4:61188002:61191151:-1 gene:Solyc04g076153.1 transcript:Solyc04g076153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGRVQLPLLREPPPYLKYLLGKESGQLGINFRKNIRAYNSMFAFTSIGGRVDGSINHSKGPYVFRTCGQNYHRIGSLLPEIGKRPQSAQLYIYDTENEINNRMNCLLEGDIDPEIVQGLSVMLEEHNILVKTFRMARDRYKEHPEREFRLRLLSNRTTDGRQYNLPTTSEVAGLIVGDVMEENFQRDIIVEHRKNGLQRISDLHPSFMSMTYPLIHPYGEDGYRVGINLGDVINKTYKRQKLTTRDFYCFRIQQRLNEGKTLLLAGRLLQQYIVDGYMAIEEERFRYIRNNQPKLRADLYSGLMDAILRGDSDCSLIGKTVILPSSHTGGPRYRAQNYQNAMAICRWAGYPDLFLTFTCNPKWPEINEMLRLIEQSGDDNRVDIICRVFQIKLFQLMQDLKKQQPFGKIIACLYTIEFQKRGLPHAHILLFLHPTLKSPSIDHINTMITAEIPNMEVDPDGYKAIKNYMMHGPCGDLNPGCPCMKQGKCTKHFPKKFNNQTTFDADGFPIYRRRNTGTEVNKNNVFLDNRYVVPYNRNLIVKFDAHINVELCNYSRSVKYLFKYVNKGSDRATIGIECSDTPTERDEIKRYLDCRYISATEASWRIFSFDIHHRQPAVEHLPFHLQGENTIVFQEERCPESILNRPDIVKTKFTEWFEANKEYEDARELTYSNFPTRWVWDATCKRWTRRKKGKSVGRIYFAHPVSGERFYMRMLLNFVKGSTSFESIRTINGVRYDTYKEACYALGLLEDDKEWNDCLAEAAYWASGNELRNLFVTILIHCQVSDSSKLWRSNYEILSEDITSLQRKKFQLEDLKLNEKQLESYTLFEIETILLKIGKSLKDIHGMPLPDSTLMNDTGNRLINEELEYDKGFLKEVHDKSFALLNDCQNIAYEAVILEKL >Solyc01g010415.1.1 pep chromosome:SL3.0:1:5264290:5265548:-1 gene:Solyc01g010415.1 transcript:Solyc01g010415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAVYVDDVIITGTDLVEIQNLKTFLHETFKIKDLGRLHYFLGLEVLYKEDGILISQRKFTLDLLKEYNCSHFTPFSSPLDPLIKLRAKEGSLLSDPAYYRKLIGKLNFLTNTRLNIAYGVQHLSQFMHAPREPHLQAAFQMLRYLKQDPTLDIFMSNTDDYTVRAFCDSDWASCPDSRKSVTGYVVLLGNSPVSWKSKKQETISLSSAEAEYRSLRKSSMVIFAQAL >Solyc01g010740.3.1 pep chromosome:SL3.0:1:5721338:5725249:-1 gene:Solyc01g010740.3 transcript:Solyc01g010740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4ATR7] MAFISGNSILLVLFIWLASLVPHLHARIAEFDPYLEKQALEALNSSLEAYTNNPEEITNAFNKEVGNALLKYKSLRRHLKEKDKCMATNPIDRCWRCDKNWAENRMDLEECARGFGHKTTGGKNGKYYVVTDESDDNVQEPKPGTLRHAVIQEEPLWIIFEKSMVIKLRQELMITSDKTIDGRGVAVHIAYGAGLMIQFVHNVIIHNIRIQNIISTNGGMIRDSINHIGLRTVSDGDGISIFGSNHIWIDHCTLSECTDGLIDAIMASTAITISNCKFNYHNDVMLLGATDAFPQDAIMQVTVAFNRFGEGLIQRMPRCRWGFFHVVNNDYTHWQMYAIGGSAHPTIISQGNRFKASDNPNTKQVTKRDYATESEWKKWQWVSEGDSFLNGAYFVESGPQDKKKTALTKNHKIKFKPGSHAGRLTRFAGVLKCKPGIPC >Solyc09g007830.3.1 pep chromosome:SL3.0:9:1356797:1361161:-1 gene:Solyc09g007830.3 transcript:Solyc09g007830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:K4CQH2] MEMESDVMVSKFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVAGMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARQIIVSAPTATELVEKLEEYVPCHESVASKLNWETEQLGYPQVQEIAR >Solyc02g082050.3.1 pep chromosome:SL3.0:2:46345353:46351461:1 gene:Solyc02g082050.3 transcript:Solyc02g082050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEHNASSSSSHPHWSYDVFLSFRGEDTRKSFVDHLYTTLHDKGIHAFRDDKELSRGKSISPELVKAIEKSRFAVVIFSKNYADSSWCLEELTKIVECNKQRGQTLIPVFYSVDPSVVRKQKGSYGDAFAKHEENLKGSDESYKIQRWRDALKDAANISGFDVQHMEDGHESRCIRQIALTILKRLGSVRTKVADHLVGIEPHVQNIISMMNLHSEADVRIIGIWGMGGIGKSTIARAVFDQLQEEFEGSCFLDNVREVSTKSGLQPLSEKMISDTLKESKDNLYTSTSFLMNRLSYKRVMIVLDDVDNDEQIDYLAGKHEWFGAGSRIIITTRNRQLLSSHGVDHVYEVSPLGINEAFMLFNKFAFKGGEPEGDFSELALQVVQCAWGLPLALKVMGSFLHKRTKAEWKSTLVRLKEIPLDDVIGKLKLSIDALSDLDKQILLDIACFFKEKRREPVTRKLLAFGFKPEIGIPVLIQRSLLSISDDDRLQMHDLVQETAWYMVRHGHPREKFSRLWVPDDICDVMSKKSGTGAIEGIILAYSEKQKMNLGSQALKGMENLRLLKIQNAYFRKGPSYLPNELQWLSWHYFPSTSLPQDFEGEKLVGLKLIHGQISQLWPEDKYLDKLKYLNLSYSKGLISTPDFSQMPYLEKLNLSNCTNLVGVHRSLGDLTRLRYLNLSHCSKLKSISNNIHLESLEKLLLWDCTKLESFPQIIGLMPKLSELHLEGTAIKELPESIINLGGIVSINLRNCKDLECVTYSICGLRCLRTLNLSGCSKLEALPETLGQLETLEELLVDGTAISKLPSTVSEMENLKILSFSGCKKKKKDKAFWKNSFSFRLNLKLTSLPNVRRITRRSNTGRKKKTEVSGPSLSGLLALKKLDLSDSDLVDEIAGDIWQLSSLEELNLSRNNFTEFPSRIYGLQQFKVLKVDECKKLVALPDLPWSIVMIEANECLCLQSLGNLSPQHAFLKKVSFFNCLKLYQQSQKTGIGAADLLLQLLLQGHSTFYSQFSILIGGGKIPDWFGYQKMGRSISVQLPTDWQDNIAGVAFSFVFECLVPKSKLGVTFKLISPNHREYSFESAPASAASKMGEEGKYDHLWIAYISFHLFRLLFPEFTIEDWTKVCCCLSISLRQEPWTKARRCGIHLVYKKDLTTSLAAGSKELTVYDEGGDSKRKEEVKEDIVALLAGVNNLNWDVDSIEQDNTQLANLRKSLAYKIQKTLSFDC >Solyc02g081530.1.1.1 pep chromosome:SL3.0:2:46013786:46015009:1 gene:Solyc02g081530.1 transcript:Solyc02g081530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTHLLQKLNHKPPTTVFLRRKTTSAEYVASRNRDPTFEKLMDKYKNLFKVIAIQDLILGTTTNAAAPPAVSLDFLNRLSQRLHLNRGATHFLRKYPHIFNIFHHPIKLQPYCTLTETALKITQHEATAINATLPLVVTRLVRLLSMSVTKSLPLRAIFKVFRELGLPDDFEESVILKKSDLFALVDSGNEPNTHLLKLVGDIPKGELVAAVDNWRVVECCKEDCGVDRNEILYSFKHCYPPGMKLKRSFKAKVKEWQQLKYVGPYEAVDIGKKRKNKIGMMEMEKRAVGIVHEFLSLTVEKMVEVEKISHFRKWFGIDLNVRDLFLDHPGIFYLSTKGYRHTVFLREAYERGCLIEPNAVYEARRKLLDLVILGRRGLSRGHSEPTGVNQGEDGFTEELKTDSDED >Solyc09g007445.1.1.1 pep chromosome:SL3.0:9:1006827:1007498:1 gene:Solyc09g007445.1 transcript:Solyc09g007445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHPLKAVTLTHVRYRKGDQLGHFLAWVSLVPVFISLGGFISHFIFRRELQGMFFAIGLIISQFINEIIKKSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYVTLLTYKRLGLIFRNQLLVAVVLVWPMAVLTMYSRVYLGYHTVAQVFAGAALGTVLGGGWFWIVNNVLSCLFPAIEESAFGRFFYVKDTSHIPNVLRFEYENARSAKKHPSYKRAD >Solyc01g049810.3.1.1 pep chromosome:SL3.0:1:46562190:46563716:1 gene:Solyc01g049810.3 transcript:Solyc01g049810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRALDEDNFILSQFIHKCSALGFVSYAYSIFTSNPNPNIYLYNTLISIFSRQQHLSKDAICLYKQARTIGLYHDTYSIPFVLNAVTCLITSTQIHCEAILTGLNNDVHVATSLVRIYSSFGCISDARKVFDEMRNKDVSLWNAMIAGYVKAHDMDTAKYLFVNMLEKNVVSWTTIIAGYTQGNQFSQAIGVFRKMMSVEVSAKPDEVTMLVALSACAHLGEHELGEWIHSYIIKHRFRRTVSLNNTLIDMYAKSGNVKKAVELFESMEIRSGVTWSTVISALAVNGCGREAINMFSRMEMVGIRPNSVTFIALLSACSHAGLVEEGRLYFKTMEENHGISPDIRHYGCMVDLFGRAGYLDEAANMVKMMPFEANAAIWGSLLAAARNQGHVELGEQALQHLTEVEPHNSGNYSLVSNTYAALGRWSEARVARKIMRDTGVQKSPGGSFIKVKDKIYYFYSGDRSHPQCERIYRVLSHLNRASKTILHEYWEYVELLDADSPQEDHL >Solyc01g107810.3.1 pep chromosome:SL3.0:1:95122572:95128641:-1 gene:Solyc01g107810.3 transcript:Solyc01g107810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLHFFFFPMMAQGHMIPTLDMAKLVACRGVKATIITTPLNESVFSKAIERNKHLGIEIDIRLLKFPAKENDLPEDCERLDLVPSDDKLPNFLKAAAMMKDEFEELIGECRPDCLVSDMFLPWTTDSAAKFSIPRIVFHGTSYFALCVGDSIRRNKPFKNVSSDTETFVVPDLPHEIRLTRTQLSPFEQSDEETGMAPMIKAVRESDAKSYGVIFNSFYELESDYVEHYTKVVGRKNWAIGPLSLCNRDIEDKAERGRKSSIDEHACLKWLDSKKSSSIVYVCFGSTADFTTAQMQELAMGLEASGQDFIWVIRTGNEDWLPEGFEERTKEKGLIIRGWAPQVLILDHEAIGAFVTHCGWNSTLEGISAGVPMVTWPVFAEQFFNEKLVTEVMRSGAGVGSKQWKRTASEGVKREAIAKAIKRVMASEETEGFRSRAKEYKEMAREAIEEGGSSYNGWATLIQDITSYRMSPLHFFFFPMMAQGHMIPTLDMAKLVALRGVKATIITTPLNESVFSDSIERNKHLGIEIDIRLITFQAVENDLPEGCERLDLVPSPVLFNNFFKATAMMQEPFENLVKECRPDCIVSDMLYPWSTDSAAKFNIPRIVFHGTGFFALCVAESIKRNKPFKNVSTDSETFVVPNLPHQIRLTRTQLSPFDLEEKEAIIFQIFHEVREADSKSYGVIFNSFYELETDYFEYYTKFQDNKSWAIGPLSLCNRDIEDKAERGTKSCIDKHECLKWLDSKKSGSIVYICFGSGVTFTGSQIEELAMGIEDSGQEFIWVIRERIIFKQENENSCLPEGFEERTKEKGLIIRGWAPQVLILDHQGVGAFVTHCGWNSTLEGISAGVPLVTWPLFAEQFLNEKLVTDVLRIGVGVGSVKWEASASEGVKREEISKAIKRVMVGEEAEGFKNRAKEYKEKAREAIEEGGSSYYGLTNLLQDVSRYGTKID >Solyc03g097900.3.1 pep chromosome:SL3.0:3:61716684:61719245:-1 gene:Solyc03g097900.3 transcript:Solyc03g097900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:K4BJK7] MAVGKNKRISKGKKGGKKKAADPYAKKDWYDIKAPSVFEIKNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQKDEDQAFRKIRLRAEDVQGRNVLTNFHGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIAFTKKRPNQQKRTCYAQSSQIRQIRRKMVEIMRNQASSCDLKELVAKFIPESIGREIEKATSSIFPLQNVYIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLDRPADETVAEAEAEVPGS >Solyc10g017890.1.1 pep chromosome:SL3.0:10:6143924:6146813:1 gene:Solyc10g017890.1 transcript:Solyc10g017890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALEYYFRALERNPFLPQMIIRSQEPEVKILVDRYPLKTSFEEWARPGHFSRTIAKGPDTTIWVWNLHADAHDFDSRTSDLEEISQKVFSAHFGELSVIFLWLSGMYFHDASFSNYELWRASGITSELQLCCTTIGALVFAALMLFAGWFHYRKAALKLAWFQNVESMLNHHLVGLLGLGVSLFGGASNPKDIVHHYLAIAILFLIAGHMYRTDWGIGHGLKDILESHKGPFTGQGHKAHHIYFMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHATIFMVRYYDPTTQYIDLLDRVLRHRDAIISHLN >Solyc05g021530.2.1 pep chromosome:SL3.0:5:28053381:28055443:-1 gene:Solyc05g021530.2 transcript:Solyc05g021530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRKLVESCVKELNFCATELQDSVLNKSKGIEDELIIKLKSSMIEVDVCALNKLKNYGTMVVVSEKQPLHPQSFLGTLQMNPFFKSSRNFANANNIFQISIKSLWLSLTVNKEGPKLPDLCKLVIIVVEKLVAIDAVPKAWGLPFPKIVDLAFVFPHWSQPCSITNLPTVLETFIHSFADIFESLGATLNVAKPTKGSFAKPTKGSSVAKFLTGVVGCRRSKNCIGVDLNASIFEQGN >Solyc03g117547.1.1 pep chromosome:SL3.0:3:68163005:68169775:1 gene:Solyc03g117547.1 transcript:Solyc03g117547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGSFDMISTEIAIVFSQLIVFAKRTGKCTYIHEDAVILGTANIFAISAADLVIVMDKGHVQWVGNPIDFTFPSDVAFSTIDEVSSCSEVQQQDKRSNISSEIQQRTSEADVICTPDENQGTDESEARKEGKVEAIVYKSYAVFAGWFITILTCLSAVLMQASRNGNDMWLSYWVDTSGRNQKPYSTTFYLAILSLFCLANSLLTLVRAFAFAFGGLRAAVKVHDRLLEKLMSAPISFFDLNPTGRIINRLSSDLYTIDDSLPFILNILLANFVGLLGIAVVLSYVQVMFLFLLMPFWYIYRKLQLSETWVSRDLFLSTSRELRRLDSVSRSPIYASFTETLDGSSTIRGFKSEGLNIPILRNDLFLLKFNKHLMTYQRTSYSEVIASLWLSLRLQLLAAFIVSFIAVMAVIGSHEYLPINLGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSVERILQYMDVPHEEDVGGYPLHPQWPHQGEINFVNVTLKYKPQLPPALCGVSFTIAGGTQTPVTLTLKVALLPYQVGIIGRTGAGKSSILNALFRLYPTCGGSIMVDGVNIAGVSVRYLRSSFAVVPQAPFLFEGSIRKNLDPLQENMDFEIWNVLEKCHIKVEVEAAGGLDVQLKGSGTAFSVGQKQLLCLARALLKSCKVLCLDECTANVDTETTSKLQKTLATECHGTTVITIAHRISTVMSMDNILILDRGFLEIKSISPVPL >Solyc04g049250.1.1 pep chromosome:SL3.0:4:41150514:41150882:1 gene:Solyc04g049250.1 transcript:Solyc04g049250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSTNSLMIKLKPKIYEHFMLNVRILFIPLVCSEVPIIVICLPEPRGLSVRNLHKLSSFFDGFSASHKLAIFVALIVQVPEEGWTSGMRERGSIDKK >Solyc10g076860.2.1 pep chromosome:SL3.0:10:59921791:59944712:1 gene:Solyc10g076860.2 transcript:Solyc10g076860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDSESSSSTVRLNIGGRKFCTTLDTLTQREPDSMLAAMFSGRHTICQESEKGHIFVDRDGKHFHHILNWLRDGVIPPLKDSEYAQLLREAEYYQLLGLVDGIKSALSKRKEDEELGTELTRIDIIKCIQSERVRFRGINLSGLDLSKLDLSFVDFSYACLKTVFFSRANLQCAKFRDVDAEASIFHNATLRECEFTGANLRGAVLAGANLQSANLQDACLIGCSFCGADLRSAHLQTADLTNANLEGANLEGANLKGAKLTNANLKGANLQRAYLRHVNLRDTHLEGAKLDGANLLGAIR >Solyc08g080900.3.1 pep chromosome:SL3.0:8:64186860:64191337:-1 gene:Solyc08g080900.3 transcript:Solyc08g080900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLYVDRMSQPSRAVIIFCKLNGIDFEEIHINLSKRQQLSPEFKEINPMKQVPAIIDGRFKLFESHAILRYLACAFPGIADHWYPADLYKRAKVDSVLDWHHSNLRRGAAGYIFNTVLAPAFGLPLNPQAAAEAEKVLLASLAKVESVWLQRKGRFLLGSGQPSIADLSLVCEIMELEILDEKDRERIIGPYKRVLKWIDDTKNAMEPHFQEVHVILFKAKEKFHKQRHAVGSSIPQSSRKPDLHSKM >Solyc04g025585.1.1 pep chromosome:SL3.0:4:21714938:21715668:1 gene:Solyc04g025585.1 transcript:Solyc04g025585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFIEVRGSEKVQVLKVDRWTLKGVNLMTKGFKKMKNHRVLIIDKLHISGDFELLSKELQWLPWKNCPLKFIPSNFPAKNLVVIDMRKCDIHDFGLDQQCCKSLKRLDLSDCKSLKITPNINGLQSLEFLLLNGCSSLRKIHPSIGNLCRLRLLNLRGCKKFMDPPSSICQLKSLGWLVFSVCSSVKALTVDFVVMPGLRTLCALEIDIQQ >Solyc11g013180.2.1 pep chromosome:SL3.0:11:6062796:6067501:-1 gene:Solyc11g013180.2 transcript:Solyc11g013180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4D6C2] MPETLLLSSQIPEISTRRKENTKEVDEEEKEKILESATTTTTTTTPRSVIIIPRSKSQATSRRVIPTDGTICAASGTTSTSSNVGVVEKHLPNGDLYIGSFSGSSPHGSGKYLWKDGCMYEGEWKRGKASGKGKFSWPSGATFEGEFKSGRMEGSGTFIGSDGDMYKGSWSGDRKHGYGQKHYSNGDYYEGLWKRNLQDGQGRYVWKNGNEYVGEWKTGDIHGRGVLIWANGNRYDGNWEAGGPKGHGVFTWPDGSCYIGCWSKDAKNQQHQNQILNGTFYPANSRTNSHGSVKKESVDFSAKEDVLGGFFGHKLAAPLMADEDCGLLVMNMGKKRSSVDGSRGSMTERNFPRICIWESDGEAGDITCDIIDNVEASMIYRDGFGFGRDGLKQFRRNPCCFSKEEKKPGHTISKGHKNYDLMLNLQLGIRYSVGKHASILRDLKTSDFDPNEKFWTRFPPEGSKLTPPHQSTDFRWKDYCPVVFRHLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYQHVCRYENTLVTKFYGVHCVKPVGGVKTRFIVMGNMFCSEYRIHRRFDLKGSSHGRTTDKPEGEIDETTTLKDLDLNFVFRLQRNWYEELIKQIDRDCEFLEAERIMDYSLLVGLHFREDNTGEKMGLSPFLLRNGKSDSFQNEKFMRGCRFLEAELQDMDRILAGRKPLIQLGANMPARAERVARRSDFDQYTPGGFCNVPPSRGEVYEVVLYFGIIDILQDYDISKKLEHAYKSLQVDPASISAVDPKLYSKRFRNFIGRIFVEDR >Solyc09g076000.3.1 pep chromosome:SL3.0:9:68110638:68114515:1 gene:Solyc09g076000.3 transcript:Solyc09g076000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIDFESDDSEFVEVDPTGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLYDFMQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDVANRNINFVTEMFTSGTLRQYRLKHKKVNIRAVKRWCRQILKGLHYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAARCVGTPEFMAPEVYAEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVTSGKKPDSLYKVTDPEVRRFVEKCLATVSHRLSARELLDDPFLQVDDYVSDSETPNYLQDSNEFDVTLGHRPSSICDNNSLVNGCLGYLGYEPEDDSYYHQLEYEANEIDLFTDNEEDDMENADISIKGRRREDDGIFLRLRIADKEGRIRNIYFPFDIENDTALTVATEMVAELDITEQDVTKIADMIDGEIASLVPEWKKGFGSEESRDYMNRGCCHKCASSGSLVNYVSSNIPAPARNLQVLHCTKHGCGAMHGRFEEITYHFDGSEQCLTDRAPDGSLESDGLHFTDIWAQVDKTKSRPRGSGDNQSDAKDKTVDESTYGGEEEATSVDKDDKSHPRLQDSPSSNSSGARTPVEDHENEIRRELRWLKAKYQMQLRGLKDQQLGVVPKYSTQRSSNKIPRNDKVSSDSTPSVERDQLLLQSFTSGKHFSSDFPIEIDNGSSISKVRRYPLAYGSCSPEHMATAQSFYTGSLLPHSLHRASSLPVDAIDF >Solyc09g008140.3.1 pep chromosome:SL3.0:9:1607660:1612346:1 gene:Solyc09g008140.3 transcript:Solyc09g008140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGFLVGVIGVLILSHATYSTIQYRALLKITEEEFSGPPIDVVIELIVSLVLCLWAAMAAPGNFKSIHPQSEENRVVALPANLDFMIFNHRGKIFPLETDLKLK >Solyc05g015617.1.1 pep chromosome:SL3.0:5:11550613:11551347:-1 gene:Solyc05g015617.1 transcript:Solyc05g015617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVSARFSMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFILGGSVVSWKATLQPTVTLSTTEAEYMALIEAAKEGIWLKGL >Solyc03g025760.3.1 pep chromosome:SL3.0:3:3176335:3199018:-1 gene:Solyc03g025760.3 transcript:Solyc03g025760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFTEYVIVGSDLTCVILLSILCNFTEYIVVGSDLTSEYVVVGSDLTSEYVVELQSRRGKFPLNCSSGNNTRTCPVNYYPADYKFVNDNPSSSSPNCPHYFHWIHEDLKPWRETGITEEMVERANRTANFRLVILNGRAYVETYEKGFQTRDVFTLWGILQLLRRYPGKIPDLDMMFDCVDWPVVRSSDFAGENAVAPPPLFRYCGDNETLDIVFPDWSFWGWAEINIKPWQDLLKDLDKGNRMQRWQNRAPYAYWKGNPEVAEKRMELVKCNVTENQEWNARIYVQDWKEEIKQGFKHSDLGNQCHHRYKIYIEGSAWSVSEKYILACDSVSLLVQRIGREASDFIQEDLKMDYVYDYMFHLLNEYAKLLRYKPKVPKSASELCSEIMACPAEGTEKKLMMESMSILTDTTRKVSLQPQKKLQIQLNCTNGNLTNTCPASYYPFKFTNQNQSNSSSPTCPDYFRWIYDDLWPWRETGVTKAMVMSGKNNADFRLVIVDGRAYVETYRESFQSRDTFTLWGILQMLRRYPGKIPDLDLMFDCGDSTVTNTKSYRLPNAPAPPPLFRYCGNNASLDIVFPDWSFWGWVEINIKPWETLSKELKKANEKLKWSKREPYAYWKGNPYVGRTRMDMLKCNVSEKQDWNARIYKQDWIKEQKQGFKQSNLASQCKHRYKIYVEGNTWSVSEKYILACDSVTLLVKPDYYDFYSRGLMPLKHYWPVNNNDKCRSIKHAVHWGNTHQKEAQEIGKAANDFLQEQLKMDYVYDYMFHLLSEYAKLLKYKPAIPKKAIELCSEVMACPAEGVIKKFMEESMVQGPSDAIPCNIPPPFSPADSTTGYSPRKTIVTRVIRYNHTYATPSVSKQPLKKLEIQLNCTLGNLTRTCPASYYPLKFTEQNESSTSSSPPPTCPDYFRWIYDDLWHWRETGITKEMVMRAKRTADFRLVIVNGRAYVETYHKAFQSRDTFTLWGILQMLRRYPGKVPDLDLMFDCVDWPVLKTEFYRHPKAPVPPPLFRYCGNDSSLDIVFPDWSFWGWPEINIKPWETLSKDLKKGNEKMKWTEREPYAYWKGNPVVAETRRDLLKCNASEKQDWNARVYAQDWAQAEKQGYKQSDLANQCIHRYKIYVEGSAWSVSEKYILACDSVTLLIKPQYYDFYTRGLMPLQHYWPVKDKDKCRSIKHAVDWGNTHEQEAQAIGKAASDFIQEQLKMDYVYDYMFHLLSEYAKLLKYKPTVPRKAVELCSEAMACSAEGLTKKFMLESMVEGPSDATPCNMPPPYGPAGLHSILDRKENSIKQVDSWEQQYWKNKSKQQRRF >Solyc03g013080.1.1.1 pep chromosome:SL3.0:3:48973362:48973523:1 gene:Solyc03g013080.1 transcript:Solyc03g013080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFLSYCNSLRNGLVPQLNKKMRNGYSNQFFVDLLGKTINQLWSDYKAKYCN >Solyc02g070850.1.1 pep chromosome:SL3.0:2:41003626:41006326:1 gene:Solyc02g070850.1 transcript:Solyc02g070850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYWLVCMPESLFLLGGMLPVKKQAAQDKLARKRVTRRWMVS >Solyc03g005320.3.1 pep chromosome:SL3.0:3:183237:185471:-1 gene:Solyc03g005320.3 transcript:Solyc03g005320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4BDV6] MGDENKKNSVSSETARISVEVNNLPNFLQSIRLKYVKLGYHYLISNAMYLFLVPVLGVLCVHVMTISVEDLIQLLDKLKFNLITVILCSAVVVFLGTLYFMTRPRKVYLVDFSCYKPKPDVMCSKETFMERSKQAGIFTEENLAFQKKILERSGLGQKTYFPEALLRVPAEPNMAEARKEAEMVMFGAIDELLAKTRVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNVMSYNLGGMGCSAGLISIDLAKQLLQVNPNSYALVVSMENITLNWYFGNNRSMLVSNCIFRMGGAAILLSNRSTDRRRSKYQLIHTVRTHKGADDRSYGCVFQEEDEKKEIGVALSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFMTLVARKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSQWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWRALKTINPAKEKNPWMDEVHEFPVEVPRIVTINDS >Solyc06g060130.3.1 pep chromosome:SL3.0:6:38191531:38196506:1 gene:Solyc06g060130.3 transcript:Solyc06g060130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILLVLHFLLLLESCFSAFNFPIWKKQLKSGSSLVFPLAGNVYPVGYYQVTLEVGRPPKPYFFDIDTGSDLTWLQCDAPCAKCSPAPHNLYKPHRNVVTCKDPVCTSLHWPESHPCHDPNEQCDYEVAYADSGSSLGVLVKDTFVLRFTNGSTAAPHLIFGCGYSQEVPVSTHSPFTDGVLGLAYGKSSIVSQLSGLGYIRNVVGHCLSAQGGGFLFFGDDVLPSSEIAWRPIEQTSSEKHYSLGPAELLFDGQATGIKSLPIIFDSGSTFTYFSSKAYDIFLSSIKKNINAKQLTDATDDKSLPVCWSGSKPFKSVNDATIYFKPFTLSFMKAKNVKFQLLPEAYLIVTEHGNVCLGILNGTEVGLENHNLIGDISLLDKMVIYDNEKKQIGWHPANCNKFPISRDHGEDYYDAYPANIGLNKGTCPAKFDSLKQKQK >Solyc10g039397.1.1 pep chromosome:SL3.0:10:21829052:21834248:1 gene:Solyc10g039397.1 transcript:Solyc10g039397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFDIAQTKDLGRFKYFLGIEVAQSRSGIYALDILEETRMMGYRPIDTPMDPNIKFLPGQRENLVILKVTRPDISFPRSVVSQFMTSHCDSHWEAVVRILRYIKSAPDKRLLFEDQGYEHITGYTNADWAGSTSERRSTAGYCVLVGGHLVSWKSMKQNVVAQSNAESEHQAMATATCELIPIDPFSISSNNMIGILSNSKQIWEIRLKSKYGYLSLTACLGLQIPKHSSKRVERAHKKQEEKHVIIQSGQQNHMLTVKDNYHMLYCFDHWQKA >Solyc01g014143.1.1 pep chromosome:SL3.0:1:11647827:11652804:1 gene:Solyc01g014143.1 transcript:Solyc01g014143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSENSGIQDKMISPRVLERRESVLNISHNHLVECIHKGKQFNLFNSSYKGNDGLHGFPLSKDFGGDDGVPKTTTPVDLDEEEGGDSPMISWQGVLIGYACGLIIGLLKNWNHPILWRANRAKMIMNSYITEKKKVQIEIDKRNAGSNKANRFSHIQKLFHGTRKKIVALAKKFIVMTQQEKLIYLENNNFDGIFNRSWTRFEDLEFSSNSIIGSIPSNVSGIQNLQSLILSSNHLNGTIPSWILSLTSLTNLDLCDNHFNFSNTKSASRSYSIVTLKPAALTFLLHSYNNISGKIASIFNLKPLQLLDLGSNNLEGTIPLCLGEMSGLLILDLSNNSLGGTIFKG >Solyc07g064750.1.1 pep chromosome:SL3.0:7:66902631:66903611:1 gene:Solyc07g064750.1 transcript:Solyc07g064750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQENLEKLEEANKYEHRELNKKQELFYYDPMSMFVFEIDDKSVDQFGLKPMNCPGHCIQSQSSFLQRITSRLADFEALHRNEAIVNTSQTISPGRCSHLLQRITDQGRSKRRSWISSLMCIPYLVSLMLTSQIWKKAEDALAEALNEFGKPWEISKGDSFLWSENRYQCEKKLTMCNIEHYKGKWPFWLSPRQAIVFPVSNKSQTYAFEIWKRLHDASITKSMLMSVIKRLEKKVRETQLLF >Solyc04g049491.1.1 pep chromosome:SL3.0:4:42076903:42084670:-1 gene:Solyc04g049491.1 transcript:Solyc04g049491.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDPLHFFLGIEVNYFEGGIHLNQRKYGAEMLAKTEMTLSKAVATPLAIKHGLHEAVPDITHAVNLESQFMQNPNVEHFQGVKIILKYIKGTLHFGLRIISQSPCRLYDYSNVDWGGCRKTKRSTIGFSIYIGENCILRPRRNSTVARSSAEADYRALASTTAEMTWILYHLHDLGVFLRYSRICTTDAIMMSTLIA >Solyc12g099490.2.1 pep chromosome:SL3.0:12:67602935:67605471:1 gene:Solyc12g099490.2 transcript:Solyc12g099490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYDNVVGGKLKLKGKALDVKAAGIKKKKKKEKKDYDQISQVTENELSIDGGSGSIDNSTKEETTDATKSVGEENAGRWDDNLTPAERRYIEQRERIDMHKMAKTANKSHRDRIEDFNQYLANMSEHYDIPKVGPG >Solyc10g006423.1.1 pep chromosome:SL3.0:10:1024244:1025636:-1 gene:Solyc10g006423.1 transcript:Solyc10g006423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAQFHLPSPLVRPREVYFARHSRQIDFNTWLVADVSLESVYPNPLVQFKRRPSGCLIHGLQSGLSMVTWVENNLVCDGSIPEMFRQTFKSGVAFRAKRWMLTMERHYDRYAVLQKQQNQLLGQPLFVDIGKGQKNLMKLAERTIKSFNSIYSSCNENQWMPLSIQGGEDIFVKTNMNLDAPGTPRGVVVMISTSVWLPIPQNNVFKFLRAGGNRWKVLFYRWDLLSYGCMTRDALHIPSARDPANTVSLVIVEVRPH >Solyc04g074570.1.1.1 pep chromosome:SL3.0:4:60624710:60624880:1 gene:Solyc04g074570.1 transcript:Solyc04g074570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTQIRNWVGFFLRPVISHALQDSNFVIFTPQSLKQLPFNVISGRFRHWSFNLFL >Solyc06g053210.3.1 pep chromosome:SL3.0:6:36038358:36042125:1 gene:Solyc06g053210.3 transcript:Solyc06g053210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRGEKTQIFVKTLTGKTITLEVESIDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGQSTLHLVLRLRSGIIEPSLMALARKYNQEKMICRKCYARLHPCAVNCRKKKCGHSNQLRPKKKIK >Solyc01g073820.3.1.1 pep chromosome:SL3.0:1:81057583:81058530:-1 gene:Solyc01g073820.3 transcript:Solyc01g073820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQNRGTNAGPQDKQHFSHQHALKLIVNPTETILTCNACEKPNNTNKPFYGCNTCQYFLHENCFNAPRFLNHASHPSHPLTLLPRATYSSCSFNCKACDTVGNGFCFSCACCEFDIHLQCASLQSLILIDKHPHQLELNFGSPYEDKDSEYVCDICSEIMNKENWLYYCGGCDFASHLHCAITSPEVGVFAKQQQRPVPNQNQNSNSNRNPNATVDMINSANEAHEQIMAAQLRAQIAARGREACLDLVTPRRRYGYY >Solyc01g109760.2.1 pep chromosome:SL3.0:1:96534551:96536599:1 gene:Solyc01g109760.2 transcript:Solyc01g109760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFSLKNLGKTPTPFFNGRWFTAFASILILSVSGGTYLFGLYSQHVKSSLGYDQTTLNLLSFFKDVGANVGIIAGLINEVCPPWVVLLIGSLMNFSGYIYLWLAVNGDELVKPQVWQMCLCLMIGANSQTFTNTGALVTCVKNFPESRGIVIGLLKGFVGLSGAIITQLFHAIYGNNGKSLVLLIAWLPPFVCCALLRYVRVLKAMGVPSVLDVALAILGFCFGAMAPLIFSIISELFGLKHYATLLNFGGAASPIGAYVFNVRLVGHFYDKEALRQMAALGLFRKPGDHLTCIGIECYRSSFLIIAAASFVGCIISYILVLRTRKFYKGDIYKKFREQE >Solyc06g030654.1.1 pep chromosome:SL3.0:6:20477728:20479053:1 gene:Solyc06g030654.1 transcript:Solyc06g030654.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHRGVGIRDGVKHHFQLIQFLRKVLQPRGELQLHLLSNRFKNYIRRIGNLHPLENINSPSWFVIQKVAVLLGLGLSLETPSILHFYNPMVEDTI >Solyc10g049630.2.1 pep chromosome:SL3.0:10:46215750:46218841:-1 gene:Solyc10g049630.2 transcript:Solyc10g049630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLCYRPLERCFGRRSGDGLLWHTDLKPHASGDFSMAVVQANSSLEDQSQVLTSPSATYVGVYDGHGGPEAARFLNRHLFPYLNKFQKEQGCLSSEVIKRAFNATEEDFIRLVKQSLPSMPKIASVGSCCLFGAISGSELYVANAGDSRAVLGRRGFDGEKSKVVAERLSTDHNVSCEEVRKEVESLHPDDPSIVVHCRGVWRVKGIIQVSRSIGDAYLKRPELNRDPIFQKYGNPVPLKRAVMTAEPSIVTRRIRTHDLFVIFASDGLWEQLSDEAAVEIVFRNPRAGIAKRLVGAALKEAGKKREMRYKDIKKIEKGIRRHFHDDISVVVIYLDQQKKSFQSKGSAGCISPPVDISSYHSDGVADEPSGLSLLKQAIY >Solyc12g044653.1.1 pep chromosome:SL3.0:12:60721723:60753095:-1 gene:Solyc12g044653.1 transcript:Solyc12g044653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVGGEDIISELPLHIIHHILCRTNLDLKDAAISCMLSKRWYHCWTSRPNLIFNQLQGDKLMPLENYVKLVDQSLRSHIEKKLHLEQFILAYCDPEVDSHLDTWIELAVKLNVTELGFDLVHRRSGGSSRSQARFVQVTLPWKRRDIEIDPSKIKSIQKLPPSKVRTEHFHRDPAQNPIVKKEFGFVRRRSGGSLRSQARFVQVTLPRKKWEKAVGAWKWDKGRRRVVIGIIYLGQNWSSGHIKYLTTTSCELHSVIVQAPYLRCFRYKEYAGRENILPCEIAILDGYNTLQTLELVGASITGQHFQDIFCKFPNISELELESCYKLNHIEIQSEKLKKFTLLLGLNTLEKLTIQAPNLLDFDFRGTKIPFSYMNMDTSSLERARFHFFMPATHFGSVDNSWYTSLHHFVQQFK >Solyc03g113950.3.1 pep chromosome:SL3.0:3:65487000:65490150:1 gene:Solyc03g113950.3 transcript:Solyc03g113950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKKISPSHSAPQGASFYRSVMIVLQVKRLLKTMKRLSIHPDVVGVELKPGEISTGPRQDKRPLYIYFIFIIIVAWNLYKLNRKEEENFNPYELRHLKLKFSNNMIKGPVYTGVPIGEDVGDTLNLDLVDCGTDNIVKFGPEASSKVEIVVFEKEQLKPVTNWLDGKSLIRGDRHVKLKDGRVSVSHISFKHTNVSMRKREFRLGARAVDNSDIIEAVTEPFFVVDYRSMPKSKKPIKLDDQVWKLPTIGRGGPYHHCLINENIKTVQDFLTLYFLNREKLLTILGRSSLQVRKLDDTVNQAKSKLELKRYVYRRENLRVVFTDVGELIGLINERDHFFSVQQLTPTDKTFGMEMVKRSFEDEHQNSKVLLDDDSFKMLCTDDFNNYPQQLQPSTSISQINCATFSTVALQHPFDPYDYNFNYQHHPSIWE >Solyc06g076770.3.1 pep chromosome:SL3.0:6:47826054:47829875:1 gene:Solyc06g076770.3 transcript:Solyc06g076770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSPAPYLSNPSWFLDEGKSTKWTPAENKAFEDALALFDKDTPDRWHRVAEMVPGKSVVDVMRQYKELEDDVSRIEAGLVTIPGYSTSPFTLEWGNSHSFDGYKQSYVVGGKRPLSNRPPEQERKKGIPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVTRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTINLNDNQTLSPDYQKPTSPDQSTMISQQPKSAANVMNKMPFQWSQVNNGTATGFNSAQASLFMSPALYGGNSYGNTKMQIQSLQRGGMHEPYFGSQSMNFQLQSAHPYHQA >Solyc01g087640.3.1 pep chromosome:SL3.0:1:82460118:82464034:-1 gene:Solyc01g087640.3 transcript:Solyc01g087640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative alcohol dehydrogenase [Source:UniProtKB/TrEMBL;Acc:A6N6K9] MESKNIGEGKVVCVTGASGYIASWLVKLLLQRGYTVNATVRNLKDTSKVDHLLGLDGANERLHLFEAELLEEQSFDPAVDGCEGVFHTASPVFLTGKSKEELVDPAVKGTLNVLRSCAKSPSVRRVVITSSTASVICNKNMSTPGAVADETWYSDPEFCEERKEWYQLSKTLAEQAAWKFAKENGVDLVTLHPGLVIGPLLQPTLNFSCEAIVDVIKEGKEAWSGGIYRFVDVRDVANAHILAFEVLSANGRYCLVGANGYSSLVLKIVQKLYPSITLPKNFKDGLPLTPHFQVSSEKAKRLGVKFTCLELSVKDTIESLKEKNFLHI >Solyc05g005290.3.1 pep chromosome:SL3.0:5:231573:234474:-1 gene:Solyc05g005290.3 transcript:Solyc05g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFGDHNDQVSMTIDDEKMLLSFDSQVESPSSTYHDSLRSFKKNGMIELEERNKEHDLIKAGFLSGMGQLGKEVEVVAIHKNSCSTILGQARLESFRIYSEAMRKKCGGNANIKYAWFGSSKDEICNIISHGFSTITEPKSGECFGMGVHLYPANIHGVLSALEDENGLRHMLLCRVILGNTEIIEASSKQFQPTCQDFDSGVDNYLAPKTYIIWPSYMNSHILPNFLVLLRKSRRFLQNRILV >Solyc07g019570.1.1 pep chromosome:SL3.0:7:12177506:12180365:-1 gene:Solyc07g019570.1 transcript:Solyc07g019570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSIYGDYLGCLAFVGFALLTVYFFLGAIGYSGEVKTWMILKIGVQCEFLCTYFFWPCWHLHYYLEIIRLRKKVDFSLVWKVSLSVVKLLHRSMVVAILALTLHFGYWISLGIKKRMLCNRTLFSISSDLRVMGSHNLLCSFVLVTVLVFQSIYSDAVPS >Solyc01g105970.3.1 pep chromosome:SL3.0:1:93846474:93869195:-1 gene:Solyc01g105970.3 transcript:Solyc01g105970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKVKNEAMEIMGLFQVLPRLVVFDLDYTLWPFYCECRSKNEKPSMYPQAKGILHAFKDKGVNVAIASRSPTPDIANAFLQKLEIKSMFVAQEIFSSWTHKTEHFQKINRKTTVPYNEMLFFDDENRNIQAVSKMGVTSILVGKGVNLGALRQGLSEFVKNSASVEKNKEQWRKFSKEPGSSKSDAQ >Solyc06g035960.3.1 pep chromosome:SL3.0:6:25413322:25420937:1 gene:Solyc06g035960.3 transcript:Solyc06g035960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTMLLKNVAEKFPTRNAVSVSGRFIVTHKRLQQLIEQAASLLVAAGIQPGDVVALTFPNTIEFVVMFLAVIRVRATAAPLNSAYMPEEFEFYLSDSESKLLLIAKEGNKAAEAAAAKLNITRIKVSVPSSDSDVILSLSIPLSDCDLVSEIVNDPSDVALFLHTSGTTSRPKGVPITQHNLVSSVNNIKSVYKLTESDSTVIVLPLFHVHGLIAGLLSSLGAGGSVTLPASGRFSASSFWSDMLKSNATWYTAVPTIHQILLDRHFSNPESSYPRLRFIRSCSAALAPSVLARLEEAFGASVLEAYAMTEATHLMCSNPLPENGPHLPGSVGKPVGQELGILNENGVVQGANEKGEICIRGPNVTKGYKNNPEANKSAFQFGWFHTGDVGFLDSDGYLHLVGRIKELINRGGEKISPIEVDAVLVSHPEIAQAVAFGVPDDKYGEEINCAVIPREGSKIDEGDVLVFCKKNLAAFKVPKRVFMTDSLPKTATGKIQRRLVAEHFLAQISTAKVPKFGA >Solyc06g035455.1.1 pep chromosome:SL3.0:6:24453604:24455038:-1 gene:Solyc06g035455.1 transcript:Solyc06g035455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSNLYVHPGPVEHDVLKIQVYHRSEGIWNGSIKEERCCLYTSRGDIEFWQHLKYHPLHSRILQYFENCRFKGILDVGCVPYDSGLISALIERWRPETHTFHMRTGEATITLQDIEILFGMVVDGSPIILNGADSLRIIGRQEMIFQLTGWLPDTSCFSGVSRLSTYKLIEYIEGLEVINDNSTEHEVQQRFRLYLLWLCGGSIFPDKSNNKINLDILIDMRNLDLMSTQAWGSAALSYLYNCLCRASMKKSNEVCGFLSLVQIWAWKRIIPLQPLPKPLRTNQFEALTALARKWTRRRNHQNEARTVIGVIRDVLDNLTDEQPYSEDVINGLPEWCRSGQRVWMAQVPLIYGIYP >Solyc10g038125.1.1 pep chromosome:SL3.0:10:19772834:19777162:-1 gene:Solyc10g038125.1 transcript:Solyc10g038125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPVTGLRVCMEYRNLNACTEKDHFPMPFMDQIPIKVKVWCYFHDGCLGYNQTSITLKYQAKTTFTCPYGNFAFKRMSFGLYNASATFQRCMMSIFTDMVEDTIKVQMAPQVPQHRQQQHGSTTPTAATWVTRVTPRTMDSDVVTALQSEEEHTLIGSLTWAFSDSQWSSAFGSKDTIASGSAQSSRSKALGSNYQGATVLGDQ >Solyc11g042630.2.1 pep chromosome:SL3.0:11:35850599:35855369:1 gene:Solyc11g042630.2 transcript:Solyc11g042630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AGGGGYNDENLSTYIFGCEDDNNNDTQVTMENAIYWESQEALLKEILEQYRLIGSKVREGITRSVEGTKDVGINNVICECLYGKVDRPISCAKCFRRRVVEELCEKGFNASLCTSKWNHTSKMPGGRHEYIQVIASTEGKKKKVPLLIELEFQDEFKLAKSCKEYSKLITLLPQVFIGKSEHLNAIVRLMCDAAKRSTVQQRINLAPWRKRNFMQMKWSAYNSEKRLLHHNQIILTKLTQQLLFRPPLTAAAALKVA >Solyc08g006980.3.1 pep chromosome:SL3.0:8:1555045:1559573:1 gene:Solyc08g006980.3 transcript:Solyc08g006980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKYMRNNRRSRSGRTDHDYNSQSSSNRNRNRSSLDFSGISESGVRQLNAESETAQQSNPINVGSDGDSGSGSGVDEGGLNICTEDQLEAILLQKLEVLYGEAIAKITKLGYGEEGVMKAMLKNGHCYGGMDVLTNILHNALSYLNNGYVNSGSSSSGDESDQSFVDLRQLVEYSLAGLICLLQQMKPHLSKADAMWCLLVSDLHVGRASVMEIPDGSGRTTGSLSGGGTSTNVEGVATGPIGVVPAMCRFHGGWGFGNSTGNAYPLNKSSAITSDSTPYKEIDCPKRFNLTPSMRTLLKRNVAAFTAGLRSNPKYMQSQSRVSSSSLTDGDQSSGLAQSGVSQTSKSQDVNCVVGKFQDLNLDENTQQQSKGNEDLDQKDEMLLSLLDQIKDLEKQVKERKDWAHQKAMQAARKLSNDLTELKMLRMEKEDIQRMKKGKPAVEDATMKKLSEMETSLRTASANVDRSNMFVKKLQEDNAEMKAELEAQKLSASESAKKCAEAAKREKKCLKKLAVWDKKKKKLQEEIAAEKQNISDMQNQLAQSEVAIKDAEVKWRLEQKARQQASALVDEERRLKEAVEANNKRKLEELRSKAEIDFQRHKDDLQRLEQDLSRLKASTELQNQSANVVTGSNVEQHPHGDIARMLRELDNSQEYSPVKEDSRECIICMKHEVSVVFLPCAHQVLCSSCNDNFGKKGRVSKCPCCRAPIERRIRVFGATS >Solyc06g083010.1.1.1 pep chromosome:SL3.0:6:48626445:48626606:1 gene:Solyc06g083010.1 transcript:Solyc06g083010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQNPSPRVPLQHILLIVRSFWKLLEPFLSNVHLTLGGTRINVFKAMRIRVD >Solyc08g063123.1.1 pep chromosome:SL3.0:8:52816559:52817230:-1 gene:Solyc08g063123.1 transcript:Solyc08g063123.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQAFLQGLEVARINNLNIIEVETDATKVIMQLNHPSPLFVNIVNDCRITVSEDDQYFIPHRSESLLSSNVFNVVVNNGRSTPMKLDLCGNGSCSNILLFSANIQHGPNILLYNAHRQMQKFKDSYEHLILFDANVC >Solyc12g040750.1.1.1 pep chromosome:SL3.0:12:55968612:55969208:1 gene:Solyc12g040750.1 transcript:Solyc12g040750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPEHRIIDLSYKSFSGSFPMSLFQHLKSTRTIDKSMGASRYHGATYYEDSITIATKGFYREIVRILYLYTVINLSSNKFSGKIPSIMGDLIVVHIMNLSHNGFQGHMTLSLGDLSSVESLDLSGNQLSEEIPQELASLTYISFLNISHNHLQGCIPQGTQFHTYESNSYEGNDRLHGFPISKSCGDAVVLDTNDSIS >Solyc04g056493.1.1 pep chromosome:SL3.0:4:54255477:54255971:1 gene:Solyc04g056493.1 transcript:Solyc04g056493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFAPNQEAVVEMVKYMSTLGLLRSWSSSRILDEVFTHVYGYLNVPSANCGSNLSKRLNNLLSSNRLLHSSMKFGSNSAKGGVVKQKLNANTKSNNVNKHQRSTIFFLSDNANNDEEKKKTPRKKGKVLTCQGNEKSIMLRS >Solyc11g066380.2.1 pep chromosome:SL3.0:11:52445229:52449603:1 gene:Solyc11g066380.2 transcript:Solyc11g066380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRMKKYGQLSPERAKVWTEKSPKYQQQQPARLSNGKVPVVYYLCRNRQLEHPHFIEVPLSSTDGLYLRDVIKRLNVLRGRGMASLYSWSCKRSYKNGFVWHDLCEEDLILPAHGNEYVLKGSELFDESDAGRFSPAGNVRLSNQKLLPEPQSSRSQDDTSSSSSMNEKGTKNSQDDESSPPVQRSGSSAVSPQSSSADKSSSWNGSLSLAEYKIYKNEGLADASTQTDENGSKSTPPETCTRGVSTDDGSIELGNNEIQEVQVQPTNESAQICRETVSPAPSSSSASSSGGRTDTLESLIRADINKLNSFRIIEGEEFRVPKTKLKPSNVLMQLISCGSISVKDHSFGLIPTYRPRFSHSKFPSPLFSTSLTLGDLDCLAENPKFMSLRLEDKEYFSGSLLETNMPKEPTLLKRSSSYNADRTNKEHVDSVEDKEEKSSVIKCIPRSIKATLNKQQARSESMKSPVSEGPRISSDGIGSSRTITSDTSRGGSKRITEPSDKIHSNRIDSFREEKDSMIKIEES >Solyc12g017250.2.1 pep chromosome:SL3.0:12:6437382:6441170:1 gene:Solyc12g017250.2 transcript:Solyc12g017250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGVMMMSSFSLKPSLLSVEKASIARPSNSKLIVKASGGKKITTDKPYGINGGLNLQDGVDASGRKAKGKGVYQFVKKYGANVDGYSPIYNPDEWSPSGDVYVGGTTGLALWAITLAGLLAGGALLVYNTSSLAR >Solyc12g008980.2.1 pep chromosome:SL3.0:12:2285177:2291175:1 gene:Solyc12g008980.2 transcript:Solyc12g008980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVGAQNVGAMAVFTRPRLKPLVGRRVMPRKKQSFWRMSSMKVKCNSSSGSDSCVVDKEDFADEEDYIKAGGSQLVFVQMQQKKDMDQQSKLSDEHTILLCSSYYLSLQLRQISAGQTVLDLVVIGCGPAGLALAAESAKLGLNVGLVGPDLPFTNNYGVWEDEFKDLGLQACIEHVWRDTIVYLDDDEPILIGRAYGRVSRHFLHEELLKRCVEAGVLYLNSKVDRIVEATNGQSLVECEGDVVIPCRFVTVASGAASGKFLQYELGGPRVSVQTAYGVEVEVDNNPFDPSLMVFMDYRDYVRHDAQSLEAKYPTFLYAMPMSPTRETCLASKDAMPFDLLKKKLMLRLNTLGVRIKEIYEEEWSYIPVGGSLPNTEQKTLAFGAAASMVHPATGYSVVRSLSEAPKCASVLANILRQHYSKNMLTSSSIPSISTQAWNTLWPQERKRQRSFFLFGLALILQLDIEGIRSFFRAFFRVPKWMWQGFLGSSLSSADLMLFAFYMFIIAPNDMRKGLIRHLLSDPTGATLIRTYLTF >Solyc12g008990.2.1 pep chromosome:SL3.0:12:2291516:2296949:1 gene:Solyc12g008990.2 transcript:Solyc12g008990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVDGDAGASPAPAPVNVTVAVAVKSVEGNGSQRAVKWAVEKLLPKANRFVLVHVMPTITTIPTPSGEYIPIDGLEANVVKLYTGDKRAKCEEIFIPFKILCKRKNVETLVLEGNNPATVLLKYVNDSGIKSLVLGSFSPSYFARKLKGSSVPSIILKHAPDCCDVYVVSSNKLMTNSLNPLLAAERDLRTINKQQSSASSVSAGSVYHNRSSSVATRHLNSLEFIHGNSSSYVSPQHRSNRNLEDVTTGLEAVKGCHSSTYSEQLDIQDEVERLRLELQDTLAIYNQACEDLTHARNKVQLFSSQYLEESGKVNAAKKREENLRKIAAEEKGKHMEAEKEVEIARKLLSKEVYERQIAELKALQQSLEKKRIVDALLSSDGRYRRFTRREIEVATDYFSESKMIGEGAYGKVYKGDLDHTPVAIKVLHSDASEKKEEFLKEVEVLSQLHHPHIVLLLGACPENGCLAYEYMENGNLEDYILERNSKPLPWFSRFRILFEVACALAFLHNSKPEPVIHRDLKPGNILLDKNFVSKIGDVGLAKIISDVVPENVTEYRNSVLAGTLGYMDPEYQRTGTLRPKSDLYAFGIIILQLLTARRPNGLIMKFENAINCNSLVDILDKSVPDWPLIEVEELGRMALKCCMLRCRERPDLDTEVLPLLKRLAGYADMHSNEEKNLIHAPIQYLCPILQEVMEDPQIAADGYTYEHRAIKLWFDRYSVSPMTKQRLQHKLVTPNHTLRLAIQEWRSTFNNIQIEKN >Solyc03g025100.1.1 pep chromosome:SL3.0:3:2601552:2601801:-1 gene:Solyc03g025100.1 transcript:Solyc03g025100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLTGLLLDMLKASCCKVFSILKTVTELLPYDSKNSGRLIFILYFLVVPLETLH >Solyc01g005310.3.1 pep chromosome:SL3.0:1:220560:237031:-1 gene:Solyc01g005310.3 transcript:Solyc01g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSGELSEFSSGENGVGGGGNVRETTPLTVSASFKEGKSSRRKTAVMRPSLDADEFFNLLHGSDPVKLELNRLENEVRDKDRELTEAQAEIKALKLSERLREKAVEELTDELSRVDEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLEAERTVQSALAKASMVDDLQNKNQELMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQTLRDKLTVTERAAKSEAMLKERYQLRLKVLEETLRSSSSATRSTPDGRSSSNGPSRRQSLGGAENISKLTYNGFLPKRSPSFQLRSSGSSTVLKNAKGTSKSFDGGSRSLDRGKNLLNGTGPNFNSSKSCDGTKDNETENSSWKASQVEKSNDTQVTETEDTIPGILYDLLQKEVVALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVSAMRVEKDQENRAKRFANSKGPVSSSQLPPGRPVDEMWCASDFDYDPLLLRYQLGKMIGLYWMVIPVMLLHFYANLPMAQYNQLRSWIVTILTWIWSIRMIHSYFRRENWQLGVKQDWRYTDMSNQYGKNWWWISFFAIYLSQQVLQMGICLPLYIVHSVDKPLTFLDFVAITICLCGITIAFYADTELHNFIIKNKKLQQLGQPMVPILDKGLWCYSRHPNYLGEQLWWWGLALFGWNLSQNWIFIGALINSICLGYVTMLVEKKMSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKTDGGAEYAEFLHAPKKKFPEFAAVRQEIADETDRITGKSKQISNVPIHLSIFSPNVVDLTLIDLPGLTKVAVEGQPESIVEDIEMMVRSYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVITKLDLMDQGTNALDVLEGRSYKLQHPWVGVVNRSQADINKSVDMMAARRKELEYFESSPEYGHLAHKMGAEYLAKLLSKHLETVIRQRIPSIIALINKTIDELNAELDRIGRPIGVDGGAQLYTILEMCRAFDRIFREHLEGGRPGGDRIYGVFDHQLPSALKKLPFDRHLSTSNVKKVISEADGYQPHLIAPEQGYRRLIDGSLGFFKGPAEASVDAVHVILKELVRKSLAETQELKRFPSLQSDIAAAANDALDRFRDERSNVSAYINMVCETLRNTIPKAVVFCQVREARKSLLNQFYSQIGRREKEELGKMLDEDPALMGKRETIAKRLELYKSARDEIDAVAWK >Solyc04g008600.3.1 pep chromosome:SL3.0:4:2202552:2209657:1 gene:Solyc04g008600.3 transcript:Solyc04g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGGGGNGSSAPTGCYKCGRPGHWSRDCPSNPSSSDKSNPNTTKYASKTVSDAGDSSVAGGGASDVAGSSASKPKKVPRSMPKLTPEILLSDKGLGYVLRHFPRGFKYRGRGHEVADLGHLLGLYAEWHAGLLPYYSFDQFIHKVEKLGGSKRVKLCMRGLRDRVADGVDPAKLYEPQGQEQEQEINQQEFKDSEPTDYPEDSMQNANPKDDQEIMLNDMWEKAIEEPSQPSNHNIVAADASSAEKDTVNQAPDNVAKSSGVINISEEQRARMEANKLKALQRAAARTAHIKST >Solyc11g008610.2.1 pep chromosome:SL3.0:11:2794916:2804813:-1 gene:Solyc11g008610.2 transcript:Solyc11g008610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEISECSVCHSKLVASPTKRTVSKAYDRHRTVVSVKTRAFNVFLVVGDCVLVGLQPILVYMSKVDGKFEFSPISVNFLTELAKVAFAVIMLCLEARNQKAGEKSLLSFSTLFQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAMLLKIVMKRRFSIIQWEALALLLIGISVNQLRSLPEGASALDLTVTTGAYLYTLIFVTVPSLASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLGILGTVIYKGPDNFDILRGHSRATMFLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFILGISIVFISMHQFFSPLAKVRDEPQNANLEMDYPKNYRSKDGSFINMAAGASEDASHRVGPDDREPLLPRLVSGETSLAGRYR >Solyc02g075630.2.1 pep chromosome:SL3.0:2:42345380:42349923:-1 gene:Solyc02g075630.2 transcript:Solyc02g075630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSASDLRPRTTLCGDYFYNYFTRGLDILFDGQTHKIKKFVLHTNYPGHADFNSYMKCNFVIHCSDFVGSYHQDVNSSKATITASTKWEQVKEILGDCGRAAIQTQGSASNPFGSTFVYGYQNLAFEVMKNGYIATVTLFQS >Solyc04g054205.1.1 pep chromosome:SL3.0:4:51898348:51900592:-1 gene:Solyc04g054205.1 transcript:Solyc04g054205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIITLSFFILLLTPKRKSFIILELKSRMAHCLITPTIFLLKPFCSFCTTCRDYSVLKKLWRVVDEEGNKMLIELPNMEEIKEFVFSMSSVSSPGPYGISGDLPNVFVVTMERMRTSTT >Solyc03g098000.3.1 pep chromosome:SL3.0:3:61792434:61796065:1 gene:Solyc03g098000.3 transcript:Solyc03g098000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METATAFRSGFSICNRATKAALGGSDFVRVGSQLRMSPSGIKLYPSISHVKLSNRKVAFGSRKYTAIRASVSPSESGGSAAPIAPLQLESPIGQFLCQILTSHPHLVSAAVDQQLELLKIDRDAEQQKEEPSIAGTDIVLYRRIAEVKANERKKTLEEILYALVVQKFMDANVSLVPAISPSSSEPSGRIDTWPSQDDKLEHLHSAEANEMIQNHLSLILGSRLGDDSAVAQISKLRVGQVYAASIMYGYFLRRVDQRFQLEKSMKVLPPGVDNEDSSIQQVAGEDISGDRSDTSFRSTTQTHPELTSWSAGGVSPGGFGQGIKPSRLRNYVMSFDGETLQRYATIRSREAIGMIEKHTEALFGRPEIVITPQGTIDSSKDELIKISFGGLRRLVLEAVTFGSFLWDVESYVDSRYHFVAN >Solyc08g043190.1.1.1 pep chromosome:SL3.0:8:22874548:22874718:-1 gene:Solyc08g043190.1 transcript:Solyc08g043190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAKSKGKNKKVDKHKEDKFLDVFTSKKKRKVKEIASTSNKQDKSVEVLISKKKH >Solyc04g071030.1.1.1 pep chromosome:SL3.0:4:57968161:57969444:-1 gene:Solyc04g071030.1 transcript:Solyc04g071030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BT95] MVRNRREELYVTVPPLFRCPISMDVMKSPISLCTGVTYDRSSIQTWLSQGHNTCPATMQILPSTDFTPNLTLRRLINVWIQHQPASSPGSTTPSSSSVTKTEVVEIVENLNGEVDRLSSLAKIGEFVKCSGENRSFFVNLSDAIANIVGVLVDCDVVEVCEAVVAVLDLVVSENGVKEQLNKEILKSDQKFLPKFLLVLRKGKLSSRIQTARILEFIALDADSQRKMVEEQGLLYELHVFTSTETNRFAIEVGLSTLIAVSTTRLAKKELVRFGIIQTIGKILSDSETARAVVEKSLKLLETVATCAEGRTAIGKGEECLSAIVTRLMKSSKAATEHGVTVLWSVCCLFRHTAAREVVGKANGLTKVLLVMQSDCSAAVRQMCGELVKALRVVNTFSVRTEEVIKIKNYSKSCLASYDTQTTHIMPY >Solyc06g075820.1.1 pep chromosome:SL3.0:6:47254500:47255625:1 gene:Solyc06g075820.1 transcript:Solyc06g075820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVISYIDNRFLDEDIIYCNSCNTQVGFVDDHIDIVQNGRTGVFKSVFNVRFLNNANYIRHVNGNNVADVYCVQCGMLLGLKLIAVPRPSQEIMEGRFLMNLEKLAYWGGYRMIYQEQDGTAADIDQVLYELFANEQNGHANVEHAPMDQDGGTAEQLANATRNLHI >Solyc06g073020.3.1 pep chromosome:SL3.0:6:45137744:45142431:1 gene:Solyc06g073020.3 transcript:Solyc06g073020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSELRKSQFHLQILLFAVIFLSITVCLNGLNPLPSHQDDEDKCPRTPFPTDSCPINCFRPDPVCGVDGVTYWCGCPDAHCAGVRVAKLGPCTVGNGGSGAVPGG >Solyc07g062230.3.1 pep chromosome:SL3.0:7:65150539:65161587:1 gene:Solyc07g062230.3 transcript:Solyc07g062230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQATFGENVVEFNCKKSPNYVTNLVKYSLLLGAEKVLMDRKSQEAFSSSSLSSWTDEATAREKRRRKGKSVLIDDKLACGTSRNFGIESSKEHFNSFDFTSFLAITMLKLFGFQMNILVKLFTFPIFLMNFWIMVLTLPLNTLTYAKNNFKKQLMNLGTKSWLKMMSFVFNQIKAQKSLLKLSVRFCWALFWAAYVCFLLVGLFIMGFVVSGLTMRRLLEEPIETTKALNFDYTKTSPVAFAPLASNGLSSPLISKPKIEDRPIPYNHKLQLTVSLTMPESEYNRKLGIFQVRVDCLSSNGKVTATSSYPSMLKFKSQPIRLVETAIKSIPLVTGFQSEVQNLKLVINDFTEGFEPTACFKVVIEKRAEYQSQGAGIPEIYSATLHVETELPKIKKIIWIWRRTVFVWIGILAFLSQLSFSLIFCRTLILPGRRIMSVLGNKKNVQKNKISW >Solyc02g062590.3.1 pep chromosome:SL3.0:2:34855140:34857393:-1 gene:Solyc02g062590.3 transcript:Solyc02g062590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B6E7] MNPNVFSVTNNGLVKATSLFSHAYVTFLAGNGDYVKGVVGLAKGLKKVKSAYPLVMAVLPDVPEEYRHLLINQGCIVRKIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYQKMIYLDGDIQVFENIDHLFDLPNGYFYAVMDCFCEKTWSHTPQHNIGYCQQSPEKIQWPEENLGPKPNLYFNAGMFVFEPSLLTYHNLLSFLKVTPPTSFAEQDLLNMFFKDIYKPIPNKYNLVLAMLWRHPENVEVDKVNVVHYCAAGSKPWRYTGEEENMDRDDIKMLVKKWTEIYNDGSLDYNDNKYMLMDAPRGGQGCMTAPSAA >Solyc06g060160.2.1 pep chromosome:SL3.0:6:38233724:38243800:-1 gene:Solyc06g060160.2 transcript:Solyc06g060160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVSSLELRDKDVSSSSAQKSRESNEAAEMDDSEKALPRLAQLIDQLHLNQSSAHEKELTTARLLGIAKARKEARRLIGSHGQAMPLFISILRNATLFAKVNVASTLTVLCRDEDMRLKVLLGGCIPPLLSLLKSDSADARKAAAEAIFAVSFSGVSNDPIGMKIFITEGVVPTLWEQLNSKKKPDKTVEGFVVGALRNLCGDKDGHWRTTLEGGGVDIIVRLLSSNSASTQSNAASLLARIMLAFSDSIPKVIDSGGIKVLFSLLAQQDDVSVRASAAEALEVLTSQCDKAKQAVIDSQGVTALIGAVITPSKERLQSEGKEKQDAVVDSQGVTALTGAVISPSKEKLQREEKEKLQRHAIQALANICGGMSPLLLYLGELAQSPRLAAPVADIIGTLAYALMVFEHKVEEEIFDATKIESILAMLLKPRDNKLVQERLLEAMGSLYGNAYLAKRVQKSESKKALTGITTMASGDALEYLILSLLRLCCDGMTVWEAIGKKEGIHLLISLLGLSSEQHQEYAVEMLAILTDQIDESKWAITAAGGIPPLVQLLEMGSQKAKEDAALIIHNLCCHSEENRACVESAGAIQALLWLLKNGESKGQETSARALTKLITAADSATTNQLLVLLLGDLPSSKAHVTEVLGHVLTLASHTDLVNKGAAANQGIMSLVHVLNSSNEDTQVHAASVLADVFSTRHDICESLATDEVVNPCMKLLGSNSPAVATESARVLHALSRASKQKSTHKMPHIGEGHVKPLIKLAKTASIDSAATAVAALANLLSDQEIAAEALREDVVSALTRVLGEGSSEGRRNAARGLHRLLRHFPVGDVFNGSAQCRFAVLAMVESLNVMNVDGTDAADALDFIALLTRTKQGTDSTYSSCTALAEVPSSLEPIVHCLCEGSSLVQDKAIEILSRLCGDQSVFLGNLLSSKPRSIGALADRIINSCILEVRVGGTALSICAAKEHKNQSMDALDASGYLKPLIYALVDMMKPNCTCSSLEIDVRTPRGFTERTSFGEGNEFEAPDPATVLGGTVALWLLSIISSFHVKNTSTVVEGGGLESLADKLARHGSNPQAEDAESMWISALLLAILFQNPNIISSPTTMRIIPSLALLLKSDEMIVRLFAAQAIASLVCHRKKGINLTVVNSGAITGLISLIGHIEIDMPNLVALSEEFSLVRYPDQVSLEVLFEIEEVRVGSTARRTIPLLVDLLKPLPDRAGAPPLAVRLLTQIADGNDENKSIMAEAGALDALAKNLSLSPQDLTEATISELLRIIFSNSVLIQHEAAVSCSVQLIAVLRLGSKSAKLSAARALNELFDNENIRNSEASNQAIQPLADMLDTASESEQYTALSSLVKLTSGNDAKAAVMADLDGNSLESLYKILSSSSSMEMKSDAAELCFVLFGDPNIRELSVASECLDPLVLLMQSDVERAVESAICAFERFLDDEHPVDLASANEIVGILVHLVSGSNHRLIEATIFALIKLGKDRTPRKLDMVKAGLLENCLELLPTASSSLCCTIAELFRVLTNSSAISRSPSAAKIVEPLFTVLQRPDFGLWGQHSALQTLVNILEKPQCLATLKLTPSQVIQPLISFLESPAQSIQQLGTELLSHLLAQEHFKQDITSKNAVVPLVQLAGIGILNLQQTAISALENISLRWPKEVADAGGIFELSKVIVQDDPLPPDTLWESAAMILCNVIQSNADYYLKVPLVVLVKMLYSTVESTVTLALNALIAHEKTDLSNGELMAEAGAVDALLDLLRSHQFEEASAGLIEALFNNVRIRELKVSKYAIAPLAQYLLDPQTLLQPARLLAALALGDLSQHEGLARASDSVCACRALITLLEDQPTEEMKMVAVCALQNFVMHSRTNRRAVAESGGILVVQELLLSPNSEITVQAALLIRFLFSNHTLKDYASTELIRSLTAALEKELCPTATANEEILKCIFIIFSNFPKLLISEAGTLCIPHLVTALKSGSEAAQDSVLTTLCLLQQSWSTMPIDVSKSQAMVAAEAIPILQMLIKTSPPGFHDRAERLLHCLPGCLTVTIKRADNLRHVMGGTNPFCRLTIGNGPARQTKVVSRSTSPEWNEGFTWAFDVPPKGQKLQISCKGRTTFGKSTLGTVTIQIDKVVNEGIHSDIFSLSHERYNGSSQTLEVEITWSNRSSNESVK >Solyc03g025255.1.1 pep chromosome:SL3.0:3:2725371:2726893:1 gene:Solyc03g025255.1 transcript:Solyc03g025255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMNVDGWEEMVFIGINAAISLWQNVLHLFLGFVLMHCLSLSIRVSNELGLGHPRATKYSVYITVFQSLLIGILCMIIVLAVKNHLAIFFTNSKDLQQAVADLAWLLGITMLLNSVQPVISGVTIGGGWQGLVAYINLGSYYVFGIPLGYLLGYVADYGVVGLWAGMIAGLALQTLLLSFVLYRIDWNKERVNFLFLLFSLKKVADEAKLVALILLQIVFRLSNRQSAYASGEVKTLKLRK >Solyc03g026170.3.1 pep chromosome:SL3.0:3:3593341:3606153:1 gene:Solyc03g026170.3 transcript:Solyc03g026170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANNSGLVDHEKLTSNMSPLETGLGDLPESCIALVLTHLDPIQISKISRVSRTFHQASLTDLVWEPKLPQNYQILLKNYKNLLSNASDQHLTKKDIFVRLCRPNHFGSSNYKVFWMEKNRGMCVCISWKEMKITGIEDRRYWTHVTSDESRFNTFAFLKQIWWVEVEGNLEFEFPAGNYSVFFRLQLGKTSTRLGRRICTVDQVHGWNIKPVQFQLSTSNGQQASAQYYLNEPGKWINYYVGDFVVDSMQTKLKFSMTQIDCTHTKGGLCLDSVLIIPTHIGPKKMIGNDLCKHLCLKRFPEISSVGNMIEVENTVLPVVSQPEKSTEWLCLERNHRVYAFLSRGLSNCMTEDCLIDAIHASSTDNYPEESILNTLKPGDRVERRASYWSSGGQSDPTVPETLTYKLISKLCLISEIHKHYDFVTAYFQFGFPIYSAKAVRFRVGHPNVKIDVESDNGQESVAGQARSFSDKVVWTYTSPEFPLVQDNILQKFKLPEPVLCIGGILQVELLGRVQKQQLDGLYYICVSHVQVVGRPLLSTFDVEILDESGKCSLKYCPKERLLCESSNKSTKGESSSPPRFHRFRASLRGWEQMILTTLLGAGAGVVDDDDSDD >Solyc12g100000.2.1 pep chromosome:SL3.0:12:67895949:67898405:1 gene:Solyc12g100000.2 transcript:Solyc12g100000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKSIKAQMEGLGANSDSFAKLADCLRLKSNQELLIELVALEKLKENAEQAEKTEEVEYIEQIIALVSHMHDCFITMKQSQTCRPVTIPPDFCCPLSLELMTDPVIVATGQTYERAFIREWIDLGLTVCPKTRQMLGHTTLIPNYTVKALIANWCESNNVKLPDPTKSLSLNQASSLLAHAYSGMPRDTQGIPLLRGNHSSSPDSSRSLSSPRKICSSSMTQREGLSPSHPHSSLDDSLPRVASNMLALDVVRISIKTSEERMAHSGEINSHGHCMLAANKHSLVGHNRTTSAPSTLSNSNFSPTIPGDGNEVFSMSAAAATDACDVSESQPAAPLSVLQGEPEFPSMPETRVRNQSIWLRPSERFPRIVSSATVERRADLLELEEQVRKLVQDLSSNSIDVQRDATAELRLLAKHNMDNRIVMANCGSINLLVNLLHSEDMNVQENAVTTLLNLSINDNNKCLIANADAIEPLIHVLHTGSGEAKENSAATLFSLSVIEDNKMKIGRSGAIKPLVDLLGNGSPRGKKDAATALFNLSILHENKGRIVQAGAVKFLVELMDPAAGMVDKAVAVLSNLATIHEGRAAIGQEGGIPLLVEVVELGSARGRENAAAALLQLCTNSSRFCNMVLQEGAVPPLVALSQSGTARAREKAQGLLSYFRNQRHGNAGRG >Solyc09g098550.3.1 pep chromosome:SL3.0:9:72858303:72869857:1 gene:Solyc09g098550.3 transcript:Solyc09g098550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSMEQLLSPLVFNGSTVEAITEAKQKKKLFVVLVSDLGDVAAKFITLNNNRFRSISTGLKGGNMESNQSETSTWLDPRIYPYMSYIDPQEAIPCITVIGYNGVQLWQHGMDQLNLMFGGFVSAENLASSLEKAWLSLHVQDTTTTLLTAALASRKDLVSGASSVTAEVGSSSSAQVSPAQAITHIQSPLATLSKNSQIVEGEDPGHAAMDIDSSLIDMDSHGSADAFESRIGDFNESTTVITTAEKPLDLVEVDSNKGKDECSMSKENPGLRDQHLDPSDEAPREIANKAGKDPEINIVEDAAAESDDSSNIFHDVCLNIRLPDGSSLQVKFLVVDTLRMVKHYVDKNQTSSIGYYDLAVPYPRKVFGDEDLDRTLSDLGLHNRQALIIVLRNKVDVTSLHGLNNPAESNHTTIGGDAGYFATIRKLFSYVNPLSYLGGSSNTSNTARDSIWQYGPNSSTPQNNQSLVSKTSTTNNRGRKRASSSFGSNIHTLKHDEDENQSGDKNTFWNGNSTQFGGDE >Solyc12g098630.2.1 pep chromosome:SL3.0:12:67052260:67061346:-1 gene:Solyc12g098630.2 transcript:Solyc12g098630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYMQQRNTILRENHDAAGATMPPSSPNPSLLKQKSSNSPSNPSSTSTRKHKSSKENAPPPYHPLDLSSSPTVGLKIKSPLPPRPPANSNNLKRKLNLESVGTENLVAGSSDSGVKVIVRMRPPTKDEEEGEVVVQKISNDSLSIAGHTFTFDSIADTQSTQVDIFQHVGAPVVENCLAGFNSSVFAYGQTGSGKTYTIWGPANALLEENLTIDQQGLAPRVFQRLFERIEEEQIKHADKQLMYQCRCSFLEIYNEQITDLLDPSQKNLQIREDVRTGVYVENLTEECVSSMKDVTKLLMKGVSNRRTGATSVNAESSRSHSVFTCVVESRCKSMADGISHLKRSRINLVDLAGSERQKLTGAAGERLKEAGNINKSLSQLGNLINILAEVSQTGKNRHIPYRDSKLTFLLQESLGGNAKLAMICAISPSQSCKSETLSTLRFAQRAKAIKNKAVINEEMQDDVNVLREVIRQLREELLRMKANGYQADQAGWSVRRSLNLLKFSLNHPMNLPVDDDGDTEMEVVEEAELLGLLSEGSKENSMLGILRRTFSKGSSPLDSAVQHGGKEYGSNREQASEDTDVSMEEEVSEAVTEHEGSTVDGAGLQNFKKLGNDSSMEPTEDEYAPSSASEMLNQGQREVVEDSPSEKYPEWTSENSSKSLEGNTACTNLSTVQCDVSPILDYPAPSVSPRANSSRRSVGTSMLSDSKKDLGDKLDTPGLPFTKPSNSICLNSLSNQRNKSCFTSTEHLAASLQRGLEVISSHRQSTSLRRSSVRFSCKAADISAIIPVAKVDVGVQTITKDYESYEGGSMFLCSKCKARNSLQELEDADDDGSNLQLVPVNGLQLVSATGSQSCENFQIQVPKAVEKVLAGAIRREMALEDICSKKTFEITQLNRLIQQYKHERECNAIISQTREDKIIRLESYMDGILPKEEFMEDELLALIHENKLLKAKYENHPEVLSDRLALRRVQEELERYHNFFDLGERDVLLEEIQDLRTQLQFYVDFSPKSSRKENSLLQLTYPCDPSVPPTLSAIPESNEDEESSEQSFERERIQWTETESKWISLVEELRLDLQTSRTLSEKRKQELELEKKCSEELKEAMQRAMQGHARMIEQYAELEERHIQLLARHRQVQVGIEDVKRAATKAGVRGAESKFINALAAEISTLRVEREKERHYYRDENTELQNQLRDTAEAVQAAGELLARLKEAEEDIAAAEKRAIHAEQEASEANKQILKLKKKHEEEINSLNHLPEELRLPKATSEPVYDNSETGHDDQWREEFASFYNTKEEEDLPKFGEPSSWFSGYDRCNV >Solyc11g006230.2.1 pep chromosome:SL3.0:11:980840:985500:-1 gene:Solyc11g006230.2 transcript:Solyc11g006230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQHLMQMQPMMAAYYPNNVTTDHIQQFLDENKSLILKIVESQNSGKISECAESQAKLQRNLMYLAAIADSQPQPPNMHSQLASGGMMQGGAHYLQQQQAQQLTTQSLMATTRSSSSMLYGQQQQLSSLQQHQAAAYHSQQLGMSSSGGGSSGGLHMLQSENTHSGSTGGGCFPDFGRGLGSANKLEMGGSMSDQGRGGSSSGHGGDGGENLYLKSSEDGN >Solyc11g008150.2.1 pep chromosome:SL3.0:11:2414989:2426455:-1 gene:Solyc11g008150.2 transcript:Solyc11g008150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCHTTNLCFFIFSDFIVSLSFSHSLCDAVEAKMGRKSVLQKGKEKVTDGKASSSAGKRKRNSSDDFNDDKTGGRKRKDRSVLQFVDDVAYEVDDDDDDDSDFDFSDSDFFEQDLLEEEFGSNAEIKNEPARTPQPPVIKEEEMDGEELERMLRERYKPGSSFVTYAEDADDRKRQSEQDTLVPSLKDPTIWKVKCTVGRERHSAFCLMQKYIDLLALGTKLQIISAFALDHVKGYIYIESDKQCDVYEACKGLCSIYSTRVAPVPLNEVSHLIAVRKKSSGISEGMWARVKSGIYKGDLAQVVAVNDSRKKVTVKLIPRVDLQAIADKFGGGVAAKKGIIPAPRLISSTELEDFRPLIQYRKDRDTNLMFEILDGKMLKDGYLYKKVGTDSLSYWGVLPTEAELLKFEPSSNDEPHDVDWLTQLYGDRKNKRNTNDFKVGQKGGEKGESSSSSSMENNFEVDDLVFFGRNDFGIIIGKEKDDSFKIMKYGSERPVVVSVQLRELKRASFDKKLFTVKDQLTNVISIGDVVRVLDGSLKDKQGSVKQIYRGVVFLYDQSEQDNNGYLCVKGQMCERIASSGGVLNGKGSEPGPSGLADFSSSPKSPLSPEKSWRAKDDNNSFKRGDDNEMFSVGQSLRIRVGPLKGYLCRVIAIRRSDVTVKLDSQQKILTVKSEHLAEVHAKSSVVSLGVDGDSSKPFDLLGTKDGSDDWMVQGATATEGNTGNASWGASGGSDRTVADSGQDDGWAKATSAAAATSGASDGWGKKVESHQESTEKVTDGSWGSSVQKQGNNDDSGKTSWGKQDGGSSWGKQSDVNAETDWKKQDGGLDKTDSKTSWSQQGAGSSWNKTDANAETGWKKQDGGSNKTDSKTAWSQQDAKADNDWKKQDGGSSWSKPESKTSFNQQGSGSSWNKSNGGSSWGKQSDANADTAGEKQDGGSSWSKADDSKTSWSKQDGGSWNKKDDGSFSKPAGGTSWDKGSGGSTWNKKEAGSGGGEDTRSTWGKQDGGSSWGKEAAGGWKEGESGNSGGTDQEGGSWGRPREFDGGRGSGGRRGRGGWRGGRDQSGRGRSFNQGRSSSWTTDGEDNNNNSNNVSFKGNQSSWSNSQEHGKNVNEDTSGPNNQSSDFQSTGGWGASKPSNDGWSSSWNKNSATTEVGSSGGNQSDWDKKSGEVGGAAGWDNKITQKASEGNNSAWNSKSAVEQDGNGKNQNDPWKKTSDGDSSTGWGQSNSWKSGTNDAGGTQDSWSSKSNWSSGSGFGGNNQQSDSYSDRGRGGSWRGGRGRSDRGGYGGRGGSDAGGFGGRGDSDGGGFGGRGGFRGRGDRGGFRGRGSDGGGFRGRGRGRRDGSGEWQNRNDSQEDKPYSWSKGSGSDAEGWKSNKGSWSQDDNNKGSWKSADSTVCAEDGGWKKGFDSEKNASGSGASTTSWNSPGNSWKGKKDSTLKRMRVEVVLVLPVGIVQEIVGKHQLLLQEVMLMMVDGRKDSTPKRM >Solyc03g044110.2.1 pep chromosome:SL3.0:3:8133055:8137276:-1 gene:Solyc03g044110.2 transcript:Solyc03g044110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNQTPIYSKQVLQQFPILPSIMANEFHGSSLRSSSPNNPKFIQIITSLDELHCLRIPVVFAQRHCENMLNPVFLEAPHGKPWEVEVENSQGQIWLAKGWSEFCDYYSIRVKSILIFTYNPRCHFVVAIYDQSKTEIEYPIDQDIESDEQEEDVLVAQANANVIDEDILILQSNANVIEEDIPILQSNANVIEDEEEDIPVNSPQTNANVIDQHKEVGEANSISEKVGPNNYSSRYSLVDLTGDNPFFEMVIKKSHATRMAIPLRFAQQTDIINMKNMRLVNEEGVEWKVKIEYTRSTVIIKKGWTAFRKDNKIANGETCRFRLIRGPIANVLQVQKISTPLCLQ >Solyc09g031920.1.1.1 pep chromosome:SL3.0:9:27808873:27809475:1 gene:Solyc09g031920.1 transcript:Solyc09g031920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKSPVAAAMGNKKRARNCCFVCLAVLVLLGLLLLTLGLTVFKTKKPVTTVDAVTLDDLDVSFDIARLQVHLNVTVKADLSIQNPNRVSFKYDTTSALLQYKGKAIGEAPLPAAKIGSRQTHPMNISLTVMADRLLSDSTLYSDVMAGALHLTTYVKLSGVVHLLFKIHVKTSSTCDLFIDVLDRRLLNQTCHYKTKL >Solyc03g112920.1.1.1 pep chromosome:SL3.0:3:64691520:64692050:-1 gene:Solyc03g112920.1 transcript:Solyc03g112920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHQKELHFLSTHQNAPNSSSWPSDSSGNIIRSSESIEPPSLDLQLSISVRRPIKPSSTHNQGILIRPASLNHDHNQYSTDVRFDSGYVEALKWQAAEQIRLAAIEKAYAERVRELTRREIELAQSEFARARSMWERAKEEVERAEKLKERATRRIDPTCMEVTCHSCSQKFRPH >Solyc03g034202.1.1 pep chromosome:SL3.0:3:5923941:5926443:1 gene:Solyc03g034202.1 transcript:Solyc03g034202.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAILVMHSGKWDDTNSYIDYTIKGVVFKEQSTFLDFYTTIAKRIGVDMNNKTLKIEYKVKESNKRMVIHNDMGVRVYVMLKKANIDFNKFPICITILDSCDRQISQCKEMGVLATVAENDCHDESNKHVEVDQVYKDKSILKAFMERYAIKERFQYKTTRSNSISVIADDVLPPKFKRPPGRPKGKPRKKTARELSRIKGKNTCSTCGMAGHNRRSCRNKPKDV >Solyc01g057858.1.1.1 pep chromosome:SL3.0:1:64122279:64122884:-1 gene:Solyc01g057858.1 transcript:Solyc01g057858.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITLLVMHSGRWNNENCYNDYSTEAIVLKEHATFRELMDLVSKQICVDLSFNIVKLKYKIEGSTAPLEIHNDMGVRVYVSLKKDNKELSKYPICVSVFVNDCQLADRNMFEDGFEMCGPDGIDIVDTESLVLSVPNNSDNMNCDIITNDKHKVVLEDQVYKDKGTLKAVMTQYAIDHRFQWKTDRSSQTWYVYLGWILL >Solyc04g014260.2.1 pep chromosome:SL3.0:4:4560926:4562353:-1 gene:Solyc04g014260.2 transcript:Solyc04g014260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEDQQKQRDEEIAAAPRHNDSLDNSDLTTKMPPSPQLELEPLTAVQLWTNNKPKYKECLKNHAVGIGGHAVDGCGEFLPAGEDGSIDSLKCAACNCHRNFHRKIAPPPIAAAAAGVGGEPVPFVYHSHNQLPTYYRTLPPPCGYLQYHVAPNQRPLALPSTSGGYREDQEDISHPNYSGGSKKRFRTKFSQVQKDKMQELADKLGWRIQREDEELVQQLCNETGITRQVFKVWMHNNKHTLGKKP >Solyc01g010085.1.1 pep chromosome:SL3.0:1:4770543:4771456:1 gene:Solyc01g010085.1 transcript:Solyc01g010085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIRSNSNNDPNSFVDGSLKNTVNVVTGSKKKRKHKTDVHTSHNDKNEGVGSGSIEHKDVKYLGQREPTRILNM >Solyc06g076900.3.1.1 pep chromosome:SL3.0:6:47925342:47927576:1 gene:Solyc06g076900.3 transcript:Solyc06g076900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPYTQVLPLPRHQHFPKPNPISKTVINDRYFENHPLVLLIDKSQSINQLKQIHAYMLRIGLFFDPFSASKLIEASSLSHFSSLDYAHKVFDEIPQPNLFSWNALIRAYSSSQDPIQSILMFVNMLCEGREFPSKFTYPFVFKASAKMKAIRFGRGLHGMVVKGRDVGLDIFVLNSLIHFYADCGCLDEAYLIFENMQTRDVVSWNTMILGFAEGGYADEALKIFHRMGEENVRPNDVTMMAVLSACAKKLDLEFGRWVHAFIKRNGIRESLILDNAILDMYMKCGSIEDAERLFRKMGEKDIVSWTTMLVGYARAGNFNAARSILNTMPSQDIVAWNALISAYEQSGKPKEALSVFNELQLIKKAEPDEVTLVCALSACAQLGAIDLGGWIHVYIKKQGIKFNCHLTTALIDMYSKCGDVEKALEMFDSVNIRDVFVWSAMIAGLAMHGRGKEAISLFLKMQEHKVKPNSVTLINVLCACSHSGLVEEGRAIFNQMEYVYGIVPGVKHYACLVDILGRAGELEVAEKLINNMPVTPGPSVWGALLGACRLHGNLELAEQACNRLVELEPENHGAYVLLSNIYAKSGKWDEVSMLRKRMRECGLKKEPGCSSIEVHSIVHEFLVGDNTHPQSQKIYAKLDEIAARLKHVGYVSNKSQILQLVEEEDMQEQALNLHSEKLAMAFGLISVAPSQPIRIVKNLRVCADCHAVAKLLSKLYDREIILRDRYRFHHFKEGNCSCKDYW >Solyc01g058540.3.1 pep chromosome:SL3.0:1:66815506:66818890:-1 gene:Solyc01g058540.3 transcript:Solyc01g058540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFFPDESSSFFFDFPLYETENVSSSPMRLIESMFTSPDDPITDSSSLFDLLQPPPFPPPPPPPPPPPPPPPADVPLLNPSPIANTSANSSELSSSSTDVAANDDFLQMIEMVEEQLENDEDKIEDNIQLIPKKKKARKERAPRFAFMTKTEIDHLDDGFRWRKYGQKAVKNSPFPRSYFRCTIATCGVKKRVERSSADPSTVITTYEGVHTHPCPITPRSSIGFYRDTIDYSGGGSIGVGVGVGLGGVASSSSLFLPEFNYQAQQQQPSTYFQAPALPPINSFTTNSAQGGMLLPSTSSSFGRDDGLLQDMVISQMMPKPNQ >Solyc07g054950.2.1 pep chromosome:SL3.0:7:63228362:63230710:-1 gene:Solyc07g054950.2 transcript:Solyc07g054950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLENPLPFSHDETHFDTISPLFNIEAHHMPSKTYFQILINSNFLINIREATISKILQISQPFDSHFLPYLAINYLDRFLSFHSLSDAKPWILNLIGVSCVSLAFKMKKTEYSVTDIQQDAGSIFDIETIKRMELLILGSLKWRMRSITAFAFINFFISSFKFKDLPFQQALKARATEIIFTSQNEIKILQFKPSTISASALLCASHELFPLQFSCYKTAIINCSYVHKDDLLSCCNVIQEITKKEYESILEIVSSTSTPVNVLDVQMSWSSDNEPIEEDSSVNAISSRQDNLTKRRKIITTIIDDNT >Solyc11g005710.2.1 pep chromosome:SL3.0:11:564819:568867:1 gene:Solyc11g005710.2 transcript:Solyc11g005710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTMQVEEVFFDSADSLVLEEEELGCGKKNLGYDVWLMEPESVTSRRGKFLNEMGFVGLDCLPSAASDEFDSMGLNRIVESSEAVCSSASEEEFMCNERESSGNANSLTDEPDQTWFDDDCTSSRSTDEQHTCFDATSDHAHGKDSNLKNKKMKKWWKQFSLKMSKSQITDAFKTSNEIFTEKQKVTPKKVHLNKKKINEFSAIYCGQEISAHSGLIWTMKFSPDGKYLASAGEDGVVRIWLVTVDSSSESPNFSLSSHSVKGKHGHKKNKSCYTPVIVPKNTFKIDESPLHEFHGHTAGILDLAWSSSNCVLSSSKDKTVRLWKVGLDGCQGVFHHKNYVTCIQFNPVDENIFISGSIDGKVRIWGVPGKRVLEWADAHDIVTAVAYQPNGQGFIVGCISGTCRFYELNESVLSLNTQVHLHRRKKSSGSRITGIQFFENDSRRVMITSEDSKIHILDGVEIVHKYKGLSKSGSHTSATFSSTGKHIVSVGEDSRVYLWDNADINIQASKQTKSIRSCEHFLSEGISVAIPWSGQATTVGDSENSISSNFDPLRRGEHQEVSSKTRDSRRFSVGNWFSMDVSFRGSVTWPEEMLLPDDPPIAENDEHLCTSNDDHLHQHNKNLNYRALSPAWGLVIVTAGWDGKIRTFHNYGLPVRV >Solyc07g007230.3.1 pep chromosome:SL3.0:7:1979960:1981610:1 gene:Solyc07g007230.3 transcript:Solyc07g007230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFINGPPTNRSEALRWLSIAEKLLTNRDLVGSKSFATRARESDPSLAHPIDQILSIVDTLTAGDKRINNHHFDYYSILQIPPNQTQNFEFIADQYRRFALLLNPQNNNFPFSDQAFGLVVDAFSVLSDPLRKSMYDKELGFFLNLYPVASAPTPVSFVQHGGFNQMQGSTADQLFVNMPSQDQGSHATGVSYSRDPQAGISMPVTFLNREQETMTMGSSGSEQEHQQQQQQQQQQQQPVTSLRQQTQQPEKESIRADASAKKIPSFWTACPYCYVMHEYPLDYVDCTLKCQNCKRAFQAVKVAAPPIIDGKEAYFCCWGFMPLGFSVEHFERSRNNVSSWSPFSPMFACPTSGANGGRNAKNNAVGGQSNVSTFGNLHNAGGSRSRSGRKISAPRIYSDDDDDDDDDDDVLVDISEVLSGDA >Solyc12g099010.2.1 pep chromosome:SL3.0:12:67301826:67312225:-1 gene:Solyc12g099010.2 transcript:Solyc12g099010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREMCFECLQRRIQSDFSDQLIFCYGVSNSPLPFGSTAIVQTSSSNGEGLPQFLLNDQHYLEDFEARTNSGSGCEVPVAIDQVKTEVSVGLSSDKTSSLETRSSECEDLQNGGRHQSLYGLACQNVTCNFSGTFSCFRTLSALAPVARIGISSSSFVEGIVSEFLSGSLEDHVLNSLTLMIEGKRSGLESVNFLSLVGIPSFGEEQLPGCIRHPNISPTLGMLKNSGQLNLLLPKMPHTLENILHFSPGALKSDWHMRYLLFQILSGLAYMHGLGVFHGNVCPSNISLVDSLWCWLPICSKFLQSSVSISKIEGSCDSGVSCCFDGCPLQGLYADLSLSQSTDWYSSFKLWWRGEISNFEYLLLLNQLAGRRWGDNTFYIVMPWVIDFSVKPDENNDTGWRDLTKSKWRLAKGDEQLDFTYSTSEIPHHISDECLSELAVCSYKARRLPLAVLRMAVRSVYEPNEYPSTMQRLYQWTPDECIPEFFCDPQIFYSIHSGMSDLAVPSWAGTPEEFIKLHRDALESDRVSHQLHHWIDITFGYKLCGDAAVAAKNVMLPSSAPTKPKSVGRRQLFTKPHPPRRLAKTSEAEMNQFSTSDLTEHALPFETSFLYELEQAAVFSEHAPRLDPIYNLHPDVHEELDSPGKGLSTKTLDNIMSRKTGSSTNSVMPSAIDVNYLIRNIEVGDDVSVGYQALLLWKQKCSHSHIYSKDFANDIFAVGCILAELHLSRPLFDPTSMAVYLESGVLPSLVQQLPPDAQVVVESCIQKDWRRRPTAKCLLDSPYFLATIKSSYLFLAPLQLIAKDESRLHYAAAFAQQGALKAMGTFAAEMCAPNCLKLVLNPLSDSEAEWGCIVLTEFLRCLDPEAVKKLVVPAIQKILQGTGPSYLKVSLLQGSFVLDIWNKIGKQAYVETIHPFVVLNLHGTPCKNSAAAASVLLIGSSEELGIPITVHQTILPLLHCFGKGLSDDGIDVLVRIGSLFGEDFIVKQILPLLRIVITSCIDNSFANKHETAQSWSALALIDTLMTLDGLTASLTREVLVKELVEDGKFLYLQVLMQTNLGIQVFEGAARNLLALCQQIGSDLTALHVLPKLRKLFDELAFSQEKAGHSSIKGGSLRGPNTKKEDENKITSRLDLVWVSFLFLDRIPSSYYFSYLFMSFIVCRMLLYPSFASLLGIEKLRQCCATWLLLEQFLLRRYNWKWESTGESSRSGPSSIYARKPTHGESLTSKHTPDTLLNGLGWSTPQSQGKKGAKPPMINRHPSSQHQDSADRNARGSDFSRIEPWYWFPSPAANWSGPDFIGRPGGSKDELPWKIKASVLHSVRAHQGLLRSIAVCQDECNLFTAGVAPGFKGTVQKWELSRIDSVSGYYGHEEVVNDISLLASSGRVASCDGTVHVWNGQTGKLISVFAEFSTSSVHHTSSLPKASKLNVEQANMLHFNPLSGGILNTDGNLYTSMYYSEYLDNIVVGTGNGSLRFIDVRQGQKLHLWRTEATESNFPSLISSICSSASTKQQCGNPQYPSWVAAGQSSGHCRLFDVRSGKIISSWQAHDGFVTKIATSEEHLLVSSSHDRTLKIWDLRRSLWCYTFILKLSNMEKLSSHKMGIIRDKFVECFIKNWKSKPLASRGHTDGVSDFSIWGQYVISIFRSKIGLSSLACSSDEDVQQLVAPQYLYMGDRESKNVSVLSSISVLPFSRLFVVGTEDGHLKICC >Solyc01g012730.1.1.1 pep chromosome:SL3.0:1:9918092:9918301:-1 gene:Solyc01g012730.1 transcript:Solyc01g012730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSHSQVPTSARTGNVAALSFMKWIRMDIDFIYAPNLRHFIVNAKNLVNVRYLQITSTLQVSRPFAAC >Solyc03g006860.3.1 pep chromosome:SL3.0:3:1373093:1377446:-1 gene:Solyc03g006860.3 transcript:Solyc03g006860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructokinase [Source:UniProtKB/TrEMBL;Acc:O04897] MAGESISGNLKDLSLNRNGAVSKKSHLVVCFGEMLIDFIPTVAGVSLAEAPAFEKAPGGAPANVAVCISKLGGSSAFIGKVGDDEFGRMLADILKQNNVDNSGMRFDHDARTALAFITLTAEGEREFVFFRNPSADMLLRESELDVDLIKKATIFHYGSISLIDEPCRSTHLAAMDIAKRSGSILSYDPNLRLPLWPSEDAARSGIMSVWNLADIIKISEDEISFLTGADDPNDDEVVLKRLFHPNLKLLLVTEGSAGCRYYTKEFKGRVNSIKVKAVDTTGAGDAFTGGVLKCLASDASLYQDEKRLREAIFFANVCAALTVTGRGGIPSLPTQDAVRQTLAEVTA >Solyc03g078280.2.1 pep chromosome:SL3.0:3:51727113:51728885:1 gene:Solyc03g078280.2 transcript:Solyc03g078280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIIQSGLVKHTRGCKPLFINTLLQGYSGIRFEILEAITKLINTNITLCLPLRGTVTASGDLVPLSYIGEKHDAHEAFRGAGLSGGFFQLQPKEGHALVNANILVVMSEVLSAIFAEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPNEVIRAATKMIEREINSVNNNPLIDVSRNKAIHGGNFQGTPIVLELVNDYYNNGFPSRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAKQHNQDVNSLGLISARKEAEAVDILKLMSSTYLVALCQAIDLRHLEENLKSVVKNTVTCKILREGIASSRRQEYLFTYVDDPCSSTYPLMQKLRHVLVYHAMKNGESEKNVNSSIFQKIGAFEDELNVVLPKEVETARVVLESGNPSIPNRITECRSYPLYRLVRQELGTELLTGEKGQIIDPLLECLKSWNGAPLPIC >Solyc02g083200.3.1 pep chromosome:SL3.0:2:47241744:47243559:-1 gene:Solyc02g083200.3 transcript:Solyc02g083200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DQNSNTSRFTGKDRTMGTNLQGYHLHRKELTLQTLFTGSPRSKVSSTQVDRPFAVASPYLQNPIYGYSSVQDRRKRRGEKAAKPRLASEAFQTLLAVADMIFSLVSEVEFAAIVDKSDRIDRVLLLCFLEQTAPKQTKRCVPHSRRTASDILEEGGDDVKSAWPLWAGPHTYVLSGSRPPIKQEGSGSSHGDAFCRLRWIDLRYRASSLYQLMLGQCE >Solyc06g008640.2.1 pep chromosome:SL3.0:6:2524540:2525876:-1 gene:Solyc06g008640.2 transcript:Solyc06g008640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKKLIAMFFVFIIVISSSMADDEENKAEEFKKSFETVANEYKVCYNDCQKECINEGLGYTRCEMKCDTECSAKMLKERIEKMKN >Solyc12g062510.1.1.1 pep chromosome:SL3.0:12:33594669:33595100:1 gene:Solyc12g062510.1 transcript:Solyc12g062510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYEETDKPAHARTSNITEELGQVDTILSDKTGTLTCNSIEFVKCSVAGTAYGRGITEVEKAMAKRNGSPLMAKSNDHGEDGVVTSRKSTVKGFNFEDERIMNASWLFDPHSDVIQKFFRLLAVCHIVIPEVDEVTGKVSYDF >Solyc03g119490.3.1 pep chromosome:SL3.0:3:69569297:69572274:1 gene:Solyc03g119490.3 transcript:Solyc03g119490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKK [Source:UniProtKB/TrEMBL;Acc:Q66MH7] MKTAKPLKQLKLSVPAQDTPISSFLTASGTFHDGDLLLNQKGLRLISEENESLPSETKEIDLQFSLEDLETIKVIGKGSGGVVQLVRHKWVGTLFALKVIQMNIQEDIRKQIVQELKINQASQCPHVVVCYHSFYHNGAISLVLEYMDRGSLVDVIGQLKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNHKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMAPERISGSTYDYKSDIWSLGMVILECAIGRFPYIQSEDQQARPSFYELLDAIVSSPPPSAPVDQFSPEFCSFVSACIQKDPRDRSSALDLLSHPFVKKFEDKDIDLSILVSSLEPPVNFPR >Solyc11g012375.1.1.1 pep chromosome:SL3.0:11:5223161:5223541:1 gene:Solyc11g012375.1 transcript:Solyc11g012375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSNFLLLTILVAFTFYHVFATTNDDQKLHNSSEHDDWQSISPKDLNDPKLVDIANFAINTTNLETKYAELEFQSISEGKFKVDNNGTTYDLLIVAMEFDELNVYETVVFENSKDNVRKLISFD >Solyc02g031867.1.1 pep chromosome:SL3.0:2:27622069:27626814:-1 gene:Solyc02g031867.1 transcript:Solyc02g031867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTELPQRLPPRREVDHQIELVSGAKPPAMTPYRMAPPKLEELRKQLKELLDAGHIRPSKAPFGDEPKTACVTRYGAFDWLVMPFGLTNAPATFCTLMNKLFHSYLDQFVVIYLDDIVVYSNNMEDHVEHLYKVFEVLRDNELYVKREKCSFAQSTVHFLGHTISHGEIRMDSDKIDAIKNWETPTMVPELRSFLGLANYYFRLIFNYSAIAAPLTDLLKKDRDWNWSGACQAAFERLKGAVMEEPVLALPDFSKAFEVHTDASDFAIGGRDNRSKTIPPKVDNNNRNRIKPNPRGSDARSNARDQPSNFWKNYEDRKRGAPQWEGCYICGDTTHPARYCPSLRKLGAMVAAERQQKKAATQARSSAGEQRG >Solyc09g014240.3.1 pep chromosome:SL3.0:9:5730700:5734313:1 gene:Solyc09g014240.3 transcript:Solyc09g014240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4CRQ1] MNSWICHFILLAFCLFPLVVECRVRHYKFNVVMKNTTRLCSSKSIVTVNGKFPGPTIYAREGDNVLIKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKLGVPYPFPKPNHEAVVILAEWWKSDTEAVINEAIKSGLAPNVSDAHTINGHPGPVSNCPAQGGYKLNVEQGKTYMLRVINAALNEELFFKIAGHKMTVVEVDATYIKPFQTDTIVIAPGQTTNVIVNANKNSGKYMVVASPFMDAPIAVDNVTAIATLHYSGTLSNNPSTTLTSTNTPPKNATPIANNFLDALRSLNSKKYPAKVPIKIDHSLLFTVGLGINPCPSCKQGNGSRVVASINNVTFVMPTIALLQAHFSGIKGVFTADFPGNPPVVYNYTSTTPPANMGTTSGTKVYKLSYNDTVQLVLQDTGIIAPENHPIHLHGFNFYQVGKGLGNYNPKVDPKNFNLVDPVERNTVGVPSGGWVAIRFRADNPGVWFMHCHLEIHTTWGLKMAFLVENGKGPNESLLPPPKDLPKC >Solyc11g042420.2.1 pep chromosome:SL3.0:11:36847497:36849484:-1 gene:Solyc11g042420.2 transcript:Solyc11g042420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGEAPAGNEKAGEKIFKTKCAQCHTVEKGAGHKQGPNLNGLFGRQSGTTAGYSYSAANKNMAVTWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKSATA >Solyc02g081640.3.1 pep chromosome:SL3.0:2:46067903:46075449:1 gene:Solyc02g081640.3 transcript:Solyc02g081640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLDDIFAGGASKTVKAGGKFQPKAKPRPVKKGPAVASSSLTSKPTGDTVATDYSEGDEQSPVLAEPSLGRPLAAETLVPSVGSSLDASMVITDNNEDWKSCFEKSEGEVIFSYPYLDQIKLLKFCAAYNSESSSPATDPSAAHAAGAEKRQEQLLVPVSSVDGSAHSASNLQSDEVAAGQDPLTFQASVLSETDTYSFLEADILGVTTISGQSRRFQPKPKLQVYRERYDTIIPDLDVGESVPCLPDSQSVHSEMDFVNSEKGHGLPAADVLDFSVRGFDIITESAHDFPVHEDYVVEASLPDADIPENHSEATSQMPEESVPQRPKNKKQKFPASELSAISEENGESRLLRSRRKSVNASRLVDESDEDVIGDGEYVPEHPNDSVTIGNDEEFLVENDSQGKKVQKKSKKTVTKEGKRVRKSKKTAEASDQAANKKPKKFSHSTRQKRRTVDKALLEAPMDEIDYSKVPIKDLILLAEHRERLAKKDAAVSQMPPTTTDQSAGASVSNYDEDETYASDEDGEADDHNKSTMGEDTSSYFNYQTYMDKTPSTRWSKQDTELFYEAVQQFGTDLSLIQQLFPGRTRRQLKLKYKKEERQNPFRLHDVLTNRSKDHSHFERVIEHLKQIEAEELQYADKDESIDLTGEEEMVGNQEICV >Solyc09g065950.3.1 pep chromosome:SL3.0:9:64458727:64464899:-1 gene:Solyc09g065950.3 transcript:Solyc09g065950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMLEEDEDFLESETQLTDDQKIEIAKWFLLNAPAGEIQYVAKDVRAILKDENIYKKAAEESFPSYNKSHLICLEFPNRSGDVLITSFSEVHKDEYLDPRTAQVARVDHVKQVCKDVRPARDEELPSAFVEEYRSAMDAEIMKYVSETYPKGICSVYCTKGKDVEEPGFDFELVVVISAARHSPQNFCNGSWRSIWNIEFKDDIQSVEVRGEMQVGAHYFEEGNVQLDAKHKCKDTTLIQSPDDSAISLVNIIRHHETEYLASLQTSYLKLPDTTFKDLRRKLPVTRTLFPWHNTAQFSLTRDIEKELRIGK >Solyc10g085150.2.1 pep chromosome:SL3.0:10:64554349:64560918:-1 gene:Solyc10g085150.2 transcript:Solyc10g085150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLQLQQIFVSYTRFCSQPKSITNPLISLKLPSIHPLAFAQNAAVSNIDTGVAAIDGSAEEVSLPPQLRRELMPKHVALIMDGNRRWAKMRGLPVALGYEAGIRAVRKIIELCGNWGIMVLTLFAFSSDNWLRPKVEVDILMSLFERALNDELENFAREGIRISIIGDSSKLPKSLQDLIAKAVKTTKENSRLHLVVAVNYSGQHDVVQACQTIAQKVKDDIIETKDINSFLIEQELQTNCIDFPCPDLLIRTSGELRLSNFLLWQLAYSELFFSHSHWPDFGEAEFLEALCSFQQRQRRYGSNTGAACSIEQPLSHDPANGQLTGIRLSIIGDASQLPKSLQDLIDKAVMATKANSRLHILVAINYSGQYDVVQACQTIAQRVKDGNIEPEDINSLLVEQELQTKCTEFPSPDLLIRTSGELRLSNFLLWQLAYTELFFSHSQWPDFGEAEFLEALCSFQQRQRRYGGQSS >Solyc01g011220.2.1 pep chromosome:SL3.0:1:8085719:8086667:-1 gene:Solyc01g011220.2 transcript:Solyc01g011220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVTYLIAKCSTCQLGHLILTDGAVDGILGFGQQRLSIISQLSSHGISPKSFSHYLKGEGSGGGILVLGEILHPSMVYTPLAPSKGHYSVYLQSISVHGRILPIHPEAFANSGDRGTIVDSGTSLVYLVAEAYESVVDAVSVLLINRSQPHIFS >Solyc02g021330.1.1.1 pep chromosome:SL3.0:2:22974368:22974829:-1 gene:Solyc02g021330.1 transcript:Solyc02g021330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFDKLVVNATQSSTHPTLAQLNIVKNKQEQLEMFWTNQRREIENDNEFKNNHLLPPNLIKKLMKTDEDDQMIAAESPVLLAKTCELFIQELTLRSWLNAQEKHQHILKKDDVTDVIIQTDNLDFLLVVVDDAIDGSTPSIVPFYIAGGNN >Solyc03g114890.3.1 pep chromosome:SL3.0:3:66254682:66256430:1 gene:Solyc03g114890.3 transcript:Solyc03g114890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNSYTIVFLFFVLCSRSAAYDALDPNGNITIKWDVISWTPDGYVAVVTMNNFQMYRPIVSPGWTLGWTWAKNEVIWAMMGAQATDQGDCSKFRGNIPHSCEKKPAIVDLLPNTPYNQQIANCCKGGVIASMGKDSSAALSAFQIAVGAAGTTNRTVKLPKNFTFVAPGGGYTCGPAKIIRPTRFITPDGRRITQAMSKSFKPYIEMTWRVVCTYSQFIASPKPTCCVSLSSFHNASVTPCPSCSCGCRKSSNCVMKGVNKPVLQCTKHMCPVNVHWHVKSNYNKYWNVKMTITNFNYRFNYTHWTLVVQHPNLNKATKVSSFVYKPLMPNLSTNDTALFYGRKAYNDVLMQAGPKGNVHSDLTLEKDRKTLALKKGWAFPRRVYFNGNPCVMPSPESCPYLPNSAGAK >Solyc04g080500.3.1 pep chromosome:SL3.0:4:64744651:64747719:-1 gene:Solyc04g080500.3 transcript:Solyc04g080500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWTARQNKKFEEALALYDRDTPDRWHNIARCVGGKSAAEVKRHYEVLVKDIMQIENGQVPLPNYKAAAETNNRSGYANEHRLLKNLKLQ >Solyc06g065700.3.1 pep chromosome:SL3.0:6:41239841:41255624:1 gene:Solyc06g065700.3 transcript:Solyc06g065700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEIEKAVVAYLKKKGFKQTEIAFQEEQQVNKNSSSSIHNNNNSANSQIDPDLTKKILSFSEFETSPQRYQEEYSKLRSWAYSSLDLYKHELLRVLYPVFIHCFMELVARGYIQEARAFFNSYREDHEMTHLRDLQKLEGVLSPSHLEEMEFAHSIRVSKVNIKMCQYSYDLLLQYLHKTESITMLGIINERINFQVSPGQPGSISGDTEVVTLVASGHDASLINQKEVLWGMLEDSLEERLEKTGGSVPDSEKFDGDPKEGEVEENKKKSIEGGKHGAPLKKLKKDKVGIATGKSSRTESSTVTTAPRVKPELALPAIPVEVELSILEDLRNRVQLSNASLPSVSFYTFVNTHNGLNCASISHDGSLVAGGFSDSSVKVWDMAKLGEQTGPSFLQGETDSPSSEHVLGANGGRRCYTLLQGHSGPVYSASFSPHGDFLLSSSSDSTVRLWSTKLNANVVCYKGHNYPVWDVQFSPAGHYFASSSHDRTARIWSVDRIQPLRIMAGHLSDVDCVRWHANCNYIATGSSDKTVRLWDVQSGDCVRIFIGHRSMILSLAMSPDGRYMASGDEDGTVMMWDLSSGRCITPLTGHSSCVWSLAFSGEGSLLASGSADCTVKLWDVTTSTRAPLKEENKSGGNNRLRLLKTLPTKATPIYALHFSRRNLLFAAGAFSKSARVPCFKVS >Solyc10g049660.2.1 pep chromosome:SL3.0:10:46250801:46254005:-1 gene:Solyc10g049660.2 transcript:Solyc10g049660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDTFQSDGKNIRYAASELDSDIVVSVGDVKFHLHKFPLLSKSARLQKMVTNSSEGGSDEVHIHDVPGGPTAFEMCVKFCYGMTVTLNAYNVIAARCAAEYLGMDETVEKGNLIYKIDVFLHSTIFRSWKDSIIFLQTTKSRLPLSEEVKLISHCTDAIASKTSIDVHKVDWSYTYNRTKIPEENGNGPNSNGLRSRVVPNDWWVDDLCELEIDLYKRVMVNIKNKGIVSSEVIGESIKAYTLRRFPGFRKGVCQFSDVSRSRSILDTVVCLLPAEKGSVSCSFLLKLLKASISVDSGEKVKAELVKRIGQQLEEASVNALLIRATDGEATMYDVHVFHKILENFVMHNEDLKTQSEDGNEIPGVLSEASKLMVAKLVDGYLTEIAKDRNLPLAIFVGLAEMVTDFPRPSHDSLYRAIDIYLKEHPGISKNDRKRICCLMDCKKLSVDACTHAVQNDRLPSRVVVQVLFFEQVRANASSGSSTPDLPKAIRDLTSASYGSSRSAITNTENSEVTASAEELRALKEELAALRLANGGLNGDTKRHVDKATNGRMKSILSSKKIFTKIWSSKGRRKQGENSGSDSPDSLEEAKYTPSRKGRYSVS >Solyc01g086893.1.1 pep chromosome:SL3.0:1:81688712:81689326:-1 gene:Solyc01g086893.1 transcript:Solyc01g086893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSYKRYLKLSITAFEVYQTYLIPLLHGLVADAKKSQGNEQPAFLFFYIEFYIFFSDFNDGFENATWNVNVMFTYRTLRKEVITKPFSI >Solyc02g087900.3.1 pep chromosome:SL3.0:2:50799682:50804022:1 gene:Solyc02g087900.3 transcript:Solyc02g087900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPKKKNFQIEAFKHRVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVSTMTAHLKEIAKHIESTQGGLFLEELNRIWAEHNKALQMIRDILMYMDRTFIPSTHKTPVHELGLNLWRDNIIHSSKIHKRLQDTLLELVQRERTGEVINRGLMRNVIQMLMDLGSSVYQEDFEKPFLDVSADFYRLESQQYIECCDCGDYLKKAEKRLMEEIERVSHYLDTKSEPKLTNVVEKQMIESHMHRLVHMENSGLVNMIVNDKYEDLGRMYNLLRRVSTGLALIRDVMTSHIREIGKQLVTDPEKLKDPVDFVQRLLDEKDKHDKIISLAFNNDKTFQNALNSSFEFFINLNPRSPEFISLFVDDKLRKGLKGVTEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFHAAVGADLAEGPSLTVQVLTTGSWPTQSVNTCNLPSEILGVCDRFKTYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCILMLFNKSDRMSYKEIEQATEIPASDLKRCLQSLACVKGKNVLRKEPMSKDIAEDDAFYFNDKFSSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIVAEVTKQLQSRFLPNPVVIKKRIESLIEREFLERDKTDRKLYRYLA >Solyc12g009700.2.1 pep chromosome:SL3.0:12:2943172:2947523:1 gene:Solyc12g009700.2 transcript:Solyc12g009700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLGVVFGIAFGLAIIVAFVKSGNARSKQRTDLASGIAAFARMTVEDSRKIFTPEEYPTWVVFSNQQKLAWFNSNLEKIWPYMDEAAAELVKSSVEPILEQYRPVILTSLKFSEFTLGTVAPRFTGISIIEDGSEGITMELEIKWDGNTSIILDIVTCVGVALPVQVKNIAFAGTFRLIFRPLVDEFPCFGAKKLDFTLKVIGGDMTAIPGVSDAIEFDVDVTKAYRCHGYLAGVAKPYFEVVA >Solyc11g027810.2.1 pep chromosome:SL3.0:11:19108205:19115680:-1 gene:Solyc11g027810.2 transcript:Solyc11g027810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPPENDVCSICHGPFNVPCQANCSHWFCASCILQVWDHGSALQACKCPLCRRPITLLVPSESASRLHRDPEVPGVLRRVEQYNRHFGRHANGLLQRMQDLPFLLRRLLRDMTDPQRSLPFVIRARVYLAMFLSGIYILSPVDLIPEGFLGIIGLLDDLIIMFICFLHVAALYRSVLLFRHGGS >Solyc04g015580.3.1 pep chromosome:SL3.0:4:5867171:5881637:1 gene:Solyc04g015580.3 transcript:Solyc04g015580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVSGVMSRQVLPACGSLCFFCPAMRTRSRQPVKRYKKLISDIFPRSQEEEPNDRKIGKLCEYAAKNPFRIPKITKSLEEKCYKELRNENFRSAKVVMCIYKKLVVSCKEHMPLFANSLLSVLQTLLDQSRENDMLIVGCESLFDFVNNQKDGTYMFHLDGFIPKLCQLAQQIGEEESAIKLRTVGLKALSAMVWFMGEYSHVSAEFDNIVSVVLENYPRPRKETPDSNQNREDDENPAFWSKACLHNMAKLGKEATTTRRVLESLFRYFDDDNLWPTENGIAVPILKDMQYTMDASGENAHLLLSTLVKHLDHKNVLKQPEMQLDIVQVVTSLAQTTKTHHSIALVSAITDIMRHLRKSIHYTHDDAKLGAELIKWNRLFQESVDECLVELSNKVGDAGPILDVMAVMLENITSIQVIARTTIAAVYRASQIIASMPNLSYQNKAFPEALFHQLLPAMVHPDHETRVGAHRIFSVVLVPSSVSPQKVSEETHLRKAADFSRALSRTVSVFSSSAALFGKLRDQRSPSMEKVTLGMEQKDNNSGMLNRIKSTYSGVYSMKGSPAPIEESTNKPSNEMGPISLRLSSHQIVLLLSSIWVQSISPANMPENYEAIAHTFSLVLLFSRAKNSYREALVQSFQLAFSLRNIALIEGGSLPPSRKRSLFVLATSMIIFSSKAYNIPSLVPRVKAALSDKTVDPFLHLVEDSKLQAAESSSGNGKVTYGSNEDDSSAQKCLSQINITEEQSTQSMISLILKSLSNLSDLEVSALREELLKKFSPDDSDSLGTQFFTDAQQRAQQSNLVDLTSIFDDDGPDLFHSSSKQNEQSAMEIPNLLSVNQLLESVLETAHQVGRMSVSTEPEFSYKEMAHHCEALLTGKQQKMYNLMNSQHRQDNALIGISESSSDQGEESASDNQVENQLADQKVADVSDKPTREIVPSHCGAEYQSNPESFRLPASSPYDNFLKAARW >Solyc06g076960.2.1.1 pep chromosome:SL3.0:6:47971028:47971914:1 gene:Solyc06g076960.2 transcript:Solyc06g076960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDALQALTELSNLSRHPLNGGEFNGLNDVDGEEEEEYDTEAWETITKCFREVQSVLDQNRALIQQVNENHQSKLRDNLVKNVALIRDINSNISKVSRLYSDLSVDFCNIVNRRRELALFESKNRDDNVDSAES >Solyc02g090265.1.1 pep chromosome:SL3.0:2:52511586:52512505:1 gene:Solyc02g090265.1 transcript:Solyc02g090265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVIVGGLLLVRTLFQCIRWVAISKRGNKKFPPGPILLPLIGNLHNIFGDQPHKSLARLAQIYGLMMSLRMGQSVAKQVLQKQELAFSSRTIPDAIRTNNHHNLSVVFLPICSRWRKKNAFYPILEALILPNYTSLVSKFDFICWSLCCNPRVPKDARFYWLLPQTGESVNIGQAAFETMVNLLSNTIFSKDVVDP >Solyc09g007590.1.1.1 pep chromosome:SL3.0:9:1181068:1181277:-1 gene:Solyc09g007590.1 transcript:Solyc09g007590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGHGKGGKGLGKGGAKRHKKVLRDNIQGITKPAIHRLARRGGVKRISGLIYEEIDPWSIEDIFGECDS >Solyc01g098790.2.1 pep chromosome:SL3.0:1:89090126:89095494:1 gene:Solyc01g098790.2 transcript:Solyc01g098790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFTGLVPTDDQFFLLYFIIGTFFGPDIPVEYPKRSVLQRKGLGLPPYSSNQLAGSCLRTIEVERVYYHALRKADRSVVLAQPWLHQYFHGKLPTLYRKQPAAYPRFCDLFPPNLHPHLCCHVYNVIANIVFIHDPDTSYIEPDSVKRFKKLTGLEHLVMDKDCTKSQADVDYEALTNIRNKEISNPGDILQYASLAASSLPYKEIPSSTEPFKDLRLDNEQFDYMSFTSLLKDASDEPFNGVPPDSNGQSDTRPFSGLLNDGSCSNMPPHRNRQFDTTLCRSLLRNDAVSQFTDAPPDSSKKSDDTPFSSLFKDVSPLAEESMGPSSKHTNSDDSELSIVFLPSCPSMEELSNIVGATKSGFAVAGSAAKGKIGPVLGLLDVGESEDAYLFRVSLPGVQRDEREFTCEVEIDGKVLIKGMTTGDRTVHKYSQVFEMQTQNLCPTGNFTISFKLPGPVDPQAFSGIFGTDGILEGIVLKAETYSQ >Solyc01g110120.3.1 pep chromosome:SL3.0:1:96803912:96817185:-1 gene:Solyc01g110120.3 transcript:Solyc01g110120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:K4B3M5] MAEQTGGGCCPPMDLFRSESMQLVQIIIPNESAHRTIDYLGEIGLIQFKDLNAEKSPFQRTYANQIKRCGEMARKLRLFKEQMSKAGLLSSSTSATQVDLSFDDLEVKLGELESELIEMNANGDKLQRSYNELVEYRLVLKKAGEFFHIAQSSAEALHREQASNQTGEQSLETPLLSEQEAVTDPSKQVKLGFITGLVPREKSMAFERILFRATRGNVYLRQAVVEEPVIDPVSGEKVEKNVFAVFFSGERAKSKILKICEAFGANRYSVPEDLGKQAQMITEVSGRISELKTTIDAGLVHRGNLLRTIGEHYDRWNILARKEKSIYHTLNMLSIDVTKKCLVAEGWSPVFATKQIQDALQRATHDSNSEVGAIFRVLRTREMPPTYFQTNKFTSSFQDIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLATMFFLFNEKKFSSQKLGDIMEMTFGGRYVIFMMSLFSIYTGLVYNEFFSVPFELFGKSAYGCRDPSCRDSTTAGLIKVRDTYPFGVDPAWHGSRSELPYLNSLKMKMSILIGVAQMNLGIILSFFNALFFRNGVNIWCQFIPQMIFLNALFGYLSVLIIVKWCTGSKADLYHVMIYMFLSPTDELGENQLFAGQKMTQLVLLLSALVAVPWMLFPKPFLLKAQHERHQGQSYTALQEAEESLLVESNDDSGHHGEFEFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNIIILIVGIIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFSPFSFKLIDLGEDE >Solyc05g008780.3.1 pep chromosome:SL3.0:5:3003381:3016242:-1 gene:Solyc05g008780.3 transcript:Solyc05g008780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWMSVDGEFANGSSILTDEKLPEVANPAIACEIASSSGTAVSTKEILNCPADKGQMEHNPASEITGIEEMSGKSGGSEKGNDDEKLRNISAEAANTGCSGEKIQCDQSVKTENADTDGDQGELSMVAEIKGVNERGGDFDGGVNLICEESANADKVESNGQKVCSTEIKAVEEIMGESNGSWKNDKSVDAEKGDINNSMDIDPVTETMVSMEGMRGESTGPHRSNDGRRLSEESADIEKLESNGQRKPITVTEIKAVEEISGESNGSSKNDKSVDDGKGGINSSMAINPVTETVRMEETRGESSGPHRSNDNKRLNEESAGAEKVVSSEDKSLSDQLENAGSEDSKKQLAPNMITKIKGISEIGGNSNCDVKLVREGSVDAVKIEINGQRAPSTVTEIGEIRSESNGSSKIDERADAEKASGNNSMATKIVTEISALEGQSNGAEKINIISEITGMDVESNGAEEIGNDELVHQESTNIEKAESQGQKISHIVSEIKEIEDIEGESDVANKRTDGNSTSEDRKDDEVANNSTPVHKGIDAVTSGKESVNSLHDDVQNVCNTVFDTKSNDEIGSKFDGDNIDDKAVHERDEDSERLRFDSLASEGMSSDAELSHGPSVLADARLSEVDTSFTSFSRDMSSNDAVALGNEATDSRISHGQSPADMVQEIIGKAVGSESNDVDRSSNDKLMCEDFEDDKSFNYSSPAAEGMSCNAEFSQGLSTSVDSKISEVGNYCASNTEDVCSNDTGGAENEDLNSSTDDPQLPGPNLIRDGSGVENLEGELNGGDNSINKEILNKKCEDPEKSNNNSDNVRVHSDAEFSIDQSVLADVKLCKAGNSSASSIKDECRDVVCGSTLNDPIRECKMVPDMATEFSDIKKEGESSVADQTSDDKFIHESVDAEKPPSISIDERMSCNDESSQDQATIADLELSEAGNTSSGRDVSSFDAMTLENETLTCPIEIDQESANTSIEIAGSEEMTGGSDRSNDDELKCEQSGNAEISKTNEVLASSAECSSVDVAAVKDINQIAAKGFYFLIRMPRFDDEKIRECIKVAEQNVDEKTQQRDAFRQKIRNKRANCQTHGTEFEAAKAQERDARKQVRTKRADISSLQDIIDKAKNAVAVTEIDNRICNMEHIIGHETVPLKEEKLLIREIKQLKQLRGQLSSNIGSQDEVQKSLDEREVNEERLRALKKELDSLKVKVSKAETIAMAASRKFEDESRKLKELQAQFKAADDVRQEAYEELRNLKKGLYEKNIHFRTYKDEATLASDHARKREMETLNHLCVDQVERYMDLWNKNDEFRKDYIRCNTRSTVRRFGTLDGRSLGPDEEPAVLPSYRLERVNRMVSSVDKVSSMSQRPVSQLVKQVVVLKDEINDDSIVVPAAEGMKQVEKTKEARKPIQRESTVEEPKEAEPVQTAEELEAARKEEELRKREEEARLKEQRRLEEIAKAKEALERKKRNAEKAQLRAEMRAQKEEEQRLKEKEKKLRKRERKKGSVGETQSETNDGEAALISTSLRETVKEPDATENSQTLTRRKQKSSQYTKQMKTKSIPPPPRIKGKRKWQQWLWLFLSCAVVIALFFLGNIGFFSHLKPPKNPAY >Solyc08g066600.3.1 pep chromosome:SL3.0:8:55404046:55411134:-1 gene:Solyc08g066600.3 transcript:Solyc08g066600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFRDRTLGYSRRDSTAAAAVSTMSLGSGATSSSRFSTSSSALSPLPSPFPDLTPSLSTTDLRETAYEIFVASCRTSTGKALTYIPSNSSDRSPSPSPSASNSNSSSPSMQRSLTSTAASKMKKALGLRSSSSSGIKRTEGSPGSGGKPKKPVTIGELMRIQMKVSENFDSRIRRALLRITAGQVGRRIESTVLPLELLQQFKAADFTDQREYDAWQKRNLKVLEAGLLLHPHIPLDKSNTAAQRLRQIIQAALDRPIETGRNNESMQVLRTAVMALANRSSDGSVFDSCHWADGLPLNLRLYEILLEACFDINDEASIIEEVDELMDLIKKTWGILGLNQMLHNICFSWVLFNRYVATGQVDNDLLDAADSQLAEVAKDAKTTKDPAYAKILNSTLTAMLGWAEKRLLAYHDTFDAGNIESMPTIVSIGVSAARILVEDISNEYRRRRKGEVDVARSRIDTYIRSSLRTAFAQLMEKADSSRRASRHQPNPLPVLAILAKDVGEQACKEKEIFSPILKRWHPFAAGVAVATLHVCYGNELKQFVSGITELTPDTVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPFEAEGAIANMVKDWIKMRIDRLKEWVDRNLQQEVWNPQASEGGFAPSAVEVLRIIDETLDAFFLLPIPMHPALLPDLMSGLDRCLQYYVSKAKSGCGSRNTYVPTMPALTRCTTATKLWKKKDKTLNTKRNPQVATINGDNSSGVLQLCVRINTFHRIRTELEVLEKRIITLLRNSESAHVEDFSNGLGKKFEISPAACIEGIQQLSEALGYRIVFHDLSPVLWDGLYIGEPSSSRIEPFLQELEKNLTIISNTVNDRVRTRIIADIMKASFDGFLVVLLAGGPSRIFTQQDSQIIEDDFKSLKDVFWANGDGLPVDIINKYSTTVRDVLPLFRTDAESLIERFRRSTLETYGSSAKSRLPLPPTSGQWNPTEPNTLLRVLCYRNDDAASKFLKKTYNLPKKL >Solyc12g014260.1.1.1 pep chromosome:SL3.0:12:5085369:5085986:-1 gene:Solyc12g014260.1 transcript:Solyc12g014260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDQIIIEGEGGGGGGEGSSSRSKTTILIAPSDDHHHHHQLPPVPPQLSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNYNHVLEFLRYLDQFGKTKVHLHGCPFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLPENNPFASGAIRVYLREVRDFQAKARGICYKKKKKKRKMQNKPTSSNAHEPTTTTFQFQSS >Solyc10g081780.2.1.1 pep chromosome:SL3.0:10:62908062:62908457:1 gene:Solyc10g081780.2 transcript:Solyc10g081780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYFCTRKQTPQNRDEDNCVDVEMKIDIEATLLSYPKLLYSEAKVNCYTKDSSSTTSTCCSICLGDYNDNDMLRLLQDCGHLFHLKCVDPWLMLNPTCPICRTSPLPTPQSTPLAEVVPLASRPSGLMLQ >Solyc11g045645.1.1 pep chromosome:SL3.0:11:29587829:29588767:1 gene:Solyc11g045645.1 transcript:Solyc11g045645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHKMSMEICTSNNAQIQRHQKYSNQTKDKGTSPAFWLLDRIWRPALIGKKLENDKFIMRVSDVS >Solyc04g010300.3.1 pep chromosome:SL3.0:4:3626464:3633304:1 gene:Solyc04g010300.3 transcript:Solyc04g010300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQRGLFGWSPPHIQPLTPVSEVSEPPESPSPYTDTGGDAMQVELEEEMDADTEEMEPPPTAAPFSMLFACADRLDWVLMILGSVAAAAHGTALVVYLHYFAKIIQLLSHGSESADDLFDRFTELALTILYIAGGVFVAGWIEVSCWILTGERQTAVIRSRYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFVNCWQIALITLATGPFIVAAGGISNIFLHRLAENIQDAYAEAASIAEQSLSADSLMQAHLSSFSFKNTNACKACSMILVSFSSGFPHLLAKTLRKPSQISLSSILARRKWGFSYQSFSWAMALTSSDTSTEDPSL >Solyc10g006130.1.1.1 pep chromosome:SL3.0:10:857310:857975:-1 gene:Solyc10g006130.1 transcript:Solyc10g006130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGRATPAAAAAAVKPDGSGGLKEIRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAAKAYDAAARTLRGPKAKTNFPLPMYSQHHQFNRSLNPNDRLVDPRLYSQEAPIICQRPTSSSMSSTVESFSGPRPPRQQTAVLPSRKHPRSPPVEPDDCRSDCDSSSSVVEDGDCEGGNDNIVSSSLRNPLPFDLNFPPPMDDVYANSNDLYCTALCL >Solyc04g005255.1.1.1 pep chromosome:SL3.0:4:185839:199956:-1 gene:Solyc04g005255.1 transcript:Solyc04g005255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLDNCPVQTQMAVAIFKSPLGGEYHGKARMEGKSTGRRRVFVQTETGCVLGMELERSDNAHTVKRRLQLALNFPVEESSLTFGDRVLNNDLSAVRNDSPLLLTRNFMHRSSSTPCLLPTGKDHIQPRDQSGPIEILGNVGRFAETKQLIQEIVKAIKTGVDPIPVHGGLGGGYFFRNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGYREVAAYLLDTDHFANVPATTLVKITHSVFNVNDGVNGDKPLSKKQPVSKIASFQQFVPHDFDASDHGTSNFAVAAVHRIGILDIRIFNTDRHAGNLLVRKLDGIGQFGQVELIPIDHGLCLPESLEDPYFEWIHWPQASIPFTDDELEYIKKLDPIRDSDMLRSELPMIREACLRVLVLSTIFLKEAAAYGLCLAEIGEMMTREFRSGEEKPSELEVVCLEARSLIAEREILSPKTEVDNEFQFDIDCEDSGYEASPKSIPRDFTNRNPFHLPIGGNGCFPLSKLDESIEEVESDGEDEKSFNYVPAPAKNIAVSKLSMSLKNTSLGEKKLKYPNISGTKPENGYLASSSSGHRSANEQLPASVTFVKLSDMNDVEWGLFLDKFEELLYPAFAKRKSITLGQRQMQRLGTSCQF >Solyc03g025490.3.1 pep chromosome:SL3.0:3:2915899:2918399:1 gene:Solyc03g025490.3 transcript:Solyc03g025490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQTSVKLPIFDISRPLSSSNSLKSLSLACKEWGFFHISNHGIPRDLCKQLRFLSNQIFDLPCDVKLNAGPLSNIRTYTPHFIASPFFESLRVSGPDFFASAQSTSQALINQPIPEFSHAMEVYGRKMVEVSKSIVEVILMSLGPEFERKFASEFKNCHGYLRVNSYTPPESTDDVQEEEEVEGLGMHTDMSCITIVYQDEVGGLQVRSKEGKWMDIDPCQDTLVVNIGDLLQAWSNGKLRSSEHRVVLKEPVSRFSIAFFWCFEDEKLIVAPQEIVGKGNLKVYKPFVCADYLKFRESNEKGKFEKVGFTVKHFAAK >Solyc05g015270.1.1.1 pep chromosome:SL3.0:5:10194538:10195122:-1 gene:Solyc05g015270.1 transcript:Solyc05g015270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSIVNEINVFPQISNAKRGHVHSSITGNNFSLEINLIAKHAYTCGDNIVEECHSNATCGTNKYKLLSWDIFDKDISAFDFPYPLEKFKSDGVHKILETKDDLIQRILEFVLTMENVMPQLQYDDSVCVILIFVKKVYVPPQEFELTKVNMLFHYYANFAPLLWNKVRDEIKLYLGQDPSRLYEEFQLLNDFV >Solyc01g014990.2.1.1 pep chromosome:SL3.0:1:16487704:16487988:-1 gene:Solyc01g014990.2 transcript:Solyc01g014990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SCERFTGKQQPRRLLSLPLVFLFSSSLDPSLFSPPLFFLPLYPSRLFFTANSGEDQHPPIGKHLAPATAATTPTEPQAVSSSNQRQQASSSSRG >Solyc02g089910.1.1.1 pep chromosome:SL3.0:2:52224821:52224973:-1 gene:Solyc02g089910.1 transcript:Solyc02g089910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLIKVPSIIITQGINILPRKVRSLDAPKRIILLKQTKTADSKLDHNLI >Solyc10g085110.2.1 pep chromosome:SL3.0:10:64537390:64539146:1 gene:Solyc10g085110.2 transcript:Solyc10g085110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLLYLHLSIIVMSIAISSCESNDLLALQDLKNRITDDPLHVMAFWNDHSSHFCNWTGVTCSPYNDRVINLDLSSRKLVGTIPSSIGNLSFLTGLHLENNSFHGEIPQAIGLLLQLEHLNLSSNSFSGKIPTTNLLLHVIDFSQNGLTGDVPYSIGKLKSLVRLNLKVRFQMKGSFANTSAFSINGNNRLCGGVPNLHLSECSKATKHLDSRVLLAVIVTLALSVLVLCSCAAYYKLSNSTKAQPWNAEQLFEIPRTTYRAIHRATDGFSDANLHTENDDQQHQTKKLSFIQRLNIAIDVASALDYLHNHCQTPTAHCDLKPSNILLDEDMSAHVGDFGLATFLFDTSSSNSQSPAALKGSIGYIPTEYGSGGQASTFGDVYSYC >Solyc10g074710.2.1 pep chromosome:SL3.0:10:58389219:58393067:1 gene:Solyc10g074710.2 transcript:Solyc10g074710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGTREESAVVSNAHHQVQQQQGLSLGSRIGIDSKKHSHSRSTSDLSDPSTPRNLEDFRKNAVLYTHIIAFTLFELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHSNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRRATVSLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQNLVDWARPKLNDKRKMLQIIDPRLDNQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQSSGGSTNEASSTGTAVRFAIGKVPDYRTHHRYGSSLGTAAGCRSPNPNCSPGGPAACRVR >Solyc09g010350.3.1 pep chromosome:SL3.0:9:3733954:3743440:1 gene:Solyc09g010350.3 transcript:Solyc09g010350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDNSSTLEPKRSHQWFMDGIEPELLPNKKQAIEVPNHSSFSGLLSSNIAPWMNTPGFHSVSGQYAERQFDNDSARSLSFDDNSVPSVGIGNMNMSRKVMEDPFGSDSSFGLSISHTLEDHKSGLNYSGIRKVKVSQVKEAENFTPVSMGDIYTRGISNAMPTDHAFSKAEDNCIAMGLSFNGGDEHLMSLGDTFNREENSFISMGQPFNKVDSNEISLGHSFNESSSLSMSHPFCKDESNIIMLNQSFSREDDSTISVSHSFNDNNTAISMGQQFGNDDSNITSVGQTINTMADTNPPISHCYSKVNDNAISVSQTYSKVENNNLSMSQSFGNGESNIISFGGFNDDDDINSSGRLICSYDLLMSQSSGQKSDIVTGKRLVESNADTVTTVAQMAGSKEFISKKEEQKATKKPPSNSFPSNVRSLLSTGMLDGVPVKYIAWSREAINAYEFERHAGCKTKHPNNHIYFENGKTIYGIVQELRNTPQDLLFEVIQTITGSSINQKSFRIWKESFLAATRELQRIYGKDEVRRLS >Solyc01g017270.1.1.1 pep chromosome:SL3.0:1:23819282:23819470:-1 gene:Solyc01g017270.1 transcript:Solyc01g017270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSIFWTLAPWKNMLLLKHGIEILYQNIMYGWYELPKQEVLNNKQPVQIFTTKKYRIVFG >Solyc04g025480.2.1 pep chromosome:SL3.0:4:22616583:22621540:1 gene:Solyc04g025480.2 transcript:Solyc04g025480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLKFDIPISIESSISNEFLFNWENYEEIFSNPNQPGESPTTRFESSKVYGVVPMNVIELIQNFLDPIKWMNMVPTIVTKARTVEVLDSGDLGGSMQLMYEKLHILSPLVEARNYYFIRYFRKLDEKTWIMVDVSYDLIKEIQSGETSHAWKFPSGCAIQDLEHGESRVTWIEHVQVDEKIPVHNMFLPLLIDRQTYGAKRWIVTLQRMCERYSVEVGATSLSRHDPKQVLNDPEILENIMQISLRMVKRFCEILSMTEKLDFPTSSKFKSEDRVSIRKNEDITQQKGFIITAATSLWLPLSCRNVFNFLKDNNSRCQWDVLAGENTVTELARIETGCVPGNNITIIQPYVPEEKNMLILQESAIDELGAFLIYAPIDLSTVNSIFNGGDVNKVSILPSGFIICPDGRHNLDTYITKNAQNGSILTMAFQILICHADNASISLKQQKSAVTSVHNLLSSTVLKIKATLGCSD >Solyc04g049135.1.1 pep chromosome:SL3.0:4:39729098:39731306:-1 gene:Solyc04g049135.1 transcript:Solyc04g049135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYTLNLVVLKPGLMLLITSQSTVWNAPILITKLSVTMANSWWTCSFACLMSCLEALKAAQVWEMFYKVLRSRWMMPMSTTKVLKSRKRKDLPKAMKSMWNISPVLKDHQEVVGRGRSTNMGEGTMASGSLCQNFVYA >Solyc06g052030.3.1 pep chromosome:SL3.0:6:35773234:35797116:-1 gene:Solyc06g052030.3 transcript:Solyc06g052030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTTKIVLTTKMHALLTYMLALYLITAPNHFLDEKKVVSRTRRKKMSKVVVSTIITAFVFLVVLFIQLTIKFNHKDGYYTPNGLSRRLGLKTRDPIFDPLVAELEQKRSGKSNDGWRKKEDERYFDNDGRLKTSLRLMVLFPILDVAPNDGFIEYKELEIWNTQQAIDRLHYRTSSEMEFRDKDGDGAISFFEYLPQFTNEDLEKNETSHGDAGWWMAQFRNADADLSGTLNLYELRDFMHPEDSRNEQIQRWLLREKIRQMDVNKDNMLNRLEFNNGAYNIYKTYLEYESQGTNIPTPFQAFANLDYDGDGFLTVEELKPILQYLSPGELSYAKVYTTYLIREADDDKDSKLTLDEILNHESIFYNTGFNWAPFLVYSIPQCNLLVTISFAASTIFRNHIAMEVTQVLLNAQSVDSTVRKHAEETLKQFQEQNLPGFLLSLSGELASEDKPVDSRKLAGLILKNALDAKEQHRKYELVQRWLSLDVAVKTQIKACLLQTLSSQALDARSTASQVIAKVAGIELPQKQWPELIGSLLSNQQLPAHVKQATLETLGYLCEEVSPDVMEQDQVNKILTAVIQGMNAEERNNDVRLAATRALYNALGFAQANFTNDMERDFIMRVVCQATLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEDYGGDFTADSDVPCYNFIKQALPALVPMLLETLLKQEEDQDQDEVAWNLAMAGGTCLGLVARTVGDDIVPLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLMPIVNSALNFMLTALTKDANSHVKDTTAWTLGRIFEFLHGSTVEIPIITPTNCQQIITVLLQSMKDAPNVAEKACGALYFLAQGYGDVAASSPLTPFFQEMVQSLLTATHREDAGESRLRTAAYEALNEVVRCSTDETTPMVLQLVPVIMMELHQTLEAQKLSSDEREKQSELQGLLCGCLQVIIQKLGSSEQTKYAFSQYADQIMSLFLRVFACRSATVHEEAMLSIGALAYATAPDFAKYMHEFYKYLEMGLQNFEEYQVCAVTVGVVGDLCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCFGDIALAIGENFEKYLMYAMPMLQSAAELSARATGADDEILDYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSVSSKDFLIECLSSDDHLIKESAEWAQMAISRAISV >Solyc03g083960.3.1 pep chromosome:SL3.0:3:55324035:55328265:-1 gene:Solyc03g083960.3 transcript:Solyc03g083960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BIH1] MDLKSNTSPVVTDPAPLTPSRLGIHSALMPYSPALPTFSPTLFLTIPRKKPGILDDVRSNTWLVDAMKSSSPTHRKKSKDSTAELASNENDLAYRIWMLKYPSALSSFEQITNYAKGKRLALFLDYDGTLSPIVDDPDRAFMSSAMRGAVRNVAKYFPTAIISGRSRDKVHDFVGLSELYYAGSHGMDIMSPVRAISDDYSCIRSTDKQGKEVNLFQPASEFLPMIEEVLRSLVELTKDISGAKVENNKFCVSVHYRNVDEKSWSTIAESVDDLLKHYPRLRLTHGRKVLEVRPVLNWDKGKAVEFLLESLGLNNCDDVLPIYIGDDRTDEDAFKVLREGNKGYGILVSSAPKESNAFYSLRDPSEV >Solyc02g050290.1.1 pep chromosome:SL3.0:2:4965517:4966375:-1 gene:Solyc02g050290.1 transcript:Solyc02g050290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLARDDKERASSIDEQRIDGALGIALFFSHFLSAGSDPFVRNFFVCTEPLAESNPHDCRPSEPIMRVKSEAIAPKAKGSVRLEAPGPSFLFLGKRTVGAWGRYLLFD >Solyc09g005940.3.1 pep chromosome:SL3.0:9:650901:654848:1 gene:Solyc09g005940.3 transcript:Solyc09g005940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLHLQNLFSFNTRVPINKLFFENNTHFSSNFSYKIRSNNISHLTLGIDHGIDSSSSNFIKALSDHQGDVLAKNEIGIIDFFEAKNLLVTGATGFLAKVLIEKILRTTPKINKIYLLIRAKDKEAAFDRLTSEIIESKLFKCLKEIHGESYESFIRSKLIPVVGNIDEPNLGMDCIISQQIAQEIDLIIDSAANTTFDLRYDLALDAHVNGAYQLMMFAKKCINLKLLIHYSTAYANGEREGLQYEKPFIMGESITKEKLTSHSPSAKFPLLNAANELDFVSKLKNSIKNNDEFNKIIKELGDERAKLYGWHDTYSFTKAMGEMVIDSMRENIPIVIIRPSIITSSYEQPFPGWIQGLRIIDPAIVFYGKGEFPGVYTNPYCLIDMVGGVPVDMVVNATMAAIAKHGNLQSPELNVYHVASSYVNPLLSSQISNYCYEFFSSFPFVNSKGDQVKVQKMKYFDNMLDYSNYISKELLNRHHEVEDSKMQKLFKRKVEFLKNCSKVYEPYTFYKGWFHNGNMQKLMEDMSEEERKSFDIDLSKINWRDYIIGIHIPGVKENVLKGRM >Solyc08g083505.1.1 pep chromosome:SL3.0:8:63068286:63070746:1 gene:Solyc08g083505.1 transcript:Solyc08g083505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLKELFLAAFTLSIVFLTILWYKLASHKKESRLPPGPRGLPIVGFLQFLPTNLHHQLTKLSQQYGPIYKFWLGSKLCVVLNSPSLAKEVVCDQDSVLANHDPPIAGLVDIGFSPCGSYWREVRKLFLREMLSNRNLEACYSLRRHKVRKTIRNVHTKIGNPVDIGELAFLAEINVVISIIFGSKFVENMEKCSKDGTEFRELVVKYGQVMGKPNISDFFPVLDLQGIQKVMGKPNISDFHPAISECVKMLSDRREGEIQRNEKKHLIQILLELMEQKDIVKIKAILVDIVIGGTDTTITTVEWVMSELLNNPEIMSKVQQESKHVVGMNNIVEESHLPNMHYLDVVLKETLRLHPALPLLLPKRPSQSAIVGGYKIPEGTKVFLNVYAIHRDPQVWESPLEFQPERFLSPSTNLDYAGNNMKYIPFGSGRRICAGIPLAEKMLMFIFASLLHSFDWKLPEGENVDISEEFGLALKKSERLLVILTPSLPNLKLYQ >Solyc01g096250.2.1.1 pep chromosome:SL3.0:1:87210406:87211629:1 gene:Solyc01g096250.2 transcript:Solyc01g096250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQRPFSWFRLAPFGRQTQPTPPPTPRPTAFRSPAPRPYRPPAPQPRPTIRPPAATSPPPPATPIRAFFPAPKSPTPSTAPVSPAKSILSSSPTSPAFLPIASSSFSLKSPETKTPAPYSSSLTTAPLPKPTAPPPPSPATTTLTAPTTSRTVKPWNESPPKLHPETKPLFRLAGKEAAGNSKMNEKSAMDNNKVSSTKFEKLPRRLVTLIGENKGAIMLLKPNSIKKSYTTTSNVFGGKSQTVGKKEEGNTDQKKKKKEDEGDMEESTMFINSNVQGVNNSILDESSFTDHDPGFHIFFSTD >Solyc07g052060.2.1 pep chromosome:SL3.0:7:60735103:60736356:-1 gene:Solyc07g052060.2 transcript:Solyc07g052060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEILCTKLIKPCLPTPPHLQRYKLSFFDQISEKEHVPIVLFYANNNNFFNTSTINERIEQSLSKILTHVYPAAGRYDKDECSILCLDQGVSYTKAKVNCKLYNFLEKSRKDLSLAALFCPHVNKYIDKTNLMVSPIVTAQVTEFECGGLAVSLSFSHPAMDGFSDFKFLFELARVCKMETPIENIKFLSFNLGNIFPTRDISRLFKSTFDRVIEKDIIVKRFIVREAAMSRLRKKCIDEARGALDFQPSRIEIITAILWRAFIESTFQ >Solyc03g063760.3.1 pep chromosome:SL3.0:3:38199477:38215409:-1 gene:Solyc03g063760.3 transcript:Solyc03g063760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKSNCKNKNKNKPAPSSAITLEQFVSTMAPLIDLEKDAEISVSMNSAETRSLDSAQKKGSTILNLKCVDIQTGLMGKMLLEFQSNKGDILPPHKFGTHDVVVLKPKKADLGCPALGQGVVHRLKDSSITIAFDDVPEECLNNPLRLEKLANEVTYRRMKDTLIQLSKGVLKGPASDLVRVLFGERPPTMSKKDVSFTPSNRNLDHSQKDAISKALSSKDVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRLGHPARMLPQVLDNALDAQVLRGDNSSLANDIRKEMKALNGKLLKAKDRNVKKDIRRELKSLSREERKRQQLAVTDVIKNADVVLTTLTGVLTKKLDGLSFDVVVIDEAAQALEIACWIALLKISLFMLVNCQPALKGSRCILSGDHLQLPPTIQSVEAEKKGLGKTLFERLADLYGDEIMSMLTVQYRMHEQIMNWSSGELYENKVLIEAHSSVASHMLYHLEDVKESPSTEKALLLIDTAGCDMEEKRDEEDSTLNEGEAEVAISHAKRLVESGVRASDIGLITPYAAQMLVQVVFLKTLKSNVDELKEMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDRRRMNVAVTRARRQCCLVCDTETVSGDKFLKGLIEYFEEHGEYLSASEYVNE >Solyc01g094660.3.1 pep chromosome:SL3.0:1:85978650:85990342:1 gene:Solyc01g094660.3 transcript:Solyc01g094660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETPSSVVRRSPVRYPEVDLSRLSLSEQIVQKGSPATPVVVDDVMYVAVGKDLKETEPTLTWALHKSGGRKICIVHVHTPAQKIPMMGTKFNIDQLDVHQVRAYHEKEKQDMHMILEKYILICGRAGVCADKLVLEMDSIEKGIVELISQHGIGKLVMGAAANKCYSKKMSDLRSKKAIYVRLQAPTFCCICFVCKGNLIFTRESKSDRLNTDSVSLSVPASPVNDIIVRSGSATEGYSEQVKLRGAFTEYPRVSSDSHGTIFSGHPSTGTLQANFPFMSSDRSADSWDGIPQISSSVASRFSPSSSVEMVDDSFSKTERNETAFDPSGLRYFNFDPYQSSAPSIIQAEKVNNELAGSMNDELYDRYEQHVAEAETARREAFEESIKRRKAEKDAIEARRRAKASETFYADELRWRREIEEALAKDREKADQMKAQLNKLLRDLQAAQAQNSSLEGQLLVSDAQVQELEQKMFSAVDLLQKYRKERDELEVERDEALKSAEALREQHSDGSSLTSTSSLFAEFYFHEIEEATRTFDPALKIGEGGYGCIYRGLLRHTQVAVKMLHPHSLQGPSEFQQEVNILSKLRHPNVVTLIGACPEAWTLVYEYLPNGSLEDRLTCKDNTPPLSWQTRIRVAAELCCALIFLHSCTARGIIHGDLKPANVLLDANFVSKLSDFGICRVLSEDEFSENSTTLCYRTDPKGTFAYMDPEFLQTGELTRKSDVYSFGIILLRLLTGRSAFGIKNEIQYALDKGNLKNLLDPTAGDWPFVQAKQLAHLAMSCCDKNSRCRPELSSEVWKVLEPMRASCGASSFRIDSEEHCDIPSYFICPIFQEIMQDPVVAADGFTYEAEALRGWLDSGHETSPMTNLTLSHKNLVPNHALRSAIQEWLQQN >Solyc09g009310.1.1.1 pep chromosome:SL3.0:9:2691820:2692977:1 gene:Solyc09g009310.1 transcript:Solyc09g009310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEENNNGQPPYSAKHPWLLICHGDVMDKQTFFSVSENRYYMKKIPELKEKMLYAYADEWLVLGSIDSNDCCYLWNFISNKKIQLPPLPAECDILNCLLSAPPHDPECLVIFQIKETLDDDDTDDDENENSTDTNNKDEDENENTNNKDEDENDNTNNKDEDENENSDEDSNEDEDEDDEDVNRLTFYFCKPGYNTEFHKQDLQSIIGDPRLGNWTVFKKKIYALIGMQHILICLDVDNDSGRITATPIANESPEKSRRFSDHPCYTDFIIQSSCNDMLLYVHLISNGRNYRMLYHFRVLQFDFVRKRWIKAESIGEIAIFISLNATTTCSTKGTNLNKESIYFTDDRYLYIYDLITQSMSLSLPCPHVSKRKGRDIHWLTLNQ >Solyc05g013060.3.1 pep chromosome:SL3.0:5:6160919:6164605:1 gene:Solyc05g013060.3 transcript:Solyc05g013060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSVSPSIVLPSGKLIRHHNHRRRIATFSSQPKLKGFPRFRISIFNGSSGRTRSISVCCKLSTNGEDEEKEEEEEESLRRDDEVERALRMDGTIPKTPNEFVEQVSSRAYDMRRHLQQSFDSSSYDVLEANPWRETPKSVYVLTQRENQLCTMKTRRNRSEVERELGMLFSKGGKWKNRAKQPEADTRFQMLVEDITEGVLVFEDENEAAKYCDLLQGGGQGCEGVAEIEASSVFDLCRKMRALAVLFRRGRTPPLPESLKLNLRARKRSLEDQENVM >Solyc09g061460.2.1 pep chromosome:SL3.0:9:59391822:59392711:1 gene:Solyc09g061460.2 transcript:Solyc09g061460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFDGSLIFPECILIFGQILLLMIDSTSDQKDIPWLYFISSTSSVMSITALLFRWREEPMISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIEYTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGGGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYKGVQFVREIPTSLSISEMFGFFKTPWTCRREMLSPLGPR >Solyc07g053225.1.1 pep chromosome:SL3.0:7:61805136:61806552:-1 gene:Solyc07g053225.1 transcript:Solyc07g053225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKFCLCYCPSVVETEDSNDLLLSTNNNSTLSSSMKIFENNSDEKITNPSFNKSIHKLPSRKFFSYKNFPRLCKAILFQDSLRNIQQLEIELKSKVNWNKDEKCYKKLENHESRKPEMEVNKISQEKGSSVSHESQKLSSSNWTNPKACSTTSTSSCDKKKKDCCSLLYLIVIALFMTIFLGKYWAIVLTLSWLYSIPYYYETR >Solyc04g012105.1.1 pep chromosome:SL3.0:4:4419932:4420651:1 gene:Solyc04g012105.1 transcript:Solyc04g012105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTETLGTLGYIAPEYGLEGVLSISGDLWHHDDEVLTKRRPTEDEIFNENLGLRQWIRRAFPGTIMEVVDVNLFHEEESVNFKIQIMVQMDEVGGLRWNNVEMQSGIQTNTF >Solyc07g064020.3.1 pep chromosome:SL3.0:7:66451616:66453514:1 gene:Solyc07g064020.3 transcript:Solyc07g064020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQLEVQFEESNPKKWCVPLKEDVFVKFMNKGNFITHKALCEGSFFSPLLFGKFFDPSDAFPLWEFDSDVLLSNAKSSNNNHYKVDWIQTETDYVLKTEIPGVGKGIICVSVEDGKVLEVSGQFRLKVETGTKDWRVGNWWEHGCVRRIELPENADWKKTEALMSNGDHKFLEVKIPKIPPNICDVP >Solyc02g091660.3.1 pep chromosome:SL3.0:2:53539149:53543594:-1 gene:Solyc02g091660.3 transcript:Solyc02g091660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVITGRNEPHWDKQNAYKRKYTTNPTAHSQLHTRFNPNPNPNYNLQNFSTMSHLQQVNEPLPRAAPSPAIMATSKVPFNWKPNAVDGTDLFHREYVVFNLASYSRSELKDLKKMLSSELDRVQGLLDRIETQDFVPRTSFHAREVIQPPMAPWPQSALAPNASRQHREPVLIGKKSKKHTGQKRPRTIDLGKDLVVEGDKFFVNMMKTCRQILGKIMKKKYGRVFNAPVDVKGLNLYDYHDFIKHPMDLGTVKSRLDKNVYRTPQDFAADVRLTFTNAMTYNPKGQHVHNLAEEYLGIFEEMFKPAYEKYEAEHHKVATIMQQVHQQMNLSQPAPNTKLPPLPVAKKSGSARSHSTLLNQHQSHIHSFSEPVAPLFATPASKSPPQPVVEMPRSARTSKLKVKDPNKRLMTEGERDKLGNELQNLPPENMEHVLQLVKRKFPNLTEEGNEVELDLEIIDNETLWELYRYVISDKESMSKIERSGVTENAAAVLLNKSPEKAPTPEHAKPEINEVDVVEEDVDIGEEIPGENFPPVHIDKDPSSSSGSSSGSDSSSGDSGSSSESDSDEDSVQSPYVEAAKEAPAT >Solyc06g076600.2.1 pep chromosome:SL3.0:6:47709681:47724518:1 gene:Solyc06g076600.2 transcript:Solyc06g076600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQPKQNGERKRIENEELVKYMSRLPSYLEKGENLQDKAFSVGVLDWRFLEKWRHDHVNLPCRSSVCSPSSSNTFSISSMEGSSSNSSRARSCSPAHRKIHRPTSQSYYASPPKGTHPEVKSGSCGHLKNVKPGAAKFLHENQQINLLELSKDQHPRRASRVRRSLDGETHKRTYGSEGNMKIQDRECSPKGENELVESCHRVDDFDFIEKHKSDELQVPEPDQETKSCSTYFPPESVARNQEAVKPSRQSFSCGFISAFYHGQLSPDIPSSCPLPHVAGESRMGQASSIDANDTSSSSQTIQRSAYSEKKAPSPPRVNYKQEKRSTAMLKNSITANSSETTKVRIPSPTRRLSMAIGRIGQISGIKDMMTVSQGVKHPAGQSGSDKAQDSSSLETGCNKSDTTSRARTSPLRRLLDPLLKPKTGNCDNVTGSSTKRAESPTKRSLKVKLDLKGCRSIDIDDPHSKGTHAPSTLQALLQVAVKNGLPLFTFAVDNEVNILAATVKKFSSTLKENSCWIYTFFTIHETKRKSGSWLNQAGKNTAGGIMPNVVGKMKVFDVPFSESNRQRLDTQFKTREFVLFATDARHQPNDELAAIVVKSPNRSTTCPNGSIHQDRGCNNISASGVTNPFEDLSMTAILPGGAHSLPSKGEPSSLIERWKSGGSCDCGGWDLGCQIRLLVNHRTISSCLKPERNAERFELLFQGGEQDNTPVFSLSRFKDGIFSVEFSSSLKLLQAFSICIAVLNEKWAENEQLNLSAFKEYGLEELKAATSNFSANNIVSEHGEKAPNVVFKGRLNGNGRWIAIKRFQSSAWPDSRQFLDEAKTVGQLRSKRLANLLGCCCEGDHRLLVAEFMHHETLSKHLFHWDKRPLEWSMRLRVALYLTQALDYCSSKGRELYHDLNSYRVLFDQDCEPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGKVTPESVVYSFGALLLDLLSGKHIPPSHALDLIRGKNYLILIDSCLEGHFSNDDGTELVQLASHCLQYEPHDRPNVKSLLTSITPLQKEKDVPSNVLMNIAHETTSPAQASLSPLGEACSTCDLTAIHEILEKAGYKEDEGIANELSFQMWANQLQETLNTRKSGDSAFRDKDFTTAIKCYTQFLEGGNVKSPTVLARRSLCYLMSEKPQEALADAMQAQVQFSNWPTAFYLQAAALFTLGMDSDARETLRDGSSLDFRRMRQ >Solyc04g015200.3.1 pep chromosome:SL3.0:4:5380806:5384502:-1 gene:Solyc04g015200.3 transcript:Solyc04g015200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4BQ23] MSNSSPSISISNVQLQHISHLTNYNSQIKTHTNPLAVNPFFRTFPGFFLTSSDIVLRHNVLDLSGTFPSPNPPLAYHRAGPRNQILFDPVTVRAAIVTCGGLCPGMNTVIRELVVGLWELYGVREIFGIKAGYRGFYSYDPVRLNPKMVDDWHKRGGTVLETSRGGFDLKKIVDAIQDHGFNQLYIIGGDGTMRGAVEIFEELKQRKLYISVVGIPKTVDNDVGIIDRSFGFQTAVEMAQQAINAAHVEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFYLEGKGGLFEFLEDRLKEMGHAVLVVAEGAGQDIIPRTESQKTEKDESGNPVFLDVGGWLKSELKNWWSRDHPNELFTIKYIDPTYMIRAVPPNATDNSYCTLLAHSAIHGVMAGYTGFVCGPINGNYAYIPVDEVAKAKNKVDTRDHKWSWVRSVSNQPDFIRS >Solyc09g082820.3.1 pep chromosome:SL3.0:9:68910788:68912779:1 gene:Solyc09g082820.3 transcript:Solyc09g082820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLHLLVRTTKNNLNPTSWRKKLNLRGLKMD >Solyc08g081060.3.1 pep chromosome:SL3.0:8:64300243:64304853:1 gene:Solyc08g081060.3 transcript:Solyc08g081060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFDKYCVVDGSPTTVLPSPRHRLKGERRKSKENSKCSKEVLSVDGDFTEISFHRYRSVSCKDAPSRKSHLGGNDILKRGSVYQSSRDVRGIKKTDAVEERRKIEFSRGNANAFSFGIVDVLCGSVEDNSLIDKHRSSFRLIPESDVPSDSSLGSSLNSDHRENRRTQIPPRQSAQDSKIMSQSIADHLCEVNRLTDRDPSVSLSKSLSAKLALPHSPAWSESDSSRTSSQKSRFSPIRKMFDPFGKSKSLKSPLSYTFEPGTDTKSEPVNVSRGRTVHKSLLHDFSSIPEPVECNSNMQSLPAHLHGLLRSDKRGGLPFFEFSVKSPEDSFVAKSWKVEDTLNWVYTFHSIHHKRKSNASGGWGSKDSVREPLLVGRMQVSCYLCTDIKNAGDCDNSMVTEFVLYDTPHSRKRVSFQESCCSSPDVTIAPKASDEKSSGADCEAVEVEIAAIAIEVPFEKRESLKFRSGDAKADQPLPNLLDLSVVEQRIGHSDNVSPAKVNVVIPSGHHGLPTTESPGPSPLLDRWRMGGGCDCGGWDMACPLHIFGNPNIRIDDNRPLVERQQPLELFIQGRKDKAPALTMTLKEDGQYSVDFHAQLSALQAFSICVSILHSMETSIAVGQENDIESLQSNTLRFFVQDDDIKGLINPAREEKKQKVHKKAEQVFPSFVLNPPFSPIGRV >Solyc04g005227.1.1 pep chromosome:SL3.0:4:177804:179094:1 gene:Solyc04g005227.1 transcript:Solyc04g005227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATINPRLDFNIDALLAKEILHSRAGTSSSLAFAPDLTVPYQSSHQLQRGLVHSGLPGSGNSIDTLLKSIYPFLAVTSGGYKERSSQLPNDELHNVVEMGLCTSAPLHIREGSLPSGQMKEEP >Solyc09g031850.1.1.1 pep chromosome:SL3.0:9:27882258:27882533:-1 gene:Solyc09g031850.1 transcript:Solyc09g031850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIKIRRLLIPQQRKHFFTLSYTRGFHLEKKMFNTNGFGSITMGSNARDLVALTNEVLSISITRKKSIIDTNTIKFKCRLIILSLKFGIST >Solyc02g086100.3.1 pep chromosome:SL3.0:2:49515930:49521437:1 gene:Solyc02g086100.3 transcript:Solyc02g086100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETICRALRDGAFEGEHAPALTIKDTIDAPLGSFVFNHILTQLTSNILAGKSQARGVVLVALSRPPSFYVELLKNKGFDVSSSSKWLRVLDCYSDPLGWKNKLLERGTVRNPYEETLLKTTLCKNLKELDKVLSSIIELGKEIVEEGKGRFAVAIDSVSEILRHSSLPSVARILSHLRSHDQVSCIFCLLHVDLHEAKVAATLEYLSTMHADVEPIVQRTNGQRNTSEDLPMVEQSFKRGKFHVRFKRRNGRVRVMREELYVEGSGIKITEVSSEDGITAQSLVPKVQFNLELSEKERLDRAKVVLPFEHQGTGKPIQIYDGRKSLNESENEEKQASVEKLQTTEDSGRGEIIYFRDSDDEMPDSDEDPDDDLDI >Solyc11g012090.2.1 pep chromosome:SL3.0:11:5021974:5039801:-1 gene:Solyc11g012090.2 transcript:Solyc11g012090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVGARFGRSSTRYGPTTVFTGPVRRWKKKWVHVSSSKNNHQSTAANGRVNGSNVSHLVFLKWTPITASQNNNNNNSSADKDGDSKSPDKDDDVPIEEPPKRKFKYIPVALLENQNNETSDQDEDEAKPIVADANTGEPTSQADDCDEKPDINDVPMEENQDPEDKPPERQDLNENTLDLSLESTGQEEKDADSKTIQTEEDKLDKVN >Solyc11g006490.2.1 pep chromosome:SL3.0:11:1166910:1175469:1 gene:Solyc11g006490.2 transcript:Solyc11g006490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQAHLPPRCPFQKKPLTCPVQDPFSPPSHQHNLLPPRHQKSISQSSILEEQPAWLDELLSDSRSNSSGIMHRRSASDSLTILDGLVSFEGFDKFDETESNASCESDSSSKNNCIYGPNSPRGKSNITFAENAIVSALSEYVSPNPLQYLDRCVSVSGAAQSELVGNASGAADDVSADAKPMKRHPGQRSRVRKLQYIAELERTVNTYEAIESDLTAKVASLLQQRVTLLMENRELKQQMLRLQQEKVIVDAQYRSLRRELERLKCLAHSRNGGKLSTNFRLSSAVEMTGSDAAWQMLDFGKLDLN >Solyc08g006885.1.1 pep chromosome:SL3.0:8:1436906:1438708:1 gene:Solyc08g006885.1 transcript:Solyc08g006885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRVSNFLENVIHDVVTYIEHAHQKTVNTIDVTAEPSNINSNTTNMPEVLQNLLSSSWLSFLMQNSSDFHGLTSKTSGKLLQSTKAIELYHDPSTSSSTMIYEVLELDGN >Solyc12g097060.1.1 pep chromosome:SL3.0:12:66685923:66687234:-1 gene:Solyc12g097060.1 transcript:Solyc12g097060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLQTKNHKNNPNAKIIEELKYKVRLLQKEVSEIMCIRENESEIYNQEMIVFAVKEEEWKQEKKKLNEELNDLKKKLEDYKEDKDKVENQEMVSEKCDNKEYHMLVRNSLLEQIREEEVRRDEAIEKWKNLYFVIKNELDELIQRTNQGERLCWRKEEVELLEELHMELKAKEEVIAHLKEKIVSMEKQEVKREREIDILRQSLKIMSYNKKVSSISKVFYKN >Solyc01g098800.3.1 pep chromosome:SL3.0:1:89092955:89098241:-1 gene:Solyc01g098800.3 transcript:Solyc01g098800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNFSCFNTTVISLILIITVLFFFIVLPLPQYSSNPIHKSPLIPHRFLLNATILEEKSDHFVCSTSNFTDSNGIFNYFSLHYCLFQENPFLSIPFFALCVFLQFYILIKTAQDYFSVVVTKLSTHLRLSPSMGAVTLLALGNGAPDVFASVAAVRGGQARTGFGAILSAGTFVSAFVVGFVAIYAAPFAVDPAPFVRDVMFYLTAALFLFYVYLSAEIFLWQAIGFVAFYIFFVGFVFWMDLGMGGAKEKGGKFGGEVGLVRSDEVHIGIVEFDSEKGKVMGTLEDGNRHTTGSSHLFGKVSLIWKLPVSMLLRLTIPQTAPSEWNRFCLSSNIALCPLLLLFSCKSFVPLNHPISFLLSDTHLPLWFIVLCATCSLAVVHFIVEKEAPKTEQMPAVVIAFVMSVFWISTVAGELLNCLAVLGELLKLPAAFLGLTVLAWGNSVGDLVADVAVAKAGQPAMAMAGCFAGPMFNMLFGLGTALVMQTANVYPEAYELHLHVSIVVAFVFLLLSLMGSLLLVTWNRFRVPRFWGFCLVGLYVVFMIISLIIATFSA >Solyc01g098540.3.1 pep chromosome:SL3.0:1:88903632:88908146:1 gene:Solyc01g098540.3 transcript:Solyc01g098540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGISYGELFLLLGATAALIAGTAGRFVGRAIGYVQLARGQFESAMQQSQARQLFIRFIRNCKIPWLNWKPYVMKFRQFLL >Solyc01g111850.3.1 pep chromosome:SL3.0:1:97924377:97926160:-1 gene:Solyc01g111850.3 transcript:Solyc01g111850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFLYVTLLLVIISNISVSSNANFHLSLNYTSILQARKNFMSLDTSNLSYDSPYSYSLPIYHRDVFEKSDFKDYDSLLDARLARCMERSVNLSYQNSSRDFAQVYPIKTVVYPLQGEVVVPLWIGSKLQRELLLVDTGSRHVWWQCGPCEQKKCYKQRSDDLYYSTESTTYQQIDCVKESATCMEGSSLVHCSKEETKCFYEVKYGYYGSTITSGFMAYEIITFSNILDYARIIFGCGKNQFKGDTKFPKLFSGIAGVGGGLYTNGFETYSLPSQIGATVFAFCIPTPTSGKSSTLTFHETPWKTGITASLMRNNKFPSFYYISNLEKIMINNREVPIDPSNWDLGPDKYGGIFIDSGAYVTSFPEDVYVKFRDIFRSQVKNMQLDPSSPTSFDTCYIMGGEFVNWDNFPVVKFYFKGSGIPLVVKQQQVMIVYRKKYCLGFQSSGRKRSVIGANMLQTFGLTFDLEMWRLTFSPDACE >Solyc09g011230.3.1 pep chromosome:SL3.0:9:4562093:4566285:-1 gene:Solyc09g011230.3 transcript:Solyc09g011230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4CRE9] MKELVGSPGSVSGLFLRLGQCLFAVGSIVVMVSASGFSNYTAFCYLIASMGLQVLWSFGLACLDVYALRIKQDLQNPVLVSLFVVGDWVTATLSLAAACSSAGIAVLYSKDLHFCSSSSHLPCGRFELSVVFAFITWFLIAISSHVMFWILATV >Solyc03g111840.3.1 pep chromosome:SL3.0:3:63928449:63937199:-1 gene:Solyc03g111840.3 transcript:Solyc03g111840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTKPLIKNLSMATNSCLISLPPFFTTTKSMSFISTPLKPISLSSSLSLKKTTTQFPSIVSVVAALQDDDSSVGLEDKEQGGEALSFDFASVGDAGESDEAEAEAEEYQEPPEDAKLFVGNLPYDIDSEGLAQLFQQAGVVEIAEVIYNRETDRSRGFGFVTMSTVEEAEKAVVLYNRYDLNGRLLTVNKAARRGSQPERPPRTFQPTYRIYVGNIPWDIDDARLEQVFSEHGKVVSARVVYDRESGRSRGFGFVTMSSEPEMSEAIANLDGQTLDGRTIRVNAAEERPRRNTF >Solyc08g074390.3.1 pep chromosome:SL3.0:8:58620950:58627393:-1 gene:Solyc08g074390.3 transcript:Solyc08g074390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGVKYGLWISMILGFMVLGKVYGIGANWGTQATHPLPPNIVVKLLKDNGIQKVKLFDADSEILNALSGSGIEVMVGIPNEMLWSLANSLGAAEKWVEKNVSSHVSSNSVDIKYVAVGNEPFLSQLNGTFLSTTFPALQNIQAALIKAGLGNRVKVTIPLNADVYQSSSEKPSTGDFRQDIRDLMVSIVKFLSDNGGAFTVNIYPFISLYNDPNFPADYAFFDGYSNPIDDNGKIYNNVFDANHDTLLSALQKNGFPNMSIIIGEIGWPTDGDNNANLKAAQKFNQGFMTHISGGKGTPMRPGPIDAYLFSLIDEDAKSIQPGNFERHWGIYYFDGTPKYKLSLGANNGGGLVPASGVRYLSRQWCVLSPSASLDDPQLADSVGYACSHADCTSLGHGTSCADLDARGNVSYAFNSYYQENDQQSSACKFPNLSMITNTDPSPSGGTCKFKIMIQATSPSSHKNNAFTTKPVNVMFLFVVSLLLSVL >Solyc04g080460.3.1 pep chromosome:SL3.0:4:64716088:64722032:-1 gene:Solyc04g080460.3 transcript:Solyc04g080460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREENNEGDLKKPFLHTGSWYRMGSRQSSMMGSSQAIRDSSISVLACVLIVALGPIQFGFTCGYTSPTQIAITKDLKLTVSEFSLFGSLSNVGAMVGAISSGQISEYIGRKGSLMIAAIPNIIGWLAISFAKDPSFLYMGRLLEGFGVGIISYVVPVYIAEIAPQNMRGGLGSVNQLSVTIGIMLSYLLGLFVNWRLLAVLGALPCLALIPGLFFIPESPRWLAKMGLTEDFETSLQVLRGFEADITNEVNEIKRSVASSTNRRTAMRFADLKHRRYWFPLMVGIGLLILQQLGGINGVIFYSSNIFLSAGISSSNAATFGVGAIQVVATAVSTWLVDRTGRRILLIVSSAGMAVSLLIVSVAFYLKGYVSEDSTLYGVLGIVSVVGVVFMIISFSLGMGPIPWLIMSEILPIKIKGLAGSTATLANWLFSWVITVTAPLLMAWSSGGTFTIYMVMCAFTVAFVAIWVPETKGRTLEEIQFSFR >Solyc08g066120.3.1 pep chromosome:SL3.0:8:54616808:54620937:1 gene:Solyc08g066120.3 transcript:Solyc08g066120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLLSSNFIHFISKGYQFANPSFHGRPLHFLPTVRNELCRSYAFPLQLHSTTYQFLASSTLENRRNRSRKRRRSLKQFSVRASVDPQDLSLLAQDTAGVPGSPSPFSVRIPVGDRHITVETGLIGRQASGAVTIRDGETIIYTTVCLADVPSEPADFFPLSVHYQERFSAAGRTSGGFFKREGRTKDHEVLICRLIDRPLRPTMPKGFYHETQLLSWVLSYDGVHPPDALSVTAAGIAMALSQVPNSQVIAGVRIGLIGEKFILNPTIKEMENSKLDLLLAGTKEAILMIEGYCDFLPEDKLLEAVEVGQDAVRGICKEVEALVQKCGKPKMFDAIRLPPKELYKLVEEIAGSELVEVLQIKNKIPRRKALSSLEDNVISILSEKGYISTMEAFVSTETMPDLLEEEDEDEEVVVDGEVDEGDIHIKPVARKSVPLLFSEVDVKLVFKEVMSKFLRKQIVDKGKRSDGRSPQEIRLIDARCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQKIDNLVDEDEYKRFYLQYSFPPSCVGEVGRVGAPSRREVGHGTLAERALQPILPPEDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCSIAGIAMGMVLDTKEFGGDGTPLILSDILGSEDASGDMDFKVAGNDVGITAFQMDIKVGGITLPIMKQALLQAKDGRKHILAEMSKCSPPPSRELSKYAPLIHVMKVKPEKINLIIGAGGKKVKSIIEETGVEAIETQDDGVVKITLTMVPTVGDIYKNCEIKSVAPYGVFVEIVPGREGLCHISELSANWLAKAEDAFKVGDRLDVKLIEINDKGQLRLSRRALLPDAETERQSTKPRSGSLSNDNAVSPKISDKGKLKKAVTVSKESENPLKEKTVPVNISSPVKEFENVAGDCPLSWILKRMESWEELSYWIDDSSIPDQDTSMNSNGF >Solyc04g080100.3.1 pep chromosome:SL3.0:4:64460198:64463721:-1 gene:Solyc04g080100.3 transcript:Solyc04g080100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTVFTILLFLPVTFLFKFIYSVIYLPLKFEKHFRKQGIRGPGYRLIYGNTEEIKQKISEAESKSIPFNHNILHRIAPHYYNWSAVYGKTFLWWFGSKPRLAISDPDIIKGLFINKAVDKVEFDPQTKQLFGQGVVGLRGEQWALHRKIANQAFNMEVVKAWVPDIVTSVIKALKKWEKENEEKEEFEIDVFKELNDLSAEVISRTAFGSSFEEGKRIFELQEQQISLTLQAIRSVYLPGFRYLPTKNNMMRWRIEKETRESVRRLIESSKGRENSKSLLSLLISASEEEHGFGMEEVINECKTFYFAGKETTSVLLTWTLLLLALHQEWQDKAREEVFRVCKGNNLPSAENLNDFKIVTMILNETLRLYPPVVALTRGTSKDIKLGDLEIPANTQFYVALAAVHHDTEIWGKDALEFNPQRFVESRKHLASFFPFALGPRVCVGQNLAMVEAKIILAMIVKNFSLALSPSYVHAPTMHLTMQPQYGAPVLFRKI >Solyc10g008110.3.1 pep chromosome:SL3.0:10:2228902:2236123:1 gene:Solyc10g008110.3 transcript:Solyc10g008110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSHFSSMEKVNFRTKIISRHLNQETPSPNLLLQSIPCLSYNPPELLEPSAIFDTKEMRKLMDGHNFVEKDWLFGLMLQSNLFNPIERGGKVFVSPDYNQSKEQQREITMKRIGYLLEHGVFKKWLTGKGHEDELRKLGLLDCLGIYDHSLAIKVGVHFFLCYNPPELSETAAVFDIKEMRKLMDGHNLEERDWFFGLILQSKLFNPVRRGGKVFLSPDYSQSKEQQREMTMRRIEYLVEHGFSKDWLTVKGPEDELRKLALLDCIFIYDHSLSIKMGVHFLLWGGAIQFFGTKRHHDKWLKDTEDYVIKGCFSMTELGHGSNVRGIETVTTYDSSTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLIIDGENQGVHAFIAQIRDENGDICPNIRIADCGHKIGLNGVDNGRIWFDNVRVPRENLLNSVADVSPDGKYLTAIKDPDQRFAAFLSPLTSGRVTIAASAVYSAKIGLATAIRYSLTRRAFSVTPNGPEVLILDYPSHQRRLLPLLAKTYAMSFAANQLKMMYVKRTPEMNKVIHVVSSAFKASASWHNMRTLQECREACGGQGLKTENRIGQLKGEYDVQSTFEGDNNVLMQQVSKALFGEYVAAKRSKKPFRGLGLEHMNTSRPVIPSQLTSITMRQAQFQNDIFCLRERDLLERFAAEVSQCQAQGKSKEYAFTLNYQLAEDLGRAFSDRAVLHTFLDAEASVTSGPLKNVLDLVRSMYVLITLEEDAAFLRYGYLSMDNAAIVRKEVAKLCSELRPHALSLVSSFGLPDAFLSPIAFNWVEANAWSSEQN >Solyc09g061590.1.1 pep chromosome:SL3.0:9:60163421:60163789:1 gene:Solyc09g061590.1 transcript:Solyc09g061590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRFCDLCCSESGFCGDCCVVSGHICGHVSHLECALRAYMAGRVRGSINLYAEYLCRYCDSRMDLVPHASKILNICTSIASYADIEKILNVGIRIMRG >Solyc10g062050.1.1 pep chromosome:SL3.0:10:23943136:23944276:1 gene:Solyc10g062050.1 transcript:Solyc10g062050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEVHAEQARRKRSVEEKQQSSIPSLPGPKAVTWILYEPMDRDKSLLLAMTSSLITSFFPYPSPFFPVTHKMALNSFL >Solyc08g060840.1.1 pep chromosome:SL3.0:8:45674816:45677969:-1 gene:Solyc08g060840.1 transcript:Solyc08g060840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHGDVVQSSVQLTGDMVDAVDKSCLSRDGGVSRSPKRSITGVEEHADFEPHDGIEFESHEAAYAFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGTTPESDTGSSRRPSVKKTDCKASMHVKRKRDGKWYVHEFIKDHNHGLLPALAYHFRIHRNVKLAEKNNIDILNAVSERTRKMYVEMSRQCGGSQEVGLLTNDLNYQFDKGRCLSLEEGDAHIMLEYFMHVQKENPCFFYATDLNEDQRLRNLFWIDAKSRKDYVSFNDVVFFDTSYMKSNEKMPFALLIGVNHHCQPMLLGCALIADETKPTFVWLMKTWLRAVGGKAPKVIIADQDKSLKSALEEVFPCSSHCFALWHVLERIPETLAHVVKQHENFMQKFSKCIFKSLTDEQFDLRWWKMVSRFELQENEWIHTLYEDRKKWIPAYMRGSFMAGMSTAQRSESISSFFDKYIHKKISLKEFMRQYGMILQNRYEEEAIADFDTLHKLPALKSPSPWEKQMSTIYTHTIFKKFQVEVLGVVGCHPKKEAVNGENVTFRVDDCEKDENFMVTWNEARSDVSCSCLLFEYNGFLCRHAMIVLQMCGLSIIPSQYILKRWTKDAKNIQLISEGTERIRNRVQRYNDLCRRAIELGVEGSLSEESYGVAFRALDEALKNCVNVNNRSSALTECSSSAVGLRDLEEDTQGIHAIKTSRKKNTNKKRKMHSEPEAAIVEAKDSLQQMDSLTVGGMTLNGYYGTHQNVQGLIQLNLMEPPHDGYYVNQQNMQGLGQLNTIAPGHDGFFGSQQSIPGLGHLDFRQPSFTYGLQDEPSLRAAQLHGNNAR >Solyc10g008360.2.1 pep chromosome:SL3.0:10:2477399:2479245:-1 gene:Solyc10g008360.2 transcript:Solyc10g008360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQKFFFLFLFFLLVGLSYSSPLYIKHDVLEARVHTGRALLQQQGNCPIDFERENYTIITSQCKGPHYNSSICCNAFKQLACKHTQEINDVQNGCATTMFNYINLYGKYPPGLFANMCKEDKEGLNCKDVIQPEAKSDEQKRNSSKGTKCSMILMVITTFLVILMLNI >Solyc07g065710.3.1 pep chromosome:SL3.0:7:67513804:67519841:-1 gene:Solyc07g065710.3 transcript:Solyc07g065710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPSSKSLAEKLNKTTGNQTTERSSRRSTPSQVPKNNKLETTISKEREVVSKQLVVESTVTQKSQSSQPKGSSNSLADKFDSNLYLGNSKNSASGVGSMPTETKGSQGTADQERKNSTKDSSASAKVSDGASSIAKTSGSAKISDRADFLESGKSSICRGSTGTDVSEESTSSSLSSSVNKPHKANDSRWEAIQAIRTKDGALDLRHFRLLKKLGSGDIGSVYLSELCGTKCYFAMKVMDKASLASRKKLLRAQTEREILQSLDHPFLPTLYSHFETEKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLSLEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSSLEGEPLRKNSAYCVQPACIEPSCIQPSCAVTTTCFGPRLFSSKSKKEKKSKNEIGNQVSPLPELIAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRQTLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEFERISVPPAASTSEKGVAGTVPYQKNSDNYLEFDFF >Solyc02g069080.3.1 pep chromosome:SL3.0:2:39612324:39625118:-1 gene:Solyc02g069080.3 transcript:Solyc02g069080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEKHCCLSQNYMVVAENPISQAKAESAILQDSIVKQVNENEKAGWRAALNPQFSNFTVSQFKRLLGVKPTRKGDLKGIPILTHPKLLKLPQEFDARVAWPQCSTIGRILGDLSWFYFHSFVFPPEFAYCSFLGHCGSCWAFGAAESLSDRFCIHYGLNISLSANDIIACCGYLCGDGCDGGYPLEAWKYFVRKGVVTEECDPYFDNKGCSHPGCEPGYPTPQCKRKCVKENLLWSKSKHFGINAYLINSDPYSIMTEVYKNGPVEVSFTVYEDFAHYKSGVYKHINGEEMGGHAVKLIGWGTSEDGEDYWLLANQWNRGWGDDGYFKIRRGTNECGIEEEVVAGMPSAKNLNVELDVSDALLDASICKSRKSTGWKRQMRMESSEEEDDFPCIESVTPQSKIDSIYQSKTEKGIRKICFELLDLKDAVENLCGNTRTKCLAFLRLSEEVVETEHELNELRKHISAQGILVQDLMNGVCRELDEWSRTSGDVQEANESSRSSDYGDTFMNDMEDENMLFLENIDVLLAEHKIEEVIEAIDAKERSHPELKSSGDTSPTEPSSFKSALSKRKKMLENQLVEITERPSIGIVELKKALSALLKLGRGSLAHQLLVNSYRSRLRKSIEAFLPLCPCYPETYSATLSNLVFSTISLATKESGAMFGDNPVYSNRIIQWAEREIEYFVRLVKEHAPPSDGAPALHAASVCVQASLNHCNALEKQGLKLSKLLLVLLHPYMEEVLELNYIRARKAVLDFASSDEGKPLSPRFASPLSTFATTSDTLLVESGMKFIYIVKEIVEKLTQLVILHFGANILTRISHLFDKYVDSLIKALPGLSEDDNLTELKEPVPFRAETDSQQLALLGTAFTIAEELLPMVVSRIWNVLNESKEVGSENVMPAANNTVELKDWRRQLQHSLDKLRDNFCRQYVVNFIYSRDGDARLDAQIYLSGVGQDTIWDADPLPSLPFQALFGKLQQLATVAGDVLLGREKIQKVLLARLTETVVMWLSDEQEFWSVLEDESAPLQPLGLQQLILDMHFTVEIARFAGYPSRHVHQISSDIIARAVRTFSARGVDPQSALPEDEWFTETAKGAINKLLLGGSGSDTSEIDDEHIIMHDEGMSDSDGSPSSLSSADSSESFASAEMGDLDSPVYLSDPES >Solyc08g044480.1.1 pep chromosome:SL3.0:8:20198355:20199643:1 gene:Solyc08g044480.1 transcript:Solyc08g044480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKKYIDFSRSTSNPLPIWLHCGDLHQQVSASLITLGVEIRKLPVIREQAHSQTDEQNLSTQSRTRITLERGDAMAVHVSLRIFFRKLQCHEAKLGCTFYGIAQVGVFLIVLFQFFIPCTNKTYKAISLHCLLYVLDS >Solyc04g064610.3.1 pep chromosome:SL3.0:4:55784901:55788416:-1 gene:Solyc04g064610.3 transcript:Solyc04g064610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4BT52] MGVVHTLHFVFGIFGNATALFLFLAPIITFKRVIQNRSTEQFSGLPYVMTLLNCLLSAWYGLPFVSPNNLLVSTINGTGAVIETIYVLIFIAFAPTKEKKKISALFLLVLTVFAAVALVSMLGLHGSKRKLFCGIAATIFSIIMYGSPLSIIRLVIKTKSVEFMPFFLSLFVFLCGASWFAFGLLGKDPFVAIPNGFGFGLGTVQLILYAIYCEKKGFTRKSNLDESNGKSHQEEKQSSKSRLEQV >Solyc03g077900.1.1.1 pep chromosome:SL3.0:3:49929101:49929271:-1 gene:Solyc03g077900.1 transcript:Solyc03g077900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERCMPKMTTKIGEDGGALDGKEKKWGLCRLVWMVIVSAGERGKVKWGFTRVFGM >Solyc01g081545.1.1 pep chromosome:SL3.0:1:80593887:80597638:-1 gene:Solyc01g081545.1 transcript:Solyc01g081545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPVNIIVGSQVWIEDPDDAWIDGEVTEIKGSNATVATTNGKTTVASISSIYPKDTEAPPSGVDDMTKLAYLHEPGVLNNLACRYSLNEIYTYTGNILIAVNPFRRLPHLYDTHMMQQYKGAPFGELSPHLFAVADACYRALINEHGNQSILVSGESGAGKTETTKMLMRYLAFMGGRSGTEGRTVEQQVLEVVQPSFRSIWECKDCEKQQFQSFW >Solyc02g068310.3.1 pep chromosome:SL3.0:2:38852847:38863196:-1 gene:Solyc02g068310.3 transcript:Solyc02g068310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENSVPVIDLEDFPRQLSKLIWACEEWGCFRILNHDKILPVSLMAEMKEVVRALFDLPTEIKKRNKDVIAGSGYMAPSERNPLYEALGLYDMSCVEDVDEFCTQLDASPHQRETIKKYAAAINGLMMDILRKMSEGLGLRNVSFEDWPCQFRINKYHFTPEAVGSYGVQIHTDSGFLTILQDDECVGGLEVMKKSGEFVAVDPWPNTLLVNLGDIGTVWSNGRLYSAKHRVICKEAKLRVSIASFLLGPRDTAVEPPPELVNAEHPRIYVPFTFNDYRKLRLSTKLQAGEALDLMRTNLILNHDKILPVSLMAEMKEVVRALFYLPTEIKKRNKDVLVGSGYMAPSEKNPLYEAFGLYNMSCHEDLDEFCTQLDASPHQRETIKKYAAAINGLMMDILRKMSEGLGLPNVSFEDWPCQFRINKYNFTPEVVGSSGVQIHTDLAFLTILQDDESVGGLEVMKKSGEFVAVDRWPNTLLVNLGDIGTVWSNGRLHSVKHRVICKEAKLRVSIASFILGPRDTAVEPPSELVDAEHPRMYVPFTFTDYRKLRYSTKLQAGETLELMRTNL >Solyc10g050760.1.1 pep chromosome:SL3.0:10:50387029:50387737:-1 gene:Solyc10g050760.1 transcript:Solyc10g050760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMKIKKRMMTTKKRRNYKNDLSSPCSFHLPYRITPDFSCNIKQLLVFSGVDLQYYSLRRLCGKLDQGENGTRMAYKFDPENLKPTGILNLTTSSGGNGKTDTTPVSAGGDGQSDDKTDGSSKI >Solyc06g048570.3.1 pep chromosome:SL3.0:6:31343455:31346024:-1 gene:Solyc06g048570.3 transcript:Solyc06g048570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEYFVEKEKMEENLEQVSKIHFVLIHGISGGGWCWYKIKSLMEISGYKVTCLDLKGAGIHPHDPTTIISFDDYNQPLINFLSSLPLNEQVILVGHSAGGLSVTDATHKFPKKVRMAVYIGATMLRNGFVTEQDVKDGIPDLSDFGEAIDVYDMSFGLGVEQPPTSAVIKTSLQRKIIYQMSPIEDSTLAAMLLRPGPIQALASARFKEGEGAEEVPRIYIKTAYDRVVKPEQQDAMIKKWPPKNVYTLESDHSPFFSAPLLLFGLLIKVASSIGCNTD >Solyc12g056400.2.1 pep chromosome:SL3.0:12:63275857:63279244:1 gene:Solyc12g056400.2 transcript:Solyc12g056400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSPSSSPLLRSSNDGLSLFRSTNRYSVRETIRFMQRASNRRALMMHEPSEQIDDRQSNWAYSKPVVIIDLLWNFMYIIAAVVVLVLSRNEKLEMPLRVWIIGYCFLCMIHVVSVYLEFQRRGYGQSLFTGDGVLRPTVDSSYITLADLTPDRTSDFSYNAGVYNIFVFGKKWYSNVTKYMDTANTLISSFWWVIGFYWVCAGGQRIVDESPQLYWLCIVFLAFDVFFVIFLLVLACAIGLGICCCFPCIIAVLYIVADKKGATKEDVEKLSKYIFQRNDFTEKKCTSENQGIAGFMTLCGGNTPTDEHAVSIDDSECSICLSTYDDGDELRELPCGHLFHCPCIDKWLYMSATCPLCKRNVFGASSCCGRV >Solyc10g084610.2.1.1 pep chromosome:SL3.0:10:64196423:64198725:1 gene:Solyc10g084610.2 transcript:Solyc10g084610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding M >Solyc01g010240.3.1 pep chromosome:SL3.0:1:4964723:4968278:1 gene:Solyc01g010240.3 transcript:Solyc01g010240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSPNSSCLNLLESADHKINTMDPSEHLCYVRCSFCNTILAVGIPCKKLLDTVTVKCGHCGNLSFLSSRPPIQPQFFDHQPILQHQDFFNNFKKGQSSSSSEPSSPKAPFVVKPPEKKHRLPSAYNRFMKDEIQRIKAAHPQIPHREAFSAAAKNVHSKYSKWDLG >Solyc12g044890.2.1 pep chromosome:SL3.0:12:61184799:61189274:-1 gene:Solyc12g044890.2 transcript:Solyc12g044890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4DFH3] MELHIDRRKLCFFFFFIISFTQSFYLPGVAPRDFQTGDPLNIKVNKLSSTKTQLPYDYYFLKYCKPTEILNSAENLGEVLRGDRIENSVYTFQMRQEQPCQVVCRQKLVAEYAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGSQSTTYEHGFRVGFKGNYAGSKEGKYFINNHLSFRVMYQKDLDTDTARIVGFEVTPNSIKHEYKEWNDKNPQVTTCNQNTRNLILGGVIPQEVDTDKEIVFSYDVSFKESEIRWASRWDTYLLMNDDQIHWFSIVNSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRPPINCGLLCVYVGTGVQIFAMTLVTMMFAVLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLHRTFRGTQWKRIALKTAFMFPGILFAVFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGGYMGNKKVVTEEPVKTNKIPRQIPEQPWYITPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFLILIITCAEITIVLCYFQLCSEDYYWWWRSYLTAGSSALYFFLYSVFYFFSKLEITKLVSGILYFGYMLIASYAFFVLTGTIGFYACFWFVRRIYSSVKID >Solyc07g063500.3.1 pep chromosome:SL3.0:7:66099310:66102875:1 gene:Solyc07g063500.3 transcript:Solyc07g063500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKMEIPNSGGSVSRRPVRRRFASRNYDETVMDKIIDEQLGSPVGKKIRTKKDLEKETEKEALIALSLGFPIDDLLEEEKKAGVVSELDGKEQNDYIVVRNHILAKWRENVHIWLNKGRIREIISVEYEHLVAIAYDFLLSNGYINFGVSSSFESNLPEEPREGSVIIIGAGLAGLAAARQLMAFGFKVTVLEGRNRPGGRVYSEKMGWKGKFAAVDLGGSVITGIHANPLGVLARQLSIPLHSVRDKCPLYKPDGAPVDSVVDSTVELIFNKLLDKVAELRKIVSGLATDVSLGSVLETLRRLYCVAKTKEEKQLLHWHFANLEYANAGCLSELSAAYWDQDDPYEMDGDHCFLVGGNRAMIRALCKGVPIFYGKTVQTIKYGNEGVEVIAGDQLFQADMVLCTVPLGVLKRRSIRFEPELPEKKLEAIDRLGFGLLNKVAMVFPHIFWGEDLDTFGCLNHHSHRRGEYFLFYSYHTVSGGPVLIALVAGDAAQLFESTDPSTLINRVINILKGIYEQKGISVPDPIQSICTKWGSDPFSFGSYSHVRVQSSGSDYDILAENLGGRLFFAGEATIRQHPATMHGAYLSGLREASHISQSMKARQNNPRRTVSKNVRPSNDTLEELFEKPDLAFGKLLFVFDPLTCDSNSLGLMRVTFGKSNDELNSEEVDNMPQHLLNPSLQLYTVVSREQAHELQLVKEGNNCKLLDLLEGLGLKLVGANGLGVQGHTLAAKIVKGRRSRSYTAKQKAGESSSQLP >Solyc09g090480.2.1 pep chromosome:SL3.0:9:70464051:70468854:-1 gene:Solyc09g090480.2 transcript:Solyc09g090480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTTTTMTQHLRHANTIGEDVASIDIDLICPFENIDGLHRDHFRDAAYEIFFTACRSSPGFGSRMAISYHNPSEGGDGSGSGAGSTSPGSPVKPSGVGMAVTSKVKTALGLKMLKRSRSRRASSYGGNPSSPGGGTSPKVGFTVPHSRARRPMTSAEIMRRQMRVSEQSDSRLRKTLMRTLVGQMGRRAETIILPLELLRHLKPSEFNDSHEYHQWQKRQFRILEAGLLLYPSLPVEKSNTSAKRFRDIIRSAETKAIDTGKNSETMRALCNSVVSLAWRTSDGSATDICHWADGFPLNIHIYTALLSSVFDLKDDTMVLDEVDELLELMKKTWVILGVNKSLHNLCFTWVLFEQYIVTGQVEPDLLGAAMIMLSTEVATDAKKADNDPFYVKMLANVLILIREWSEKRLVNYHDSFNRGSAGLLENNLPLFFASMKILEEDVPGYSSDVLEKRDELAEDDSDGNKVCYFIRSSMRDAFAKILEEMSIDGASFELEDVSQTLIKLAYETEELANKEKETFSPILKKWHPIAAGVAAVALHSCYGTLLKQYLTGATLLTKQTVSVLQKAGKLEKVLIQMVVEDSDECEDGGKATLSEMIPYETDSIIMNLLRKWIQERLKKGKEIIMRAKETETWNPKSKSEPYAQSAVDLMRHAKEAVDNFFEIPMAMSEDLVEDLAVGFEHLFKEYVTFATSCGSKQSYIPTLPPLTRCSQDSRFSKLWKFAVCSVGADEQNHHIADEGNHPRLSTSRGTQRLYIRLNTLHYFLLQLHSLDKTLSISSKTVPTPRSRHSKNRQIGSYSYFDHTRSAIQVALQHVSEVAAYRLIFFDSHCVFYGNLYIRDVQSTRIRPALRALKQNLTLLCAILTDKAQPLALKEVMKASFEAYLMVLLAGGSKRIFSRADHQIIEEDFESLKRVFCTCGEGLVVEDVVDTEAETVEGVIALMGQSTEQLVEDFSIVACETSGMGVVGSGQKLPMPPTTGRWNRADPNTILRVVCHRNDKVANHFLKKTFQLAKRRPY >Solyc02g071140.3.1 pep chromosome:SL3.0:2:41196307:41203961:-1 gene:Solyc02g071140.3 transcript:Solyc02g071140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEPLLPSYLSPKVSSLTYPSPISLCPLPEHNEISIPPVSVPLTPSELKERIIFGPAEASATAIDALTLNLISPDPPASSSSTNLETADNQNSWLIDPNYPSWTKSNLHRSKTAPAMAVINDFEHSPVSKPPQFGKISIVGQGVVLLILYLTLGVAIYSCFKDHFKATETHPIVDALYFCIVTMCTIGYGDITPDSTPTKLFSILFVLVGFGFIDILLSGMVSYVLDLQENYLLRSIKSGKSHDARSYIIDVKKGRMRIRMKVALALGVVVLCIGVGVAVMHFVEKLGWIDAFYLSVMSVTTVGYGDRAFQSMTGRIFASIWLLVSTLAVARAFLYLAEARIDKRHRKMAKWVLDQDMTVAQFLAADIDNNGFVSKSEYVIYKLKEMGKITEKDVMLICKQFERLDSGNCGRITLGDLMEHNH >Solyc07g064370.1.1 pep chromosome:SL3.0:7:66688139:66689989:1 gene:Solyc07g064370.1 transcript:Solyc07g064370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPIDDDEEKVKPIFRVAHFLEPTLPSSQKPPFLPSRPKIQELMTKSCLSSLNVKFRGGVMYMNGWCKWVDELKPLYEKTWEKAGIFEAITASTFKVHFQSDLILALGEKWCLETNTFILPWGESTVTLEDLLVLGGFSVLGQCVLKPVEMEERVGEVYKVFREKKVNLYHHAWMEYFKGKGGNDHLEHVAFLTLWLSRFVLPKNSFQNVDKGVIPIAFHLSQGTPIALAPAVLATIYRDLNLLKQFIIVSSSKHTEHSSGLKCIEDESELILRAPLQFVQQWAWERFIPLQPKPSDVFCGVLTLLVCMQFGFDQDVPACVNHAWRNYDRPIKDANLYIPSRLFESDISTRYLEWWKDQNKCDEVKTDGTQRDKSSSALNDGTRTPIQGKALIESKPIKSEELDGDEAYKVKEMVTLESKDINDKLGGCKVNLPDRLELPSEGPKANRCDGRYAEFSRSGIAKMSLQIRNDPVEPHKVTTNVINRTEGNMQMENIEMIDIAKLERRIKNLENINSGKVPVPRKR >Solyc08g044590.1.1.1 pep chromosome:SL3.0:8:19213706:19213930:-1 gene:Solyc08g044590.1 transcript:Solyc08g044590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLLLMQIYGNVGRFNKHSCSPNLCAKNVMYYCGDKRVPHIIFFASKSIYPLDELNYHYNHRIVHFQDKNLL >Solyc12g099790.2.1 pep chromosome:SL3.0:12:67769904:67774489:1 gene:Solyc12g099790.2 transcript:Solyc12g099790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCSKAETDPAQNNEEIGQSYSKQGESNAGNNDMQGSTTPSKAPPHASPNHSSKPSKAAPIGPVLGRPMEDIKATYTLGKELGRGQFGVTHLCTHKQTGEQFACKTIAKRKLVNKEDIEDVRREVQIMHHLTEQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLSKDEDAPLKATDFGLSVFYKQGDVFKDIVGSAYYIAPEVLKRRYGPEVDIWSIGVMLYILLCGVPPFWAESENGIFNAILRGHVDFSSDPWPSISSGAKDLVRKMLNSDPSQRLTALQVLNHSWIKEDGEAPDTPLDNAVLNKLKNFSAMNKFKKVALRHEKYKFVKKMYVLTCKHLQVIAGCLSEEEIMGLKQMFRGIDTDNSGTITLEELKQGLAKQGNKLSDYEIKQLMESADADGNGTIDYEEFITATMQMNKMDREEHLYKAFQYFDKDSSGYITMEELEQALIEFGMNDAKDIKEIISEVDSDNDGRINYDEFVAMMKKGNPEVATNAKKRRDVFVE >Solyc09g009985.1.1 pep chromosome:SL3.0:9:3444102:3445901:-1 gene:Solyc09g009985.1 transcript:Solyc09g009985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELINTTEVQVPREAKYDRKAELQAFDETKTGVKGLVDTGITKVPRMFVQPSRIEDSTIHSNKKFSFPIIDLDGIKENSIKRKNIVQEVGQASETWGFFQVINHGIPSHLLEEMKDGVLSFFEQDTEEKKQWYTRDLTKVFTFNSNFDLFGAPVTNWRDTFTATTAPIPPKPEELPSVCRDVLLEYTNQVMKLGDTLFEILAEAIGLEPNHLKDMGCAEGLMALGHYYPACPQPELTLGTSKHADNDFLTVLLQDNVGGLQVLHQNHWVDVPPTPGALVVNIGDILQASSLITNDKFKSVDHRVLANKVGPRVSLACFFMTNFVATQRLYGPIKELISEQNPPNYRETTIKEFTTHFNNKGLDGTSTLLHFRL >Solyc01g091710.3.1 pep chromosome:SL3.0:1:85182314:85187260:1 gene:Solyc01g091710.3 transcript:Solyc01g091710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRFDFVQWLDTDVSLDIMMRLIDPADVVRAGSVSRRWRQFVISNGLSKQLCVGKFQQLSRIAWIAELDLSASEAKDAGSSNSNWETLKRDHEVYSSLLQAIESSKSCRNDCIGYAVSASSTDNYPDESIVNTLIPMDKYLNRPSYWSSTGHSDPNAPETLIYKLKADLCVITEVFMQPFEAYFQPGKPIYSAKSVRFRLGHPKSSKDESDLLKMPQQQPADDKFIWTYTSEEFPMTQEKCFQRFNLPEPVLCVGGYMQIQLLGRVQRQEMDDLFYICISHVRVVGRPLGPAFDVEVLEPSQEFVLKYNRDVFGCMLQSLTNGSHQDSNVPPTLSDDLVVDAGIVEFFLQNHHPGFELMGWGDDEEDDDEMDEVGVF >Solyc10g076290.2.1 pep chromosome:SL3.0:10:59319020:59325066:1 gene:Solyc10g076290.2 transcript:Solyc10g076290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPKSSILGTMKKQKPISETLFNPFDLLSEEIVFSVLDCLNDNPFDKKSFSLVCKSFYAIESHHRKILKPFRSEHLTKVLSRYPQVTHLDLSLCPRITDGSLRVIANFCKEMLRSINLSRSKSFTHVGLSNLVMNCGNLVEIDLSNATELKDVSAAALAEAKNLEKLWLVRCKSLTDIGLGCIAVGCRKLRLLSLRWCLGVGDLGVGLIAVKCKEIRSLDLSYLPITNECLSSISKLQYLEELILEGCYGIDDDSLASLEQGCKSLEKLDMSSCQNVSHVGLSSLTSCAGCLRQLVLSYGSPVTPAVADSLQKLSRLQCVKLDGCQVTCSGLMAIGNWCVSLRELSLSKCVGVTDEGLSSLVTKHKDLRKLDITCCRKITYISISHITNSCASLTSLRMESCTLVPREAFVLIGQRCQYLEELDLTDNEIDDEGLKTISKCASLSSLKLGICLNITDQGLMHIGMCCSNLKELDLYRSAGISDLGILAISRGCIGLEMINIAYCNRITDSSFISISKCSKLNTLESRGCPLVTSLGLAAVAVGCKQLTTLDIKNCHNIDDAGMIPLAHFLTNLKQINLSYTSVTDVGLLSLASISGLQNMTILHLKGLSPGGLGAALLACGGLTKVKLQTSFKSLLPQPLLQHLESRGCVFQWREKPFQAEVDPIYWKIQLDNLE >Solyc09g090180.1.1.1 pep chromosome:SL3.0:9:70189617:70190243:-1 gene:Solyc09g090180.1 transcript:Solyc09g090180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNQEVDSPNSVIINHHHHHNHNLDNNSMTMLAGNNNNNNNNSYLASSSSNSPTTLSRYENQKRRDWNTFGQYLRNHRPPLSLTRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPETNPFGARAVRLYLREVRDSQAKARGISYEKKKRKKPNPQHSSSSSLPPPNGNSS >Solyc03g114580.3.1 pep chromosome:SL3.0:3:66005366:66017625:-1 gene:Solyc03g114580.3 transcript:Solyc03g114580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDTLSNADSPRRRSGLLRDQVQLVKRSTSARYEIAPIQDQLSFEKGFFIVLRACQLLVQKNEGIVLVGVAGPSGAGKSVFTEKILNVMPSIAIINMDNYNEPSRIVDGNFDDPRLTDYETLLENIHGLKAGKPVQVPIYDFKSSSRVGYRTLEAPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIHRAGQEPEEIIQQISETVYPMYKAFIEPDLKTAQIKIINKFNPFAGFQNPTYVLKSAKAVDVDQIKAVFPDEYKEITEETYDIYLLPPSEDPEACQSYLRMRNKDGKYTLMFEVTNKFLFYMLSISVRSSAYRACWNSFKKYGLKEWVTDSPFIISPRITFEVSVRLLGGLMALGYSIATILKRSSHIFSDDKVCVKTDWLEQLNRRYVQVQGRDRLHVKYVADSLGLDGSYVPRTYIEQIQLEKLLNDVMALPDDLKTKLSIDDDLVSSPREALSRASADRRTKFLNRGIPHSYSTQREKHLSKLTKLAVNTRRYDGRTPDSPAPVANQGVVSQLTEQISTLSERMDEFTSRIEELNLKISANKVSASQQNLAVHTESCNGSGPTSLFVGGLGNGSLAGSLLPHSSSSSQLARESSLMEEVLLIGRSQRQIMHQMDNLSNILRELNGSRLGRTDRTEGMAEVVEWIGVPLLLTLAVGGVGIFLFRTLSQK >Solyc05g007690.2.1 pep chromosome:SL3.0:5:2182038:2184812:1 gene:Solyc05g007690.2 transcript:Solyc05g007690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKYCDEEGLKKGPWTHEEDQKLLSFIDKHGCGSWRGLPAKAGLQRCGKSCRLRWINYLRPDIKRGKFSLQEERTIIHLHALLGNRWSAIATYLPSRTDNEIKNYWNSRLKKRLTKMGIDPMTHKPSDAGSSKYVANLSHMAEWESARLEAEARLVRKSKILFNNNNNTHNYNINPSTISQQLPHYYQQLPCLDILKAWQMTSTKLPTINDISHAILRSNLKNKKLDSSIQSSTLNSSENIFAKDAPTTTKFDVDDDQNLHNLSTINSCFEDDQLLQTELPSFMQEFSGVFPEYTQNSTNGLQVDNIMGSFYGDFEDNKLINWNNFPNYLVNSPIGSPVF >Solyc12g099240.2.1 pep chromosome:SL3.0:12:67426493:67428902:1 gene:Solyc12g099240.2 transcript:Solyc12g099240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGDEALEMVVDSKDLQQQSKALDKLTDHVEDRQLDSSRVQTAMASIYASKEADLQAMRLREKELAAVKINAADIDIIANELEVDKKVAERTLREHKGDAVAAVRHLLN >Solyc08g042090.1.1.1 pep chromosome:SL3.0:8:23930586:23930831:-1 gene:Solyc08g042090.1 transcript:Solyc08g042090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPPFVPFLSLFRQGEKKKQLHYLLALSLPSLLLFSPNKATDRSQESQEAKAINAPPLIAACNSSFVQQKKKKNFGGAI >Solyc02g021000.3.1 pep chromosome:SL3.0:2:21758926:21760799:-1 gene:Solyc02g021000.3 transcript:Solyc02g021000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSLICRPPLSNGYPSALLHSHTNGNSLIPVRRRYLQRLRACSYLDGVQSNGPLNSEEVINVLKHGAIDTSPLEQRLVLLDLDPGTAKLAISFLGPFLSAFAFLFILRIVMSWYPKLPVGKFPYVIAYAPTEPFLGATRKLIPPLAGVDVTPVVWFGLVSFLNEILLGPQGLLVLLSQKQV >Solyc11g018747.1.1 pep chromosome:SL3.0:11:9350344:9361393:1 gene:Solyc11g018747.1 transcript:Solyc11g018747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTETTRKASFQKSSFEKMSGPVGSIAKYKGSAGPGHSRTESKRPIYFTRLPKSLYYQKYLEVLTLPMLYFAFNMPQGNLVYWLTNSSMTYIQQLYLKHPDVRKKLGLPQKEQLSLKHPDAHKKLGLPQKDPQLEATHPKELVNPGEIKIDPSKNRSKISVQDLSPHELVNLSIKLLSGGRKDEAMSILRLAIAKDPKNVRALLIIGQTLLQDGSLPEATEYLECSIAKLLLKENQMEIEDVDLLILSSQWAGVACIRQGKMGEGLAHLERIATLKEPDDPKSKAHYYDGLVLLSSALINVGRKKDAINYLQMATAYDESYRVYLQQCENEEDDMTNNLVASRRGDY >Solyc07g061910.1.1.1 pep chromosome:SL3.0:7:64929164:64929463:1 gene:Solyc07g061910.1 transcript:Solyc07g061910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSVVFTQMTSLFVEQGDAMKTTKVNFRIPAACMSTFDILSIALAMFLKHGVLDPLRFKRSKCDHTELTQLQSWTSHNGDGYAFCRNRGALLAYSCYK >Solyc10g011670.3.1 pep chromosome:SL3.0:10:3918059:3925426:1 gene:Solyc10g011670.3 transcript:Solyc10g011670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKAARDSAVEKIVNLAEEAKLAREEIKPTSHAVLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFKGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYRQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTENSPYQYRGMFHALSTVLREEGPRALYKGWTPSVIGVIPYVGLNFAVYESLKDWLVKTKPFGLVDNSELGVVTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWSHAASIVTGDGRSKAPLEYTGMIDAFRKTVKYEGVRALYKGLVPNSVKVVPSISIAFVAYEQVKDLLGVEIRISD >Solyc12g044610.2.1 pep chromosome:SL3.0:12:60587775:60595982:-1 gene:Solyc12g044610.2 transcript:Solyc12g044610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCNNNGHNSRTCPTRGGVTGGVGGGSSGGGGVRLFGVRLTDGSIMKKSASMGNLSSLHYHSSSSAAASPNPGSPSSDVLRESVHLTDGYLSDDPAHASSSANHRIERKKGIPWTEEEHRLFLIGLQKLGKGDWRGISRNFVTSRTPTQVASHAQKYFIRQSNATRRKRRSSLFDIVADTAADASHPLPEEQFMLPPRAMESDKEQLAPSATKAIETDFADSLPSLDLSLKSNFESMETAPSEPVEETKPNTTISEIPSGFPAFFPAYIPVPYPFWPSSAFPVPEDRGAEASHHQILKPIPSVPKEPVNVDELVGMSQLTLADAGSGHIEPSPLSLKLTAEPSRQSAFHASTPVKSSEITKGETAPIQAI >Solyc11g020478.1.1 pep chromosome:SL3.0:11:11216784:11217183:1 gene:Solyc11g020478.1 transcript:Solyc11g020478.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNISQDDNESRILLTTRLKYVVDYVNNSDFPPHIKSFLIGILSPKKLFKKDLCPPLLVKTRKYIVQQCQGLPLLVVVIGGLLGKKDLTHDNWKKVEENLNSFFGTVSEQCQSILSLSYNYLPQYLDM >Solyc07g065440.3.1 pep chromosome:SL3.0:7:67318597:67327551:-1 gene:Solyc07g065440.3 transcript:Solyc07g065440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVDVLSSICGVYSVTIDSEDGTAKISGEVDPNLLLRALSRSGLGNHAEVKWVRLKHPMLSNSHMTDGYGSYNHGYGSYNHGYGSHNHGYGSYNPGYGYNSYGHGHGHGHGYSSIGGPFMQRRSLPEYNYGYEGHHNYQYPFRGTAQDSYATNYYSGALPSPRPYNSYYM >Solyc04g071770.3.1 pep chromosome:SL3.0:4:58831266:58833018:1 gene:Solyc04g071770.3 transcript:Solyc04g071770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILKVANQGDSGKYDRIPSTAGDSETTTNEGIPQPYEQSQSFEEMLQQQIQQETEYLMSESANPMYTGYSQSRDMSAMVTALTHVVSGRREAEWGYRPDISGVTTSFGGGGSGSIYSANSPSSSSSGSWAGQKRRRDQEESVTGEQAQRGYGGIGEFKNGESSSSVKLEEDTSLATPQTSSISTTTASQTPPQASEVTGEETGERKRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAAKAYDDAALRFRGNRAKLNFPENVRLLPQQQQQPTTRLAISTSSSTAAPRFQLMSAASTRSPSPSPFFFQSYNQPPRQSDQQHQNQQQQLFQSSDMARDYWEYSQLLQNPIDFHGGQQSSSLLEQMLLASSLGVLHSHTFPSSSSSSLATSAASSTTSPAYPLFYSAQQSRFFQPQTHQNQSNSSSNSSNFPPPFWTSSGHYPPSSS >Solyc10g011947.1.1 pep chromosome:SL3.0:10:4228269:4228582:1 gene:Solyc10g011947.1 transcript:Solyc10g011947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVEKLNYLIVTRPDIAFAAIHVTPPIRHWANLEQILCYLKGAPELGMLYSNHEHFHIECFTDADWAISKIDRRSTIGYCVFVGGNLVSWRSKKQNTVS >Solyc05g041850.1.1 pep chromosome:SL3.0:5:54639056:54639653:-1 gene:Solyc05g041850.1 transcript:Solyc05g041850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTARHVISCEKMDQGDAESSKSEVRDKVNPNVSKKEDYGSNKKDEGDQEEKSKGHMIIFEEPMPLQMEEPLSEKEIEDRATIWCSLMFLNSAGGLEQLSRDVKK >Solyc01g080140.3.1 pep chromosome:SL3.0:1:79149669:79164302:-1 gene:Solyc01g080140.3 transcript:Solyc01g080140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSTSASFSSSSSSSWFSGIVRGRSTSVKMSNKNPAAAAAAGGTDSVSGAGPITAKKQFRGVMFKYGPKAIQVAFKTGDYKQQLIFIGGLTDGFLATDYLEPLAIALDKEKWSLVQFLVSSSYSGYGTSSLRQDAMELDQLISYLINKEDSEGVVLLGHSTGCQDIVYYMRTNAACSRAVRAAILQAPVSDREYKATLPDTASMIDLASNMISEGRESELMPREANPDAPITAFRYHSLCAYNGEDDLFSSDFSDDQLKQKLGHMSNTPCQVIFSMGDEYVPDYVDKKALVDRLCKAMGGAEKVEIEYGNHSLSNRVVEAVEAIMSFVKREGPSGWDDPWN >Solyc05g005870.3.1 pep chromosome:SL3.0:5:675287:679696:1 gene:Solyc05g005870.3 transcript:Solyc05g005870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4BWB4] MAIAQDILPCIAMVIIEMCTIFLTIMASTSMSRLGMSSYVFVVYTNALSSIILLPSSYFYHRRDKIQASLFTFPILIRVFILGLVGVTIAQNLAFAGLSYSSPIVACGMANMVPALTFILAIFLRKIRIDVKSQGSQARIIGTLISIIGGILMTYYKGGVVKQYTPTFLHLANPHLLVFTSTHENWVLGCFLFASASLALCIWNIIQVGTIKKYPHVMKLVFLYTFFGTIQSAIFALVMEKDLNAWKLELNMELLVIVLTAIFGSLIRSNVQMWCMRLKGSSFPLFFKPVGIPTASACGCLLFADTFHYGSMLSAIICGMGYFTTLWGQLKDDETSKNIKGRILPTTSDEKVPLLEERGEDGDEEVEGDSKV >Solyc09g005134.1.1 pep chromosome:SL3.0:9:112748:113391:-1 gene:Solyc09g005134.1 transcript:Solyc09g005134.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLFYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFLCAMAHDFLGDPDSNVKNAKCFRGRPSRPCICIRLAITACPTHLEAHHFLGDPDSDVKNAKFFCGRPSRPCICIRLAITACPTHLEAYDFLGDLDSEVKNAKFFRGRPSRPFLCIRLAITACPTHLEGQTSP >Solyc10g018150.2.1 pep chromosome:SL3.0:10:6756584:6758505:-1 gene:Solyc10g018150.2 transcript:Solyc10g018150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVSIENQFHNLLFLFICLLCGLIIFQFLIKKSHKKIHLPPSPPALPFIGHLHILGSLWHQSFQKLALRYGPFMLIHAGASTSYVVSNGAIAKEVFKTNDINFANRPEFGSSEYQIYNDTMFSIMDYNKLWIFLKKICMTEILSAQQISKFADVRKEEMMKVLQFFLKCSEQGDACDVGIQLMDMTNNLICRLIMSTRTSTNVNESAEIREIAKGIVLLAGQLGLGEIFGPLKKYDLLGAGRKAKALLLKFDKLMDGIIKKHEDERRVGGKERRDMMDILLEIADDENAEMKLTRNGIKGLFLDLFLGGTDTTSVALQWGIAEVLNHPKALKKLQEEIDRVVGPNRLVDDSDIPNLPYLQAVVKETLRVHPSLPLIFRKCREDCVVNGYTIPKNSRLVLNIYAINRDPNEWRDADEFIPERYLVNSGGGEENQLAIEPDELEAMKGQNFCYVPFGGGRRGCPGAGLAAAVLHRTLGVLIQCFDWKIKGAEKLNMEQGVGFSSAMVHPLICYPVVRVNPLEIAN >Solyc06g007250.3.1 pep chromosome:SL3.0:6:1303423:1308985:1 gene:Solyc06g007250.3 transcript:Solyc06g007250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGKSDAGNRTITVNVRFSGRSIPVEISDESTVKHLKSLLQPLTNVLSRGQKLIFKGKVLVDEVTLKSSEVGNGAKIMLMASQGLHQGDGPIRKEASNFPTARRMPEAMRPKRDVPQVPVVKSQLERWKATGVVALSECNLKVLPDEVLNCGPSARVLDLSHNAIQHLPATINSLSSLQKLILNGNEIMDNSLSWEELASLKSLMVISLNQNQCRFVLQFYFLIHLTLFTNLNHLSYAIISYKGFLYDCIKWSSLVCSIATLPPEVGTLTSLKQLHIAHNELTGLPSEIGLLTSLEVLKVNNNRIHSIPESIGDCVSLIEVDLSSNLLVELPETISKIKDLKALYLRNNGLKSLPSSIFKQCCQLSTLDLHGTEITIDVISQIEGWENFDERRRSKHQKQLDFRVSSSGKFDEGADQS >Solyc01g010590.2.1 pep chromosome:SL3.0:1:5539229:5545013:1 gene:Solyc01g010590.2 transcript:Solyc01g010590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4ATQ3] MCRDKRVSIFIAYITLLSFVSKGIHAFEPEFGSTRVVFQTNYGDIEFGFYPSVAPKTVEHIFKLVRLGGYNTNHFFRVDKGFVAQVADVAGGRSAPMNEEQRAVAEKNVVGEFSKVKHVRGILSMGRHDDPDSGSSSFSMLLGDAPHLDGTYAIFGKVTKGDETLRKLEQLPTRREGIFVMPTERITIHSTYYYDTKMESCEDERLSLKRRLSAASVEIEKQRMKCFP >Solyc12g096440.1.1 pep chromosome:SL3.0:12:66347185:66348925:1 gene:Solyc12g096440.1 transcript:Solyc12g096440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DHB7] MEDLPTMITADTERIGIRRKPFVVIGINTAFSSRRRRDSIRETWMPQGEKLLRLEQEKGIIVRFMIGHSAISNSILDRVVDLEDAQHKDMTIRSDHIEGYHELSAKTKDFFATAVANWDAEFYVKVDDDIHVNLGTLAATLARHRLKSMVYIGCMKSGPVISSETSNFKTFLVRNRPILHKYANEDVSLGSWLIGLEVEHIDERRMCCGTPPECEWKAQGGKVCAASFEWNCSGICKSVQRIKYVHAKCGENAATLWDALL >Solyc08g007060.3.1 pep chromosome:SL3.0:8:1627950:1634413:1 gene:Solyc08g007060.3 transcript:Solyc08g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWNYDTIFHIDYVVNQALATLAFFGVGVNLVLFLTRVLKENNADAANSVSKWTGTVYICSLVGAFLSDSYWGRFKTCAIFQVIYVTGLSLLALASQLYLLKPKGCGNRTTLCGEHSTMEVGLFYVSVYMIALGYGGYQPNIATFGADQFDEQHPGECSSKVAFFSYFYLFMNLGSLFSNTILDYFEDEGMWALGFWASAASAFAALMLFLGGTARYRHFKSSGNPLSRFGQVLVAATKKGKVETPENEDDFYQGEDDDDTTGGRKMLHTHGFKFLDRAAVVTSKELESQKQGDHNPWRLCPISQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGDAMKTTIANFRIPAASMSTFDILSVAGVIFLNRRVLNPLVKRFKKCKDDDAGLTELQRMGIGLVIAVMAMLSSGIVECYRLKYASNDCKHCEGSSSLNIFWQVPQYAFIGASEVFMYVAQLEFFNAQAPDGLKSFGSALCMTSISLGNYVSSFLVSIVMKISATDNMPGWIPGNLNKGHLDRFYFLLAGLTLIDLVAYIACAKWYKNIKHSEKIQEHEEEDKCEV >Solyc12g089360.2.1 pep chromosome:SL3.0:12:65467514:65471397:-1 gene:Solyc12g089360.2 transcript:Solyc12g089360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPTCFIALLFALALFCTEVKALSSDYYDQTCPNAESTITKVVKNAMLNDKTVPAALLRMHFHDCFVRGCDASVLLNSTRNNKAEKDGPPNISLHAFYVIDVVKKQIEDLCPGVVSCADIVALAARDAVALSGGPTWDVPKGRKDGRISKAIETRQLPSPTFNFSQLQQNFGQRGLSLDDLVALSGGHTLGFSHCSSFQNRIHNFDKRHNVDPTLQSSFAASLQNVCPAHNKVRNAGATMDSTTTLFDNAYYKLLMNGKSLFTSDESLLTDSRTKRLVSKYASSQDAFFKAFANSMIKMSSISGNGQEVRLDCRFALSSEYYDKTCPNAESTITKVVKNAMLNDKTVPAALLRMHFHDCFVRGCDASVLLNSTRNNKAEKDGPPNISLHAFYVIDIVKKQIEDLCPGVVSCADIVALAARDAVALSGGPSWEVPKGRKDGRISKATETRQLPSPTFNMSQLQQTFAQRGLSLDDLVALSGGHTLGFSHCSSFQNRIHKFDKRHNVDPTLQASFAASLQNVCPAHNKVRNAGATMDSTTTLFDNAYYKLLMNGKSLFTSDESLLTNSRTKRLVSKYANSQDEFFKAFANSMIKMSSINGNGQEVRLDCRFVR >Solyc07g062870.3.1 pep chromosome:SL3.0:7:65636914:65640186:1 gene:Solyc07g062870.3 transcript:Solyc07g062870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGACLSSSCLSFSTKFNTLSLNGPHADAFRSLSFSANTSLNLFSKGTVSVNPVQMPFRRSIVCEAAPTKKADSAAKRARQAEKRRIYHKAKKSEVRTRIKTVLEALETLRKKTDAESEEVVSVEKLIAEAYSAIDKAVKVGTLHRNTGARRKSRLARRKKAVEIHHGWYVPAPATEPDLVATA >Solyc06g063120.3.1 pep chromosome:SL3.0:6:39987314:39991681:1 gene:Solyc06g063120.3 transcript:Solyc06g063120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMERDPSNEEEDQENLIAQRQRGNNLSEFPERTAFQIDDEIANTRPSDPSCSKCCCFSTIIFAVFVIILCFSTGVNNVSKTGVMNNDSVNLMLESELRALSLLRQQQLGLFKLWNNTLIDNSLNATAANNSNIVSTSLFSSVLSEELKLDLISQISLNKQIQQALLSSHQLSNLLNASDNATDPSLDDYSGLHRCRKMDYKLSDRRTIEWKPRSDKYLFAICASGQMSNHLICLEKHMFFAALLNRIMIIPSSRVDYEFRRVLDIDHINKCLGRKVVVTFEEFAKSQKGHMHIDKFVCYFSQPQPCFLDDEHLKKLKSLGVSTNKLEAAWDEDIKNPKPRTVQDIMSKFSLDDAVIAIGDVFFANVEKKWVMQPGGPISHKCKTLVEPSRLILLTAQRFIQTFLGKNFIALHFRRHGFLKFCNAKKPSCFYPVPQAADCINRVVERATAPVIYLSTDAAESETGILQSLVVVNGKTVPLVRRPAQNSAEKWDALLYRHGLEGDRQVEAMLDKTICAISEVFIGSMGSTFTEDILRLRKAWGTSSLCDEYLCRGEVPNFIADDE >Solyc07g006430.1.1.1 pep chromosome:SL3.0:7:1245783:1247204:1 gene:Solyc07g006430.1 transcript:Solyc07g006430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLHFHLLISILVVLGNANSLSPLNSTYIMQTRKRFMSIDPTKLPDPPMPSYTFTVHHRDVFEKSKFKDYDSLLENRFARCYARASYLASVLESQDGETTPQLVPKSTDIFYGSGEYVASFLIGSQMTKNYLLLDTGSDLIWWQCGPCEANKCYKQDQPLYDSTVSKTFRIIGCNHHGNKCRIVNPAYYCNLENFQCRYDMHYGDNSQTKGFIADDVITFLVGHWSSRVTFGCSKDQTGELNFTSFASGIVGLGRDLTDGYSLPSQFGGNLMSMCLPSFDSGKGSVLSFQTSKWPRAKSAELLFNYRYPSFYFVNIYKVFINDREVPVSPSWWNFNGPMMNGGMFVDTGTSYTAFPHDFYTVFRYIFREEVNDIPMAEIPVQPFDTCYKEDPNGRDLYFPVVKLYFGSVNSSTMLFLAQQRVVVHYRGLYCLAFVGWNSERSVLGMAQLQGVGLTFDTVASTLSFDIDACD >Solyc01g080950.3.1 pep chromosome:SL3.0:1:80139335:80140952:1 gene:Solyc01g080950.3 transcript:Solyc01g080950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIKDKFNDMSAMRKAKAEAKEEEKAEKELAKTRVEVAREVRLAREAEAAMDLHVHKAAEKIANEEQKYVHDQPEGGTLDPHASSFTNPNLNNAGTGPTNNLL >Solyc10g007300.2.1 pep chromosome:SL3.0:10:1696264:1701327:1 gene:Solyc10g007300.2 transcript:Solyc10g007300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKESPAQQPAETLYISKVGFSKQNSSFHSVFLLLFSLRVLTYWSNQLHFLLFWVINIHLRGSSSLQSTYRLCSLVDKDYLLFW >Solyc03g096110.2.1 pep chromosome:SL3.0:3:59411285:59411889:-1 gene:Solyc03g096110.2 transcript:Solyc03g096110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTGMHTVADIFGVCCGSNVEWKYVKTAHEMSQKYKEGKSMLERFKICGPDGSHYSASHDIHVAGSDADDV >Solyc05g018650.3.1 pep chromosome:SL3.0:5:22690041:22707994:-1 gene:Solyc05g018650.3 transcript:Solyc05g018650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYWHPFTEEAIERIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMADLMEKELNMFERPEEVMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRRVHNAYTLAYQSRVGPVEWLKPYTDETIIELGKKGVKSLLAVPISFVSEHIETLEEIDVEYKELALESGIQNWARVPALGVEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAAYDSQRRELPPPVTVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGEGFLHQWGILPLFR >Solyc12g041875.1.1 pep chromosome:SL3.0:12:56416217:56419701:-1 gene:Solyc12g041875.1 transcript:Solyc12g041875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDLVNLDLSECHETNKSRIIAEYIWIDGSDMNLRSKGRTLHGPVTSPSQIPNWNYDGSSTGQAPGEDSEVILYPQAIYKDPFRGGNHILVMCDAYTPAGEPIPTNKRFNAVKIFSHPEVLAEIPW >Solyc01g097020.3.1 pep chromosome:SL3.0:1:87874912:87878940:-1 gene:Solyc01g097020.3 transcript:Solyc01g097020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRKWSLLTGPVAILGGIVGSIVVANFIFVQNWFKSMEGLNCKQTTEIINLSKWFKFMEGLNCKQITEIINLSKDPFLKPERKLEKAPSTK >Solyc07g041245.1.1 pep chromosome:SL3.0:7:52289209:52293215:-1 gene:Solyc07g041245.1 transcript:Solyc07g041245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRLEYVVLIERHSKRDSDSKDIQTEFQEKNYPCILNLNPTPKLDSCLLLSRRSFSSDITTVSNPTTPSLEFPMSFISTSIAGDDPSPPPSATTAVLKPR >Solyc07g021410.1.1 pep chromosome:SL3.0:7:17982635:17983908:-1 gene:Solyc07g021410.1 transcript:Solyc07g021410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDTKQALLRVYIYDLTPEFHFGLLGWKGNGKEMWPNVDMQGHIPSYPGALNLQHSVEYWLTLDLLSSNITRPCTSIRVKNSSEADVVFVPFFSSLSYNRHSRSPRKGKVSLNRILQDKVVEFLRSRHEWKINGGVDHVIVAHYPNSMLVARKKLSSAMFVLADFGRYRTKIANIEKDVIVPYKHIVRTLDAKNSPSFDQRYLLVYFQGAIYRKSGGTIRQVLYNLLKNEKDVHFTFGNTPSSNRLFDAISSHCISVIISDDFELPFEDVLDYSKFCIFIRSSYVVRKGYLLNLFRGIKEDQWIKMWKRLKEFTKHFEYQYPSQPNDAADMIWQAIARKLLFMQLKVHRHNRYRTS >Solyc07g021467.1.1 pep chromosome:SL3.0:7:18686615:18691553:1 gene:Solyc07g021467.1 transcript:Solyc07g021467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFPKESKGNSREQGIANSALFTSKGMPTIPKNSIDLEKENKQTNPSAYASTNVEIVQEDPSLSCIEIVEKCCGPQTHCQVFGFGGGVKAKDLKDGTSSKAELLYVLRST >Solyc08g083200.3.1 pep chromosome:SL3.0:8:65860601:65863393:1 gene:Solyc08g083200.3 transcript:Solyc08g083200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKIVSLSLNTNIVKSGSADSEKMGYVLRVRFAAFFAGAAVASAGGLYLLHKDYQTAHHAMSHQMNDVYESLNGRISTLERLKEADAAKHVEATE >Solyc11g006250.2.1 pep chromosome:SL3.0:11:1004268:1007170:1 gene:Solyc11g006250.2 transcript:Solyc11g006250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cutin-deficient 1 protein [Source:UniProtKB/TrEMBL;Acc:G1DEX3] MATPTIILSFLLIFGVAICQSEARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPTRRATGRFSNGYNIPDIISQQIGSSESPLPYLDPALTGQRLLVGANFASAGIGILNDTGIQFINIIRMPQQLAYFRQYQSRVSGLIGEANTQRLVNQALVLMTLGGNDFVNNYYLVPNSARSRQFSIQDYVPYLIREYRKILMNVYNLGARRVIVTGTGPLGCVPAELAQRSRNGECSPELQRAAGLFNPQLTQMLQGLNSELGSDVFIAANTQQMHTNFITNPQAYGFITSKVACCGQGPYNGLGLCTPLSNLCPNRDVYAFWDPFHPSERANKIIVQQIMSGTTELMNPMNLSTILAMDSHA >Solyc03g044830.3.1 pep chromosome:SL3.0:3:10650276:10652626:1 gene:Solyc03g044830.3 transcript:Solyc03g044830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPCSHIIIFFSHHLTIIIIFISQKEDNYMMRNSKTYNNKMSMEDQSSVPRRQTFGSMLQSDPNHDEDEYVNFRTCDQSGLANYDHNHRVSGLSSDYNMMISPSPSDECYYSLSTTPYVTPSIDLTSSPLSKSPWSSHVETYLYTGLIGSLVREEGHIYSLAASGDLLYTGSDSKNIRIWKSQKEFAGFKSNSGLVKAIIISGERIFTGHQDGKVRVWKVSPNDPNNYRRIGTLPTLKAYIKSSMNPNNYVEVRRNRNSVWIRHFDAISSLSMSEDQNLLYSASWDKTIKVWRASDFKCLESISAHEDAVNSVVVGFDGLVFSGSADGTVKVWRRELQGNKTKHFFSQTLLNQECAVTSLVVDPSSNFLYCGSSDGFVNFWERAKFLSHGGVLRGHKSAVLCLATAGNLVFSGSADTNICVWRREGCDHICLSVLKGHSGPVKCMAVEEDHGPTINGDRQFIVYSGSLDKSVKIWRVSPCPPHMQVQLQDQSQWGTS >Solyc12g040800.2.1 pep chromosome:SL3.0:12:56262590:56266431:-1 gene:Solyc12g040800.2 transcript:Solyc12g040800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTGGGSATQSLMDNLMGLLRIKIKKGVNLAVRDVRTSDPYCVVKMGKKQKLKTRVIKKDINPEWNEDLTLSVSDPSLPVKLTVYDHDTFTMDDKMGDAEFDIKSFVEALKMNLHGLPSGTVITRVLPCRTNCLAEESRVIWDDGKVVQDMILRLRNVECGEVELQLQWIDLPGTKVG >Solyc08g061430.1.1 pep chromosome:SL3.0:8:48735664:48736291:-1 gene:Solyc08g061430.1 transcript:Solyc08g061430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHIIHRMDSRNDGSNSRWFCQKCERPITKTGYTACECGCKTWVKDGTNEKWLIVDSPLASPPLNNKGPILLSNEGESEEDCEVVGRKRRMVELAESENHNKSKIKNEEESNDEESYEEVSDYEECKCS >Solyc03g046515.1.1 pep chromosome:SL3.0:3:13056094:13058266:1 gene:Solyc03g046515.1 transcript:Solyc03g046515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVTIGRSHECYKFFKYCIINSSKRREKIPPGPKGLPIIRNLHMIDKNVHQELYQIAKKYGPIMIMRFGLVPIIVASSPHAAEQFLKKHDLIFQRNLTFAKYGPYWRNMRKLCTLELLSILKINSFQSMRNKEVRNFVTFINQAATGGVEVDINAKVATLNANVACLMIFGKKYIDDDFDKRRFKDVIQEIMIITATPNIGEFFPFLDVFDLQGVVRRIKELAKVFDEFSERVIDEHIQDSKKEKKTKDIVDNIMDIMNSGKAEFEFDRPHIKAILMDILMASMDTSAPKSNEKFTKGVGTSLDYLEMVIKEVFSLHPVGPLLIPHESIEDCTIDGFDIPKGSRILVNSWATGRGPEVWSAPEFVPERFIGSNIDLLGRDFQLLPFGSGRRNCPGLQLEFTIVCLVPAQLFHYLDWELPNGMKPNDLDMTEKFGLVTYRAEHARVIPTYRLNVY >Solyc03g098210.3.1 pep chromosome:SL3.0:3:61993330:62008212:-1 gene:Solyc03g098210.3 transcript:Solyc03g098210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFEKDEMPMLSPSYPQSDGNDAFQNRRSTYRTRSASLSMPMSSIDSFENDSSYVGYTGPLRSERRTSLVQMSGPLYIGREPENSFRPTPAAVVHKSTLPPTERYPSISSAERNGWRNNDYTGKNEHLFKSGQLGMCNDPYCTTCPTYYHLKARQNNSKSSDIFDHKFHNVLYGDAKGWAKRTCSFLHPYIPGVMNPHAKIVQKWNKFFVISCLFAVFIDPLFFFSLCVQKGNKCIMLSWPLTTTIVILRSITDIIYLMHILLQFRLAYVAPESRVVGAGDLVDHPKKIAINYLSGYFVVDFFIVLPLPQIIILLILPKSIASSGANYAKNLLRVAILLQYIPRLCRFLPLIAGQSPSGFIFESAWANFFINLLTFVLSSHVVGSCWYLFGLQRVNQCLRDACRESKIARCVEFIDCGHGNDYRKFRWDTTWDQWKNNSDAVACFTNGGFDYGIYEQAVNLTTEGSIVTRYVYSLFWGFQQISTLAGNQVPSYFEWEVLFTMAIIGIGLLLFALLIGNMQNFLQSLDRRRLEMSLRRRDVEQWMSHRRLPVELRRRVQEAERYNWAATRGVNEEMLLENLPEDLQRDIRRHLFKFIKKVRIFALLDEPIIDAICERLRQKTYIAGSKVLYRGGLVDKMVFIIRGKMESIGEDGNVASLSEGDACGEELLTWCLEHSSISRDGKKVRIPGHRLLSNRLVRCLTNVEAFILRASDLEEVTNLFARFLRSPRVQGALRYESPYWRGLAARQIQVAWRYRKKRQSRTDSSSPQR >Solyc05g017915.1.1.1 pep chromosome:SL3.0:5:19265251:19265637:-1 gene:Solyc05g017915.1 transcript:Solyc05g017915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKFNSSFKEACSNNRWRTDASKKALLHTSHDNIRIVEGSTAGEKDLLSRCLVGKFQATQELPTLNDVRRWACNTWKNALGVNVFAMNDGQFLFELPSKKIVEHVQSGEWIWKKMKLELSWWKPTTG >Solyc07g032140.2.1 pep chromosome:SL3.0:7:35711638:35713029:-1 gene:Solyc07g032140.2 transcript:Solyc07g032140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDILIIPITTVSSESTFSIGGGIIGKFQSSILPSNAEAKLCAKDCFVDKKIVMDQTPMRMKLQWNFNRILLNLARIDRGVR >Solyc04g072703.1.1 pep chromosome:SL3.0:4:59750215:59759499:-1 gene:Solyc04g072703.1 transcript:Solyc04g072703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLTLKLYQIYMYLRSPLSNGLYDSSYADKGLPLDPHLRMLKLNPSFLKVDKKPQVGYKGKKDLYSGRVKGIGREEGSLYIFRNESSMKGKCEAQNPQRIVAEKPEGMVIVLIYVDDLLITGDNELLIREAKEALHQKFKLKDLGELKYFLGIEVLRSKTGVILNQRKYILELISDTGLSGAKPVNTPLETNLRLTSVEFDQTAGLQEDDVLTDNSAYQILVGKLMYATITRPDISYAVQTLSQFMQHPKRSHKEAAITVVRYLKGTVGQGIWLKAQPTTTLTCWCDSDWAACPNTMRSVTGYIVKFGDSLVSWKSKKQQTVSRSSAEAEYRSVASAVAKKEAAEQEIKRRKKEVPQATSNGLYDSSFADKGLPLNPHLRMLKLNPSFLKIDKKPQVGY >Solyc07g018023.1.1 pep chromosome:SL3.0:7:8906785:8907494:-1 gene:Solyc07g018023.1 transcript:Solyc07g018023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKVVRYVKESPGLGIFLKKGPIDDLVVHCDSDWAACPNTRRSVTGLVLQLGTSLISWKSKKQQTVSRSSAEAEYCSMAAAVAEIIWIVGLLKDLVVCIV >Solyc01g107610.3.1 pep chromosome:SL3.0:1:95000540:95003467:1 gene:Solyc01g107610.3 transcript:Solyc01g107610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGRSTAVAAGDLQIVAASGRQVAALPPRPPASSSTALVEYTPPAANPEEEDLEIKLRRILECVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDVDYQRRKEAEKKPVGKSHPMMTKPTPTTNKEGEVTSFKFIMHCPDALDQEL >Solyc06g072455.1.1.1 pep chromosome:SL3.0:6:44834287:44834805:-1 gene:Solyc06g072455.1 transcript:Solyc06g072455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKVKVNKQQYHKSRMAEISRSIQSKKQIITKVFEKGDEVEVGSHEDGFEGSYYRATILSMFDPNHYIVKYKTLSTDDESELLEEVIPTIEVRPVPPHRDGTMSEHGFRLYDIVDVYANDGWWFGCISAIIGEEYYVYFPSTEDSIAYPSHVLRFHQEWSKCGWISLMSS >Solyc05g015658.1.1 pep chromosome:SL3.0:5:11674867:11685767:1 gene:Solyc05g015658.1 transcript:Solyc05g015658.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGSPIILNGADSLGIIGWLPDTSCFSGVIRLSTYKLIEYIEGLEVINDNSTEHELCGGSIFPDKSNNKINLDILIDMRNLDLMSTQAWGSAALSYLYNCLCRASMKKSNEVCGFLSLVQIWAWERIIPLQPLPKPLRTNQFEALTALARKWTRRRNHQNETRTVIGVIRDVLDNLTDEQFIWQPYSEDVINGLPEWCRSGQRVWMAQVPLIYGIYREWHMVDRVVRQFGYLQHIPGPCTQFFEYHFKRDKRSKIKQEDIDAFNYTQYLWEQRQNRIFRPPFVSDQTDYFRWYMRHTRMISISVCRYYGDFYVTEKDIK >Solyc03g114750.3.1 pep chromosome:SL3.0:3:66138043:66141613:-1 gene:Solyc03g114750.3 transcript:Solyc03g114750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECKLPELVVYLQDSNHQVFKDIYMEGEQCSLENCELNHHNIYYMLKYELDNRAELGNRVSESYEGIVKKFDDSKNLLMEGKSDTEIADAISYSRDVPKQPSLDRELVDQKENQDQELKLVSMTSKFVSKVACCNKSSIADSISREGSANTKVENSTSTGDGVPRSLASLFADQNTEKLTDGEDMNLVVLATKQCHDNISIRSSSTNSTKSFAFPILTSEWPGSPVKMVADDKREFQRRSCHWRTCFGCCNF >Solyc01g020285.1.1 pep chromosome:SL3.0:1:28554749:28564040:-1 gene:Solyc01g020285.1 transcript:Solyc01g020285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLLFRKYNVGGHLSLFRAPSGRLARRAISSVVERAPDNCVVVPGLSMCSSAPDPEMWIIQGTLAWRTLPVRTGEDRWGDSGEIQCRSNFRFTRGIRAVRGGPPRLLSSRESIHPLLRISCLQGSVQVGERITSQASHLEHRGIQSPSFRALRTDFGFAP >Solyc12g006490.2.1 pep chromosome:SL3.0:12:999956:1006056:-1 gene:Solyc12g006490.2 transcript:Solyc12g006490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTIKKTKWMVPLAIALLISFFLIIFTLSTKTPFQKSSIKAKVPIFVESKLHIPSTRPISTIPKLAYLISGSSGDGESLKRTLKALYHPLNQYVVHLDLEAPADERLELVNFVKREPLFVEVGNVRVVVRSNLVTYRGPTMVSNTLHAAAILLKEGGEWDWFINLSASDYPLVTQDDLLHTFSTVPRELNFIEHTSDIGWKEYHRARPVIIDSGLYSLNKSDLFWIPQKRSMPTAFKLFTGSAWTMLSRPFMEYLLWGWDNLPRIVLMYYANFLSTPEGYFHTVICNAEEFLNTTVNHDLHFISWDNPPKQHPHVLTLKDYKNMVDSNASFARKFRRNEPVLDKIDSELLGRKVDGFVPGSWFDGGDSNATISQYILRNITSLRPGPGAQRMKSLISSLLSDKDFSSKHCI >Solyc09g065490.2.1 pep chromosome:SL3.0:9:63838737:63848307:-1 gene:Solyc09g065490.2 transcript:Solyc09g065490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIKEDRNDGSLVLVKLGAEAIAESFMSTLC >Solyc03g116795.1.1 pep chromosome:SL3.0:3:67551548:67556627:-1 gene:Solyc03g116795.1 transcript:Solyc03g116795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKHIKFNAIVKYGTINDARFMGNLKSNVKEIYPAKFANFFNGSSRSSDDDSSVFAALESEPTGVSVTFAAKQKKDDGGGDQTHLKLDSVRHGSFQIETDRVSKAHLEDNSQN >Solyc02g055505.1.1 pep chromosome:SL3.0:2:2348778:2349317:-1 gene:Solyc02g055505.1 transcript:Solyc02g055505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSLRLGNVLLSMFVRLGNLGDAWPDVYTFPCVLRTYGGLPDWRMGREIHAYVMRFIYDSEIDVVNALITMYVKCGDVCSARVLFNIMSKRDRILGMLAVQECCYDFGYESRLNFNSGLIHLLASV >Solyc03g112080.1.1.1 pep chromosome:SL3.0:3:64096076:64097035:1 gene:Solyc03g112080.1 transcript:Solyc03g112080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYANGLLCPLGKQPLLSFGVISDVQYADIDDGTSFLGVPRYYRHSVSVLQRAVKKWNQEKPKFVLNFGDIVDGYCPKDQSMIAVKKIVDEFDKFNGTVYHMIGNHCLYNLPRKDLLPLLRIPGHDDHAYFDFSPIPEYRFVILDAYDISAIGWPEDHPNTLKALKVLQEKNPNSDKNSPSGLVGPARRFLMFNGGVGKEQLEWLDHVLQEATKLNQNVIVCCHLPLDPGASSLAALLWNYDEVMDVIHRYSCVKVCMGGHDHKGGQSVDSHGVHHRVLEAALECPPGTDSFGHIDAFDDRLLLFGTDRMKSTEMVFRH >Solyc11g019890.2.1 pep chromosome:SL3.0:11:9725651:9736828:1 gene:Solyc11g019890.2 transcript:Solyc11g019890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSAHHIIATDLFKEDKEEDTDEAWTNTVLQPCLDIFNKKKVIFIMGATGMGKFREIEPDSDITAEDFCLQSVVYIEKIQKTQRVPIIVGGSNSYIEKLVEDPVFMSKYKYDSCFIWIDVEQSVLNRRVNMRVDQMVKANYTKGIRRSIGVPEMERYLREETNIDRDDESKKMILQASISSINRNTRMLICNQLDKIQRLIREKMWSMHHIIVTDVFKEDKEEDTDEAWTNTVLQPCLDIVQRFLKSNHHNIIIECT >Solyc05g021480.1.1.1 pep chromosome:SL3.0:5:27703442:27704056:-1 gene:Solyc05g021480.1 transcript:Solyc05g021480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSRFAVKKVGEKSHNQFDAMEEEKEEQTGDMDKQQNVQSSKEWVEETIGKDTRSSKENESGVADKGEKGKTTNNGNDMTTPDNRNYRQKGVTNMNVERVQTEVDTENQRLEISQVESMHIRSTRIKKTTVHNTLSERNHNDLRELSNLFEETEAQSQHSQNSEEDEDMEVSIQHICMAGDLSPRHTDNLKNRAKKNDFLEH >Solyc04g008200.3.1 pep chromosome:SL3.0:4:1861459:1877383:1 gene:Solyc04g008200.3 transcript:Solyc04g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGKPLLLFEEHKTRITNLIDDFLIRTKQKKKKFIASKLDVIRKLRMELRFLRAIALLGNSTNLDDFYYRMYIHIKKFDLLAEFLFCEDDLILKKYHMECLAPLPLEEIRNYFSLKNEYVATAIEMKKFEYLIRNLHDLPKYCYDLLQPLMSEYNILRQVCTHLRDFYQLECNKTTKTEFLHTRYEVAVDRVTQFCSDLLTGRYKSYRYEYAISECSSKITSLLIDIIPLELEVLHISTSNLIKESRSKEQEGFVKQILKASPRILQNYLIHLQPHMEVAVDVNYAPTQSINVMMEFLLIFLTDIPKRFIHHKKLNDMLAHAGVLTRTISVLVSKQLEEISENNINEANFSAPDFFQEIEQMKGDIRHIFLKAPESSQLHFPMDDGFLFMNLLLRHLKDLLISNAYSVSLIKKEIGMVKQSLEFLTSSFGKVRKTLDGTSGVVKDCWLHALDVAYEAEHVINSILVRDKPLSHLIFSLPNVTDKIKLIVAQVTGLQLEDMNGDEPLDAKSSHESIVLTSSPFVEVTVGHEEEEAWIIGQLLDEHESELDVISIVGMPGVGKTTLANKVYNNTLVASHFNVRAKCTVSQNFNKSKVLREILQQVTGSGGNESEYDLAEKLRVALYDKRYLILWMAEGLVDHDIPSKCSLEEVTESYLDALISSGLIMVDHIPSGSYWTSVMIRACYVHDVVHDFCSVKAGKEKFFKLINSGDPFHASDFLHRRLTIHTDDKKCVLFNSNKCSAGSKHLISLKESSSAGSKHLISLKVSSSLDNSRYMFHTRHMRLVRVLQLDDILLQHHLVEEIGSLFHLRFLKIWTRDVKAIPLSWLNLQNLETLLINAEFSTIVLLPILFKLSKLKHVSIDRSSFFDKEDMDNIQSRLLEGENSKLTTLSKVDISYSQGTNDALEKFPNLEHLDCTIMVPKCPPTHDDWFPKLDVLNKLQSLIAVYNNQWNYYGYPIIEYHFPTSLKELRLHFFTVTPTLLSAIAALPQLEILAIIYANFMEDKWDASEDMYQCLKTLSLSFNKLSEWEVDRETFPKLEELILAHCEELTEIPCAFGDIDTLKTIHLTYIKRQLADSADEIKKQIVDFTGEDRLHVHISALSQEI >Solyc01g102620.2.1 pep chromosome:SL3.0:1:91256908:91258576:-1 gene:Solyc01g102620.2 transcript:Solyc01g102620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLRSKLCNVDTDQGALGRRNKLESWEETTDLSLPIMFVCSSSSTDIEKSLRKAFGGSSSNCEERGGWRQAHGCGLNPM >Solyc09g092415.1.1 pep chromosome:SL3.0:9:71995444:71996293:-1 gene:Solyc09g092415.1 transcript:Solyc09g092415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTWNEQHFLTIGLHAKMDCLYCIRGSNLETVLFAIASLCGSISAAATASNFYLELPNSEKQPKAELCKYNRM >Solyc02g090000.3.1 pep chromosome:SL3.0:2:52320653:52325716:-1 gene:Solyc02g090000.3 transcript:Solyc02g090000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BCF1] MDELPGALGSSGSLALRLGQAIFSVASLLFMCYDIPFYSYTAFCFLVTVMGLLMAWSVTLAMVDAFSVLIKLPTRLPGILSLVVLGDWVLSFLALAASCSTASAADFLIHSRSSFCEGTLCTRYQLSATMAFLAWFLTFSSSLFNLWLLPSLNV >Solyc02g081940.3.1 pep chromosome:SL3.0:2:46255697:46264029:1 gene:Solyc02g081940.3 transcript:Solyc02g081940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCVKNLPKYVAEDRLREFFSQKGEVTDAKLMRTSDGKSRQFGFVGFRTEQEAEEAIKYFDKSFMDSSRIICEIARKIGDPNIPRPWSRHTLKKQEILTNEDENAKVNKSSKSAGLKGDKKNSKQESKDDDPQLQEFLEVMQPRSKSKLWANDALTAPSLARSKKDGDKQYLKKETSQEKVDTDDSELDEVIKKKKSLSDSEKSEKPSSSRNDEVMTDTDYFKSRVRKDWSDSESSEDDGSDNESDKSSEDANAGNILEPDDTEEFLSDGLTRSNNEAALELVDPSSSVKDEIEEDLESGRLFVRNLPYTTTEEELEEHFRAFGNVSQVHIVVDKDTKRSKGIAYVLYPLPESAARALAELDSSIFQGRLLHVMPAKQKISPEKAETIANTKQSSNTFKQKRQEEKKASEASGNTQSWNTLFMRPDTVVENIARKFGVSKSDLLDREADDLAVRIALGETQVIAETKKALAKAGVNIVSLEEYAAGNTDGAKRSNHVILVKNLPYGSSEGELANMFGKFGSLDKIVLPPTKTLALVVFLEPAEARSAFRGLAYKRYKDTPLYLEWAPGNILDQTSDSKNALVVGEDDAKRALLEQQVEGVTDPDVDPDRVESRSLYVKNLNFKTLDESLKKHFTDHIKDGRILSVRVKKHVKNGKNVSMGFGFVEFDSVDTAINVCKDLQGTVLDGHALILQLCHTKKDHLPKRAENDKSSTKLLVRNVAFEATEKDLRQLFSPFGQIKSLRLPMRFGNHRGFAFVEFVTKQEAKNAIEALSNTHLYGRHLVLERAKEGESLEELRARTAAQFTTEQNGFQTTKLSKKRKQMAVLDDGSSKFGRIAD >Solyc12g049410.2.1 pep chromosome:SL3.0:12:61905399:61931573:1 gene:Solyc12g049410.2 transcript:Solyc12g049410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDDGTSPSYFDPENLSTRERFRRYGKRSSGSSLSPHRERSAARISENRLNGALFMENIKQEVESIDADLTPSGIQTASRRRPSFDSRGISDTDTDLIRRGGSLSLRTCKEEYDASQDSGDSTFTLFASLLDSALQGLISIPDLILHFENCCREVSESIRYGSNEMHRVMEDKLMRQKARILLDEAASWSLLWHLYGKGNEELPEDLIMLPTTSHLEACQFVVKNHTAQLCLRIVQWLEGLASKALDLDRKVRGSHVGTYLPSSGIWHHTQRFLKKGVSNPKTINHLDFDAPTREHAQQLHDDKKQDESLLEDVWTLLRAGRLEEACSLCRSAGQSWRAATLSPFGRFDQFPSMEALVRNGKNRTLQAIELESGIGHQWRLWKWACYCASERIADQDGGKYEAAVYAAQCSNLKRILPTCMDWESACWAMAKSWLDFQVDVELARLQPGGNDHFKNFEEAISPDFADGASQPAVGPDSWPLQVVNQQPRHLSAVLQKLHSSDTVHEVVARSCKEQQRQIEMNLMLGDIPSLLDIIWSWISPSEDDEAFFKPHGDPQMMRLGAHLVLVLRYLLEDQMKDDFREKLLTVGDLILHMYTMFLFTKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVRYKIFHSAIEYLPFTPEDDSKGSFEEIIERVLSRSREIRVGKYDSETDVAEQHRLQSLQKAMVIQWLCFTPPSTINNSTSVSMKLLFRALMHSNVLFREFALISMWRVPAMPIGAHTLLSLLAEPLKQLSDELVSIESYEFSENLKEFQDWSEFYSCDATYRNWLKVELENAEISPVELSDEEKQKEVIAARETLDTSLSLLQRQENPWLVPTEDRVLESDEPVFLELHATAMLCSSAGDCMAPDATLCTTLMSALYSSVSEEEVLNRQIMVNVSISSRDNYCVEVVLRCLATGNDGLGPHKFHDGGILAAVLAAGFKGELVRFQAGVTIEISRLDAWYSDSHGSIEGPATYIVHGLCRRCCIPEVILRCMQVSVSLAESGNPPNNHEELINLVTDPEIGFLRLFSQNQLQEFLLFEREYTIHKMELEEESTS >Solyc06g053380.3.1 pep chromosome:SL3.0:6:36219536:36221265:-1 gene:Solyc06g053380.3 transcript:Solyc06g053380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFSSRILLILLLTCTIAIIIIPKLASTQYCGCTEGLCCSRWGYCGSGKAYCGQGCQGGPCYNSSTKNYNHNINNNNNNNTNRVSDIVSESFFNGIANQAASNCEGKGFYTRSVFLEALKSYPEFATIGFSDDDNKREIAAFFAHVTHETGQMCYINEINGSSRDYCDMTNSEYPCVSGQNYYGRGPIQLSWNFNYGPAGKDIGFDGLNDPDIVSRDSLISFKTALWYWMNTCQPLLSSGQGFGATIRAINGPLECDGALPEPVSRRVQHYVQYCKQLGVDTGDNLTC >Solyc01g009370.2.1 pep chromosome:SL3.0:1:3491264:3498232:-1 gene:Solyc01g009370.2 transcript:Solyc01g009370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLAFPALTLFLIYIAYNLYRRLKAKLPPGPRPWPIIGNLYDIEPIRFRCFAAWSEIYGPIFSFYLGSQLNVVINNATLAKEVLKDNDQNLADRFRTKPLANVSRNGSDLIWADYGHHYVKVRKLCNLELFTSKRIEALRPIREDEVTSMIHDIFRDSTKQGKSLIMRSYLGLVAFNNITRLTFGKRFMDSQGNVDEQGKELKEIVSNGMKIGGKLNLGDFVPWLSCFFKDDNEALKAQDSHVDRFTRVIMEEHTLARKNTGETKQHFVDALLTLQKEYELSDDTVIGLLWDMVTAGMDTVAITVEWAMAELVKNTRVQQKAQEELDRVIGSDRVITESDMSKLTYLQSVVKESLRLHPPTPLMLPHLASANVKIGGYNIPKGTIVHVNVWALGRDPKVWKNPLQFRPERFIEEDIDMKGHDFRLLPFGAGRRICPGTNLAINMVTSMLAHLLHHFEWSPPIGIERDGIDMLESPGTVTYMQTPLQVVPTPRLPQHLYERSPIVI >Solyc02g067810.1.1.1 pep chromosome:SL3.0:2:38492264:38492578:1 gene:Solyc02g067810.1 transcript:Solyc02g067810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EXG1 [Source:UniProtKB/TrEMBL;Acc:K4B7B3] MGLSKKNQVEVNKEENKKWVIAGIAILAPLRSISTKPKGDSTEDEEEFSTTPTARGSRIPERLPCPPAPMKRRPKSTCHYNSGREFFNPPDLESVFIRHVERAN >Solyc03g118080.3.1.1 pep chromosome:SL3.0:3:68539673:68541268:-1 gene:Solyc03g118080.3 transcript:Solyc03g118080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQISCKQSISFLIFTLKHQCKTISQIHQVHAQSVTNGFLFSFNSTFILTQILHSFTSLLSSLPSQPTTRYPSAIFNLIHSPSTFCYNTIIRAHTLLASPNTSFFYFIKMRRNSVPPDSHTFPFVLKACGLLNSLFLGKTLHSQALKFGFLVDAFVCNNLVHVYTVSGSIGDARKVFDESCDRDVVSYNLIIDGYVKAGEIGEAREVFDEMPVRDAVSYGTLLAGYAKMNQCRNAIELFDQMVMLNVKVDNVALVSALSACAQMGELEKGKTIHDYIKRKRIAIDSYLCTGLVDLYAKCGCIDIARELFEMSKDKKVFSWNAMLVGLAMHGYGQLLFDYFSRMVETGVQPDGVTFLALLVGCNHGGLIHEARRFFEEMEGVYGVPRELKHYGCMADLLARAGLIKEAMEMIEAMPMAGDVFVWGGLLGGCRTHGHVELAVKAAENVMEVKPGDGGVYSILANVFADAGRWDDLVDIRRKRDRVKIKKSAGCSLIQLNGVTHEFISWDDLHPQSNDIYIILNCLQLNLKQYY >Solyc08g065160.3.1 pep chromosome:SL3.0:8:52985587:52989955:-1 gene:Solyc08g065160.3 transcript:Solyc08g065160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 10 [Source:UniProtKB/TrEMBL;Acc:K4CL98] MDSSQHNSAAGSIGGNGIINPRSNDASPADLAANAVASAAGSPAEESKQNLNQVINSIDKTLGILHQLNLTVSSYNVSSQLPLLQRMNNLVLELDNMAKLGEKCNIQVPMEVLNLIDDGKNPDEFTRDVLNSCIAKNQITKGKTDAFKGLRGHLLEDLEQAFPEEVEAYREIRAASAAEAKRLAQAQSLLPNGDVKVKPEV >Solyc05g009320.3.1 pep chromosome:SL3.0:5:3454727:3455991:-1 gene:Solyc05g009320.3 transcript:Solyc05g009320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSCNGCRVLRKGCSENCSLRPSLQWIKSPDSQANATVFLAKFYGRAGLINLINAGPQHLRPAIFRSLLYEACGRIINPVNGSVGLMCSGNWQRCQDAVESVLKGSTIMQVPINSDDDNNSTDQIVPLKGCDIRHVSKYNSTNSDNQQVKTRNGRLKRKGSTFDSAASASVEVEAAEEYLLKNQPPLKFSITGWDQFEEREDEMIKRSQSHDSFSVETVEPTLLVNRVDPVKLEEDGDLLGLDLTLGLMS >Solyc06g061230.3.1 pep chromosome:SL3.0:6:39346841:39347676:1 gene:Solyc06g061230.3 transcript:Solyc06g061230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYAFYFTIALLVMSVYLDESIKVKVDAARDVSRTITELKSKLNGYNLMGGCGGYCRQSSDCYVRSCPRCLYDVLAHTYGCHS >Solyc08g048290.3.1 pep chromosome:SL3.0:8:14338122:14346352:-1 gene:Solyc08g048290.3 transcript:Solyc08g048290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVVAEGTAFKECLALSWKNPYVLRLAFSAGIGGLLFGYDTGVISGALLYIRYDFKDVDRNTLLQECIVSMAVAGAIIGAAIGGWLNDKFGRKSAILIADFLFFVGAVIMASAINSALLILGRVFVGFGVGMASMTAPLYISEASPAKIRGALVSTNGFLITAGQFLSYLINLAFTKAPGTWRWMLGVAGLPALLQFILMLLLPESPRWLYRKGRQEEAKTILRNIYSSEQVEVEIQALKESVDNEIEENRVSENINLFKLCQTKTVRRGLIAGVGLQVFQQFVGINTVMYYSPTIIQLAGIASNQTALLLSLVTAGLNAFGSIVSIYFIDRTGRKKLLVISLCGVVISLGFLSAVFHEATSTSPAVGMAETSRFAANFTCPAYHDAASASSWDCTRCLEASPSCGFCASPQNKLLPGACLMSNDIIKDSCHDQDSLWYSRGCPSRYGWLALLGLAFYILFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATTNWISNLIVAQSFLSLTHAIGTSWTFLVFGVISVVALLFVLICVPETKGLPIEEIEKILERRGLHMMFWKKRANEKNGGENDTNKEGDGDV >Solyc08g079810.3.1 pep chromosome:SL3.0:8:63385952:63391090:1 gene:Solyc08g079810.3 transcript:Solyc08g079810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPESVFLEEWLCRISGTQENVTLKHPSSASAQAIIQAWADLRDSLQNQAFHSNHLQSLRTLVDAQFSLYIADPQTKILLSILSSQKVSLPQESYPLFVRLLYIWVRKSFRHSPGVIDSAVEVLLHLFSGHIHSNKSLSFFSEGVLLLGALSFVPSASEKSKTVCLKLLCQLLEEDYRLIHLSERTIPNVLAGIGYALSSSVNIYFVRVLSCLMELWDKSDGPFASLSNGLMILHLIEWSFSNFINSNSTDKIDLFSREVLNNTRPAFSLFAVVMAAAGVLRVINRSEQKALTDLKISVEERIETIACGLVSSAGDADYATMEPRNSFLLQCISLALSKSGPFSYQPHVFLCLATALLTEIFPLPHIYVKIQESPSGNLVGLVLNDVQQHLDSIIFKEAGAITGVFCNQYVMADEENRSAVEDIIWNYCRDVYMWHRKVALMLGGREEALLGNLEKIAESAFLMVVVFALAVTKQKLSLSAPQEIQMRLSVRILVAFSCMEYFRRMRLPEYMDTIRAVVTRVQENEHACVSFLESIPSYDDLTNQAGLPSSFQKMEYMWTTDEVQTARILFYMRVIPTCIECIPASVFRKVLAPTMFLYMGHPTGKLAKASHSVFVAFMSSGKDADPDERDTLKEQLVFYYVKRSLEGYPGITPFEGMASGVVALVRHLPAGSPSIFYCIHCLIEKADSLCSSVDTTPETDLWKSWNGKLEPFKMLDLLFRLLSLVDIQVLPSLMKSLAQLVVTLPPSGQDIILNELYQHVAESDDVTRKPTMVSWLQSLSYLSYQNTSKKAPKVAAKELHDSISGTTDSLSMNKISARL >Solyc04g005920.1.1.1 pep chromosome:SL3.0:4:603484:604353:1 gene:Solyc04g005920.1 transcript:Solyc04g005920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERGKAAMETDTFSDFNYSVSSDMPCKKHPSSSSIGICSYCLKEKLVNLVCSECGEQRLSSCSCSDSSEVGRIGRISSLLENEKKQSMRGEKTEQVIVLRRSNSSCVEIKKNSNGFWKIKRFFSKKKKKGVENGNDLDENSDIWVSDAMAVSRSRSVCSFRGNGFNDTDEGSDYRFSSAKISDVTGGILMDSSDEPRKSGFRSIFPVKEADFTSMDDSAFIDLKLDLSIESNSNYLDSNERVGLNLSGFKSGSSNGAFGHSGSCRMTRSGGKGNKVWKWIFKQSSRKS >Solyc02g084660.3.1 pep chromosome:SL3.0:2:48387304:48390103:1 gene:Solyc02g084660.3 transcript:Solyc02g084660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINPAGRLTKIGNRRFGQYYNLSTKSVFRFSTPKTGISPKLPLLICNRLEKIGSINLRISAETLKPLFLSILSKTQQFFERSTVPVIEREKMEVNGCFKRNLLLMFTVFGVMAIVKGPTEALASSSPSAFVQNVIYSNKIAIFSKSYCPYCKRAKGIFNELQEQPFVVELDLRDDGARIQDVLLDLVGRSTVPQVFVNGKHIGGSDDLRNAVESGRLQSLLKKE >Solyc11g042740.2.1 pep chromosome:SL3.0:11:34968976:34973244:-1 gene:Solyc11g042740.2 transcript:Solyc11g042740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFDVKFISSEDECDEMLTRSSKDKISSTGASGCFNILEKRFSQWFLNNFH >Solyc01g068267.1.1 pep chromosome:SL3.0:1:77196333:77201717:-1 gene:Solyc01g068267.1 transcript:Solyc01g068267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTKVFNGANVFVSRNLVPPEQFDALHDALKLNGAQVLLCCDPSRNAPTDYHVISSPQHEKFGDLQAKGCNLIGPQCVLSCAKEQRPLPQQGFTCCLAMDGVKILASGFEMDEKVEIGKLVIAMGGVLQTKASLDVSFVIVKNVLAAKYKWAYNILKKPIVTINWLHQCWKEHRLVPQESFKILPFSGLTISVTRIPADERRDMEKIILQNGGKYSPELTRKCSHLIFNKFQKVTNSRLPKDGVAYTLLPKGGLNNLLQDEHVLMRSRILFSLVRIL >Solyc05g026265.1.1 pep chromosome:SL3.0:5:40283493:40284225:-1 gene:Solyc05g026265.1 transcript:Solyc05g026265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSILKYLKVPYLEVGRSSKGMVLSQRKYRKFPMEKHQRLSSNESSYLLDPDPFRRLVGRLIYPSVTRPDICYSLRLLSQFMCYLFSISSPLYIKEFCDSDWEVSPISWKSKKQVTVSRSSAEAEYSSMVLIITFRLMCPHLDLVPLYCYSKE >Solyc12g040330.1.1.1 pep chromosome:SL3.0:12:54327379:54327771:-1 gene:Solyc12g040330.1 transcript:Solyc12g040330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLDDMKRLKVELVEGNFFIFIESYAKFELYNEIIKVLDMIRSEFCVKPGTFSYHLLLNVLVDGNKFKFVENVHSRMLGEGVKAVKGTPVGCKVRKSCSLLPINFPRPSINLTHKGSYGRENYLTKEI >Solyc02g079255.1.1 pep chromosome:SL3.0:2:44418969:44422672:-1 gene:Solyc02g079255.1 transcript:Solyc02g079255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSSSRFLRSDKATDLIAMIKRTIFPVRKHNYRIVVQLVHPFSSNHRLSLVVSHFHDNQTVASIYPLDKMGGASVKAMYSASVVDKVTVGCKVAFQLTTDPPR >Solyc02g030530.1.1 pep chromosome:SL3.0:2:26770236:26771810:1 gene:Solyc02g030530.1 transcript:Solyc02g030530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQNINTLICSLDYKNYKAEIRTADAQDSFKDGVVVLVNGCLTGRDNLKSKFAQTFFLAPHEKGYFVLNDVFRYGEDNKIDTISEVLNGTEDAREVQHVEEKANDSSIDRRQVADEREIVVETGSYFNEDQHPTNTELANSVAQEDAPKKSYASNVSSQIKKGPTKIHVSTNTSRVAPLKAVKQPVAVVAQNAGYFIYVRNLPLEVTVAQLEAEFKTYGPIKQEGVQVRSNRQQGFCFGFFEFEDLRSMNSAIKASPIIMEACLVVIELKRTTTRVKWTHDNNL >Solyc04g079400.3.1 pep chromosome:SL3.0:4:63996195:64001331:-1 gene:Solyc04g079400.3 transcript:Solyc04g079400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLWKAFSLSSSSQHSSSSSSSTSSPADSPNTRRIYGGGRKLTRQRKLRHVSDDDLGLRRPNIQALIIDERSKSLPGSPDSYADFGSGSRLSHQLRHCSNSSAVPLPLPLPELNSLPKQNSVHSNLPVRVDRELLSPPLARETFDRTPAEVKSSQHPRSSTPTYRRRGFPQDLNAEGVEFRLNVPARSAPSSGFTSPVRSPKRFSTQDLFHHPLHQASSSPSEAYAFQLSPTRVINSADHSPVSSPILPSSANRIRNSKSVALHSHHKSLPESSLGWNEANNNNVHPLPLPPGVPRQPELCTMHSNMDKPCVSPTKGQWLKGKLLGRGTYGSVYEATNRETGALCAMKEVDLTPDDPKSAECIKQLEQEIRVLRQLKHQNIVQYYGSEIMEDRFCIYLEYVHPGSINKYVREHCGAMTESIVRNFTRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGLAKHLSSCATDLSLKGSPHWMAPEVMQAVLRKDANPELALAVDIWSLGCTVIEMFTGQPPWGELSWVQAMFGVLNKSPPIPEKLSSEGKDFLQCCFRRKPADRPSAMTLLEHAFLRSTSSLEHSINVAGCSEDSPGKKFHDTLSPKNPINPKKEQKPLLPGTSGRQAKSPCSSETCRQTQPETCEYGATSHHSPRSALEVFPCITSMELNSSSRAASPSSVPSSFRLGPENRSPYRIIGKEIPNLCIRS >Solyc01g010710.3.1 pep chromosome:SL3.0:1:5683851:5684412:-1 gene:Solyc01g010710.3 transcript:Solyc01g010710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSNKIGGWSQSFGGVREGKNVTYLTFATIRGAAHEVPYTSPSQALTLFRTFLKGQSPSRKNNTIRAKKLHSSIDLFSTAI >Solyc11g030470.1.1.1 pep chromosome:SL3.0:11:21870804:21871010:1 gene:Solyc11g030470.1 transcript:Solyc11g030470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIISCCPMLNMVIFVWKCIAFPHFFCSCSTSFSYFVDVVNSVEVLLGVYCFLINFPLSFYLQKQL >Solyc09g010810.3.1 pep chromosome:SL3.0:9:4097107:4103240:-1 gene:Solyc09g010810.3 transcript:Solyc09g010810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:K4CRA7] MQMNGLKGCQTENLPTPGRVQQLESSVVTKVRVIVRVRPFLSREINSIGGNPISCVSVLNSECERSDDVTVHLKDHETSRNECYKLDAFFGQEDNNISQIFGKEVSPLIPRILEGYNVTIFAYGATGSGKTYTMQGTENLPGLMPLAMSSILSKSQGKSTVAISYYEIYMDRCYDLLELKEKEIFILDDKDGQIHLKGLAQVAVSSTSEFLEAFSCAVQRRKVAHTGVNDVSSRSHAVLTVYVSTPSCDDTGNIITGKLNLIDLAGNEDNRRTCNTGIRLQESAKINQSLFALSNVIYALNNNQPRIPYRESKLTRVLQDSLGGTSRALMVACLNPGEYQESLHTVSLAARSRHISNFVASAQKGDTPKVKIDMEAKLRSWLESKGKTKSTQRIGAFDSPLTSKTPNSICSTRKLGLFGSSCKQKSISNQGASSIKKRNPDGACKNLFKNGHRANTTAEVQDLADTGGQREEKKFEVFAERVMPDSNTAVHDEEINGEEKVIMVDCLKSLKACEVTPRKVLSPINSNVNNENLSTKDQIHLICNQQTPMSTFALSSFDENIAALGTPLDKFGARSSNLKNSLVQEYIELLNTASKEELIAIKGIGQKMAEYIIDLRETSPVKSLDDLEKIGLTSKQVHNLFRWAARGVLE >Solyc05g054970.3.1 pep chromosome:SL3.0:5:65598577:65603803:-1 gene:Solyc05g054970.3 transcript:Solyc05g054970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWSKYVHSPAHLAVAKRDYATLKRIVADLPQLSKAGEVNTETESLAAELNADSVSAVIDRRDVPGRETPLHLAVRMRDPVSAEILMAAGADWSLQNENGWSALQEAVCTKEENIAMIIARHYQPLAWAKWCRRLPRIVASAGRIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNRSLSPGSLIVLAHKEKEITNALEGAGVQPSEAEVAREVALMSQTNMYRPGIDVTQAELIPHLNWRRQERSEMVGTWKAKVYDMLNVMVSVKSRRVPGAITDEELFTVNDDEKVVNGGDDDYDDALTAEERMQLDSALQMGNSDGPGEYEEPEGHDFHGNSDGGSFQSCESNGVTKEKKSWFGWSKKGPKDTSDDSEDSKLVKKFSKLAPEDSKLRPNDNHASSSEVAREDSGDGKRNKDKSSKKKKKKGTIGEPKTESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAVRRLRELLTTKLPPGTFPVKVAIPIVPTIRVLVTFTKFEELEPVDEFSTPLSSPTNFQDAKSKESDGSTSWISWMKGNRDELSSDSKNHSFQDEIDPFRIPSDYAWVDANEKKRRMKAKKARSKKHKKHSAPRNPENGQQTSEDVEE >Solyc09g075540.1.1 pep chromosome:SL3.0:9:67659304:67661426:-1 gene:Solyc09g075540.1 transcript:Solyc09g075540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLIFLPLFLLTSLPVSISQTSSNNAGDCNGIFITYVYVSGFPIPPNVSASEPNNQAYNFMSNLTILNNSPDELKSWRVFVGFQHRELLVSASHAVLADGTTLPGDVGNGTVFAGFPNTDLKSAIQTAGDVNQMQTRIELVGTQYGVAFPAVPLPSSISLANDGFLCSPSTSQGDRTQVCCLKDSNTKSNVTIHEEIQPRQEGDLTIMFDVTSSSESNYWAQVTISNNDNTSRLDNWKLSWEWIRDEFIYSMRGAYPTVVDTGDCIFGKQGGYYKGMDFSKALNCEKRPTIIDLPLEKTNDTTLGMVPFCCRNGTILPPFMDASKSKSAFVMQVYKMSPDLNISAIHPPQNWKINGTYSPGYVCGPPVRVSSSLFPNPAGLSSNTAAVASWQVICNISSSTLKKPKCCVSFSAFFNDSVIPCNTCACGCSNANPSNVCSASEPALLLPPEALLVPFDNRTEMAKDFNKRRDLPNPLPCGDNCGVSINWHLLSDFRGGWTARVTLFNWGDTNIVDWFAAVQLDKAIQGFEKGYSFNGTIMPDTNNTIYMQGFSGLNYLLAERRGDNPRIDPPVPGTQQSVISFTKKTTPGINVGAGDGFPSKVYFNGEECSLPVILPSGSNRRVPLASSPFSLLLTMLVLMVLQLSLWLEI >Solyc10g086400.2.1 pep chromosome:SL3.0:10:65353642:65358776:1 gene:Solyc10g086400.2 transcript:Solyc10g086400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLDVSLDDLIKRNKSSSSRNPRPRTTGFGSGPGPRRRFPNRATNRPAPYSVHAPESTWDHDMFADHNPSSVRRPGVSGIGTGIKLLISNLDYAVSNEDIKELFLEAGDIKRYSIHYDKSGRSKGTAEVIFSRRRDAEAAIKRYNNVQLDGKPMKIELAGTNIAPPALPPIRNRLYGNPNPATRSQQRGGGFSRPRGGGGRGSMRKDGGRGRGRGQNVSAEDLDAELEKYHAEAMQTN >Solyc01g098170.3.1 pep chromosome:SL3.0:1:88619826:88632083:1 gene:Solyc01g098170.3 transcript:Solyc01g098170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHGVSSNVARAIVAALDWNSSPDDRKAAYAYLESIKAGDVRVLASTSFILVRKEWSSEIRLQAYKMLQHLVRLRWDELNPDERRNFASVAVDLMSEITNSSEEWALKSQTSALVAEIARREGLSLWQELFPSLVSLSNKGPAQAELVSMMLRWLPEDITVHNEDLEGDRRRLLLRGLTDSLPEIFPLLYSLLERHFGAALTEAGRQQLEVARQHAAAVTATLNAVNAYAEWAPLPDLAKYGIIHGCGILLSSPDFRLHACEFFKLVSLRKRPTDAAVEFDSAMSNIFQILMKVSGDFLQKSDSGAVIDENEFEFAEYICESMVALGSSNLQCIAADNSVLSYYLQQMLGFFKHHKLALHYQSLLFWLTLMRDLLSKPKIIGSGENSASNLAVGSGQDTEKNKILAFVNDDICSSILDVSFQRLLKKEKINPGTSLSVGTLELWSDDFEGKGDFGQYRSRLLELIRFVAAAKPMVAAAKVCERSMTIIKSLFLAPYPAQELVILESMQLALENVVNSVFDGSSETVRSSSEVQQSLCRMFEGLLQQLLPLKWTEPALVEVLGHYLDALGPFLKYNPDVVGSVINKLFELLTSQPFVVKDPATSASRHARLQICTSFIRIAKAADQSLLPHMKGIADTMALLQKEGRLLRGEHNLLGEAFLIMASASGVQQQLEVLAWLLEPLSKQWTQLDWQDAYLSDLTGLIRLCADTPFMWSIFHTVTFFEKALKRSGLRKGNNSVQTIPTSDNLHPMASHVSWMLPPLLKLLRAIHSLWSPAVSQALPGEIKAAMAMSDVERASLFGGGNVKLPKGTLSFTDGSPFDMSREAYAEPNEADIRNWLKGIRDSGYNVLGLSATIGDPLFKCLDSQSVTLALMENIQHMEFRHLRLLDHLVLIPLIKNCPSDMWEAWLEKLLHPLLTHSQQALSYSWSSLLQEGRAKVPDLHGIVDGSDLNVEVMEEKLLRDLTRETCSILSVFALPTLNAGLPSLEPSGYVSRVDELSLKDLAAFATSSMVGFVLMHKSIALPALQISLEALRWTDGEAVTKVSSFCGAVILLAISTTNMELRDFVCKDLFPATIQALSLESNAFISADLVALCREIFIYLADKHPAPRQILLSLPCITSQDLLAFEEALTKTASPKEQKQHMKSFLLLATGNKLKALAAQKSINVISNVSTKPRNVTPALESKTDEGDAIGLAGIV >Solyc06g054680.1.1.1 pep chromosome:SL3.0:6:37554257:37554799:-1 gene:Solyc06g054680.1 transcript:Solyc06g054680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKTKGRQKIPMKKIENKDSMFASFTKRREGLYKKSSKLATEYNVDIGIMMISATGKPHSFFHPTFDAVISRFQNHDMQFGERTNLEANDARNEVNQLKTRLEELDVREDIAIAKKNSYEQMEETRQKGWWESTEQLNADEVFIFETWLNETSSNLHHRLNQLEIEASSSMRHESFGV >Solyc11g065070.2.1 pep chromosome:SL3.0:11:50664169:50669251:1 gene:Solyc11g065070.2 transcript:Solyc11g065070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEEPLNLERLPSLSNIDWVERFSSGACRPAGEDMAMGDSWSDGRNCSSSNSCVEDNDEYGRENFKWRRHGSRNVISSRRSKNLSKNYIVCGTVSRSQCSTDRQPKSYSNHRSTVRKTFKLFNGMPNHVKIVEVGARDGLQNEKKTVPTSVKVELIQKLVSCGLPVVEVTGFVSPKWVPQLSDAKDVMEAVKDLEGARLPVLTPNMKGFEAAVAAGAKEVAVFASASESFSKSNINCSIEESLVRYRAVTSAAKKLAIPIRGYVSCAIGCPVEGAISPSKVAYVAKELHDMGCFEISLGDTIGIATPGTVVPMLEAVMAVVPVEKLAVHFHDTYGQSLSNILVSLQMGISTVDSSVAGLGGCPYAKGASGNVATEDVVYMLNGLGIKTNVDLQKLLLAGEFISKHLDRPSGSKAAIALTGVTADASKM >Solyc09g031810.2.1.1 pep chromosome:SL3.0:9:27884904:27885569:-1 gene:Solyc09g031810.2 transcript:Solyc09g031810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFREKIPIEVEGFFKQQGVGSTIQSNHIEHVSYLFSRNKWAISLQNCAQFHMWQFLQDLFVSWGKNLPGSDFLRNVSRENWIWLDNVWLVNKDRFFSKVQNVSSNIQYDSTRSSFVQVTDSSHLKGSSDQSRGHLDSISIKDSEYHTLINQREIQQRKERSILLDPSFLQTERKEIESGQFPKCLSGYSSMSRLFVEREKQMINYLFLEEIEEFLGNPTR >Solyc01g057253.1.1 pep chromosome:SL3.0:1:60108811:60109269:-1 gene:Solyc01g057253.1 transcript:Solyc01g057253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYISNLLHQFDLAEVKPVNTPLYSKLDWNSMDTQLLDDPSLYQKIVESSQFLHQPHLIHHKIPSCHGLQLNKNTSNSLTLLLHSDCGGCTETCPSNFGFCIFLKSNLISWSTRNNKLLCAQPLNINTV >Solyc03g007140.3.1 pep chromosome:SL3.0:3:1727122:1733396:1 gene:Solyc03g007140.3 transcript:Solyc03g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHHNEACEMCTRTCMLIHGQRDPSPIVNSFFKVMIDKLFSKILYFPPRFARLVSHLTDQETYLEDSSGQQWRVGICNHNGSLAIRQGWRTFSSEHGLKVGDFLVFHYIQGQHFVVQIYGTSGCQKINSYNGTHLGKKRPRTNSTETSHDELSPETDINLRKKWNSTPSVTAIPESERAGHQPVTTTFASNIDAETGEQQIVPQEEGTSDVLQGENRPHNHTDIISQRESSSVDVVPLEMELLTLKAPFLDVKITQTEKISGPVNDISLLGQTDENDHHVGLISSTSLISAENGTSAKETRVDKLELKEMEANTRCPSKNLNGKEPKITEKDGQVYPDAVIGNNMVIKSEPADSGDTSFPDAGNFSCSLRVDGRNFLELPQSWPQVIVGRRKMGKIIIYLKGPDNRCWPIIYHENFGSKILAGNWALFAAVYGLKPGDECLFQLSNQSKRMFTVHVAHKVDVTQTTLS >Solyc07g061960.3.1 pep chromosome:SL3.0:7:64955427:64965637:1 gene:Solyc07g061960.3 transcript:Solyc07g061960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFRWFEAPFIQSFKPHNSPIRAQMGSHSTTSSSAQNSPKLVFRQLFEKESSTYTYLVADASHPQKPALLIDPVDKTADRDLALVKELGLKLIYAINTHVHADHITGSGLIKTKFPGVKSIISKASNAKADIFVEPGDKIYFGDIFLEVRATPGHTLGCVTYVTGDGPNQPQPRMAFTGDALLIRGCGRTDFQIFTLPKDTLLYPAHDYKGFTVSSVGEEMLYNPRLSKDKFQLTWFVDYKRQNQKLAKFFFHGHYESEYGTFQPPPQPVIGFPLPVPPPGSVLEPSEYYARGYHSVPVPPPGAVLEPSEYYARGYQSVPGYVIDERRPVTEPRLPFGIGLGWFLFIIGFFLAGFPWYVAAFIPLCNRSIDHREKSGFVACTIAAVLAVIGNIVFGLTWILLTA >Solyc01g098350.3.1 pep chromosome:SL3.0:1:88765253:88772088:-1 gene:Solyc01g098350.3 transcript:Solyc01g098350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYTAIDTFYLAEEQLVESPSRKDGIDDVTETALRIYGCDLVQECGILLRLPQAVMATGQVLFHRFYCKKSFARFNVKRVAASCVWLASKLEESPRKARQVLVVFHRMECRRENLPIEHLDTSSKKYVDLKADLIRTERHLLKEMGFICHVEHPHKFISNYLATLETPAELRQEAWNLANDSLRTTLCVRFKSEVVACGVVYAAARRFQVPLPENPPWWKAFDADKAGIDEVCRVLAHLYSLPKAKYIPVCKEGGSFATSNRSRDSPSLPVSKEGLLDAPPVNEDTEEVAKEADTKAVLDKLKDSKKSDDDSKNMPSEGESKEEPGRTDHRIDAGGEKNKDREKDRGRDRERERDKERLKSRERDRGRESDRERDRDDFERDREKSKERSHRSRDKGHSEKPKHQSSRDRDYLSYSSREKDRRRHH >Solyc04g053090.2.1 pep chromosome:SL3.0:4:51451510:51453180:1 gene:Solyc04g053090.2 transcript:Solyc04g053090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKTSVGAKIVQTSSNHGELFGVVGKAQVILVKTGEDIVSKIMNLSQQGPMKICVHSATGGICNVTLQESATGGGIVTYEGQFFIISLSGSVMLSKSSRTCDLSVMLSRSDHIVLGGCVAGKLIAATPVQVVLSSFIPEKEKPESKGDDDAPKGIRIVDPPGSCVGN >Solyc04g078970.2.1 pep chromosome:SL3.0:4:63679622:63686142:-1 gene:Solyc04g078970.2 transcript:Solyc04g078970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAKDMKENAPPESSHEPKVSSPNDDQSHSAAQTSQHTSEKEISKIQETAVDASEHLKEAAHSLLQGSQTPAGGNLISSAPIKSDGMSTISKTGISQMASGTPMVEPEASPQLAHDVKTDLSAHTIATALSESNSSSTLDAKPSEILEPALDMGANVKVQNQPNDSSEGPTAQKDASSALTGNSDTSTLKEENIKESSGYVQSNYSEEAKVSSEQVQSNHSEVAKESSAHVQSHLSEVEPNNASLLHQPDNSSSSTHIDTDDSSPISTQVMKKPENNHHIRTPDYIGRLAKSSTFSARASTRTASPKHPEKSDINKGHIDTAAPIESVKQAVSKFGGIVDWKAHRVQTVERRQLVEQELAKVQEEIPFYKKQSQAAEDAKVSVLKELDGTKRLIEELKLNLERAQKEEQQAKQDSELAKLRVEEMEQGIGNDLSIAAKAQLEVARARHAAAVAELKTVKSELEDLRKDYALLVSDKDGAMKKAEEAVSASKEVEKTLETLTIELITAKESLEVAHAAHLEAEEHRIGAAMAGEQDALNWEKELKQAEEELVRLNQQILSAKDLRGKLDTASALLLDLKTELAAYMESKLKQETDEGNLNGEQSDPEKRTHDEIQSVVATAKRELEEVKLNIEKATTEVNFLKVAATSLKAELEKEKSELAALQQREGMASVAAASLEAELSRTQSEIVLAQKKEKEAREKMVELPKQLQEASQEADRAKSLAQMARDDLNKAKEEAEEAKAGASTVESRLLAVKKEIEAAKAAEKLALAAIAALEESESAQKTKDEETPPGVTLSLEEYYELSKQAHEAEEQANKKVAEAHTQIDVAKESELRSLNRLEEVNREIAERKEALGVALQKAEKAKEGKLSVEQELRKWREEQEQRRKASVSIPPTTGSPRKSDEENNESNTSESVPEATASYDSTSPKAQLQASSTEAESSPDVKVPKKKKRSFFPRIFMFLGRRKAAQAKSAQ >Solyc01g008510.3.1.1 pep chromosome:SL3.0:1:2552949:2553296:1 gene:Solyc01g008510.3 transcript:Solyc01g008510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLMSSSLLAHIEGSNYRRTRNEGFSSLSAHSNRRRVLKVNAFTKDEKIVKKKEEKKSIGSRREWALAIMAIVATSSFASVVMAEEPKAGTLEAKKKYAPICVTMPTARICHK >Solyc08g023280.3.1 pep chromosome:SL3.0:8:29123257:29129809:1 gene:Solyc08g023280.3 transcript:Solyc08g023280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISHLERMGRELKCPICLSLFNSAVSLTCNHVFCNLCIQTGMKSGSNCPVCKVPFHRREIRPALHMDNLVSIYKNMEIASGVNMFLTQSNPSTKLPGENTRSNGEKVCGFQETPKTVTEAPATDNQKRKRGKGSKRSSGCNKKISGSNLIRPSFPTKKRVQVPQYPPSETPPPTKLVDGNGKSITDEVQKPLVIERDRSMLNEKGEPVLSPFFWLREEDVDKSSQQTDGDVIMDTPPAFPSFSDMKDLDDEVHCEMTPKSGPYDAANGADLFDSEMFDWTQRACSPELCSSPFKMKLKDTIDSAEAQEKTQAHSVEESDINASATENRTAVENEKGTDKGQLSSPAIFSPVNKTTSRKDVVCKSSRSKSLRSSQKKQGKNIIGELSEVHDASLKAAENTMKNNQDNANAFISNKKDSKNKKKGRSSRNVTESVVEDISTSCGAKRLRKGNNSKSFNLSTIVNQEKHSEGSVETLDLKTHNIFRKGSLREQAKNCFGPKGGKKTAVCNIPQIQDEALPFESANRLIPMDNKKPTRSTKLKKCELGSDNKLHGKKKVKFSDDGQLADKDNITLQKIQKRVLNSLETDKSVLNSNDSVLQKCEASQSKIQCAFCRSAEISEVSGIMVSYLNGNPVKEDVNGAPGVIHVHKYCAEWAPNVYFGDDDVVNLESELKRSRRITCFFCGVKGAALGCYEMSCRKSFHVPCAKLTPECRWDSDNFVMLCPLHANSKLPSEIPGKQTKIGDSIKRNSRIHQPNVSATPDNGATLQWKSQKKNKNLVLCCSALTADEKELVSKLKRLSGVTVVKNWDLSVTHVIASTDEKGACRRTLKYLMGVLAGKWIMSINWIIASLEATEYVDEQQYEIKIDTHGIVDGPKLGRLRILNKQPKLFNGYKFFFMGDFLSSYKSYLHDLVIAAGGIVLNRKPIALDQEILSPGCPLLFVIYSHEQLDQCEGSEKISIIARRRSNAEVLASSTGAVAASHSWILNCIAGSRLLELE >Solyc01g028980.1.1 pep chromosome:SL3.0:1:39015066:39016574:1 gene:Solyc01g028980.1 transcript:Solyc01g028980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRALKCPYGLWAAHTVGQCQSSHAIIALGQHTLSDDVTRGMQKWLFYSTHSRMTLGVVCHQHPWRTYTIRRRRALRAIIALGHHTRSDYIGCGMPSSPLRSTYCHIPSGVACHHPPWITYTALLHWAWRAIMAIVQHIRSKNVKGNAIIALRQSTRSVSVACYHRLWDAHTLRRCWARQWSHRPWAAHTIGRCWALNAIIDLKKIKQLYYIGNGIPSRSWKAHMVGQRRAFHAIITFGQHTLMNDIGRGMASSSLNNKNGQRT >Solyc01g006910.3.1 pep chromosome:SL3.0:1:1484056:1484657:-1 gene:Solyc01g006910.3 transcript:Solyc01g006910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASAPDPNSSDDGFLGSQNFGGFAYGIGVSAGILLLITTITLMSYFCTRHQTTVEPPQRRHRNNNNNNNEVVVVVDVDVDVGVDEETLSSFPKLLYSDAYKNIQKDSTASSCCSICLGDYKSSDMLRQLPDCGHLFHLKCVDPWLRLHPTCPICRTSPLPSPLSTPLVEVVPLATRPIG >Solyc10g006700.1.1.1 pep chromosome:SL3.0:10:1165573:1165941:-1 gene:Solyc10g006700.1 transcript:Solyc10g006700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTSRKSNFNDCLPLMAEKLGGDGLIGELCKGFQLLMDRDKGAITFESLKKNSALLGLQDLSDDDLKGMVKEGDFDGDGALNQMEFCVLMFRLSPELMEQSQFLLEEALQQEFVDSDFSF >Solyc03g111230.3.1 pep chromosome:SL3.0:3:63340274:63342897:-1 gene:Solyc03g111230.3 transcript:Solyc03g111230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSREPDNPTKSCKSRGSSLRVHFKNTRETAHALRKMPLDKAKRYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPVKSAGFILDLLKNAESNAEVKGLDVDSLYISHIQVNEAQKQRRRTYRAHGRINPYMSHPCHIELILSEKEESVKKEPETQLAPRKAKA >Solyc10g005140.1.1 pep chromosome:SL3.0:10:125748:128085:-1 gene:Solyc10g005140.1 transcript:Solyc10g005140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVHSNFPFILVQFYFTILFITAHSFSHEAFCGEKCGDFHIPFPFYMNQSICNSSLSDDFRLSCINSTSPFLNIGSQSYRILHFFSDGVLVDFPNTTYCRQYNDLKSFGFNGNDYFGIARDNILGLYDCEDSSLCKPDCEKSIMPHCDGSPGRNSYPPCCYPLSDRSAWSADQRDGFSVFSQFGCRGFSSWVDISGNQIGKRGVKLEWAVPGNSTTATCAANADSINATTVASGIRCECQDGYVGDGFAVGVGCLKSCIKEGKEAYGKACYSTSHGRRKTKILAGVVTSALTITSLTALFCVLRRPMKTDIFDHPSMRRSQGNVSFQKPCTIRMFTYHELEQATKGFQDAQILIDHGGGKATLYSGALMDGSRIAVHRLQCDSERELVEVLSRVEALHAVSHKNIPHILGWSVDSGYTPLVVYEYPVNGTLGEHLFQTKDETKRGLDWHHRTNIVAETANVLAFLQSEICPPIVHHELNASCIFLDEDMTVKLFGLELPTNDKKHSDVYNLGLVLLEVITGSSSDHVPSKTALQKITSGKVEEIVDPRLYYHEQPILRREQIEIVADLATRCIIFGCQNGKFHMGDVSRELVHITKDGIDGRSRRCPSTNNLEETFSNSSLLQMISMSPDSIHVPAARGFS >Solyc09g015880.3.1 pep chromosome:SL3.0:9:11300012:11305322:1 gene:Solyc09g015880.3 transcript:Solyc09g015880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLEWLFLTIAPCDAAEPWQLGSQDAATPIMQGITDLHHDVFFFVILILVFVSWILGRDLWHFHYKKNPIPQRIVHGTTIEILRTIFPSIIPMFIAIPSFALLYSMDELLDINGIGVRLFTRVIKVQRNALKLNRVREASGLPVISHSHRRETLITIACQKRGVEVVRPIPRNAPSIGAYGSILVVAGEDDLELGQSCLLEVDNRVVLPAKSPIRFIVTSADVPHSWAVPSLRVKCDAVPGRLNQTSILVQREGVYYGQCSEICGTNHAYMPIVVEAVPRKDYGSRVSNQLIPQSPDKEPKALTESWGGPARRTEIDLNNLPIPDADTCRVELESRLMYSHRGSYMGEDLTSIVDKQLEIEKVVFSELLRKDYSLESLVAKRNEIRDLLFSPYGNALSEKTHALHLRQIFWEGAAQSLCFQREYCRPLRAIAKPSRIKASSSYSNSKRPTYSLSTGQSISVGVLLPKKRSQPNMGNDRQTKDLRSPCVLALCTGIAEFESACSDEWLLASPPPIRVVSVPAPSFFDEMDAERLGRRDFAKDGLSVREEGLFPFSFHCLTRFALQGREGIRAGRKGRRSSYGHKEAVTGLLKLKTELSFSLTTSEAQSSTSNTMRAGTGNRTIAVVDRGPDLDVERSSQAEAEKKAYDSVGVNMTCVKNQRKVRKLANSMFPYPSGQINGTGHGIHRQESRFDRADQMSEIFLKRKRCANLRTCFICGFDTIAIQVYLLSCLCGISKAKPRLCTEKDRR >Solyc11g028187.1.1 pep chromosome:SL3.0:11:20671971:20672436:-1 gene:Solyc11g028187.1 transcript:Solyc11g028187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEPDSDFTAKDFLFASNDYIEKILKTHRVPIIIRGLNSCIEKLVEDHVFMFNYKYNSCYIWIDVERSILNCRVNMRVDKMVNAGLVDEVRKIVIADADYTKGI >Solyc02g086130.3.1 pep chromosome:SL3.0:2:49534204:49539317:1 gene:Solyc02g086130.3 transcript:Solyc02g086130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSLAITASSLAITEKKPQRPGGCVGIFFQLFDWNRRFAKKKLFPKKLLSPARLKQASKKFGGDEKQPKHRLIANENSGGFPIAKSNGMSNTRCESKREMKAPSLVARLMGLESMPAGPGSKAKKASASETGSYVAEKLDARPGGSDKEDMDCEKAEIKRELRPQKLQKIGVSERRPVSRFSAEALQLRTVLSRPRKHQPKLTSPVKSPRNVSGRNASRLIGAATRILEPGLQKSRAKCALTYPKYFSPLEDKADLALHHLEVPNPCVDSKTSEVRASVPSCKNCGYMLHSKNGTPNGEEHPSSVSSPVSSYSQPSCQGPGRNMLRLPIINSRDQLERVFEGSSSDANAEIDDVSYCAELILGKRPISRSRIAMHGACQGSNVKKDASSVTHVLNQKQNQTSQNRERGFMKSKQSSLQSNRVLAAAESTINTKSFVAQNRRLGASTRLRMPATADGCKFETERKPYSRRSDSLSPVRKKRLMNVSRQGESSSFVNANLGRESSPYSDKTSRKDVFPISSVNSHSTKPKLPCLRESGATNNSSEGSNVVSFTFRSAMKQKAGIHAEVTKRKSQNSSSFDATPGRSFFTGNDETACLQKSFPLKGDILGALLEQKLKELTSEEEFAEGDAAPRKSTATILQELITALNDETQFHLDSLPSKPNRKEDLYDDREVSSRNTSMNFQAIPDSATDLVGNSLDNDHLSPGCVLEATFSTDSYLSSSPNSSSKDKVLAESVDSIYDEPLFPEPDRDLSDCATSLFTRRSCRALITDHVNNISGVLSKINQLKGSKLGYANEVILNTELILGTSPEQQALPVDDGLSVSHFLLNELEMLSSLLWMTFGQLLGCNDPKQMNQLKGFAFDCLLEYLDSKFGRYSDSGFRIWSKLPSSMTKEILIADIIEEVKEWTEFVGLIPDELIEWDMSHSLGKWTDFEIEEFECGTEVDRHILQVLVDEVVLDLYSSS >Solyc06g065210.3.1 pep chromosome:SL3.0:6:40776578:40780362:-1 gene:Solyc06g065210.3 transcript:Solyc06g065210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGLRNVGSNCSISEIDDYDLSKLLNKPRLNIERKRSFDERSLSELSIGLSRGLDHYESASPGQSVLDTPVSSARNSFEPHPMVAEAWDALRRTMVHFRGQPVGTIAAIDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRVDRFKLGEGVMPASFKVLHDPVRKTDTIVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLSETTECQKGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGVYGYPIEIQALFFMALRSALAMLKHDTEGKEFIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPEWVFDFVPTRGGYFIGNVSPARMDFRWFALGNCIAILSSLATPEQASAIMDLIESRWEELVADMPLKICYPAIENHEWRIVTGCDPKNIRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAESRLLKDSWPEYYDGKLGRYIGKQARKHQTWSIAGYLVAKMLLEDPSHLGMISLEEDKQMKPVIKRSSSWTF >Solyc02g068040.3.1 pep chromosome:SL3.0:2:38649662:38657644:-1 gene:Solyc02g068040.3 transcript:Solyc02g068040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYKSHDRSTMLRSTTPVVCYWHILPYPIIKFRCMDARTTLAEQHLKFKYD >Solyc10g006320.3.1 pep chromosome:SL3.0:10:953616:957351:-1 gene:Solyc10g006320.3 transcript:Solyc10g006320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein [Source:UniProtKB/TrEMBL;Acc:K4CXG3] MRRRPGIGGLQNAAVARDQYRLLGENVAKLRTDLMKEQLATFRSQLEDFARKHKNDIRKNPAFRAQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIIEVCLATRPHNGGLISLDDLCKLLGQRRKAVRETISEDDCLRAISKLKVLGSGFEVITVGKRKLVRSVPTELNKDHNEILELAQAQGFVTVDEVQRRLNWSSGRATDALETLLEEGLAMIDDGHRDGKRRYWFPCVSSVSSYVGADTL >Solyc05g015320.3.1 pep chromosome:SL3.0:5:10355810:10363145:1 gene:Solyc05g015320.3 transcript:Solyc05g015320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQTPIGIRSSALLETSCGYLLQELQMIWDEVGDDQFEREKVLLDIEQECLDVYRKKVDNANISRAQLHQELADSEAEFTHLLLLLDERSLPGRPEKMTGTLKEQLESIAPALREMRIRKEERVKQFRAVQGQIQKISAEIAGESEYNDLSSNVLVNGNDLSLKKLEEHQNELQRLQNEKIERLQKVESYISMIRSLAAMLGTDSSLIITKVHPSLNELSGLSKNISDSILDKLGSTVRSLEADKKARLEKLHQLGKALTNLWNLMDTPQKDRARFSNVTALISLSSKDISTPGSLTLDIIQQAETEVRRLDQLKASKMKELFLRKQIDLEEICKRSHMEIPSQLEMESILKLMNSGEIDHADLLTSMNKQITQAQEEASSRKAIMEKVEKWILARDEELWLEEYSRDDNRYSVSRGAHKNLRRAERARVMVNKIPALVDMLMAKTKSWEEERNKPFLYDEVPLFAMLEEYNLLRKERDEEKQRQRELKKVQNQVPVGQENLFVLRPSSSSKRLSDRSLNGGFNNATPVNKKSSLGIQQLGPTPINTPQQSISFLKESKKEDHRKILSRSRFAFHPGDDSTSVVSSFSGPFSP >Solyc06g073280.3.1 pep chromosome:SL3.0:6:45291438:45296327:1 gene:Solyc06g073280.3 transcript:Solyc06g073280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIQQSLSTSISSSASTFLGQNKLRFRNQNVSMPGKTSGIVRCVATPSTEKTSYKTQVSRNENLAKLQAGYLFPEIARRRSAHMLKHPDAQIISLGIGDTTEPIPEVITSAMAKRAHELSTLNGYSGYGAEQGEKQLRASIASTYYANVGLEENEIFVSDGAKSDISRLQVLFGSNVSMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKYGNIAYMRCTPENGFFPDLSSVPRTDIIFFCSPNNPTGSAASREQLTKLVQFAKDNGSILVYDSAYAMYICDDSPKSIFEIPGAKEVAIEVSSFSKYAGFTGVRLGWTAIPKALLYSDGFPVAKDFNRIVCTSFNGASNIAQAGGLACLSPDGFKAMMDVVGYYKENTQIIMDTFNSLGYKVYGGKNAPYVWVHFPGRSSWEVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVIEACRRFKELYK >Solyc02g085230.3.1 pep chromosome:SL3.0:2:48831603:48844442:-1 gene:Solyc02g085230.3 transcript:Solyc02g085230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTLTYTDSMNFKVRELLKEVQFECSPETTATVDNVVSGIKEAIDKIPEDQVKANLAPGFVRDINADKVEFTFKKPKSLEIGGSYSIHCVTKRDLNVDLFLHLPKECFYEKDYLNYRYHAKRFLYLCKIKEQLTKSPLIKAVRWSSFQNEARKPILLVYPAVKLIGNAEFVVRIIPAATSLFSPTKLRLERNNIHTLKQGDALQATPRYNNSILEDLFLEDNAEFVKRTFSGWKELGEALILLKVWARQRSSIYAHDCLNGFLISIILAFLATKPGRHHINSSMNTMQIFRITLDFIATSKTWDKGLFIQPQHEKNASNKDMQLFPVVICNSFEDFNLAFRLSHNGFQELRHEAALAVNCINKCGDGGFDELFITKIDFPARYDYCVRLNLRGNREVSSSGYCLDDEFWRSQEQKVVSLMDQGLRDRSKSVRVIWRNTSSECNFEEGLSELDNEPLLIGISVSSAEAAFKMTVVGPSPEERDKALEFRKFWGDKASLRQFRDSKIAEVAVWEHEEWQRHLIIKDIAEHVLSRHLSIPKQKVVPIVDQLDFCLLHRDVDPISFSKSLLVALEELSKRLLQLNDIPLKVSTVQALDSAARLTSVFPPMPHPLAHEKSVATKLRKPISTCINPVEVMIQLEGSGSWPMDEIAIEKTKTAFLLRIAESLQNNWGMMFTATEDDVDVLMSGYAFRLKISHERALGLVTGQSNNSRHQWSLSADRKLLLQHQHASKINALRGRYPIYGPIVRLAKRWVSAHLLSTVLTEEAVELLVSNLFLRPLPFEPPFSRITGFLRFLRLLSEYDWAFSPLIVDFDGDFSTEEKNKINENFMRSREEHEKDTQKSSPAMFLATKYDVASEAWTRSSPTTAELRRLVAYSTSSANLLTKLITQDGYDSYGWKCLLRTPLSNYDAVVLLHRDKLPYPQHLLFPSELEQERCVVRGHASKIFHPFFSPRDFKVNSGELKSKLMVNFDPVRCFIADIEKGFPDVVKVWYDAVGGDALGLTLGKASSQKRKHDDSAEESTDLLNTLKTIGEVGKGLVRSIHVVKARKA >Solyc12g039050.2.1 pep chromosome:SL3.0:12:53130102:53132021:-1 gene:Solyc12g039050.2 transcript:Solyc12g039050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQTNAKWDNDAHLKFIELCELEIRKGNRPNTHLSKDGWKNTIKAFNEKTGRRYTKIQMKNRWDGMKAEWTLFKQLTRGDTGIGWDATKNTIMADDDWWKRKIKEDVRYRKFRNKDLSLIWFRYDALFSDIVATGERAHASNQSQFFESEVDCDEERQNGIDNDDMEHFINTNNEGGDESDDPEERNSSMIPKPSIKRPNSTDGIGTSNQVKK >Solyc09g090700.1.1.1 pep chromosome:SL3.0:9:70618770:70620338:-1 gene:Solyc09g090700.1 transcript:Solyc09g090700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinic semialdehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:B1Q3F8] MQMIRVRTRMALSACAMLYRSSISGPVRLMTTDTQSVAAKLSSSGLLRSQALIGGKWVDAYDGKTIKVHNPATGEVITDVPCMGGRETNDAISSAYDAFSSWSKLTAAERSRYLRKWYDLIMAHKEELGQLMTLEQGKPLKEAIGEVSYGAGFIEFSAEEGKRIYGDIIPSPLADRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVIKPSELTPLTALAAAELSIQAGIPPGVVNVVMGNAPDIGDALLASPQVRKITFTGSTKVGKKLMEGAAATVKKVSLELGGNAPCIIFDDADLEVALKGALATKFRNTGQTCVCANRILVQEGIYDKFANAFAKAVQNMKVGDGFTEGVEQGPLINEAAVQKVEYFVDEATSKGAKVLVGGKRHSLGMTFYEPTVVTGVNSEMLLAKEEVFGPVAPLLKFKTDEEAIQMANDTNAGLAAYIFSTNIKRAWRVTEALEYGIVGVNEGLVSTEVAPFGGVKQSGLGREGSKYGMDEYLEMKYVCLGSMS >Solyc01g098680.2.1 pep chromosome:SL3.0:1:88984140:88987161:1 gene:Solyc01g098680.2 transcript:Solyc01g098680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSEMYSQLFFILLLSICCKMSDVVAANSSKCLKDQKMLLLRLRNNLTYDSEISTKLVKWNHRIDCCQWEGVTCNSEGQVIGLDLSAESFSGSITPLADLKFLSIVRLDGNDLSAPIPEFFAELTNLTVFSLSSCNLIGEVPQKIFQVPTLQTIDLSENEMLGGSLPEFPSKGSLQNMVLSDTKYSGSLPESIGNLRKLSRIELRACNFTGPIPSSMENLTQLVLLDFELNSFTGSFPSFKLSKNLTRIYSARNRLTGISSDWQGFENLEYLDLSSNSISGLIPESLFYLPSLSDLILSSNNFSGQITELQNVISPLTTLELSSNNLEGLIPEFIFELHDLYGLSLSFNKFNGTVQLEKFTKINKLVDLDLSHNSLSVDTNISESDLALLPQLNSFMLASCNLQNISFLKNQSKLSMLDLSNNQLTGEIPNWLVEINDGLLRFLNLSFNQFMRLQEPYTIGFLMNFLDLHSNLLTGVIPLPPSAAAYIDFSDNNFSTFPPDFGNYLVTARFLSIANNKVISSIPSSICNSSYLEVLDLSNNSLNGIIPSCLPESLNRCASSFFVQLHKLKVLNIGNNKIKDTFPCWLRNMSDLRVLILRFNGFHGNIDCSRVNSNWTALQIMDLASNKLGGVLPRGSFLELKAMMADPSLTHSRSDILHFESSISPIYYQDRVILSLKGQDVTQTKIFLFFTSIDFSSNNFVGNIPEIVGDLRSLYLLNISHNNLMGQMPPAIGNLKQLESLDLSFNKLGGNIPEKLASLTFLSFLNLSSNELVGMIPQGNQIQTFGGSSFEGNKGLCGFPLNRICMNKSADAPSEPEVEEEEFISRTEIYVSAILGLVVGIGIIFLPLLFSKRWNQSYNRIMDRLILRIFQQQGQERKSSSSSVASWKKVAGKSRGSH >Solyc11g067290.1.1.1 pep chromosome:SL3.0:11:53323360:53324643:-1 gene:Solyc11g067290.1 transcript:Solyc11g067290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTILSRKMIKLLSPTPSSLRHHKLSFMDCINLPQYSPFAFLYPKPKNHTKTQISQILENSLSKVLSSYYPFAGRIKDNNTYVDCNDIGVEYLNVRINHSMSDILNSRCNDVADIVYPKDLPWSSSVNRSPLVIQLSHFDCGGIGLGVCLSHKIVDGYCIAKFISDWANTARDMDFKPSIKFNASTFFPLIEGAPNIMSINSSPQSQRYVSRAYNFSSSNLKRLKRSITGVQNPTRVEVATSLIHKCGAIASMKNLGSFKPSLISQVINLRPLIPLDTMGNATCIYSIIATTENEIELPNYVAQMQNVKQQIRNELKNLDTDKIVPYTLEKVRGIVDIMEKDIFDIYLSTSVHNFGLYSKANFGWGKPIKVSNTKYPTKKSIMLFDDPSEEGIDAQITLTEDEMAIFQEDKELLEFASPMVQSTK >Solyc12g008590.2.1 pep chromosome:SL3.0:12:1974386:1979794:-1 gene:Solyc12g008590.2 transcript:Solyc12g008590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWQVYVDDHLLCEIEGNHLSAAAIVGLDGAVWAKSSTFPQFKPSEIDAILNDFNEPGSLAPTGLHLGGSKYMVIQGEPGVVIRGKKGPGGITIKKTNQALLIGIYDEPMTPGQCNLVVERLGDYLVEQGY >Solyc07g006930.1.1.1 pep chromosome:SL3.0:7:1770851:1772392:1 gene:Solyc07g006930.1 transcript:Solyc07g006930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDPIVPSNSSARRWTDRISNALKKDVVVDVNLLPPVCVLHIPKTLTHHKPEAYTPQLIGMGPYHHLRPDLYQMERYKLAALKHILQPVQILNFEHLLIDKLRDNDLVIRACYNRFMDIDEETLAWIVAIDGLFLLNILRSSYGNNVHDDSIDDNVFTRDFMMLENQIPFVAVKQIRKFLCFSSPEDREDTELVSMFRRFCEMHSPLPLPRNNAKETRPLHLLDLMYHLILDDHVDFVSIPIQMSSIVIKHDDKDEENVYQDTHEDIIHNFETVLEVFEPIGPRNVQKLLKSINQVVENVPWSMISGLFRKGMEINGDEERDNTSTIPSASCLWCYARVKCSPIHEGLSRIKFEQASSTLYLPVITMNAGSEVIIRNLMVYEAAMSKSKLEFARYINLMSGIADTTKDVKLLRQAGVVKGDLTDNEISTLFSTIQRSFVRSSGSSNVEIAMEKVNKYYNQRLIVRARRGLKKHIYVSRKLLPVAMSISIVLLLIFQAFCSVYGCHSVWVNRD >Solyc01g109500.3.1 pep chromosome:SL3.0:1:96340925:96342459:-1 gene:Solyc01g109500.3 transcript:Solyc01g109500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLGFCVLSLILLVAYGTEARKMVQGNLQGKRLNFPIPDEEVQKPNVYFWIYDNQGENDHHKTAENVQVHPSSNNKNLVNKDDTNFRIYLNQGENSQQGNHKIKEENARLHLSSYNIDHENKFDNHLWIYSNQGENAQQTLQEVSDKQEENKHQQVKTAKAHIHSSSHMDHIDPSLRVFFLINDLKIGKTITVSFPRRDLSSSPSFLPKEEADSIPFSQKELPNLLQRFSFSRNSPQGKAMEDTLRECEAPHIKGETKYCATSAEAMLDFVQGIMGEKTQFKALSTTHFSNSTPPLQEYTILDAPQEVETPKMVACHTMPYAYAIFYCHYTISKSKVFKVSLGGENGDRVEAIAVCHLDTSEWSPSHASFQLLGILPGTSPICHFFPSDNLVWVPKIASTQVI >Solyc11g068465.1.1 pep chromosome:SL3.0:11:53479089:53479867:-1 gene:Solyc11g068465.1 transcript:Solyc11g068465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPDNGYYNPEKDYFQKVNLSLSCYLVRPVHRHGCFSKNKKPNGSALAWQIMTMSKSSIALILSPPWL >Solyc03g118830.3.1 pep chromosome:SL3.0:3:69111830:69118953:-1 gene:Solyc03g118830.3 transcript:Solyc03g118830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCHFLGLTAIVTLGYQFTFFIITAIFRFDKVTDFAGGTNFIILAILTLVLKGSWHFRQVLLSLFVVIWGLRLGLFLLMRILQWGEDRRFDDKRDNLGKLAIFWILQAIWVWTVSLPVTVVNASDKQPSVQAQDIIGWVMWIIGILVEITADQQKLAFKNSPENRGKWCSDGLWKYSRHPNYFGEILLWWGIFLASTPVLEGAEWLVVFGPVFITLLLLFVSGIPLLEASGDKKFGNVGAYRSYKRKTSPLILLPPGVYGSLPQWFKTILLFEFPFYSRNLPQEELSWNRQTQQGSSRTDPKMS >Solyc03g083330.3.1 pep chromosome:SL3.0:3:54583071:54590120:1 gene:Solyc03g083330.3 transcript:Solyc03g083330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINSGEKKCLKRSRSFSSEMPGTDTNVAKPLEDCVLFPVEDIVQYPLPGCGSPTSIGFSPDDSLVTYLYSPNQNLCRKVFVLDLKNGRHELFFSPPDGGLDENNLSAEEKLRRERSRERGLGVTRYEWVKASARRKVIMVPLPAGVYLKDQNAEPELKIASTSSSPVLDPHISPDGTRLAYVRDNELHVLNLLYHESKKLTTGADDNVITHGLAEYIAQEEMERKNGYWWSLDSKYIAFTQVDSSGIPLFRIMHQGKSSVGPEAQEDHAYPFAGGPNVKIRLGVVSANGGQITWMDLLCGVKDKANEDEEYLARVNWMHGNILTAQVLSRSQSKLKIIKFDIKTGKRSVILVEEHDTWVNLHDCFIPLDKGLNRTNGAFIWASEKTGFKHLYLHDTNGVCLGPITQGDWLVEQIAGVNEVTGLVYFTGTLDGPMESHLYCAKLFPEANGPLQSPLRLTNGHGKHVVVLDRQMQRFIDIHDSLVSPPRISLCSLHDGNLIMHLFDQPVSIPQSRKLHFELPEIIQIQAKDGTTLYGALYNPDPMKFGPPPYRTMIEVYGGPSVQLVCDSWTNTVDMRAQYLRSKGILVWKMDNRGTARRGLMFEGALKHKFGLVDVDDQLTGAEWLQKQGLAKQGHIGLYGWSYGGYLSAMALARYPEVFKCAVSGAPVTSWDGYDTFYTEKYMGLPSENPSVYQEGSVMHHVDKIKGKLLLVHGMIDENVHFRHTARLINALVAARKPYELLIFPDERHMPRRLRDRIYMEERIWEFIERNL >Solyc09g082690.3.1 pep chromosome:SL3.0:9:68826901:68836178:-1 gene:Solyc09g082690.3 transcript:Solyc09g082690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Early light inducible protein [Source:UniProtKB/TrEMBL;Acc:Q6QDC5] MTSFAMQSIILGSPLKLSQNKNGLNQFVPSCYLPRLHRTSRVSVKCMAEEGEKESSTPSTDYSATVPKPTPAKPKPSTNFIDIFSFSGPAPERINGRLAMIGFVAAIGMELANGADLSAQLSNGGLLWFLGSSALLTLASLIPLFQGVTVESKSDGIMTADAEIWNGRFAMLGLVALAFTEYVKGAGLFQV >Solyc09g064425.1.1 pep chromosome:SL3.0:9:61837083:61841757:1 gene:Solyc09g064425.1 transcript:Solyc09g064425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKFVEPLNRVLGPPSKPSIEEAPKLELKSLPSHLRYAFLGANESLHVILYSALSEMQMFDRLAGQEYYCFLDGYSGYNQIVIASEDQEKTTFTFAYGTYAFKRMSFGLCNAPSTFQRCMMDIFHDMVEDFVEIFMDGFPAFEEQVLDTSASSKGINFKDEIPGEFATSPPHEHDPPLHQFFRRIKSKFHKASPRQLASRT >Solyc10g007030.2.1.1 pep chromosome:SL3.0:10:1420137:1423029:-1 gene:Solyc10g007030.2 transcript:Solyc10g007030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFFLLCILHSMVALTSGALMMFYSNEVFIFSHGRERASKLFGSTPHDQLIIQTSDSFSGLLLFAIGFFLFMVAFVKDREFHNFFAKGCVLLHIAMAVWRIYFERKLEEDLGHDWLRLVVADIALGLSWVFFLVYSWREKYD >Solyc02g083310.3.1 pep chromosome:SL3.0:2:47318548:47323145:-1 gene:Solyc02g083310.3 transcript:Solyc02g083310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVELRISTVAGVFTDLPETSRRSASWNCNSSIGNISYHLSSRNRHCRKSRFMVICSKSSRGSFNRKSNQSDEPGDDYIEAVVLVSETMKHYKMHMGGFQEETRWHSSAQLIPSSFHPKDRIANASSLGTGFLRRFKNPTIFLKISCDAEYVLPIIVGEHAVEKLIDSLHEEETGDCPDEFLLLRNLLLKSGYEVKMVKITERVTSTYFARIFFHKAGEKDIISVDARPSDAINVARRCKAPIFVNKQIVLTDATRIGYGMDRSSRIKSTYDVLLDSASDGPDLLSEELSMLRNMNLAVNEERYNDAAVWKDKLMRLREFKT >Solyc12g016210.2.1 pep chromosome:SL3.0:12:6352892:6355611:-1 gene:Solyc12g016210.2 transcript:Solyc12g016210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKFLPVGRFDDFCCSGDSVLLLSGPPSSGKTSLVFQFAINSATASAGNVVFICNRRKLESKPPYLAQGIDPSSDVINRIQMKYVDDEEGIKKYFAAFHMHDPAPVSVIIDDFADFFDQGNCQERYNNTRGRDLAMVRVLALCRNAILCANEKGPCQLLLSDTHNGDSPRLLYLYKRWVSSIYVIKGDGFGSYLIRSLGVAKSAATKLAKYSIALQYLVYEGIAEDGEQSDE >Solyc11g072810.2.1 pep chromosome:SL3.0:11:56299146:56310201:1 gene:Solyc11g072810.2 transcript:Solyc11g072810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEKGSFKASRFDSEFSPRSRDSMSTEDEEFQRRNGGGEVESNGEDDDDDFDDCDSGAGSDDFDLLELGESKEEFCQIGDQTCSIPFELYDLSGLGDVLSLDVWNEVLSEEERFSLAQYLPDMDQETFMRTLKDLLTGNNMHFGSPLDKLFNMLKGGLCEPRVALYRQGLIFFQKRKHYHHLRNHQNAIVSNLCQIRDAWLSCPGYSIEEKLQVLNIKKNEKILMYEKLEELGSDGSEREEFSDTLWGKRTNDRNLGQNMGCYSGYGVGSALDSSSRQMGQMASEAARYKKQNLKGNLKVGGTKSSTLPPFRRGKGMDYNSGMAVPMRDMLNGNYEDDGMYEVDVQRERIFSRAGAVDRSGTVKLGKKHERSRVEEYSDVFMGVPVPSKNDLYAYGRNNTVNQLSDIKVLTAKPSNARAAYEFGKKDRYADGLPQFFSEDQMNYGKIRIPKMSLKGNGMELASGSEPFWPSKAQEDNYFTNPSHKLGNVSKKWKVDQEYPDRKLNDKLFQSDYRGKAFPEKVKAKMQNGGQDGSGTRGRRVFAKTEETESESSERSDEDNNPLMRSKWAYPSGSTNLMPALDTKSAKFGQKGKYSIPVGDGSLHSSRMMSDSTELFRPKKTGSRGLGAEPMGKMHDLGHLSSFSTRNHFSGLSQFDNDNDDEEEQPIYKLAKNGPLQGDQTEKYHMASSREKKQKGKVSRDILPANYMQDHKFQEDDSLRTRLPAKRNGVSSKFSKKGCNSVMKKRKVKVDVPYELDDTDPLYSDTQQRQDDLSVKRGKKKLEDETWPPLVGVPRSPTSEMVVEDVDVESRPQKKPFTLITPTVHTGFSFSIIHLLSAARMAMITLLPEEAVDTIAGRQEALEEHGGVAPPSELDGDNSIPSTQAKVPSLSVQEIVNRVRSNPGDPCILETQEPLHDLVRGVLKIFSSKTAPLGAKGWKSLVVYDKPTKSWSWIGPVSPDSSDHEPMEEVTSPEVWGLPHKMLVKLVDSFANWLKNGQETLRQIGSLPDPPLSLMQYNLDEKERFRDLRAQKSLSTIGPSSEEVREYFRKEEFLRYSIPDRAFSYTAIDGKKSIVAPLRRCGGKPTSKARDHFMLKKDRPAHVTILCLVRDAAARLPGSTGTRADVCTLIRDSQYIVEEVSDAQVNQVVSGALDRLHYERDPCVQFDNEKKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKEVAEPSDQGVVTVAYNGTGEQNGFDLSSDPNVEPSNVDEDRTDPTYEDGKDHVEGNIKSSHMSEQGAMHCGSSLMDWDTLCSTPGDGNKLLCQQNSTDNLVGETCGGEPPA >Solyc04g054950.3.1 pep chromosome:SL3.0:4:53423081:53424590:-1 gene:Solyc04g054950.3 transcript:Solyc04g054950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIGDGRWSLHGMTALVTGGTRGIGYAIVEELASFGASIYTCSRNKKDLDECLEKWQTKGYKVNGSTCDLFLEDQRIELIGKATQHFNGELDILVNNAAICVPKETTQITSADCSLMMGTNFEASYNLCQLAYPFLKGSGKASVVFISSISGIMAIPFVSLYAATKGAINQLTKNLACEWGKDNIRVNAVAPWIIDTALTDTVAEDFESSDVEKLIKRTPISRMGKPNEVSSLVAYLCFPAAAYITGQIICVDGGKTVSGFP >Solyc04g080900.3.1 pep chromosome:SL3.0:4:65028790:65041250:1 gene:Solyc04g080900.3 transcript:Solyc04g080900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDPRQYEDIAVNDNDVHSIVMSYLAHSCFTDTLESFTTSMGMNQTANLEDMEKRKRIYHLALEGSVLKAIEITDQFAPDLLEKNKDLHFDLLSLHFVGLVCSRKCTEALEFAQAKLAPFGKVQKYVEKLEDFMALLAYNEPEKSPMFHLLSLEYRQQVADSLNRAILANSNLPSYSAVERLMQQTTVVRQCLSQESRRVSSICFERLFEELEPSASQKRNSNEIYRGYTVKCALAKTRMPPSPASDAYIREPHKYFDEVVITVRAGDGGHGAVLSMPNQKAPSKSQGKFDRDKTKKKASYKRDFDGSIILPMGGHGGDVVLYADESKDSLLEFHNKSKHKAKRGGNVDSMGVLTSHMQNGATSPTLRIPVPLGTVVKLKKGKFLADLANPGDEVLVARGGQGGISLLEVPEYKRKQMTTLTTNIMRDATDKVLTFGQSGEEVSLQLILRVVADVGLVGLPNAGKSTLLAAITHARPDIADYPFTTLMPNLGRLDGDPSLGADKYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRLLVHVVDAAAEDPVGDYRTVKEELRMYNPEYLDRPYLVVLNKIDKPEAIDRLPTLTEEIWKIGCERISPKCDTSSGDVTESISVDESQKSDFSNWFSAKDQKVKELEEYPRPLAVVGVSVLKRIKVNEMLKAIRAALREFL >Solyc11g067055.1.1 pep chromosome:SL3.0:11:53098504:53099173:-1 gene:Solyc11g067055.1 transcript:Solyc11g067055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARKKCHASSSIDSVLVLGLLLTEAAVLKPSLKGLAPFSCFMFCSSGARFGIFGDTVCIDFPFPPLMIVSLTLSEKGTIPNMNQSFLIKKTISAK >Solyc03g119980.3.1 pep chromosome:SL3.0:3:69976339:69978530:-1 gene:Solyc03g119980.3 transcript:Solyc03g119980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAPATAVNYWGDMPEEEYYASQGVRNSKSYFDTPNGKLFTQSFLPLDPNVSPKATVYMTHGYGSDTGWLFQKICISYASWGYAVFAADLLGHGRSDGIRCYAGDLEKTAATSLCFFKSVRNSDEYKHLPAFLFGESMGGLATLLMYLQSEPDTWTGLIFSAPLFVIPEPMKPSKVHLFMYGLLFGFADTWAAMPDNKMVGKAIRDPEKLKIIASNPRRYTGKPRVGTMREIARQCEYVQNNFDKVTAPFLTAHGTSDGLACPTGSKLLYEKASSEDKTLKIYDGMYHSLIQGEPDDAVAIVLADMRAWIDERVERYGPKINGSA >Solyc07g066120.3.1 pep chromosome:SL3.0:7:67753681:67763925:-1 gene:Solyc07g066120.3 transcript:Solyc07g066120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRKVWESVSTRSTSSNSTSTSISTVNSMDRFDQMMMYIQTASTGEFDRIPLDIFIQILKILGPKESAKLTSVCKSWKYIVSDNRLWIYFLQNHHEPWDSTFFSETHLRSGPLRTFPNSVPELSFMSIYGQRAQVPGAIIIDGGSGYCKFGWSKYSAPSGRSATFLEFGNIESPMYSRLRHFFSTIYTRMQVKTSTQPIIVSIPICHYDDTESDKAARTQLKDAIHSALFDMNVPAVCAVNQAVLALFAARKVSGIVVNIGFNQTSIVPILYGKVMHQVGVEVVGIGALKLTGFLKEQMQQKNIYFGSLYTVRTLKENLCYIALDYEAELSKDTNASFQIGSEGCFTLSEERFKTGEILFQPRIAGVRAMGLQNAVALCMEHCHDAELMVDDSWYKTVVLAGGSACLPGLAERLEKEVCELLPPCMSNGIRVLPPPYGVDSAWYGAKLIGNLSTFPSSWCVMKKQFRHRSRRKFMW >Solyc01g020430.1.1 pep chromosome:SL3.0:1:30371038:30371877:1 gene:Solyc01g020430.1 transcript:Solyc01g020430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINEPVVSLDWLCANIRDPELKSSEDAFADSISALAIKNKKTIGLYDGEGNFCVARVWW >Solyc07g049770.1.1 pep chromosome:SL3.0:7:60258974:60262164:-1 gene:Solyc07g049770.1 transcript:Solyc07g049770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSSAAVEQLQHSLHAFAGIPLLIFEVKSVYKKFSPSALSMRGATRVCCAIALFQVMANNPETRTGLTKAEIPCYFYPFLKPYGDDKHLECVRTTTLGVLSDLTKFDDPNGSHALRFFLESEVVPLCLKCIDECDEKSQKLATLIVMNILTQESGLTYCSATPERFFAIVQHNHEASRMLQVLHLNSYGCPYFPPIEERKSIAKKAASSYASSSASS >Solyc01g095970.3.1 pep chromosome:SL3.0:1:86957029:86966021:-1 gene:Solyc01g095970.3 transcript:Solyc01g095970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIQLVNRLQRACTALGDHGEESALPTLWDALPSIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHRLEQGSREYAEFGHLPRKKFTDFAAVRKEIADETDRETGRSKQISSVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQSDSIVADIENMVRAYIEKPNCIILAVSPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDILEGRAYKLQFPWIGVVNRSQADINKNVDMIAARRREKEYFSSTPEYRHLTNRMGSEHLGKVMSKHLEAVIKSRIPGLQSLINKTIIDLESELSRLGKPIATDAGGKLYMVMEVCRTFDGIFKEHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESSLISIKGPAEAAVDAVHAILKDLVHKSISETSELKQYPSLRVEVNGAAIESLERMRDESKKATLQLVEMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCASLRNSIPKSVVYCQVREAKRSLLDHFFTDLGKKEGRQLGTLLDEDPAIMQRRLSLAKRLELYRAAQSEIDSVAWAK >Solyc12g077690.1.1.1 pep chromosome:SL3.0:12:17844269:17844442:1 gene:Solyc12g077690.1 transcript:Solyc12g077690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFPLHDHICYCFKCVFIQCSSHFLCESKLIVKTRISTKHILQSTPKIYNSFVLL >Solyc01g096270.3.1 pep chromosome:SL3.0:1:87220316:87226022:1 gene:Solyc01g096270.3 transcript:Solyc01g096270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLHAFNDLLEHNNKDDCWLLISGKVYDVTSFLDDHPGGDEVLLTATGKDATDDFEDVGHSDDAREMLKKYFIGEIDSSTIPAERKHTPPPSATPSAGGQGSGNSWKLLQFLLPLLILVAALAFRSFYQKE >Solyc11g013290.2.1 pep chromosome:SL3.0:11:6185953:6212561:-1 gene:Solyc11g013290.2 transcript:Solyc11g013290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYGQNQESIVPETKTVQHKQMSYQVVLCKQLMIVALMFDIEGEEAEHGDGTRKLYQTQLVLLKSIDGVDVEWVKEVKGNTYDMVVEGFQLLSRWTARVWEQCAWKFSRPCKDPVPMESHDMPASFSDYEKVVRYNYNAEERKALVELVSYIKSIGSMMQKVDTSVTDALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANASKPETEMQSYPHSGEESRGTLFYPRPVAPTSAQVHCLQFLIYEVVSGGNMRKPGGIFGNSGSEIPINDLKQLETFFYKLGFFLHVLDYTATLGTLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVIESPIIGLLESALMSFDIYNDAAQQALVILKQRFLYDEIEAEVDNCFDIFVLKLCETIFTYYKSWAASELLDPSFLFAIDIGEKFAVQPMRFVALLKTTRVKLLGRTINLRSLIADRMNKMFRDNLEFLFDRFESQDLCAIVELEMLLDILQLTHELLSKDLTIDSFNLMLNEMQENVSLVSYSSRLASQEWGDKVVQGYKKWQLLIQLMQVTSTFTVNDRIWTEMQNDFLPNFILCNTTQRFVRSARVPPVPVQKPSVPYAKPNFYCGTPDLNSAYQSFARLYCGFFGVPHMFSLVKLLGSRSLPWLIRALLDNISNKITTVEPMITGLQEALPKSIGLLPFDGGISGCMRLAKEHLSCWHSKSELKAEVLCGIKEIGSILYWMGLLDIVLREVDTRQFMQTAPWLGLIPGADGQILHSQEGGDSPMVTLFKSATTATMSNPNCTNPTSFHTISRQAEAADLLYKANINTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIFSGLQIEYLEESIQLQSNTYEMLGDSVAWGGCTIIYLLGQQLHFELFDFSHQVLNVAEVESVAISPTQKNPNFLQGIEGLLEAMKKARRLNNHVFSMLKARCPLEDKQACAIKQSGAPLHRIKFENTVSAFETLPQKGA >Solyc08g078610.3.1 pep chromosome:SL3.0:8:62501244:62506468:-1 gene:Solyc08g078610.3 transcript:Solyc08g078610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQDSDIDDDFSDLYKEYTGPVRSNTTKAQDKIVTEKRPHVGSDEEEEDARDPNAVPTDFTSREAKVWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGASRKSQDFFERVPARESHVKALFSEKVINQIEKDVGCKIKMEEKFIIVSGKDRLILRKGVDAVHKIKEDADKKGPSSSQVSRSKSPECRSPVSARMVRSNSQRSNHSPQSASQLHHRYGRQEKVVDDRGHDDFHKIARSGSQARGKFFSSILFDSDVTFLVDSNERIVSPNENFH >Solyc09g065690.3.1 pep chromosome:SL3.0:9:64148136:64160526:-1 gene:Solyc09g065690.3 transcript:Solyc09g065690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENLRIHTFTEIPSPEIFSSQIEPKNVPAVFKRCIKDWKAFSKWNPSDGGLIYLQERVGSVAVEAMLSRSGPVFYGDIRSHERVPLSFSTFIRYCLGLLKNRDGRRDDFLESQKHSLAVSDTEQTDLHFEEAPQQFYLAQVPILNFEKKEHMQLECLQEDIQTPVPLETKSLSSVNLWMNSMKARSSTHYDPHHNLLCIVSGCKEVTLWPPSATPYLYPLPLYGEASNHSSVTLEEPDLSLCPRATCLSDFSQKVVLHAGDALFIPEGWFHQVDSEVLTIAVNFWWRSMTISGMLEHMDAYYLRRILKRQIHLRQSLQMKAKLVMLEAGQNKMLQFPSSSMDKTITCTTSQPSNAYRDHVHQGISTNCGYRSSKDELKSKVMLQDLEPCASQSLSELISLVHNRLNPSKLTESTDNSSAGENDETNKRKEDSCSTSNDPVANLILTLHPLRIHSVFLAMANHFPRTLEALVLHALTPVGSEILTRKFEEMDQLISGDDQNQFYQIFYGVFDDQSAAMDVLLNGKELFARQAFENVLGQYLGNNPDGPKQQTK >Solyc02g072370.2.1 pep chromosome:SL3.0:2:42171064:42178751:-1 gene:Solyc02g072370.2 transcript:Solyc02g072370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMAFLITLLFVVSSHLSFILAADHLSQQSNSEIYIVRVESSDNLHDYHQYSLFSDVSSRVIYSYRNIFNGFAARLSPDEVKGLETKDGFISIRPQRVLRVQTTHSASFLGLHQNLGFWNTSNYGEGVIIGLLDTGIYPEHPSFDDEGMPPPPAKWKGKCEFNFTACNNKLIGARDFLSVEDGTPLDENGHGTHTSSTAAGNFVDGANVFGNANGTAAGIAPRAHLAMYRVCNPSGLCSESDMLAAMDAAIEDGVDVISISIGGLSTPFWDDNVALGAFSSMAKGIFVSCSAGNEGPGNATLSNEAPWILTVGASTIDRQIKATVALGNGVEYDGESTSQPNDFPPTLLPIVYPALNSTYYGAFACSPESLTNVEGKLVLCGAGGATAIAKGQPVKDAGAAGMILMNEDIEGYTIPAHDYVLPATRISYADAQDLIAYINSTSTPMASILFKGTVIGNKHAPSVAFFSSRGPSRTSPGILKPDIIGPGFNILAAWPTSIENNTHTNLTFNMISGTSMACPHLAGVAALLKSAHPDWSPAAIKSAIMTTAGLVNLGNNPIEDERHLPANIFAIGAGHVNPSRANDPGLIYDIQPHDYVPYLCGLNYTDQQVSAILQKKVNCTISIPEAELNYPSFSIKLGSETQEYTRAVTNVGEASSTYTVEISPPEGVEITVSPTSLHFSEVKERITYQVTFKRSASSTVSNANFVQGYLKWSSDKHSVQNPIVVILDHENNKEIYIGARYFHEFGNGTPLDENGHGTHTSSTAAGNFVDGANFLGLANGTASGMAPLAHVAILNASLICSESDILAAIDAAIEDGVDVVALGGFTAMQKGILVSCSADNAGPIPGSVFNGAPWLLTVGASTTERKLRATIRLGDGKEIDGESAFQPKDFSETPLPLIYPGTNISDPTAEFCSAESLKNIDVKGKVVLCTSGGKITRIGKGSFVKDAGGAGMILIYSEPLGFTILADPHVLPEAHVSYADGLKIKAYINSTSNPLATFLFKGTIFGDDHAPAVAGFSGRGPNLASPGILKPDIIGPGVNMLAAWPKSIENSTDTKSTINLLSCTSMSCPHVSGIATLVKSANPDWSPAATKSAIMTTADLVNLGEKTFEDERGVPADFFATGAGHVNPLRASDPGLDVRPEDYVPYLCGLNYSNRAVSMFILRKVNCSSAIPEAELNYPSFSIGLGLEAQTYTRTVTNVGEAISSYVMKIVPPQGVDVRVDPPLLNFSELNQKKTYQTSTSTINATSVQGYLRRTSSKHFVRSPISNKSDVYIVHLESFHGQLLSDLDSLQMWHHYCLPPKKTSPNDSASSHIVYSYRNVLNGFAAKLTPNEAELLQETEGIILVRPQRLLHARTTHSTHFMGCTRT >Solyc01g014620.1.1.1 pep chromosome:SL3.0:1:14374634:14375008:-1 gene:Solyc01g014620.1 transcript:Solyc01g014620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQSHPFPTKIPARTSTKQPQIATTFRTSEQQQSRPPFPLSSTQQTKTDHTTTKTLLILFLLFPVSSSGHFLNKAAPVNWSKEEENASSLCPCPFLIFCSFVCFTGVSAPTSNAGEHQLRQLQ >Solyc03g063090.1.1.1 pep chromosome:SL3.0:3:35378132:35378341:-1 gene:Solyc03g063090.1 transcript:Solyc03g063090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIVSVNSKQWPLFLCFRPYCFVYACMMGSSLIKSSSELPLLLVLGFVVNLALFLRLDIVPSSFSLTY >Solyc08g006920.2.1 pep chromosome:SL3.0:8:1492187:1495819:-1 gene:Solyc08g006920.2 transcript:Solyc08g006920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLAERLLIAQKVCTTWNRILDQSFMWHVIDMSNCRSLTNSHVFPYVCCDTYSKPRLAKKLSPKLRRLSIAWCNSKLDPEDLTEVVKKLPMLEELCLTYNGITEEGIRALGRFCPLLKSFELNNIFCHMSLGKERNVEALAISKNLSVLRHLKLICNSVTNEGLEAILDGCPKLESLDLRGCFRVSLDKILSGRISQQIKNVKYPLDSLVCESLIEAVQKLPLLEELSLIHTAITIQGIEALGHCCPRLKSFEFNKSLYMGSVDDSDNEDERNEEALAIAKNLPTLHHLHLIGLQAILDSCPLLVSLDLRLCKYVTSNEVFSSRISGKLPHESLEGLEFSFEACWDEDLSDSMTDD >Solyc06g072290.3.1 pep chromosome:SL3.0:6:44708818:44711888:1 gene:Solyc06g072290.3 transcript:Solyc06g072290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKLKTEKTGNASKNSRKRSFSRPSWLLCTIADLDTKMKKLVVNIPNKYGADSFTERADAYYQKRPQLLALLQELYGNYLSLADRYCQTLAKNHHRQNSFPIPSFHYDHDNDDQFDKEENNGSEIIDSDAESSLSYQPPFPSTQAKFEPDMIIADLVIRSVDCEIILHELSQVDKHCNESSRKIELQESLLELLESERLILLNENARLGYKVGSLMEENKGLSSESLFMKRKVAELARCMLNRRENHRVCMLSRKVEDLQSQIYGLERRNNEYYEQLLKHEEEKRGRSKMGLKGCFKVPEEAVVGNVKKGEQQRTVGAEVGKKVPKFWNRVKKLDIFLCAPEFN >Solyc03g026250.2.1 pep chromosome:SL3.0:3:3704462:3715756:-1 gene:Solyc03g026250.2 transcript:Solyc03g026250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVENECYDNEFLEKISILVVDDDTSSLLITSELLKKENFKVVTVKNANEALSTLQINENSFDLVIIEVRVPVMNGLQLQQQITNGFNIPVVLISDDKSECGIIQGFESGAVFLMVKPISQNDVHELWQYAIMQRKKKKNRGKQGIVQENTNEEIVAENLITPPKKTRLVWTEYLHNKFLEAITILGLKRAHPKRILELMNIPELTRENVASHLQKYRLYLKKVTAPIDTSRKPISKSRIGNARKAIQLAATSTQQLMTENSNSVTAHNDELVAPFQRDPKDKIFTDMLSYHLSREYRDRINNFYSIMNSNMQITNASNNVQSSIMENNNIEEGTNLSFVDGNNQYQQAYFPWPYTSTCLLSGLAVPDIFCSGRMRPIFCGNFEFETRQPELERLFKRYGKVDRVDMKSVHAHVTAGEFLPAVGTWVLFCQLFINPSKTEPTTIDYRAQMTAKWFDVDAAFPSLHGGFVGWKIQSILFGSTAEILLSFGGDNIAMSIPIADGRCLFHVLTVTSFSSCFNKSSLIHHGITITYYSQSLLPSIPREFCPTFIFRLVTIPTSKLRLQFKMEKALFNIIP >Solyc07g062110.3.1 pep chromosome:SL3.0:7:65052574:65055120:-1 gene:Solyc07g062110.3 transcript:Solyc07g062110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRGPPLPMKGGPHGGLPPPMHEPQFARGRLPVPHPAMLEEMRESQFGMGSRPMPPHPAVLEEHLASQHDEIQGLLVDNQRLAATHVALKQEVEAAQYELQRTDHYARSLRMETDVQMRELYEKSAKLEMDLQVADGMRSELMRVRSDIKEFTAARQDLTVEYQRMTQDLSRMTADLQQAPAIKAESEGLKQELQRARAAIENEKKGYAENYEYGQVMEKKLLAMARELEKLRAEVANAEKRARAAAAVANPGAGYNANYGNPESGYPGNYYPANYGMNPMNPAHPVQGGAEGYSQYGHGPGAWGGYDVQRAQGPR >Solyc07g053930.1.1.1 pep chromosome:SL3.0:7:62473199:62473714:-1 gene:Solyc07g053930.1 transcript:Solyc07g053930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIEETESIISSIFFIKIMSKRRTWVFLFVSVYAILLSISWNFLKSVLSWYESTISANSSISYSGWPALYASVLLGVAFGVLSMVAALSVALPATLVTWITILVLLTFAGKPRRDLVLQGKKLTLDITRFVIKILIKEGNVVAALCAVLAYFFLVTSSNKQLQNGLVDDH >Solyc09g091640.1.1.1 pep chromosome:SL3.0:9:71347501:71347710:1 gene:Solyc09g091640.1 transcript:Solyc09g091640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSIFIKHTGLKQRPQCIDGIVKILLHMLFIDPSFIICQTSKSRLVRLCILVKQRFSPKTYKIGSWNL >Solyc04g005290.3.1 pep chromosome:SL3.0:4:212891:215026:-1 gene:Solyc04g005290.3 transcript:Solyc04g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENPDEMLTHSNGCKDSKSLVLPTKDLLDSNGRDSTKDSLACEKEKNEFWNVQELDDSVFIEDISRSNKLENRASPLKDDPDEAPSHLTSCKRNGNPFACDTADRDHPWSIPKFEDPIIVNFFDDKEKETVVSSTQFTSLSELFGADTHLYTDKGVLEFELPESTICYKENDYNIMKDICMDEGVPLMDKIVTESRKYDQPDSSISLAADEHQPRITREGVDSELVSSGESKASSVESAVKISVDHHTTKEDEGNKSLVPNGINPFLEDNMSKDAEKDPYLDVMKIFGSKDTTMAKPTNISEKESDSQNFKESNSDADQSAQQANQMPTSVEAFNSQYTVSPADGTNNYGPGSNFSNNSKSKSGAITCDFNLTELALSSSVTKSDKHLPEQSHKLEAVSGQKDGSSDSFSAATQVHFANSVDSSNSSTIHADPPNVANLEEKNSSSIPLGVHGHFANGEASFGPASGLISYSGHIAHSGNISLRSDSSTTSARSFAFPVLQSEWNSSPVRMAKAERRHYKGWRQSLLCCKF >Solyc10g009060.1.1.1 pep chromosome:SL3.0:10:3081311:3082279:1 gene:Solyc10g009060.1 transcript:Solyc10g009060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCELRRVVEKSPCGRFVRYSDMLGGGAYKEVYIGYDRVEQIEIAWNQIVVEEALENPENLFAEGILLKSLNHERIMKCFCYWFDPKSKTLNMITELFPSGSLKKYLAKSDGNDNGVGADLVSIKNWGRQILEGLSFLHCQNPKIIHRDIKCDNVFVDCGGKEITLGDFGLAIRVMEGKLVKEKETKGTPEFMAPECYDGEYNELVDIYSFGMCLLEMVTGEYPYLECSSGIQIFKKVYTGVKPASLGKVKDSRLKDIIEKCMLPMSVRPSAEELLKDPFFLCNDGSSTLQGCAPAAARFVITYPLRGFHCCARDIRNLCLV >Solyc10g006830.3.1 pep chromosome:SL3.0:10:1267894:1273112:1 gene:Solyc10g006830.3 transcript:Solyc10g006830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAFVVANSHSFVIVALLYMSTGGSVRFSIQVTMAGEIVNLVGRLVEKLIHCGCIVSFNSYLLLDFGGIMCMDCSKKNFILGMLQNVLHPIAIPIEARNRCLSHETPVPTEKSQLDATQTMIESQYRPRVTDCGHGVTPLFQKSLTATDADLTLSRLVIPKKCAEAYFPPLSGPHKIPINILDTDGKEWNFHFRFWPNSRSKMYVLEGLRDYMVSKKWQAGDVVTFYRIEPGQKLVMGLRNTSPGSSTS >Solyc12g062590.2.1 pep chromosome:SL3.0:12:33939676:33941677:1 gene:Solyc12g062590.2 transcript:Solyc12g062590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFGIQGRVIGVTHYRLGGILKIIMVLRFPRFSQGLAQDPTTHHIWFGIALTHDFESLEDIIEERVYLNIFASHFSQLAIIFLWTSGNMFHLAFKGNFESYSDPHFDQPTVESSVYQWWYTIGLRTNEYPYIGALLLLFIFAIFLIAGLLHLQPKWKPSISWFKIVESSLNHHFLGLFARGEYVPWNNFLYLYARNPDSSSHVFGTAKWVGKAILTLLGDHQHLAITFIFLVAGHMYRINFGIGNNMKDLLDVHITPGRRLGRGHKIIEQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNLEQNEDNVLARMLDHKEAFVSHLSWASLFLGFHTLGLCVHNDVTLPFGTPEYQFLTIDHGDFLVNRAIAIGLHTTTLILVKGVLDARCSKLMPIKRISVIVFHPMA >Solyc07g016055.1.1 pep chromosome:SL3.0:7:6214710:6215299:-1 gene:Solyc07g016055.1 transcript:Solyc07g016055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNICKYPSQTFKGLCFTDSSCTKACLTEEFTDGHCSKLLRKFPCTKICIFDKKSNEVKTTLG >Solyc09g008040.3.1 pep chromosome:SL3.0:9:1507882:1514280:1 gene:Solyc09g008040.3 transcript:Solyc09g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAGRNLLSSPPTFSQKTQLRNCSSSVLMLHEHAAPVLSSVPHTYLGRYVPASAIGPEQHFENRLQLHLVKEEKTSLATIDRRLVEAASSELEENDAVDSEQNINALQVQLLHWPGPSYSFPPYYLKGKGPLSPNKEPLHSKGDKLMNFEPHSVVALARKALLASKEAALLAEDSKLLDDSHFPNFLSTHLVDDKLKEQRTVRSTRFIERQSRKRGAPKPIQEVQETNNRSGRPDVRRKVNESIDLNDPLRMFLWGPETKQLLTAKEESELIVKIQISMKLQEVKHQLQIQFAREPTSLEWAEAAGITSRELKSQLLSGKSSREKLINANLRMVVHIAKQYQGRGLNLQDLLQEGSMGLMKSVEKFKPQAGCRFPTYAYWWIRQSVRKAIFQHSRTIRLPENVYALLSKVKDAKRECIRQGNRHPTKEDIASCAGMSVERLQNLLSNVRTPLSMQQSVWSDQDTTFQEITADNAIEAPELSVSKQLMRRHIRGLLNVLSPKERKIIRLRFGIGDGKPKSLSEIGAVFGLSKERVRQLETRALYKLKQNLNKHGLDAYSDLLF >Solyc08g081760.2.1 pep chromosome:SL3.0:8:64845755:64850321:-1 gene:Solyc08g081760.2 transcript:Solyc08g081760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFRYVGFTVNPQLSAFKNLGKSIAVGEAGVGGSYCADTTLRLDSICSSVPSIPAPKGIKRKWSSLGVSNDQENDEESSMDLDLDFSLHLGSEKTSSSRKSTHPESKKLAKADVTTVHLLSTSPQSIMKAPQEMTGAFHTDEVSTAVHWKPSDIFHPLRTSQGTEASYLLNQDATQFKQATVSPNLSSSIITNSKSSVTCTSGLTNQQQQQQQRSSSTKQCQFKGCVKGARGASGLCIAHGGGRRCQKPGCHKGAEGRTAFCKAHGGGRRCEFLGCTKSEGCHSHGGGRFCKAHGGGKRCTFEGCNKGAEGSTAFCKGHGGGKRCSFQGNGLCPKSVHGGTLFCVAHGGGKVDGCGKSAQGSTDFCKAHGGGKRCSWGQPDSEFGQGDGPCNSFARGKTGLCASHGALIQDKRVHGGATLGTIVLDLAPNQSEKIKEIVNVEDICFDVTKMQSIGMTSSNDSHMKYLGFNQPNVPVAGSSSSVSEGRVHGGGLMALLAGGSGRTSNSSKEPVTASEPGSSFIVSKNRMLYWV >Solyc04g007190.3.1 pep chromosome:SL3.0:4:881364:882553:1 gene:Solyc04g007190.3 transcript:Solyc04g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSFSIAPNLMSLNHRSPPSTIPVIPHRQLPLPNLRLSSCKSRGFEAYNAFDLKGTQRMSDQVYDHDVELTVRDYELDQFGVVNNATYVSCHEFLEKIGVSVDEVTRNGDALAVTELSFKFLAPLRSGDRFVVRARLSHSTVARLFFEHFIFKLPDQEPILEARGIAVWLNRSYRPIRIPSEFNSKFVKFLHQKSCGVQHRL >Solyc06g071297.1.1 pep chromosome:SL3.0:6:44016192:44016689:-1 gene:Solyc06g071297.1 transcript:Solyc06g071297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINSDPIEYIVDIENASSKPAVIYCTIGQDVFKRVVLPGVGPNYIDCPITPQGDNKITCDIDLIVLGKLHVHFDLFNFERDRNNCDDGHFCSWEIQEEGVCMGSKTDCKLFFMWNK >Solyc04g064820.3.1 pep chromosome:SL3.0:4:55995298:56011942:1 gene:Solyc04g064820.3 transcript:Solyc04g064820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVAAVRDYINRILQDISGMKVLILDSSTVSSVSVVYSQSELLKKEVFLVELVDSIAMSKESMSHLKAVYFLRPTSENIQHMRRQLAKPRFGEYHLFFSNILKDTQLHMLADSDEHEVVQQLQEFYADFVALDPYHFTLNMAANHMYMLPAVVDPSGLQQFCDRIVDGMSAVFLALKRRPIIRYSRTSDIAKRIAHEASKLMYQQESGLFDFRRTEVSPLLLIIDRRDDPVTALLNQWTYQAMVHELIGIQDNKVNLKNVGKLPKDQQEVVLSSEQDAFFKANMYENFGDIGMNIKKMVDDFQQVAKSNQNIQTIEDMAKFVDNYPEYRKMQGNVSKHVTLVTEMSKIVEERKLMLVSQTEQELACNGGQGAAFEAVTNLLNNDNISDVDRLRLVMLYALRYEKESPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMENITRGRLRDVDYPYVGNHFQQARPQEVVIFVVGGTTYEESRSVALQNSTNSGIRFILGGSSLLNSKRFLKDLEEAQRIARISTNML >Solyc05g054440.3.1 pep chromosome:SL3.0:5:65215988:65219294:1 gene:Solyc05g054440.3 transcript:Solyc05g054440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSNKKITSPLFTFLFISSIFIQFFPYVSCIGVNYGTLGNNLPPPSQVAQFLKDKTIIDKIKIFDVNPDILKAFANTNISVTVTVPNSEIPKLQDIGYAKSYVEANIKPFYPQTKIDVIAVGNEILHWETPEVQNKLVGAMRTLYQALTQSGINTVKVSTPHSLGILLSSNPPSLAKFRPGWDVGILAPMLQFLRETKGPFMVNPYPYFGYNPEQVDFLLFKQNKGVFDNFSKRTYTNMFDMLLDAVFMSMKRLGYDDVDIVAAETGWPSFGESFEPQCTVENAAAYNGGLVRKIVGGVGTPLMPNRKIDTYIFGLFNENTKPGSNAERNFGLFRPDFTPVYNVGIMKGQQVLPFPQPPKPLPQPQPQPQPQPRGPIPVAKPGPKVPVQPAPRLPSHPGPKHSARPGPKLPAQPAPRLPAQPGPVLPGPKPVGPMGKKFCMPKPQATDAQLQASLDWACTNQGVDCGPVQAGGPCFDPNTVRSHAAYVMNAYYQIKGKNDINCDFSGSAAIVFADPSYGTCKYLS >Solyc01g016630.1.1 pep chromosome:SL3.0:1:20719569:20720168:1 gene:Solyc01g016630.1 transcript:Solyc01g016630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEQSILTKYKNAESYFIYRKVVLESIVYVHFSEAEGYLVLELRQRLLFLPEKSVTGGTAASSSSVGAAVSLEKGRASCYWCSPLVLLSLVGVGAVWHAREEKRKKRARGRQGGGTTVRRRKRGGCGQREGRKGGGETGKGKVLPSSVAFCWGFAS >Solyc08g068690.1.1.1 pep chromosome:SL3.0:8:57884153:57884875:-1 gene:Solyc08g068690.1 transcript:Solyc08g068690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPALQQSITSDASSDVTITGKIYTRVRLATKSDLSHIYKLFYQIHVYHNYTHLYKATESSLANLLFKENPLPLFYGPSVLLLEVSPTPFDEPKNTTDEGFKPVLTTFDLKFPVVEGEVEEFRSKYDDKSDAYIAGYAFFYANYSCFNDKPGFYFESLYFRESYRKLGMGKLLFGTVSSIAADNGFVSVDGIVAVWNKKSYDFYINMGVEIFDEFRYGKLHGENLQKYAHNKGEIEEETC >Solyc03g114270.1.1.1 pep chromosome:SL3.0:3:65778493:65779125:1 gene:Solyc03g114270.1 transcript:Solyc03g114270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIVSGSRCAVCSATRPFILHLLPYRGIHRHLCTACVLDSHPGSFCPICFDVFLHNPPPPRLRLLCLKCPSISHLSCVPDAPSGYLCPLCSNPNFTFFYVTPVNNAIEINTHLAKQLVAAATIVSESIHNAAVMARINADIRIKEALLAKAEAKQVLDRLKYMIDNQNHQCASKFSPIHVSDSSAGENKIYEVKGESSQSSYDCDTMDD >Solyc06g050715.1.1 pep chromosome:SL3.0:6:33555957:33557607:-1 gene:Solyc06g050715.1 transcript:Solyc06g050715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVVELKVGLHCDECIKKILKTIKKIEDIETYDVDKQLNKVTVTGNVTNEEVIKVLHKIGKQASNWDQQSSC >Solyc12g062710.1.1 pep chromosome:SL3.0:12:34367901:34371887:1 gene:Solyc12g062710.1 transcript:Solyc12g062710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDGTKRSVVNIDSNTHYAHRKCVQRWCNEKGDIICEICHQPYQPGYTAPPPLPPSEETVIDISGGWTLADTQLDLNDTGLVSMADTERYLQQANHDEYIDASTSGAAFGRFVALFVSYCNYLV >Solyc07g009270.1.1.1 pep chromosome:SL3.0:7:4332548:4332874:-1 gene:Solyc07g009270.1 transcript:Solyc07g009270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSTQSSFFRNRERGFQQRELERPLSHSLQNPKKRKNRKTWEAIRFSSRFAITVVETALAGLLNQTMIHLEGFLTVVGPTRYQPENYRNVGSPPKIHSSVMAVGLA >Solyc03g093470.3.1 pep chromosome:SL3.0:3:56185130:56188414:-1 gene:Solyc03g093470.3 transcript:Solyc03g093470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLGISIFLILFTFAFANEDFNTHVVDRPLIVEFFENSEPHLKRTLHLECTSWRFAVEANNLSPWKIIPQECADYVRQYITGGAYKMEIDRVSTEAGAFAESMKLGEDGKDVWIFDVDETLLSNLPYYSQHGYGLEVFDSVEFDKWVEKGEAPAIGSSLKLYQDVMRLGFKVFLLTGRSERHRIVTVENLMNAGFQDWDKLILRGSEDHGKSATIYKSEKRNEMVEDGLRIAGNSGDQWSDLLGSSASIRSFKLPNPMYYIP >Solyc10g006300.3.1 pep chromosome:SL3.0:10:945576:948400:1 gene:Solyc10g006300.3 transcript:Solyc10g006300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTSSRVLIFPLQVHISLAGDKHMRITWITNDGSSPSIVEYGTSPGKYSAISQGESTKYSYLLYSSGKIHHTVIGPLQENTTYFYRCGGGGLEFQLKTPPSKFPVTFAVAGDLGQTGWTKSTLDHIDQCKYDVHLLPGDLSYADCFQYRWDSFGQLVQPLASSRPWMVTQGNHEKERILFLEDGFVSYNSRWKMPFAESGSTSNLYYSFDVAGVHIIMLGSYAPYDEHSDQYSWLKADLLKVDRKKTPWLVVLFHVPWYNSNRAHQGEGDDMMASMEPLLYAAGADLVFTGHVHAYERTKRVYNGKSDPCGAVHITIGDGGNREGLAHRYKQPPPEWSIFREASFGHGELKMVNTTHAFWSWHRNDDDESVRSDQVWITSLIGSGCNTRGSHEMRRILMTS >Solyc03g116847.1.1 pep chromosome:SL3.0:3:67592358:67597135:1 gene:Solyc03g116847.1 transcript:Solyc03g116847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCTQIESVIPPLYLSLQKQTSASHCVMAVYRQPCHCGKLIPLHWHHPSPGETHIYNGSFSCIAESAIRFTLGEDTNLVRRRFDLEASSAWRS >Solyc05g053090.2.1.1 pep chromosome:SL3.0:5:64061939:64065554:-1 gene:Solyc05g053090.2 transcript:Solyc05g053090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQYHEAGSAVKLEDEDCSFFADPNLINNLRVNDYFHDDYDPNLINNLRVSDNLVNISSPFQSDVERNALVPLTADDFHEDYDFSDGVLKYINQMLMEEDIEEKTCMFQESAALQAAERSFYEVIGEKYPSSTNHEKSSTLGQIERYAMGHCSGNDGRDGLLGPNWILDLGEDDVSHVPDDVALDSTSRSSNSLSGTVPDVPVDSPVSTLRIPDIFSGGESVMQFKKGVEEASKFLPTGNSLLADVRYHVVGKELRYKERKDAVVKVDKYGEKRYTERSRGKKNTFHEDIVDLTEGRNNKQSAVFSESTVRSEMFDRVLLCSAGKNESALREALQAISRQNASKNGPSKGSNGKKLQRKKKGGKRDVVDLRTLLTLCAQAVAVGNQRTANELLKKIRESSSPMGDGMQRLAHYFADGLEARMAGSGTHIYKALITRPVSAADVLKAYHLLLAACPFRTMSSFFSNKTIMNLAEKASTVHIIDIGIMWGFQWPGLIQRLASRPGGPPKLRITGIDFPNPGFRPAERVEETGRRLANYAESFKVPFEFNAIAQKWETVKLEDLKINKGEVLVVNCLYRFRNLLDETVVVNSPRDVFLNLIRRLNPDVFILGTVNGGYNAPFFISRFREALFHYSSLFDMLETIIPREVHERMLVEKNIIGQEAMNAIACEGAERIERPETYKQWQVRILKAGFRQLPLDEEIMRMTTERFKVYDKNFIIDVDSEWLLQGWKGRIASALSMWKAAY >Solyc04g082810.3.1 pep chromosome:SL3.0:4:66432855:66442154:1 gene:Solyc04g082810.3 transcript:Solyc04g082810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4BW08] MDFNHYSLGLMRGESDSDAGASSGGGAPNRRPRGRPPGSKNKPKPPIIVTRDTPNALRSHVLEVSTDVDIMESISNYARRRGRGVCILSGSGTVTNVNLRQPAASVVTLHGRFEILSLSGTVLPPPAPPASSGISIFLSGGQGQVVGGSVVGPLIASGPVVLMAASFANAVFERLPLEEDDEAPANVPTTQVQPAASQSSGVTGGGEAAGTAHPAEGNMAFGNNYSFSAELLGWGGNAANERPPF >Solyc03g034000.3.1 pep chromosome:SL3.0:3:5733972:5737119:-1 gene:Solyc03g034000.3 transcript:Solyc03g034000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILERQRAIFEHLYQCQQQQQTSNSLPNQNLAQLNSLMSENTMEFSQFQNFPFKIDTQIDFGSEKRNWTSKKRKSEVYEEYECKVERLDGEAGEVKTEMIVKTEKGKNSKENLEAKNTDFIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKVIGKAGMLDEIINYVQSLQKQVEFLSIKLATSNVNTDNLFAKELPNPTFLQQQGNINIGVTQRRENCLMSFPEAVLDSSNVLALQQLPNLETDLQCLFGVRFQK >Solyc07g063180.3.1 pep chromosome:SL3.0:7:65859782:65861639:-1 gene:Solyc07g063180.3 transcript:Solyc07g063180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain [Source:UniProtKB/TrEMBL;Acc:K4CH01] MPMHSSSSSLSRRQKPNNNNENGISKSMSAEEEVKLAALAISFNIRLRSADMPFAMQAHALRYARTLLLQPVNHRPNPSLLARSLKKEFDSMYGPAWHCVVGKSFGSFVTHSPGGFVYFSLESFSFLLFKTEVQLITEAVVPTPAAR >Solyc01g101100.3.1 pep chromosome:SL3.0:1:90823728:90829283:-1 gene:Solyc01g101100.3 transcript:Solyc01g101100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLNDELSQKTAVFGLKVWQLIGIFVGIFIVVILLLLTLYLTLRRKSRRGTDNLPVSQIPSVSKEIKEVRVEQVSTNDFSPRDGILLTIHDKTSDKESDKVLVHLGMGKKNGDNSSQSGSFHHVDKEGCGSQSGEEGSSCKSAMYKAYNSHPITAPSPLTGLPEFSHLGWGHWFTLRDLELATGRFSKENILGEGGYGIVYRGNLINGTPVAIKKLLNNLGQAEKEFQVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRHHGYLTWEARMKVLLGTAKALAYLHENIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLESITGRDPVDYGRPAQEVNLVDWLKMMVGSRRSEEVVDPNIETRPPTRALKRALLTALRCVDPDSDKRPKMSQVVRMLESEEYPIPREDRRQRRSQAGNGESDSQNYDTDKSDNPDPRSESRRSHQV >Solyc12g010750.2.1 pep chromosome:SL3.0:12:3670708:3675504:1 gene:Solyc12g010750.2 transcript:Solyc12g010750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:K4DCG2] MAGRYYNDNPFDEGQEDNPFTDQGTRGKGQSNYGSGPFYMMNPASVPPATNSRLSPLPHEPADYDRGATVDIPLDNPKDLKNKEKELQAKEAELKKLEQDLKRREDAIARAGVVIEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFFTWLGLVACLLWNLIAVTSAWIKGEGITIWLLAIIYLISGVPGAYVLWYRPLYHAMRTDSALKFGWFFLCYLFHIGFCIIATVAPPIFFKGKSLAGILPAIDLIGWNGLVGVFYFIGFAFFCIESLTSIWVIQQVYMYFRGSGKAAEMKKEAARSTMMAAL >Solyc07g062130.3.1 pep chromosome:SL3.0:7:65066898:65070746:1 gene:Solyc07g062130.3 transcript:Solyc07g062130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYTPKNILITGAAGFIASHVANRLVRSYPDYNIVVLDKLDYCSNLKNLSPSRSSPNFKFVKGDIGSADLVNYLLITENIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYARSYGLPVITTRGNNVYGPNQFPEKLIPKFILLALNGKPLPIHGDGSNVRSYLYCEDVAEAFEVVLHRGDVGHVYNIGTKKERRVIDVAKDISNLFNKDPDTSIQFVENRPFNDQRYFLDDQKLKNLGWSEKTTWEEGLKKTMEWYVNNPDWWGDVSGALLPHPRMLMMPGGVERNSDGAEKDDSGSSEISGNMKLNGMVVPASKISNSPSRSPYKFLIYGRTGWIGGLLSKLCEKQGIPYEYGKGRLEDRSQLLSDIHAVKPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLNLADVCKENGLLMMNFATGCIFEYDAAHPEGSGIGFKEEDTPNFTGSFYSKTKAMVEELLKEYPNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLTGIWNFTNPGVVSHNEILEMYKKYINPEFKWSNFTLEEQAKVIVAPRSNNEMDASKLKKEFPELLSIKESLIKNVFEPNRKTSA >Solyc03g006715.1.1 pep chromosome:SL3.0:3:1270335:1271741:1 gene:Solyc03g006715.1 transcript:Solyc03g006715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVKQDLVYAYGWPSRLVRPIWKHTIFRVIRIPMSNIPNFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRQFSCAIAHLFWVIRIPTSKMPNFFMEVRPDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSYAIAHHFLGDPDSDVKNAKFFRGRPSRPCLCIRLAITIPTSKMPNFFMDVRQDLVYAYDWPSRLVRPIWKVKRAPKRAYHSFRRFSYAIAHHFLGDPDSDVKNAKFFRGRPSRPCLCIRLAITACPTHLEGQMSHEVIRIPTSKIPNFFVDVHQDRVYAYSWPSRLVRHIWKVKRAPKRAYPSFRRFSCAIAHNFLGDPDSDVKNAKFFCGRPSRPCLCIQLSITACPTRLEGETSPEASIPLISTIFVCYSTRFFG >Solyc05g014652.1.1 pep chromosome:SL3.0:5:8649852:8655338:1 gene:Solyc05g014652.1 transcript:Solyc05g014652.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSVLKSRSFASSPATQQWKLGLSILNRSLSVSSSATNDGADDGIRSGELLKLEEVEAILRDVKADNVKVFPIPKHCDFADFMVVATGRSAWHVKQKQKGSKRMLLPSVEGQEAGNWIVIDSGKVVIHALDEKVRAYYNLEKLWSTYASNQDHGVGQGQDLDKAFVKVRPKNNSKKRPLQLPDV >Solyc03g118780.3.1 pep chromosome:SL3.0:3:69093659:69095386:1 gene:Solyc03g118780.3 transcript:Solyc03g118780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFLTPFLLLAFFTIFASHISATTMTLYNKCSHPVWPGIQPSAGKPILARGGFKLPPNKAYRLNMPAGWSGRVWGRHGCAFDAAGRGRCATGDCGGSLFCNGIGGTPPATLAEITLGNEQDFYDVSLVDGYNLAISVTPVRGKGKCSYAGCVSDLNTMCPAGLQVRSHDKSQVVACKSACSAFNSPRYCCTGTFGNPQTCKPTAYSRIFKTACPKAYSYAYDDPTSIATCTGSSYVLTFCPHH >Solyc02g081380.3.1 pep chromosome:SL3.0:2:45923824:45928459:-1 gene:Solyc02g081380.3 transcript:Solyc02g081380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGHTNLNAKLVLLGDMGAGKSSLVIRFIKGQFLEFQESTIGAAFFSSTLAVNNATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSSDSFAKAKKWVQELQKQGNSNMIMALAGNKADLEDRRNVPAEEARLYAEENGLFFMETSAKTAANVNDIFYEIAKRLPTAQPTQNPAGMVLENRPAEGSRTASCCT >Solyc05g025923.1.1.1 pep chromosome:SL3.0:5:38093000:38093311:-1 gene:Solyc05g025923.1 transcript:Solyc05g025923.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCEYYRALNKATIKNKYSVPLVQHLMDRLSKACWFTKLDLRTCYWQVRIAEGDEPKTRCVTRYGSYEFLVMPFVLIISPTVFCNLMDDVMFDYLNDFLLSM >Solyc10g050083.1.1 pep chromosome:SL3.0:10:47640833:47649365:1 gene:Solyc10g050083.1 transcript:Solyc10g050083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVINDPIHQDVTVYQILGGRLLYLNITRPDISFKVQLLSQFFKLEAVMRLVRYIKISPSQGILFSSKTSLELEAFCSLSQYKKRMMMAQLLGQLIVDSRHGLADIFKLYSSYWSSDTMRFSHILEVQKAHTISRSSAEAEYRSMVSVVSEIIRMELNVIVRIPIRLHFDRKATMQLATNPIFHERSGLILPTYVNTKQQPADILTKGLGAASHHMLLAKLGVFNKKETNRSAIAWIRDILFWLLE >Solyc12g039040.1.1 pep chromosome:SL3.0:12:53105659:53106432:1 gene:Solyc12g039040.1 transcript:Solyc12g039040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLVVDLTLTSGHHFTLHMHRELMHKANSSRRASRHQPNPLPVLAILAKDVGEQASKEKEIFSPILKRWHSFAA >Solyc06g051160.3.1 pep chromosome:SL3.0:6:34407674:34413101:-1 gene:Solyc06g051160.3 transcript:Solyc06g051160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRECGTLQNSMGAITLSCFVNSIGGEAPNSSNALPSKPKLSPLLSFSKPSWVVRTESNVRKERRKQPDPPCVVCKGNGRVECYHCHGRGRTNFLDLEMLPRGEWPKWCKSCGGSGLGYCSRCLGTGEYRYIMGFHFMKRDDEPEV >Solyc04g009290.2.1 pep chromosome:SL3.0:4:2734763:2738628:-1 gene:Solyc04g009290.2 transcript:Solyc04g009290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFVSFAVKKLGDFLIQEINLLTSLREDIQWLRNELLFMQSFLKDAELKQSGDQRIQQWVFEINSIANEAVAILETYSFEAGKRVNRLKACACICRKEKKLYNVTKEIQSLKKQIMDIARKRETYGITNINSNAGEGPNNQSAMVRTLRRTTSYVDDQDYIFVGFQDVVQTLLAQLLRPEPRRSVVSIYGMGGLGKTTLARNLYTSPNIVSSFPKRAWICVSQEYNTTDLLKTIIKSIQGRTKGTLELLGTMTEGDLEFHLRDLLKECKYLVVVDDVWQREAWESLKRAFPDGKNGSRVIITTRKEDVAERADDREDQVVEADNIIRLWMAEGFIPRGERMEDVAESFLNELIRRSLVQVANTFWEKVTECRVHDLLHDLAIQKALETVHIGGIVRLDLVFASVETIYVTVWASPSVSPLHLGKRGNADELKNNHTGREVKARGTSWDVKSMDVAVAGLGWFSLGLKGEADFVMWTYDGIQITLREPLVLDRAASIERPGFWLPKAVSEAIANSSKLEGQEAREKNPSEEAMQLP >Solyc10g047930.2.1 pep chromosome:SL3.0:10:43049042:43054441:1 gene:Solyc10g047930.2 transcript:Solyc10g047930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-methionine (R)-S-oxide reductase [Source:UniProtKB/TrEMBL;Acc:K4D052] MGSHILKISPFASSTPLFINATPFLRFQAKKVVSICGHPKTQFRFSSSSSSSSKRGFRGGVVAMAAPDSVHKSEEDWRAILSPEQFRILRQKGTEYPGTGEYDKFSGEGVYQCAGCSTPLYKSTAKFNSGCGWPAFFEGLPGAINRTPDPDGRRVEITCAACGGHLGHVFKGEGFPTPTDERHCVNSVSLKFTPANS >Solyc01g105020.3.1 pep chromosome:SL3.0:1:93206296:93220390:-1 gene:Solyc01g105020.3 transcript:Solyc01g105020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSLCKFLDEHSDGTLLFRFGDPREIAKEDELEEPLLDSEEEFSVVKVLDGDNEREVIVKKVEREARGEAVTVVTDAGVSESVVIGNGRNDLVEVETGLSKNFLGDSAVEIGSFSPEGIQNESVAEVDIENAVHVEEIFEKKDDSEASVPPPPENSITSLEVEEEMMGQTSDESFEELDDDKSLVLSSPTAMPVYSDLVNTKEIEEFEGEGSSQLTENDGDKDCCYDSTHSKENGAASADIEAAHNDIEIVQQIEQISENIGSILEGSTESVPEGINLGNAKTVADEISPENAPEGINLGNTETVGDEISPDNAPEGINLGNTEAVVDEISSDNAPEGIDKGNTETVVDEISSDNSPEGNDQGNTETVVDEISLENSLDGIDLGNTETVVDEISLEKSLDGIDLGNTETVVDEISLENSLNGIDLGNTETVVDEISLENSLDGIDLGNTGTVVDEISLQNSLDGIDLGNTETVVDEINLENSLDGIDLGNTETVVDEISLKNSLDGIDLGNTETVVEEISLENFLDGIDLGNTETVIDEISLENSLDGIDLGNTETVVDEISLENAPDGLDLGNSETFVDKISPENALGGINLGNTETVVDEISPENVDIRHLSMDAEDQSIEVLNFENDEQTELSNLSVDTEDQASRNSTFKDSDVTEVMPDSPKLEAEPVFDEEVDHNIISESNESESPLPVAIPKFRAMFIFILVRDVTCPFARFLSEFRRHKTDPQAQLDMSDENLPSLSLEEERKEDVENNEIMEVYGHEVVEDKSSEVLVSSKEATPAAAELILSSGATLLQYPSKAFAGGHEAYFIACGKWLGVADAVGSWSLEGSDPGVYAQELMQNSQSIVSQCDKDSINDPKQVLNLSVSKTDSPGSSTVLIAHFDGKALHVANIGDSGFIIVRNGNVYRKSSPMLHEFNLPIQIEKGDDPYQLLEEYKIELDEGDIIVTATDALFDNLYDQEIVSIASRSLEADKSPQEIAEILATRVQQVGSSASGRSPFADAAQAAGYVGYTGGKRDDVAAIVSVVQKFFDVSKKVTVTSMLMGFKSASRSAPAPAVDCNNLVLNLADCLSFVTNGSTEKKPEGTCCSGLKMVLKTDAECLCEGFKNSAQLGVVLNVTKAMALPAACHVSAPSVSNCGSLSPIAGSPTISAVAPATTAGVNAVTPVPAPGTSDSTTLAALSIGQLGLTLVVAVFCWF >Solyc02g087580.3.1 pep chromosome:SL3.0:2:50607861:50613184:1 gene:Solyc02g087580.3 transcript:Solyc02g087580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFNRAKVVRLKGHLGKYLVADDDERTVRQSRNGSSKKAGWMVEMVQGNPHLIRLRSCNDKYLSASEDAFLLGMTGKRVLQALPGSTADGLIEWEPIKEGYQVKLRTRGGKFLRANGATPPWRNSVTHDLPHRTATQDWVLWDVDVIDISVLDSESLQSYPSTLSTFSSFAEDDFNDYSNIRRSVSEIPSCPSISSDRSSCSSSRQNGMEFFDRAEAVRLQSHLGKYLLADEDEQTVRQSRNGSSHRARWTVELVAGKNNLIRLKSCYGLYLTATEQPFLLGMTGKKVVQALSTKNMDGSIEWEPIKEGFHVKLRTNEGKYLRANGATPPWRNSITHDVPNRTATQDWVMWGVEVVDITISDTNESVSTSLSPASSFNSVLDHYTTSPTGSPNVVNYRSKRSMNTKNSGMEFFQKAKSVRLKSRHDKFLLADPDQETVYQDRNGTSQGAKWTIEFPEEVENVIRLKSCYGKYLTATDDQFLFGVTGCKVVQSLPKKLDSLIEWEPMKDGFLVKLKTRYGNYLRANGGLPPWRNSITHDIPHRHHDWILWQVDIVEILPESEIIPQSRLDDNSSSSFHFTSPTYHESESRDAFDASTVKSEGRLIYYHVADENGYANDAVEGPSFQFKGHSVGELTQKLEEITGMDDITVCSRNKFNGNLYPLRLALPPNNADMNVVVVPASAKSK >Solyc01g065570.2.1 pep chromosome:SL3.0:1:71744228:71745701:1 gene:Solyc01g065570.2 transcript:Solyc01g065570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNCGNWELTSEICDLSKGVPSCSHRSMYTTHSEFIDWYLLLDVDESVGVDVIKQRYRKLALQLHPDKNKHPKAHIAFKLLSQAYVCLTDEASREAFETDRRNHICTKCEKTLGHGNVAQVAKTFPPPDKARTVSRSISRHVKELKARFMEEVAVIKTCSKAKSSTSTYSMNQPQTFTRRNETPIFNPSNYELQGYPHPNNYRKLLHRFQERNTDKHVSSYPVFELRSEKEAYLHRF >Solyc04g009145.1.1 pep chromosome:SL3.0:4:2655282:2662189:-1 gene:Solyc04g009145.1 transcript:Solyc04g009145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFKNLKTTTSSLRVVIITLLPSCYLEMPVSNFPMLLYAINHRPPQDASVSLQTTSKSSVFTFTSLESISLEYGNSVISNKVDLKHPLLNSLIYNLLLLCIFKERLHEEQLISQPPHILSETCMQGSFLYEEIPSF >Solyc02g062630.1.1.1 pep chromosome:SL3.0:2:34920707:34922554:-1 gene:Solyc02g062630.1 transcript:Solyc02g062630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLKRITSVDSSFLRLFTTPAIYELNFTLQKLEVQPTHHHLQQLLLSHFSNTNNISPYSSQAYATLFHACARLHRLDVGRKLHHHYTLSPHLITPQLYTINHLLNMYAKCGDLEYAHHLFDQMLHKNMVSWTCLISAYAQYGKTDQCFRLFNNMLTHYTPNDFAYASVLSVCDCSSSSRGRQVHALVVKTGFHTCVYVCNALIAMYSRNAGSDEAWKVFNDMEFRNIVSWNTMIALFQMCGQGDKAMSFFSSMHRDSCLGFDRATLVSVLSSLLGMEEIDFSWGLRSCFQLHSVGVKTGLILDVGIVTALVKAYSILQGEVSDCYKLFLETNGCQDLMLWTEIIVAFSERDPEKAILLFGQLLREGLSLDSYAFSIALKACAGLLTDRNALIVHCKVIKSGFVDALVLGNALIHAYARCGSISRASQVFEEMRYRDIVTWNSMLKAYALHGKANEALGLYSKMDVKPDAATFVALLSACSHAGMVQEGIQIFDAMFAKHGIVPQLEHYACIVDIVGRAGHIFQAEKIIKEMPMQPDYVVWSAFLGACRKHRESGLAQIAASQLKELDPENSLGYVLMSNVYCSNHSFNEAGHIRKQMRGLGVTKQPGLSWADLG >Solyc03g121010.3.1 pep chromosome:SL3.0:3:70720722:70741034:1 gene:Solyc03g121010.3 transcript:Solyc03g121010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMGELDQTKYCPFAKWWHDRQPVEEAVAEVRGDDANDPTTYFAFGGVVVECPMASYRPFPPSSQSSFVPPPPPPQNQNPPPPPSQSRGRRVETEEERRSRKKREIEKQRHEEKNRQHLKESQNKVLQKTQMLTSGTKGHGSISASHMADRRTTPLLSGERTENRLKKPTTFLCKLKFRNELPDPTAQPKLLTLRRDPDRPPKGVKIPLAPEDEELLRDDNPITPIKKDGIKKKERPTDKGVSWLVKTQYISPLSTESAKQSLTEKQAKELRETKGGRNILENLNKRDRQIQEIEASFEACKSRPIHASNRRLQPIKVQPLYPDFDRYKDPFVLANYDSAPTADSETYSKLDKTVRDACESQAVMKSFVATSSDADKPDKFLAYMVPAPNEVRGDDADDPNTYVVAFGEREARYMFAPLHPTEGRWDVIVALWLTVNRSHRG >Solyc08g067740.3.1 pep chromosome:SL3.0:8:56769928:56788791:-1 gene:Solyc08g067740.3 transcript:Solyc08g067740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRIARIQNETPWLISMKELRMLGASTSLYGYTVQVNPYDSTEIPASLFLNRNHQYGMPSEIVIFTNGAEVRRSLISQDFVRYVKIILSVEGPYLVVRGVLPQVLDICWFCAMSEYNNLSYSSVKLSHWYTGPLSVQVEQLTSKEDKDKNLMADNKEAIGKVDKEETLEEDVEKIDRIYEEELSKGSRRLKGEKEPKFLTFDGLHFKEERVPVDVPRESLRGGSLRLTLLLVGQQRPGSGATHFSSFDVCCSSTDTLVGGL >Solyc12g035400.2.1 pep chromosome:SL3.0:12:40179317:40184848:1 gene:Solyc12g035400.2 transcript:Solyc12g035400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4DE01] MKKKGSDLLPFIAMVIVQVGYAGSNVVTKLVMDTGMHPFVQTAYRPIFATISIAPFAYFLERKTRPKLTLSVFFQIFLCSIIGVTANQYTFCIGLKYTTPTIVSALDNLIPAFTFLLAILFGLEKLGLKSLAGQAKLIGTIVCVGGAMLLSLYRGPVLIGQLGFHWKYAENTTNDKDINSTHTNFILGPFILIISSITYALWLTIQARVNEKYAAPYSSTLLMFFMASFQCMIISFCVVPKAYEWALNPIRAISVVYNGTVCSSLALYLSSWCIERKGPLYVSMFNPLLLVIAAFLSWILLREKLYLGIVVGSILTVVGLYGFLWGQKKEMEQSKNEIEEEDNKADLELQL >Solyc09g072690.1.1.1 pep chromosome:SL3.0:9:65732277:65733983:-1 gene:Solyc09g072690.1 transcript:Solyc09g072690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFPFRFKPKSYLSIFLNTSFLFLLIFYTLTSNVTLNQSQIRHIHLSNTNNNDCSNLHDFSDAQSKCTYIKENINSCSTKGYLNYLQFFYCTLGTLPKLGYVTLVVWLILLFYLLGNTAAEYFCPCAESLSKVMNLSPAIAGTTLLPLGNGANDVFSSIISFTRSSNSGTVGLNSVLGGAFFISCFVVGVISMLVCMTKSQLGVTIDKSSFIRDVLFIIFTLSCLVGVIIVGRVSVWIAICFTSIYVVYIWVVCVMHFLVRNVNLHDKEDDGFDRDVEVPLLGCIDEEDCEKKTIQMSSSTPIDAASTSCCIFLHRFLGVLELPLYLPRRLTIPVVDEERWSKPMAVISATLAPILAAFVLSLTGEVIVYSNTNIVILMISVFVGLILGNVAYFSTQNSSPPKKCLWIWLLGGFVMSTTWTYILAQELVSLLVSFGYILGISPSILGLTVLAWGNSTGDLISNVALALNGGKDGVQMALSACYAGPLFNTLIGLGVSLVLASWWEYPTSFVLPKDPFLFETLGFLILGLLWALVVLPKRNMQPDYSLGVGLLAIYFCFLFLRFAKGF >Solyc07g017823.1.1 pep chromosome:SL3.0:7:8171212:8175049:1 gene:Solyc07g017823.1 transcript:Solyc07g017823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMCMRRYEDIEKGKKNFKGKLTEDEPYYDSSDCDSFKSDEEPVFDDELEGGSLRGRKKSNRVSTTGKKRGRGHYERTSTSKTGTRRGAGSGYKKRPKVVGQGVFVADTGYTCINQGLSSRRRVNTVW >Solyc11g022490.1.1 pep chromosome:SL3.0:11:14122728:14123937:1 gene:Solyc11g022490.1 transcript:Solyc11g022490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIIADRHLRIIKKYFTEGDGLAAYKILVFLVEHVVQTIRARCLYRVEDCKDTDDFMVLEGGVNGSRDILREYMVLGSQIHIAPICMVVVSGVHIFKEVFYVRGELVLVFDEVPNFSLYRGDAVPSPIVDGGVLDKGLEGRVSTPHGFHRKRFSTFLGTFTIKGDEHRIMVILSPSEFLPSMKDFIRVSTNDPFPFDGTLETIEIFPELISNAKIWAGIKTKKNKEEELGVP >Solyc10g018145.1.1 pep chromosome:SL3.0:10:6750022:6750756:-1 gene:Solyc10g018145.1 transcript:Solyc10g018145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERMKLFFSQRSYIQKVLARFGMSVSKPIDTPSAANIHFTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRLMTGYVFTLGGSVVSWKATLQPTVTLSTTEADYMALTEAAKEGIWLKGL >Solyc03g097380.3.1 pep chromosome:SL3.0:3:61152076:61153442:1 gene:Solyc03g097380.3 transcript:Solyc03g097380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCEGCARKVRKSLKGFQGVEDVITDCKSHKVVVKGEKADPLKVLERVQKKSHRKVELLSPIPKPPAEEAKKPEEKEIVKPEEKKEEPPQVITVVLKVHMHCEACAQEIKRRIQKMKGVENAEPDLKNSQVAVKGVFEATQLLDYVSRRTGKRAVLV >Solyc04g081235.1.1 pep chromosome:SL3.0:4:65311367:65318008:-1 gene:Solyc04g081235.1 transcript:Solyc04g081235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4BVK3] MGSVEEKNKPGSLVSGAHTLLEEMKLLKEMQDHTGGGRKLISSELWHACAGPLVTLPQVGSLVYYFPQGHSEQVAVSTNRTATSQIPNYPNLASQLLCQVHNVTLHADKETDEIYAQMSLQPVNSEKDVFPIPDFGLKPNKHPTEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYSMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSMFVGAKRLRAGDSVLFIRDEKSQLLLGVRRANRQQTSLPSSVLSADSMHIGVLAAAAHAAANRSTFTIFYNPRACPSEFVIPLAKYRKSIYNTQLSVGMRFGMMFETEESGKRRYMGTISGISDLDPLRWPSSKWRCLQVEWDEPGCGDKQNRVSPWEVETPESLFIFPSLTAGLKRPYQSTFLGAQTEWDSLMQHRPFMRVPENVYGDLQSSSISNLWSEQLMKMLIRPPPGLTGLQCGVPTVQDIKVALPQEARNVVQPAGNQKPELITVEATPAQSETNSEVVLNQPVGVVNSISSQQATLQAKSKPPEKVETDIIGKNSEPRKETSNSSVKLDQFQCNEDKVAIKPASPHDLPTDASVTASHHNSFSQLQASPWLIPHNPQIDSAASNNILQCPTNNEWNMSSLQSAAGLLKYPVSTSTLTKHDNSFMLPDTIGHGLAPIGQDLWDHQLNDVKCFSQTNLQVPLDITNMQFLPDSYGFKDLSEESHNQSDIYSCLNFDSNSGSTVIDNSVSSTVLDEFCNLKHTDFQNPSDFLLGNISSSQDVQSQITSASLADSQNFSVQEFADNSGGASSSNVNFDECNLLQNSSWQQVAPRVRTYTKIQKTGSVGRSIDVSGFKNYEELRSEIERMFGLEGLLNDTRGSSWKLVYVDFENDVLLVGDDPWEEFVGCVRCIRILSPTEVQQMGEEGMQLLNSAGLQSINGSTSEFPN >Solyc04g051097.1.1 pep chromosome:SL3.0:4:49497780:49561241:-1 gene:Solyc04g051097.1 transcript:Solyc04g051097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSKSPLFRCRTLIKARMAKSPRTVREEFSNLLELNKLFNPFERLVPQFIDGTFKYPETLQLGPIRSKFDPVASPWQSLEVDLTVPKASSKLPIGYQRYLGPSDRSPNPTLSQDSQHHGRVLTIDCPVNGTGNSRKYSEKDHSHFLDRDSG >Solyc06g011580.1.1.1 pep chromosome:SL3.0:6:8159259:8159540:1 gene:Solyc06g011580.1 transcript:Solyc06g011580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIILTRGSSRGETRGRRRRWGLRVWYNAFLGVCLFRFLGIACFFFWVFGAVGSVEGEERGKGKIGSGRSGQMGTGRVRFLSLVGWVLGKELG >Solyc12g010770.1.1.1 pep chromosome:SL3.0:12:3697380:3697619:1 gene:Solyc12g010770.1 transcript:Solyc12g010770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTWRLCVDAPIVDMVMLVLDLEFSSLVNSKFLVHVTLAALCVRARVCGCACVRVCVRVRACVCACVCARACVRVRACF >Solyc04g009050.3.1 pep chromosome:SL3.0:4:2611411:2617861:-1 gene:Solyc04g009050.3 transcript:Solyc04g009050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKDSRAGRLDCVFPSKHDKDSVEEVSSLSSENTRTSNDCSRSNNIDSISGEVQPNNPILDDKNSAVRDNLHSYPLGPVSQADDDLGFLDSNHEDKSSTELLYYNWPEMENFEDVDRMFGSCDSTFGVGASIEDDLGWFSSSGVIEGSGGGLRSDLEFAFSTSSALENISGMQEASRSKETGNSINDSGTKDQLFSQTGSSMPSEDESAVLSHLSFTNGSSDSDFKIVPIKKINLHKINSKLQNQSEGKRKHVYVENGETFNYIDSLPEERNHPTAITGSQALTSTGGWYRNK >Solyc07g042460.2.1 pep chromosome:SL3.0:7:55714042:55724861:-1 gene:Solyc07g042460.2 transcript:Solyc07g042460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKSFLRSSSLGSCSSKRSSYSRNFDLPEDIAVPAETLDTGGEYVGGAMLPIFLNDLRRNNDNDLVEVTLELDDNSIVLCSVAPTPSHMNEGSERGEEEAPPNGFLARSASAASKLRRKFSWIRSPSVMSRTSAAASEVSDDNYQLHHTSNTLSAREEMKSKLKLVRSKSTAQRALGGLRFISKTTGESDTNVLWKKVEARFDALAKDGLLAREDFGECIGMEDSKEFAVGVFDALIRRRRQKAAKITKIELHDFWLQISDQSFDARLQIFFDMADSNGDGKITRDEVQELIMLSASANKLSKLKERAAEYASLIMEELDPECLGYIELWQLETLLLQRDNYMTYSRPLSTTSVGWGQNLGTLNKTKNLVKRASYAFKCLVLDNWQRGWILLLWVMVMAVLFTWKFLQYRQRAAFQVMGYCLATAKGAAETLKLNMALILLPVCRNILTWLRSTRAKLLLPFDDNINFHKVKCTQTEYIVQHPRIFLTMLFQLQIIAYAIGVGILLHAGNHLACDFPRLINSSPEKFALIASDFDNVKPTYKSLLTGIEGVTGIAMVILMAIVFTLATRTFRRNVLKLPPPFSRLTGFNAFWYSHHLLAVVYVLLLVHGTFLFLVHQWWQKTTWMYISMPLLLYVAERSLRTCRSEHYAAKILKVSVLPGDVFSLTMSKPNSFKYKSGQYIFLQCPTISSFEWHPFSITSAPGDDYLSVHIRMVGDWTNELKRVFTEDDSSACEIGRAKFRERGNVDQRGLPRLLVDGPYGAPAQDYQNYDVLLLVGLGIGATPFISILKDLLNNSRSEELDSTTETSASDDSWTSLASSSMASTGKKKSLRTKSAHFYWVTREPGSFEWFKGVMNEMAEIDHKGLIEMHNYLTSVYEEGDARSTLITMVQALNHAKHGVDILSGTQVRTHFARPNWKEVFNKIASKHPYSTVGVFYCGLPALAKELKKLSQELTYKTSTRFEFHKEYF >Solyc08g077680.3.1 pep chromosome:SL3.0:8:61704553:61712109:-1 gene:Solyc08g077680.3 transcript:Solyc08g077680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFVKSSKMKRMESKKSHAWWWDSHINPKNCKWLQENLEQMDQNVKRMLKLIEEDADSFAKRAEMYYQKRPELITLVEEFYRMYRSLAERYDHVTGELRKNIPSDLQSQGSGISDVGSEPPSRLPSPDRRPSRPKPGPRAAGFEFFLGAGGSSSDLAKEGDESSTLDSESESDDSSINNYSSTISNDDDHGLRKKIGELEVELRDVKEKLRKQHEEVSESSVRGSHVDNDNLIARISGYEEDLRNAKEKIRLSEEEISRLRIELQKYVSGDFVKNVHELTAGQEAKISDEGLQENAIEEEPQVLDPEGKIRTLEEELRSTIEKLHDSEKEVERLRDELKSNGSSVKLLHEQLGSAQKDISGWKAKLEREKREVTKLQDRIARYKSNLSDRDQEIRGLKESISNANKALAEENLDLQCEITKLLKERAYLEDSIKEMDLRCQSLEEDVRRAVAGKEEMEMLLKSEIEQLKLTIAERDNHIEELNRNLDALYQKYDALQTEKDNLNARVALLDEDLRTKDDQIDQMNNHLNQLHIEHVKLISETEGARKLVEELRSRVKEVEREVERQKEIVLEGAEEKREAIRQLCFSLEHYRNGYQRLRQALEHKRLPVMAA >Solyc06g031670.2.1 pep chromosome:SL3.0:6:20650475:20654632:1 gene:Solyc06g031670.2 transcript:Solyc06g031670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINMSVSSLSSSSPPSWSWHLNSYSEFHPPSYYCVNIKPICIQIFSASWICLIISAAVYYRLKKIRNQKIIPRIELSRIGQVLKFEKFSHYVARQMGFADRRQCPHLCKLATEYIIKSDGCEEDMYNYFSNEPDADSLFIKLVEEFERCILSYFAFHWSHVPYMMSQVLSADHFEPKKKLQNIVMAATREQRFERVTKNLKVARVITTLVEEMKAIGLVSSDDSQCTDVMVPMAHKDRSPVLLFMGGGMGAGKSTALKEILKEPFWIGASANVVVIEADAFKESDVIYKALSSRGHHDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRRRYRMGTGYKVEEDGRVTENYWEQIDEEEQETDGTRKRRPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVNSQLKSHKRFANAFDTYCQLVDNARLYSTNTLEGPPKLIACKDRDKTLLVDPDEITVLKMVGRLNEGADSIYELYKNPHPAYQSGSVWKDIVMSPSRLNIQKEL >Solyc03g051660.2.1 pep chromosome:SL3.0:3:22343328:22345828:-1 gene:Solyc03g051660.2 transcript:Solyc03g051660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYEKDPKAAMVGLLNMIFEIFKCFVFLMNESSFNHGEREALRSCLEALNFWAAEIWWKATLVSSTELEALGYSSDICNGSSFQTWMVMFEYSLLVNLKMLDDL >Solyc03g097500.3.1 pep chromosome:SL3.0:3:61273074:61275221:1 gene:Solyc03g097500.3 transcript:Solyc03g097500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKHSVAIELTVKQGVPSLVSPAEETEKGPYYLSNLDQNIAVPVRTIYCFKSEEKGNDNAAEVMKDALSKVLVHYFPLAGRLTISQEMKLIVDCSGEGAVFVEAEANCNIEDIGDNTKPDPVTLGKLVYDIPGAKNILEMPPLVAQVTKFKCGGFVLGLCMNHCMFDGIGAMEFVNSWGEIARGLPIKVPPFLDRSILKPRNPPKPEYTHNEFAEIKDISDSTKLYQEEMMYKAFCFDPEKLEQLKAKAKEDGNVTKCTSFEVLSAFIWKARTQALQMKPDQKTKLLFAVDGRSRFDPSIPRGYFGNGIVLTNALCTAAEIVENPLSVAVKLVQEAVKLVTDSYMKSAIDYFETTRARPSLTATLLITTWSRLSFHTTDFGWGEPIVSGPVALPEKEVSLFLSHGKERRSVNVLLGLPASAMKTFEELMEI >Solyc01g106310.3.1 pep chromosome:SL3.0:1:94128635:94130351:-1 gene:Solyc01g106310.3 transcript:Solyc01g106310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNEPPKYGYPYPSQGQGYYQGGPPPVMAPPTYAAPPPPQKNRGFLEGCLAALCCCCLLDECCCDPSVICVL >Solyc01g103620.3.1 pep chromosome:SL3.0:1:92061425:92064991:-1 gene:Solyc01g103620.3 transcript:Solyc01g103620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLCFSPLTSTTPKHYSRIPLYKPITTLTTPKILNPNFKKVKCVPDHPQNLSVIQEKPRWANWLSTAESLYPVYITVGGVVACLKPSTFSWFVKCSPTSYSLTLWFIMLAMGLTLEIKELTNLLLQRPLSILFGCAAQYTIMPALGMILSKILGLPPSISVGLVLLACCPGGTASNVVTLIAQGDVPLSIVMTACTTVGAVVLTPFLTTILAGTYVPVDAVKLSISTLQVVVAPILLGSYMQQKFPKAVKKVTPFAPLLAVLATSLVSARFNCSSSSFFLPSIFSENVGHLRSSMVGMSLSSDLPLISRAQNVLASEFGLVAVSVASLHFAGFFVGYLSAALAGFSEPQRRAVSIEVGMQNSALGVVLATSHFSSPAVALPAATSAIIMNIMGSCLGFFWRCINPTQPKSSLEVTDKS >Solyc11g017200.2.1 pep chromosome:SL3.0:11:8019536:8023734:-1 gene:Solyc11g017200.2 transcript:Solyc11g017200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIQSTISLPLQKSVLVPAKIATSGSKNGCFLDLNGRCGSKSASYHFPRRPIKGNSIQLKIYARKSESLPILLSEKVDELPEMLLNGGDITISSGEILSLSAFSCGSIFWLTSGQVALASEGVRVNMVYEVGELFELGIQLTYLLLLLALLGVGSFFVIRQVLVRRELDLSAKELQEQVRSGDASATELFELGAVMLRRKFYPAATKYLLQAVEKWDGDDQDLAQVYNALGVSYVLDGKIDKGIAQFENAVKVQPGYVTAWNNLGDAYEKTKDLTSALNAFEEVLLFDPNNKIARPRRDALKDKVTMYKGVSVKSKKS >Solyc08g065220.3.1 pep chromosome:SL3.0:8:53147737:53155031:1 gene:Solyc08g065220.3 transcript:Solyc08g065220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system P protein [Source:UniProtKB/TrEMBL;Acc:K4CLA3] MERARKLANRAILKRLVSQSKQSRSNEIPSPSSLYRPSRYVSSLSPYTFQARNSVKSFNTQQVRSISVEALKPSDTFPRRHNSATPEEQTKMAEFCGFQSLDALIDATVPQSIRSESMKLPKFDGGLTESQMIEHMQKLASKNKVFKSYIGMGYYNTYVPPVILRNLLENPAWYTQYTPYQAEISQGRLESLLNYQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNILKGKKKTFLIANNCHPQTIEICKTRADGFDLKVVTVDLKDIDYKSGDVCGVLVQYPGTEGEILDYGEFIKNAHAHGVKVVMASDLLALTMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGLSVDSTGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIGQRVHGLAGTFSAGLKKLGTVEVQDLPFFDTVKVKCSDAKAIADVATKNDINVRIVDNNTITVSFDETTTLEDVDDLFKVFALGKPVPFTAQSIAQEVENLIPSGLTRETPFLTHQIFNSYHTEHELLRYLHKLQSKDLSLCHSMIPLGSCTMKLNATTEMMPVTWPSFANIHPFAPTEQAAGYQEMFDDLGALLCTITGFDSFSLQPNAGAAGEYAGLMVIRAYHMSRGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINIEELRKAAEAHKDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVKKHLAPYLPSHPVVSTGGIPSPDQSKPLGAISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILSANYMAKRLEKHYPVLFRGVNGTCAHEFIIDLRGFKNTAGIEPEDVAKRLIDYGFHGPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAQIEKGNVDINNNVLKGAPHPPSMLMADAWTKPYSREYAAYPAPWLRSAKFWPTTGRVDNVYGDRNLICTLLPVSEMAEEKAATA >Solyc01g105080.2.1 pep chromosome:SL3.0:1:93252109:93254476:1 gene:Solyc01g105080.2 transcript:Solyc01g105080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSLFIKYYVVLIFMMKLCTVSIAEEGSRIGYHGTERDALLAIRAEFNNPKFLQKSWNSLMCYMNNTPNWYGISCINGRVTGLVLENLGLTGKLKANALANLTQLQTLSFKNNSISGNLMNFSNNQNLKNIDLSGNSFDGEISPSLLTLHSLESLQVQNNKLNGPIPGFNQSTLKVFNVSNNNLSGEIPSTIALQKFGPSSYLGNHDLCGPPFTNTTCTTIKDNESSTPTPSDSSHNESSNSSKLSMLTPILVVINVVGIVVLLYLVIYYFRKTKKLKKMLMNKDTRLMEVDKMEISKTEITTTPTETRSVESRSLASEVELEKGKLIFLGSEINFELDDLLRASAEGLGKGNFGNCYKAMLVDGPTVVVKRLRDLKPLTNDEFVRQVRAIADIKHPNLLPILGYYHTRGEKLLLLKSAPNGSLYNRIHGGKGTRGRIPFRWSSRLSVARGIARALEHLHLNASSSQFVVPHGNLKSTNVLLDENDDVRVADFGLTSLVALPIATQRMVSYRSPEYLASKKVSKKSDIWSFGCLLLELLTGRISSHSAPPGVTGADLCSWVHRAVREEWTAEIFDTEISVQRSANSGMLKLLQTAISCCDKSPEKRPEVSELLREVESINGVVPESEDEDDLSFSLDQSMTDDSLATATPSR >Solyc03g096920.3.1 pep chromosome:SL3.0:3:60718258:60734148:-1 gene:Solyc03g096920.3 transcript:Solyc03g096920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exportin-1 [Source:UniProtKB/TrEMBL;Acc:D1MAF2] MAAEKLRDLSQPIDVSLLDATVAAFYGTGSKEERAAADHILRDLQNNPDMWLQVVHILSSTQSLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVKLSSDEASLRRERLYISKLNIILVQILKHEWPARWRSFIPDLVAAAKTSETICENCMAILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSVSHRTELIRATLATLHAFLSWIPLGYIFESTLLEILLKFFPLPSYRNLTLQCLTEVAALNFGDFYNEQYIKMYTIFMGQLQSVLPPNTSIPEAYANGSNEEQAFIQNLALFFTSFFKSHIRVLESSQENIGALLVGLEYLINISYVDDTEVFKVCLDYWNSLVLELFEAAHHNLDNPAMTTNMMGLQMPLLSGMNDGLGAQLMQRRQLYSGPMSKLRLLMISRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHDDTEKQMLKKLSNQLNGEDWSWNNLNTLCWAIGSISGSMVEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVVVQVGENEPFVSELLTTLPTTIADLEPHQIHTFYESVGQMIQAEPDPQKRDEYLQRLMELPNQRWNEIIGQARQSVDYLKDQDVIRAVLNILQTNTSAASSLGTYFLPQISLIFLDMLNVYRMYSELISTSIAQGGPYASRTSIVKLLRSVKRETLKLIETFLDKAEDQSHIGKQFVPPMMDPVLGDYARNVPDARESEVLSLFATIINKYKGAMIEDVPRIFEAAFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFAALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLAMLKNFQNSEFANQFYRTYYLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCMVVMLSEPLWDASTVPCSYPNNVEFVREYTIKLLSTSFPNMTAAEVTQFVSGLFDSTNDLPTFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMRSIPGLIAPNEIQDEMVDS >Solyc10g024460.1.1.1 pep chromosome:SL3.0:10:14292491:14292823:-1 gene:Solyc10g024460.1 transcript:Solyc10g024460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWDFSQIMHTPTWNVLILEVTSANGMRHQPRPTYTDMVCVHVSSNISQRHSTSVVRLQPWYVCIGSGLCASVKRQRPMGGYYSQGLHASVVVCVHRLNNIDPGMHTSFR >Solyc12g014060.2.1 pep chromosome:SL3.0:12:4869559:4874662:-1 gene:Solyc12g014060.2 transcript:Solyc12g014060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLKNPKKQFQLNPNWAQLRQQLNSNGFQKQLSNPSETENPNSILGKRKSRPSDDSGDATPNPLTPTSSDSSVTDVIGMDCEMVGVSSVGNRSALGRVTLVNQWGNVIYDEYVRPVERVVDFRTKISGIRPQHLKKAKDFRVVQKEVAELIKGRILVGHALRNDFKALLLGHQKQDIRDTSEYKHFLREGRSRALRNLATEVLGVEIQNGEHCPIEDARAAMMLYLKHRKEWEKSIKDFARLKEKQKKRKPKKKKRSLRRPASE >Solyc02g083800.3.1 pep chromosome:SL3.0:2:47622681:47623488:-1 gene:Solyc02g083800.3 transcript:Solyc02g083800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTVSKFSNPKGYGFIKPDDGSEDLFVHQSEIKSDGYRSLYEGQKVEFTMTVKGDKYQAIDVTGPDGAPLDSGRNGRGNSNSRGGGGYGYGGDDGGYRRNGNDGGYRSAGECYNCGRTGHLARDCDRNSGGGGGGRGGGASGGVCYTCGGYGHMARDCPSAGRVGGRGGGGSGACYSCGAQGHLARDCPGGGGSNFGRSGGGSGGSKCYNCGEAGHFARECTSQSVN >Solyc07g007960.3.1 pep chromosome:SL3.0:7:2649056:2657927:-1 gene:Solyc07g007960.3 transcript:Solyc07g007960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVCGKRSNFFEDLQSSPSPPVSKRIRCSPSSFSPSRSFTTPSAIDHLISVFPDMDKQLLERALDECGDLDSAIKRLNELCLGSAENLGPLPGRSDATQDIGIPISTQGNGGPTPCSSAAKELHMMERTEWVELFVREMTSASNIDDAKARASLALEAFEKSICARATEAATRNFQQEHVMLKQQVEDLLQENNILKRAFAVQHERQKEFEDRGNEVNQLKQMVAQYQEQLRTLEVNNYALTMHLKQAQQGNSIPGRFHPDVF >Solyc04g081370.2.1 pep chromosome:SL3.0:4:65465618:65469014:1 gene:Solyc04g081370.2 transcript:Solyc04g081370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRTSSTIALFFSHFLALFLMALLTFLPETSEKNQPSKRRKKQQKQNKEKQKQPSSWDQFKNLLTCKQIENSTVHDPASKNPPPSAAAAAPAGAYSKLSSCSSICSFRDVVHGNTRVVHRADNSPESSSLGQETRLLSKNKTSHHDPSSSSSRSLARSNGNGSSTYTTSSRGMQFRKLSGCYECHMIVDPSRYPLPRSTICACPDCGEVFPKIESLEHHQAVKHAVSELGPEDSSRNIVEIIFKSSWLKKDNPICKIERILKVHNTKRTIQRFEDCRDAVKIHAVATGKKNPRCAADGNELLRFYCTSLTCVLGARGSSSLCGSVPGCGVCTTIRHGFQGNKTSGVRTTASSGRAHDCLGSGMARRRAMIVCRVIAGRVKQNVGASPTKEEENCSGSGSGSGSGLSATGSTIYNSVAGHVGIYSNLEELYVFNPRAILPCFVVIYEALES >Solyc06g074000.1.1.1 pep chromosome:SL3.0:6:45883266:45884651:-1 gene:Solyc06g074000.1 transcript:Solyc06g074000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLSFALFFSLLSLLFSFISSSNSTTSTIPLSLFNTKHPSQDLYEKLTHLASISLARANYIKKSQDSPVSTTPLYPQSYGGYSITLSFGTPPQKIPFIMDTGSSFVWFPCTTRYLCTNCSVSSATSQSIPTFIPKSSSSARVVGCLNPKCGWIHSNNPKSRCQDCESPTNCKQVCPPYIILYGSGSTGGLALVDTLDLSNKKVPNFLVGCSLFSSKQPAGIAGLGRGLASLPNQLGVKKFSYCLVSHKFDDTGKSSNLVLDFNASGEKTAGLSYTPLLKNPVVSEKNALSVYYYVSLRKITVGGKKVKIPYKYLTPDSNGNGGSIVDSGTTFTFMNRGVFEPVLDAFVKQVKGIPRSESIEIITGLKPCFNISRQETVSLPELKFHFKGGAEMTLPIANYFSFAGEIDVICLTMVTDSAFGPELSTGPSIILGNFQMQNYLVEFDLKNEKFGFKQQMCK >Solyc06g007810.1.1.1 pep chromosome:SL3.0:6:1743953:1744759:-1 gene:Solyc06g007810.1 transcript:Solyc06g007810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYCLRGEVDRARRIFDILIDKGIEPTIFSYNILINGYRKKKNLDEAMQLFSEISQKGLKPSIVTYNTILQGLFEVGRIGVAKQLFVEMESAGLAPDLYMYGTLLDGYFKYGLVEEAMSLFNKLERKIENVNIIYYNIIINGLSKNGELDKAHAIFEKLSSMGLVPDVRTYTIMIAGFCQQELLDEAKDMLRKMEDNGCFPNNFTYNIILRGYLRCRKINEMVSFMKEMNGRGFSFDATTAELLVNAISENPSVLDMLPELHEEIKK >Solyc07g056170.3.1 pep chromosome:SL3.0:7:64153597:64187148:-1 gene:Solyc07g056170.3 transcript:Solyc07g056170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVVIDVSVNGCQPRPSLKNCILGWLKPMVPCLFKQLTCKNKVMTIAATLPGVEKVSLEEEKNLLTVIGEGIDAVELVNIIRKKVGFAKIVNQGPEPQKVVIRLSLNGNGQKDRTKAFKIAVSQSGVESAAITGDGKNQLEVVGEVDAATLTSLLRKNLGQADLQKIVIRLSLNGNDQKYRTKAFKIAVSQSGVESAAITGDGKNQLEVVGEVDAATLTSLLRKNLGKADLARTIVPIRLINTQFTKLQIPMVNPIVPLCEFQFSIAYGKQSQRVIIKLFIDRNNRKSRTKAFKIAVSQPGVESAAIKEGEDFELEIVGEHIDAVVLTNCLRKKLGHAQLLSMSPVVVVADNDNVRGGTGFNANPQTHSYPYFGSYVVYMGAHSHGKEVSSIDYDNVRDSHHEFLGSYLGGSNEKAKESIFYSYTRHINGFSAMLEDEEAIEISKHPQVVSVFENRGRKLHTTRSWSFLGLENNDGVIPSSSLWKKARFGEDSVIGNLDTGAWPESESFSDEGYGPIPSKWRGICQSDFDPTFHCNRKLIGARYFNKGYATLAGSLNSTFNTPRDTDGHGSHTLSTAGGNFVEGSSVFGYGNGTAKGGSPKARVAAYKVCWTPISGNECFDADILAAFDMAIHDGVDVLSVSLGGDAVPYANDSIAIGSFHAVKHGIVVVTSAGNSGPAVSTVSNVAPWVITVGASTMDRQFPSYVTLGNNKCYKGESLSVEALPKGKLFPIITAASAKATHATTYDAQLCKAGALDPKKVKGTILVCLRGENARVDKGQQAALAGAVAMVLANDYASGNEIIADPHVLPATQISYTDGLDVIAYVNSTRAPTAFITHPTTQLGTKPAPVMAAFSSIGPNTVTPQILKPDITAPGVSIIAAFTGAQGPTEQAFDKRRVKFNSVSGTSMSCPHVSGIVGLLKTLHPTWSPSAIKSAIMTTARTRDNSVEPMLNASYIKTSPFAYGAGHVRPNRAMDPGLVYDLTIDDYLSFLCAQGYNETQIKTFTLGSFKCPDPINFIDMNLPSITVPNLNSSVTITRTLKNVGSPGTYKARIRHPIGISAVVEPNSLEFKNINEEKSFKLTLKVKGSKAPKDYVFGQLIWSDNKHYVRSPIVVKCT >Solyc09g010720.3.1 pep chromosome:SL3.0:9:4029741:4033841:-1 gene:Solyc09g010720.3 transcript:Solyc09g010720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRRTLTMSWDGLGDDQDDDDNFFESRDRLSTAIPLDLGSSGSDDDNEYEDSRLSFVSTLSSASIKKFQGIEIETVSDHSFSSADYGMWMAEPGDIKERRKRLLQGMGLSSNKDLLKLKSAKIVRAISRKVEKIKDTKSTKTNESSRVKGLNQEREPVTIQPVKLVRSRSDGDIQYFSVNTKKRKDDLIGDISKQRLTRTFSGVLAPSIGTCQLTASVRMSPTKNRSLMQNGSDLLSNGNPDVGFASFFLIKNLDTGKEFIVKESNENGMWNKLSDIQTGKQLTMDEFEKHVGHSPVVKELMRRVNGSRSHDDDRKLNANSYLSKSFRNSKRRGVALLKNIKGVAHSMSGKIIDKEREQAVPEEHQKQNKNSSKWIKVRQHGKSCKEFTALHLSQEIHAHEGSIWTIRFSSDAHYLATAGEDTLIHIWEVQEFEVTNDLNSVGGTMGGSASASPNHPTSGSFSASPVHPITRSNSDRPPLPETGHSTSERRKKGKVSHKKKGNSVPEYVNVPETVFALSEKPIYTLKGHQDDVLDLSWSRSQQLLSSSIDKTVRLWDVETQSCLKMFAHNDYVTCIQINPMDDDYFISGSLDAKVRIWNIPDRKVVDWTDLHEMVTATCFTPDGQGALIGSHKGSCRMYNTSDCKLEQKDNIEIQPKKNSQLKKVTGLQFAPWNPSEVLITSADSRIRIFDGSDMIYKFRGFRNTSSQIAASFSSGGKYVISASEDSHVYIWKREEPRSPRGKVRTSISVQAHERFQCKDVSVAIPWLGSVKNEAPLVEMHSKRHSKRFLPPQYPNGGSPTKENSDVANSKRHTPPLPNKSNTLERVQSSHEEEDLAQISRTDSGNGHGESFASGSSSNRFGDSPSISASSSSRSQSWSSSWSQDGSNSHGSNVIQATAWGMVIVTASLGGEIRIYQNFGLPLKAGRQTNLFRDLT >Solyc02g050200.2.1 pep chromosome:SL3.0:2:4401261:4420839:1 gene:Solyc02g050200.2 transcript:Solyc02g050200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWQLYSVSVNDFRWKVSGESLTEEPSLTLPPQQLQSIPDLLRQGTSRLAGNTDSTSTRFPIFRGKPVSVKHSSISTALSILDDEDKPILDTGIGTGRQDVLTFQEAVFQKGSGEPLNAPQSFSPSSLNKQFSMSNSLFQTASGKPVNISCTGLNKAKALLGLEENGDHETFPGSGKKNTTPDELFGFRNSFPIVEVEGIASTGSTNVSAASLSPFDVKFNSTVCPAEELVADFLHSAGKPPPIKFHTAGGRSITVSCEALKRAKSLLGDLELGCLVDEKDMADPLLSFSKDQKSVDQVSTKELNSDTPVSLLSAAKGSGSSSLFTSPLRSTLYHKKSSIKLENLVPASNLIKEFDAVAKESTSRLDHSIPQNGEPFNKNSAATDLRENDIASKPKLLERPSRGPLVDISNNIGGGIADRNQNVGRKRKPGGSFVSPFKKPRSTSIVNPLKRNDSGAANGFSDLAPKLPSQKGKVSIRYPFHVARLYMKEYLGKPPSILSKLESLPDDVSRMNPATAETYVFNDESCSGCIGVGSFLEMLSQSGASMQYVSKKWITNHYKWIVWKLASYERCYSGKFSGKLLTICNVLEELKYRYEREVNHGHRSAVKRILEGDLPPSSMMVLCVSNIYSIHVSPVGPQSSLSSTTENGTCAKVELTDGWYSIIAVLDLQLSKKMAAGKLFVGQKLRIWGAGLCGWTGPVSPLEVSGTTSLLLHINGTYRAHWASRLGFCKGGGIPLSFMSIKDGGGAVPLTLVVISRIYPVLFRERLSNRGFVVRSERMEVKEMQNFNERGTMNIWIYTDKTYICELCQCRRSSIVEGVVSESQREKRDAYIGSDYESKEGARILRILERAAEPEVLMAEMTSEQLNLFSSYQAKLEAFRQSDMQKSLEKALQTAGLAERDVTPFMRVRVVGLTSKRTSLKCCPKEGLITIWNPTEKQHCGLAEGQAFSVTGLTPISSDSSTLYLQTKGSTSKWLPLSPRAVEHFESSFCPRQSISLSKLGEVPISREFDIAAVVVFVGQLYAEAHQTKQWVFVADGSKAMLDSDDELETLMAISFTSPHIGTDSFAPINSNLVESTVCFCNVIKRARDIVNNLWVAEATENSTYYLNYDHSDCSHLKDAGASAERWAKISGLRLEKLRGRVLSIIS >Solyc12g096570.1.1.1 pep chromosome:SL3.0:12:66410697:66411053:-1 gene:Solyc12g096570.1 transcript:Solyc12g096570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDMESSEAKLRSSKGFINLEEHQQYFNNIMEGNKMEHKRSFTQGHGKKMLSMNYFSLESIILLLGLTASLLLLPLMLPPLPPPPFMLLLVPIFILVVLMILAFMPSNVRNVTCSYL >Solyc04g051125.1.1 pep chromosome:SL3.0:4:49650950:49652901:1 gene:Solyc04g051125.1 transcript:Solyc04g051125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNVFQNEVGSHIFTPAVWEGEFRLLDFALKIFRTAQQIMINEEKKHGEGDPDPFFSDSSRKKMWKDLSTQPYLRSSSMQLRSLSQLAFDRLSHAKEFARASDHDSERKDWLYSR >Solyc08g074670.3.1 pep chromosome:SL3.0:8:58945661:58948306:1 gene:Solyc08g074670.3 transcript:Solyc08g074670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERHSVDQQQTPESQMQSPASRDDMIACVMALEAALLPCLPARELQAIDRSAHPYHQIDVERHARDFMEAAKKLQLYLIGLQREDLPSKPDMLRKEIAKMEEELKTKTELLSKQERLIQEWRKELKDQLDKHNMELERV >Solyc01g016455.1.1 pep chromosome:SL3.0:1:19929871:19933831:-1 gene:Solyc01g016455.1 transcript:Solyc01g016455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVCTTIIFSEKALIGKSNVPFEICVLQHYRQIVSKFNFNLSPKDRTDQQVPIKEVRISPLSKSIGKPRVQSSFAVTHNGDNQSNETAGSGDSLNEQEYRHTIELEDDDRKVEEVLEYLKQMENDAKLKHLAEQT >Solyc02g065680.3.1 pep chromosome:SL3.0:2:37409372:37414127:1 gene:Solyc02g065680.3 transcript:Solyc02g065680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGSLKQVKEKKSRNNKQAVVDEQSPLLPTKHKEDAGFDEFDGASFSGAVFNLSTTIVGAGIMALPATMKSLGLIPGIAMIIFTAFLTEASIELLIRFSRTSKATSYGGLMGDTFGKYGKMLLQICILVNNIGVLVVYMIIIGDVLSGTNSNGVHHAGVLEGWFGIHWWNSRFFILLVTTLGIFAPLASLKRIDSLRFTSALSVALAVVFLVVIVGITLFKLMSGSIHMPRLFSDVYDITSFLKLFTVVPVLVTAYICHYNVHSIENELEDRTQIRAVVKSSLALCSSVYVLTSLFGFLLFGDATLDDVLANFDTNLGIPLGSLLNDVVRVSYAAHLMLVFPVVFYPLRLNLDGLLFPSARPLTSDNLRFASISSGLIAIIFLGANFIPSIWDAFQFTGATAAVCIGYIFPASVTLRDPYGIATKKDKILCIFMIVIAVFSNVVAIYSDAYSLIKKNSSPSE >Solyc03g078403.1.1 pep chromosome:SL3.0:3:52268836:52270352:-1 gene:Solyc03g078403.1 transcript:Solyc03g078403.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTAVQHDVFSSEDEDVCPTCLEEYTPENPKILQNVLIIITSTTALRHLYSARLDSSQQFCGHFDRTRVQGNRGKVLHKASEVDDSPEIGDASIGNGRLKRKLL >Solyc08g008170.3.1 pep chromosome:SL3.0:8:2633801:2639713:-1 gene:Solyc08g008170.3 transcript:Solyc08g008170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNSLSSDQATTTSGGGGGGGAPSTPSTTGIRIIPSSQPPPPRPLSGIGRVLGRPMEDVRSIYIFGGELGRGQFGVTYLVTNKKTRQQFACKSIATRKLINKDDVDDVRREVQIMHHLTGHRNIVELKGTFEDKHHVHLVMELCAGGELFDRIIAKGHYSERAAAGVCRQMVTVVHNCHSMGVMHRDLKPENFLFLSSDETSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRREYGPEADIWSAGVILYILLSGVPPFYGVLNSYQLSLFAENDQSIFDAVLRGHLDFSSDPWPSVSSSAKDLVKKMLRSDPRERISAAEVLNHPWMREDGDASDKPLDIAVLSRMKQFRAMNKLKKVALKVIAENLSEEEIIGLKEMFKSIDTDDSGTITFEELKAGLTKMGTKLSESEVRQLMEAADVDGNGTIDYLEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITMEELEHALKEYNITDDKTIKEIIAEVDTDNDGRINYDEFAAMMRKGNPDFVNNRRRR >Solyc02g079190.3.1 pep chromosome:SL3.0:2:44356862:44362751:1 gene:Solyc02g079190.3 transcript:Solyc02g079190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNPSLKKPRESVDLSKMSELSQSAFPDEVLEKVLSLVQSHKDRNSASLVCKDWYNAERWTRTKLFIGNCYSVTPEIVARRFPKIKSVTLKGKPRFSDFNLVPENWGADIQAWLDVFAKVYPFLEELRLKRMAVTDESLEFLAKSFLGFKALSLLSCDGFSTDGIGSIAAHCKNLTELDIQENGMDDISGSWLSCFPDDFTSLEVLNFASMNTEISKDALERLVGRCKSLRVLKVNKNVTLPQLQRLLVRAPQLMELGTGCFLPDQLTSRQYEELESAFSNCKHLHSLSGFWEANRRYLPSLYAACASLTFLNLSYETIRSGELSKLLLHCPNLRRLWVLDTVNDKGLEAVGTSCPLLEELRVFPADPFEEDMDHGVTESGFIAVSAGCPKLQYVLYFCWQMTNAAVATIVHNCPNFTHFRLCIMSPGQPDYLTNEPMDEAFGAVVKTCKKLQRLSVSGRLTDLTFEYIGKYAKNLETLSVAFSGGTDWGMQCVLDGCSKLRKLEIRDSPFGNAALLSGMGKYESMRCLWMSACRVTMNGCRILARERPRLNVEVIKDEHSDDYADKLYVYRSVAGPRRDAPPFVVTL >Solyc01g110870.3.1.1 pep chromosome:SL3.0:1:97291832:97292134:-1 gene:Solyc01g110870.3 transcript:Solyc01g110870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRLPSIISSLKRFHKLHSVVTRNQISDVPKGHLAVYVGETEKKRYVVPIAYLNHSSFQELLQKEEEEFGFQHSMGGLTIPCNEEAFFHVTSRLNNSS >Solyc09g011550.3.1 pep chromosome:SL3.0:9:4836221:4841372:1 gene:Solyc09g011550.3 transcript:Solyc09g011550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEVKLLGVSGSSYSRRVEWALRVKGVKYEFIEEDLQNKSPLLLESNPVLKKIPVLIHNGKSICESMVIVEYIDETFEGPSILPKDPYDRAIARFWATFLDGMCLDAVRKGLWSKREEKEKNIQEEAYEMLKIVDNELKDKKFFSGDKIGFVDVAANYIPFWVEIVEEATGNVLITSEKFPNLCAWIDKYLKCSEVQENLPDRDMMLNVKLLGLWYSPYSHRVEWALKIKGVEYEFIEEDLRNKSPLLLESNPIYKKIPVLIHNGKPICESMVIVEYIDETFEGPSILPKDPYDRAIARFWAKFFDEKGSSVGRSFFLKGEEQEKAKEELHEMLKVVDNELKDKKYFVVDKFGFVDIVANVVALWLGVLEEASGVVLVTNEKYPNFYAWRDEYINCSENKKYLPSRNELLAKFKARILAASLLGLWYSPFCHRVEWALKVKGVKFEFIEENLQNKSPLLLESNPIHKKIPVLIHNGKSICESMVIVEYIDETFEGPSILPKDPYDRVIARFWVKFFEDKGSAVGTSFFHKSEKAKEEVCEMLKILDNELKDKKFFVGDKFGFADIAANFLALWMGILEEATGIILVTKEKYPNFYAWRDEYINGNKEYLPSRDELLAFFKARFQAAATPPYSN >Solyc11g042620.2.1 pep chromosome:SL3.0:11:35866719:35877684:-1 gene:Solyc11g042620.2 transcript:Solyc11g042620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLMSSTSSFSLLPLNSNPSTSTTAAVVGNSPAVLHSVNCCTDYLFRIVSGHRIRQVAVRNSNRTAEVTSSSDSVTDLESAASVVRKFYAGINRRDLDSVEELIAEDCVYEDLVFPQPFVGRKAILDFFKKFTDSVGSDLQFVIDDISKEDSSAVGVTWHLGMEKLNGGEDLFLLAKDAAFIDWKW >Solyc11g050770.1.1.1 pep chromosome:SL3.0:11:16172989:16173234:-1 gene:Solyc11g050770.1 transcript:Solyc11g050770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center protein Z [Source:UniProtKB/TrEMBL;Acc:K4D8P0] MTLAFQLDVFALIATSLILLISVPVVFASPDGWSSNKNIVFSGTSLWIGLVFLVGFLNSLISRTYSSQTQNQNDPPNFCRL >Solyc09g065525.1.1 pep chromosome:SL3.0:9:63884950:63889235:1 gene:Solyc09g065525.1 transcript:Solyc09g065525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNYHTLDEVIFDEDSTSENTPTTEPTISSLEPNLHVVTPTNEYTTTPIESNVHVLDKILYKQDGVLISQRKFTLDLLKDFDSVHYKSTTSPLDPTEKLRLAEGKLLSYPTQYRKLVGKLNFLANTRMDIAYSVQHLSQFMQSPREPHLKAAYHVLRYLQHDPTLGVFINNKPDVTISAYCDSDWASCPDSRKSVSGYLVLMGDSPISWKSKKQPTVSLSSAEAEYRAIRQVVGEVVWLERLLGFNYANISNAQFSYARFSYVEFSYASVSSDYALALALGMDSSLIEGTGRVSIQLSIRHSGEPPGGVVRSGFSTPRPNKRLRNKQKGACRTHEGLPGLSVTMSRLGKPPFAFLKPIDYRIFDLLCASASSIG >Solyc11g020540.1.1.1 pep chromosome:SL3.0:11:11418543:11418746:-1 gene:Solyc11g020540.1 transcript:Solyc11g020540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTNVPKEVYEVKWDLVIVDGPEGDKPESPGRMAAIYIVDVVARRSKKNNGTHVLVHDVDRMIEK >Solyc08g075560.1.1 pep chromosome:SL3.0:8:59848971:59849136:-1 gene:Solyc08g075560.1 transcript:Solyc08g075560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQGLDQAISRNIFIIRVDIHFRTDVLTPSVI >Solyc09g090500.3.1 pep chromosome:SL3.0:9:70475377:70478441:1 gene:Solyc09g090500.3 transcript:Solyc09g090500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSPTWTETGARHLVTRFFKNFIQTGCIILLEEGGSTFTFQGTEGKCSLKVSLTVRSMQFYWKVATRADIGLADAFIHGDFSFVDKNKGLLNLIMIFIANRDLKASVKTSNKKRGWWTPLFYTAALSSATYFIRHVSNRNTLTQARRNISRHYDLSNELFSLFMDETMLYSCAIFKSEDEDLKVAQRRKMSVLIEKAKISKEHHILEIGFGWGGFAVEVVHQTGCKYTGISLSEQQLEYAQLRVEQAGLQDQITLLLCDYRQMPNKDKYDRIIVCEVLEHVGHEFIGEFFSCCESALAEDGLLVLQFISLPDTMYDENRLSSGFIKEYIFPGGYLPALSRVTSAMASASRLCVVHLEDIGVHYYQTLRCWRKNFLNNKSQIRALGFDEKFIRTWEYYFDYCAAGFKTRTLGDYQIVFSRPGNVATFGDHPYNVTI >Solyc02g078270.3.1 pep chromosome:SL3.0:2:43582085:43587946:1 gene:Solyc02g078270.3 transcript:Solyc02g078270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSHIENQQLDDDEEEDEGPPPGFDSLAVPSQNTNVVIDEDDDEGPPPGWPSIPQQHNLQTTDVEMGSKEHKSEDDEDGPPPGWNTVISQKKSPSSPPTLEAVICDIEMEKKEEIIKNEDNGPPPIWQLTPKLPALQTSTPPSWTQGASLEDIEMEKKEEAVEDEDNGPPPGWQLTPQLLPLQTSTPPSGIQGAPLADVEMASNQDCDVEKEGHPLGSPTPPLQSSSPVASSEMDMGRKQEGTKNEEERPPSEKQPMSHLVQMKPIVPQASSHAATVSSELGQMVCGGCQQLLSYSQGAKLVKCSCCQTVNLVLEAHEVGQVKCGGCAVLLMYPYGAPSVRCSSCRHMTKIWAHNRRPPQSVQQPRRRHPSYQVH >Solyc09g098060.3.1 pep chromosome:SL3.0:9:72462769:72474705:1 gene:Solyc09g098060.3 transcript:Solyc09g098060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDLSDKASRRSEAYVAASRRSSLEIIREFWREEGVAPMDNRGDTILHFLAIHGNLAGFEILDPDLSIEDLETTNSNGDTPLHEAAKFGKKDVVEMILKKEKDLIFVRNNLGETPLYVAAASGEKDVFTLLANYDFSEVTMKRNDGKTVLHATVAHDCYADLAIYIVNQYPELVSQSDNEKMSALNVLATKQLSFKSGSEYLFEEIGKTPSVPFQMIETIIYSCNVWLQVIDEAKQNHILALILAKMLLENYDWSYNTDYIVPNPLIQASNLKINELVVEILEIYPEVVETLDEQKRNILHIAVEHKNRFLFDYLVKKVGHIERMLADVDEEGNTILHYAANVGSPFRTSSSEHFDEVWCVLSVFMMMWGILWFKHVKHHVHPRLWDIKNSKGVTAEELFEKNHQQIRKEAEDAIRNLANSALVLATLLCTVNFAAIFTVPGGFDQTTGLPIFLQKLKDEIWMLIFYLGAALISSLVTIGTLLSFILCKFHSDDFYISLPIRVIIAMVLRDFWKEEGVSPIDNRGDTILHFLAVNGNVAGFEILEPNLSVQDLETRNNSGDTPLHEAARFGKKDMVERILRIEKDLVYVQNNLGETPLYVAAASGEKDVFTILANCDFNKLTMKRNDGKTVLHATVFHDCYELAIYIVNMYPELVNDRDNDRMTALDMLSTKRLSFRSGSNYLFAEIGKTPSVPVQMIETIIYSCISPRYKESKLSDNQTQAGTKVVCVERLPFTKSLLGNSWLQAIDEAKQKHILALILAKVLLEKYDWSYSITDHIVPNPLIQASKHKIDELVVEILQKYPELVETLDEKKKNILHIAAEHKNRFLFDYLLKKVASQNRMLADIDENGNTILHYAAHVGTPFFTSTTEHIESVWCVMSVLMMMWGVLWFKYIKYNMHPRLWDVKNLKGFKAEEMFEKNHLHVRKEAETAVRNLSNSTLVLSTLLCTINFAAIFTVPGGFEEKTGLPILLDKVQQELWMLMFYLGAALFSSVFTMGTLLSFLLCKFYSSDFYISLPIKIIIAIISIFYATAFTIVACLQALNLENIFLNKDVWWLIIAVVAVGFIMTLIYVDLTFPVFDYMYYLVYYSFFVSYKRGRM >Solyc12g013895.1.1.1 pep chromosome:SL3.0:12:4694879:4695244:-1 gene:Solyc12g013895.1 transcript:Solyc12g013895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSRLAVVLLLTLAMAMVAESSFSHLDSTTMAFKVQGSNDNNIGHVGDMLFEDEEMMMPSESARRTLDERDHIGYRALRANNIPCDQRGASYYECNRMSKINPYRRGCQRITGCGRTNS >Solyc03g081190.1.1.1 pep chromosome:SL3.0:3:53538645:53538896:-1 gene:Solyc03g081190.1 transcript:Solyc03g081190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWKFVRYAFGDKIGKHGVDRQFTGKKTKLAVGKIDRSFPQDGGFGRFDREASLLVQTPKNLYFSGPFGHMDLELRFDVFALC >Solyc01g066200.1.1.1 pep chromosome:SL3.0:1:73145977:73146246:-1 gene:Solyc01g066200.1 transcript:Solyc01g066200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQRDLDKNRINRPPTTVEPQQSCNYRYVLPESLVYRMIPATGTEVMISPPTSQVVALNSYEAAQLRDGIRLADYYSKRPQMPMAYAT >Solyc05g053270.1.1.1 pep chromosome:SL3.0:5:64260578:64260793:1 gene:Solyc05g053270.1 transcript:Solyc05g053270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIHKLASNKVEVLINNFGGFDFIICQNPCTYSSKGNLAADMDSHASLDFMLFHEFVRVLQRVRSTMGRN >Solyc01g008280.3.1 pep chromosome:SL3.0:1:2326183:2329658:1 gene:Solyc01g008280.3 transcript:Solyc01g008280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTPVPQSQRVVPLTPPYHFQTPMKKIHNQNDIVQFQSSPTCQNFLGFVVLLSESIRSHKLSDPCHESSVVLAIVSVLETLSVYVDEIPLAPQSSRYGNLAYRTWHERMSCDAESFLHQFLPPDLQSATVELVPYFTDSFGNSSRIDYGTGHETNFAALLYCLARLGVLKEEDYQALVSRVFVKYLDLMRKLQVTYCLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNKDILENFANEYLYLSCIVFIMKVKKGVFAEHSPMLDDISGVPNWNKVNSGLLKMYKIEVLQKVPIMQHFLFGSIIQWYASYFHKLIITSITYYKDNLTVHYGSTSCVTQFGVLHKSQAMILSQGSVIYSFLFISSYIVMEETLHSNIFAANIYTV >Solyc09g018020.3.1 pep chromosome:SL3.0:9:12635538:12637714:1 gene:Solyc09g018020.3 transcript:Solyc09g018020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4CS69] MAIEYHHLPFLFLVFLACVQHSYELGVYTGWKNAHATFYGGSDASGTMGGACGYGNLYSQGYGTNTAALSTSLFNSGLSCGSCYELKCNVNADPRWCRRGTITVTATNFCPPNYALANNNGGWCNPPLRHFDLAQPAFLKIALYRAGIVPVIYRRVPCMKKGGMRFTINGHSYFNLVLITNVGGAGDIRSVSIKGSKTGWQKMSRNWGQNWQSHSYLNGQSLSFKVIASDGRIVTTYNVAPTNWQFGQTFQGGQF >Solyc05g032732.1.1.1 pep chromosome:SL3.0:5:45370524:45370952:1 gene:Solyc05g032732.1 transcript:Solyc05g032732.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGESIHQSSSTVSTETVAKIRPIHDPCSVYYLHPTEGPSNSLTKYLLKGDSFDVWEQAICHALEGRSKIGFLYENEFPKPTNDLELDAWKANKSIICSWIFNTADETIQPSLVAHKIAHELWTNIKARYGGTNAPKSWQLK >Solyc11g044680.2.1 pep chromosome:SL3.0:11:32633936:32635100:-1 gene:Solyc11g044680.2 transcript:Solyc11g044680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIFSILLFITYVYYLGRIPSPILTKKLKEALEIEERLESEEERDVEIEIASEMKGTKQEQERSTEEDPYPSPSLFSKDGWDPAKIDEKEEVRVNTTNKIKDKFHSHLTETGHNNINTSNSPIYDYQDSYLNNNNTGNLENCKVQRKIINLSKSDGKQRISFTYLPVYQLFGNDKKKDTPIVTPKNNKEFLNRLEILDKESLSLDILETRTRFCNDDTKKEYFTIFLPNIDYKNLNKKRIRLIKNHYQQKLTNS >Solyc05g012440.3.1 pep chromosome:SL3.0:5:5684817:5690257:-1 gene:Solyc05g012440.3 transcript:Solyc05g012440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRLSRRVNPLLSLNRTHHYPLSTSREYLEIVASKDINLGVINNKYGQIFGFGYRGFTSRCELNKSTLHNCKGPSFLRGQSTVTSWPVLNLHHHYATQATATENKSRKMLFYLVGLVFAMVGASYAAVPLYRRFCQATGYGGTIQRRESVEEKIARHEKDGRVTSREIAVQFNADVADGMPWKFTPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPKMDGINNLILSYTFFKVSDK >Solyc05g055410.2.1 pep chromosome:SL3.0:5:65910619:65914492:-1 gene:Solyc05g055410.2 transcript:Solyc05g055410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQECKRWASKGVNIKYEIRDNRKGYKAGALKEGMKHNYVKMCDFVAIFDADFQPEFDFLLRTVPFLVHNPEIGLVQARWKFVNSDECLLTRMQEMSLDYHFKVEQEVGSSNHAFFGFNGTAGVWRISALNEAGGWKDRTTVEDMDLAVRAGLKGWKFVYIGDLKAYRYQQHRWSCGPANLFKKMVGEIASNKKVSIWKRFYLIYSFFFVRKIIAHMVTFIFYCVIIPTTVLIPEVQVPIWGAVYIPSTITLLNAVGTPRSFYLLIFWILFENVMSLHRTRATFIGLFEVGRVNEWVVTEKLGDGIKAKTSTKGSRRSRFRIGEWYNKVHFVEVFVGLYLMLCGWYDYSFGKNRFYIYLFLQGVAFLVAGFGYIGVFVPNS >Solyc08g016740.1.1.1 pep chromosome:SL3.0:8:9111379:9111543:1 gene:Solyc08g016740.1 transcript:Solyc08g016740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHRSYTLKVDVYSFDITLWELITGFLPYRSMTAVQAAFVVVNKGARPKILND >Solyc02g089340.3.1 pep chromosome:SL3.0:2:51822031:51833194:1 gene:Solyc02g089340.3 transcript:Solyc02g089340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTKTPISLTIRFDQFTDLETNPYHISPIMWRNIVKQFSTRTPQKILLTSKNHAYSYLGFGLHSVFKDKRTLRSFIPVSCSNSGFLEMGFQNLGEFLPGDEFLRRPLLCAMKSQVSNNDICRKNYASVAEAVAVSSTDAEEDVSVVGEVQELLTELKKEEKKQFTFRRRKQRMLTSGIDRRKYPALMRRQVKIETQAWEQAAKEYRELLFDMCEQKLAPNLPYVKSLFLGWFEPLRDKIAQEQELCIQGKSKAAYSKYFHLLPADMMAVITMHKLMGLLMTGGEHGNVRVVQAALTIGDAIEQEVRIHKFLEKTEKHKAEKDRNMEDGEHATLEQKKLHKKVTSLMKKQKLRAVNQIVRSQDDSKPWGQDAKAKVGSRLIEFLLQTAYIQSPADQLAVDPPDIRPAFIHSTRTLAKETKSASRRYGVIQCDELVFKGLERTARHMVIPYMPMLVPPVKWTGYDKGGHLYLPSYVMRTHGARQQREAVKRTSRNQLQPVFEALDTLGSTKWRINKRVLSVVDRIWAGGGRLADLVDRDDVPLPEEPDTEDEAVCTKWRWKVKSVKKENRERHSQRCDIELKLAVAHRMKDEEGFFYPHNVDFRGRAYPMHPHLNHLGSDICRGVLEFAAGRPLGKSGLALIHLANLFAGGVDKLSLDGRIAFTESHLDDIFDSADKPLEGRCWWLNAEDPFQCLAVCINLSEAVRSSSPETDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLDIMNRDAQRDPAEFPDAVRARVLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGAIADDTELFGAACYAAKVTLTALGEMFEAARSIMTWLGECAKIIASENEPVRWTTPLGLPVVQPYRKIGRHFIKTSLQILTLQRETEKVMVKRQRTAFPPNFIHSLDGSHMMMTAVACRRAGLNFAGVHDSYWTHACDVDKLNRILREKFVELYETPILEKLLEGFQVSYPTLSFPPLPERGDFDLRDVIESPYFFN >Solyc03g114240.3.1 pep chromosome:SL3.0:3:65758628:65761558:-1 gene:Solyc03g114240.3 transcript:Solyc03g114240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEFRRIDYSLAQTNAQIRKNSLIPKENPFSVKASLVRYWNKQISNKLPKPDFLFSKASPLSAVDAAFFTNLATQKSLSGHISTFCSSAKLFCFSESNPYLDKTPSTDAKFAVYNNKKFATYGSGRLGGGDDFKNYSDGINFATGEFTKYSRSSTGHHEDFTSYAADGNVATGNFTSYAAGATGGGGTFQSYMPRVNVPDLRFASYDSDGNNHKLSFNSYVRDTNSGREFFISYAKNGNGVPAEFTNYGDTSNVIGSSFSGYGESGNAANDSFKAYSSNSNNPNNNFKNYGSGGNSGIDSFESYRDAANAGTDTFTSYARGSNTGKVNFLNYGKSFNEGHDIFKGYGSQGAKFPSVGFKVYGVNNSFKEYSPKGVNFAGYTKKTGSLKTSGKTVNKWVEEGKFFRESMLKEGTVMKMPDIRDKMPGRSFLPRTISSKLPFSTKELNELQSIFRARENSTMEHVIGNTLAECERAPSPGENKQCVASIEDMIDFAVSILGHNVVVRTTDNVAGSMGEIMIGKVKGINGGRVTKSVSCHQSLYPYLLYYCHSVPKVRVYQADILNVDTKVKINHGVAICHVDTSSWSPGHGAFVALGSGPGLIEVCHWIFENDMTWAMAD >Solyc11g005320.1.1.1 pep chromosome:SL3.0:11:256942:257601:1 gene:Solyc11g005320.1 transcript:Solyc11g005320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSTSLIQDFMKNFQYPRRLLLPATPQQTDLSQGETTNTFDAHVVMVLSVLLCALVCSLALNSIIRCALRCTSLVSESDSSSSISTNISATTKLTNNGIKKKALKTFPIITYTTELKHPGLDSECVICLSEFVAGEKVRVLPKCNHGFHVRCIDKWLNSHSFCPTCRHCLIETCQKIVNGGSSNSTTISNPQEVAQEIIIRIEPLQREGVVSNHQH >Solyc02g081070.3.1 pep chromosome:SL3.0:2:45696588:45704127:1 gene:Solyc02g081070.3 transcript:Solyc02g081070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNSCKQDTLFPLLPLVVIVAVGFYSSFVAEGNTDPNDLQALQVLYASLNNPSQLSNWKSNGGDPCGESWKGITCQASSVVSIQIPDLGLNGTLGYMLNGLTSLKNLDLSGNNIHDSIPYQLPPNLTSLNLANNNISGNIPYSFAGMVTLSYLNLSHNSLSQTIGELFSNHSDLGTLDLSSNNFSGDLPSSFSSLTNLSTLHLENNQLSGPLNILIDLPLTNLNVAKNHFNGWIPNELLSIPNFIYDGNSFANGPAPPPPPYTAPPPGRSRHNRSHSPPSSRTRPGSDGPPSPENGDKKKGLTTGAIVGIALGSSLAILFALVALVFCFRRGKGKEIATRPSTGSLPVGTDKVNMEVQEQRAKPVVAVADLKPPPTEKVTVEMIQGKNGSVRRVKSPITASAYTVAALQTATNSFSQENLIGEGSLGRVYRAEFPNGKIMVIKKIDSAALSLQEEDNFLEAVSNMSRLRHPNIVQLIGYCAEHGQRLLVYDHVANGSLHDMLHFADERSELLTWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSSTQMIGSFGYSAPEFALSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALSKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLMQRASVVKRRSSDESAFTYKTPDHETVDMP >Solyc08g067420.3.1 pep chromosome:SL3.0:8:56524417:56534707:-1 gene:Solyc08g067420.3 transcript:Solyc08g067420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILLALCYDHHRLNFHEYQNFVPIVLILFKLVHEEFVEFKKFGLRDNVAQICVFESLGQQNKSSPAPLASTGHSSKVVICNTHVLFNPKRGDIKLGQVRVLLDKAGGVSKLWDNAPIVICGDFNSTPKSPLYNYLAEERLDLSEVPRDKVSGQESATIYTVKHSFNASARHQDAVNSSQSSEVASEREVKDNKMASAEQKFDTREKDSGTGSSACTLSQPQSSVEYEPGISCMVISCSESTADLPRVIHGNAADSFGQTISVPFVVSRENPSTSHEANSLESCQEDEYDKDSESGYNKADPNATSTSSHKNFVAEVRSGIDSERVKDGQSNVGDFGSENVLSIHRPIIPHCSDVSSTGLFSDSSDVHEVSLPESSESVSSIGKESSPKISRELKNSYTETCFDVLLDEKMANLSLSVVSEGTKEDELLVENREAFLSQLHDEAGSFPSDSDQLQTTSLEELDESVKKCDGSLELRSLSDEIAHDAPNLDSEVVDRENSIYDPSAWTPIDIETATGNADCKLLEHNLKLTSAYREVEDFSGTRDSTGEPEVTSYHRLFMGTVDYIWRSEGLQTARVLAPIPKNAMQFVRGFPTKVSNTAQDICPMWTMFKVLPLKLTIPNMTAEITGVTYFGEKWLGFYGHEHHRETLKFLRKKWGSDHIALVSEFAFTSDISVHNTSVQKQ >Solyc05g021160.1.1 pep chromosome:SL3.0:5:26566830:26567792:1 gene:Solyc05g021160.1 transcript:Solyc05g021160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHVTPIEELNSITCESSSINKSTKYAGLFERSTIAILDRLGEQDSKNSKQVGVGIIDLTISGEGAGALMNVVEVRNLENVPSNADNNPKIRDGKGIVHTGNVSVNVNDALPVQQPDKIGQSSGNSMQNQNLGKKSLGYGNVDKNSLNITNFLRFLIILLGIIIRINRLGLVRTMGMSEKVTDNKERMVLMNLLPIL >Solyc04g005840.1.1 pep chromosome:SL3.0:4:538800:541048:1 gene:Solyc04g005840.1 transcript:Solyc04g005840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSVDKCPSIGREKDTVIADMDGTLLRGRSSFPYFALVAFEVGGVLRLLILLLSSPLAGLLYYFVSESAGIQLLIFVTFAGMKVSDVESVARAVLPKFYSEDIHPESWKVFSSCGKRCVLTANPRVMVEPFLKDFLGTDLVLGTEIESYKGRATGFVKKPGVLVGKNKADIVIKAFGESKPEIGLGDRHTDFPFLALCKEGYIVPPKPEVKAVTTNKLPKPIIFHDGRLVQKPTPLMSLIILLWIPIGFILACLRIAAGSLLPMPIVYYAFWALGVRVIIKGNPPPPVNKSNNKSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSVSRLSEIISPIKTVRLNRDRVLDATMIKKLLQEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMVNKMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPYELTCNAGKSSHEVANYIQRVIASTLSYECTSFTRKDKYRALAGNDGIVVEKPKIKKSIMGC >Solyc07g021020.3.1 pep chromosome:SL3.0:7:15369305:15373018:-1 gene:Solyc07g021020.3 transcript:Solyc07g021020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELIFPRFPFPFPFNGVNQNQEEPAPLEPAVEEKNNGIPKPDFETENRGTWMIHSPNAGVAAMHIQLMPNNKAVWYDTTNLGPSAIQNNPPFCKPVPERPGETDCWSHGVQYDVESGQVRTLKVMTDTWCSSGGLSSNGELVSTGGYREGIRSIRIMNPCDNCEFQENANGLAAMRWYATQHMLENGSFILVGGRGAHNYEIIPPGRLQFQVQQFGLNFLVETEDEKENNLYPFVNLLPDGNVFIFANDRSIIIDPYTGKTIRDLPVLPGGSRNYPASGTSALLPINLNTPNPDDVEVEIIVCGGNTKDAFKYSEFPPRQFFPALKDCGRIFANRQGAQWDIEEMLSPRVMGDMLLLPNGDILIINGAKTGTSAWDAAEEPNLVPLLYSPNKPKGQRFKELNPSQIPRMYHSVSAVLPDGKILVAGSNTHAVYDFQAKYPTDLRVEKFSPPYLAPELEQHKPLILENGANKEMKYGQNFKINIKLDEFVDETDIKVTMYAPPFTTHGYSQGQRLLILKLQAVTNQEVTVVAPPSGRIAPPGYYLLFVVHRSVPSRGMWVHIDQ >Solyc09g018365.1.1 pep chromosome:SL3.0:9:14719925:14725066:1 gene:Solyc09g018365.1 transcript:Solyc09g018365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHFAAQQTTPQRFVTNNWVNPYSTSIPRNIYQDWMNKEKRVANSFCDYTYTPSIGSSSHSENIIFFQNQNSSAHITFQGQQKSMVVDVQQNLTQTLKHLQSQQQNMEVDFQYNPTKTFGKSQNDPSSVQCDAMTPNVNGFNNSMEENMMENDACKWDDIDAFLNEALNEEDF >Solyc07g055220.2.1 pep chromosome:SL3.0:7:63460291:63462922:-1 gene:Solyc07g055220.2 transcript:Solyc07g055220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAYHHYPNSETEIHWYSVVLKFSGGGVATGRVLLYIRASLCKAKQLEKAEVVIVDGIRIGVQPDVVTYNTLITAYCRFVGIDAGYSVLYRMKEAGIYPDTITYNSLIAGATRYCMISRCFDLFEEMLEMSILPDIWSYNTLMHCFFKLRKPDEAYRVFQDILLKNISVHPATFNILINGLCMNGYTENALMLFRSIKRHGFIPQLATYNILIHGLCKSGRGKTAREFLNELVESGHIPNAITYTTVMKCCFKCEQFEEGLKIFAEMRRKGYTYDAFAYCTVASMLLKTGKMNEANEYLGYIITNGFDLDMVSFNTIVNLYCKEGQLDNAYKLLHEAEKKGLESDKYTHTIFIDGLCRTGNFKEAQQHLDYMSMMGFDSNLVALNSFINGLCKAGHLDHALRMFESMDAKDSITYSTMVHSLCNARRFRAASKLLLSCIRGGMRILKSDKRGVIDGLRGSGYSQEAKKIQSKIRLAKILQN >Solyc02g087440.3.1 pep chromosome:SL3.0:2:50523806:50524886:-1 gene:Solyc02g087440.3 transcript:Solyc02g087440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVVKKEDTLQAVWFAAGVAALMACLERAILVSFVEQWRLIAFLALNLLLLAILFTSTTNTPTTPIVETTSNTTNQSKIEVKVGKECKKPIVPCVEDSILEEAITKDVKEEYPKITDKKENKKEQLLDDSVEEAQQISMEELNERAEAFIAMFRQHLISDAKAYSYSKSCRIRTSISLKGGDKNFPKRRPIY >Solyc04g078470.3.1 pep chromosome:SL3.0:4:63288351:63290357:-1 gene:Solyc04g078470.3 transcript:Solyc04g078470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CycD3;3 protein [Source:UniProtKB/TrEMBL;Acc:Q9SMD4] MSHHYQEQEQLEAQKIPFLLDSLYCEENNILTEVSIETESFSSHDLLWEEEELTSLFSKETEYEISYNVLEKNQSFISSRRESVEWILKTTAYYSFSAQTGFLAVNYFDRFLLFSFNQSLNHKPWMNQLVAVTCLSLAAKVEETDVPLLLDLQVEESGFLFESKTIQRMEMLILSTLKWKMNPVTPFSFLDFITRRLGLKHCLSLEFLRRCEKVLLYTITDDRFIGYLPSAMASATMLHVLDRLKPCIGEKYQDQLLGILGIVKEKVEGCYRLIQEVACNIDFGSNKRKFGTLPGSPTGVMDMSFSSDYSNDSWSVATSVTSSPEPLSKKIRESNE >Solyc03g114620.1.1.1 pep chromosome:SL3.0:3:66030923:66031228:1 gene:Solyc03g114620.1 transcript:Solyc03g114620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTGTSPEFWQWSSPIPYIYFGLAVIVSTIAFALIFLIFCGQNSASTEYLVETDEEIKKRHQINVLDPEPKIVVVFAGNYNKPLYIAKPLTYSPNAAQKV >Solyc04g050200.1.1.1 pep chromosome:SL3.0:4:45812395:45812853:-1 gene:Solyc04g050200.1 transcript:Solyc04g050200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLVGKIIHFLKNMDNHHHHHNHNPEALLASSLQSFRSAISKILNKILPILEPETELEFQFLSLVWIQKCVGVIPSINRAFAKLVVVIEHPMNKWGKSQIKEYLDYSLSLLELLNSETSGVSHLSHAKLCISHGLSFIGKNSDPLVVLENI >Solyc02g070170.1.1.1 pep chromosome:SL3.0:2:40528237:40529844:1 gene:Solyc02g070170.1 transcript:Solyc02g070170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTIFVFLSILFSSSSYSWPNRTHIDDFLQCLTHKMKNSNSISQVIHTPKNSSYSDLFNSFFSDNQRINSNFKPSIIFTPTKESQIKAAIHCSKMHDLQIRIRSGGHDHEGLSYTSDTPFFIIDLRNLRSISIDTRKKTAWVQAGATIGELYYRIAERSKKLAFPAGVCPNVGVGGHFSGGGYGMLARKFGIAADNVIDAKLIDANGRIQDRESMGEDHFWAIRGGGGTSFGLIISYKIKLVDIPEKVTVFNVTRMLEQNATHLVYKWQHIADKVDDSLLLRLFLRSNISSPFKRGQRSIHAIFFTLFVGGVDELLREMQNIFPELELRKEDCVEMSWIESIIFFYIGYPKGTSPDVLLNWNTTTKKRLYFKLKSDYVQHPISIKGIEGIWKLYNKLGENAGAELQFSPYGGKLSEIAESETPFPHRAGNKFIIEYAAFWVKMENSKKSIEWSRKTYRYMGKYVSKSPRAAYFNYRDVDLGVNNINGNTSYEQARIWGVKYFKNNFDRLVKIKTKIDPTNFFRNEQSIPPLLS >Solyc10g007010.1.1.1 pep chromosome:SL3.0:10:1405879:1406118:-1 gene:Solyc10g007010.1 transcript:Solyc10g007010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLPIENTSTTISLSKLPKDQKSAASTMPDSKPKKKICCACPETKKLRDECIVEHGESACEKWIEAHRKCLRAEGFKV >Solyc01g006070.2.1.1 pep chromosome:SL3.0:1:739393:740351:-1 gene:Solyc01g006070.2 transcript:Solyc01g006070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVGHVGTGLGFFLIGIWHLFHHIKLHVLHPNGYTSLLWFPTPKIRHFELFLIMCFTLIFLLMEIFGNHNLLNLDGTIPSNHLHYLEHSCISLSLFIYSFFSMLIDKFAPTIHSQNGLTNFLASIAFGQELLLFHLHSSDHMGVEGQYHWLLQIVIFVCLITTLLGIPFPNNFLNNFVRSYSIMFQGLWLMVIGVMLWTPKFIPKDCFINLEEGYQVVRCHGHKSLERAKSLVNIEFSWYIIGTTFLVVSLYLICFKIFTKNINVEYQSLKNNFEDNQEVDFVDVEDQKRSELKKKFVEMGKVFAT >Solyc06g081980.1.1.1 pep chromosome:SL3.0:6:47994028:47994957:1 gene:Solyc06g081980.1 transcript:Solyc06g081980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGVVTVYGNGALTETTKQSPFSVKVGLAQMLRGGVIMDVVNAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYVDESEVLTLADDENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNIIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIQAPYDLVMQTKQLGRLPVVHFAAGGVATPADAALMMQLGCDGVFVGSGIFKSGDPAKRGRAIVQAVTHYSDPQLLAEISCGLGEAMVGINLDEKVERYANRSE >Solyc10g009310.3.1 pep chromosome:SL3.0:10:3337604:3340676:1 gene:Solyc10g009310.3 transcript:Solyc10g009310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLYKPSNISLILYPQTPNILSFSHSLSKYIFIMTTNIESLWIFALASKCKSFTSINSVFFAIFVILIWLVMNMIYWAHPGGPAWGKHTWKKLRSNPIPGPKGFPIIGSMNLMSGLAHHKIAKIAKTLKASRLMCFSLGETRVIVTCNPEVAKEILNSSVFADRPIKESAYRLMFNRAIGFASYGVYWRTLRKISSNHLFSPKQIKFYEKPRFQIAKQLISMFEKNSPNDILRVRDGLKLASLNNMMCCVFGKSYDFDCCNLETKELMKLVDEGYELLGMLNWSDHISWLGEFDLQRIKQRCSELVPKVNKFVKKILDEHVNQSGDFVDVLLSLQGSERLSENDMIAVLWEMIFRGTDTVAVLVEWILARMTLHPDIQSKVQVEIDRIVGQSRTMTESDVSEMIYLPAVVKEVLRLHPPGPLLSWARLAITDTIIDGYHVPAGTTAMVNMWAITRDADVWADPLIFKPERFLNEAHSDMDFSVLGSDMRLAPFGSGRRSCPGKTLGLTTVTYWVATLLHEFEFGPSSNVDLSEVLKLSCEMAHPLKVKIRSRHAPKTN >Solyc03g093145.1.1 pep chromosome:SL3.0:3:55772396:55777704:-1 gene:Solyc03g093145.1 transcript:Solyc03g093145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILISENILSQLFGAETNHAAWEKVVVAYAIGSKPLIRELKAQLHNLHRDNHPVTNDDLVEFVLAGLSPAYCPFTRSLESLHDDVNFDALNGMLLNEERQLKREDANIVIAPNGTIHAKFNLYKTWTRVPLHVFVRHPGLRTGTRDLETRATLHKGPNNGANIPGSIFLNSNLRYEVRALALGDAKGIQCSLTKWDLAASKKQRAVAHSSPKAEYRALATTASELTWLEFLLRQI >Solyc01g073950.3.1 pep chromosome:SL3.0:1:81180679:81184976:1 gene:Solyc01g073950.3 transcript:Solyc01g073950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRLCVKEHRMEKDSAAATTTTKKTIWSTWEELLLASAVKRHGLKDWESVAMELQNRISLPALLTAQICKEKYRDLRRRFMNSYNNSYDEKIIEQDVDGNGDVTIPWIEELRKLRVAELQQEVQRYDLSIQSLELKVKKMEEEREQSLKAQSLDDGQKPDLEDVKEERSQNDKNSGADVKPEDSTGKPVSSEESDRENRSFNESNSTENRETGVKNEPEPVEIGEDKPVQEIKPVSEEDSYNDSSSDRQEEKKARRDSGDLRDTVSGSKEETRENSDVQSTATLTKRKRQRGGDGGRSGGDAAEMGSPAVGIKREGAAKSEPLIEFLDVIRSHKRGSVFKRRLDSQKTDKYKSMIRQHMDLETVQARIDDGSYCSCPSKFYLDLLLIFNNAIVYFAKSSSETTAANELRGIVMEELKRARTQSKDLSPFKIQPKPELERSDSLLAKHKSTVPIVVCRKRSSISAKAAASSGTNKTEKPADSKPPLNPKPPAVKSSSNEEESSIKLGVKEKPVTGVRSMRRSSKGRSNNNNASQSNSNQNTNAKQSNSSGEKKEEVKPEKKKEEGKKRGAAADFLKRIKKNSPTKGTLVEALKNNPPEEVVVVKGKNSKDQQKKKVDERREAPVRRSGGGGGGSGASKDEGSPSKRSVGRPPKRGGREVVVQEKRGRENSEKDDSTKRPKKRSRR >Solyc09g082830.3.1 pep chromosome:SL3.0:9:68916353:68924564:-1 gene:Solyc09g082830.3 transcript:Solyc09g082830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRQMKESSEQHIVIKPHLQNTMNPVQKNPKTTQNGKGPPNQEPPNNKIHIQTSPPSRNRGRRRGRGGKKSDQGETFMRPSSRPCTAASKPVIAASVEATNVSGVESNGTSSGFPSSSKSLCFAPRPGYGQLGTKCIVKANHFLADFPDKELNQYDVTVIPEVSSRTVNRAIMAELVKLYKESHLGMRLPAYDGRKSLYTAGELPFKWKEFTIKLIDEDDVINGPKREREYKVVIKFVARANLHHLSEFLAGKRADGPKEALQILDIVLRELSIKRYCPVGRSFFSPDIRKPQPLGDGLEAWCGFYQSIRPTQMGLSLNIDMASAAFIEALPVIEFVAQLLGKDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNVRRKYRVSGLTTQPTRELVFPVDDNLTMKSVVEYFQEMYGFTIKNTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLSEKQITSLLKVTCQRPRDRENSILQTVQHNDYNEDPYAKEFGIKISEKQASVEARVLPAPWVKTLHTKLLFFSLVNLNALSLTSTSLISPQLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVNRWACINFSRSVQESVARGFCNELTQMCQVSGMEFNPDPIIPIYMARPDQVEKALKHVYHSCVNKLKGKELELLLVILPDNNGSLYGDIKRICETDLGLITQCCLTKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWHDPARGTVSGGMIRDLLISFRKATGQKPQRIIFYRQVPYSKDGVSEGQFYQVLLFELDAIRKVGANPVNASSSGFYCTIYDFNLTESSFVQACASLEPNYQPPVTFIVVQKRHHTRLFANNHKDRSSIDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYMEPDMPETNSGSPHQGSSKAIRETGVRPLPALKENVKRVMFYC >Solyc05g051140.2.1 pep chromosome:SL3.0:5:62205772:62206293:1 gene:Solyc05g051140.2 transcript:Solyc05g051140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSVMSTKNDQHWFLHLVQKVDSEVVRSKIREEEIFQMRLFINFVLALTIKNMILAAPSKDIVIVIG >Solyc01g057310.3.1 pep chromosome:SL3.0:1:60462925:60470836:-1 gene:Solyc01g057310.3 transcript:Solyc01g057310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARATHLYQFYLSDIWSTIGVTRLMEVDELQGELSKHVNYNQAAFDSVDTMPTEADKANRTPHDLPAGGESKNKEVQDVDGASILQHKDIMEQLIEARYLMEAMEQEQVQLIEELEFTREENQRLSKQMRASERSGMQHMPIPESHESRGSLFETQDGNGDLCMVTLQDKLEKMAKDLEEAHLLNSQYLEDHALKLSQEHQTDLVREEVEMETTKTILHMQEEIVAMKSELQEKICLMADENMSLKNSLAAKEEEIKVLCMEWERATLELTTFLIDGSKSMRDASSQIENIACSFPDVNACIGEHVEKAAKICVEKEETILLLKRSLEEAQRGIWQMDEKLNSLRGATMAFTQAQQLDNEASSKEAIQLVSSLDDQISRLEILEKNLLYKGNHISEVHAGSSSANDGSDSIDNLKKGDSSSENSFALVAHENNIELARLELLEVENAVNALCFDAQNYLSGLQSDAHKMICSCKDFNQEFLERVHLLQNKFYNLIQNGNSQYHAVGFPSCDSSKLHDHDKQQKLLHQINYELVETNEKLNQITTNINRILNSYLCPDTTEDPSESDEWTTECLASCSNLLAETVASGKRSNTSSLSGSSQSIRKKLNLEDTSLLHLRRDFNMAYGAFSKINAQFNLVFNEKGEGDCSTPVLYLSNSAELAKQNDQHPIRNQQSEIIWGHKMMMHGAEVSCNYSREEEVGDNITEEKVFFKKFEQAFSTIKEVDYTLNALVEVNKNEKHLTSMLRQAEEELLAQKASLVEDVKHLKSSIRHSDEEKGLLQDEACHSLIEISNGMSLLEGSFVDMQRDVEGLLKTLFADASRMAEETLGHISISKSILEGIFSATMKNGVSSSVLHHCQTIDSIHELGRSCKIGMIMDKDKLDGMTSFRRMEGKDLCLDQIDSKNETLELRKELERKEVLLKGLLFDFSLLQESASNRKDFRDEVGKLIAALNRVQNELSTKEYQLNEMLIQQKTLENQLQQMESALFSSKADLEETRRASDNFSKQNSELRALLEDLCVKKSQTEDELKEQREIVKSLENEILRLTSSTEKQLILLNKDKDTEDDFMRVTGEKNHLLEQLRFLQDRLDMAYSLADENEAIAVQARQASEASKMYAEEKDEEVKILEHSVEELEGTINVLESKVHEMEEEVERDSMIRDSLELELQALRKRLIMVENSQSMDMISGELYTKDQFLRLAEPTKAYYQIGVLEEEKAELTKEVKQCKEYISEILLHAQAQASQYQQKYKELEAVVHGLETHSSNTINGGPTSEKCSTRPRGSSSPFRCISSLVQQMNSEKDQELSAAKFQIEELEVLLAQKQKEICMLNSRLAATESMTHDVIRDLLGVKLDMTSYANLMNQFQLQKFVEEAQQQSEERIVMERELSDLRRQIDDLVEERERYTLEGKKREADVLSSQMCMEQLRERDQMLIAQNEMLKMDKTNLQRKIVELDDMVKRLLGKQSQTEMGALARLKEIDVSQKLGHPQKLVLVARDSFSLS >Solyc02g087720.1.1.1 pep chromosome:SL3.0:2:50674865:50676901:-1 gene:Solyc02g087720.1 transcript:Solyc02g087720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPITGVTGVLLLLLLQLFVTATTAAPILGLDSFLNQQSRVDPTATNDSFLSLPSSLKKHLSQPSIHHPPIPSSLLNLQVSVPITVKLVGSNFSSSAKSQLSSFLTSAISSDQFHVITPFSFQPSHHLSISHSLHLDVTLSPSSLSSRLSETLKTHLATVPSSFRSVLASVPHSIVDEIVKQDFEKEKPISGIYIYILNLGSQSKPYAYSYTPGDPSPAFTKCLGTVWTGKERYLWIDLGAGPVDYGPALSGDGVLPRGEFHPFATLHGRPKSQKALLSDLASLVWSAYQVLLVPSLRIPIPFENSLIVEFIHIYGSSDNKDSVGLDWKLIERNFMDEVNENGLLFGDQSLRFKKYDVNLAECPICSFAISRAATSYTSRYLFDNYTLIVSEYLDSKRLHQTLSESAAEFRRIAKVPEEDFGGRILPVYVFDLDVSSILMLDRYHQSVAFKDMVIAVRTKSTQTVSDYSCNGRHVFTQTRELERPILGSILQSMWGVSPTHLVWSPRHNSTLVDYTWSVGQTPFGPFSEVSSLSFVQKDAARRNVLLTSLNFSISSALEVFESISAHGGERKLLKHNQLTEFMQRWNLFKYKLDKAVSALSHFDFEMALYYLRASDHDIYAIHSLVYHASQELEASLVCFKDPPFPWASVSMSAGVFIFLLYVWAKRDKFFSNKRKQF >Solyc10g047720.1.1.1 pep chromosome:SL3.0:10:41939484:41940011:-1 gene:Solyc10g047720.1 transcript:Solyc10g047720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQRPLLSLKKTFFYNFFPSKEEEEACKINNTPYQVTRKLVEIRDLYPAPRIDRQNPWQIKKKLTHDEIVVGMLIIPFFEMFEYILRYWTLGVAKSLENGCKVCVDMWDITEETIPKKYEGGSVWFKKLFYEDFSLWSIELFKDRRLGESDEIGIYWDPRSATLVFKLLSQVGS >Solyc09g063150.3.1 pep chromosome:SL3.0:9:61425181:61427050:1 gene:Solyc09g063150.3 transcript:Solyc09g063150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQVKLFGAFPSPFSHRIIWALKHKNISYEYIEEDLSNKSQHLLTYNPIYKMIPILVHNEKPIVESTIILEYIEETWPQNPLFPKDPYEKAKARFWIKFGEDKNSEFHQIFHKIGEEQVKATENAKKILKIIEEQGLGDKKFFSGDTIGLIDIAFGWLAFWLEVIQEAAGVKVYEPNNFPHLQSWINNFKQVAIIKENIPNRNAMLDYFKLRRDMIVAL >Solyc09g057660.3.1 pep chromosome:SL3.0:9:50346713:50351307:-1 gene:Solyc09g057660.3 transcript:Solyc09g057660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4CTF7] MDDDFDIPGAEGMDDDMDLPDESPIMKVGDEKVIGKEGLKKKLVKEGEGWDTPEAGDEVEVHYTGTLLDGSKFDSSRDRGTPFKFTLGQGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNSTLQFDVELLSWVSVKDICKDGGIFKKILTKGEKWENPKDLDEVLVKYEAQLEDGSLVGKSDGVEFTVQEGHFCPAIAKAVKTMKKGEKVQLTVKPQYGFGEKGKPASGDGGAVPPNAPLQISLELVTWKIVSSVTDDKKVIKKILKEGEGFEKPNEGAVVKMKLIGKLQDGTVFIKKGHNGENEDELFEFMIDEEQVIDGLDRAAMSMKKGEVALLIIAPDYAFGLSESKQDLGVVPPNSTVYYEVELVSFVKEKESWDMNTQEKIEATGKKKEEGNALFKAGKYARASKRYEKAAKFIEYDTDFSEEEKKQAKALKISCNLNDAACKLKLKDYKQAEKLCTKVLETESTNVKALYRRAQAYMNMADLDLAEFDIKKALEIDPNNRDVKLEYKALKEKVKEFNKKDAKFYGNMFAKLNKLDTNYM >Solyc01g056693.1.1 pep chromosome:SL3.0:1:55963317:55965199:1 gene:Solyc01g056693.1 transcript:Solyc01g056693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDPLHLFLGVVVKYFDGSIQLRQSKYVAELIDNTEMTFAKVVATPLAQKHGLHESVGSLVETSFYRMIVESLQYLTLTRPDITHVVNLASQFVQNPKNGHLQGVKRIFSAEAEYRALASTSSEMTWIMHLLHDLVPLIESEKARQVRRLWCHNLGELPSPSEMVEKIVHRFAIKTVYNVANPMPHGKFTTLEGLVDMSTTIGGARWEGKTCALKIRFLP >Solyc02g083580.3.1 pep chromosome:SL3.0:2:47487024:47498338:-1 gene:Solyc02g083580.3 transcript:Solyc02g083580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFCPKQALSFTNSTHQLHQSRAIPRDIHVRFPAPVSSPSSRCGLKSKATTRLKVLATSATKVMDHSSSKASSQAPTVVEVDLGTRSYPIYIGAGLLDQPDLLQRHIHGKRVLVVTNTTVAPLYLDKTISALTDGNPNVTVESVILPDGEQFKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGYAAASYLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNMIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAEFFEWQEQNMPLLLARDPTAFTYAIKRSCENKADVVSQDEKESGVRATLNLGHTFGHAVETGVGYGQWLHGEAVAAGTVMAVDMSRRLGWIDDSLVQRVQKILQQAKLPTSPPETMTVEMFKSIMAVDKKVADGKLRLILLKGSLGNCVFTGDYDQKALDETLQLGLGFHQENQRKHHWHVSIFFSAGFSSHSEETTLKGIGEPGKMSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKTIGAPAYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKGKSQKACSIL >Solyc11g011370.2.1 pep chromosome:SL3.0:11:4399549:4420176:-1 gene:Solyc11g011370.2 transcript:Solyc11g011370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPAVDEEELQRKLTEKVARVLDDARSSQATHLRKLKELLALRSATKSSEKFFDAFSRALTPIFDFQRRTASAERIIRFVTVFATARDAKSASDCDEFLERFLKFLLVAAVAANKTARIRACQIISEIIIRLPDDTEVSSDLWDEVLECMKLRVGDKVAAVRTFSVRALSRFVNDTDNVDILELFLETLPLEQNADVRRTIVLCLPPSHASSAAIIECTLDVSESVRKAAYCVIASKFPLQSLSIKLRTLILERGLADRASSVVRECFNMLKDEWLNKCCNGDPLELLKYLDVETYESVGESAMDSLLKAGLIKLQDGQSMRQFLRSNNDTVEGQCNLSIQLMEAEVAFFWRAVCRHLQMEAQAKGSEAATTMGTESAVYAAEASDKNDLLDRVLPASIGDYVELIKAHTVAGINYRFASRQLLLLGAMLDFSDITNRRVANGFLQELLHIPLDHELDEHDNEVVIGDGINLGGDKDWAAAVAELTRKVHSAPGEFEEVVLRVVEELARPCRERTADFMQWLHCLAVISLLLEHAQSFRWMHGKAIEPTEVLHSVLLPGAKHVHIDVQRAAIRCLGLFGLLERRPSEDLVKQLRSSFVKGPSSITVMASKALIDLGLWHAPNIVDKAMNQDLSSQLRDHKINLSDIKFSIGSEDLEIELLDLLYAGLEKHNSGDSDDDDEHETVQTVLGEGFAKILLLSKKYPSIPTLSNPLLLAKLINLYFCSENKELERLKQCLSVFFEHYPSLSLNHKKCLSKAFMPVMRSLWPGINGNATGSSFMVSNMRKRATQASRFMVQMMQAPLYYEETAPDNENDNGNHDASAGPSSVHESGEEGLAIRIASEVASFHAKKTASEKAYISALCKTLFLLHFRPAEQEAVKLMRQLLNRVALLAEKELLKELKQMAERLKGLDKSPDVKLSSDEVQLILGKLDLDITLDEDESMEVLPTPAPKSTRATRTRRRAKEAEESSSDEELLQSVVPTHPIVTSTRSQRASKTAALSKMTDMVVLVSRSGRHLQRYNKGRRQVVGCIPYRYKDFTELSLIDEDAFEVLLISPQRKGKGLLFPKGGWEEDETIEDAAQRETIEEAGVRGEVECKLGTWYFENKTGDTAYEGHMFPLFVTEELDYWPEKEIRERTWMNVREARKLCQNGWMKEALEVLVSRLTSQISIIEPSSSGRTTILPWGCRAQILSPPLSSSTEEA >Solyc03g033830.2.1 pep chromosome:SL3.0:3:5464910:5466742:-1 gene:Solyc03g033830.2 transcript:Solyc03g033830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFYKSLLLTANTQMPVVDPKVFLEGPPTQKEACRVKACEWFWKGRKLTLEWWSSVAGSKLTSEKSDHKWIKVFGIPLHAWSSETFQFIRDKCGGYVGVDEDTTHRTHMLWARICAKKNMKVITRSIELLKEDWGFDLTVLEDLHTVVRLAGKATLLEKGKSKEEVGTSNLVSYEETQRDNFNLKRSNFNSKEDNFTPKRDNLDCPVDKRAKVKESNNVNGSGPVLNQLQVSLEADQIYYQTGPNGKREKAKVLNEAKLFKLITIWQV >Solyc12g013770.2.1 pep chromosome:SL3.0:12:4582289:4585262:-1 gene:Solyc12g013770.2 transcript:Solyc12g013770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTHRRSCISGLFLLLLALSCEANSGFIGVKDSHFELNGSPFLFNGFNSYWLMHVAADPAERYKVTEVLKDASTAGLSVCRTWAFSDGGDRALQISPGVYDERVFQGLDFVIAEAKKYGVRLILSFVNQWNDFGGKAEYVWWARNAGVQISNDDEFYTHPILKKYLKNHIEKVVTRLNSITKVAYKDDATIMAWELMNEPRDQADYSGKTVNGWVQEMASFVKSLDNKHLLEVGMEGFYGDSIPERKLVNPGYQVGTDFISNHLINEIDFATIHAYTDQWLSGQSDEAQLAVDGKVDKKSLGRCKKYIEETFSAC >Solyc08g007005.1.1 pep chromosome:SL3.0:8:1582617:1586830:1 gene:Solyc08g007005.1 transcript:Solyc08g007005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQREKRREVPLSAQHGQNKKEESRKTRVSELENKMRQQQQFESESLNNKVEKLEENLKPEECILGRDTVQQVRRSLPALVHDRPEGDCLRGQKEAVV >Solyc06g083830.3.1 pep chromosome:SL3.0:6:49170438:49185483:-1 gene:Solyc06g083830.3 transcript:Solyc06g083830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGRKIGSGSFGELYLGVNLQNGEEVAIKLESVKTRHPQLHYESKIYMLLQGGTSKFASNTLMNSELIFLFFAILNEAGIPNLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRLFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKGGTKKQKYDKISEKKMLTPVEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGASSRGRNPSGNAGLNAGPSAERPGRASVGQDIRDRFTGAVEAFSRRNTSAAGRHGEHSRQRTSEDIPSSKDVQADSERGRSSRNGSSSKRAIMSSSKPTSSAEPTDSRSSRLVSSTGRLSTTQRIQQGIETKPSSFSRSSLTKGNHDNPLRSFEFLSIRK >Solyc04g079100.3.1 pep chromosome:SL3.0:4:63767330:63777484:-1 gene:Solyc04g079100.3 transcript:Solyc04g079100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVGRIGSYIGRGVSSVSGTFHPFGGAVDIIVVEQPDGSLKTSPWYVRFGKFQGVLKAREKVVNIAVNGVEAGFHMYLDGRGRAFFIKDVDIEDGESFSSSSSSGEDADGQTSSKRPTISKSCNYDASESNSTTQINVSGENVSVRANSQRPGILGRVFGRKSMKKDRLPGEENDASIMRTDSLECAEMAADLLEMKWSTNLTPKRYNRDYVIPVASQDMSKGVKEEDLQASNKKSDTSSLAHDSMLDNLDFRDPACEKDDGSHPSFQTQEESVKVTGNAARVSIVQDIEDYGREESLRISGDSGELGLVNADHDAAGGTFISEVASPCSKFVDSPEIKKHDSFIVSRLPEEEHEIAAVQSFFYCETDENSTTMLDVSTEEFTQNLCASCDLEARMHTQTVLSMNDLMSKGNSQPEEDLLFEKDSSNGFKVMTNNTNPNSISNFLVSDSGSLNSSAAETDFGCFLPNSCSSTSTDKARSIKDKATDKLEASSEALGDPNGSSGRLVPLPVSSLEGLEEEHLVFGDIDDGYDTVGRYTESTSSDYKKKEDYPPASSSSLDINDYRVPNYESCPTLAKSIQSDLPIVADGRKLRTVLSNVDIPAIVQGNEVMRMGRSLPNMWSQKNVCPSNPEDLHSSIVLQTTEVAEFTEEVKNISATPEIERDPRPINSLGSSSSWRSWSFAFKRSRSMKNSGVSMDEDVNTAKDISKNTGGDREEDVPRPKIPKKKIMVNTPEPEQLATLNLKEGKNIVVFTFSTAMLGKQQVDACIYLWRWDSKVVISDVDGTITRSDVLGQFMPLVGMDWSQIGVAHLFSAIKENGYHLLFLSARAISQAYLTRQFLFNLMQNGVGLPEGPVLTSPDGIFPSLFREVVRRAPHEFKIACLEDIKALFPSDRNPFPFYAGFGNRHTDEISYLKVGIPKGKIFTINPKGQIVVNRHIDTKSYTSLHSLATAMFPAILSCEQEDFNVWNFWRLPPPYID >Solyc03g063305.1.1 pep chromosome:SL3.0:3:36228383:36240853:1 gene:Solyc03g063305.1 transcript:Solyc03g063305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYINNLKTRLSATFEMKDLGPAKQILGMKISRDRSVGTLNLSQEFRVNDTKPRTTSLANHFKLSKEQSPKTAEERDHMALVPYASAVTLQGFVDADLGGDVDSSKSSSGYIYTIGGTVVSWMSRLQKCVSLSSTEAEYMAIAEAGKEEVRHSLYSATIAFVVPQLVRLP >Solyc09g025260.3.1 pep chromosome:SL3.0:9:65308181:65313671:1 gene:Solyc09g025260.3 transcript:Solyc09g025260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQIPKMSSAIDFTLKNLPGFKSPEGRCLPCSGLSGRTVTSRGEYQQFSKVKILNLKSPYKSTSYMCSASSFSNHKRNPDFSRQNKHGFSRGRNRQNEDRDGYENIEESEMISSRNGPLLTTSNTSKFQATATPGPREKEIVELFRKVQAQLREKAAIKEEKKSEELQGKGKESETVDSLLKLLRKHSVQKGKKTSSSRSSNFVLDQPDKSNVFSEERASNLTELNSNVNHVAQESGTPFVNRPKSNFQRRSPVPRIKFQPIYHEGGTDNPVASSATDKMENDIHLDLELGQIPRSKVDSDTETIFSDKHVFDEMADDDTSKMYGSVDASDDEEHNHAGHDELAEMKLTELRAIAKSRGLRGYSKLKKLELIELLSADQV >Solyc08g062620.3.1 pep chromosome:SL3.0:8:51639614:51640583:-1 gene:Solyc08g062620.3 transcript:Solyc08g062620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFKEKKNASGLATSPCAQLREAYHNCFNRWYSDKFLKGEWNKEECVIEWKKYRDCLSQHLDDKHLSRFLEADGANQNDSKSSAGAPK >Solyc02g089230.3.1 pep chromosome:SL3.0:2:51737539:51740383:1 gene:Solyc02g089230.3 transcript:Solyc02g089230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLNLRKFLISSRFLHRLMATNCGKKFIRIDISSDTVCPWCFVGKKNLHKAIALTSDQYDFEIKWHPFLLNPSAPKEGINKKDYYRNKFGSRSEQMMSRMSEVFKGLGMEYNMSGLTGSSLDSHRLLYFAGQQGLDKQNNLAEELFLGYFTQGRYIGDREFLIEAARKVGVEGAADFLEDPNNGLKEVNEELQQHSSNISGVPHFVLNGKYQLSGGQPPESFIRAFQAASNVTA >Solyc07g026670.3.1 pep chromosome:SL3.0:7:30202411:30218333:1 gene:Solyc07g026670.3 transcript:Solyc07g026670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRVPVLFLLLILPFSLQCVLSDADADADRDVKPQDVNDVLNSSEFNSSRSTFATMIDKALEKEFNETDDKTDVTDHRSFNNSVAEQEAVLETVARVKPKKNETKEEKSFQLHDVFHLENDNGAEDTPTLIDRKDNVFIMSNRKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVFTGYLLAGSVIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTAKLCGGEASEGVFVGVFLSMSSTAVVLKFLMERNSVNTLYGQVTIGTLILQDCTVGLLFALLPILGGTSGALQGMFSMAKLLVVLLIFLTILSVLCRTCVPWFLRLMIGLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDFAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHIDILVAAVILVIVIKTVVVAAVVKGFGYTNKAAILVGMSLAQIGEFAFVLLSRASNLHLIEGKVYMLLLGTTALSLVTTPLLFKLIPAVVHLGVLLRWFSPEIPNEIGPKGDILRADSSKRISVLIQGSRDS >Solyc08g060920.3.1 pep chromosome:SL3.0:8:45846503:45848017:1 gene:Solyc08g060920.3 transcript:Solyc08g060920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFWKILKSHIEETLPEWQDKFLSYKDLKKELKLIYPQDDRPIKKQRLNNDELAKEVNDFVKLLEEEIDKFNTFFVEKEEDYIIHLKVLKERVAEMGKSNEEVNRLGRDIVDLHGEMVLLENYSALNYTGVVKILKKYDKLSGELLRLPFIQKVLAEPFFETEVLNKLVKECDTLLSHLLYQTEPLKVAGGGGGGGGERPVKVPQELAEIKNMENMYLRLTYSALRVLQEMRSGSSTVSIFSLPPMKTNALDNVWKNAPVVIQEAK >Solyc07g042540.3.1.1 pep chromosome:SL3.0:7:56017184:56017674:-1 gene:Solyc07g042540.3 transcript:Solyc07g042540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSFTKTANIRRIDVNCALLVFKIVIHLELMLDMCNVC >Solyc03g093480.3.1 pep chromosome:SL3.0:3:56207036:56234936:-1 gene:Solyc03g093480.3 transcript:Solyc03g093480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLVAQLQRLAVPDTEQRKRPFTRPSILFDPKEAADIELDTILNIAQSGLEVLINIEERFKKYKSDLFSYGSRELDRELMGIEENKRINASIYSYLQLLSGYFELSASLKTLEYLIRRYKIHIYNIEELILCALPYHDTHVFVRVVQLIDTGNSKWKFLEGVKVSGAPLPRKVIVQQSIRDFGILECLCNYVTASKKVEPSRPVSGFCTAVIFEVLGSLATIDSDAVRRVLPFVEFGLQPGSRGGTDQKAGALMIVSMLADKVSLSPKVVKSLIRSLAEVARADARDSTDLQWCRMSLMTLVTLVQLQSVEIIPKKIVEILKDIRDISGLLSELVKEFNTEKFLALFLDALVEYSCSDDLCHGTLLSIVEMVPLKGFVAHIVSKLLNTSLRIMKDNDSAAAGSRCDQILVSLLKKYLFESREAVNRYIEDIKLRSKNDYEIVIRMLNCNLDLSQEISNSKVWFAMEHPKAEVRRSALLGLDVRGMLNVEAADSQRFGTIQDTILRRLCDEDITVVQAALNLEALPEIISTPLRIDAFRNVLQRCIKLLSSGASHGASLAVDIALSCLQHAAAGLPDEGEHVKMVAALIFPFIMITLKTQKLNLKALEMAKQIKWPFYENLVSVSLLDKKLDSGKISSINVENINVLAKALLIHPEEHLPWLVECCKSLKLSKTLFLLVLLQSFTLLETGDDRFSTFFGICFPILRMEWELLESAGNISEEFNPGLWEGDISIIIKHMLATSPKEVNGEILTCLFWRLLGSFSKIAAETEPLDKNENWLCCFRDLFVFLVSRTNHVFKKHLSNIIAKCKLQTSHFLSEFFTDEGVSAALLIGSLQIFTSLCARPDESLSFQLLAEFPSILVPLSSDNQDVRTAAMNTVEGLLSLWSRVDLSRSKNGPHAVWVHFLGEILGLMVQQKRLLISDKNVLSSLFSSLLGNSNDSLLVQHNVGKRFDQTTKEEILTSLIDSALRYSAYAKLKILSLLKGVGHTVIRVNGIESLMLDLLDRRQKCHIGFDKSCHKLSQVEVTILCILLEFCIKPSTTTVGDLEVLDPVLKALQVSDVLSGDPAILKPCMTVLGDLSNSFYASLKTETQDLVFRHLVLLFRSANGDIQKATREALLRINITCSIVSRILDFICEQKVWSNGSKHEKKRKKRSACNNRDVCLDIIPGGGNVVAFVGSLLDVLLLKKDMENRGSLICPLFKLLQNAFIDNEWIHAAANQSDLHYHSSSGNSQIIADAAVHIQQELLLILEDITASVTSEDKNSVNFDVELLIKCARSASNMVTRNQIFSLLSAISRAKPDEVLDHILEILVVIGESAVTQWDSNFQHIYEDLISAVVPCWLSKTDSADALLQIFVSILPQVSEHQRISMIVHVLRHLGESVSLGSLLYLLFRSLVARNCSSLCDRSDPSFSYSVSLLTTQWEYLFAVDLLEKYSCTVWLPSILLLLQQIVVGDSDATLFMEQLVAMHFISTKLQDPEIAFKLDSGEDSDNIQLTVGVIMKEIVCHLQLVDSKRKQIGVLSVFRKELKEYMNTVLSAVTKRLTPSVYFKAIVQLLGHVDKCVRRKALGTLSETVKDTGFVGLKHEKRGPAVSSRISWFHLDENSLQSLDTLCLEILKLVNSQSESSSSLKLAAVSTLEVLANRFPSDNSVFSACLDSVSKSICTDNSALSSSCLRTAGALINVLGPKALPQLPFVMEGLIRQSHNDLSTVTAETKPSDGDASTVSSIQNDSVFMSILLALEAVVNKLGGFLNPYLGDILELMLLKPQYTSTSELKLKLKADSVRKLISERVPVRLLLSPLLRVYSDAITCGDSSVSVAFEMIQNLVAAMDRSSVGAYHVRIFDVCLQGLDLRRQHPAAVKNVDAVEKNVINTVVALTMKLTEKMFKPLFMRSIEWSESIVEENENVGTKSIDRSIAFYGLVNSLADSQRSLFVPNFKHLLDGCVRHLMDAEGAESTLKHKKKKVKLQESNSKKKDTNCGLSIGLWHLRALILSSLHKSFLYDTGTLKFLDSANFQALLKPIVSQLVTDPPVALMQYPNVPSVEEVDDLLVACVGRMAVTAGSDLLWKPLNHEVLMQTRSEKLRSRILGLRIVKYMVENLKEEYLVLLAETIPFLGELLEDVELPVKSLAQEILKEMESMSGESLRQYL >Solyc11g011720.1.1.1 pep chromosome:SL3.0:11:4710290:4710568:1 gene:Solyc11g011720.1 transcript:Solyc11g011720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKLISPLVQGTRILRRFSNSGGVPKGHCAVYVGESQKKRFIVPISYLSQPSFQDLLTQAEEQFGFDHPMGGLTIPCKEDVFVDLTSRLRR >Solyc06g067900.2.1 pep chromosome:SL3.0:6:42204052:42206092:1 gene:Solyc06g067900.2 transcript:Solyc06g067900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYFLVKSGWFRSFVADYCVPLMVLVWSELSYGVPSEVSSGVPTRLFSPLPWESASSHHWTVIKDMGKVPPTYIFAALIDVPRRVASNSEIYGNMQAVFLEIDSSSDTTVAKKLEHLKEAVMKSTENKNGGTTEVAFDPEKHRDVHVPVRVNEQRVSNLLQSLLVAASVCAMPVIKKIPNSVLWGYFAYMAIDSLPGNQLWERLLLLFITPGRRFKLVRVSNTLGNNEVFSFTRKVLEGVHASYVESVPFRYIAIFTIFQFMYQVKEAPTNGTHEEGEVDICNAEILDELTTSRGEFKVYATRDTI >Solyc05g018566.1.1 pep chromosome:SL3.0:5:22255709:22256693:1 gene:Solyc05g018566.1 transcript:Solyc05g018566.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQVETDASGKGIGAILSQKGHPIAYFSQQLSARMQKVSTYHREMFAIIHGVSKWRQYLLGRKFTIITDQQSLRSLTKQTIQTSEQQKWLTKLVGFDFHIVYRPGKQNVAADALSRNFEAAYMSISITSVEL >Solyc02g063060.3.1 pep chromosome:SL3.0:2:35643381:35648869:-1 gene:Solyc02g063060.3 transcript:Solyc02g063060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVISGSELKSSNTKRSVKKRKQKTGPDAVDGKSCHQCRQKTTAFVVGCKNKQKDKLCGINICHKCLSNRYGENAMELSLLEDWKCPKCRGICNCSLCMKKRGFPPTGQLVSTAKATGYSSVSDMLQFTGIENIDQIKVLKDKSGSRKKIKVSNNEEIIVSSENSEKETCIEEMTGINVNSSALSKSPSDKQSAGCKKKKASVKPEGTLSKDNVVNELDGPNDGENQPKKLMQGQPKSEFDSKRGDSESVEETLSEESQDFRKNKEKRSLKEGSLKDNIIENNDPFALTPLPQGTAVTAIGDTDLQQGDVGNALQFLEFCMILDINKGQAEAVLRDILQGRSTRRGKCSVTIQFLSSLLSFLKEEEEEKSSAETLIEGKNSCYADIKMLILKSPSVARTMRLDSLSNDVEEFEYLNPSEKLKMLTFICDEVLGTVKIRDWIDDQNSNFAEKAKEAKEKVVAAKNEEKRLKEKMQNEIAQAIIAKNGALLSLSEHEAIFSEIKRELAEAHVSLVESKNTYSKCNKRSEAVRTESFFSGTDGKVYWTLKCYGDKSVLLCQDVGTGDIAGSDEKWSAFDAEQKEIIEKHINSLRVKRRVRTPKVQKKLQSQENEATPQDLTDITGASMEECNEELMNGLLT >Solyc11g009000.2.1 pep chromosome:SL3.0:11:3150939:3158675:1 gene:Solyc11g009000.2 transcript:Solyc11g009000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNCFSFTASRNWFLKYSFSNSGLKSSTTDLGNGTIIHCWIPKTHKEKKPSLVLIHGIGANAMWQWSEFIKPLSSRFNLYIPDLLFFGESYTSRPERTESFQAECVMRTMEGYGVKKMAVVGLSYGGFVGYSMAVQFPEVVERLVLVCCGVCFEEKDMENGMFQVKSVDEAVSILLPQSPEKLRELVKLSFYKPIKNLPSCFLNDFIDVMCTVNHQERKELIETLHNTRKLSDLPKITQPTLIIWGEYDQIFPLELAHRLKRHLSENAELVIIKDAGHAINMEKPKELFKQLKSFLLDSRPLPSY >Solyc09g064340.1.1 pep chromosome:SL3.0:9:61727952:61728494:-1 gene:Solyc09g064340.1 transcript:Solyc09g064340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSNSSSNLSIIVQNNPSEAQLSELGIKSWPKWACSPGKYKLKFDAEETCYLLRGKVKVYPKNTTELSPVEFGAGDLVIIPKGLSCSWDITLPVDKYYKFHPS >Solyc02g078320.2.1 pep chromosome:SL3.0:2:43617374:43619621:1 gene:Solyc02g078320.2 transcript:Solyc02g078320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQYYSNEWKSIPTPESSDSEKTSACFDCNICLDFARDPVITLCGHLYCWPCIYKWLHFQSDSLSSDERPQCPVCKAEISQTDLVPLYGRGETLPESEPENKLTLKVPPRPPAFSPSSMSNSFNPSQQPPHPNAYDPHASYLNSSSPPSFSLGNNTSVGVFHPVVGMFGEMVYERVFGNSENLYTYPNSYHLVANSSPRLRRRELQADKSLNRISLFLFSFDDVPLCNDPDSKTFGR >Solyc10g055460.2.1 pep chromosome:SL3.0:10:56745393:56749914:-1 gene:Solyc10g055460.2 transcript:Solyc10g055460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTKLFKILNFSGTSFNNTPHHCLPISNLAEKYQGQLMIVPESEVNYH >Solyc03g059235.1.1 pep chromosome:SL3.0:3:30195624:30215820:-1 gene:Solyc03g059235.1 transcript:Solyc03g059235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKPKFVYGMYFDRAIVSKSEKTVDCFLPDLLFKNTNPKGAIDTRYNVEVAYRGEQSRVTSASIEDDPIDGLRDEQADGEEVNGSSLQMSGVGSGKLDGQPSCKGGNSLQINSSSSRQPNLDVNRPQLHNNHVPPIILSQSLYYHTSPGLQHPSTIVDNVNEDYQIWSVPEEGEASQPAQLSEVDIWVQSVGGKKKGRVKGLGFLGQTVKTSKQSISTLPEDIDEMINQQINASNVDLYAQLQNERHKNKKMRKELNLLMKHVYKKSFSNERSSQKDNQAYESDGDSNSMNEREWTLIT >Solyc02g005570.1.1.1 pep chromosome:SL3.0:2:9848253:9848771:-1 gene:Solyc02g005570.1 transcript:Solyc02g005570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHHSNWIIHMVEQRRLLNALISVVHHTQRNNVGCGMRSSPLDSTNGRTTSDDVRTSHAHVFLGNLTRSNDVKRGMPSWPLDRTHGWTTLGVACHHCPCIADKHGQHMALHAIIDLGQHTRSDKIVCEMPSLSFQKTHYQTTSSVAFHHIPGTSHKIGRLWSLQVIITLGQ >Solyc09g007900.3.1 pep chromosome:SL3.0:9:1413573:1422353:-1 gene:Solyc09g007900.3 transcript:Solyc09g007900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVQNGHVNGEAMDLCKKSINVNDPLNWEMAAESLRGSHLDEVKKMVDEFRKPIVKLGGETLTVAQVASIANVDNKSNGVKVELSESARAGVKASSDWVMDSMGKGTDSYGVTTGFGATSHRRTKNGGALQKELIRFLNAGVFGNGTESSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEKLNAEEAFRVAGVTSGFFELQPKEGLALVNGTAVGSGMASMVLFESNILAVMSEVLSAIFAEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGLKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLRSAVKNTVSQVAKRTLTMGANGELHPARFCEKELLRVVDREYVFAYADDPCSSTYPLMQKLRQVLVDHAMKNGESEKNVNSSIFQKIVAFEDELKAALPKEVESARAVVESGNPAIPNRITECRSYPLYRLVRQELGSELLTGEKVRSPGEEIDKVFTAMCNGQIIDPLLECLKSWNAMDLCKKSIIVNDPLNWEMAAESLRGSHLDEVKKMVDEFRKPIVKLGGETLTIAQVASIANVDNKSNGVKVELSESSRAGVKASSDWVMDSMSKGTDSYGVTTGFGDTSHRRTTNGSALQKDLIRFLNAGVLGNGTESSHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEKLNAEEAFRVAGVTSGFFELQPKEGLALVNGTAVGSGMASMVLFESNILVVMSEVLSAIFAEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRVATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQLSELVNDYYNNGLPSNLSAGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLSNAVKNTVSQVAKRTLTVGANGELHPARFCEKELPQVVDREYLFAYVDDPCNSTYPLIQKLRQVLVDHAMKNEKNVNSSIFQKIVAFEDELKAVLPKEVENARAVVESGNPAIPNRITECRSYPLYKLVRQQLGTELLTGEKVRSPGEDIEKVFTAICNGEIIDPLLECLKNWNGAPIPIC >Solyc01g108880.3.1 pep chromosome:SL3.0:1:95939751:95941759:-1 gene:Solyc01g108880.3 transcript:Solyc01g108880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFNVAIHPYRYISCDKFHNTILLSLVTKKKEEEESEISIMENMDESFFQETEHRPKQSTIEAEDIPIIDLSPILTSDSSEITNLVAQIGDACKKWGFFQVINHGVPSTCRQKIERASKEFFLLPTEEKRKVSRDEDNPMGFYDTEHTKNVRDWKEVFDFVVENPTLLPASHEPHDTELRELKNQWPDFPNNFREACEEYARGIEKLSYKLLELIALSLGLSSKRLNNYFKDQTSFMRLNYYPLCPIHHLALGVGRHKDPGALTVLAQDDVGGLEVKRKADGEWIQVKPTPDAYIINVGDIIQVWSNDRYESVEHRVIASSNKERFSVPFFFNPSHYVMVEPLEELTNEESPAKYKAYNWGKFFTTRNLSNFKKLNVENIQIYHFRNGDL >Solyc01g102330.3.1 pep chromosome:SL3.0:1:91020799:91022041:-1 gene:Solyc01g102330.3 transcript:Solyc01g102330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYLLLLILAHAGWVTTADLGNYSLVKNIFILAGQSNISGRGGVINHSLRPGVVNESWDGVIPRECESNPSILRLSGGLKWVEAHEPLHKDIDVNNTCGIGPGMPFANTVLKKDPSIGVIGLVPCAVGGTSITEWAPGGFLYRSMIKRTKAATRGGGKIRALLWFQGESDTKTLEDAKMYKVRLERFFNHVRHDLGMPNLTVIQVGIATALGPYMELVREAQREINIGNLKYVDAKGLQIGPDYTHLTTAAQIQLGQMLADAFLRPN >Solyc08g082580.3.1 pep chromosome:SL3.0:8:65436076:65439380:1 gene:Solyc08g082580.3 transcript:Solyc08g082580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSETSNGIEETVLDILKTSNLEEVSEQKIRRMASEKLGLDLSEPTRKKFVRQVVEKFLAEEQAKREANAADEVKEEEEDDENDEEEEDGKVKSSGDKEYDDEGDLIVCRLSQKRRVTVTDFRGKTLVSIREYYSKEGKELPTSKGISLTAEQWATFKKNIPGVEQAIKKMESKA >Solyc05g025680.2.1 pep chromosome:SL3.0:5:35772664:35773823:-1 gene:Solyc05g025680.2 transcript:Solyc05g025680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTGIEHIFQYFSRHPFSITSAPGDDYISVYIRIVGDWTKELKRVFTEIPACLVGRAKFEEQENVDQRGLPRLLVDGPYGAPAQDYQNYDVLLLVGLGIGATPFISILKDLLNNTRADENDSNTETSASDDSWTSFGSSSSASSVKKKSQRARSAHFYWVTREPGSLEWFKGVMNEVAEMDHKGQIEMHNYLTSVYEEGDARSTLITMLQALNHAKHGVDILSGTKVRTHFARPKWTEVFKRIALKHPYSTVGKEFLQSQV >Solyc01g094655.1.1 pep chromosome:SL3.0:1:85971733:85974085:-1 gene:Solyc01g094655.1 transcript:Solyc01g094655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKMNKASDLSSISVLPPHARRPSVVPSAAESSVFGKSQPSQVRSQQQSQQSFSQGFSSQQAVYSQLSQSSLDDFVPNEQRLGSQERENSAKRMSCLPHINYTREETQMQLSKPSTNYLRKWSVPESKCKHQATIHHHKLHALNFCLKVKENVLPFSANLQLESSD >Solyc05g009875.1.1 pep chromosome:SL3.0:5:4081207:4086449:-1 gene:Solyc05g009875.1 transcript:Solyc05g009875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKILKSLQAVVAHGLLFCFTLLLVLKLDRAIHNSWWVVFVPLWLFHVVVARGRFSLPAPSMPHDRHWAPFHAVMATPLLVAFELLLCIHLDSAYAVSLKIVFFPLLALEIAILVDNVRALMPGDEESMSDEAIWETLPSGVMCLEFICFVLYPIDVKAI >Solyc06g082300.3.1 pep chromosome:SL3.0:6:48214670:48219340:-1 gene:Solyc06g082300.3 transcript:Solyc06g082300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGSSPCASCKLLRRRCAKDCIFAPYFPPDDPHKFAIVHKVFGASNISKMLQELPIQQRADAVSSLVYEANARVRDPVYGCVGAISFLQNQVSQLQMQLAVAQAEILCIQMQQEPVPCKWHSLITSENLPENIKYATIPNVIPSEFGRANDFTAFVKATLTKMENPVEKLIDELMMKPNVIVYDTYLSWVIGLGNRRNIPVASFFTMSATMFSICYHMDLLAQNEHLRANLSGKMHEQVDYIPGIPSIRVLDLPTPFYGKGQELLDVVMEIFSTVSKADYLLFTSVYELESIVIDVLNQILPIPVYTIGPAIPYFTSEKNLSSTTSIDEPEYIKWLNAQPNGSVLYISQGSFLSVSCDELDEIIAGVHDSGVRFFWVARDETDRFQKNGCSVGLVVPWCDQLKVLSHPSIGGFWSHCGWNSTKEAAFSGLPMLTFPIFWDQKTNSKQIVEDWKIGYRVKKHESREEISSLLKWFMDSGNEEVMETRRRAKEIQKICQFSTANGGSSEINIDAFIRDVLFHKYT >Solyc08g068940.1.1.1 pep chromosome:SL3.0:8:58070549:58070815:-1 gene:Solyc08g068940.1 transcript:Solyc08g068940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGNSQSAHIINIKVTYLTASPFGHEVYPGKQSTFKSWNASVGWFLRQHVRQKNCKHNQFLNTNNSFWSRMNGCLSIECQPVPGYCK >Solyc02g087920.3.1 pep chromosome:SL3.0:2:50809633:50811051:1 gene:Solyc02g087920.3 transcript:Solyc02g087920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKSDVEKLDEVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKLASTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDSTKCIGLKKSLVFYRGRAARGIKTDWMMHEFRLPTTNNESGPPKKFLEKNFPPNDSWAICRIFKKTNSMANRALSYAWGNPLSEVASPELFNQSANAHFVSENVSSITETGTALHLSNNNDLLQGASNVPSYKSLNAMASRPSYLSSPSTDISYNFMFSSPEVSGQNNRCLLDANSMFFNTSPALITDISKTSESIDFDGSNQQLKMQTSISMENDEAGLKSNRTSINDNTEWENMRSVGFPFSLAEEWKPSLSWDSPPCPSEISTSFSTNKCYN >Solyc05g009530.3.1 pep chromosome:SL3.0:5:3737141:3743365:-1 gene:Solyc05g009530.3 transcript:Solyc05g009530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:K4BXC7] MASLQSSAISFSSSLGRFSSTRTMLPQKPRKYKLPVVESKIREIFMPALSSTMTEGKIVSWVKSEGDVLSKGESVVVVESDKADMDVETFYDGILAVIVVNEGETALVGAPIGLLAETEDEIAEAKAKAKGQSGGSSSGSESTPEPQSPVVVSSDEPKKIVATPNAKKLAKQHKIDINKVKGTGPFGRITSEDVEKAAGITPAPSKSISPPPPPPAAAPAAGAPAKAAPVSLPEIPGSTVVPFTTMQVAVSKNMVESLSVPTFRVGYPVITDALDALYLKVKKKGVTMTALLAKAAAMALAQHPVVNSSCKDGKSFTYNSSINIAVAVAINGGLITPVLQDADKLDLYLLSQKWKELVEKARAKQLQPHEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTPVADADGFFSVKNKMLVNVTADHRVVYGADLAAFLQTFSKIIENPDSLTM >Solyc12g036500.2.1 pep chromosome:SL3.0:12:47126841:47131193:1 gene:Solyc12g036500.2 transcript:Solyc12g036500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSEETIASLFYNISSSFLILLLFTYFTSSLLAKFFYFLGGNPFFWRNQDAYEFSDDEEVEEEHEYYHNNNYEFVEENHFIDNEFLGVEKEIEESCSNTDDDYEEESIYSSIMYSESIYSDDNEMEVIEILDDHYDSYSNSDPSGYSDSQINEVGPTLPLPRDMNTNVLTNTIDKDINHGNCYRELIEDKKVIEINLCTREENMFVNASSSKLENNKKIVQSVQDEEKDEHEIFGDSCTNGSTSKSSTEWRSSIKDSTTDDPFSSSSRRSCPKWESYTIFQKYDEEMLFFDRISAQKLHETETLRSIQACPRSISDKIVHKLFVNKKSRQHHNPYYELEAAYVAQVCLAWEALSWNYKYFQRLRASHNNNNNKESKDDQGCPAYVAQHFQQFQVHLQRYIENEPYENGKRPEIYAKMRSLAPKLLQVPEYRDSVEEDKGADQDYCSRISSESFHEIMKEAIRTFMNFLKADKENRYQILVAAFFRRKRRGSPHATIFLLNKQNKKKKSKVKDLQRSGKCLRNKRRLKEEEEMEMLMSLIDLKLVSRVLRMRQVNEEQLHWCEDKMSKVKISDGKLQRDSSPLFFPAQ >Solyc08g006155.1.1 pep chromosome:SL3.0:8:878637:880660:1 gene:Solyc08g006155.1 transcript:Solyc08g006155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYENVVAGKLRLKGKALEVKSNGIKTKKKHKHQYDLVTQVTGGNNPLTTNQLEDDLTTKNDDHLTPAERKYLEQWEKLNIKRLAKEAKKSHRDRIQEFNRFLANLTEYYDIPKVGPG >Solyc02g036390.1.1 pep chromosome:SL3.0:2:31171077:31174988:1 gene:Solyc02g036390.1 transcript:Solyc02g036390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHLAIVPSDRAVEQAIIALKKGALLKYGRRGKPKFCPLRLSADEKFLIWYSGEKENKLSLSAVTNIIRGQNTVNQQKQLKAEMDSQCISLIYGNDDHSLDLICKDKVQAATWFLGLRAVISRSRHGLVDHMKNKRGVQSCISSPVGYLRRKHNLGFSLKKSRSSQVRSFSRSPTRSFSDKCNADGGLSCSSDIFLPLSSVHNGMDNVIANSSSDDPRQKRATCVDTAIQYVLRDVFIWGEGGCFGDAGEVKMDALLPKLMESTTTLDVQTISIGSGHAALVTKQGEVFCWGEGKNGRLGHKVDIDISCPKIVDSLNGVHVKSVSCGEYHTCALTTSGELYTWGDNLVVERKTRSYWLPSRVCGSSDGVKISHVACGVWHTGLVSTSGQLFTYGDGTFGALGHGNCKSMAHPKEVESLRGLCVKSVACGLRHTAALVEISVHDHLKSNHCSVKLFTWGDGDNGNLGHSDNEMKLLPTRVSQLDDHDFIQVTCASTLTVGLSNTGEVYTMGSLVDRQAKDKSLVAVQEKLKDKFVTQISSGSNHVAVLTSKGNVYTWGKGSNGQLGLGDTKNRNFPALVEGLRDRQVEHIACGSNSTAAICLHKSISSSDQSVCRGCGTTFRITRKKHNCYNCGHSFCHACCRKKTTNASLSSDKTKTFRVCDPCFCQLRRASKKEKHSPRQAIDNSQESNSISSRMMSTRKYSSENNQCLDPIESLLDDFPRWGQVPCPEFLRRGTEHSRNSSPSPTARIMQDKLSESNKFLLEQVSKLRTQVHFPFSGFLKS >Solyc02g083540.3.1 pep chromosome:SL3.0:2:47452964:47458840:-1 gene:Solyc02g083540.3 transcript:Solyc02g083540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDHFGDIANIAQLTGIDAVRLIGMIVKAAATARMHKKNCRQFAQHLKLIGNLLEQLKITELKRYPETREPLEHLEDALRRSYLLVNSCQDRSYLYLLAMGWNIVYQFRRAQNEIDQYLKIIPLITLVDNARVRERLEYIEMDQREYTLEVEDMKVQEVIMKPEPSKDDTIILTKNLSCSYPRVPINEAIQKENEKLQLELQRSQANLDVGQCEFIQHLLDVTEVVANNSLSLKSSPAKPLKKLDQSYSNVDTEKVYYDDYAKSDEKQTTSRNTSSVTSRHDLLSSKGSHQYEEWHSDLLGCCSEPLLCIKTLFFPCGTFSRIASVAADRHISSADACNELMAYSLILSCCCYTCCIRKKLRKKLNIKGGCVDDFLSHLMCCCCALVQELREVKIRGTHGIEKTKISPPTTQFMES >Solyc01g015080.3.1 pep chromosome:SL3.0:1:16711704:16715127:-1 gene:Solyc01g015080.3 transcript:Solyc01g015080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4AUE2] MRKPCNFTLYYPLFVTFMIMFVVVNSQLTTDFYAKTCPNVLKVVRKEVQNAIKNEMRMAASLLRLHFHDCFVNGCDGSLLLDGNSTTSEKFAAGNINSARGFEVIDNIKKAVEDACSGVVSCADILAIAARDAVLLSGGPTWKVRLGRRDGLVGNISGANSGLPAPFHSRDTIISMFQDVGLNVTDVVSLSGAHTIGLAKCATFDNRLTNFNGSGEPDTTLDTALVTELQNLCPSTSDGNNTAPLDRNSTDLFDNHYFKNLINQRGLLESDQILFSSNDAIATTKTLVEIYSNSSSVFFSDFVNSMIKMGNISPLTGSNGEIRKNCRVIN >Solyc11g005830.2.1 pep chromosome:SL3.0:11:669963:672308:1 gene:Solyc11g005830.2 transcript:Solyc11g005830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYNNLKTTSQEDHFGIRVDSFHSTENDISKSDSHENKLSWLRSQIIGENVDFESPFGKRRMTYADHTASGRCLHYIENYIINNVLPFYGNSHTSDSHVGYQTTKIVHEATAYVKRCLGGGDEDAIIFCGSGSTAAIKRLQEVMGISVPSILREKVLTKCFRNEMKERWVVFVGPYEHHSNILSWRQSLAEVVEIGLDENGLVDMEALRDQLELYKSTNRPLLGSFSACSNVTGTYSDTRAIARLLHKNGAFACFDFAASGPYAKIEMRSGEMDGYDAVFISPHKFLGGPGTPGVLVMNKALYRLRTSPPSTCGGGTVDFVNPYNEKDTLYVENIEEREDAGTPPIIQKVRTALAFWVKEFISHKVIERMEHTYIELALQRLLPNPNIWILGNVTAKRQAVLSFLIYTTTHSSSSDGNGGDNELYLWRETGNKKDKPLHGPFVAKLLNDLFGIQARGGCACAGPYGHKLLQVDEPHSLAFRDAIEMGYSGVKPGWTRVSFPYYMSKEEFVFILEAIEFISIYGQRFLPLYHFNWRSGAWTFKKKAFNCKFCCSQMTNVLNINCHNTKENNHGRGLVHKYVKYIETAKRIASLLPKFPPQRSIPEEIDPTFVPFRV >Solyc11g069925.1.1 pep chromosome:SL3.0:11:54823515:54828165:1 gene:Solyc11g069925.1 transcript:Solyc11g069925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVVAIGDAFLSSAFDVLIDRLAPEGDLLKMFRKRKNDVELLKKLKLTLLGLQAVLTDAENKQASNQFVREWLNELRHAVDSAENLIEQVNYEALKLKVEGKHQNLAEKILKHCRFCNVCLGDHSFLNINEKLEKTIETLQNLQNQISDLGLQKHFGLTKQETRTPSTSLVDESDVFGRQKEIKDLIDLLLSENASGANLTVVPIVGMGGAGKTTLAKAVYNDAKVKNHFGLKAWYCVSEDYFKKLDHLTQRMTAILKENLKGKKFLVVLDDVWNDTYSEWDNLRNVFVQGDMGSKIIVTTRKASVAQMMCADRCAITVGNLSREDSWALFKRHSLENRDYPELEEIGKKIANKCKGLPLALKALAGVLRGKSEVDEWRNILRSEIWDQQSCWNGILPSLILSYNDLPPHLKRCFAFCAIYPKIMNSSKTKSLFERVPESEWKSEGFVMHDLINDLAQTASSKHCIRLEKNEGSADMLEQSRHMSYSVGEDGDFEKLKQLSESEQLRTLLPTTDYYFLCLSKRVLHNILPRLTSLRALSLSCYVIKELPNDLFIKLKILRFLDLSRSEIEKLPDSICVLYNLETLLLSSCPYLVELPMQMEKLINLRHLDLSYTPELKMPLHLSKLKSLQMLVGAKFLVGGSGGLRMEDLGEVHNLYGSLSVFELQNVVDRREAVKANMKEKEHVEELYLQWSEGSSAYDSQTEREILDELYPYTTIKQLGITGYRGAKFSNWLADPLFLKLVKLSLSDCKDCDSLPALGQLPCLKILLIRGMHGIRELTEEFYGISSSKPFNSLEKLEFENMAEWKQWHIRGSGEFPKLEKLSIENCPELTGKLPENLCSLTELKISGCPQLNLDRSQLEGMKQIVELSIRDCNSVTSLPFSILPSSLKRIYIGNCEKLKLEEAVGCSDMILEELELQGFDCIDDISPEFLPRARKLSVQSCPNLTRFLIPTATESLTIQNCENVEILSVAVDSAMTSLYILNCEKLKCLPEIHLFNLQLLMISDCKKVVNGRKEWCLQRLTELVIYHDGSDEEIQHWELPCSIQRLEVYNLKTLSSQVLKSLTSLQYLCVEGNIPSLVEQGWLPSSLSELHLGYNDELHSLHLSHLTSLIHLHISDCPNLQSLSESALPSSLSELTICSCDNLQSLSESALPSSLSQLNIFDCPNLQFLPVKEMPSSLSKLDIRRCPLLEPLQEFDKGKYWQNIAQIPILYINGESLVECLLLNPHRKLLILPLINVDGRICNDYHPINYGVVKPSTMTS >Solyc10g083520.2.1 pep chromosome:SL3.0:10:63438983:63440932:1 gene:Solyc10g083520.2 transcript:Solyc10g083520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQKQGSEQLQTLMQSGQISGSFSFNGTLSKEDEEMSRSALSTFKAKEEEIEKRKMEVKERVQAQLGRVEEETRRLAIIREELEALADPMKKDVQTVRKRIDAVNKELKPLGQTCQKKEKEYKEALEAFNEKHKEKVQLISRLMELVGESEKMRLKKLEELSKSIETIQ >Solyc07g042490.1.1.1 pep chromosome:SL3.0:7:55814989:55815381:-1 gene:Solyc07g042490.1 transcript:Solyc07g042490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGKLTKLKSVLKKMQSFKIGRVNSTAGVAATHHHSSSEEDDSFSDENRCSGKNLFPVYVGKSRRRYLVSSDVIDHPLFRELVERSGETEEYITVGCEVVLFEHLLWMLENADPQPESLEELVEFYSC >Solyc02g061700.1.1.1 pep chromosome:SL3.0:2:33763920:33764339:-1 gene:Solyc02g061700.1 transcript:Solyc02g061700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGEGTSEDRAKRANVEPPVPAPTPAPAPEPSVLERTCYACDMVFDTMILFLRHMQSHQVLETSYVPDLNQDQVPNWTPPYEPIASPDDDNSSDISVDDGTADADADVPAAPREPVYLLPDLNLPAPEEDEDEDGDE >Solyc06g050790.3.1 pep chromosome:SL3.0:6:33664574:33668366:1 gene:Solyc06g050790.3 transcript:Solyc06g050790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEKQDCVCLAPLLPVEQNERSGEGGSISGAIFNISTGMVGAGIMSIPATFKVLGVIPSFFVILLVGYFVEVTVDFLLKYTHSGESDSYGGLMAESFGKFGSVALQICVIITNLGALIIYLIIIGDVLSGNNSDGSVHLGTLQEWFGIHWWTSRAFSVLVVVVFVMLPLLSLKRIDSLRHASAISILLAVLFVVICSMMAIHAMWEGKTQKLRLVPDFSHGVTFTELFTTIPVFATAFGCHVNVHPIRAELGRPSDMTSAVRISLVLCVVIYFAVGFFGYLLFGDSIMADMLVNFDQASDSLIGTALNDIVRLSYAIHLMLVFPVMNYSLRVNVDELFFPKRPLLASETLRFFSLTCILLAFIYGGAVAFPNIWYFFQFMGTTTVMCIMFIFPSSIVLRDAHNISTTREKMLAVLVIIFAIGTSSVAIYSNIAT >Solyc02g032970.3.1 pep chromosome:SL3.0:2:30013786:30057372:1 gene:Solyc02g032970.3 transcript:Solyc02g032970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYASTTTTAAFFNLQNPFLNRHSHSNCRIRSKKLSSHEPGKPISLLRIPIASAPARLIKCSITQKDTNPDDQNPLKPILKPVVYTLFSIAFGLCPVFGFQFPATASVAAAPPPAAAELIQKTSNKRKDALETRHQYSHCTKRLLETVTGLLRVIEEVKSGKEDVKCVEEKLKEVNMKRNELQGEIMNGLYAELRLLKGERNALVNRSDEILDVVLKNKREEESLLKKAKGNEKDAVVKEKVAKLDEEVRQSDEEYNRVWERIAEIDDEIMRRETLALSIGVRELASIERECQILVTEFLRKMRLQSIESVPKSPVTKLSRSEIKEELQTAQRHLLEQIVLPNVLEDDDNILLFDQDSMVFGQRIEQALKDSREMQRNLESRIKKKMKRYGNEKRFVVNTPVDEVVKGFPEIELKWMFGNKEVVVPKAVSLHLHHDWKKWREDVKADLKRDLLENVEHGKKYMAEKQERILLDRDRVVAKSWYNEERNRWEMDPVAVPYAVSKKLLESARIRHDWAAMYVMLKGDDREYYVDIKEYEVIYEDFGGFDALYLRMLASGIPTAVQLMWIPFSELNFRQQFLLVTRLCHQCLNGLWSLKLVARGRDWICEKFRNVNDDIMMMIVFPTVEFVIPYRVRMRLGMAWPEYLDQSVASTWYLKWQSEAEMSFRSRNKDDFQWYLWFLIRTAVYGYVLYHVIRFMKRKIPRLLGYGPLRINPNIRKLQRVKAYFRFRTRRIKQKKKAGVDPISTAFDQMKRVKNPPISLKDFASIESMREEINEVVAFLQNPRAFQEMGARAPRGVLIVGERGTGKTTLAMAIAAEAKVPLVEVKAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFEKQDGVVLMATTRNLKQIDEALQRPGRMDRIFRLQRPTQAEREKILRIAAKGTMDEELIDFVDWRKVAEKTALLRPSELKLVPVALEGSAFRSKFLDIDELMTYCSWFATFSSLVPKWLRKTKAVKQISRMLVNHLGLTLTKENLESVVDLMEPYGQISNGTELLNPPLDWTMETKFPHAVWAAGRSLIALLLPNFDVVDNLWLEPFSWEGIGCTKITKAKNDSISGNVESRSYLEKRLVFCFGSYVAAQLLLPFGEENILSSSELKQAEEIATRMVIQYGWGPDDSPTIYHHGNSVTTLSMGNHFEYEMAAKVEKMYYMAYDKAKGMLQKNRQVLEKIVEELLKYEVLTRKDLERIIADNDGVHEKEPFFLSKAYNEPVLEKFLQENGKASSMEFLTAAN >Solyc02g068220.1.1.1 pep chromosome:SL3.0:2:38787835:38788107:1 gene:Solyc02g068220.1 transcript:Solyc02g068220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEFKSPNYMSWLDDQPNDSVLYISQGSFLSISNTPLDEIIAGVQSSGVRTFWVARETSSLLTDGIGKRGIVVPWCDQLRVLCRWMEFN >Solyc11g005340.2.1 pep chromosome:SL3.0:11:269286:273046:-1 gene:Solyc11g005340.2 transcript:Solyc11g005340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMHTRHHYNVLFLFFFKNKLKITIGMSKHNHKDKIDISHISFIKRPKINKKSKQHREMATKALIQPQIRVSHLSPPLPNSIEMMIRNNNIINSPRNFNKISRFERTIFASSQQQQSNVEISHSTSSQIKIQLYDALQGINRGIFGVSSDKKIEIEELVKQLESQNPTFEPTLSLDKVAGNWKLIYSTISILGSRRTKLGLRDFITLGDLYQNIDISEGKAVNVIKFNARGLSLLSGELRIEASFKIASKSRVDIFYNNSGITPDQLMNVFQKNYNLLLGIFNPQGWLEITYVDENLRIGKDDKGNIFVLERVN >Solyc10g017510.3.1 pep chromosome:SL3.0:10:5455245:5457503:1 gene:Solyc10g017510.3 transcript:Solyc10g017510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSQFSIFLSFLLFLLIAIKLMSESKRGKGSNLPPGPRKLPLIGNLHQLMGSLPHHTLRDMANEYGPIMHLRFGEVPTVIVSSAEAAKEVMKTHDLVFADRPKILVADIIGYNSTQITFSPYGDHWRQLRKICVAELLNVKRVQSFESIRQEEVEDLIKTISSNPSGTTINLSQMIFTLTNNIIARAAFGRKLENQDEFIGTLRKIVDLAGGFDLPDTFPSLKFLHPLTGAKAAMEKIHHQIDKILESVLQEHKAARKVTINHKDKMHKEDLVDVLLRVQESSDLDVPITTDTIKAVILETFIAGTDTSSTALEWAMAELMKNPHVMEQAQAEVRKAFKGKSMMTESEVQQLDYLKLVIKETLRLHAPVPLLVPRVARERCELGGYEIQANTRLMVNAWAICRDPKYWENAECFEPERHRNSTLDFKGNNFEFIPFGAGRRACPGILFGISNLELPLAQLLLHFDWKLPNGIEPNNLDMTEAFGETVRKKTNLHVIGIPCGNTSDYQNMN >Solyc11g013480.2.1 pep chromosome:SL3.0:11:6495263:6511686:-1 gene:Solyc11g013480.2 transcript:Solyc11g013480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPTVADREVDPIVWRAIAGNSVKIPPVGTRVYYFPQGHAEHATFTSPAVMSPGMPAFILCRVLSVRFLAESDTDEVYARIFLHPISQSEVDEVTMREEEVVEDEIVSFVKILTPSDANNGGGFSVPRFCADSIYPRLDFEAEPPVQNLSIRDIKGVAWEFRHIYRGTPRRHLLTTGWSKFVNSKQLVAGDSAVFMRRTANNQLYVGVRRAIRRNDDSQKWTSSFLMREHINNGGSPDVSWGIRKGRMTMEAVAAVAEKAARGVPFEVSCYPRDAWAGFVVKAQEVQMALNMPWTVGMRVKMAVEAEDSSRTACYQGTVSSVILNESGPWRGSPWRMLQITWEEPEVPQHANRVNPWQVECFPPIPQFLPPSKKIKLPNGLLPDGERSPFPMTGLGSFPMTGLGNFPMTGLGNFPMTGLGSFPMTGLGSFHMTGLGSFPMTGLGNSTIGLSSPSLGNFTSFPAGMQGARHDQVSVSSLSNVKSNNLGLCTNNSLDEEIKAKLDSVSPKLNIGSSYSDNLSLDSQSSVHFGDNELITKPGSSSFTKDRFSTFQLFGKVIHVERVEGALDGFGFSESDNVEVYKEIDDPSNSDVSPNKDDPSNSDVSPNKPFKLFDNPDVQHE >Solyc10g047778.1.1 pep chromosome:SL3.0:10:42674159:42675739:-1 gene:Solyc10g047778.1 transcript:Solyc10g047778.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGINFTNRDDFEEYLNQSLEALEIKDGFEDLLGLWSRRSDAFPTLSKMVRDVLDIQASSVASEAAFSATSELPRSSDQQRPVRERSDATEDNLQQPARALTTLCSLFSFPTNPTTTSNRRGPSRSSNFGKAPSAKTCKQ >Solyc04g080680.3.1 pep chromosome:SL3.0:4:64871080:64873069:1 gene:Solyc04g080680.3 transcript:Solyc04g080680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKTILTAATSLTASVILFRSIASDLVPEQLQLFFSSRFQKLSNRLSSQLTVVIEESEGLTSNQMFGAVKVYLGTKVNDWTRRIKVNKPDEDEELAVTVDRYQEVTDNYENVKFTWIMKSRGIKQSEKSTNPKTELRYFELSFHKKQKEMALKSYLPYILKRAKEIKEEKRVVRLHTVDYNGTDYWSSVVLNHPATFDTMAMEPEMKKELIEDLDIFVSRKDYYRRVGKAWKRGYLLYGPPGTGKSSLVAAMANYLKFDVYDLDLREVQCNSDLRRLLIGSSNRSILVIEDIDCNVGLQNRENGNDTTEDDKITLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHIEMSHCTFSGFRVLASNYLKTEEHRLFKKIEDLFQRVKVTPAEVAGELMKSNNSDVALENLVKFLQKKKHEGVTRS >Solyc07g056540.3.1 pep chromosome:SL3.0:7:64461022:64471950:1 gene:Solyc07g056540.3 transcript:Solyc07g056540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVTNVTEYQEIAKKKLPKMVYDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMTTTVLGFKISMPIMIAPTAMQKMAHPEVQLIQALVVVCYCNYYSREAEGLRIRTTIYWFLRRLLKPHELVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAEKAGFKAIALTVDTPRLGRREADIKNRFVLPPFLTLKNFEGLDLGKMDQANDSGLASYVAGQIDRTLSWKDVQWLQTITSMPILVKGVITAEDARLAVQAGAAGIIVSNHGARQLDYVPATISALEEVVKGAQGRIPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLAAEGEAGVKKVLQMLRDEFELTMALSGCRSLKEITRNHIVTEWDTPHAALPAPSISSKILKEALLQQKEVDEEETRERNPNAIVFSEDVATRAVEEDDDDIDNFSGFQETQSQFGDLEDEVDKLLLEDEKLLEAFYSTQNRPERTLGQILIEKSKEQNAQVSSVQPMPKLDESIIELYKGVGKHLSKYNSGKMPKAFKHIPSLQYWEDVLYLTEPEKWSPNAMYQATRIFASNLGVKKAERFYKLVLLPRVRDDIRKNKRLHFALYQSLKKSLYKPAAFNKGILFPLCESRTCTLREAVIFGSVIEKVSIPHLHASVALLKLAEMEYCGTTSYFIKLLIEKKYALPYRVLDAMVAHFMNFFDETRVMPVIWHLSLLVFVQRYKTEMRKEDKANIRALVERQRHRLITPDILREIEKSRSRGEKEDDPMLIDILSLMV >Solyc03g034320.3.1 pep chromosome:SL3.0:3:6098164:6102855:-1 gene:Solyc03g034320.3 transcript:Solyc03g034320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASELSVVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVHMLLESFEPIHGVLLCEGEDIDPSLYDDESNHLSPEEIEEIRRRHVSDTAIDKEKDTIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYRDIEKDLSRNLPQDKRVVHIDYDNYDNHRHIVKIIENTPLHCWFKDSLEDDTMEICVNSYHHQGVKKLAQRFVPIAFSHDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRQQDTDEFDYPGCTFAYQEFVKAVVAYNKKLSTSVQKPIKLNQEMEKKRKIIVRSFSLARNIYEGSSQMHPSKESDLDAGAEFLESNTALSIQQETRLIEMGATIRNGCSYLEKLKMNEEREGLARKIMGKMSVEQLSDLKSFYNMMGLICCEVLERKLQDIVNEVAS >Solyc04g053027.1.1 pep chromosome:SL3.0:4:51266224:51278008:1 gene:Solyc04g053027.1 transcript:Solyc04g053027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTQGQNSLGRRMRLVCDHCGYKGHTRESCYRIVGFPADFKSKRKGSGSMNEAYANNFTSESSGSGSASNFYFPGGYFTKEQYEQVTKMLSPSSPTGNCRAEANAAGPCPLLAPFLDNVDDASNDVNLDPCHTHIEEISNNTDGLQESSHSSHNEPPSKVGSRKTTRTNKAPVWIKDYVVPHKSSPHSITNHEGTEMIVILVYVDDLLITGNSSRMVQEAKDTLHKNFKMKDLGSLRYFLGIEILKSKEGLLLNQRKYALQLISEAGLSGAKTVSTLLEFNQKLTSVEFDQHTGGSDDAELEDVTAYQRLIGKLLYLTITRPDICFSVQVLSQFMQHPKVSHWEAALRVVRYIKRSPGLGVMLRRGTGVTKLTGYFDSDWSSCPNTRRSTTGYMVKLGDSLISWKSKKQQTVSRSSAEAEYRSLAALVAELIWLAGLLNELHFSGATPISTRNYFYLEGATSP >Solyc04g072060.3.1 pep chromosome:SL3.0:4:59202208:59217195:-1 gene:Solyc04g072060.3 transcript:Solyc04g072060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIWEEESENQHKSKQRYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDNLDNWHEEFLKQANPPDPKTFPFILLGNKIDIDGGNSRVVSEKKAKEWCTSKGIPYFETSAKEDINVDAAFLSIAKTALANEHEQDIYFQGIPEAVSETEQRGGCAC >Solyc09g072580.3.1 pep chromosome:SL3.0:9:65535774:65542877:1 gene:Solyc09g072580.3 transcript:Solyc09g072580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEGQRTSSNPSAVLATLLSKRAKLHEDLRNLEKQVYDMETIYLQDPSQCGNVLKGFEGFLSSSKSTSFLKRSRKFQPEDRLFSLSSVTSPAAEEQALGRDGGGISANVQGRQRKGRGGPRDAKRMRHSSEPDYDYEDDPDLIIDTGLDFFLEFQPNASSGMGVADHGKNAFLELKRKKVHRYVIFKIDEKKKEVVVEKTGSPAETFDDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFVHWSPATSRIRAKMLYATSKDAFKRELDGFHYEIQATDPTEVDLEVLKDRAH >Solyc12g094460.2.1 pep chromosome:SL3.0:12:65651365:65655418:-1 gene:Solyc12g094460.2 transcript:Solyc12g094460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKRELAVFLVALLVVNTIAESPYRFFEWNVTYGTIWPLGLPQKGILINGQFPGPDIHSVTNDNVIINVFNNLDEEFLISWNGIQNRRNSFEDGVWGTTCPIPPGKNFTYILQVKDQIGSFYYFPSTAFHKASGGFGGFRILSRPGIPVPFDEPAGDFTVLIGDWYKSNHTYLKSVLDRNHKLPFVDALHINGIGPQGPNRATFTVDQGKTYRLRISNVGLEHSLNFRIEGHKMKLVEVEGTHTMQETYSSLDVHVGQSYSVLITADQTPKDYYIVVSNRFSSILLTTTGVLRYSNSNQGFTGPPPGGPTNEIGWSLTQARTIRTNLTASGPRPNPQGSYHYGMINTTRTIRLANSAGQVNGKQRYAVNSVSFVPTKSGTPLKLADYFKIGGFTPGNIPDAPTGKGIYLDTSVLQTDYRTFIEIVFENKEGIVQSWHLNGYAFWVVGMDGGKWSPASREQYNLRDAVSRITTQVYPKSWTAIYIALDNVGMWNLRSEFWARQYLGQQLYMRVYTTSTSWRDEYPIPINALLCGDVAGRHKRPL >Solyc07g032170.3.1 pep chromosome:SL3.0:7:36046628:36049844:1 gene:Solyc07g032170.3 transcript:Solyc07g032170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGPRRLSNSLVKMAEQINSSATADGAKRWSFWPSSLRWIPTSTDHIIAAEKRLLSLVRTPYTQEQVNIGSGPPGSKVRWFRSVSNEPRFINTVTFDSKEGSPTLVMVHGYGASQGFFFRNFDALAKHFKVIAIDQLGWGGSSRPDFTCKSTEETENWFIDSFEEWR >Solyc07g019480.1.1 pep chromosome:SL3.0:7:11714807:11716150:1 gene:Solyc07g019480.1 transcript:Solyc07g019480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHILEGGSWSIICTIRTGNAKVYSGWKAFVLENKVIVDDVCVFELIKGAQLCVNIKIFCAAGSTLMYDIVTKVPGVYDSKRKVIKVNNYIPSSQPKVVRIKELRHQARGSSGLNSKTKEEHDKGTEIGHSVKILGHCRLGHGSKRKKPEKEIVDDVSVDVHTKSIKVEQSEEDVDSLSFSRKLKKSGESFRMHTQQTEMAYAKRMTVLDKVRTIAYQRASFQILECICFNFYGAIICLKTIQSGDLGMSNMTLGYQKKQSPYLEIVWLDNKLKIGDVCAFELIKRTQPFLDVTIFRAAEKKPTHKIDEGVSDFKDKITKTRV >Solyc01g111270.3.1 pep chromosome:SL3.0:1:97494718:97497529:1 gene:Solyc01g111270.3 transcript:Solyc01g111270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILARPIQLADQVTKAADEVCNFKADCLEIKAKTERLAALLRQAARASNDLYERPTRRIIDDTEQVLDKALTLVFKCCARGLSRVFTIIPNAALKKTAQQLENSCGDVQWLLRVSTPADDRDDEYLGLPPIAANEPILCLIWEQIAILCTGSLEDRSDAAASLVSLARDNERYGKLIIEEGGVAPLLKLAKEGRMEGQENASRAIGLLGRDPESVEQIVNAGVCSVFAKILKDGHMKIQVVVAWAISELAANHHKCQDHFAQANTIRLLVSHLAFETIQEHSKYAIATKHQNMSIHTAAMAHSNSSSTSKFSDTGGKLEDDDNRTHSKILHPMDNQATNQMHSLVSSAMALKSQAQNQPNNPISSTNQQQQRQNPNQQRSHHVGLTGTSIKGREYEDPATKAEMKAMAARALRHLCAGNVSICTHITESRALLCFAVLLEKGHDEVQYHSAMALMEITGVAELNSDLRRAAFKPTAPAAKAVLDQFLRIINQEDSELLIPSIRSIGHLARTFRATETRLIGPLVVLLDDREPEVTREAAIALNKFASSDNFLRVNHCKAIIQAGGTKHLVPHVYFGEQMVQVPCLILLSYIATYVPDSEALADDNAHIVLEWATKQGHLMQDPTVEELVNQGRESMELYQSRGSRL >Solyc09g013080.3.1 pep chromosome:SL3.0:9:5485052:5491839:-1 gene:Solyc09g013080.3 transcript:Solyc09g013080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSHPPVAFSGSLASKTSASDLLRSSRNGVCGVPLKTLGRAQLGSKKRDFTISAKVRKVKKHEYPWPEDPDLNVKGGVLSHLSPFKPLKEKPKPVTLDFEKPLMDLQKKIIDVQKMANETGLDFSDQIISLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFNITEKFVELHGDRAGYDDPAIVTGLGTINGRSYMFMGHQKGRNTKENIQRNFGMPTPHGYRKALRMMYYADHHGFPIITFIDTPGAYADLKSEELGQGEAIAHNLRSMFGLKVPIISIVMGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKASPKAAEKLKITAQELCRLQIADGSIPEPLGGAHADPYWTSQQIKIAIEESMDELTKMDTQELLRHRMLKFRKLGGFQEGVPIDPKRKVNMKKKEEPLLPPGIPDVELMDEVEKLKQEILKATESTGKIPDTGLNEMIEKLRREIDYEFSEAVKALGLEEKLVMAREEFAKTRNSNDQSMHPVLKEKLDQLKDEFNRNISAAPNYASLTNKLEMLQEMSKAQKLSEKYSKVNNLKEEINKRLKEVMNRPDLKEKMDALKAEIKSTGVSRVMDLDQGIKDKLVQLKDEICMEFSGVFESLGLNVNPASLPTDAKRRINEFNNEITTVMEDLVNSTDLKNKIELLKMEVTKAGKTADAESKAKIQALEQQIKQSLAQAMSFPELKEKHEKLKEEIVETIESLQGSNGSLLADNGGANSGVGVNVDANRSFA >Solyc12g088730.2.1 pep chromosome:SL3.0:12:64963231:64969427:1 gene:Solyc12g088730.2 transcript:Solyc12g088730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSISRRILRSFASLNSLRTLSASNVKANILGDGVSCVESSAFRKDESLFLACRRYSTSLLTPDSSDGSFPSDLLSKKRVSTPEREIGLLQDLVIPVTNFNNEDKGFMCLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPWNQKGTGRARHGTLRGPQFRGGATMHGPKPRSHAIKLNKKVRRLGLKIALSARAAEGKLLVFEDLEIPTHKTKNIVNYFNQLENTKKLLVVDGGPISEKLKFATQNVHYVNVLPSIGLNVYSILLHDTLVMSRDAVNKIVERMHTPINR >Solyc08g023273.1.1 pep chromosome:SL3.0:8:29270262:29275515:1 gene:Solyc08g023273.1 transcript:Solyc08g023273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIAAKKKYDIQKLKGLLSAEFKMNDLGAARKILGMEIIGDRERRKLFLPDLAHAVSVVSRFMGKLGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMICYVFTFGGSVVSWKETLKPTVTLSTTEGEYMALKEAAKEGIWLKGLFPTNIGELIHTKSLELSIAHKLRTI >Solyc05g044480.3.1 pep chromosome:SL3.0:5:57420497:57428398:-1 gene:Solyc05g044480.3 transcript:Solyc05g044480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSRPSSSSAKGKQRRQYQDSSDEDYLLSMSSDSDYIGSSDEDVADEVVNLTEEVVYSNRRKRNRGKKKIETKEDHGEEEENVDWVMNEVGGGGEVDAGYLQLIGRIEDRKKIRVKNQKKRPTLLWEIWEEENDSWMAENYPNDPHFNSQDELVTETAQPPSDLIMPLLRYQKEWLTWALKQEESTARGGILADEMGMGKTVQAIALVLAKREIGQAISGSSLLSPAPCTSQQLPVMKGSLVICPVVAVIQWVSEIDRFTTKGSNKILVYHGTNRVKNIDKFAEYDFVITTYSTVEAEYRKNVMPPKEKCQWCGKSFYEQKLSVHQKYFCGPDAVKTAKQSKQQSKPGGKPSKLKKDHIEGDSKINTGKRGSGKGIKRKSEADAGCVDDLAFAGQDMSTRKSILHSVKWNRIILDEAHYVKDRRSNTTRAILALESSYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYFCKDCDCRVLDYSSSECPHCPHKSIRHFCWWNRYIASPIQNQGNRGTGRDAMVLLKHKILKSILLRRTKKGRAADLALPPRIVTLRKDSLDVKEEDYYTSLYNESQAQFNTYIQAGTLMNNYAHIFDLLTRLRQAVDHPYLVVYSSTALARRGSTNDAGSVEQLCGLCHDPVEDPVVTSCTHIFCKSCLIDFSASVGQVSCPSCSEPLTVDFTANDKGDQKSKATIKGFRSSSILNRIHLDNFQTSTKIEALREEIRFMIEIDGSAKAIVFSQFTSFLDLIHYSLQKSGVSCVQLDGSMSMTARDSAITRFTEDPDCRIFLMSLKAGGVALNLTVASQVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTIEERILKLQEKKELVFEGTVGGSSEALGKLTEADLKFLFVT >Solyc04g079800.3.1 pep chromosome:SL3.0:4:64205806:64211992:-1 gene:Solyc04g079800.3 transcript:Solyc04g079800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMKQHIPSNFLCNAELEVDDISNLPAQIVDKILSHLSLRDAVRTSVLSSKWRNKWVTLPNLVFDNQSLLISSQDQTFIKNKIVNIVDHVLLLHSGPIHKFKLSHRDLQGVCDIDRWILFLSRGAVKEFILEIWKGHRYKLHSSIYLCQKLNHLELFNCLLKPPHTFNGFKSLKSLDLQHITMEQDAFEQLVSRCHLLERLTLMNFEGFSDLKIHQFHEHIQSCYRLIGLYVNPGFDKNLTLGSAGNLVKFFAHLPRLQRLEVQSFFLKYLADNKVPGRLPTPCDELSFLSMRINFNHLDECLAALCLLRSSPNLQELEMLARTEEQSTLRTVASVMKEDYQNCMFNQLRHVKIAGITGLKQELNFVNFLLSNSPVLERMTVKPASVDGAWEMLKELLRFRRASVQAEIVYVDP >Solyc10g007950.3.1 pep chromosome:SL3.0:10:2098812:2103199:-1 gene:Solyc10g007950.3 transcript:Solyc10g007950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRSYTNLLDLASGNFPTMGRDRDRRRMPRVMTLPGSICEMDDDQAHSVSSENPSSLAGDRMIVVANLLPLKAKRRPDNKGWSFNWNEDSLLLRLRDGLPEDMEVIYVGSLCVDIDPIEQDDVSSYLLEKFRCVPAFLPPNIVEKYYEGFCKRHLWPLFHYMLPFSPDHGGRFDRSMWEAYVSANKLFSQKVVEVLNPEDDFVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLCADIVGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGHIENMKRLATKESKLKELKQQFEGKTVLLGFDDLDIFKGINLKLLAMEHMLGQHPKWQGQAVLVQIANPTRGKGVDLKEIQAEILESCKRINKQFGQPGYEPVVYIDRPISSSERMAYYSIAECVVVTAVRDGMNLTPYEYIACRQGMSGSEADSDVDGPKKSMLVVSEFIGCSPSLSGAIRINPWNVEATAEAMNEAISMAEPEKQLRHEKHYRYVSTHDVGYWARSFLQDMERTCIDHFRKRCYGIGLGFGFRVVALDPNFRKLSIDDIESAYIKSKSRAIFLDYDGTMMPQNSIIKSPSAEVISILNRICADQNNAVFIVSGRGRDSLDKWFSPCRKLGLAAEHGYFLRWSQDQEWETCSQNSDFGWMHLAEPVMQSYTDSTDGSSIEKKESAIVWQYRDADPGFGFSQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQACILTPVTTLVVSFLSVVIIQDFLSSNGSRTGIAFFASENDMKQQPLGVSKGLVAEKIFTSLVERGKLADFVLCIGDDRSDEDMFEIIGDALSRNILSYDTKVFACTVGQKPSKAKYYLDDTSEVRFMLESLAEATITPCTSDEEAEDSA >Solyc01g010930.3.1 pep chromosome:SL3.0:1:6383254:6417174:-1 gene:Solyc01g010930.3 transcript:Solyc01g010930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMVEVRSERVQQSIQRVVIQRTRLKLLFIPVFTSILVWACLVQLWHMRLLSGFTRVTKASVRVDETVHSTTPRNYTSNGFLQVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKSSFWADPSNFEDIFDVRHFIDSLRDEVNIIKRLPKKVARSYGYHPVVMPPVSWSSEKYYLQQILPLFSKHQVVNFNRTDTRLANNGIPLELQRLRCQVNFHALKFTQKIEALGQKLVHMLQQRGPFVALHLRYEMDMLAFSGCTEGCTEEEAEELKQLRYAFPWWKEKEIVSDEKRSQGLCPLTPEETTLILQALGIEKNMQIYIASGDIYGSEQRLATLRTAFPKIVKKEMLLDPEELQQFQNHSSQMAALDFIVSTASNIFIPTYDGNMAKLIEGHRRYHGYKKTILLDRKTLVGLLDLHHNRTLSWAEFSAAVRQSHEGRIGQPAHRRVIEDKPKEEDYFYANPHECLCESARCEGLDNSTEVR >Solyc01g087860.2.1.1 pep chromosome:SL3.0:1:82599011:82599874:1 gene:Solyc01g087860.2 transcript:Solyc01g087860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDWWYYFSFNSNKDNNNNHPYSHNLLPIRHENRPTNQNPSPISRSTMILTSWLNRRRFRRYFCLLLCSPVLLPLFCATCPIICAAEICYRLCYRRRSRSPSKSEERFDGGGGDGRGRCEGAEGSVDGGHETMLLRRYLDDQLLLVIESVYNCGDEEEDVEEADSRSLLLQ >Solyc10g005980.1.1.1 pep chromosome:SL3.0:10:744308:746026:1 gene:Solyc10g005980.1 transcript:Solyc10g005980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEGGGSGDGHWDEVISALADDGFLVEEDDDDCGDLHNNMNVGENFLQSVRKNEEEEKAVELRQKTGNAAATAAIVASGISSGVDGSRDMAVRGNEMGESGKTADIANNASSNIENREIIQRPTAASANVLGNARNVGSSGNGSMVSGNATGSMGSIGALGGGDGSGGTILIVVNLLWWTTDAEVELELSRYGAVKEVVFYDEIASGKSNGYCRAEFCEPAAAMACREGMDGHHFNGRACVVRFSSPPSLKSMEEARMNRISLCYCLTQTTSVNQINRSNATVGNFQDGGHLGRAGPQMMGVDGVVGRGELISNAGDGLGQGIGAASSSIHPQTIMGHGFHPSFGGPMGNAGGGLRQGIGAASSSNHPQTMMGQGFHPGLGGPMGNGGGGLRHGIDAASSLIHPQPMMGQGFYSAFREPVRRIGGYGGFSGGQIVPFPPRLSPFRPAHFMRGMPMNSIRMMPRAGMEGPNMVRWTGYEHGYWAGESSNAAESDNQYGEESRDRGPGPSNRENDRPDSSSIDDREPSGRDHDWQEGRSQDDRDVGSSRGHDPERPHRDDNADRHRHRDRNK >Solyc11g011490.2.1 pep chromosome:SL3.0:11:4537275:4542978:1 gene:Solyc11g011490.2 transcript:Solyc11g011490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRALYRAKLKEQKQKRIDSPLVRYNEHDQPVCRVCDIVMKSESQWPGHQASRKHHEAINNLKANAATAKNPNNVKSQPPKELPKSKPEISEGISRKEPEPSAAMSKPRASSMLPPNFFDQQETKKPKIEKDSSRSGDHVSNRDPPVLDHTEEVEPSLARSSIQGLSTSKNAETRSMENRRSGENGPMSKVNSTSDAKQVKGALPAGFFDNKDADLRARGITPVKPDVKDEYKEFEKLIQEDLKEVDNRLEEEEIDAAEMIEEEVSVEQRPVPQKKKLT >Solyc12g010545.1.1.1 pep chromosome:SL3.0:12:3535820:3536230:-1 gene:Solyc12g010545.1 transcript:Solyc12g010545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVKALGFLALLVLSTLVMVSEGRVARKDLGLDLGGGVGVGVGAGVGLGLGGGSGSGSGAGAGSGSGSGSRSSSSSSSSSSSGSTSSGNGGAGSEAGSYAGSRAGSGSGNRGGSSEAGSEAGSYAGSRAGSGSGN >Solyc12g006110.2.1 pep chromosome:SL3.0:12:676232:677902:-1 gene:Solyc12g006110.2 transcript:Solyc12g006110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSISLLLLLFVAITTTSSAHNITLILTKHPEFSTFNRYLTLTHLASEINRRQTITVCAVNNAAMDDLLDKHLSIYTLKNVLSLHVFADYFGSKQLHRITKGTTLTATLFQATGEAPGTSGYVNITNMKGKKVGFATEDNDGHFSSNFVKSIQEIPYNISVIQLSNIITSPAAEAPVAAPADVDLIDLMSKKGCKEFAKLLQNTQISKQFIDLMENGLTVFCPIDKVVNNFLPKYKNLTKNGQISLLLYHGIPDYHSLGMLRAKNGFINTMATTKGKNNKYDFSIKNDGDNVKLETNVVTAKITGTLLDEEPIAVYKIDKVLQPSELFKSQSINSDDSAMGPS >Solyc06g010060.1.1.1 pep chromosome:SL3.0:6:4873861:4874199:1 gene:Solyc06g010060.1 transcript:Solyc06g010060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein Sec61 subunit beta [Source:UniProtKB/TrEMBL;Acc:K4C433] MARGSSSQSTSSSTTRPGTAAPRGSAAATAGMRRRRLGASSSTAGGGGGNPVVGSGNASNMLRFYTDDSPGLKISPTVVLVMSLCFIGFVTTLHVLGKFYRYRSGSGSGSGA >Solyc09g082480.2.1 pep chromosome:SL3.0:9:68617313:68617995:-1 gene:Solyc09g082480.2 transcript:Solyc09g082480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLFFIFFLLSMADQDLGVILRKAKMYQEYMQMVPIPARKASVIPCNSWIGLAASIKGLYGQPLHYLTNLSIKKWDSLRIGASDEDVPLDILIDPAKAEAGIWLIEEMHRKTTSPYFIARLWHADPMYHANIDEIFPDLNDPSK >Solyc03g094130.1.1.1 pep chromosome:SL3.0:3:57368033:57368461:1 gene:Solyc03g094130.1 transcript:Solyc03g094130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLEAVRDKKIGDLLFYKKSPLPFKTDPLRIGSQESSLIDVVPSKNKPGTTNNFKDVVAAQQVLLNFGDNYGYIENFRRLEENVPFANHQNNERSKSLGEQAISTATPEILEDIRGLSKDVYKLSSTEDMLNQFEEQNLF >Solyc03g119240.3.1 pep chromosome:SL3.0:3:69369061:69373821:1 gene:Solyc03g119240.3 transcript:Solyc03g119240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAQNFPLPLLQSIVAFLCFLITSSPSYGLEDKQYSTCNSSYTCGNIQNISFPFWGGDRPQECGLPQFKLACEDNQDPLIHIDGHNFRVLDINGDNQTMRIARNDLEDDICPDRFGNTSLNDAHFRYAPRNLMILILFYACPFDIPSQWKKFSFSCNNSGESNLGFYPDQSFISFWGPKFESCELNVAVPVLLSAFNRFQDQGSTKMLELVKQGFDVVYNKSPVCMACEKSGGLCWSETDYAEPTCLCQDRTYPYYCGFVGEQGDKRDIRVKVAVGVTTAAFTAIVACVIFFLYYRRQKKSHAGSSLISRSILSYPSSTMDPEKASNYFGVHVFDYSELEEATNNFDSSKELGEGGFGTVYKGKLLDGRVVAVKRLYENNYKRVEQFRNEIEILTRLRHRNLVTLYGCTSRHGRELLLVYEYISNGTVADHLHGEFSKPGSLSWNTRMSISIETASALAFLHNSEVIHRDVKTNNILLDSNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPQYHECYQLTSKSDVYSFGVVLIELISSLPAVDICRHRQEINLSNMAINKIQSNTLHELVDSNLGFDSNDMVKLMITAVAELAFQCLQNDRDLRPSMPEVLEALLGIQRMDKTATEIGKPSPGDDAGLLNNHALSLSPDSVISKWTSSSSSTTHASSIG >Solyc04g049710.3.1 pep chromosome:SL3.0:4:43077156:43091362:-1 gene:Solyc04g049710.3 transcript:Solyc04g049710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRTIEKDEGLPPALLGSCNERAKQLHNSPSGRLLTALICEYFDWAQLNHSLKVYLPECNLQKDSWKSELKEFSSKNGYDLNRNGDSGPLLLDVLEGFLKYENLSQGRGAGRRLTTPDADSLPNLETRNMRRPSSSSVAGGLPPLGRPGPAAQSSYRRGGSSVSGYRKDEYNWRYDNDELAEDVSRASSALENLQLDRKARNLTTSWRHGGDGMSEEDDRGE >Solyc10g036680.1.1.1 pep chromosome:SL3.0:10:16707279:16707707:-1 gene:Solyc10g036680.1 transcript:Solyc10g036680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRVTDDKNFASREVAINIETQSQNRKTSQMVRGDIPTRVYYSCKGTSNESLSTPKDDLDAGDKNFSGSNRNRTDVIEDGENKVEFDNHGVHNTNTLNQTPKDYNHIGNYIHHKSKIKHGEGSGRGKVGNASNIQHGTTAT >Solyc10g019140.2.1 pep chromosome:SL3.0:10:11776445:11788378:-1 gene:Solyc10g019140.2 transcript:Solyc10g019140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAQSNRTPLALDVDDFKGDFSFDDTFGNLVTEVLPSCLEKEVDSLEGHGNIDGISNGHMRAPSMSNAGKLLSSPLFPEVDALLSLFKNSSSQLVEQKRQLEKGVDGLFGSFARLDSRISSVGHTAAKIGDHLQSADTQRESASQTIELIKYLMEFNRSAGDLTQLSPLFIDDNRVAEAASIAQKLRSFADEDIGRQKTTVSSAVGNATSHIGLEVAITNLQEYCNALENRLLARFDKATQKRDLSTMGEYGKILSQFNRGTSAMQHYVGLRPMFDVAVMNADAKLVLGDEGAQPSPSNVAHGLSSMFNEIADTVRKEAATIAAVFPSPKDVMSILVQRVLEDRVPKFLEKLLLKPSLVNPPPMAEGGLVLYLRVLAVAYEKTQEFDKELRSVGCGDLDVEGLTESLFLPHEDIYIECEQASLKQLYKAKSGTIGRSKGASIVLSNPEISVTVVTEFVRWNEEAISRCSLFYLQPAALAASIKPIFTCLLDQVSQYIIGGLERAREGLTEAAALREKLAGNYRRVAAAAASAAENAAAAGESSFKSFMASVQRCGSSVAILQQVYFVNSISRRLLPVDGAHAASSEEMATAMSRAESVACKGHTQCIETVIAEVERLLSTEQKATDYRSPDDGIIPDHRPTSACAW >Solyc01g101035.1.1 pep chromosome:SL3.0:1:90772835:90775837:-1 gene:Solyc01g101035.1 transcript:Solyc01g101035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGQLTPLAASNQSTNLPFAIHNPNFESLQVIVFETLDPTHCAAHYSQLSFETLIGALIRIAQLITDSVLAKNLGDLGFW >Solyc07g015855.1.1.1 pep chromosome:SL3.0:7:5578876:5578971:-1 gene:Solyc07g015855.1 transcript:Solyc07g015855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGGNYRPPDTQPRKKNFCTEAKSSKCWKV >Solyc12g016215.1.1 pep chromosome:SL3.0:12:6365163:6365684:1 gene:Solyc12g016215.1 transcript:Solyc12g016215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPLHFFLGFEVNYFVGGIHLNQRKYVAELLAKTEMTLAKDVATPLARKHGVKIILRYIKGTLHFALRIISQSLCRLYGYSNADREVVSQLGDQLQVIVST >Solyc11g065647.1.1 pep chromosome:SL3.0:11:51473171:51477501:-1 gene:Solyc11g065647.1 transcript:Solyc11g065647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTSTNYSIWRPMMEDLLYCKDLFDPIDVDKTKKDVQPTKPEKMTDKEWEKLKRKTLGTIRQWIDISIFNHVSQETEPLELWRNWRTAHNKASLIKRLVNLKLKPGKSVSEHLSDFQDIINKLTVMKIVLDDELQALFLLSSLPDSWETLVVSISNSALDGTLSLDVIKESMFNEELRRKEMGVDISQALVVENRGRSKSRGPKGRGKSKYRSKSKDGREPTICHYCSKPGHIQKDQQNKKNDHHKEGDDKNTAATTSSSDDRVSLICATGECCHVDSSDIEWLIDTGASYHCVPNKEYFIDYRAGDFGSVKMGNQSSASIVGIGDIRVQTNVGCYLTLRDVRHIPDLRLNLLSANVLDEEGYKHTFGEGKWKLSKGSLTVARGKLCCTLYKTHLKVCSDDQLTGDAPEDGHEIAHEHDHIEEVQPDVIVPQPDDEAVDVQHGESSNQGEKSSPQYIERVLERFNMKNAKQVNTPLAAHFKLSKRCCPTTEKEKESMSHIPYSSAVGSLIYLANPSKVHWEAVKWILRYLRGTSNLSLCFGGGEPILEGFTDADMAGDLDNRKSTSGYLGSHFMAIQATEVCSVVYNRDRIYSSSGGKQRNALVKAFPELGLKQSEYVKSWAADVGAGFFVLEEKKRNRERVLREKRVAGFSGQRDKISDCKRSDRWIVLKFSQLVHNT >Solyc03g121410.3.1 pep chromosome:SL3.0:3:70996414:71005170:-1 gene:Solyc03g121410.3 transcript:Solyc03g121410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase 2A 55 kDa regulatory subunit B [Source:UniProtKB/TrEMBL;Acc:K4BMU0] MNGGDGGDVAAAPAGPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKTGDHLATGDRGGRVVLFERTDTKENIGSRRELERMDYSVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQSSNGALFLLSTNDKTIKYWKVQEKKVKKIYDMNIDPSRAVGNGSVASSSVSSSPKQCSANGGYADRSLNCLSNDLSFPPGGFSSLRLPVVTSNETSLVARCRRVYAHAHDYHINSISNNSDGETFISADDLRVNLWNLEISNQSFNIVDVKPTNMEDLTEVITSAEFHPTHCNTLAYSSSKGSIRLVDLRQSALCDSHSQLFEEQEAPGSRSFFTEIIASISDIKFSKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCAAGTTEATTLEASKNPMRRQVQTPSRPSRSLSSSITRVVRRGSESPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Solyc03g097630.3.1 pep chromosome:SL3.0:3:61398101:61404846:1 gene:Solyc03g097630.3 transcript:Solyc03g097630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BJI0] MYGVAPPKSSDSGDGATNQAAFIRTYQVWKGSNKFILQGRFIFGPDVRSVFMTIFLIVAPVAVFCVFVARKLVDDFSGDWGWSIMVVAVVFSVYVIVLLLLTSGRDPGIVPRNLHPPEPENFEGSALAGPGQTPQLRLPRIKDVTVNGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCLYVHGFCWVYIKRIMDGEHTSIWKAMAKTPASIVLIIYTFISVWFVGGLSVFHLYLIGTNQSTYENFRYRYDRRVNPYNKGVLHNFSEIFCTSIPPSKNNFRAKVAREPGIPPREVGVGFVSPNMEKTMSDLERGRKPSWHEERRGANEFEGQTRNDNQFDKEEELSVISNGATLPDGRSILHPRRSSWGRRSGTLEIPPDVVAMASEIGDSNRITVSNGAFSTENHQ >Solyc12g019940.1.1.1 pep chromosome:SL3.0:12:12517705:12517995:-1 gene:Solyc12g019940.1 transcript:Solyc12g019940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIQNFTIYLHDSYLTEVLKIHVKIVGVPPTRKAIHTNLYYQLSWRVLISIIRTSLPGGQDPLFFNINAINVTTHCNQIPRQILMKELVNVLPNT >Solyc11g020830.1.1.1 pep chromosome:SL3.0:11:12380049:12380291:1 gene:Solyc11g020830.1 transcript:Solyc11g020830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQTLSFGYLFICKLNKIQKKTETKPQSILCQAIHGLTPDMTVKTRRSGVSTHHVPTEIGATQGKLLEILWLLAASRKH >Solyc04g015730.2.1 pep chromosome:SL3.0:4:6023072:6024892:-1 gene:Solyc04g015730.2 transcript:Solyc04g015730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEAFPNEDELHVASALLLLSNAPSPLPKVKSISEISQIAALSYTSSVSDSKSKSADSSNVTADDDDDTHSSSQIVKSISETSQIAAMSYATSVSDSKFKSTDSSTITADDYDDEDADCDDDASFAEAKANARRIKMIRMIRVINKLKAVRRRRSKSLCISDCLKISSGKPKPAFSLATSCTSASSCVSNDSTAAGDISSGGSCEAVLKERECVPRKMKVIFSAHMRRRAEAILKVLSSHGCASEVRIRQLLGDSPDTSKALRILLRLEEVKRTGAGGRTDPYVYVVS >Solyc05g054860.1.1.1 pep chromosome:SL3.0:5:65516659:65519094:1 gene:Solyc05g054860.1 transcript:Solyc05g054860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAVLHLFVLVLSVLCLMICALEFDPVDNYLINCGSFENITIGDRVFLADNLNSTQRVFVNTTLESIPSSYSSNLYQTARILNEKSKIKFSIKKQGRHWIRLYFYPFSYGNFNLSTAKFSVSVQNFTLIKSFESLSGPLVKEYTLNITSTSLVLKFTPFSNSFAFVNALEIISLPDELIPVGIGTESLRELALETVVRVNMGNVAVLPRNDTSWRSWESDERYLTSRNLFQFVSRIQAVNYTRGGPSRNIAPPSVYGTATRLQVDDPGVSVNITWLFDVDPGFDYFIRFHFCDIVTGHNDPNKVGGDHELLFNVYINSQLASRDLDLKKKTSNVLGSPYYMDVVTRLKNTHSIGISIGPAGVDNAYPDGLLNGLEIMKISNVKGSLDASDAEIQSSVPTSKKTKTWLIIGSTIGGSIICIVLVVVSILFCRSRIRTAADDSTEENHTAVGAKEASIVSKSNMGYLFPLVAVQEATDHFSESMIIGFGGFGKVYKGILKDNTKVAVKRGFHQSQQGLAEFMTEVEMLSQFRHRHLVSLIGYCNEKNEMIIIYEYMENGTLKDHLYGSDLPNLNWTQRLEICIGSAKGLHYLHTGSHKAIIHRDVKSSNILLDENLRAKVSDFGLSKIGPEIDQTHVSTAVKGSFGYLDPEYLTRQQLTDKSDVYSFGVVMFEVLCGRPVIDPSLPRESVNLVEYVMKCLRTGESEAIVDPRIAHEITPESQMKFVETAEKCLAEYGADRPTMGEVLWNLEYALKLQKTTRENELSDNQLDDSSVLSTEYSMGSMADLAGVSMSKVFCQMVKSENKDSCDIC >Solyc09g064560.1.1.1 pep chromosome:SL3.0:9:62080469:62081266:1 gene:Solyc09g064560.1 transcript:Solyc09g064560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHNYMSSILLLAFIYFMHDNMITTTSARHILQTSSFSKPETPSFSKPETFSFSKPQKPSFSKPKTTSLSKPETPTFSKPKIPSFTKPKTPSFSKHQTPSSSKSETPSFSKPEKPSLSKPEIPSFSKPQKPSFSKPETPSFSKPETPTFTKPKTPSFLKPDTPSFSKPEIPSFSNPHTPTSSKPEIPSFSKPEKPSFSKPETPSFSKPEIPSFSKPKTLFFSKPETPSFSKPQTPSLSKPLAASFSKTQTPSFSKPQSPSISNP >Solyc06g061100.3.1 pep chromosome:SL3.0:6:39229778:39237686:-1 gene:Solyc06g061100.3 transcript:Solyc06g061100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLSGKNICSCLNNGDVVEKMKRLGRLTCRNIWKVGKEDKRRVIHSLKVGISLSLVSLLYLMEPLFKGIGENAIWAVMTVVVVLEFTTGATLYKGLNRGLGTLLAGLLAFLIERIANESGHIFHAAFIGTAVFLVGAVTTYMRFFSHIKKNYDYGVVIFLLTFNLITVSSYRVDSVLKIAHERFYTIAIGCGICLLMSLFIFPIWSGEDLHLSTVAKFDGLAKSIEVCINEYFSDDNINQQEGKVRENSMEMEDPIYKGYKDVLDSKSSDETLALYASWEPRYLKSSSWQQYVKLGTVLRHFGYTIVALHGSLQTEIKTPQSVRVVFKDPCIRLAREVTTTLKELGDSIRNRRKYCPEIPSNHVNEALQDLIDALKSQPRLFLGTNSNTNILLALATLATRQKSGKDFVASLSSVNNDDPARLGLSHDKKSLRPTLSKIGMTSLEFLEALPFAAFASLLVEIVARLDLVIEQVVELGRVAQFKEYSHDDVVINIDDKPQFTYHDLDLPFPPSLLTKTFLKGRELKCCYKASVDGFSATEFHNRSDFKGPCVIIGYTTEAFKFGAFNPEGYRSTDDYYDTFDAFLFYWDEDVEKPIMLPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGVGDLRQAKSRLGLSYAKRPDGKESLFGDESKAVVDEVLVFCSPQIASLY >Solyc04g024750.1.1.1 pep chromosome:SL3.0:4:29240905:29241165:1 gene:Solyc04g024750.1 transcript:Solyc04g024750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVEVLEATPISLSSFTLLLVLSVGLTGISPFAESLPIEMSFLISGPRDVEFMISLPFSKCFGKRVATSLSTLETFSGNIGKIM >Solyc02g080320.3.1 pep chromosome:SL3.0:2:45111992:45127544:-1 gene:Solyc02g080320.3 transcript:Solyc02g080320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASGSFTPIVTNSLIASRLWTPNWRNGFFSPFNMVHTFASSRSRLLKNKNHYKRLALSSCSKSFCCQSTALSDINSTSHTVSSNGHLRYGRLMPCPLQNSPPRVEHLVVTKEGPVVEYICKALDLPPLYVEDLIQFGAVYYALVCPKPPPTASPEQIKVYEEVTDPSVLRKRTSIKGKTVREAQKTFKITRADEIVEAGTYLRVHVHPKRFPRCYDIDWKSRIIAVTDDYVVLDKPAGTSVGGTTDNIEESCATFATRALGFSAPLLTTHQIDNCTEGCVVLARSKEYCSVFHGKIREKKVRKLYLALAAAPVPIGILTHYMRPINMAPRLVSRGKQVLCFSDFVKGWLLCQLEVLECQKVPWPSSEIQRTYNLEDCGWALKDYAYECQINLLTGRTHQIRAQLAACSAPVVGDSMYMPAAIAEIVCPGSNPFGKNKKLYSNENDKSLAIDEWIAQHGKEPSVAVGLQACQISWDDGQHCYGARMPWWR >Solyc02g071640.2.1 pep chromosome:SL3.0:2:41589778:41597691:1 gene:Solyc02g071640.2 transcript:Solyc02g071640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAELLRFDLYNPPFAKTEGSEILDGVNYASGSAGICYNSGSHLGDRIYLGRQLENHQSTVSRIANLVGNTTSAEKHLNKWLFIVGLGSNDYINNYLLPEIYHSSHLYAPSQYATALIDQYSRHLR >Solyc04g055180.3.1 pep chromosome:SL3.0:4:53732938:53737540:1 gene:Solyc04g055180.3 transcript:Solyc04g055180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSENQQQFEENLLHNHNHQEQQQQQRKNRSLRSKAAHFVSDVATVILNPISDKPLKPRPPPLPEDGSDSDGSKHESNAEGDATDLVDGPDTSSFSAFLYSLLSTTGSESKPNTIGKYDKQDDRDESIPELTMREPSRRKGILSRGKHSLGRALHQVARLGGFRNQGSAKGSSDMVFDDGSNSKVSGDNQIPLEDMNKKPLLNNLPGTSEPSVLLTEKARISLYAALPVLVQDRKWVLLYSTWKNGISLSTLYRRSLLWPGISLLVVGDHNGAVFGGLVDAPLKPTTKRRYQGTNNSFVFSNVSGQPVIFRPTGVNRYFTVCSTEYLALGGGGHFALYLDGDLLTGSSATSETYGNSCLAHTEDFEVKEVELWGFVYASKYEEMVSILRTETPGICRW >Solyc06g053460.2.1.1 pep chromosome:SL3.0:6:36354068:36357408:1 gene:Solyc06g053460.2 transcript:Solyc06g053460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARAEEPINEQAVANVYSSMRSEITQIYSKITELEMEVSEHSLVINAIQPLDPARRCYRMIGGVLVERTIKEVLPAVQRNKEGIEEVIARLNEALEKKKKEISDFEAKYKIRIRKPDEVKEEGARKEGSAQGVLVGPAGSNE >Solyc11g065760.2.1 pep chromosome:SL3.0:11:51654390:51657485:-1 gene:Solyc11g065760.2 transcript:Solyc11g065760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thymidine kinase [Source:UniProtKB/TrEMBL;Acc:Q71F77] MAFSSSARNPVDLRNGSKNSFCPVGEIHVIVGPMFAGKTTALLRRVNLESNDGRNVVLIKSSKDARYAVDAVVTHDGTRFPCWSLPDLSSFKQRFGKDAYEKVDVIGIDEAQFFGDLYEFCCNAADFDGKIIVVAGLDGDYLRKSFGSVLDIIPLADTVTKLTARCELCNRRAFFTFRKTNETETELIGGADIYMPVCRQHYVNGQSVNESAKMVLESHKVSNELILESPLVDP >Solyc03g082570.3.1 pep chromosome:SL3.0:3:53925496:53928240:-1 gene:Solyc03g082570.3 transcript:Solyc03g082570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Solyc06g076035.1.1 pep chromosome:SL3.0:6:47341706:47343398:1 gene:Solyc06g076035.1 transcript:Solyc06g076035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHEFLDHYRVEKGTNLYLLPKMVISTDDQILLLRVQLEGLALVEVPEAIVLLVLTLLPPVLFELLLLLLLLLLLLLLFLRHPPPTGTLLKAPPLVQYRRHNFVFVESHLGHFNPASGSGILAKRARSTIEPGLIEPGLAPTCIGGGGCTVGSSSPGLVILSGGKFLRI >Solyc01g086700.3.1.1 pep chromosome:SL3.0:1:81531510:81533696:1 gene:Solyc01g086700.3 transcript:Solyc01g086700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKKDGNSKSHPFVEVMLGNLKGLTLHFEDKSSPEWNQVFVALKDRIQSRLLEVCLKDKSRIGDTDDGFIGKVHFEINEVPKRVPPDSPLAPQWYWLENRKGEKVKGELMLAVWIGTQADEAFQEALHLDATAVNGDGVANIKSKVYVSPRLWYLRVNVIEAQELQIGNKNRLQPEIYVRIMLGNVVLRTKNTLSKNVCPSWNEDLMFVVAEPFEDQLVLSVEDKVAPNKDELLGKCVISLQDVEKRVDFSTPISKWYGLEKEVVSEGGNKKVCKLNSKVHLRLSFDGGYHVLDELTHYSSDLKATSKELWKPSIGVLELGILNAQGLSPMKNRDGRGITDPYCVAKYGQKWIRTRTIINSFNPNWNEQYTWEVFDPCTVITIGVFDNCHLQGEDKNDKAKDSKIGKVRIRLSTLETNRVYTHSYPLIVLTPAGVKKMGEIQLAVRFSCSSVFNMLAMYSQPLLPTLHYLHPLTYYQIDNLRHQATQIVATRLSRAEPPLRRELVEYMLDVGSNTWSIRRCKANYVRIAGILTGLIAICKWFNGICTWKNPITTVLVHIIFFLFVCFPRLILSSMFVVVFLIGTWNYRMRPRKPPHMDIKLSQAERVPWDELDEEFDTFPTSRNNDAVRMRYDRLRSIGSRMQAVAGDLANQGERFYNLLTWRDPRATALFLIFCLVASILLYVTPFTILVSLMGFYTMRHPKFREKLPSVPLSFFRRLPAKTDSLL >Solyc07g053150.3.1 pep chromosome:SL3.0:7:61727867:61733147:-1 gene:Solyc07g053150.3 transcript:Solyc07g053150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCSRKLLLLRKSLCRALKPNSSSLIQRSFLSASTHKYLLEPSTIISKSLLSEVCRSYCSRSSPLQDASEGPAAIDYRSLLQEDEYHRLANATIHDLLDKLEEYGDSVDIDGFDVDYGNEVLTLKLGSLGTYVINKQTPNRQIWMSSPVSGPSRFDWDQSSQGWIYRRTKANLQKVLEDELEKLCGSAITLS >Solyc12g056470.1.1 pep chromosome:SL3.0:12:63369016:63369305:1 gene:Solyc12g056470.1 transcript:Solyc12g056470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSRLRLHHHHHPLHHLRNHHLRLSLILLSWISMNQKTSSYPSTLPWRLIGQLFEYGLLQWCFDICRGWCSSE >Solyc02g088370.1.1.1 pep chromosome:SL3.0:2:51118818:51118988:1 gene:Solyc02g088370.1 transcript:Solyc02g088370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLMLLVLRVAEQYVEVLEKINKIGTVTLLPQDSSDAPSLISQAIAIHSKLSTSK >Solyc06g053550.3.1 pep chromosome:SL3.0:6:36453401:36456592:-1 gene:Solyc06g053550.3 transcript:Solyc06g053550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVKQSWKKYLIALQLHPLRTKAITAGVLVGCSDVIAQKISGIKRLQFRRLLLLMLYGFAYSGPFGHFLHKLMDVIFQGKKGNKTVAQKVLLEQLTTSPWNNFFFMMYYGLVVEGRPWGLVKNKVRKDYPSIQLTAWKFWPIVGWINYQYMPLQFRVLFHSFVASCWAIFLNLKARSITNKKA >Solyc09g065457.1.1 pep chromosome:SL3.0:9:63798763:63799599:-1 gene:Solyc09g065457.1 transcript:Solyc09g065457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYKHNFSYIYIVVTYIQLSNRYTYTIIEVSISILHFLIYFPIIPLSTTCGMGKIKKLKQKASSRPMEIALFRKFHHLALEGGLPHHALTNLGKKHGPSMHLQLGEISTILVRTWHER >Solyc07g018290.3.1 pep chromosome:SL3.0:7:10014430:10019198:-1 gene:Solyc07g018290.3 transcript:Solyc07g018290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNSSSCEKWLGFSLSNINNLSPSTTNSSQLSLFQAFNSNPNTNCEVVRQNENGEPKVENMLGSCCDSNTPTSCEYGSELKRIAATFLPTTFSDDHKEKLPLIVTQPKKPHESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRRQGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYERELEEMKNMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKSIASSNLPVGGMSNKSKSSSDETTKHVENRPNLRDQDQDRDISSAPNYTSKNLAMVNLGFGLPIKQDAFDFWSSVGYNNNRSKNGLLFQGTTMNAQGTTFFSNEVNNSSVMLNEQGYDNHDQQQQSGGSSCEINMALNSNIATTSTTIDSTNFGNWMTPSLHSFQSSTKNDLGPYHTPIFGME >Solyc08g028970.3.1.1 pep chromosome:SL3.0:8:39082152:39086693:1 gene:Solyc08g028970.3 transcript:Solyc08g028970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVAMSLPVVIISIWRNWYFFKHKSYGEILSKSFNWRQDGYGHYLLPC >Solyc03g044625.1.1 pep chromosome:SL3.0:3:10228492:10230119:-1 gene:Solyc03g044625.1 transcript:Solyc03g044625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDNELRPLWLEPLLDNNFYEHDCICEVHNQYCTFFCKMCKNKPLCESCWRVDAEAEHELHQFLQIFKVSEKASVRKADIESEVDVRKIQPYVINNHKVILLKPKGGNGGNPKCVICEGKIKDEFYDYCSVSCKIIGDTRQLDKVELAGKYNRRKRTPCRSPLL >Solyc03g007275.1.1 pep chromosome:SL3.0:3:1842365:1843118:1 gene:Solyc03g007275.1 transcript:Solyc03g007275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYVPDQPPS >Solyc06g036100.3.1 pep chromosome:SL3.0:6:25614319:25617359:-1 gene:Solyc06g036100.3 transcript:Solyc06g036100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAATVKVGLGSVGFVVFWVLAVFPAVPFMPIGRTAGSLLGAMLMVVFGVITPDQAYAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLAWKSQGAKDLLCRICLISAVSSAFFTNDTSCVVLTEFVLKIARQQNLPPHPFLLALASSANIGSSVTPIGNPQNLVIAVQSKISFGKFLFGIFPAMLVGVTVNALLLLCMYWKLLSVQKDVEDAAAELVPEEEVVSHRFSPATLSHLTSLNSQELNNSLGVNGHANHAETLRNRVIVGECEIQKAFDSSRNSNASTNDGSLMKREENVSSKRDEYQDDENFRAYDEMCYFPKVWRNKLWKICVYLVTMGMLIALLMGLNMSWTAITAALALVVLDFKDARPSLEKVSYSLLIFFCGMFITVDGFNKTGIPSALWDFMEPYSKIDHAAGIAVLALVILVLSNVASNVPTVLLLGGRVAASAAAISPESEQKAWLILAWVSTVAGNLSLLGSAANLIVCEQARRAQHFGYNLSFWSHLKFGVPSTLIVTGIGLMLIRG >Solyc05g044560.1.1.1 pep chromosome:SL3.0:5:57846508:57846684:-1 gene:Solyc05g044560.1 transcript:Solyc05g044560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIRWCKPKLVFAFSSLGMCLQRSRDSVTAFARSRPRLKRVRLWPLLSQGLHRIRRP >Solyc12g005780.2.1 pep chromosome:SL3.0:12:428582:434517:1 gene:Solyc12g005780.2 transcript:Solyc12g005780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRLTRQLTFLNSAESNRFNSLTGSPYRRHFPVKSLPVNFPVVGPSSLSISVKCKSSSSYSAVIGRRMPVEMSSSPMTASVVEDFVHVDGEVANLNSEGSEASVVEEQGAEEVISANVEGEGEGYERKVLPEELSRSVMMLTCDSSANGGICDVYVVGTAHVSSESCQEVEAVINFLKPEVVFLELCSGRVGILTPQNLKENIQHFGNHCCKQAKNYFLAGIRSPLRKKDQVSELMYSVALIFNIPDSDMARYQQWEKWWRCGRKNKICLGYSTAGFLPRHDHNLKSCPYSSEVATKLEVFPGAEFRVAYEEAMKYGGKVILGDRPVQVTLRRTWAKMPLWHKTKLVYSLLFQAVFLPKPEDLVKMLKDMDDVDMLTLVIQEMSKQFPTLMDTLVHERDQFMSSMLLKVAREHSSVVAVVGKGHLPGIKKNWEQPIEVKELLSIPSPKPLITVSKIVTTLGVAVAGVAIISGIYVSSKK >Solyc08g066740.3.1 pep chromosome:SL3.0:8:55618967:55629965:-1 gene:Solyc08g066740.3 transcript:Solyc08g066740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFYFLVFGALSAVVAALEFSKTSKDRITTTPAFNSFKNNYILVYSLMMAGDWLQGPYVYYLYTTYGYGKGDIGHLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCITKHSPQYKILMVGRILGGIATSLLFSSFESWLVAEHNKRAFDQQWLSLTFSKAIFLGNGLVAILAGLFGNVLVDTLNLGPVSPFDAASCFLAIGMAIILSSWTENYGDTSENKDLITQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNSEEIPHGFIFATFMLSSMLGSSLASRLLARSSLKVESYMQIVFAVSAVCLFIPVLTSFLVTPSTEKGGGITFSGCIQLLGFCAFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVDSFPITVMFGMCSIFLFLASVLQKRLSAVVEKSTRKERGATGSSLDLTVCSIWLADVERHRKSDGLLDLICYSKCRKIVMKQLTVTLTKGLVFLYPPSEDSVIQVTKQNYNSCNLKNPILYMNNGNSLFNITRPGEFYFTSGAEGHCEKLQKLHISIGGGNGTSYDEADSPAFAPSPSYTNVFGSIPVQSSNSTSASSSGKVEISVFAAVGLLFLTLFIGSTIL >Solyc03g117010.3.1 pep chromosome:SL3.0:3:67754428:67767402:-1 gene:Solyc03g117010.3 transcript:Solyc03g117010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAHVSVSDEEEERNKKLLEAGNELLQQPTSSSSTEELLEKLDNLEHLLSMVKQVPPASARDAFRPAMEALVADGLLRHPDIDVKVSVASCISEIMRITAPDQPYDDSRLTASSTHTTFFAQVGMLAFGKLSCLDSRCYSKAVSIIEVLAKYRTCVLMWDLELDVLIVQMFQHFLNSIRKSIMPSSERIELVKWECKRGKEQRPDHPDQVFMDIEEIMSIIIKESEEIPMQLLNILISSVKKDNQNVSPRSYMLGERVLQESAIKLHPYLPKAVRSLGISINNYSEVVELIWIEALESKTTVENAPEELAPHAAPDIVAPFLDQSPILLGKDDPKHKDNDVVLETDTILKESEHGDAMKQQKSTDSRTTSQSKNLRFVNAAAKKAVDPEATQTSKKRGWKPNFLNKPEEGYDHAWVSGERRSKARILLKGCGKDTKKRSSCSPKCAISKGLYGEEKTPIVTCIKRHQKEKNDKSISARDAPGAITKKKVSQPTSVASEEFAVVKALEEKHEKDDKKNIPATYCDKRRRLSVNESGDEALGFVFSITKESNFAKTSKDQRKRKSSPSQEKALGSVSNSKERTSPKTSKEQRKRKNLPSQEEALGSVSNTKERTSPKTSKEQRERKNLPSQEEALGSVSIIKHSNFPKSSKKQCKRKNPPSQKEDSADKVVREHGKELVGCRVRVWWPLDQVFYEGLVTDFDHSEKKHTVIYGDGDQEILNLTKERWELVDNDNASDPIHEIAPGPSDLSDMRLGCIIDSYRQTRKKKKALNVANDVPLLTPGTESKKNAGSKTKLYSGKATDDLMTPIMTTQHNSTPKGVHQNKGIKVEGSESGEDENPRSLTTTIKVSPEDGDVSSELVDVHGYKVKVSNAPILAAIFAKYGDIAVNCQCKSVAARASLLDVVSDVVRRLKTSDVSFSSIKAMRSVVSDAVDAKLDVAWLQQYLDEISEEEDMEKKSSLLMALRETTKLVSKAAKKDLVERNNEVLAAEKRLKKAERRLQEAQNRAGEVQRSVKVFEILGEKVQQDIKEAKDQEQYWLSRLSELLLPTTVLSLY >Solyc02g088010.3.1 pep chromosome:SL3.0:2:50866773:50881791:-1 gene:Solyc02g088010.3 transcript:Solyc02g088010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:K4BBV7] MDSPAANHLDIFDIYGRYCDIMSGAYATRNLVDELQKARFTREALNQLMKLVDSSLHIRATIFEEVYKLKLRLNLEADFSEFSRFYDFVFFVFRENGQKNITISKAVTGWKIVLAGRFRLLDHWCDFVEKKQRYNISEDTWQQVLAFSRAVHENLEGYDREGAWPVLIDDFVEHMYRVGGVDTISNSFCCSCGNSGAQPFEDSYPGLINFPGMKRKSCGNLQRVEESSHGDPGMDVIVNSKRRHVNFGNQNVDWTENQSHGYSEMVKANSPLNHSASPCAVEGCLSKGFAGLLSGPSCLQFDKERRTSYT >Solyc06g071190.3.1 pep chromosome:SL3.0:6:43914314:43918141:1 gene:Solyc06g071190.3 transcript:Solyc06g071190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILGCFKIKPLMASGSFKCFVDQKLGKFAFFFLYAILEWVLIIVLFVDGFLAFFCNEYAKFFELNIPCLLCTRIDHVLVNRNSSFYYNESICEVHKKDLSALAYCHVHKKLSEIKNMCEGCLLSFATERDADCERYKSLAGVLKKDIDCFAGDDARLTVRTGKKELDEAIQIERGVVARCSCCGEPLKMRSKFARNASINGRSYSQAPAPSPRASPRAPLLGAWRNIEEVRHLESPRSVRYTELKFTQDDEGPSHGGKEDMKAATMPLLPDSEDTNIDSSCKTPNNTRNRFFGIPLSDSAQASPRFSHHRPRKSWISDKLDFTSEANDLNSVPSDLEEDVLNRLKKQVRLDRKSLVELYMELDEERSASAIAANNAMAMITRLQAEKAAVEMEAFQYQRMMEEQAEYDQEALQFMNDDLLKKEDEMKLLQVELETYREKYGLIKTIGSEVCEVDDDEDYQELKSQCLSSISERSDCASPFEADHHRVNERLFECPAENGGVNVEESQLDFEKQRSYLMGLLTDVVEKIQISPEEGPHTLGPKTIEQKGNENKAALTREVSLIRERLRAIEAESGFLKHAAMTLQSGDEGSKLLTEIAQHLQNLRHTSNTSSENADAGHQSSEIDMEPDNSDTIQQAFHLSLVQMEQIEKP >Solyc12g088895.1.1 pep chromosome:SL3.0:12:65072768:65074101:1 gene:Solyc12g088895.1 transcript:Solyc12g088895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCLNQESPNHSKRFKLLVSTVKDAFANCHSFRKRSHSSPEEDDPSCDYDDEVFISAVISQYMELKCRRKTAITTDKFTWAFSPTTGDLFISARLRQKEEDNEDQETEEREDFYSVASRLSPCSSATSFEAFVTAKTVFSRSSSLDSIDFQDLRRHSVIQELSDCEGWPFGLYRKALLLPPLPKSPSDSWSWRKSASMVKMH >Solyc06g071990.3.1 pep chromosome:SL3.0:6:44493630:44496028:1 gene:Solyc06g071990.3 transcript:Solyc06g071990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQTQRESSASQSPKRKKRKKKSSSSSDHKPPSRCPKANDNDVYSPGKSVPIWEILKEQYSIDRSKLCGNSSANDKVQAAGKVDGVAARKGAEMKKPWCQLIAEFPQNSTVEIFEQRFMTGAGKNLPEHAMICMINREESVMSELCLDAPAVDDPDKLFANTLFEVVFNESRNLPFILFVKDADKVMAGNAELYSTFKTRLEKLLNNVIIIGSQSA >Solyc12g044955.1.1 pep chromosome:SL3.0:12:61274803:61279924:-1 gene:Solyc12g044955.1 transcript:Solyc12g044955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWARSNSQNNINNHTAAQGRQHFASPIASENQNQVDCDNSPMRNLINVDAGFSSSKSIIPSIPQPSFVFDKLKKIRCHDQDRYKKGKALIPVPFDFGVDIL >Solyc03g064010.3.1 pep chromosome:SL3.0:3:39439562:39443664:1 gene:Solyc03g064010.3 transcript:Solyc03g064010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVVVIVILSFVTFSISAIFSLNSDGVSLLALKSAISNDPSHFLSSWSELDSSACHWNGITCDENDKVISISLSSKNLSGYIPSEIGALSSLSILTLSYNNFSKPIPIHLFNATSLHFLDLSNNAFTGFLPQEITSLVNLKHLDISANFLNGSLPQDLTHLTLLTGTLNLSYNRFSGQVPATYGKFPVTLSLDLRHNNLTGKIPSVGSLLNQGPTAFSGNPFLCGFPLETPCAEPEAQKPPPNPNPNEEEVGFVGKGKSGNGSVVVSLISGVSVVIGVMFVSVWVLRRKWKLDEGKMGKEKLEKAEEGQKGKYVVLDEGFGMELEDLLRASAYVVGKSRNGIVYKVVAGGGTVVAVRRLSEGDVTWKLKEFEMEVEAIGRVQHPNLVRLRAYYYASDEKLLVTDFIRNSTLHNALHEVLFNKKHTQVQKKETHRANFSRELGDFLFILERGLGNSLPPLSWAARLKIAQGTARGLMHIHECNPRKYVHGNINSTKILLDDDLQAYISGFGLTRLVLGCSKPINSSTKKLSTSQIIVSPQNSTSSCTMYMAPEDRVPGSKFTQKSDIYSFGMVLLEILTGRLANGGSEVEDDGKGLESLVRKVFRQERPLSEIIDPALLNEVHAKKQVVAAFYIALNCTELDPELRPRMRTVSDNLDRIRLQ >Solyc01g011393.1.1 pep chromosome:SL3.0:1:8723151:8731034:-1 gene:Solyc01g011393.1 transcript:Solyc01g011393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAASQTHAVIFGEKWHPTVPFVRLLLLFLKTRMVLAPNGAIKNHCSQAHRRLEFAAPRKVHGSKYADKNVEYRDEAANKSLPSMAAGFARKKKENVSVVSFSPRSRPQRYFGSCSAIGNTNRFSTVNNYQPPPQAPLPIYYAQQNY >Solyc02g055560.1.1.1 pep chromosome:SL3.0:2:2211023:2211250:1 gene:Solyc02g055560.1 transcript:Solyc02g055560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPWRNSSLRMLLFWVISVTTLFFKCVLSAWVICLFFSDLVYWRVASSFGKSSYFIYNIVFMFMFMFNVSLVMG >Solyc01g108860.3.1 pep chromosome:SL3.0:1:95923625:95925109:-1 gene:Solyc01g108860.3 transcript:Solyc01g108860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVHPAIIQDVEHRPDLTKSTEAEGIPVIDLSILNSPDTSTDAELSSLVGEIRNACKEWGFFQVINHGVSLECREKIELASRKFFALPKEEKMKVKRNEVNFIGYYDAELTKKIRDWKEVFDFTVEKTAMVPLSQDPNDKDLRDFQNQWPQYPPGLREVCAEYIQEMQKLANKLAELISLSLSLPAKRLNEFFEDQIVFGRINHYPPCPVPHLALGVGRHKDAGLFTILCQDDTGGLEIKRKTDGEWIGVKPTPDAYIVNLGDATQVYSNDEYESVEHRVVLNTERSRYSIPFFVNPSHSTWIQPLEEKVNEKNPAKYKPYNWGMLFTHRRKHDPKTRDEENLTLDHFMA >Solyc10g006153.1.1 pep chromosome:SL3.0:10:874990:879744:-1 gene:Solyc10g006153.1 transcript:Solyc10g006153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDTENISFLISTEIIPKCLCSMEMGCELSKTVATSIVQKILLDDVGLNYVCSISKSFFEVIQVLGNMVGAVAEQPSSRLLKHIIRCYLRLSDNPRACQALKIFLPNMLRDNTFSSCLREDSMARSWLLQLLLNVNENQVAPQDGGGFDHMPTVPYIHIRNTCNHRKIAAGNRRTIADVDRLPSSPEEEIRNTATSVGAMLQLLKYRFRNTKLLEEALTHSSNTEKLARVAVRHGLYNYHSAATRQSSMKRVEKEIGPALDRRFICSVQIGIAEGMLL >Solyc10g047990.2.1 pep chromosome:SL3.0:10:43349882:43357335:1 gene:Solyc10g047990.2 transcript:Solyc10g047990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSKMSNLEDPCNLEVYGNYLGKMKRLKSDVVDTPPFKRPICSSNEESLILGFNAYFPNGYEIMLNDEEKISLKNATNYEEERNFLENIKKCFGNDNEYKLFIDIMMMYKKERKDLNEVYNDVAVLFKDHHDLLDEFSKFLKDSVSPNPLSSLLLLLDPLLPCGYDIILNDEVKPLKKSIHYEQKERKDVKDVYHEVSVLLSGRPDLLDEFSGFLPDSVTTNIMSNLDDNKN >Solyc01g096050.3.1 pep chromosome:SL3.0:1:87008898:87019753:-1 gene:Solyc01g096050.3 transcript:Solyc01g096050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGNMLWSGEDKAMVASVLGKEAFEYLMSGSVSAECSLMAIGNDQNLQNKLSDLVERPNAANFSWNYAIFWQISRSKSGELVLGWGDGCCREPKEAEEREVKKILNLRLDDEGQQRMRKRVLQKLHMLFGGTDEDNYAFGLDRVTDTEMFFLASMYFSFPRGEGGPGKCFGSGKYLWLSDALTSNLDYCARSFLAKSAGMQTIALIPTDVGVVELGSVRSIPESLELLQNIKSCFSSFLSLVRDKQAAGIAAVPEKNEGNNPRLSNSGAVTERTDGNPKIFGHDLNSGTHFREKLAVRKAEERPWDMYQNGNRMPFVNARNGLNPASWAQFSNVKLGKPVELYAPPTPGHNLMNGGREEFRLNNFQHQKPAARMQIDFTGATSRTIVSPAHNVESEHSDVEASCKEDRAGPVDEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAYITELQKKLRDMESERELRLGSTSRDAITSEDSPSSEIQIRGPDINIEAANDEVIVRVSCSLETHPLSRIIQIFKEAQINVVESKLSAGNGTVYHTFVIKSSGSEQLTKEKLLAAFSSESNSLRQLSPKLHKVILPSLF >Solyc05g009765.1.1.1 pep chromosome:SL3.0:5:3960616:3960951:-1 gene:Solyc05g009765.1 transcript:Solyc05g009765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLGKTSTSCVLLLVYVDDIIITGTDFPLITSLQQQLKDSFHMKDLGTLTYFLDLEVDNVASGVFLNQHKYTQDLISLVGLQDSSSIDTPLELNVKYHREKDDLLPDPTMF >Solyc10g006240.3.1 pep chromosome:SL3.0:10:918728:923403:-1 gene:Solyc10g006240.3 transcript:Solyc10g006240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyridoxal phosphate homeostasis protein [Source:UniProtKB/TrEMBL;Acc:K4CXF5] MAAPAAEGLAVTALRSVLHRVRQAAERSGRRADDVRVLAVSKTKPISLITQVYEAGHRCFGENYVQEIIQKAPELPEDIEWHYIGHLQSNKAKQLLTAVPNLAMVHGVDNQKLANYLDRAVSSIGRQPLKVLVQVNTSGEESKSGVDPSNCIELAKHVKLDCPNLEFSGLMTIGRPDYTSTPENFKTLLNCRTEVCKVLGMAESRCELSMGMSSDFELAIEMGSTNVRIGSTIFGPREYPKKQ >Solyc01g057045.1.1 pep chromosome:SL3.0:1:57978216:57990260:-1 gene:Solyc01g057045.1 transcript:Solyc01g057045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKSSIWDNFDIINISIAGFKLEYISPEIHGESSIASNIEYWRTAPFIVKAWKPEMEFTKGEVYTVPIWIKLPGLDYKYWSSKGLSKLGSLIGELVMVDQYTERKIGLNFARLLVDVGMDTKLPDSIMFRNEWENLIEQKKGESHEQIKDSTTIPGERHATTLVCRERNAGSQEIKKFQGQQIKQVQEHHESSEWITRIKTTRLTTSSQNHQEIMNSFQALQKSEVNKHTKAQGHGGGQSILLLGMELLGRKREDTIRASYDTFNDGPVRTIEQQLEILKPFNEEDVRKAMFSIDVNISPGPDGYGSGFYRETWDIVGQHVAEAVLEFFQNGKLLNHINATNISLIPKVSVPENASKYRPISCCNNEALEAVLKGALVAAIWEAIVYYTWKARNWTTFKHLSVQTETVVLHIKKDIASKIEIADTFGGSMHHPLLAKLT >Solyc02g085285.1.1 pep chromosome:SL3.0:2:48878249:48880274:-1 gene:Solyc02g085285.1 transcript:Solyc02g085285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYRVKAEEDYEGERSRLNIDFISANNSKSSALSVDKIYSDSDMHNRLVIMVAWIPNNRPQFWQRICRNSTDDYKPTPKESLWIVELLAEMLTC >Solyc05g008250.2.1 pep chromosome:SL3.0:5:2634744:2636603:-1 gene:Solyc05g008250.2 transcript:Solyc05g008250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like transcriptional factor MYB76 [Source:UniProtKB/TrEMBL;Acc:K4BWZ9] MRKPCCDNKEEMHKGAWSKQEDQKLIDYITKHGEGCWRNLPKAAGLLRCGKSCRLRWMNYLNPNLKRGNFSEDEDDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLTRKLIKMGIDPKNHRLSHYLHIKRLELLQENNTRLENVGVISDATSSYANKDQQITSSLLDLNLIP >Solyc05g052330.2.1 pep chromosome:SL3.0:5:63442557:63444576:-1 gene:Solyc05g052330.2 transcript:Solyc05g052330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVSNFPKNKYILIFIYIVLDLVNFYSCQSFINSDLDATGFSLAVATWYGDPTGAGSGGACGLEDDVGKIPYNAMITAGNQVLFKHGLGCGACYQVLCNQNEECSQNPITVTLTDECPGTCNDDPIHFDLSGNAFGAMAKFGQADQLRSLGRIDIYYKRVSCDHKQNIMFKDPNPYFLAIAIEAQNGDGDLSLVEIKHTNSNEWLQMQQMFGATWSINIYPDTQIPPFSIRLTSQNKHQVEANNVIPINWQAREIYYSNDLRKFRYYKVKFWTSFV >Solyc11g020060.2.1 pep chromosome:SL3.0:11:10051045:10058502:-1 gene:Solyc11g020060.2 transcript:Solyc11g020060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKTNSALLLCRTWISNAKTLITCNVVEKTFLHRQHQRHWFCSLAQAGANSSQKMSDNRCNHVTRVLFCGPHFPDSHNYTREYLQGYPFVQVDDVPLESVPAVIGDYDICVVKSFRMNSDVLSRAKRMKLIMQFGVGLEGVDITAATKHGIKVAKIPGGATGNAASCAEMAIYLILGLLRKQHQMKISVEQKKLGEPTGVNLQGKTVFILGFGNIGIHLAKRLRPFDVKILATKRSWGRPAQDSSKSEAPSVENGGYADLVDERGNHADILKFASKADIVVCCLAMNNETIGIVNNDFISVMRKGAILINIARGGLLDYDAVLSHLKSGHLGGLGIDVAWTEPFDPDDAILRFPDVIITPHVAGVTELSYRDMAKVVGDVALQLHAGKPFTGIEIVN >Solyc10g018775.1.1 pep chromosome:SL3.0:10:10177546:10183779:-1 gene:Solyc10g018775.1 transcript:Solyc10g018775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRESGSIGEASATHNVETLETIEKKRNHPLYLHPSNTPRCVLTTKSDYNMELEEQWEKCNCFVLAWIMNTVSKELLSGIVYANDATTVWNDLKDRFDKVDGSRIYQLHREICTIHQGNLTVSGYFTKLRLLWDEFDAFVPPPSCNCDRSRIYVDQQDYLRLFAFLMGLNDVFGQARSQILMMNPLPNVSKAYAMIMAYEGQRMTAGQTQGMKANQVRVDQGIIQDDFAPICRKEEGQGVRLQENLALAAQPTFTQGQYQKILHMLDKEEVGTSGSNIAANMAGITGNIDTALSVNSTMKEGWIIDSGATCHMTHNMHRLHYVCNFTDHLNRSVHLPNGETVSVTHSGSYKTLEGDLLKNVLVDDDHKDLNDKMNDMDTIIPQEQTFPEINMHNDSAWNEGNEDIENTDVINEGAENNVDISVPDVITEKPRQSLRNSKPPIWMKDYITQRRDDGIVIVLVYVDDILVTGNDANLIEETKHVLHSYFKIKDLGELKYFLGIEFLRSNKGIVMNQRKYALEMISEVGLAAAKPVMTPLECNMKLTSVEFDEGNVTTDDLFPDINKYQRLVGKLLYLTNTRPDIAFAVQSLSQFMQKPKRSHWEATEDWAACPDTRRSVTGFILKFGDSLISWKSKKQNIVSRSSAEAEYRSLATLTAEIVW >Solyc06g018050.3.1 pep chromosome:SL3.0:6:16431117:16439206:1 gene:Solyc06g018050.3 transcript:Solyc06g018050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSDLRRCTPSITTQLRHFSHLSRTDGQQDPKSSSISFLKWIGGIITSSTLAFAYYSYNYSSPNYPSFSFSHTSQSRPSYLFGDAYRRKVFFNYEKRIRMRSPPEKVFEYFASFEAHDGEIFMTPADLMRAVVPVFPPSESHLVRDGYLRGERNPGELRCAPSKFFMLFDTNNDGHISFKEYIFFVTLLSIPESSFSVAFKMFDLDCNGEIDIDEFKKVMTLMRAHNRQGAHHSDGHRGGHNLGGHIDSGGLLQDFFGEDGKKRLQYDTFVQFLRDLHEEILKLEFVHYDYKFRGTISAKDFALSMVASADLKYLHKLLARVDDLDNEPQLSHIRISFEEFKSFAELRKKLQPFSLALFCFGQVNGLLTRSDFKRAASQACGVSITDNMIELVFHVFDTNHDGSLSSDEFIRVLEKRARDIAEPTEAGILNFMSCSGNCTSNYKSSLLSSWSTSC >Solyc06g008663.1.1 pep chromosome:SL3.0:6:2567250:2584103:-1 gene:Solyc06g008663.1 transcript:Solyc06g008663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASKKFFSLFLICIFVISSCVDISMATKEVNDERFRMTYENMADDYGKCRTKCVALCISSGKEKSACETPCGGECVAKILRASVKAMYSASVVDEVTVGCKVAFQLTTDPPRLSTYHLHKAPDR >Solyc07g039553.1.1 pep chromosome:SL3.0:7:47860064:47861600:-1 gene:Solyc07g039553.1 transcript:Solyc07g039553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLVNLNQLTQNPISDEALESVPVTSKVDYSHFTKVKGSSFIAILIYVEDILLTINVLKEIERIKRFLLKCFRIEYLGELKYFLDIEFSHSAILGARPKKFPVEQNLKLTSTDGTVLNDPTKNKRVGRLIYITVTRSDIVYFVRTLSQFMQYPRKPHRDVAVRILKYIKGTPDQGLLFPSTNNLILKAFYDSYWGSYRATRSGGEVSSYGKKMFRTYM >Solyc02g038720.2.1 pep chromosome:SL3.0:2:31916269:31917144:-1 gene:Solyc02g038720.2 transcript:Solyc02g038720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFISTSTAPSTTLICTSTNSQCHIRQIKIMPSIQISRPETKISKLSRGYKNKISCSIAQPETLEVVQSTIAKQLSIDESTVTPQTKFADLGADSLDTVEIMMALEEKFGVSIGEEGAQNIATVQDAADLIQKVKEVEN >Solyc09g075800.3.1 pep chromosome:SL3.0:9:67841868:67854890:1 gene:Solyc09g075800.3 transcript:Solyc09g075800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDHFQNGVETAKLMWSRIPATEEEEVGEVGPSRKGNGSTVESLDYEVVENFAYREEQAKRGKLYMGYVVLVKWFLALLIGIGTGLAAVFINLSVENFAGWKFSLTFQIIQKSYFAGFLVYILINLVLVLSSVYIITCFAPAASGSGIPEIKGYLNGIDTHGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHIGACIASLLGQGGSTKYHLRSRWLQVFSSERDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAIVAVVVRTAMGWCKDGNCGHFGAGGFIIWDISGGQEDYSFEELLPMAFIGVIGGLLGALFNQLTLYMAHWRRNYLHKNGIRVKIIEACLISVITSAISFGLPLFRRCTPCPEADANSGIECPQAPGMFGNYVNFYCQNSKEYNDLATIFFNTQDDAIRNLFSAKTAHEFSAQGLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVSFYKKLNIEEGTGEISQLTGADPLMFKEQSIGVGVQEESQLLGLCSILNKIRPSLRGCSENLPCSLPPSAEPSYYNGVKGNVSVSALYQLDLTIVVDDHQDNIFLVTTGGVSYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEEQARLRSIPLLESRPKYQMRYMTAKEACGSQNVVYFPRVVKVSDIVSILRSNDHNGFPVVDHSRSGETLVIGLILRSHLLVLLQSKVDFQHSPLPCDSRGDLLPIRHNLCEFVKPVSSKGISLRDIHFTPDDLEMYIDLAPFLNPSPYVVPEDMSLTKVENKNSFLHMPLRLSLAMVYNLFRQLGLRHLLVVPRPARVIGMITRKDLILEDNDDPTAVELQSTSVRGTQSNHRVIKRKGDAQQPLLDGLL >Solyc05g012120.3.1 pep chromosome:SL3.0:5:5328874:5332593:-1 gene:Solyc05g012120.3 transcript:Solyc05g012120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISRLSSKFNFFLRNPFFPTPLYHHNHRFLTILQQKQALHHLPNGLQNGPFFKNHISVLPLRCLSINSSEEIIPERNGNDPLHYETIEDVEPIDLWEEEEEVEPEIGDGGDGGGIVFQSCSWGEQALSIARDVLLPFGDDMELYSFKTSPHGYIYVRLDKLPNNFGCPSMDEMEEFSRQYKKRLDEAGALGKIPEDLAIEVSSPGAERLIKVPDDLSRFKDRPMRVIYIEEMNSRKVEKNGIFFMESIDAESGSCVWKLADVKENRDPASKGRPLSRKQKDWRLKLPYAMVKKVILYLNY >Solyc08g065450.2.1 pep chromosome:SL3.0:8:53636811:53641367:1 gene:Solyc08g065450.2 transcript:Solyc08g065450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGQVAGVEHFVIKVANNKVGLIICKGGETIKICKKRLERVFM >Solyc01g080890.2.1.1 pep chromosome:SL3.0:1:80070459:80071078:1 gene:Solyc01g080890.2 transcript:Solyc01g080890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKESGKEISEEVTRESLIAISYSEPERDPSVESVPVNSNYENVVKSLNDARDDKYRSELISISYEESPDTEVQPGELKG >Solyc04g075015.1.1 pep chromosome:SL3.0:4:61017809:61019610:-1 gene:Solyc04g075015.1 transcript:Solyc04g075015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFFVDVRQDLVYASGWPSRPFQPILKVKRAPKRAYPSFRRFSCAIANNFLSDPNFNVKRAPKRAYPSFRRFSCAIANHFLGDPDSDVKNAKFSCGRPSRPRLCIHLALTALLTHFEANHILGDPDSDVKNVKCFCGRPSRPCLCIWLALMALPTHFQGQTSPEASIPLISMIFVCYSKLFFGRPSRPCLCIRLAITARTTHLEVNHFLGDPDFDVKNAKFFCGRPSRRCLCIRLAITVLLVRGLHSLINGCSRFSLKVLTWYQSTRLIALFSFFWLPVFD >Solyc05g008530.3.1 pep chromosome:SL3.0:5:2858396:2863451:-1 gene:Solyc05g008530.3 transcript:Solyc05g008530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSALISNLHLTQTNCFKCLNSRTSLNINPTRPKLNLSSRKCIKKFSRLVCSAVEDSMEKQREISGANASSLGSAVEDRPDVGDGSSKSLFKNGGSDSEGNVVYDFLYPNKELLPDDKEMTLFDHLEELRQRLFVSVLAVGAAIVGCFAFSKELILILEAPVLAQGVRFLQLGPGEFFFTTLKVSGYSGLLLGAPVILYEIIAFVLPGLTMSERRFLAPIVLGSSVLFYAGIVFSHLVLTPAALNFFVNYAEGAVESFWSIDQYFEFVLVLMFSTGLSFQVPVIQLLLGQTGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQMLLAGPLLGLYLGGAWMVKLSGR >Solyc06g074620.3.1 pep chromosome:SL3.0:6:46294223:46295352:1 gene:Solyc06g074620.3 transcript:Solyc06g074620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPRSCRRVIEERNRKGKLSEKSMSFEGYIPGSTSEISRRIRTVPDLFSGKGSASGFMPDLQVRPKLTKLLLNVTIQRSPGPVQVLISPESTVHDLIATAVRQYSKEGRRPALCSTDLFDYDLHYSQFSLASLDRAEKLMALGTRNFFLCPKKLGAETSSSSRCKKEAQTEISVDLPWPKFMDFML >Solyc08g007190.3.1 pep chromosome:SL3.0:8:1747012:1754681:-1 gene:Solyc08g007190.3 transcript:Solyc08g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEGPCYHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARAEPCDFEEHRVSRFKNISMKNKEAKILKRKQSHHDAEVGTPDYSLGFRKVLDEDTSNRSSSGSAISNSESCAQFGSAEASDLTGPAQSNIWDSTVPSRKRTCFNRPKPSSVEKLTKDLYTILHEQQSSYLSASSEEELLFESDKPMVSVEIGHGSVLMRYPSTIGREEESEASSLSVDNKHRSVSDAYSRLTTPPVNISKGVNSPNMGTERIKKPTGPAIEQDQIKRNKDHLEKLQILGHHNSPLRYLDLKDVLNYEEFTTHLSSDEQQQLLKYLPPVDSFAPPDSLRSVFESSQFEENLCSFQKLLAEGVFDNSFPGVTLEDCRNLKRFILCYLTKSKWVQQYNLLKDTKCKNSSSGSEVAGEPNAVGTCHSANVKKPREGQYPKCSGAKTTMKSPKRVVMKSIYEQKELVDNDGSCFSPRSSFALPSENSSLVLDSLRSANENSHQDLLLDVPSNSYCPQAELLLPTSSFTTQASTSSSSMYPPHFIRP >Solyc07g054080.2.1 pep chromosome:SL3.0:7:62613220:62616150:1 gene:Solyc07g054080.2 transcript:Solyc07g054080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQLDTTFNKHDTPLGKWKTMNDEVEENISGGFECNICLDLVHDPVVTLCGHLYCWPCIYKWIHFQSVPSENLDQQQPQCPVCKAEVSERTLIPLYGRGGQSTKPSEGKAPNLGIVIPQRPPSPRCGGHLLIPTTDSSPSHLLQRRNDQQQSQTRQSPYQPQSASPGTTVNMLQPSMIGQVAYARIFGNSSTTLYAYPNSYNLAISSSPRMRRQLSQADRSLGRICFFLFCYDVVVDKKMYIEY >Solyc11g010520.1.1 pep chromosome:SL3.0:11:3574129:3575116:1 gene:Solyc11g010520.1 transcript:Solyc11g010520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNNSLIVLLALLCAFLFVASALAVDKTKATNEGQLNDETQGHGWGGGGGCHGRGCHGGGGHGGGGNYCHHGCCGGYYRGGGCKQCCRTAQEYSAYNMQNDVNRP >Solyc02g061960.3.1 pep chromosome:SL3.0:2:34045638:34052817:1 gene:Solyc02g061960.3 transcript:Solyc02g061960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATPTTNPAPVHHSHTGTGTGTGTGGPSSPQQSRRSSAARGVSSPWTQAVRTGESESTVAVTSSSPPLSPPASCEQVVHSSDCSPPDEVLTAGNASSLSPEDAAIDTQIESDTNSNGAKKPAWNKPSNGAADVSPVMGAVSWPALSDSTKASPKLPSSDSLKSLSDGSVSVTQGSGMASASHRQANTNNVNPNTMPNHVVPSRQRSMKRGGGNSNHNASANGGFSQQQTQGFEVETVHNNSVKSGNSGAESSSRDNNNYRNGGQWGGFGSQSHGGNDYQHQLNANRRGSVGPHPRGDGMYHNGYGGRRDQDRRNQDWKPQRSWGNRDARMQPQRGPARPFMGGPPHTSPPFIPTPMPVQPYRPPMVYSEVPPLFYFPGPFPDSLRMPMLSPVPPVYFHLPDTQLHNRIVNQIDYYFSNENLIKDIFLREQMDEQGWVPVTLIAGFKKVMELTDNIQLILNVVRSSTVVEVQGEKLRRRNDWSHWLIPASVHNSTMSSPQSPQMPSPDLLAENLQRVVFDYKTTGHGNTEAHLSRSSSTALSSPFLQFDNEMVEQADAQHSQPMPLGNPS >Solyc10g076870.2.1 pep chromosome:SL3.0:10:59948294:59958335:-1 gene:Solyc10g076870.2 transcript:Solyc10g076870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKASSASRWGRTRSSARKSAPESAGQKPSPPPSTSTAVTDSPSPQKDNQSSPLMTYSTNSKISEHPEQSLSIQKVGQSGKKKVVKKTVKIVKKIIKRKVPKSVAKNSKMTDESEEGHAETVLDSLDVEKASSRGTIEPETVCLEVSSAATVGEGGNSEKDNFQPKEVEEGIIAVEVMLGKDGMKDCEVTVAKDKLELPILGCNDAKDNLGSPETGNVVVDSEKGNLRSVKIVKKIVKKKVPKSVAKNSRKTEESEKGREIADAETVLDSLDVKKANSRGTIELETVYVEPCNAAAVSEGGDFEKGTFHPVEVGKGTIAAEGILGKDRMMDSEVSVNEGKLQLPISENKGAKDNIGSLQTGNTVLDSEKGNLRPVKIVKKIVKKKVPKLVARKREKKEELVKGSNNGDAETIMDSAGVEKSKPSPPETENLESCKDVSAENEESKKHSLHPMEVEKAECVSEFGATENTLEACMLECKDSVVENDNMDSLETQIVVLDSEKNSLNVSTSDNVDSSKDQNLVQLGEEGYSQGREETKEAVDTSLRLNEGILLSGEMEALERKKRRRTEIFIGGLGKESKEEDIRSIFGEVGEVVDVRLLINHETGKNKGFAFLRYASAADAKKAVERYSKVEISGKQCRVSLAEGNDTIYLGNIDKKWKTEDVIDLLKKAGIENIDKVTVMANPNNIEQNRGFAFVELETSKEAQIAFSKLQKKDAFGKHMNVKVAWAQPLIEPNEEELLKVKSVYAEYLPSSWIEEKVRDYFGKFGEIESVVLAKDLPSSRRKDFAFVNYISRESALACIEGFSHEPANESGSKVSIKVSLAKPMPKSKQTKRVTLPTRREPPEKKKIQDHSILKRHEPSRKVNYMRRYDEDHRVEGSSSTTNELLHLLRQQGPVRQLHSGLNMGINHQYSLSGSKRPFSAVVHNPHRMDSSGVSRVHLESSFPTANRSMLPHSRGPLGTPSFAYYGQLGSDHSPGSVYGVQSFPNSFQSHDHDPYGGNRSIYRRY >Solyc12g008660.1.1.1 pep chromosome:SL3.0:12:2038209:2039426:1 gene:Solyc12g008660.1 transcript:Solyc12g008660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLHFLSPLYIQTDHHRLNFKSPPLFQLAMIGERSRRIPTVDVPPWSYSDDQTANMQFMLSPSTNSISTPNNFNFSNFIVEDDYPLFCNDAEDIDEFELENREIELPVDVYACDNFRMYEFKVRRCGRGRSHDWTECPYVHPGEKARRRDPRKFHYSGTACPEFRKGNCNRGDSCEYAHGVFECWLHPGRYRTQPCKDGGNCKRRVCFFAHSPEQLRVLGPGSGSSPGPGSDSPRRYVKGLHFVSSPESSSPPSESPPMSPMTANSFTSLSRSVGSNSVSEVMASLRQLQLNRLNSMPSSSWNVQMGSPVFGSPRRPVIRPGFCSLPATPSGDPTRPGNRCFDLWENEEEPVMERVESGRDLRVKMFERLSKENPLDDPENPNPNINSGSGLNPDVGWVSDLIQ >Solyc07g018200.1.1.1 pep chromosome:SL3.0:7:9689758:9690717:1 gene:Solyc07g018200.1 transcript:Solyc07g018200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CCN2] MKTYKPNSQRFVISSFFFITFLCIIASINQIRFDSFFKFSKCAFSETNITHTENFFDINSSTLSTSNDEIRILIGILTLPDQYQKRHFLRLIYGTQSPIIVGAKIDVKFVFCNLTKEDQKVLVALEIMRYHDIIILNCQENMNKGKTYTYFSSLPEMFSTSNYYPPYHYVMKADDDTYIRLENFVQSLRPLPREDLYYGYVIPCPSMDPFVHYMSGMGYLVSWDIVEWIKRSDIPKTHLEGPEDKVFGEWLRDGHRARHRYNAKWSMYNYPEPPTRCTHELWPDTIAVHLLKNQQKWINTLNYFNVTKGLQPSKLYHIP >Solyc01g044520.2.1 pep chromosome:SL3.0:1:44094015:44096731:1 gene:Solyc01g044520.2 transcript:Solyc01g044520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYSSCSTENRNLFTPCAACKFLRRRCLEDCLLKPYFPPNEPMKFIVAHKIFGASNIIKVLKDLPATQRGDAVNSLVYEANARMRDPIYGSAGVISHLHDQMTKLQAQVALAQAQISNLQSQNANLMTLIPKQQSLSDHHYKFQNSTPLSYDDNTEIWHLGDTTWDSFWS >Solyc01g056640.1.1 pep chromosome:SL3.0:1:55136035:55136371:1 gene:Solyc01g056640.1 transcript:Solyc01g056640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGETGDDQQAPAASTLFRWTTATTGEQFGEKQFRRSFEVDLILLNFTVPLI >Solyc06g065475.1.1 pep chromosome:SL3.0:6:40982701:40987371:-1 gene:Solyc06g065475.1 transcript:Solyc06g065475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-binding protein 8A [Source:UniProtKB/TrEMBL;Acc:K4C7H6] MANPEEAVDFEPEEDDLMDEDVDASSPRAPMPKLKSAITGGGGGSSASKKIKGRGFREETAEAERNARLSARFDSLDSEGGPGPERSIEGWIILVTGVNEEAHEDDLHNTFGEFGEIKNLHLNLDRRSGFVKGYALIEYENFEEAERAISEMDGNELLTQTIHVDWAFSKGPFRRRNVRRRSPRSHRSRSPRRRF >Solyc01g110170.1.1.1 pep chromosome:SL3.0:1:96853333:96853572:-1 gene:Solyc01g110170.1 transcript:Solyc01g110170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKSSLLELGGIKLVITLANNSLLFFVKPDKKTLCAYNINTGELTTSVLKNCITSAEDIMDVIVMMMFCGGGVEVLYS >Solyc08g082160.3.1 pep chromosome:SL3.0:8:65144050:65145119:-1 gene:Solyc08g082160.3 transcript:Solyc08g082160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEESELYFVFMNYDPEYERLRSKRTKKGSYELDVYISNKHDELLANTFEAGSYIKRLSLVIVDGFAVEITQHQANVLRSAKEVRVVEKNQELA >Solyc02g067120.3.1 pep chromosome:SL3.0:2:37910260:37912619:-1 gene:Solyc02g067120.3 transcript:Solyc02g067120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWNGRGSRFSIKNMHFYICSTTNSTQEEWRIHMLPIEFLLITVFPVIDMRLRCKTRGVEYPPGVPNTVSKVLELDIIRWELQGLDDVLKPSEFSLGVKGSLYPDRNGPRTRLKGQLQMSISFVLPHVLALVPEAVRRDVAESVLRGLLQNMKSKVNGSLLTDYAEFKREMQNNLLV >Solyc03g058365.1.1 pep chromosome:SL3.0:3:26190746:26192931:1 gene:Solyc03g058365.1 transcript:Solyc03g058365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASSVASEGVFSAARFQLGEHRHSLAADNLEISVLFRDWINAERRNLGREPLPTKFQDDVDEVMHDYIWSGPGTDSHSTDSVPISELTGPVHLVPVPLPDIVVPNNYVVSSILGACSLLEYIKGGKKIHCYVLRRGAEMDVMVSNVLIDFYMKCGKVKTVRSVFDRVKVKNAIS >Solyc07g021060.1.1 pep chromosome:SL3.0:7:15789467:15789847:-1 gene:Solyc07g021060.1 transcript:Solyc07g021060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTTKLVVFVHARLQWMGRSWLSPVFLVKPMLVVNKALFGPC >Solyc12g013733.1.1 pep chromosome:SL3.0:12:4565851:4569052:1 gene:Solyc12g013733.1 transcript:Solyc12g013733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNMISLYTSYKDSVSLVIKGQDIELERISTIMTTIDLSSNHFEGVIPKTLKDLSSLWLLNLSHNNLIGHIPMELRQLNKLEALDLSWNRLTGKIPKKLITMKFLAVLNLSQNLLIGSILKVYNSTHLKMTRMVETLIYVVLLYQSNVERLIHPMFLNHWNMKATSAELLDTTVCFFDFHETSEFPCLITKPETDLLVFGQEAQSESQKTVRLDIGKIISGDVEGTTRDGVGELED >Solyc04g051517.1.1 pep chromosome:SL3.0:4:50713122:50715074:-1 gene:Solyc04g051517.1 transcript:Solyc04g051517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGPDGIDIVDTESLVINVPNNSDNMNCDIITNAKHKVVLEDQVYKDKGTLKAVMTQYAIDHRFQWKTNRSSQTCIPIRPLPDQNDWNVPGYIKDQIVQPPNHKKLPGRPSKKYRDKT >Solyc06g019150.1.1 pep chromosome:SL3.0:6:17654141:17655556:1 gene:Solyc06g019150.1 transcript:Solyc06g019150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLTFTLILTFTYDVYVDGHVDIHVHVNIDFDVHVDVPGDVDIDIDFYFDVDVHVDVHADVHFDIQVYVHIHIYVLVNGQVDVEADIYVDVYIKIDVDIYVRVDVHVNFHKHVNVDVHYDIYIDVKVDMHVDVHIRVDDDIYVDIIIDIYVYVHADVQVNIDVHVNSDVHVHIYVDVRIDIDIYIDVYVDAYVDVDIYIDVDVDIYI >Solyc10g074880.2.1 pep chromosome:SL3.0:10:58649794:58650882:1 gene:Solyc10g074880.2 transcript:Solyc10g074880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAMSQLRCSPLTGYYHSHNQSRDLSGVSARFCDNRVCFNPLKTGDVVFKQLDHLNKGGDSDDSKSSWDGIGPIGAFVNGWRSRVAADPQFPFKVLMEELVGVSANVLGDMASRPNFGLNELDFVFSTLVVGSIMNFVLMYLLAPTASASIQTLPLMFANCPPSHMFQSGSYGLLSRLGTFVYKGTQFAAVGFAAGLVGTALSNGLIKLRKKMDPNFETPNKPPPTLLNAATWAIHMGISSNLRYQTLNGVEFVLAKGLPPLVFKTSVIALRCANNILGGMTFVMLAKLTGSQKADKPDEGKVVCVEDTLVGEKERLLDQNDSIHTADAASK >Solyc01g017130.1.1.1 pep chromosome:SL3.0:1:23799397:23799627:1 gene:Solyc01g017130.1 transcript:Solyc01g017130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENRIDQILLSLTHSDHLSKNDSGYQMIEQPVAIYLRYLVNIHKKHLMNYEFNPSCLAERWIFVAHYQTITYSQT >Solyc11g006310.2.1 pep chromosome:SL3.0:11:1027570:1032092:-1 gene:Solyc11g006310.2 transcript:Solyc11g006310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFHIQKILIMLLVLLLQSSSSWGWFFSTNKNNNKNDYKQEQHKFSNNKVVKLMSDFSMDGFENSQKGIELIENAEQKMLITDSCWQRSYQNLFSVCRKALPDEELKSRLSWNLCDCFQQHTGRSPLPYCDAKSSMTKCLQKLDNDVHKIYLAFYIETPAICQQLQREAWKHVTERLVNSLKDSAEFAEEKLDNILNVGDMLLQNSKHVKESLASIDVRTQQVAETSKNVEGRVNAVLSQSEVILEHSKGIASSQLELSEGQAKMKESLHENMAIVHESYTNLDHGIDGLRTKTEDIEDEIVKVGDEMSTRMDKLQNKANDIGNIAGHTLSKQKQLLDEQSKALDNLQLLHNFQSQALEESRGTLKQLTQFGHEQQQELLRRQKQLQQTHDHLVEKSKSILAAQENFESKQASMFLALDKLFTLHNAMLLESRVIKAFLLYSLSIFLLYMFTSTKQTYDVRPRLYIGLVLTFLIELAILRYGSYEMENQALFVSIVRSIYVLLASSQLLYSIWTYRDYEVLNHKMLQTLMEKVNGIQKHKEYLLWEMENDDSDDEVDWSSWIEEELPEDADKLKDPDFVYPVEIAENLVGNKSITRRYNLRNHLLTY >Solyc08g062627.1.1 pep chromosome:SL3.0:8:51656508:51663617:-1 gene:Solyc08g062627.1 transcript:Solyc08g062627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFEMKNLGPIKKILGMKISRDRSAGTLNLSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSKEQSPKTAEERDHMALVPYASAVGSLMYAMVCTRPDIAHAVGVVSKYMANLGKEHWEAVKWLLRYLRGTSSTSLCFGKVKVTLQGFVDADLGGDVDSSKSTSGRALVEMIILDELPFSFVEKEGFKKFMSKVQPLFHIPSRSTITRDCYEVYGELRINLKQSLREIQPRICLTTDTWTPVQRINYMCLTAHFIDRDWVLHKRILNFCPITSHKGEHLAESISNCLLDWNLDNVITVTVDNASSNDVAVLELSKKLDMWGTNLMEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKGETNGEIC >Solyc01g017150.1.1.1 pep chromosome:SL3.0:1:23802698:23802862:1 gene:Solyc01g017150.1 transcript:Solyc01g017150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWYELPKQQFLNNKQPVQIFTTKKYWIFIQIGSERRRKAGMPIGFYYIEFTR >Solyc12g089130.2.1 pep chromosome:SL3.0:12:65230188:65234515:1 gene:Solyc12g089130.2 transcript:Solyc12g089130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKSHPHSEQEGEDQPHKVKELRTSLGAPLSGRDLQYCSDACLRRYLEARNWNVEKSKKMLEETLKWRLTFKPEEIRWHEVAIEGETGKAYRANFHDRDGRTVLILRPGMQNTTALDNQMRHLVYLIENAILNLPQDQEQMSWLIDFTGWSLTNNVPIKSARETVNILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFLDPKTMQKVKFVYPKNKDSVELMKSYFDMDNLPNELGGKANLKYDHEEFSRQMTQDDVKAAKFWSFDIHHTETNGYSAAEVAPKTECLAPPVKV >Solyc02g080615.1.1 pep chromosome:SL3.0:2:45346925:45351869:-1 gene:Solyc02g080615.1 transcript:Solyc02g080615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPAATSPATTIKPGDYAHSPVHYAVVLGDHSTLSRLVASLPRPADPTRIQTEADSLAQERIAEKITAVLDRRDNPKRETPLHLAVRLNDVYAARTLAVAGADISLQNAAGWNALQEAIMRRCSDVVSILVQHHHLGAWYKWRRRLPRLVAVLRRMRDFYMEISFHFESSIVPFVGKIAPSDTYKIWKRDGNLRADTSLAGYDGLKVQRANQSFLFLGDGDRKSDIPAGSLLVLNHDDRKMYDAFENAGSPLSDSDVASFCNQTSVYRPGMDVTKSTLVGRTNWRGQDKTENVGEWKARVYDVNNVVFSFRSRKISAAESEQILPLDLELEEDSEDGFLVAENPRFSVSTAATSVGDSNKQRRHSSFTREERDYVTVSRRSVDIIPEPRRRAAAIPVAPPPNTKEKEYVKSLKPSVWLTEEFPLKTEELLPLLDILANKVKAVRRMRELLTTKFPPGTFPVKLAIPVVPTVRVVITFTKFVELQPIEQFYTPFSSPRHLLHGGDTEDESSGNNYYSLPSSLSSSSSSWLSRSNSRSCSTSKLPQESCNGAQQAEPFAIPGGYSWSSFDVKNRKMKKSKSTRRTK >Solyc11g013085.1.1 pep chromosome:SL3.0:11:5944651:5945901:1 gene:Solyc11g013085.1 transcript:Solyc11g013085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAMIPAPELRSPFRDSSRGKTFQARVLKRMVEFGFLDVEMASIAVKQHLRFNSGSLDHPDGSLVISSFSKEHSSTNTKSDGDLFSTIKRVWDWEAESKIWEVKEDMDRWATGIKRLENIDDSKFKSKLLFIIRGKRSPPTFSIDF >Solyc04g051397.1.1 pep chromosome:SL3.0:4:50460391:50462307:-1 gene:Solyc04g051397.1 transcript:Solyc04g051397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFEFDIDDFVWLEDCFYFAVHPTSETIILDDMGVRRFLFTDILGECTMTENIIVDKVIGESGATNSNEIEQSQTIESKVKKGRKKRSRAWDHFTRKTDSDGSEKAIFNYCKKEYFADTKDHGGNNGDVVVVPWKVDQEECRKALCRMVIIDELPFKFVEKEGFKQFMKVAQPCFHIPSRTTLTRDCFDLFDEEKRKLMAVFKETQQRVFCPITSHRGEDLEKPISKCLHEWGLHRIFTVTIDNSGSNGVAITELSKQLTKWGTNLMGGSHLHIRCMDHIVNLIVQDGTKEANMSIERVRRAVTYIRQSLAWK >Solyc09g055540.1.1 pep chromosome:SL3.0:9:41651937:41652646:1 gene:Solyc09g055540.1 transcript:Solyc09g055540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKFKRSDIRITEFDRSRDCFVADSKWSFLELFYNLLVVPRRHAGVAVPLQLLSVGAVVWCCRMELLELLFVVVGWSCCRRVAGLAAVRKLGGRLVAAGFFSAAGFVLLTVGWRGRERKGATGKRRRGGGWRWGFWDNRRKWRLWVVLMVVWVGEEMGEGRPVREMREEGGCGSPFFLKIFPFPLFDLLNNLNKNTKWAQMTGLAFRSKITNY >Solyc09g007560.3.1 pep chromosome:SL3.0:9:1157976:1159267:1 gene:Solyc09g007560.3 transcript:Solyc09g007560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASPLLLHSTASSFYNAEFPAYSVRLPVGNTKVRSSNKLTVKASATVLVDKSEAEKVNRLKTNYLEKIVPLLKEEFSYTNILQVPKVEKIVVNCGIGDAAQNSKGLDAAMNDLALITGQRPVKTRAKNAIATFKIREGQPLGIAVTLRGNVMYSFLDRLINLGLPRTRDFQGVNPNSFDGHGNYSIGFREQSVFPELSYDALGKPRGMDVCITTTAETDKEAHRLLALMGMPFREGSGGQTTFTKKKKLRAHHFDSKAKQRSRR >Solyc05g023837.1.1 pep chromosome:SL3.0:5:29511884:29514141:1 gene:Solyc05g023837.1 transcript:Solyc05g023837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTGGSKSMATLMNEQAIDGIEPTRAKIYILTHTKCRDGRPLDEESSSAFDEEMTLKRKNQEMLILELSWMRQVMWKYASTELSRPLNNESTTRQWEWVLSRPFIGWKFR >Solyc08g076090.3.1 pep chromosome:SL3.0:8:60253905:60258726:-1 gene:Solyc08g076090.3 transcript:Solyc08g076090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMFADVSVVLPSAAGGLKLSNAFSDSKFLLSPSFSKSSPSSSVPRLGLGLGKAQTRSWKIRATASGSSGGDLVPVAPVQLESAVGQFLAQMLQTHPHLLPAAIEQQLDNLQNERDAQLKENQSSTKDVLQKRIAEVKEKERRKILEEILYCLIVHKFVENDISMIPKISETSDPTGNVGYWPNQEQKLESVHSPDAFEMIISHITIVLGERAVGPLDSIVQMSKIKLGKLYAASIMYGYFLKRVDERYQLERSVSKPPESFQISTNPDEPAAPRQLWDPDSLIEIYPEDGDREGFAVSDIEGKPERLRSYVMYLDADTLQRYATLRSKEAVTVIEKQTQALFGRPDIKVTGDGTLDVSNDEVLSVTYSGLTMLVLEAVSFGSFLWETEGYVESKYHIINS >Solyc02g093365.1.1 pep chromosome:SL3.0:2:54894883:54904006:1 gene:Solyc02g093365.1 transcript:Solyc02g093365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNHSLSSSITFCLHFSPKPFTRFSARALVNAMAGTPENFSTDATADAANADEDVKFGFQRSEMYQSKLAGTTTSYDRHLFLCYKSHETWPARLEASDSDLLPKSLSAALKARKDDIKIKTLLTICEVRDDMEVSEGDILIFPEMIKYRDLKESDVDAFVDDVLVNGNTWSSGLQESLSGSYVFVCAHNLRDRRCGVCGPILIEEFSKLIESKSLKDKVRVTACSHIGGHKYAGNVIIFSSGKDGNIVGHWYGYVTPSDVPALLDEHIGEGKVIERLWRGQMGQYEKVTDKVDEQKVPEVTNEEKKSLENGKSQESSVTSFSCCQGAAGVSCCRDASAEQKENKKGQGTVSNWFGKWEQREILAAVGVVGAVAVVAVAYGFYKKSR >Solyc04g078630.3.1 pep chromosome:SL3.0:4:63400516:63403662:-1 gene:Solyc04g078630.3 transcript:Solyc04g078630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEWLKISFVAFSSVILVVIVITMIKRRCCTRKSDSSKGQTQNLQNGISKLHHVSVTNKYYVVKKGFNWSDHPSLVTDAVENGWSRFAFTSIAPSSSIRSSARSILGSCVGNDHMSWEICQDSSDFMQKIRFSYGNQKLNRSSKFMSVVKTSLPLPGPHLGNSSFPQESYFEITILQWNQENNVEVMGKVKEDKLDLEKIKLIREDSSNSKANSESLVHVTSSSSSSYHQKIQESKENLRSDEFIVLSIGLTSAAAGSLPLKLPGSYQGSIGFNSNGSVFLDGMKLVFESQRGEWGKAERVIGCGYNPSQKKVFFTVDSLLVHEIFCKTEEFGNPLYPILASNGDILVLVNLGQSVFKYQPANLHRTPNPCFIGPIMQNDSSILGYEDSKELFSMGRIDAQWLNRSNTRSNNNTVNSLKQVLDYDLESEGDLFEIVLDNCNSYSRSPNPL >Solyc08g066230.1.1 pep chromosome:SL3.0:8:54768898:54769744:1 gene:Solyc08g066230.1 transcript:Solyc08g066230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKGEDVYVMNVGDSGVEIEVQRISMRFHELL >Solyc05g016160.1.1.1 pep chromosome:SL3.0:5:14632540:14632719:1 gene:Solyc05g016160.1 transcript:Solyc05g016160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLAAAISYSPDDSQEVQELDINRLCFDVVVGEKKTCVWFRLSRFDTVSRSKFCQFT >Solyc09g015385.1.1 pep chromosome:SL3.0:9:8712078:8718316:1 gene:Solyc09g015385.1 transcript:Solyc09g015385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMVELSCEDDKADLVVKPTKERRVFFMANDSEYKLDPGPLESNSTQNEIAGFLPLLQVS >Solyc09g008740.1.1.1 pep chromosome:SL3.0:9:2170493:2171026:-1 gene:Solyc09g008740.1 transcript:Solyc09g008740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPIAPLPPTPPKIYRVEPNDFKDVVQMLTSSPEFQSVSNNSTSSSDTGSGSGSGSFNSRRLQDIAPPPLVLSPISLERSNDVNNNSNNNDIASQWREFLRPSSSSNINQVVETCIDSTNNEEQERSHVTLRIPSENHFGSCSPLANFPLSPASFAWCSSILLSPGTLTSPNAVL >Solyc03g043870.3.1 pep chromosome:SL3.0:3:7552349:7564500:-1 gene:Solyc03g043870.3 transcript:Solyc03g043870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGGVLAGLHRNPTCHHLLRPPRAAVNNLLSSSSFTDKRHFSPLPLKFQKQSHFASYSCNCSINDGSAFQVASSASATAELANIDWDNIGFAVMPTDYMYSMKCSQDGNFSKGELQRFGNIELSPASGILNYGQGLFEGLKAYKRHDGNILLFRPEENALRMKMGAERICMPSPSVEQFVEAVKATVLANERWIPPPGKGSLYIRPLLMGSGAILGVAPAPEYTFLIYVSPVGNYFKEGMAPINLLIETEMHRATPGGTGGVKTIGNYAAVLKAQSAAKAKGYSDVLYLDSVNNRYLEEVSSCNVFIVKGNLIATPAIKGTILPGITRKSIIDVALSQGFQVEERQVSVDELLDADEVFCTGTAVVVSPVGSITHLGKRSVQSRKDAKNWPNHHLSTAGYIQRKRRCWLNERRGIRLFPCLLGRNYKRRDCSGGLDKKGRVHILQITLDGTYPNHPPSLSADVPYLFNLKWSINSRLKDVIQQFQKHLEKLQDFWNLMDDIDHSLLVSDLRYPQRALSHRQLNISNDSYIILSIDANDPTSLPEMRDKHFSENLAHVLDIQLHGPSSIQKTDPQTECGICYAQNLPIDDELGDKSGSGTDCTCENNSCSRAFHSICLEDWLRSITTTRQSFDVLFGNCPYCSDPIAVKMNTRK >Solyc02g022938.1.1.1 pep chromosome:SL3.0:2:24976541:24976852:1 gene:Solyc02g022938.1 transcript:Solyc02g022938.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVRNEKNKLIPTRIMTEWRIFMDYRKLNDDTWKDHDIIAFFDQMLDLLARQEYYCFLDGYASYNRIFIAPEDQEKTTFTFPYNTYAFKRMPYGLYNTPATF >Solyc09g074130.2.1 pep chromosome:SL3.0:9:66295571:66300196:1 gene:Solyc09g074130.2 transcript:Solyc09g074130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLIEKVVISFEANGPEAFREDNIPKNNIVVLFSGNIVLGVMSILQEEHASINIELSLTMMVSHNSITLVHQKKIWSIPYFGRRTYLTTRVDNQANFFKERVYYSSNKHSKKNVNMVDDNICDDADENSLAISDKEKGSTNKRKFESKIPLGTSSDSHGSSLTEFQRFDLLEKPKKGVTLSEIDPLTSGCPQYEAEQEVENAPNIDSEDMSACCSFVLDKKLDSSSSGETKKSSHSKMKILVKCNQPLAKESFDSQCLSSPIAPASDTSKVPPIKDNINEKDMDSLSIEPKSGKEVPDNTIISSAVQDYYVGIPYDESLGKYVPRNERDETILFLTSHLKTLQEELQRWSDWANEKVMQATWRLGKDQAELKRMRQEKEDAKNVHQENNMLKETTMRRIMEMEQALVNTNSMGDTINSLLNTLEMDNVGLKKDLEAIMLSTGKHALNVNNSFAKEQEALKKCQAADMEKRSFEEDLSAIKQEKTSMQQQQEKANKVLHQYKDLFKHEESVKQRFQRQADCLKNEREQLRDKGKLQRHNFREKVERNKQKYKEEIQKCESEISQLRFQSERSITEALKRGIPQLTKGLSTYAESSDSNVVKMERECVMCMHEHISVVFLPCAHQVLCKDCNVLLQKKGMDECPSCRTPIKERISVHFPDSE >Solyc11g032072.1.1 pep chromosome:SL3.0:11:24784864:24785547:-1 gene:Solyc11g032072.1 transcript:Solyc11g032072.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLSIPISSVASECAFSTGGRILDSFRSSLTPKLVQAPVCLQDWLLSEPQPISIEEDLDFLEQLEEDFIMPQLHGSNA >Solyc11g010660.2.1 pep chromosome:SL3.0:11:3707262:3711617:-1 gene:Solyc11g010660.2 transcript:Solyc11g010660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Suppressor-like protein [Source:UniProtKB/TrEMBL;Acc:Q949K3] MAEQDPYANIFSQKNSRLADDTVFFSIYPDFTLNPTSPPTSITSQLQSLHLQILQNLSPFTSNYIWQHEPFTLTLSPGPTPPHLSGKLRYGDNLEDEWFVVFLLFETSKRFPSVSIRVWDSDGEFLLIETAFYLPRWVNPDTAMNRVFIRGGFLHIIPNSVIPTTPSIHEALNLLRNVSSTRAPEGVQRQLENRLKEYPNRAEKNVHKVRVRVPLSVAKVLKHEPCLISLAVEGFYDRDIDTMKFAAEMERFLGNGGSGEELVRVLVTMSRAMYAQLVQQTFQAPKCYPALPPRSDVGAYMEAELGMKIACGFEMIYQLKKRQGMDGKGSTWDAFRLSLERSGYFEGLLPGSKEYKRLMKNAEEYYKNSSLHARESATLSAPVRRIDEILALPDSADDFKDQEIPPSDDDSWLYGGEDELNSVLQERQKEMELYNSKRKQKSKEQDGPSNHSDNFDLKDISNSMQAFVTKVASYEGAEVPEDRNVKEVDFDVDHFMKDLESFMRGQGNEDIGRDVDIEEGSSSDMEFDESEDESDIAEPHDDNDEGGAAFMHSYSDTLNDELKGTTLSNTFVRANGESESIKKDEGTSTAAENMEEEDFSPVDVDFNLVKNFLDSFSSQDGLPGPASNLLGLMGLQLPPDASKGK >Solyc01g106105.1.1 pep chromosome:SL3.0:1:93982411:93982781:1 gene:Solyc01g106105.1 transcript:Solyc01g106105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTNAIGFCNKFTGYFSGSRAVPSVYSKHFTEYFVESNGEILLIFLISIRKVDKVEVMNLQMDGTNCCISVNASELDCRSNCIYFNEFATWKFYELGI >Solyc03g096304.1.1 pep chromosome:SL3.0:3:59798405:59799138:-1 gene:Solyc03g096304.1 transcript:Solyc03g096304.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFTPQSEEEKEYSHEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGFVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc01g066517.1.1 pep chromosome:SL3.0:1:74314982:74315996:-1 gene:Solyc01g066517.1 transcript:Solyc01g066517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLCTILVFFISHQLSLSEDSTVPSFAFSWVNNNDTFMAGSVATIIVKVIGNFDPAQLKHPFNPNISVNDRMGNSSYISGVSSNFGDNFGDWRISFIPIRTGLFNVLITDNHFNVFDSSLHFHVTPGFFIREI >Solyc02g031900.2.1 pep chromosome:SL3.0:2:27631888:27643071:-1 gene:Solyc02g031900.2 transcript:Solyc02g031900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAYSEGSQQIIESVNKLNDGNVAPQTEHFVPSGRYGNVAPQTEHFIPSGRSFSDNQHATADKGVKLQESQELSVSAREVDTKVGGELSEANYKPELKPATYAEKVKSGLSDPILSNNIQSESASRKTELHWGDASSHGAEGNKEAEQLHSLAEKECQVGAAVSTGIPSGTVGTLEHGSILFDINDCFPRDFLADIFSKAKLMDASPIPAPLYNDGTGLSLNMENHEPKNWSFFQKIAQGDFDRRNVSLMDQDHLCVSSTRANVDDGVSMDYGYPPFKGDGPMIDHMDSQLNIEAEFQQASPEIVVPDTMDLPSGYNPSQTADVQSMQYDVELSSKVPESGYQDENQGAQNAGFPLTNLPLGDFDPSTLQIISNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKTCFTGRSSEQERLTEFWREAEILSKLHHPNVVAFYGVVKDGPGGTLATVAEFMVNGSLRHVLLCKDRHLDRRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPSRPICKVADFGLSKIKRNTLVTGGVRGTLPWMAPELLNGGSNKVSEKMVQLFLVLVPIPANLLFSKPFNISSLEINAGGIVNNTLRPPVPSFCDTEWRMLMEQCWAPDPAIRPSFTEIARRLRTMAAACPTRPQAHPPK >Solyc05g055110.3.1 pep chromosome:SL3.0:5:65757801:65766184:1 gene:Solyc05g055110.3 transcript:Solyc05g055110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGDGRPASSSAYEGGGAGGKFRRRPFRKNQTTPYDRPPNDLRNPSWLTKLVVDPATKLITSGARRFFSSIFQKRLTPAPTPLPLPPMPLPPPPGSDLHISNDIASNLVGLSMLRNEADHAGPVVATGHEVCKVACSSEDGAFSEFEQLLKQKTFSRDEIDRLTELLRSKAVDTPAGNDKGAVATPVTSSRVPKGNVASAAELAKAYMDTRPSKVSPTILSSQSQVVRVDTPVLKSVAYSQNLPIAPVTTKTAGLVGVRANGFTPPRSRGRSAIYHMARTPYSRLRLTDGQMASSSTHNAYSGPSLSESVLEHDGYFGSKQPLKRRSSALEDDIGSVGPIRRTRQKPNLLSHGISRPNLGGVASAAADVPTRYAHISSDPSETAAKILEHLENLTPKEKSSESRLTAGSDKTPKKLSPNMLRGQALKSLESLDSPKLLQSAQDSHKLENWSEVIPTNDHDSSLQKQGVIEQHRQNKSINRPTVVPKKNEKNSFEDAQPGVETADSLDKKSSVQPQKKHAFRMSALEDSFEMDEDINFDNPASQLAEGRDKMGISGAEKKSLSTDEALNKKPAALSETNATLGILNKRNDMKAPDAALISISSPSFLSSSDSQSPEVVAPSFGLNKSKESSGDKVPALLFSSSFPLSGLKPESSSSLSNPAFGLAGASLELFESDNSQKDGKSNEKSEPLSSGLSPSPLFAAPSSTSTFSNGQFAPSPAISAFSLLDSSNSPKDVQSYSSSEVAHSTSISAAVGGGLFGFAAASSVSTEPLIKSGPSEVPSMVSKLLTASTADNADLQTKAANSDNLSSSSPFAGSSFASTSPGNSIFGFSSSGMSTVTTASDQSQSSVFSTGAQSLVSAQTSLTGSDNTRVSQSVPAHFGSSTTSPVVGNSRMTSFSSVGSASSNTGIVSAAASDSNPVGSSAAAVGNFGFGASSSTSSTLSSSVGPSSGTSQLSFTFGASPAVHAATTALATSSNATSAIFSFGNDSSSSLANAVDTSTRPSPSTFNFGGSSSASSLKSVGMSNSAAPGIFSFGGGSSASSTNAVGTSTNATPVFSFGGGSSAPSTNTISTSTNATPGVFSFGGGSSASSTNTVSTSTNATPGVFSLGGGSSASSTNTVSTSTNATPGVFSFGGGSSASSTNTVSTSTNATPGVFSFGGNSLASPTNTVSTSTSATTGIFNFGASSSVLSTNTVSTSTSAAPGVFSFGASSSVPSTNTVSTSTSAAPGVFSFGASSSVLSTNAVNASSTVSPSPFAFGASSTSSQTSSAAGILGSNWQAPKSPGFSSPFSSATPTAFAFGASSSSFTPPATTAAVFGSAPSTPTGPAFPFGSTSLTNPSTQSIFGNSTSPFTASPGNNNQMNMEDSMAEDTMHASSPAVAFGQPSVSPTPGGFMFGSTPNPFQFGGQQNQAAAAAQNPCPFAASSSLGAGGSFSLGSNGPDKSGRKIVKINRNKNRRK >Solyc02g014547.1.1 pep chromosome:SL3.0:2:17625657:17629691:-1 gene:Solyc02g014547.1 transcript:Solyc02g014547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGGNGHTGVGAHSHGHVGLYGSHNCSGSNIRADMCAHGCGSTDSYSYGGGGINTAFTGNQLNHSNSYNYPSTNTAGIFNCSVIHNSSSHRWIVYTGAKNHMTSTPNLLCETQLLASTEFNKVHFPNGQQIPIVFSWKSIYVDDLLIADSCSQFIQATKLMLKNHFKIKDLGEMIYLLGLEIARNKDGIMVSQRNFSLDLICDFSLARTKPISTPLEVNQKFTSQDFDINCEAQDTHEDVVLSDPTCYQKLVAEHRSMANVVSEVVWLIGLYKELKKELELPGACLRIGFSSYSDLAGLVEGRGNGRSTPPLDSFSGGGRIAR >Solyc08g078753.1.1 pep chromosome:SL3.0:8:62606948:62613092:-1 gene:Solyc08g078753.1 transcript:Solyc08g078753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSNFYKNPSYAYNKAFNLNSAIQNLQAYNAVTGNGPPPVETNSVEEKTSHRKRRRERKSKVQQNNEVEVIDLPMSHQDYIEKRRKEGSSTQPYEELTAEVLVIGTEPSSSALHLVEYDSDASTSSECEEGDDPYPNTEILLVKGSGNETDRVKTRSEQRFPLPGEPACVVCGKYGEYICDETEEDICSTDCKSDLLQNLKLQQGGLSSKVKAESFSGQKCKLEVPESGGNIWDHDRHRWSKNRSALCTYECWKCKRPGHLPEDCLITASKVLPVSSGQVGESSEQQPPPSSLTEGKLKKERDIVRVCLFFTCVVCLDLHFVCEYEYSPYTVLSLPVNSKLLALLLAFMQRNTHAIKLAKAHWMLIVTLAEDQLL >Solyc03g078020.3.1 pep chromosome:SL3.0:3:50378906:50379561:1 gene:Solyc03g078020.3 transcript:Solyc03g078020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVGSKELKSVISDCQDDKDMQQMASEELSEATEGEKKFQFLLLKSLLPKDDADERDCILEVRAGTGGEEASLFTL >Solyc09g075420.3.1 pep chromosome:SL3.0:9:67552798:67553701:1 gene:Solyc09g075420.3 transcript:Solyc09g075420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene responsive factor 2 [Source:UniProtKB/TrEMBL;Acc:Q6RJ36] MCGGAILADIIPPRDRRLSSTDLWPTDFWPISTQNVPLNPKRARPSTGGEQMKKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDDHYCYSHPEPPPLNIACDTTVTYNQESNNCYPFYSIENVEPVMEFASYNGIEDGGEEMVKNLNNRVVEEEEKTEDEVQILSDELMAYESLMKFYEIPYVDGQSVAATVNPAAETAVGGGSMELWSFDDVSRLQPSYNVV >Solyc05g006145.1.1.1 pep chromosome:SL3.0:5:846031:846564:1 gene:Solyc05g006145.1 transcript:Solyc05g006145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLTQKQIEEIAYALLGSNMDFLWVVRDIEKCKLPKGFIESTIGKGLIVSWCNQLETLANQAIGCFVTHCGWNSTLEGLSLGVPMVAMPQFSDQMTDAKFIDEIWEIGVRPKLDDMLGIVKREELLFCLKEVMEGEKSDEIRKNATKWRDLAKKTVSEGGSSDKAINEFVESLNLV >Solyc04g050955.1.1 pep chromosome:SL3.0:4:49014602:49016970:1 gene:Solyc04g050955.1 transcript:Solyc04g050955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKNYSLHFQNRECVVSDPSGVELFYVKMSNRIFSVDWEKIIEQAYTITSQTCTNLWHKWFGHFNLRSIAEMEKKELVKNMPEFLFNAQICETCQQGKQTKLPFQANQELASSEFFPTSVEVTMNLIGYSDSDWGGGVDDSKSTSGYLFCLGTIGALENKKLQLNSQQKLST >Solyc03g007690.1.1.1 pep chromosome:SL3.0:3:2213493:2215286:-1 gene:Solyc03g007690.1 transcript:Solyc03g007690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESSPTPPSPPLKTYKLTASSIGYSKSTTISPALLFKPCETPPPINILKNISFTAYPSQILGIVGPSGAGKSTLLDILAAGTSPTSGTLLLNSLPLHSPSTFRKLSAYVPQHDCSLPQLTVSETFAFSARLLNPKLNDVSCIVDSLLAELRLTHLAHTRLAHGLSGGERRRVSIGLSLLHDPAILLLDEPTSGLDSNSALNVMQTLRSITDSRQRTVLLSIHQPSFKILATIDKILLLSKGTVVHHGTLSSLEEFLLENGFTVPPQLNSLEYAMEMLSQLNDKKPITPISSPHQNPTTTPVPEASKTGEIKYRSSRLHEIAVLYSRFWKIIYRTKQLLLTNTLQALGVGLVLGTIYINIGFGKAGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGVYRLSSYLIANTLVFLPYLLVIAILYSISLYFLVGLCYSWQAFTYFVLTIWVIVLMANSFVLFLSSVAPNYIAGTSLVTLLLAGSFLFSGYFITKETMPKFWTMLHYLSMYKYGLDALLINEYSCLVAKCLIWYDEKNRVCMVSGSDVLDKRGLHENERWTNIYILIGFFVFYRLLWLIVLIRRVSRSKK >Solyc09g083090.3.1.1 pep chromosome:SL3.0:9:69190167:69191471:1 gene:Solyc09g083090.3 transcript:Solyc09g083090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKQPQPPPFTVKIRRTTSSDGSGSGSIILGKYQLVRLLGRGSFAKVYLGRCLDDNTEVAVKVIDKSSTAIDASMEPRIIREVSAMRRLNHHPNILELFEVMATKTKIYFVMELAHGGELFTKLNRRGRFSESTARFYFHHLVSALHFCHQNGVAHRDIKPQNLLLDKEGHLKISDFGLSALPEQLQNGLLYTACGTPAYTAPEVVYRRGYDGAKADAWSCGVILFVFLAGSLPFDDSNLPNMVKAIHRREYKFPDWVSKSAQRIINRLLDPNPETRYGIVELMNTPWFKKSSSMKPEQSTKRFGEGILEKESKQMESINAFDLILMCSGLDLSSIFEEELNKKEMRFTTNVEVKVIEEKVMNVGINAGYRVEKRKNGGIGLVKGRSVLLVEILELAKELLLVEFKVVNGGSEFEDRQWEELKAGLKEVVVSW >Solyc03g121980.3.1 pep chromosome:SL3.0:3:71472784:71481229:-1 gene:Solyc03g121980.3 transcript:Solyc03g121980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSADIVLMVLDASKSEGHRQILTKELEAVGLRLNKKPPQIYFKKKKTGGISFNSTLHLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVVGIDDVDRLARQPNSVVISCNMKLNLDRLLAKMWEAMGLVRVYTKPQGQQPDFTDPVVLSADRGGCTVEDFCNHIHRSLVKDVKYVLVWGTSARHSPQHCGLAQMLEDEDVVQIVKKKEKEDGGGRGRFKSHSNAPSRISDREKKAPLKT >Solyc02g090850.3.1 pep chromosome:SL3.0:2:52957670:52966033:1 gene:Solyc02g090850.3 transcript:Solyc02g090850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHEARRSEKKVHDMMDAARKRAQRRAIYLAKRRGDPQQSIQAIGSRCRIYRDDALYQATEDQQGMIPWNGKQDILIDRFDGRALLDFIRDPSSRHRRAPERTEEEEELEEFVNFQRYRDLIKHRRRGFKDEEGMQHVNQEMEAKTTALLGSDRPQLSQPPVSKGSYSQVGFSYDGEGKDEAHFSDGEEEDEDDDDDEEEEDFNSDDSNDEVMESIAKDYGVKRYGWLVYTDKKAKEEERRQREVIKGDPAIRKLSRKERRKASQLEREREREAARITGSRVLHHDPYRESRRSPTYEAYSRSRRSRSRSLSYSPPHSRRYERGGKSDDIYQGKERTPKIEYITEFGGADDENKPKVEGYSPPPSPPPRTDPLSRPSTGRILEALHVDPASGVSIDKDKSGQMLKTPTSASSALSKLNKTTSSSSLSKQQGEKKETPQERLKRIMSKQLNKQIKKDTAIETAKKREQEKQRLEKLAETSRVSRYRRRSRSRSYSRSPRRHRRSRSPSRERSSRRYRSRSRSGSRSRTHSCSHSPSHSHSSSRSLSRSISRSRSPRRRRSRY >Solyc09g055510.1.1.1 pep chromosome:SL3.0:9:41640290:41640664:1 gene:Solyc09g055510.1 transcript:Solyc09g055510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSPTLLASLQYASWGVALSPLVSSLQLALSSSLLDGEEESGMGRREKGGEEAAGDGFLGSDLVVFWWCLAYVGLVLRSAADNRRKWRLWVVLMVVWVGEEMGEGQPVSEMREDGGCGSPFY >Solyc10g050620.1.1.1 pep chromosome:SL3.0:10:50095065:50095286:1 gene:Solyc10g050620.1 transcript:Solyc10g050620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAGSSQDASFLDEDWNEDADSDPATSKSQPPPSSNSLAADMVAVKRFVDSASASPNTTFSFPGHSRTPSRA >Solyc04g077070.1.1 pep chromosome:SL3.0:4:62115124:62115859:1 gene:Solyc04g077070.1 transcript:Solyc04g077070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSSNNVDESQNLSLDSSNESGSSSRIVMATPNELVPPKWAGTKLLSEEGRIYQKIKDGLPPIMKNRVTITAIHLCMRRVPVEESRFEVYLENVKKVTRARGRRVDSSGWYGTSAKNVDSLMRRGFEMNSIMPASYPHGVGIYLSHFLSPQISDMMSDIDENGEKHIVLCQFILGNSEKVELGSKQLFPSSANFDTGVDELTNPKVYVVWCGVAI >Solyc04g078620.3.1 pep chromosome:SL3.0:4:63394517:63398792:1 gene:Solyc04g078620.3 transcript:Solyc04g078620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGIARGRLTEERKAWRKNHPHGFVAKPETGPDGSVNLMVWQCSIPGKPSTDWEGGHYPVTMHFSEDYPSKPPKCKFPAGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPDDPAQTDGYQLYMQDEFEYKKRVKQQAKQYPALL >Solyc06g011297.1.1 pep chromosome:SL3.0:6:6479454:6479963:-1 gene:Solyc06g011297.1 transcript:Solyc06g011297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLGKLKCFLGIEVTQFGHGIAITQRKYVLDILKDSLQSRNCIRHYIKSSPRKDLLYEDKRHTNVVKYLDAPWAGSPSDRRSTSGYCILIGLNLRSWKSKKQVGISR >Solyc09g089990.3.1 pep chromosome:SL3.0:9:70052718:70054052:-1 gene:Solyc09g089990.3 transcript:Solyc09g089990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCVLTIIIVTFGLLFSFGSSFDPNPLQDFCVAATDSNDAVFVNGKFCKDPKLATADDFFLTGLNVSGNPLPGLGSFVNLVDINRLAGLNTLGISLIRIDFAPYGLIPPHTHPRGTEIIVVLEGTLYVGFLAPDSSNPLKTKSFTKIMNKGDVFVFPQGLIHFQYNIGDTNAIVFGALNSQNAGLIVVGSELFGTNPPLVDDVLSKAFQLDKKMVIKLQGLFS >Solyc10g048093.1.1.1 pep chromosome:SL3.0:10:43978713:43979159:-1 gene:Solyc10g048093.1 transcript:Solyc10g048093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYLIITCAIVYRMRYYGGKFDRQIVVSKALRNLTTKFEHVVSAISEYNDLSIYTFDELMLSLLSHEDRLNRSHDKVQKKAFQVKGEFSYKGKLENSTCRGHGRRNIRGQGRGGGRGRNQLVQSRQYKSTIQSRYCKKLAIKKLIAG >Solyc06g064440.3.1 pep chromosome:SL3.0:6:40240522:40244345:-1 gene:Solyc06g064440.3 transcript:Solyc06g064440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGKGYSDPHQESSNNYHNASSNCCSEALAQLKLYQAFIFSVPILFAFILLLLFYLFYLRRQRVDWSSLRMRTSTFHTANESDELSRCEMGLKKEVREMLPIIVFKESFSVKDTQCSVCLGDYLADDKLQQIPACGHTFHMICIDHWLAAHNTCPLCRQSILAPTNACTEIPDTSSETTESTSSEQDADETSHQSSSECCGDSQVGQSNSEPEPREETEHRSSNEEESDNVNHDIASTNRSYDTMQDPEETEHRLSNEDKSDKIDHDSISRNRSHDTTLEPSDSV >Solyc12g015680.1.1.1 pep chromosome:SL3.0:12:5665010:5665603:1 gene:Solyc12g015680.1 transcript:Solyc12g015680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNLLSTTITLKHSPFLLLKPSTKLRNPKRPTIRAQSKNDDSVDGPDRLISAITYFYPFFDGVQYGKYVITQFAPIQTLIQPLVPAIRVFKSFPLNGLLVFFTLYFIVVRNPNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPSDGFGLDLMMSFDSTVFLFLLVSLVYGTSSCLLGQLPRLPIVAEAADRQVL >Solyc11g045435.1.1 pep chromosome:SL3.0:11:30833127:30833683:1 gene:Solyc11g045435.1 transcript:Solyc11g045435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIDVAQSVHGIVISQKKYALDIFEDADWAGSPSDRRSTSGYCVLIRGNLISWKSKKQVVIARSSAEAESRAMELTTCEIIWLR >Solyc01g095060.3.1 pep chromosome:SL3.0:1:86298261:86301305:-1 gene:Solyc01g095060.3 transcript:Solyc01g095060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEFQHPTPFPSRKKASSKFDVNFPTEPNNPINLSDFEENTGSFIGFTKSYRSISSLLATKKIITDSELDVMSQKEKLDMAFTQKTCTMVKMVNSPGSMLE >Solyc06g075940.1.1 pep chromosome:SL3.0:6:47288860:47289914:1 gene:Solyc06g075940.1 transcript:Solyc06g075940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFGVSDHLINIRDCILCCLCERRVAYIEDFIPNADDLLYGGFFNRLELLINQSGETLRDTVFGVAKEQVPNEPNLGANDQNADQAKGVNQQVPYERHLGANDQNAKQHGYSNEQNTDEQDLGANDQNAEQHGDAKEQFTNKEDLGANDQNVEQDGGNNDQERDANEHNHGQHRGRPVKRMKMSI >Solyc12g077370.2.1.1 pep chromosome:SL3.0:12:21280956:21282419:-1 gene:Solyc12g077370.2 transcript:Solyc12g077370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKILFGKYETGRQLGKGTFAKVFHATNLVTGENVAIKVIKKEIVKSQAMMEQIKREISVMRLVRHPNIVELKEVMATKTKIFIVMEYVKGGELFAKVAKGRLKEDIARKYFQQLISALEFCHSCGVSHRDVKPENLLLDENENLKVTDFGLSALPEQLLNDGLLYTQCGTPAYIAPEIIRNKGYNGGKADLWSCGVVLYVLLAGCLPFQDGNLVNLYRKIFKAEYRFPPWLSTEAKRLISQILIPNPQKRISIAGIMKNRWFIKDFKMPIYNGNISSSSLLIDHEHDTISMLDKFGRSDENLKHGGMIKSPSSPALLNAFELISSMSAGANLSSLFENKKKTESIFTSRCSATIIMAKIQLLARKLNFKVARVNGSTLRLQGSCDSRPKARLLVNIEVFKVAPEVAVVKFSKISGDSQEYTKFLEEEVKPNLKDIVLTWHVEQALSDICEKEDCKIPVKCASCKDLTHNKLQDIDDITANLSSSV >Solyc02g030215.1.1 pep chromosome:SL3.0:2:25806383:25809351:1 gene:Solyc02g030215.1 transcript:Solyc02g030215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNTLKELAICSTSLKELVTCTSLPPVPCSNVSKEHVTCNSASKEHVTRNTLLQHVSCNILKERAICNSDVMENVQHVGPSVADIDFEPAGGRQRPRLSLWCP >Solyc04g071350.3.1 pep chromosome:SL3.0:4:58358256:58384408:1 gene:Solyc04g071350.3 transcript:Solyc04g071350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDTDDEDELLQIALQEQAQRNINYQKPSKQPSKPVRNFVQPPSQPNLRVAAGATSERKNPNIAAAMQKTSNKSSSNQRKTVEDDDDSEIEMLSISSGDEDSSKDRGFGSRNRVVSGGGRAGREDDGLWDGGEPDSWKRVDESELRRRVREMREARVVATTQKPEQEKTAVPKKDLNNLQSFPRGMECVDPLKLGIVDNRTLRLISENISSSPSIGDRDHLDPNVRERLNYFSEKFDPKLFLCRIHQDTSASELESGALAVKTDLKGRTLQRKQLVKENFDCFVSCKTTIDDIESKLRRIEEDPEGSGTSHLFNCIEGVSSIANRAFGPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRHSISTGEYDLAVREYRKAKSIVLPSHVGILKRVLEEVERVMQEFKGKLYKSLEDPQIDLTNLENNVRLLLELEPESDPVWHYLNIQNHRIRGLLEKCTLDHEARTENFRTEMRERALSDAKWRHIQQDLNNTPDAAYSDSIENTYLMGDSQQVEFTGEKVDALRGSYIRRLTAVIIYHVPVFWRVAVAVLSEKFAKSSQVSSDSNVSASANKREEKAGDGKYSNHSLDEVAGMVRSTISAYESKVQNAFGDLEESNILGPYMSAAIKEITKACQAFEAKESAPSTAVAALRTLQCEVSKVYILRLCSWMRSTVEEISKDESWVAVSILQRNRSPYTISSLPLAFRSIITSAMDQINVMIESLQNEAMKSEEIYVQLQGIQESVRLALLNCLLNFAGHLEQIGGQRNLNKSNRESPYFQNGYLEVEEKTSEPLPGSIVDPQLQLLMVLSNIGYCKDELARELYCKYKEIWMQNRSKDEEDSDIRELVISFARLEEKVLEQYTFAKTNLIRTAAINYFLDGGVQWGAAPAVTGVRDAAVELLHTLVAVHAEVFAGCKPLLEKTLGILVEGLIDTFLSLFHENQDRDLRALDANGFCQLMLELDYFETILNPYFTHEARESFKTLQGALLDKATECVADSTETPTHNRRPTRGSDDVFLDDRQQGMTVSPDDLIALAQQYSSELLQSELERTRLNTACFVESTPLDSVPESAKAAHASLRGSVDSPSRNYRGSQHIGSPSFSRPRRR >Solyc11g069140.2.1 pep chromosome:SL3.0:11:54036094:54043988:1 gene:Solyc11g069140.2 transcript:Solyc11g069140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSYSWKGTIAKGTKHVVRLFGEVQMKQHKRRTVRRIMTVNKRDVRKL >Solyc01g099130.3.1 pep chromosome:SL3.0:1:89323738:89327331:1 gene:Solyc01g099130.3 transcript:Solyc01g099130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCCDDDEQLLQPLNPSEIQNSDQIPPSASSTTVEHDGEAVISPMNSHFSALICHDTLRAILEKLALPDLARAACVNRIWNFVASDRELQTKAFKDPWKIKDVIGDPSSGSFWRDNSLSKFAISHRIVRGDSVASLAVKYSVHVMGIKRLNNMMSDHGIYSRERLLIPVSNPDCLINGTCYIELDIYAKREVAVLYLDGGPDPKLTTMLNRLTSERSKKRVIDSLRRSMQVDGETAQYYLAVSDGDPRSAFSQFSEDLRWEREAGLT >Solyc05g050860.1.1.1 pep chromosome:SL3.0:5:61928009:61929454:1 gene:Solyc05g050860.1 transcript:Solyc05g050860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACIDQEQQQQQPIYKHYCRVCKKGFVCGRALGGHMRAHGIGDEGANIDDDDPASDWEDKFGGGVKGGNKRLYQLRTNPNRQKSNRVCENCGKEFSSWKSFLEHGKCSSEDAESLVSSPCLEGEDYINNGGRKGYGWSKRKRSLRTKIGTINSTTSTYPSSEDEDLVLAKCLIDLANAKVDLSLVEPEESCASASKEEERARNPMNYLTPLVRPFDNKAKGISNKGLFECKACKKVFNSHQALGGHRASHKKVKGCYAAKQDQLDDSLIDDNDMNITQDDQFTLQGSKSMRKSKIHECSICHRVFSTGQALGGHKRCHWITSNSPDSTSKFNFNGHMDQINLRSNLRKSSDTLDLNIPISHDDMSRIRRDPMNPLSFEVSTEIHLHPWSSNPNEAKEHSCSENYYLDETINNNNNNNNNNNNNENNNIINGTIQNVEDDEADSKLKLAKLSELKDMNKNSENPSHWLQVGIGPTTQVGADP >Solyc09g010060.3.1 pep chromosome:SL3.0:9:3460444:3468374:1 gene:Solyc09g010060.3 transcript:Solyc09g010060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKEKGVNVQVLLRCRPFSNDELRSNAPQVVTCNEFQREVAVSQNIAGKHIDRIFTFDKVFGPSAQQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPQGAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEDLCKVALEDRQKKQLPLMEDGKGGVLVRGLEEEIVTSANEIFTLIERGSSKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKSTLIKDLYGEIERLKGEVYAAREKNGVYIPKERYYQEESERKAMSDQIEQMGVSIENQQKQLEELQSRHDCQVQQCSDLTCKLDATQKQLNQTSKLLAYTEEQLRQNLYTLKERDFIISEQKKAENALAHQACVLRADLEKSIQENASLFQKIAREDKLSTDNRSVVDNYQTELAKQLGSLSSTVATSVSRQNEHLHHVEKICHNFLASHEKAALDLTNKINSSKALYISHFEAMQNVVKLHKATSNAALEEISTLASSNSISTKEFLDAESVETNLIFDELQNTLSTHQGEMANFARELRQRFNDSTEHLTNISEIIQGFFDKLLEESKRLERHSTTADEIQTKSIAEFEKAYEEQSRSDAEKLIAEVTSLVSNHMRRQKELVGARLGDLRETVSGNKTFLDGHVSSMEGITTDTKRKWQDFYTQAEGETKENADFSAAKHCRMELLMQKCVSTSETTVKRWQSTHELAKDMCNQHVSTMHSVVRNLCDSNEQHVIEFDSTREAAEEAVKRNSEDIIKSIDGLSGEERGSISGILDTASAHSETLDELKKDHCTQSTSIEQKAIETFQQKFMDYEPTGTTPIRSEPDVPSKGTIESLRAMPMETLLEEFRENNSLESFQVKELKPSLIPRSPLSLINN >Solyc10g083120.2.1 pep chromosome:SL3.0:10:63109666:63121301:-1 gene:Solyc10g083120.2 transcript:Solyc10g083120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGNGSRLDLKLNLSPPPRVNHVGPQVESPTRSLTMSPTNSCVSSDNSSGGQRGSNSPEAVTSMLLEKYGDLGKLSRREYYVSVGLFKNDIAMFLWAPSELHADLPFTSTWELEPSATLWILVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHETGVVEPKKFALLANWQREYTMEDILVQLKKEMASPHNRKLVQPPEGTYF >Solyc03g081260.3.1 pep chromosome:SL3.0:3:53580671:53588574:-1 gene:Solyc03g081260.3 transcript:Solyc03g081260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPHISGIVALLKSLHPHWSPAAIKSALVTTALPISVRGIRFEGPSSAYCSMIAPILRMPSGISPLQSPGSATPGESSYQANPFLALNCESRSSQKFHSQSDQNNSDSSAYNEGKRGHMSEPTTDCERFPSATDQTINSICCNGDLNHVHLSYGSNGNISLSPGKTPAEYWKEESLHTTDGNSQRSQREAALTKFRMKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRHVPSESSPGVLNSCSSSVVYFYMFTILKVHIVYMGRRQHDDVELATSAHHQLLTSVMGSQKAARDSIIYSYKHGFSGFAARLTKSQAKKIAELPDVVHVVPNHFFKLHTRRSWDYLGLSESSPPTNLLHEANMGDGIIIGVLDTGIWPESEAFNDKGLGPIPSRWKGHCQSGDKFDPATACNRKLIGSKYYLKGFEAAAGRPAIKDPDFLRFDIASPRDRDGHDTHTSSTAGGSFTPNASYHRLGYGTVKGGAPKARIAMYKVCWNWLIGGCTFADTMMAIDEAIHDGVDILSISLGLEIPLYADIDMRNGIAFASFHAVSKGITVICSGGNEGPYPQTVVNISPWILTVAASSIDRSFPTLITLGNNQTFSGQSMYTGKETGFIGIAHQEISELEDTRFCNNLNTNDTWAAGKVVLCFIVKGDELYLPFTQQVVQEVGGLGLIVAKNPTRDLNYLTFDFPCIEVNFDVGSQLLNYIRYSRKPQVKLNPTRTHVGQPVSTHLASFSSRGPNSVAPAILKPDIAAPGVNILAAVLPADTPYRFESGTSMAAPHVSGIVALLKSLHPHWSPAAIKSALVTTAWVTDPHSGEPVISEGNPNKLADPFDFGGGLVNTNGAKDPGLVYDMGTFDYILYLCSMGYNNSAISMLIDQAASCPIKRPSILDVNLPSLTIPSLRKKVRRTVTNVGPVNSKYEAIIEPPLGITIKVKPETLIFNSSTKKISFTITISTSHKYTTYYYFGSLTWTDGMHRVRSPTSVRNEFPELNG >Solyc05g025927.1.1 pep chromosome:SL3.0:5:38118165:38118460:1 gene:Solyc05g025927.1 transcript:Solyc05g025927.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVCAIQTILSQKHTLHDLFGSQDIGAFAYRVVVTALYLTLTHPNISYIVNLLCQFIF >Solyc05g054530.1.1.1 pep chromosome:SL3.0:5:65281796:65282701:-1 gene:Solyc05g054530.1 transcript:Solyc05g054530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLSSVGLALSVVFGCLLLALIAELYYLLWWKNRILKTNLEDGYSNSSKAREFCFMFCGKPSTTSLTPPSNALKSQEICSSDTQLVHEPTQLQLGSNVDSSSDFWFKPFGDDTMDDEFMGLCGPPRFLFTIKEETKEDLESEDGKSKSRKGSRTRSLSDLCLNVETPFLTPLASPSCFTPPLSPIVMSKNGFSFNPFLESASDAEFNKFIRSNSSPPPTFQFLRDAEDKFCRRFDQNLEVSSSSKLSKDHEENSGPFITLIFPKNREVQLDQHHVQHSSSSSQVLPLASSPPIQQVNQQE >Solyc04g071560.3.1 pep chromosome:SL3.0:4:58621459:58625317:1 gene:Solyc04g071560.3 transcript:Solyc04g071560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSPAILKNRRGGGLGFAAIAYIGVDYLRFISPAWHDRLQPTLWTILAIAAIIRVPFYKHWSSELRSAIPFIFAMLFLLSALLFEAISVRSVTAVLGLEWNRLLELGNGRLFGFFKLGTSLTEFKMSRQSLETNRGSGGKPGSDASPLPDVGQWLVLSLNEKLPQTVVNILRARIIGLHHFLMLFIMLAFSVLFESVEAPGLGLGARFMFTMGIGRLLRAITFISTILPSARPWCASARFLVPQHPHPWAQKYYVPYATDSNAIRNVINWDTAYADPGEYDPEFRPDWGSMSFLIDFLRPTAPEGSSAWYHLLKKASGGCNDLIYSGHMLVAVLTAMAWTEAYGGYSSALIWIFVIHSAQREIRERHHYSVDVVVAIYVGIMLWKMTGLFWPMKDRSKATRLRRLEKIQGRLMRAAKDDDIERIRELLKEVEVSSHVRENSSSKAMWLFSGGTIIFTLCMVLLAFTLTSDG >Solyc03g097490.3.1 pep chromosome:SL3.0:3:61260054:61265346:1 gene:Solyc03g097490.3 transcript:Solyc03g097490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSMASEKETLDDKKPEEVIEEQQPKDNDKEKEEESMQVDKKDVESEEEKEESEEEDEEKEEEKPVKKKGKSRGESTEEPVTPITRPTRERKTVERYSESSEARGSTPKPLSIRKGSGTQLKDIPNVAYKLSKRKPDDNLQILHNILFGKKSKVHSLKKNIGQFSGFVWVEDEEKQRRKTKEKLDKCVKEKLLDFCDVLNIPVSRTSAKKDELTVKLLEFLESPHSTTDSLLAEKEQKGKKQKRKGSASKSAGSLDKSVKKHQKSEVGVKRKRSAKTEEEEANDEQPSDSGDDSDNDDEAPKEGSDQEESGSEKSEKQEEEEEVEEKPKKNKSGEKVNSKKSVKKDSGSKAAEKTKATEKGSPAKSLKSSSTSTPSSSVSKKGASAADSTSKAKKEKAEKKSKKEEIEPVKENSSSKKQSSKSTSKVSEKGKGKSVKKAKAEPSKEELHAVVSIILKKVDFNTATLSDIIRQLGSHFDLDLMHRKAEVKAIITEVINNMSEEEDEDESEGDDAEKSEGESDAEKPEGDDDAEKSDGADSDS >Solyc06g065755.1.1 pep chromosome:SL3.0:6:41334361:41336200:-1 gene:Solyc06g065755.1 transcript:Solyc06g065755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHDMSMIFMIHLCVADDILFLHSSFYSYGNVDFKILKKICEVCGGFGIQEAIITCYECKNVDVHQFQRILCCELLRSCSSRNPKEGSNPYILLSIYTILSTLPQISFSTSFRSVKKDIESIFFFLVFAILATLDKFCRKPHLTTLDSEALVPQTRFCPTHRVFPLRCPSNQLIDSTAKSPNINFWGILSVNHGLRCHIPFCSPDNSLFLIHALFQGHGEPEISNLGSSVRAYKENISSSEDDQQGFLRKHTRAISCKDSRYLQKRH >Solyc10g086750.1.1 pep chromosome:SL3.0:10:65603666:65605004:-1 gene:Solyc10g086750.1 transcript:Solyc10g086750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKTKAENIGKGKVTPIQVAFIVDRYLSDNDFSETRSTFCSEASHILSNLPVKEAPRSLLSLGAMLDEYICLKEQKVFLEQEKLQVQNLLRGMQQVMNGYNASANLLPSALVPASSGPLLQQSSPVGFSSSFDSFNQLTFNFNTNNLPNHSKLTFYVH >Solyc08g005970.3.1 pep chromosome:SL3.0:8:729441:748838:-1 gene:Solyc08g005970.3 transcript:Solyc08g005970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CI86] MTLGERQGDEKNDSKYCGVETEFNDDMPQLLSLNIHGGFDFVVAPLMDPAYRPSLLVSSNGGSGVLPFAGSDLVLSPSQWSSHVVGKISSWLDLDSEDEMFRRDSEITLKQEIAWASHLSLQACLLPAPKGVTCANYARCVNQILQNLSNMQLWLRIPLEKSDDDEDRSPNSMGEEHRDSWEMWNSFRTLGEHHSQLSVALDILSSLPSVNSLARWFGEPVRAAIINSNSFLTNARGYPCLSKRHQNLLTDFFNHSIQIVISGQQMQNFPTGTSVSNSHSSNNQSEGVEGMQRHPLRSYLDYIAYLYQKMDPLPEQERFELGYRDYLQSPLQPLMDNLEAQTYETFEKDTTKYIQYQRAVAKALVDRVPDEKASTITTVLMVVGAGRGPLVRASLQAAEETGRKLKVYAVEKNPNAIVTLHSLLKIEGWEKLVTIVSSDMRCWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKEDGISIPSSYTSFFQPVTASKLYNDIKSHKDLVHFETAYVVKFHRVARLTSPQPVFTFNHPEDSNRKSNHRYTKLRFEIPTDTGSALVHGFAGYFDAVLYKDVHLGIEPSTATPNMFSWFPIFFPLRTPMCVQPGIPLEVHIWRCCGISKVWYEWGVTSPSSSPIHNCNGRSYWVGL >Solyc03g013302.1.1 pep chromosome:SL3.0:3:47708965:47709527:1 gene:Solyc03g013302.1 transcript:Solyc03g013302.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVQILCNFNGRLLGHFKSLIGNKSQAEGCIAEGQKIEEALTLYSHYFEDIESRVNSPKRVNDETNHDEVPERSSMFPRQGEVVGGSITFPLNHLEKTQAHRYVLLNCALAKPFIDEFRQHIKRSSRGRKPSVTEVEKRNNREFTDWFP >Solyc06g025415.1.1 pep chromosome:SL3.0:6:11105865:11106838:-1 gene:Solyc06g025415.1 transcript:Solyc06g025415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLPLDSTHGRTTSGVACHHRLLAAHTVKRRRAWHDISALDSTHDRTTTHDLMRSGVACHHRLWAAQTFERRQAWHDITALGLHAWSDDHIRSATSGVVLHHRSWTARTVGNIQRGMTSLPLDSTHGQTTSGVTCHHRLWKTHTVDKRRACHAIITFWQHTRSNDVARGMPQSHLGSTKAHTVERCRAWHDITSFRQHTQLDDVGHGMTSLPLDCTHGWTMSGVACHHRFWAAHTIEHRWAWHAIIALG >Solyc01g107200.3.1 pep chromosome:SL3.0:1:94717961:94729939:-1 gene:Solyc01g107200.3 transcript:Solyc01g107200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVAAVSGAVSTGRQNPKTPSQDETSRRPPLLPSEKDNNGVVNPKRPKSRTVQSRYMSPSPSTSSSNSSSVSSTSSSSRRFPSPLISRNSGSTLLSNTPVTVPKRSVSVDRRRTSVSRPLTADLDSKVSNVAEVSAATKLLVTSTRSLSVSFQGETYSLPVSKTKAAPPSPNLSSLRKGTPERRRTTTPLRGKADGADQLENSKPVDQHRWPGRSRQGNPLARSLDCSNGDRHKVIGSGNVIRTLQQSMIDERRASFDGRLSLDFGNAEPLKAVEQAQDVNSANNDSTLPSDLTASDTDSVSSGSTGMQECGGSSRIRGVPRGIVVSARFWQETNSRLRRLQDPGSPLSTSPGSKMVAPPKLRKYHSDVPVSSPRAMSSPIRAGIRSASPSKLIGSSPSRGMPSPSRVRNVVSTINSNFIETPSVLSFAVDVRRGKVGENRIVDAHLLRLLYNRHLQWRFVNATSEATLLVQKHSAEKTLWNAWITISDLRDTVTKKRHRLQLLRQKLKLASILKGQMMSLEVWASFDKEHSISLLGAIEALKASTLRLPVVGGANINIQNLKDAISSAHGVMQAMATSVGCFLGKVEELNSLASELSKVTAKEQASLEQCKDFLTMIATMQVKDCSLRTHVIQHNRRSTT >Solyc03g115730.3.1 pep chromosome:SL3.0:3:66847005:66848377:1 gene:Solyc03g115730.3 transcript:Solyc03g115730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMADLDVEEEVAVTETQLEKLMKWERRKDFRSLSDPPSVVCSMFEIRDNTLHKSSGEFAVFPPVNHENLYISVNSVQKTHSQSSSPPFPSSPSVSSLSSSSFSPSHRDNDDSSYSFAASDSNVVDPLKSVPDSPRPPARAACNGGRWWNLGLQVLFSRSSILNRGFTSARRAVTIVLSPFGMAAILVMFAYFRRRRRLIRAQNGEELRRTIRAKDERINQLLSQIAEMNQVFVAMHKRNLSKN >Solyc05g009550.3.1 pep chromosome:SL3.0:5:3761949:3765056:1 gene:Solyc05g009550.3 transcript:Solyc05g009550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLDALLGRNFKTSKFKATANLAISRVTVLKNQRQARCSIARSDVVQLLNLGYHERALLRVEQVIKEQNMLDVFDMVEGYCLLTIERINLIQQEKICPEELKEAISSLIFAASRCGEFPELQELRGIFTSRFGKEFAARAVELRNNCGVNTKMIQKLSTRMPSLEQRTKVLKEIAAENNIVLKIEEIMLENTEEKEVTAKRKDEPEDHESVLPQDVDHEARRKFKDVEAAAQAAFESAAYAAAAARAAVELSRSESRDTDDPKSPSQKPRDVSDSREDLKSEFHAGEEKNNEGVEKIETSQNYGFHSEVDQFSDGDEVEEVEQKKFEKQSKRSVSVSSSDISADDILVDEVKSPRHGVIYDEIDEEVGEENRIPSLKHPKNEVFGSPVHQTGNEKEIEGFTKQGAEKLDINKKPISMRTRKNYHW >Solyc02g090810.3.1 pep chromosome:SL3.0:2:52935719:52937846:-1 gene:Solyc02g090810.3 transcript:Solyc02g090810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSRKMSRKETRNRHPGLTQQKRQEIKEAFELFDTDGSGTIDASELNVAMRALGFEMTEEQVEQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEDLAKAFNILDQDKNGKISAADIQQIAKELGENFSAKEIQEMIEAADRDHLIVLGDGEVSAEEFMRMMRKTSFAN >Solyc03g121140.3.1 pep chromosome:SL3.0:3:70828436:70834884:1 gene:Solyc03g121140.3 transcript:Solyc03g121140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKAPKFAVMKKMVTHKAIKEHKEAVLNPNKKDLTKEKLPRNVPYVSSALFFKYNTALGPPYLVLVDTNFINFSIQNKLDLEKGMMDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCTHKGTYADDCIVERVTQHKCYIVATCDRDLKRRIRKVPGVPIMYITQHKYSIERLPEATIGGELLSVLLAVIPQPQCLTANEYTKLIMVRDNRNIIRPVVFKIGVVLAISLGGIIYTIFRTKRIKTSKSNSSSPSYATGGQNFELTNDIDHASPATPSPSSRKSVSTASEKHEDLYISKHIIDNSIGVPSSSVIFNAERDGFFLPEFNELVKELALSTSKRDIETVMQHEDSPREYRIVEIVNHEQEIKSLKNTVKTLQERERILEIQLLEYYGLKEQETAIMELQNQLKINNVEAKIFGLKIESLTEDKMRLEAKVSDYGKAVCELEAAKVKIKQLKKKVRSEADHSKEHILALQEKVMKLHDQEKKNVEAESDVQLKLRRLEDLEIQTVELNKSNQSLRKENSDLAHRLESVQIIASSVLENEETEALKKETLQLKKQNEDLAKDVERLQADRCTDAEELVYLRWINACLRHELRNYQPDTGKTIARDLSKTLSPKSEEKAKQLILEYANKEESQGEREVNVSDLDSEWSSSQTSFLTDSVEFDETSTDNSSPCKTQSSSKKKVFSKLMRLLRGKGRPLSRSSSMDTVHTLEDNVAGHSSYSPGYIDSGANGLNIRSRTSSQGSSKQFLDLHSVSQGSRSGKLGENNNYQMNSRQNSDGGSSSGSRRLDSPQENTSKNEPEKAELLKYAKALKGSRSKMGFGRRSSSVSSF >Solyc06g007465.1.1 pep chromosome:SL3.0:6:1477131:1478239:-1 gene:Solyc06g007465.1 transcript:Solyc06g007465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACFTCGGFDSTRKVKLSFQSIRLGCLGHDMLLGQSNVLPQGRVRFIIGAQKKLELDGVLNEALFKRYEGCDYAEQVMHLNLMSKF >Solyc01g008020.3.1 pep chromosome:SL3.0:1:2120547:2124810:-1 gene:Solyc01g008020.3 transcript:Solyc01g008020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATTVNGGDSESTSLLTPSNPKIPKDSFHIAYIIYFTLGAGYLLPWNAFITAVDYFSYLYPDVMVDRIFAIVYMIVGLIGLLFIVAFSNKTSAFVRINVGMFLFVVALVTVPLMDVFYVDGRVGVYAGFGVTVGLVGICGIADALVQGGVVGAAGELPERYMQATFAGTAASGVLVSLLRILTKAVYPQDANGLRKSANLYFIFSIAVMILCIVFYNIAHRLPIIKYYNDLKTQAVNEEKEDKGDLTPELWRSTLDIFGTVKWYGFGIVSLYVVTLSIFPGYITEDVHSQLLKDWYPILLITGYNVFDLVGKSLTPVLFFDDAKVAIGACFARLLFLPLFYVCLHGPEFFRTELPVTILTCLLGLTNGYLTSLLMILGPKTVQLQHAEIAGTLLVLFLVMGLAIGSVVSWFWII >Solyc03g044240.2.1 pep chromosome:SL3.0:3:8570324:8571475:-1 gene:Solyc03g044240.2 transcript:Solyc03g044240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSRNLTTRNQIYVLGLNRFADLTNEEYKTMYLGPKSDSRYRLIKSKNSSHHYVFWASDHVPESIDWRIKGAVALIRTKGVVELLGLFNSVEGINQIAIGDVITLSEQELVNCGTTYDDGCNGGLMDYVFQFVISNGGINTESHYPYKGIDHTCDLIR >Solyc08g074230.1.1 pep chromosome:SL3.0:8:58471803:58474975:1 gene:Solyc08g074230.1 transcript:Solyc08g074230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMMRGQRGWREMVALGDKLNKFTGMIEGLIWSPKNGDGNNHVDDWAFEEGSHSDFSGPTSGCKTCVAILRENQLIVSNAGDSRCVISRKGQAYNLSRDHKPELEVERENLKSWWFYSCSTGEWKSKSCKSDMEFKQNKFLPAEKQIVTANPDINTVELCDDDDFIVLACDGIWDCMSSQQLVDFIHEQLKSENKLSAVCERVLDRCLAPSTAGGEGCDNMTMILVQFKKPFQSGASLVDEELLASNEKLPAPSEATNTETIPEKSGSCS >Solyc12g042355.1.1 pep chromosome:SL3.0:12:58464270:58465370:-1 gene:Solyc12g042355.1 transcript:Solyc12g042355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPVPIWALDHMNVTTDSQFEFDDQFMPRCITTKADQATIDVHLGTNGEKLMIDQPISNNEQTPLPTRRIRRPGPYNTSSYLTNFRSSAGTVVHQVSPTFFELKHPFIFDLISGDKDIMLWDAFHSWIRDELLTKHDKKNHNHDHYKKNLAEFHVAINLGVLLIDNKNWFYNLYFKGQLSNNLVRVV >Solyc10g076710.2.1 pep chromosome:SL3.0:10:59741706:59745803:-1 gene:Solyc10g076710.2 transcript:Solyc10g076710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQTYRICCFQRKFKLKEAEAPDEIKELFGRFSENGIMTSEHLCKFLKDVQGEENVTKEEAETVMESALKLVHEHLNIVFHKKGLNLDGFFRYLFSDLNVSISTHKKVHHDMTAPLSHYFIYTSHNTYLTGNQLNSDCSDVPIIKALQQGVRVIELDMWPNSSKDNVDILHGGTLTPPVELIQCLKSIKEHAFVASEYPVIITLEDHLTPDLQAKAAEMVTQVFGDILFTCGTECLSEFPSPESLKGRIIISTKPPKEYLESKKTSEKENGSQKGKKSSEEKAWGAEISDLSQKMMAFSEKTLQNKDNGECQDDEADSHHENPNIQQNIAPEYKHLIAIQAGKSKGPTSEWLTVDPIKVKRVSLNEEKLINVALNHGQDLVRFTQRNLLRVYPKGMRVDSSNYNPLIGWMHGTQMVAFNIQGHGRPLWLMQGMFRANGGCGYVKKPELLLKTDANNEVHDPKRLLSVKTTLKVKVYMGKGWHLDFKRTHFDAYSPPDFYVKIGIAGVPADSRVKKTKAIEDNWIPTWNDEFEFPLTVPELALLRVEVHEYDMSEIDDFGGQTCIPVSELRTGIRAVPIYNEKGEKYPSVKLLMCFEFVKIMLHV >Solyc06g073830.1.1.1 pep chromosome:SL3.0:6:45730248:45730802:-1 gene:Solyc06g073830.1 transcript:Solyc06g073830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTISNTKNKSVYSKLKNKFSSKKSIAIDASTTSRIITTSISESSDQLERVFTYFDEDGDGKVSPAELRRCVKAVGGDLTTEEAEMAVRLSDSDGDGMLGLEDFSKLMELGEKDNKESELRGAFEMYEMEGSGQITPKSLKRMLSRLGESKSIDNCKAMIKRFDLDGDGVLSFDEFKVMMNGS >Solyc06g083710.2.1 pep chromosome:SL3.0:6:49099154:49113721:1 gene:Solyc06g083710.2 transcript:Solyc06g083710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGNGQEELPSSPYRVLQQISEEAVRVAGEALQNVYSSSSSKFSTTGVGHRRSRSETVTSSVQRSGSNFTKWKSQMQKTLRNWGSTSQEDSSFLSFNPEVLANQKRQWYQLHSKTSDYKKYKELDSIFEHFVIIGLHPDANLEDVEDAFARRKKWEVQLETSDMVDFRMLSNCGPSVPSLEPQVLFKYPPGKKLAMRLKDLAAFCFPGGVKAHVMERTPSFSELNELVYGQEHLGRDDSSFVFSLKVADNATLYGVCLHVPEIVQRPPAIYVSSSPPSQSSIGRSRFLVSAPRCYCLLTRFPLFELHYEMLNSVIAQERLNRITHFVSEINLTDFIPSASKMNDASNASVNSSYRDDEADWTSSAIPVDSAIALTAAAAGIISDDEVPSSSSKWEVSSPVSVTASEASDHSQTRGFGKDGGRNILYVDDCGSEASEIRSDTTERVYGIQDNYRTPESGPFVFSKVHSLERFGSFESLFSSARSMASEEEDDDLFFSNDKDAGCEMILEWARENKNDLLQIVCSYHSLSLPPRGSKITFQPLEHLPAIQYERISVCELGICEKHLGTSTNDSDDIAKGVLSAVVLSLIPIIRPFQWQSLFLPILPGKMMDFLDAPVPFIVGLQHKPTDLKMRSANLVRVNVTKDQIKSCYLPLLPRRKQLLSELRPIHARLLHEESVAQRRPIYRCNEVQAEAAAQFLTVMRLYLESLCSDLRSHTITSVQSNSDRVSILLKDSFIDSFPGRDQPFVKHFVDTQLFTVLSDARLSSYENE >Solyc11g007530.2.1 pep chromosome:SL3.0:11:1787335:1791121:1 gene:Solyc11g007530.2 transcript:Solyc11g007530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSGTNLVMTVIGFAVSTMFIVFVCTRLICARIQLNARRRSFAYASRSDLSILERGLHGLEPVAVANFPTKKYGEVFFTSAEDAQCIVCLAEYQRQDALRILPICGHSFHATCIDIWFQQHSTCPVCRISLRETPEKRRFMQPLFSSAIRSHFEMDSLNVSSNLCLSAEQRHSSLRTDSNTDAQCPPEAGMMVIRGNNVISNEDSQNTKTSENKQVESPSNA >Solyc02g030660.2.1.1 pep chromosome:SL3.0:2:27111575:27111685:-1 gene:Solyc02g030660.2 transcript:Solyc02g030660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFNVNSTTMFDKLSWSQKISMTSTNYLQIFSLRKRV >Solyc06g067920.3.1 pep chromosome:SL3.0:6:42214561:42220992:-1 gene:Solyc06g067920.3 transcript:Solyc06g067920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGKKIRIGFDGFGRINRFITRGAAQTNDSKLHSRNDALKRGLDGLESAGSKSFRALAAIGAGVSGLLSFATIAYSDEAEHGLECPSYPWPHEGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVLIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGIPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Solyc05g006920.3.1 pep chromosome:SL3.0:5:1519837:1523706:-1 gene:Solyc05g006920.3 transcript:Solyc05g006920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKNNNSSMSFPLPENGVSDEEKQTSGSDSPAKTRRRKPGGWRAMPYVLGNETFERLASIGLIANFMQFLLQVFHLDQVSASNVLNIWSGVTNFIPLIGAFISDAYIGRFWTIASASIFEMMGMLTLTMIPWLPKLHPPPCKLGQQCKKPNKSQMGFLVMGLGFLSIGSAGIRPCSIPFGVDQFDSTTDEGRKGIASFFNWYYTSFTLVLIIAVTLVVYIQDSVSWVLGFGIPTILIFLSIVLFFIGTKVYVHVKPQGSVFSSFIQVFVASYKKRNLKLPDERESNVTVFYDPLLPQGSIVNKLPLTHKHRWLNKAAIVMKDEVNRDGDCTNKWRLCSIQQIEEVKCVLKIIPIWSAGVICFTAMAQQGTFTISQALKMDRHLGPNFQIPPGSLSVISMITVGIWLPVYDRLIVPSLTKVTRIEGGITLLHRIGIGIAFSILSMVVAGITEKMRRNSAITHNSPDGIAPVTVMRLAPQLVLMGFAEAFNILGQIEFYNKEFPENMSSVANSLFSCTVAGASYLSSLLVNVLHNTTGGNGHLDWLTNDINKGRIDYYYYLIAGLGVLNLFYFLYVASRYEYKTRVVIDDDRIKGYGDDHELHDMKY >Solyc12g062460.1.1.1 pep chromosome:SL3.0:12:33213500:33213673:-1 gene:Solyc12g062460.1 transcript:Solyc12g062460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKWVLELLILWMVSSDLELNNSLEMEKMKEQCGLVVNCCSLVNLEVTGASFFIGG >Solyc05g018237.1.1 pep chromosome:SL3.0:5:20275801:20282938:1 gene:Solyc05g018237.1 transcript:Solyc05g018237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFRNENYMNILSLHQQKATIYKVWSAQRWNLSCRTFLNDWDISRFSEFYNKLNQFTGFSQNDDKIWWFGHSSGVVQKTTTSRGSRSSGDQTPTRPTHPPENTPPRAPTRPSEMGIFLRDLFTRRRVSGSLGSGDFWVVFGKTPAKLEFSGHCGQIPTASKYFQTSVALAAVFSGIEVAQSSPGIVISQRKYALYILDTPMDPNVKLLPGGEPLCNPERYRWLVGKLNYLTVTRPDISFPVSVVNQFMTSPCQSHWEAVVRILRYIKSATGKGLLFEDQGHEHIIGYTDADWAGSPSDKRSTSGYCVLVEGNLVLWKSKKPNVVARSSAESEYRAMAT >Solyc05g025893.1.1 pep chromosome:SL3.0:5:37255323:37267688:-1 gene:Solyc05g025893.1 transcript:Solyc05g025893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAEVAFEEEVMEEANEEIEIEEDQIKRSKGCFSATTVENQTPKDVWFLDSGCSNHMSGTKSLFKDLDESKKSDVRLGHNKKIQVEGEGTVSIMTSQEYAADQFLASPSTTSSNAPATSPTLEEPSAEPTLLRRSTRDRKPNPRYADNISCVFALLVLDPIFFEDAETEDKCESIVTEFKECMMKNFEMSNLGLLHYFLGLGVKQGIDGIFLSQRKYATDLLKKFTMVNCKVASTAMNINEKLCRDDGSEMENATYFRSLRFMHNPSKLHLGAAKRVLRYIAGTTEHGIWFSKVTYFTLIVFTDSDYKGNIHDRKSTFGFLFNLGSGAISWSSKIQEVVALSTSEAEYIAATSASCQAVWLRRLVVGFNHKTVGE >Solyc11g040115.1.1 pep chromosome:SL3.0:11:40144223:40146354:-1 gene:Solyc11g040115.1 transcript:Solyc11g040115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTSRDPNRLARVVFPTTIVLFATTKEATRNALSILMVRDDASSINKDVIVDGNFDSPFLFWNGVETSEKGVYLNSGGIARPLLLVVLSLHRKR >Solyc11g068350.2.1.1 pep chromosome:SL3.0:11:53394176:53397046:-1 gene:Solyc11g068350.2 transcript:Solyc11g068350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLLTSTVSRLSTDSPTSRCIILTSSILKYFKVPLPTSSKSSSAQSFKCRFSRLSASNINLSKLIASM >Solyc04g018177.1.1 pep chromosome:SL3.0:4:10293030:10301859:1 gene:Solyc04g018177.1 transcript:Solyc04g018177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCHSILDGFPPSPLPTKKKPKEEIIEIQSSWRYSFCLGFGSLNLIHPSLLGVSHSAWKLLLFQARFARRTLPERRFTRSKIVSLIFELQSQRPTLSSRVILNMSSLSLGCTKQIAAYTLHRLFRDLPVQMPITEPYASLILQWEEERNGPKSPCKVVGGFLDELGLRAALQNYPKTHHCYRAPQYTNLETPSPTNHNPLSYRKRLKAAGLIKTIDPKIISVNPCLYRANLHCYYHSGSSRHNIKACINFNHEIEDLNYKSSVTLQTFTSKAMVFHRRIMENSLLI >Solyc03g123760.3.1 pep chromosome:SL3.0:3:72002771:72011115:1 gene:Solyc03g123760.3 transcript:Solyc03g123760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PDS description:Phytoene desaturase [Source:UniProtKB/TrEMBL;Acc:Q3S1N6] MPQIGLVSAVNLRVQGSSAYLWSSRSSSLGTESRDGCLQRNSLCFAGSESMGHKLKIRTPHATTRRLVKDLGPLKVVCIDYPRPELDNTVNYLEAAFLSSTFRASPRPTKPLEIVIAGAGLGGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNIQNLFGELGINDRLQWKEHSMIFAMPSKPGEFSRFDFSEALPAPLNGILAILKNNEMLTWPEKVKFAIGLLPAMLGGQSYVEAQDGISVKDWMRKQGVPDRVTDEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVEHIESKGGQVRLNSRIKKIELNEDGSVKSFILSDGSAIEGDAFVFAAPVDIFKLLLPEDWKEIPYFQKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSSLLSVYADMSVTCKEYYNPNQSMLELVFAPAEEWISRSDSEIIDATMKELATLFPDEISADQSKAKILKYHVVKTPRSVYKTVPGCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDYELLVGRSQKKLSEASVV >Solyc07g006460.1.1.1 pep chromosome:SL3.0:7:1254172:1255629:1 gene:Solyc07g006460.1 transcript:Solyc07g006460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFLHIHLLISIIFSILIVVLGYEKSYSFLNSSYIKDARERFMSFDTTNFLDPPRPSYTFSIYNRALFEKSKFKDYDSLLESKLARSQARANHFASILENSNSIGANGTQTRPYESKREVPKTTSVHLVEGEYVATFTIGSEETKSYLLIDTGSDLVWWQCKPCRPNKCYSQNNPMYDSTKSRTYRQLDCIVETSSCHVESGGYQCSVFGNECLYDYKYVDGSMTKGWIAEDVITFYLDLSRVRILFGCGIDQMSGRQFNGEFSGIAGLGRRMLTGGYSLPSQLEADIMAMCLPGTYSMKASTISFHTTPFNKTTSARLVPTSEFPNFYFVNLYKIFIDDKELPSFPSLSRNHDMNGDCIVDTGTIMSRFPRDYYILFRDTFRKEVEGIPMVEAPLGDFDTCYIEDPGVVPTFPVVKMYFAHQSPDNLLLLQQLRVVVHIRGLFCLAFLPWDLNVAMLGNHQLQGIGLTFDTGTDTLSFDLDAC >Solyc07g006090.2.1 pep chromosome:SL3.0:7:942893:943294:1 gene:Solyc07g006090.2 transcript:Solyc07g006090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEGGKVKKYALLLAAKDSEYVKKMYGGYFNVFVEAFGDEEFGEKWDLFRVVDDEFPEMDQLINYDGFVISGSPFDAYGNEHWILKLCILLQNLYFMQKKVLGICFGHQYCKSIIKAFKR >Solyc02g089115.1.1 pep chromosome:SL3.0:2:51645820:51647381:1 gene:Solyc02g089115.1 transcript:Solyc02g089115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNCDAFDPLDPNGNITIKWDVISWTPDGYVAVVTMYNFQQYRHIQAPGWSLGWTWAKKEVIWTMMGAQTTEQGDCSKYKGNIPHCCKKDPTVVDMLPGTPYNQQIANCCKGGVINSWGQDPATAVSSFQVSVGAAGTTNKTVRVPKNFTLKAPGPGYTCGPAKIVKSTKFVSADGRRVTQAMSKLLGSSICRFYSFDGQLVCQFLKTLIKLIKIHTVATDRKLGSNLSCCP >Solyc03g123660.3.1 pep chromosome:SL3.0:3:71946966:71952737:1 gene:Solyc03g123660.3 transcript:Solyc03g123660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC33 [Source:UniProtKB/TrEMBL;Acc:K4BN65] MAEKACVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGFYYGKIKFPPEYPFKPPGISMTTPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDNSPTTGSVSTTVAEKEKLAKASLAFNCKNPTFRKLFPEYVEKYEQQQLSSQPVPEQVSSMPAGADKSRPLLEEHDNSPKDEVNRVKPLKDVKNQQRKSVPTWLLLLLVSIFGVVMALPLLQL >Solyc09g064320.3.1 pep chromosome:SL3.0:9:61719261:61725084:1 gene:Solyc09g064320.3 transcript:Solyc09g064320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLDDGKLTQRHVLRSHQKPVSFVAWSPDDTMLLTCGNTEVLKLWDVETGTCNSDAEKGIYMWDSEGNEIKSWKGTRMPKVLDLAITPDGEKLISIFSDKDIRILNVGTGGEHVISEEYPITSLSLSGDGKFFIVNLNSQEIHMWDIAGEWLSPMKFEGHRQHKYVIRSCFGGLDSTFIASGSEDSKVYIWNRRGSKPIEILCGHSMTVNSVSWNPKRPQMLASASDDQTIRIWGPDVRSK >Solyc05g010510.1.1 pep chromosome:SL3.0:5:4716204:4717261:1 gene:Solyc05g010510.1 transcript:Solyc05g010510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNRKEECLVDDMVFEILTWDRPEVYLGYDPATERYKLLRNRIYYKKRPCIKIRTLGTDTSWRRICENSPGKLNNLWSDRTFVNGALYWANANVDFITYFNLTEEKFGTLTPPERSRVNAIQSALCGKLIVQPRDQPGNCNLVAYDEINKVFVKFDSDPDLLAEEKFVVLEAENIEERRDNGRYILATSSLTSTYLVFAYHFWPRYVGIFVENIIPLSFIIDL >Solyc03g116690.3.1 pep chromosome:SL3.0:3:67474610:67475483:1 gene:Solyc03g116690.3 transcript:Solyc03g116690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMMCVIVFGVLAVANMMQDATAQTVHVVGDTMSWIVPSNSAAAYTNWAAGKTFSVGDILVFNFMTGRHDVLQVEKTSFDGCNSQNAIGSAIMTGPANMTLNSTGEHYYICTFGMHCINGQKLAITVSNSTATPGANPPVVRQPEACAPTPSAAGPSNSVPGGRAPPPTPSSSTTVLASFVISLTAIALASLL >Solyc12g007070.2.1 pep chromosome:SL3.0:12:1499414:1514330:1 gene:Solyc12g007070.2 transcript:Solyc12g007070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNIIAPFVMKTYQMVNDPKIDGLIAWGTANNSFIVVEPLDFSQRILPVYFKHNNFSSFVRQLNTYGFRKVDPDKWEFANEWFLRGQTHLLKNIVRKKHSRNSCSQKQDESEDEEILTEISRLKQEQKALEHEVENMTRRLEATEKRPQQMMAFLCKVVDDPEILPRILMEKERSKRLSLTNREKKRRLVISNSTSCSSVKSEDALGATSSFHSPDANFDKDAICQSSPSSGTPPPPTAWLGSRPMITYEPGTIQSIRSPDANFDKDTFYQSSPSSETPSTAWMNQQKVIIGGRPMMMINEAYGSCPTMSSTLSTGSSESGGFTAPPMDNFYGYDYGGGGRGGGGGTPATEEASTPPYPFSLFGGGF >Solyc01g014743.1.1 pep chromosome:SL3.0:1:14752215:14754552:-1 gene:Solyc01g014743.1 transcript:Solyc01g014743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYFEHVDVHNEAANIRTTPMYLTDTAMLWWRRKKADMQRGVCCIDSLEHFKVELKRQFYPQNVVHEAPKQALQRRQVSDVDEAIVVAESFNDFRAEAAKGRDNRSKNIPPKFDNNNRGRSRRIQTEAATREATLVIHLLISGKIMRTARRVLLIGKKTERYGGCRKAAKEKAATPIGSSAGEQRGQSSGSDKGKNVVVGMFNHMALINHISIAALAAKPASDEPKTACVTRYGVFEWLVMPSRLTNAQATFYTLMNKLFHPYLDQFVVIYLDYIIVYSNSMEDHVDHLCKVFKVLRDNKLYVKREKCSFAKPTVQFLGHSISHVEIRMESNKVDAIKNWEAPTKVPKLRSFLGLANYYRRLIFNYSAIVAPLTDLPKKDRDWNWLEAFQASFERRKAAVTI >Solyc02g091627.1.1 pep chromosome:SL3.0:2:53511687:53512287:1 gene:Solyc02g091627.1 transcript:Solyc02g091627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMWRVCLMWKLNRTLYDFTRVVEELVFNSLDADTTKTVYVSVSLFLSSSVMLHFRLTILTIVSYACFTPATSNYCLSDDMHAFPASFGLKGEALGSTSDISLLEIVTKSHGRPDGYRNFLKGRFCRQDVGATGN >Solyc05g009750.1.1 pep chromosome:SL3.0:5:3951622:3953749:-1 gene:Solyc05g009750.1 transcript:Solyc05g009750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTDMEVIEICPKPSMDVNDEFEEVVGFDDDVEIISEYLKRRTSTNLDVISIVGMAGIGKTALARKIYNSNSIIKHFDVRAWCSVSQIYDVRKLLERLMARRFLIVLDDIWEGKAWDTLKLYLGSNYGKGSRVMVTTRNEKLAMYMRQRTDPYSLPFLNDEKSWELLQKKVFQGENCPLELSNVGPLVAKKCRGLPSLIIMIAGILSSKKKEADLWLKVAYDICSHAFETKMSLKTIQSSYDHLANHLKPCLIYMALFPKSYEIPVSDLLEWWIAEEFVQDIDTWRLEELSEICLYDLVSRNLVMVSKTRSNGKMKCCIILDQVREFCLRKITEEKFMQLIVPYSYPEEQRLCMYIHDRTMTSDFKGSDPKEFIVHPKFSILDRKNPFRLLNNLRLVRVLHLLDIYLDNSLSAEFQSFPHLRYLAIFAKAFDLKWVSHLLHLQTLRVRSSYIMISSVIWKMSKLRHVDINEFPVTVWEEEDDIVLDNLKTLGMCRMSVADMTRKFWDKFPNLEEVKLHINEFGDHVSDYTSSALMNLDTILPWYLKCLSLSEMFLTHELVSSIAKLRYLETLKLSEIYFAGERYWDLGDYTFEKLKFLKLHRVFMTKWSCREESFPCLEYLVIKSCPKLEEIPEAFVDIIPLRLIKVIDCSDSVGNSAVKIKIESEEYYGSTSIQVHILKKDK >Solyc08g065880.3.1 pep chromosome:SL3.0:8:54281496:54294012:1 gene:Solyc08g065880.3 transcript:Solyc08g065880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTQQALPPDPGEKIQGEEEAQFSTVPIHVVTNPSELPVEFLEPSAETQLVIGFDCEGVDLCRHGTLCIMQFSFPDAIYLVDAVQGGEAIVQACKPALESNYITKVIHDCKRDSEALYFQFGIKLHNVVDTQIAYSLINEQEGQARVPDCHISFVGLLADPRYCGVSYDEKEEVRVLLRQDPKYWTYRPLSEQMIRAAADDVRFLLHIYHKMVQKLNGKSLWNLAVRGALYCRCFCINDNHFADWPPLPPIPENIVADGSILEEEVLSVVDVPPGKMGRVIGRRGASILSIKQSCDAEIFIGGAKGPPDKVFIIGPQKQARKAQAILMGKLLDF >Solyc01g009830.3.1 pep chromosome:SL3.0:1:4259070:4263406:1 gene:Solyc01g009830.3 transcript:Solyc01g009830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIESSSMNDEPQNLPIFSKKNPNTAMTKKGVYVAISYMACAVLLVIFNKAALSSYNFPCANVITLFQMLSSTLILYVLRRWKVISFTVQDSHTVATRTADLVPFMKVLHCTPVALSYLLYMLVSMESIRGINVPMYTTLRRTTVFFTMMAEYFLVRKKYSSYVVTCVGIIILGAFVAGARDLSFDYYSYTVVLISNITTAVYLACISSLGESSGLNSFGLMWCNGIICTPILLLWTAYSGDLDATINFSYFYTTGFQAVIVLSCALAFLLNYSVFLNTTINSALTQTVCGNLKDLFTVGFGWLVFRGLPFDLLNIAGQCLSFLGSCLYAYCKLKGI >Solyc07g062170.2.1 pep chromosome:SL3.0:7:65105742:65106963:-1 gene:Solyc07g062170.2 transcript:Solyc07g062170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFKCLGFKLRRRGKGTDARVTGDLEENGDLKKLGVKRLFTCDEVEKFTMNLSRSRLIAYGGFSMVYLAQFPDSMLAAVKIMDLSSERFQRVYKQELDILLQIQHENIVKFLGNCDNGEEGMLVFEYVPNGTLQEKLHGGVDGRKSLSWRNRMAIAFQLAKAIEYLHDKCPLPIVHGDIKASNILLDKKYNSKLCDFGSAKMGFSSTILPPSANRMMLGSPGYTDPHYLRTGIASKKNDIYSYGIIVLELISGFEALSSDNGERLISKAGTILRDSSKVIEMVDSKLNGAYNLEEAKAMVSLAGFCLGDSPSLRPSASEILDTITSKISSMAINSVQV >Solyc01g006170.3.1.1 pep chromosome:SL3.0:1:808930:811277:-1 gene:Solyc01g006170.3 transcript:Solyc01g006170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVANELAMMNPENEDFDPETESESEDEEGDQQVVKLSEPSKTAVYNKDGLLERLADISWPDDLDWTHRLSIDREEQEEVDVNDDLAREHSFYTQGLEGIRQAYVNFQSTGEPFLRPSDYYAEMVKSDTHMEKVKGRLLAEKRRIEESEERRKARDNKKLAKDVQAQKMKERTKQKKQEIESVKKWRKQRQQSGFDKEDAGGLDLAFNGGEANKPYQRSNKKRPGVSPGDRSGGKATFGGKGKGFDKKRKSREFKDSKFGFGGRKGLKKQNTADTTNDFGGFHKGDRSAKNNKRVKR >Solyc12g019751.1.1 pep chromosome:SL3.0:12:11367798:11368297:1 gene:Solyc12g019751.1 transcript:Solyc12g019751.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSCLTLQVKEPDGIGHVKSVLHDTEVTPDYLHQVFSNDVRLTYDEQKLMDCGIIKNSIVHAYIENSVSKVFLLKRPYADVSITVYMRTFDTIKDVKYRIGIKEGANSKQFSLIHEGKFLDDDKTFYKSMVDQHFKWFPI >Solyc01g103990.3.1 pep chromosome:SL3.0:1:92349272:92360519:-1 gene:Solyc01g103990.3 transcript:Solyc01g103990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESPERGKIGGVAIEIPASDDGATIWSPPRVPPRLLQKLSEPKTSSPTAEEIEAKLRGADLRRQKFYEYLSSKARPKPRSPSQSPTHGEDLGQRLEAKLQAAEEKRMSILAQAKLRLAKLDELRQAAKTGAEMRFRQERAELGTKVELRVQQAEVNRMLLLKANRQRRATLRERTSQSLLRRMARESKYKERVRAAIFQKRAAAEKKRMGLLEAEKRRACARVMQVRNVVKSISHQEEVKRREMQTKIEDKLQRAKRQREEYLMQRGKAHNSFCDSYDEIHDQADLLSRKLARCWKQFLTRGKTTFHLAKAYTMLSINENAVKVMPFEQLAMKIESPNTLQRTKGLLDRLELRFKLLRDVDSVTSTIGWGNIDHLLNRVATPKKKATPRRSLHSRGAKKIVSNLPAVTTPVKLLRYPVRIVLCAYMILGHPDAVFSGKGEREIALAKSAEKFVREFELLVRVILNGSIQTSDGDSDCGLARRRTFKSQLTEFDSAWCSYLNSFVVWKVKDAQSLEEDLVRAACQLELSMIQICRITAEGDGGALTHDLKAIQKQVNEDQRLLREKVLNISGGAGIERMDNAISDTRNKYFEAKENGSPVGSPIMQSVAPSPIALTSASSSVGGSNKGGNLLEVSDQKPNRVVRSLFRDELPLKVGSSANKSLQSSHTDEGLVMENELIVNESLHGQHLEFAESSKVADKHDNSIKDKVRETMEKAFWDSVMESMKKDENRYNRVVDLMREARDELCSLAPQSWRQKISEAIDIDILSQLLITGKIDMDYLQKIMDFTLVTLQKLSSPAKEDELKANCQKLFGELADICVDGSENSFILALVRGLRFVLEEMQLLKQEISKARIRMLEPILKGPGALDYLRKAFTKRYGLPSMAMTALPLTWQWLLSVSDSMDQEFNEHKEALSSLTSGQDRFLPSATLRTGGCFSVKMNKNHASPLTSTEAVDECQECTGDKVDLLVRLGLLKLVCAVSGLTQEGLPETLQLNFFRLRATQAKIQKIIVIATSILVQRQVLQSMQAVSSAADMDKIVQGSAKALSELLDSNNDAGIQEIISTLVKPLEHGDNGTDEMKLQQIKEIMARMLSKSLQAGDAIFVHVARAIYLAGRGVVLGGTGRQGRELAEAALRQVGVAVLIDEIVDATSVLVMAAHVTVNVHGPWYAQLVDNM >Solyc01g021730.2.1.1 pep chromosome:SL3.0:1:32668819:32669884:-1 gene:Solyc01g021730.2 transcript:Solyc01g021730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLKEGFRFSPTDAEAVTFLLRFIAGKFMNDSGFITTHVDTYGKQEPWDIYSHGVACSNDDEDNDCSQYRFFITKLKKKSESRYSRDVGNKGSWKQQDKSKSVRRKGGPVIGYKKSMSYMNKGYNKKNGDWLMKEYTLPEYLLDKFDKDCRDYVLCSIKKRTRSKTQTKEKLKLVNIVPEESTNLEDQSSATVGSTSFTEELQGRGVDAGGYLPQLELQNEMHEGTSIFVETLPAPFTFEPTSMLDFDYQYLPADFDFLRSITA >Solyc06g053560.3.1 pep chromosome:SL3.0:6:36457678:36470239:-1 gene:Solyc06g053560.3 transcript:Solyc06g053560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVIGGKYKLGRKIGSGSFGELYLGVNIQSGEEVAVKLEPVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYSAMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDYGLAKKYRDLHTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLRAGTKKQKYDKISEKKMLTPIEVLCKSYQSEFTSYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTTLKYPQISSSSRGRQAIGRLPLNPGPSVERAEKPPVGQDIKDKFSGAAEAFARKNVSGTGFTGDRLRHRSSEHLSSSRDVQADSERGRMSRTDSTSRRAVMSSSRPSSSSQKNQPGFEAKSSSVTRATVAKESRDHALRSFELLTIGTGRRK >Solyc06g083085.1.1 pep chromosome:SL3.0:6:48679694:48680008:-1 gene:Solyc06g083085.1 transcript:Solyc06g083085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVALFLLFCFLFNTFGRDKHIPTSSFILSLLNIELAPSLIKVVPIFTDIIWKKELGIFNSS >Solyc07g005690.3.1 pep chromosome:SL3.0:7:572721:576982:1 gene:Solyc07g005690.3 transcript:Solyc07g005690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMKRVALESSPYVVFEENNARLKHQTLLQDYLELHKDTNDTRNKLEDMKMRKQKLLAEVHFLRRRHKYLLQMKSSGHLEQQERAALLNTELYGINGMNDRFHSKKEVKQHKLPPLPRLKQKARIQVAKEASLQRTPSDILVNHKQALLIGKDAVHRSTVSSGLKHQSRVYSGKEMLLQNAAPVFDLNQNDRSFAGNGFVLRSTIPVFDLNQETDYVGKDVVLPSRVPVIDLNEISIGEEEPQANFEPLNFEEPKRGLIQNINDDQHRDLKLSICRNVGEGTSHVEKRKISWQDPVALRV >Solyc07g018070.3.1 pep chromosome:SL3.0:7:8980989:8986010:-1 gene:Solyc07g018070.3 transcript:Solyc07g018070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGAGSAVQQTLTTEAASVLKLSLSLARRRGHAQVTPLHVAAILLSSRLSLLRKACLKSQQHNNYTSHHPLQCRALELCFNVALNRLPTSPGPLLHGQPCLSNALVAALKRAQAHQRRGCIEQQQQQQQQPLLAIKVELEQLILSILDDPSVSRVMREAGFSSIAIKNNIEESASSSVFPCYNNNSSGGIYTTPSSPTNTTTTENSPFNNFWNSQNPILFSPHKFTSSDVKLVLDVLLRSNNKRRNSVIVGDSVTNTEGIVAQLMGKVERGDVPEELKGVHFIKFQFSDAPLMLMKREEVELNITDLKRKVESLTRGGGTRGGVIIYTGDLKWTVDSTNEKERGLFVNYSPVDHLVAEIGRLVSSNSSSSSNAKVWLVGTANYQTYIKCQMKQPPLDIQWSLQPISVPSGGLGLSLNTTSVHEARIPFSQQMFGKKPIPSKEEQDELTCCAQCTCNYEKEAMLKFGQHKTITCDTKHSDKPSTPLPDWLKPHDMDPTNKDDLAELKGKWSRLCKNLHQGKANQRQISSVVCNEYNVNGKNYSYNSLYPWWPNQNSITTDCKSISFSDPPNVKPNHGAASTVPRFRRQQSCHIEFSFSNGNSKNETQSSVEPNLDSLKNREGKEVKITLALGNSQLSDHNVDEEMLKMLQENLPWQMENMHTIVDALMDFNTINKQKNWLLIQGNDSIGKQRLARVIAKSAYGSDDLLLCINMRNMSNHVELLNKALRNNEKLVVLLEDVDFADAELLKFLTDAYENRSSSHLFIVAIRTSDATDHCSDGREYYCTESVIQMKLVVSETSPNPGSVCVDHKRKAEWELSLPNKTKSPRNNVMEDVTSIATQKGKIMKQLNSSTLDLNIKADEVYDEGEVHEAKTEDFSPISSDLTRDTANDQHQQNNNPSLGFLDLIKNRLVLKRDSSQDKQMREVFMFKMKRSLEEVCGNKILEKFSFDEMVLEKVFEGCGSFLNNLFDEWLKDIFQTSLQMIEEKENIVMIKLCEMVGAKDEIGFKGSCLPRGIQVSIMD >Solyc02g068520.1.1.1 pep chromosome:SL3.0:2:39040295:39040456:-1 gene:Solyc02g068520.1 transcript:Solyc02g068520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITYKCFKCSNFNVKLHKFQKIKKRQTKDRNLVFRVLQKNKVVHFVVIVFTIQ >Solyc02g072397.1.1 pep chromosome:SL3.0:2:42191505:42193781:-1 gene:Solyc02g072397.1 transcript:Solyc02g072397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGEEEKDDELKLHDIKFNLPNINTALEFVITSSGKAIMKNSSILQTNEARTKFPSKSCAIQQGRHCVQGTSCTALWQGSICKSFDRKQ >Solyc11g072070.2.1 pep chromosome:SL3.0:11:55639887:55647758:-1 gene:Solyc11g072070.2 transcript:Solyc11g072070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELPTITHVSDTIAVGELAPPTSSRSVTDTVNGSHHFVISGYSLAKGMGVGKHIASDTFTVGGHQWAIYFYPDGKNPEDNSAYVSVFIALASEGTDVRALFELTLIDQSGKEKHKVHSHFDRSLESGPYTLKYRGSMGYKRFFRRALLETSEYLKDDCLKINCTVGVVRSTIECSSLHTIQVPDSDMGTHFGMLLENMEASDVIFNVSGEMFHAHKLVLAARSPVFHTEFLCGHEGDAQEIVVNDMEPKVFKAMLHFIYKDALVEEELEATRTSTTPCISDTLTAKLLSAADRYDLTRLRRLCESHLCKDISINSVAQILALADRYHAAELKSVCLSFAAENLSAVMQSDGFEYLKENCPALQSELLKTVAGCEDDSSSGGGKSKSVWAQLSDGGDTNGRRIRQKT >Solyc12g008920.2.1 pep chromosome:SL3.0:12:2235674:2240685:1 gene:Solyc12g008920.2 transcript:Solyc12g008920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFGQVKTLNPSIPQEIFSLNISSRLSTNLDEIKESSKDFGKIIQNILPAAVLYPSCVNDIIDLIQFSYDLSVPFHVAAKGHGHSIRGQAMAKNGVLVEMNSLNNNNNENCGVRVSWDSDLGFYADVGGEQLWIDVLHNTLEYGLAPVSWTDYLYLTVGGTLSNAGISGQIFRYGPQISNVHEMDVITGKGDLMTCSKDVNSELFFGVLGGLGQFGIITRARIVLDRAPTRVSTTVFD >Solyc06g008800.2.1 pep chromosome:SL3.0:6:2744132:2748459:1 gene:Solyc06g008800.2 transcript:Solyc06g008800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Truncated NBS-LRR resistance protein-like protein [Source:UniProtKB/TrEMBL;Acc:A1Y9R2] MEKRKDNEETNNSLVLFSALSKDIADVLVYLENEENQKALDKDQVEKLKLKMAFICTYVQLSYSDFEQFEDIMTRKRQEVENLLQPLFDDDVLTSLTSNMDDCISLYHRSNKSDATMMDEQLDFLLLNLYHLSKHHAEKIFPGVTQYEFLQNVCGNIRDFHGLIVNGCIKHEMVENVLPLFQLMAERVGHFLWEDQTDEDSNGDDQNDRDARLFQLTHLLLKIVPTELEVMHICYTNLKASTSAEVGRFNKKLLETSPDILRESIIQLQEHMIAVIPPSTSGARNIHVMMEFLLLILSDMPKDFIHHDKLFDLLAHVGVLTREVSTLVRDLEEKLRNKEGNNQTNCATLDLLENIELLKKDLKHVYLKSPDSSRCCFPMSDGPLFMHLLHMHLNDLLDSNDYSIALIKEEIKLVKQDLEFIRSFFVDAAEQGLYKDIWARVLDVAYEAKDVIDSIIVRDNGLLHLIFSLPITIKKIKLIKEEISALDENIPKDRGLIVVNSPKKPVERKSLTTDKIIVGFEEETNLILRKLTSGPADLDVISITGMPGSGKTTLAYKVYNDKSVSSHFDLRAWCTVDQGYDDKKLLDTIFSQVSDSDSKLSENIDVADKLRKQLFGKRYFIVLDDVWDTTTWDELTRPFPEAKKGSRIILTTREKEVALHGKLNTDPLDLRLLRPDESWELLEKRAFGNESCPDELLDVGKEIAKNCKGLPLVADLIAGVIAGREKKRSVWLEVQSSLSSFILNSEVEVMKVIELSYDHLPHHLKPCLLYFASWPKDTTTTIYELNIFLGAEGFVGKEEMKSMEEVVKIYMDDLISSSLVICFNEIGDSLNFQIHDLVHDFCVIKARKENLLDQIRSSAPSDLLPRQITFDYDDDEEHFGLNFVMFDSNKKRHSGKHLYSLKIDGDELDDSVSDTFHLRHLRLLRVLHLEPSFIMVNDSLLNEICMLNHLRYLRIGTQVKYLPLSFSNLWNLEILSVNNKKSTLILLPRIWDLVKL >Solyc01g109940.3.1 pep chromosome:SL3.0:1:96680945:96686279:-1 gene:Solyc01g109940.3 transcript:Solyc01g109940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TANKLVFSLQGSIRFLVLKSRGHSDIRNQFRSLSLSAMATEEDAVRRRTALADYRKKLLQHKELDARVRTVRENLRATKKEYAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNISYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFNYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGEIDYEAAVKLAEGFNGADMRNVCTEAGMFAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYSADFGKE >Solyc12g056110.2.1 pep chromosome:SL3.0:12:63027629:63035311:1 gene:Solyc12g056110.2 transcript:Solyc12g056110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGDVSKQIHQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRLEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLISSMEETAAKEILHVSNHHSYKKLLHDLIVQSLLRLKEPSVLLRCRKDDVNLVEDVLDAVKEEYAEKAMVHTPEIIIDHIHLPPAPSHHNAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPEIRKCLFSGIAV >Solyc06g074050.2.1 pep chromosome:SL3.0:6:45916566:45920160:-1 gene:Solyc06g074050.2 transcript:Solyc06g074050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPTLLSIVTDFSFRPVTGYPTFLRRVIAILVASTIITGTILFVIWIVILPRIPEFQVDSLNVSNLNLSNSLITANWDLRFTARNPNKKLTLYYDEIAAAIFYDSLSIADTTVPPFFMDRVNETTQEVSFVASGAYVEKWAFEGMAKERAEKASVRFNVRMVARVSFKAGAWRLELR >Solyc05g051710.3.1 pep chromosome:SL3.0:5:62961978:62965635:1 gene:Solyc05g051710.3 transcript:Solyc05g051710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHKERAAPWLSVPQFGDWDQKGALPDYSVDFSKIRENRKQNKSRASLGNEEDFNSISNTSNNNVNNTSHSTQNNDQTYHHKHSTTHTRKSIFSCFNCCVKA >Solyc10g054870.2.1 pep chromosome:SL3.0:10:55984141:55991262:-1 gene:Solyc10g054870.2 transcript:Solyc10g054870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLASQLSGPKSAIAFSTPPHFSGLRPSSNFKLDNTRSFFQNVDSHKGCRPVVSMAGSGKFFVGGNWKCNGTKDSISKLVSDLNSAKLESDVDVVVAPPFMYIDQVKSSLTDRIEISGQNCWTGKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGENDEFIGKKAAYALSQNVGVIACIGELLEEREAGKTFDVCFNQLKAFADALPSWDDVVIAYEPVWAIGTGKVASPEQAQEVHAAVRDWLSKNVSAEVASKTRIIYGGSVNGGNSSDLAKKEDIDGFLVGGASLKGPEFATIINSVTSKKVAA >Solyc05g015420.3.1 pep chromosome:SL3.0:5:10484402:10486157:-1 gene:Solyc05g015420.3 transcript:Solyc05g015420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVGVCLFYFTFLSLHTESVCGYKGMRTRRGFCYPNPKVNMCRENKIVKRRRDDFPGVGDHRKRSRLSPGVTGHHPDLFDSLPDDLVVSILCKLTSSAASPADFVNVLITCKRLNGLGCHSLVLSKASQKMLTVKAQNWSDSAQRFLKQCADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAISSHAPSLYSLAVIQFNGSGGSKNDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVKQNIAEGRRFLVQANARELAAVLSMTPSALTAGGWLTWNPLPHHRHGAGSGCPLLSDFGCNVPAPEAHPANQFLTEWFASKGGVPGSGLRLCSHAGCGRPESRRHEFRRCSVCGTVNYCSRACQALDWKMRHKAECTPVERWIDEDGENDGNGNGEMGEEGQNMGES >Solyc02g064990.3.1 pep chromosome:SL3.0:2:36686401:36690113:-1 gene:Solyc02g064990.3 transcript:Solyc02g064990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHNTSLRNSGTYTSPSTPEYGDDHFEGFQKGWSSERVPLPTNSSRRHITATALMPFNSGRALPSKWDDAERWITSPVSGYATPKASSNVQLQKQPKSKSGPLENPGLMFMPNYSPSVLVHEGGNRSNFIANSPFTTGVLVPDGLSIHYGVGSGSSSSALYVENNMARASTAPGLSDFFAESSLPSSQDDKAEDTKEPDSISPVVSCRDMATQMSPDDSTHSSPKGRSPSIRSIEEPNDKRSAKLEIRDVQVDRGPPISGELHRKGVRKTRKDLQDATDSNVRWDVADAERSMPKLQREEARINAWENLQKAKAEAAIQKLEVKLSKKRSASMDKILNKLKVSQLKAQKMRGSLSEGHQTTSKTLFPFQKFFKITSFSNCFYCGVE >Solyc03g116150.3.1 pep chromosome:SL3.0:3:67126066:67135313:1 gene:Solyc03g116150.3 transcript:Solyc03g116150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKGNPGDKRSRGPASIFIVAGLCCFFYVIGVWQRGGFAKGDGKSLQITKKAEDCSILSNLEYETHHGDQHGLVDDPKLKIKQFEPCGEQYVDYTPCHDQMRAMTFPRENMNYRERHCPPEEEKLHCLIPAPKGYITPFPWPKSREYVPYANAPHKSLTVEKAVQNWVQLEGDLLRFPGGGTQFPHGADAYIDQLASVIPMGNGTVRTALDTGCGVASWGAYLFKKNVITMSFAPRDSHEAQVQFALERGIPAVIGVLGTIKLPFPSRAFDMAHCSRCLIPWTANRGLYLMEVDRVLRPGGYWILSGPPISWQTNYKAWQLPKEELEEEQRMIEEITELLCWEKKYEKGEIAIWRKRVNSEFCSKRDSRVTLCDLSNSENVWYKKMEACVTPYPETINLEQVSGGELKPFPERLNAIPPRIASGSLTGVSVESFQEDNKSWKKHVKAYKRVNKLLDTRRYRNILDMNAGLGSFAAALESSKLWVMNVVPTIAERDTLGIIYDRGLIGIYHDWCEAFSTYPRTYDLIHANGIFSLYKDKCNTEDILLEMDRILRPEGAVIFRDDVDILGQVKRVVSGMRWKTKMVDHEDGPLISKKVLFAVKRYWVVGENNSTILR >Solyc11g030475.1.1 pep chromosome:SL3.0:11:21980400:21987017:1 gene:Solyc11g030475.1 transcript:Solyc11g030475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVLGYPNLLWQDSLSTHSRKRNRIVEQSIVVFLNLVSVGYSTHNPRRKPGATTTHTNQQPNQEKTNESFASIRSKLSHFPKVMHDNFYHMHQIEKYDHLEFPRVEPCTFIDKFSTSSKLIKRL >Solyc06g065055.1.1 pep chromosome:SL3.0:6:40687451:40689303:-1 gene:Solyc06g065055.1 transcript:Solyc06g065055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLTAFLGHYMSQSYASWGEGLIFLAIFSMTMFDSFYLEPRPSKGAKRVRPQFQILSKRLKKLNVMSSFLNVLTLVGLTSHLFHRSQLVHSRG >Solyc01g081590.3.1 pep chromosome:SL3.0:1:80643180:80645927:-1 gene:Solyc01g081590.3 transcript:Solyc01g081590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPNILNFTMKPTYPFVTLFLLLFILILQTYNSQADNIKCDNIERALRPCMVFFKGGSGVTGIPPYACCAGALTLSQIANNTANRSAVCRCVQSAIKDLRISDATAKALPHRCGITLPFTFSPYVKCPGIK >Solyc05g053740.3.1 pep chromosome:SL3.0:5:64614764:64621530:-1 gene:Solyc05g053740.3 transcript:Solyc05g053740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDVSSFWGPVTSTKDWCELNYVHSSYIAEFFNTISNVPCIILALIGLVNALRQRIEKRFSVLHMSNIILSLGSMIYHATLGQMQQQCDETPMVWEMLLYIYIIYSPDWHYKTTMPTFLFLYGVVFAILHSQIRFGIGFMLHYAVLCLLCIPRTYKYYIHTEDRSAKQLAKLYVATLLVGALCWLCDRLFCKHISSWSFNPQGHALWHVLMGFNVYFANTFLMYCRAQQREWNPKIKHLLGFFPYVKIHKLKAQ >Solyc02g086404.1.1 pep chromosome:SL3.0:2:49703445:49706156:-1 gene:Solyc02g086404.1 transcript:Solyc02g086404.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFRCYACFPGKLWLERRGSETLSKIVTKTHGIERVDGDLDKWIDTGSRETRAVRGLHHSVETIWSELPWQSHHLLLKLMGIQMDIVRFQDDKRLFLGIDDCRQDVGRIGLAFSEIVFAKNFPCASRVSFKIVNIESEDDILCTCASPSLMLLLSSGVWHSSEFP >Solyc06g005990.3.1 pep chromosome:SL3.0:6:983458:1008050:1 gene:Solyc06g005990.3 transcript:Solyc06g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVAAKELSFRIGFTGHSGHLTIEPLPPVERDTPLNSIPDFILPPAFPKETPDTIKEYIREKYLLPQLDADEFSPEKVGRQWEFDWFERAKILPDPSLPRSVVVPTWEVPFRRQRDRLDNGRWEPKSEERDVSELTIGADDSGALPRIVGPPKDFVRGSINSRPFRPGGLDDSPSLGRVVPDGATNGEWVREVLNGGPAQTAPPSFKQGPDLGDLKDTHSCSWNIYEDQSAATNTVEVKLSDLSVQFDDLFKKAWQEDVTEFVGDGHTSELQSEAEQLPSVKPELLQVEAEVNKSEVADKGLDTEISVLDEILSVEAEGSISRLDVDNDGARQENDGWAVTGGGEVIVERFHDLIPDMALTFPFELDPFQKEAIYHLEKGNSVFVAAHTSAGKTVVAEYAFALAAKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDISIRPEASCLIMTTEILRSMLYRGADMIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHINFVLLSATVPNTIEFADWIGRTKQKQIRVTGTTKRPVPLEHCLFYSGELYKVCENEEFLPHGFRAAKDVHKKKTTSSVSGGAGLRPGSSTAADKGRGQRRDSSSQAKQHKHSGPQRLGNFGGGWGTQSTGPGQNVMGFRRSEASLWLTLINKLLKKSLLPVVIFCFSKNRCDKSADNIPGTDLTSSSEKSEIRIFCDKAFSRLKGSDRNLPQIVRIQSLLHRGIAVHHAGLLPIVKEVVEMLFCRGLVKVLFSTETFAMGVNAPARTVVFDSLRKFDGKEFRQLLPGEYTQMAGRAGRRGLDKTGTVVVMCRDEIPFENDLKHVIVGTATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFHAQKKLPEQQQLLMRKLAQPTKSVECIKGEPAIEEYYDMYLEAEKYSHQIAEAVMQSPASQQYLSLGRAVVVKSQSAQDHLLGVVVKTPSSNNRQYIVLVLTPELPSTLETSSDRSNRKDQKNSEMQILLPKSRRGYDDEYCSSVTSRKGSGAVNIKLPHRGNAAGMNYEVRGVDNKDFLYICVKKIKIDQVRLLEDVSAGAYSNAIQQLLSLKSEGNKYPPALDPVKDLKLKDMNLVEAYYKWNNLLQKMAQNKCHGCIKLDEHMKLAKELELHRAEVNALRFEMSDEALQQMPDFQGRIDVLKEIGCIDADLVVQIKGRVACEMNSVEELICTECLFENQLDDLEPEEAVAIMSSFVFQQKETSESFLTPKLSQAKKRLHETAIRLGELQAQFKLPIDPKEYAQENLKFGLVEVVYEWAKGTPFAEICELTDVPEGVIVRTIVRLDETCREFRNAAAIMGNSALYKKMETASNVIKRDIVFAASLYITGV >Solyc07g039200.3.1 pep chromosome:SL3.0:7:45716673:45721752:1 gene:Solyc07g039200.3 transcript:Solyc07g039200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSLKNPKKAKRKNKAKKGEGSSDSVPSLPAKVWQPGVDKLEEGEELQCDASAYNSLHAFHIGWPCLSFDVLRDSLGLVRTEFPHSVYCVAGSQAEKSSWNSIGIFKLSKISGKRRDLVPDKTGDDSDMDGDSSDSDEEEEAGSGTPVLQLRKVFHEGCVNRIRAMTQKPDIVASWGDTGHVQVWDISSHINALAESESDLSHGASAVSSQAPLFKFGGHKDEGYAIDWSPRVPGKLVSGDCKNCIHLWEPTSGATWNVGAKSYIGHTASVEDLQWSPTEDTVFASCSVDRNIIIWDTRMGNPLAATITAHKADVNVISWNKLASCMLASGSDDGTFSIQDLRMVKDGDSVVAHFDYHKHPITSVEWSPHEASTLAVSSSDNQLTIWDLSLERDEEEEAEFKSKMKEQVNAPTDLPPQLLFVHQGQKDLKELHWHSQIPGMVVSTAADGFNILMPSNIETAIPANVA >Solyc06g075760.2.1 pep chromosome:SL3.0:6:47226697:47227852:1 gene:Solyc06g075760.2 transcript:Solyc06g075760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVLCRFCGSRVAFMEDYISINEIKHGIKFILRTLPGGIFKRVFNVLLLDKVNYNGIIKVQSLFGTTVASVNCGQCRTNLGWKFVAGTQQNMFVMEGIFLMKLDKLRLCNVENADQDGDANEQAPNDQGGDANEAVPKIELMEMLMNRLLMIKMEMLMNKI >Solyc05g021370.2.1 pep chromosome:SL3.0:5:26941325:26943708:1 gene:Solyc05g021370.2 transcript:Solyc05g021370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSNTKFLKYIHLEVKNALRFSFWVSQNPLMLCLWSDHCFSVCYLNRCSKRDVRVKLRIIKHQKWASRTSKITSSISGIHWLLNVKMDHFLNLILSQTSPISASHCFRGFFDTQNLDNLHQINKLEKQKIPRNT >Solyc08g081180.3.1 pep chromosome:SL3.0:8:64369812:64378065:1 gene:Solyc08g081180.3 transcript:Solyc08g081180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLRITSVIFICGVLLNFPAIVTAGDIVHDDDLAPKKPGCENDFVLVKVQTWINGEEDAEFVGVGARFGTTIVSKEKNAQQTPLTLSDPRDCCKPPRKKLSGEVVMVDRGHCKFTTKANNAEAAGASAILIVNNQKELYKMVCDPGETDLDIHIPAVMLPQDAGITLNKMLLNGSSVTVQLYSPKRPVVDIAEVFLWLMAVGTILCGSYWSAWSAREAAIEQDKLLKDASEEELPKFGTGDSSTVMDINMISAVLFVVVASCFLFVLYKLMRFTWFFEILVVLFCIGGVEGLQTCLVALLARWFKPTGESYIKVPIFGAVSYLTLAVSPFCITFAVVWAMYRNSSFGWIGQDILGIALIITVLQIVRIPNLKVGSVLLGCAFIYDIFWVFASQSLFHESVMIVVARGDKSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLVAFSLRYDWLAKKNLRAGYFLWAMIAYGLGLLITYVALNLMDGHGQPALLYIVPFTLGTFLMLGRKRGDLKILWTKGEPERVCPHVRLESIEESNREG >Solyc05g050420.1.1 pep chromosome:SL3.0:5:61453879:61454377:1 gene:Solyc05g050420.1 transcript:Solyc05g050420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKQKRKITNHWYVDYKICCTNLKGSKSEEVGRFIKQLLEASPDILRESLIHVMIEFLLIILTDGLKAIVIRHDKLFVPLARVRQLTKEMYTEGVKLRNVTLSMRQSNMSYRSFNLWRRELDTSVLYF >Solyc09g074235.1.1 pep chromosome:SL3.0:9:66436478:66437129:-1 gene:Solyc09g074235.1 transcript:Solyc09g074235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKQRSQGHKEDSSIRHQLCYYITSIASMRLMLKCILPSTLLTTSDLSTRSSLFIASSVEGAWHDAI >Solyc04g082830.3.1 pep chromosome:SL3.0:4:66450776:66455346:1 gene:Solyc04g082830.3 transcript:Solyc04g082830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDLFITASMPVLKVLLITALGLILALDRIDLLGQDARKHLNNIVFFVLNPALVSSNLAKTVTYESLKKLWFMPFNILFTFVAGSILGWAVLQVTRPPQHLRGLVVGCCAAGNLGNMLLIIIPAVCKEKGSPFGDPGVCHTYGMSYASLSMAVGAIYLWSYVYNIVRISSSRSSKEVEINDSSTSISSREGSISTESLLPAKAPPEQLGLPSTRFDHKTQAHLATRLKQLMENVSRKINLKRLLAPSTTGAIAGFIVGLVPQMRKLMIGDVAPLRVIENSAILLGDGAIPLLTLIMGGNLLKGLTGSGIQKSLLLGIIVVRYIALPLIGIVVVKGAIRLGLVQHDPLYQFVLLLQFALPPAMNIGTITQLFGAGESECSVIMFWAYALASISLTLWTTFFMWLVS >Solyc05g025710.1.1.1 pep chromosome:SL3.0:5:36048012:36048191:1 gene:Solyc05g025710.1 transcript:Solyc05g025710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGQPVAVTVNCTDNTGAKNLYIISMVLVSLFPSITSQCSFEFEVTGEFGCGGIAYFS >Solyc01g103245.1.1 pep chromosome:SL3.0:1:91778579:91784793:1 gene:Solyc01g103245.1 transcript:Solyc01g103245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMLRKHGFCNNCLKLALLVEEDKSIDSDGESVDLRDRETYEGLFKEYYEIVKEKEGFDKNSLLAGKAKLNKAKISQISSDSDKHSEEEDEHSEEEDDQISSDNEDFSDRESQKKRLKKKRCIQHKTKMQRSVNLKKKVFVGWGSKTLIDFLQFVGQDTREKLSQYDVTSIVTKYIKEHNLIHPVKKRRILCDARLQAVFGKKVVNRHRIFSLLESHFLENEEQLQKDELDHDLEVDDTEILVAPKTEKKVEQKKMSSIWYSSAAQSQFAALIPKNIKLVYLKRSLVQEMIKQPESVETKIIGSFVRLKLDPRDYEQRNSHQLVQIAASISGIKLRSSDKCNSETSIQVSNMARDVSLTMLSDDEFCKEECDDLQEKVKAGLLEKLTIVELEQKAKILHEDITKHERKLLQDASYLSFKLHNIPAVIPEEVELESLDRNDETTPVKQNIPGATAKKDERDGN >Solyc01g009235.1.1 pep chromosome:SL3.0:1:3187705:3195705:1 gene:Solyc01g009235.1 transcript:Solyc01g009235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETLKNGILVFAVNGKRYELPSVDPSTTLLQFLRSETCFKSPKLGCGEGGCGACVVLLSKYDPQLKRVEDFSVSSCLTLLCSLNGCGITTSDGLGNNKDGFHSIHERFAGFHASQCGYCTPGMCMSFFSALINADKANSTDPSAGFSKLTAAEAEKSIAGNLCRCTGYRPIADACKTFAADVDIEDLGFNSFWKKEDSRDMKVSKLPPYDPSKSLNFSTFPRFFKSEPAAYLDSRKYPWDTPASVDELRSLLQSNLAENGARIKLVVGNTGTGYYKETQRYDRYIDLRYIPELSIIRFDHIGIEVGAAVTISKLISFLKEENKINLSSYGNLVSQKLAQHMEKIASPFVRNSASVGGNLVMAQKNGFPSDIATLFLGLGATICVLTSQGHEKLTFEEFLGRPPLDSRSVLLTLLIPFKKEGSPTCSKFLFETYRASPRPLGNALPYVNAAFLADVSSHGNGILINDIQLAFGAYGTRHPTRAKQVEEHLTGKILSVNVLSEALKLVKQVVVPEDGTTHPYYRSSMVVSFLFKFLFCFTNVDPMKYGGLLNGITLVEEVSESNKDGYISEGKLHTLLSSAKQVVESSKEYHPVGEPMKKFGASMQASGEAVYVDDIPSPPNCLYGAFIYSTRPLAGVKSVHFGSNSLPDGVAAIITFKDIPSGGANVGSKTIFSPEPLFADDLARYAGDRIAFVVAESQRSADVAASMAIVEYDTENIDSPILTVEEAVQKSSFFQVPPLFYPKQVGDFSKGMTEADHKILSAETRLGSQYYFYLETQTALAVPDEDNCMVVYTSSQCPEYTGSAIASCLGVPEHNIRVVTRRVGGGFGGKAVKAMIVSTACALAALKLQCPVRMYLNRKTDMIMAGGRHPMKITYSVGFKSNGKITALHLDVLVNAGITEDISPVIPSNFIGALKKYDWGALSFDVKVCKTNLTTKSAMRGPGEVQGSYIAEAIMEHVASVLSLEVDSVRNQNVHTFESLKLFYGDCAGVIGDYTLPGIIDKLATSSNFVRRTEMIEQYNQLNMWKKRGISRVPLVYEAMQRPTPGKVSILSDGSVVVEVGGIEIGQGLWTKVKQMTAYGLSLIESSWSEELVEKVRVIQADTLSLVQGGFTAGSTTSESSCEAVRLCCKILVERLTPLKKNLQEKNGSVDWTTLIRQAKFQAINLAANSYYVPELSSMKYLNYGAAVSEQPYGPAEAPFYWKLTIAMSVLQVEIDILTGETKILQSDIIYDCGQSLNPAVDMGQIEGAFVQGIGFFMLEEYLTNTDGLVVTDSTWTYKIPTIDTIPKRFNVQVLNTGHHEKRILSSKASGEPPLLLASSVHCATRAAIKAARKQLKLWGKLDESDTDFYLDVPATLPVVKTQCGLNYVEKYLETLLDLKSS >Solyc09g005970.1.1.1 pep chromosome:SL3.0:9:665882:668098:1 gene:Solyc09g005970.1 transcript:Solyc09g005970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLCGGDDMSSASDLPFLGPRNNMELQEFGRKPRHNVSITLGELLKRFGDSAEEKNQVLELGNISNYTTSPSSFPFVLSFHNLNYSVKVKSKISLPRWLRRGDKDDELSSDKVLLNDISGEAREGEIMAVLGASGSGKSTLIDALADRISRESLKGNVTLNGEVLESKLLKVISAYVMQDDLLFPMLTVEETLMFSAEFRLPRTLSKSKKNARVQALIDQLGLRNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIVLFLDEPTSGLDSTSAYMVVKVLQRIAQSGSIVIMSIHQPSYRILSLLDRLIILSRGHTVLTSPPSCLQQFFADFGNPIPENENRIEFALDFIRELEGTPNGTKTLMEFNKIWQRGKNSTTSTSSFYNGPKPSLKDAISASVSRGKLVSGATNNIDPNLSSSNVPKFANPFWVDMVVIAKRSMLNSMRMPELFGMRFGAVVVTGIILATIFWKLDNSPKGVQERLGFFAFAMSTTFYTCAEAIPVFLQERYIFMRETAYNAYRRSSYVVSHAIISLPSILVLSIAFAVTTYWSVGLAGGVSGFLYFLLFLVASFWAGSSFVTFLSGVIYNIMMAYTVVVAVLAYFFLFSGFFISRDRIPPYWIWFHYMSLVKYPYQGVLQNEFSDPNKCFVKGVQLFDASPLRAVPEALKIKLLQNMSKTLGMNITNTTCLTTGSDILKQSGVTDLNKWTCFWITIALGFFFRILFYFALLVGSKNKRR >Solyc02g030180.1.1.1 pep chromosome:SL3.0:2:25744642:25744875:1 gene:Solyc02g030180.1 transcript:Solyc02g030180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKLKINGSHAHTHARTRARARAHTHTHTTNSDKDQQPAIDEHPAVPAAATTPIEPQAASSSYQRQQASSSARGR >Solyc01g005775.1.1 pep chromosome:SL3.0:1:501852:505114:1 gene:Solyc01g005775.1 transcript:Solyc01g005775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVKLIFFMLYPFLCQLALSSSSPHLCPKDEALALLQFKHMFTVNPNASDYCYDITDQENIQSYPRTLSWNNSIDCCSWNGVHCDETTGQVIELDLRCSQLQGKFHSNSSLFHLSNLKSLDLAYNNFSGSLISPKFGEFSGLAHLDLSHSSFTGLIPAEISHLSKLHILRIALNVLNLRSNNLEGTIPQCLGKMNICKLDLSNNSLSGTINTNFSIGNQLRVISLHGNKLTGKVPRSLINCKYLTLLDLGNNQLNDTFPNWFGDLPHLQIFSLRSNKFHGPIKSSGNTNLFAQLQILDLSSNGFSGNLPISLFGNLQAMKKIDESTTPHYVSDQYVGYYDYLTTITTKGQDYDSVQILDSNMIIDLSKNRFEGHIPGIIGDLVGLRTLNLSHNVLEGHIPTSLQNLSVLESLDLSSNKISGEIPKQLESLTFLEVLNLSHNHLVGCIPTGKQFDSFENSSYQGNDGLHGFPLSTHCGGDDRLGSSSHGLWLWTCYWTVRNIHNVVNSISSMVFEVGCEIGTQNYYENEKARGKILVCNNLQNSSLQSC >Solyc01g100910.3.1 pep chromosome:SL3.0:1:90684936:90687639:1 gene:Solyc01g100910.3 transcript:Solyc01g100910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4B1C2] MGKELLAFVVMVIVQLGFAGMIIISKLVMDGGMNPFVQSAYRPIFATISIAPFAFYFERKNKSKLTIFVLFQIFLCSIFGITANQYAWFIGLTNSTPTIASAIDNLIPAFTFIIAVPLGIEKLGLRSIAGQAKFWGTIVCVGGAMLLSLYHGKVVIGQLGFHWKLAENTGKDVNSAHSNFFLGPFLLIVCSLTYAIWLIIQTKLNEKYAAPYTCITLMCCMASVESVIIGFCVVPKLSEWKLNPIRAISVVYNGAVSTSLVFFLSSWCIEKKGPLYVSMFNPLLLVISAFLSWTLLREKLYLGVVVGSMIVVAGLYGFLWGKKMEDIKVNKEKMNQLSKSIDLELQLPNSNDQISSSELAKAKLQI >Solyc06g075860.2.1 pep chromosome:SL3.0:6:47264208:47266511:1 gene:Solyc06g075860.2 transcript:Solyc06g075860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLYLVDLDEYPKEDIVHCNICETRIGLANDFIDSIDYEMTVVFHKMCNVQVDEEKYHRQVNGNTVADTYCVKCGMLLGMKLIVVPYSNQTLHHREEYFLMNAMMQMQMSTIMIKVERQMNRVIMTMEVLMSTIKMKKMKKMVEVVMSTIMIKVEGQMIKMALMNMKIMMKIEVWPAGIFNRLLQSLNRGHGCYGRNVPYETEHA >Solyc03g005130.2.1 pep chromosome:SL3.0:3:84280:86742:1 gene:Solyc03g005130.2 transcript:Solyc03g005130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKSTTVVWSANPNFPLNSSATLFISSSGELKLTPSSSSSAPNLWPSSIRNTSSVLFLQEDGNLVYGNWNSFLNPTDTYLPTQNITGTNLTSGNGKFHFDSNTLYFNGNDSYFTFSQNALQRLEETGEVTQVNGRFLSSDFGEKGKLRRMKLDEDGNMRIYSFDISAKNWTIVWQAVNQLCTIHGTCGTNSICMYDTSTTQTSCVCPPGFRKDTSKSCVRKIPLMTKESKSSKYLPLDFVSFTGVGNQTDLKALSFSSCEKNCSDKNDCLGFLFKYDGTGYCVLVLEKLLYGYWSPGTEFVMYLRVDSRENDISNFRGMTSLMETSCPVRISLPFPPEESKTTTRNIVIISTIFAAELISGVFFFWAFLKKYIKYRDMARTFGLEVMPAIGPKRFSFSEIKNATNDFTDKIGKGGFGDVYKGKLSDGRVVAVKCLKNVKGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGRRILVYEYVPNGSLGEFLFQKAPIQSPDEQKPILDWNIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKVSDFGLAKLKKKEEMMTMSRFRGTPGYVAPEWTKADPITPKADVYSFGLVLLEIVSGSRNFEHHNSKVESDQWFFPAWAFDKVFKDMNVDDILDPRIKQSYDSRAHFDLVNRMVKTAMWCIQDRPDARPSMGKVAKMLEGTVEIIEPKKPTIFFLSEE >Solyc01g099980.3.1 pep chromosome:SL3.0:1:89944226:89950506:-1 gene:Solyc01g099980.3 transcript:Solyc01g099980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSDVASMRSLSEISEEETVRLSIDLVAAARRNLGFLRLVTESQWLQERPTILESIRRYDQLWMPLISDLSNGSNPPMILPPFDIEWVWYCHTLNSVSYRQYCESRFSKLIGKAAIFNEENGEYALNRCKEIWVHRYPTEPFENEFDDSNLQKPVSTVHEELLKEVSKQRNYLCTKFSEPYYSEIVYLIAARQRYKGFLYMMHKLADSCSVLVPTSDILLMRITHQSYPTAYTLDTKGLEEEMRKVVGGWENVKEADVENTKKLWERIFDQPYEKAGGLAIGKAVDLKPPIYWEVTDTDVNAKYSSMLPRFLLEVCLSVKLKPKMKPLSCDASKEFLRFQMVRCHRELKIDRPFSKFTSQRWQKALHLYCEFGTKGMVLEVRQRGGGCIKGSSLRESVTFLWNDLLRAPSLNFAKEIDQKVRVATSITPPVQASYLLKCVPDRVSDDSGAMISDVILRMNQYHPQEGRWLSRTVLDHAGRECFVIRFRVGGGFWRRGAETPSAVKWEDRIIEIREGRWSYVAGSIGRAPEKVVGIAKPKDPPEGWHALWNLSTGHELLVQWESSRSTSGLNFSVINQQSTDSVVKLLEGRQMQYEVKKSGLGEETEHVPNEKLKQVEDKEEDGFITVVRFSEDNPVGKATALINWKLMVVEFSPEEDAVFILLLCMSIIRSISEMKKEDVGSLLIRRRIKEAKLGDRDWGSVVVHASSYSPSISSPHLQPWYWNVQAVMGSQGVDNIPRLQAPVLTYTPAEGGDKLYKHGIIN >Solyc04g018140.1.1.1 pep chromosome:SL3.0:4:9636990:9637211:-1 gene:Solyc04g018140.1 transcript:Solyc04g018140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLLGLVYDFFLIWMIDSLFYSLYVFDQKLYYLTFRCPVKFIIRQSTCLPPPPPPLFFSFQICSIRGIWSV >Solyc07g022900.3.1 pep chromosome:SL3.0:7:23219651:23221488:1 gene:Solyc07g022900.3 transcript:Solyc07g022900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVGRSFQVLTLLSGSSFHGKSIRRTCVKGFNSTRARKGHSLTPLAQAHQRPTWLPGLDPPPHLDGTLAGDFGFDPLGLGEDPESLKWYVQAELVHARFAMAGVAGILLTDLLRVTRIRDLPVWYEAGATKFNFASTTTLLIIQLLLMGFVETKRYMDFLHPGSQAKPGSFFGLEAALEGLEPGTMGSTKPLAYTPTKHSQTLTFSRYPGGPLLNPLGIGKDIKNAKDWKLKEIKNGRLAMVAMLGIFVQASVTHVGPIDNLIDHLSNPWHKTILQTIAASSS >Solyc10g038158.1.1 pep chromosome:SL3.0:10:20267805:20268803:1 gene:Solyc10g038158.1 transcript:Solyc10g038158.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNKKAAKALVRYLPEKWCRAYFDAKCKNFMIDNNFTESFNSWIVEARQNPIIKMLEEIRVKVMNMLRKHEAEVKSWKNVFSLRAMHLFDDYKVIAQRCKVEFNGGCGYEVTEGVDKHTINIDLKRWSCRVWDLSGIPCPHAIKVFTHKKVDPIAEIHWWYSMDAYLKVYKQKIKPVRGENFWKIETHHAMEPPSLPKMAGRPKMNRTREKDEAKNRQGAW >Solyc07g054760.1.1.1 pep chromosome:SL3.0:7:63106436:63106687:-1 gene:Solyc07g054760.1 transcript:Solyc07g054760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRAWIVAASVGAVEALKDQVGLCRWDYPLKCLAQHTKNNMRCYSQAKKLSSSLIAKCEKTEQSEESLRKVMYLSCWGPN >Solyc04g050910.1.1 pep chromosome:SL3.0:4:48907602:48909693:1 gene:Solyc04g050910.1 transcript:Solyc04g050910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHIPPHKRQTKGYPSLNPTPAPESVMRYVFDKRKYTRNVGYTDHTILKWSSIGLADELRFSLLLVSKHFHQSPLQRKKAYILSLWFQLIKDRTTVSCKCPMAKDHNKIQLYKIELNRVRHMVANTSIRGKSSDLRLFVYTKKIKLALSDEEKNEIKDLIGSVILDSQVPGGLRWPLDKRHSPGGRYVVNSIGYTTAKSYMNSSIRFKFRHANRYKFESSTGEEVTQEIFMKMPGIVSELRKQTMDEDLVFEMLKDNLKLIWDLSV >Solyc03g078010.1.1.1 pep chromosome:SL3.0:3:50330353:50330853:-1 gene:Solyc03g078010.1 transcript:Solyc03g078010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNKISFLNKNPFFDSFHLFHDRNRGGYTLHYDFASEERFQEMTNLFTLSITESGLVYHKGFAFSIDSCGLDQKQLLNEARDESKKKSLLVLPPIFYEENESFSRRIRKKWVRISCGNDLEDPKPKIVVFASNNIMEAVTQYRLIRNIIQIQYSTYGYIRNVFN >Solyc11g007050.1.1.1 pep chromosome:SL3.0:11:1528860:1529162:-1 gene:Solyc11g007050.1 transcript:Solyc11g007050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTTTFFLLSFVIFISQYLVISPYPPCASGVCSGVKCKWPELVGVEVKQAKFIIEQENPSVTGVILGDTGCVHQMDVCINRVWICPDKHGRVATVPQVG >Solyc10g049285.1.1 pep chromosome:SL3.0:10:44789005:44797908:-1 gene:Solyc10g049285.1 transcript:Solyc10g049285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFGTLGRSLLIRPGDLELRGFSNSDRANDKNDRKSTSGFHVFLGPNLISWCTKKQPKKAGLEKCTSQPTPMAVSSSTNGADTPFADITHFRSLIGALHYLAIIRPDIQFAVNQVGQRMHQLSEHDYHCLKRILRYIFGTLSRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFSGLNLISWCTKNNP >Solyc12g089280.2.1 pep chromosome:SL3.0:12:65369566:65390272:1 gene:Solyc12g089280.2 transcript:Solyc12g089280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease [Source:UniProtKB/TrEMBL;Acc:K4DGZ9] MGVPAFYRWLAEKYSMVIVDVIEEEAPVIEGIKFPLDTSKPNPNNIEYDNLYLDMNGIVHPCFHPEDRPSPTTFDEVFNCMFDYIDRLFSMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDAADAAAEEEKLREEFEREGKKLPPKQASQIFDSNVITPGTQFMATLSIALQYYIHLRLNHDPGWKKIKVILSDANVPGEGEHKIMSYIRLQRNLSGYDPNMRHCLYGLDADLIMLGLATHEVHFSILREVVFTAGQRDKCFLCGQMGHLAANCDGKAKRKAGVFDEKGDAEVVAKKPFQFLNIWTLREYLEYDLRIPNPPFVIDLERIVDDFIFMCFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRSLGGYLTDASKPNLGRVESFIQAVGLYEDKIFRKRSKMHKKQCERNERNKAMAIRQAKREAKRKNDFAPQVAPESLVPVTRFHGSRLASGPSPSPYQQGGASKSCRPDQLGQTTSSLSILDIKTEQSDASDDKQTARTRKNKRKRAADRARKIARLSSEATIGAAIVEAEVSENKEELKTKLNKLLRDKNDTFNSENPEEDKVKLGVPGWKERYYDEKFSAKTPEEMEAVRKEVVLKYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGQMNITFELGSPFKPFNQLLGVFPAASAHALPEQYRKLMTDPKSLILDFYPTDFEVDMNGKRYSWQGIAKLPFIEEARLLTEVAKIEHSLTEEESRRNSVMFDMLFVSLSNPLSPCIFALDARCKHLTVGERLEVKERLDPIASGGMNGYLSLCRGDPCPSIFKSPIEGMENITQNQVICAIYRLPDTHKHIPRPMEGVILPKKMVTLGDMKPDPVLWHEQSNKKPWEDGRNRHRGAMSDRDHHRDAMSDRNIQCGAMSGFQLGEAARRLVVNSLQKKEDRGGNGDHRRPHNGQGRDNYMHARPASCRAAYGPPVPSFETPSNNAHENIQPSFVHSAQDPGRYPRSSGSNDHSSKSRPYHETHSRDYRGHGHHTYKMQQNGAHSHSSYASHPQHVGQIPVPPTTIFHQQSGNDVSMSYEPRGAESYNQQGGGRASRNQNGTGYQPYSSGNQFSAFRRGGNRRPPSGHRR >Solyc04g005120.3.1 pep chromosome:SL3.0:4:85164:88296:1 gene:Solyc04g005120.3 transcript:Solyc04g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAVLRLSSLSSLRLYSITSSSPISHLLALPLRKPRFTICHAKFDGSIGEAAERMYRMNFEDDELLEEDEENDEEEETESSIDLLVRFVQSMFTKVSKRARKATRSILPDVISPQLVTFAVDGVLILALLSILKAFLEVVCSLGGAVFVAILLLRVLWSAVSYFQSNGSDFNSAGSSYGRTRPAA >Solyc06g062960.2.1.1 pep chromosome:SL3.0:6:39853775:39854740:-1 gene:Solyc06g062960.2 transcript:Solyc06g062960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIISETVMMKAAEAQTVKAKLTAPMEVDFAKCECCGLTEECTLSYIETIRQRYQGNWICGLCAEAIKDEVIRCERLITAEEALNRHLNFCKNFSSSRPPLNPTVHLIDAMRQILRRSFESPKLIRSMSSSPVESSGEMKHTVIVRSESCIPAISLVDSSSFHAMGFDGSCE >Solyc09g061620.3.1 pep chromosome:SL3.0:9:60338931:60343494:1 gene:Solyc09g061620.3 transcript:Solyc09g061620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSLIYSFVARGTVILAEYTEFTGNFTSIASQCLQKLPASNNKFTYNCDGHTFNYLVEEGFTYCVVAVESVGRQIPIAFLERTKEEFTKKYGGGKAATAVANSLNREFGPKLKEQMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRTQGTTMRRKMWLQNMKIKLIVLGIIIALILIIVLSVCGGFKCH >Solyc11g028010.2.1 pep chromosome:SL3.0:11:20035620:20040363:1 gene:Solyc11g028010.2 transcript:Solyc11g028010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSAISDDWEFTTNGARTLVLVGCTGNGKSATGNSIVGRKAFKSMSSSAGVTSTCELQRTVLEDNQILDVIDTPGLFDCSSEPEFVGNEIVKCINMAKDGIHAVLVVLSVRTRFSREEHAAVQSLREFFGSKISDYMILVFTGGDDLEDNDETLDGYLGRNCPELLKNTLKMCGNRQVLFDNKTKDPLKKAEQLKQLLFLVNVVVENNGGKPYTDDLFKELKKGATKLHNQATEVNSLVGYTKQERLELKEQMQKSYEEQLKRITEVVESKLKETTHRLEEQLAKEQAARLKAELSAQNAQKKSDEEIRKLREYLERAQRETEELRGRSGACNIL >Solyc09g098590.3.1 pep chromosome:SL3.0:9:72870863:72905435:1 gene:Solyc09g098590.3 transcript:Solyc09g098590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFGSHASSEFSNTRVSENSKKLNVCASETQESNTHDESIKKNDEEKKDDGSVRCSLKIEVIDDTAMIDISQVGKSCSIDKNFDGKSGRNRKKKNANQMVTVKAKDRKIEEKNVNGGCKINGGEEKRVYCRKELENLRFVGMEQQRKMWVELYCGLGDTVQKEYDGLVDSNTQKHIRLSRSRRHIGKENTPVISGNDHSELLDDQEGNVDSKNSLSAFPPSRDDGISCEGENNVYEESDDDYSSIQRPAFKVTGEPDFDSGPPEDGLEYLRRVRWEALRLPKVKVAAVQGSKLNKEQTSYMPQIPDISSCLEHLLPLKKWEEAFLADFSELRLALSRLEANIGSSRQLLSSTMVTYVFSFEGLCVLFQALSRLEANIGSSRQLHSSTFVDKPHSSDQLPENIVLDKFDGLMSGEDESSISDAGDDPKSSPANSPTLSVILGMDAVGRVSMLRKQITAVQSLSALTMDDCLWLFVLCAAVDTPVDADTCASLRSLLRKCANLRADKSKLDDEVIMLNILKGTKASVPAKAEVQKAIQTLEVPTLSLDKMKEETDNFGSKALVGEGSHGRVYYTNLNNDKVVVVKKLDFLSQAPRALTGFLASTTHPSQCSSTPFERYGRTDRLRRCRCYTSDMDERYPVEVLRGVPGSMLLLSASSNWKLCCSSSFSSESFEEISPESLWEDLKPTISYLSCKELELVNKALNLAFEAHDGQKRRSGEPFIIHPIAVAQILGQLELDWESVAAGLLHDTVEDTDVVTFERIEKEFGATVRRIVEGETKVSKLGKIKCKDESHVQDVKADDLRQMFLSMTEEVRVIIVKLADRLHNMRTLSHMPPHKQSGIATETLQVFAPLAKLLGIYQIKSELENLAFMYTNAQDYARVQRRIAELHKEHEKELKEAKRILMKKIEEDQFLELVTVMTEIQSICKEPYSIYKAVLKSKSSIKEVNQIAQLRIIIKPKPCVGVRPLCSAQQICYHLLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGKGFVNGLVGHVITNDKNSGGKIVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVDTITRDLLGSRVFVFTPGGEIKHLPKGATVIDYAYMIHTEIGNKMVAAKVNGNLIKPMHVLANAEVVEIITYNGLSSKSAFERHKQWLQHAKTRCARHKIMKFLREQAALSASEITVDSVKEFAAESEGDSTVEKLADYSEGTKHSWEKILKNVMDVLSARMSGENIFQLRSGSIQIPKVNGKHNKCMQHTNLKATGETLSQGNGVGEMILANIPRYRDVLPGLDGWMASKVATWQNLEGHSVQWFCVVSIDRKGMMADITSALAAVGVIICSCAAETDRGKGIGVALFHIEANLESLGKGILQPHHLIDELNNAVCDDTACEKLKEGPFCEILKSTQEAIVLPPFVAIAVRPRPGVWEYVRVNVYDLSVEQLTVPEYLRFKEELVDGEDHNHLFVLELDFEPFNASVPRPSRSSSIGNGVQFLNRHLSSNMFRSNESLDPLLDFLRGHNHKGNVLMLNERIQRISRLESSLNKADDYLSKLPPDTPYTDFEYALQEMGFEKGWGDTANRVLETMHLLSDILQAPDPSTLETFLGRLPMVFNVVILSPHGYFGQANVLGLPDTGGQVVYILDQVRALEAEMLLRIKQQGLNFKPRILVVTRLIPDAKGTTCNQRLERISGTEYSHILRVPFRTENGILHKWISRFDVWPYLEKFTEDVAGEMSAELQGVPDLIIGNYSDGNLVASLLAYKMGITQCTIAHALEKTKYPDSDIYWKKFEEKYHFSCQFTADLLSMNHSDFIITSTYQEIAGTWLAWNLIAACFRKNTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADMTIYFPYFDKEKRLTSLHPSIEKLLFDPEQNEVHIGSLNDQSKPIIFSMARLDRVKNITGLVECYAKNATLRELANLVVVAGYNDVKKSNDREEIAEIEKMHALMKEHNLDGQFRWISAQMNRARNGELYRYIADKRGIFVQPAYYEAFGLTVVEAMTCGLPTFATCHGGPMEIIQDGVSGYHIDPYHPNKAAELMVEFFQRCEQNPTHWENISASGLQRILDRYTWKIYSERLMTLAGVYGFWKLVSKLERRETRRYLEMFYILKFRELINEVRKLIGPALNKFPTMCSDASILRFLRARNWHTKRSAKMLKEALIWRLENKPNMIRWDDIAKQAEPGKVYKANYFDKYGRTVLVMKPGIPNPYSVEMQMRYLIYCMENSILDLKSGQEQMVWLIDFEGWNMSSISVKVTRETARLLQDCYPERLGLAILYNPPKVFESFWILVKPFLEKRTYKKVKFVYPNDVDTQKVMEDLFDMEKLESCFGGKWTHDFDYVTYSNRMREGDKMMTDFVISGAPLPSDQFQLSTDETTSNFKTSHDTSENHPNIDDIKQTD >Solyc01g111250.3.1 pep chromosome:SL3.0:1:97477781:97478877:1 gene:Solyc01g111250.3 transcript:Solyc01g111250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFFSKQVERRKSIHTQKKLLYDLKEKTSSNFPGSDYRSDDRKNWMSTLALEKLQVNQIIWPGTHDSATNKIGIPFISRPFARTQSMSIYNQLVTGTRVLDIRVQEDSRVCHGILVSYHVDVVINDVKKFLSETQSEIIILEIRTEFGHDDPPEFDTYLENQLGEFLIHQDNSVFNKTVAELLPKRVICVWKPRKSAQPKHGSPLWSAGYLKDNWIDTDLPETKFESNMKHLSEQQPVTSRKYFYRVENTVTPQADNPVLCVKPVTNRIRPYARLFINESISRGYSDRLQIYSTDFIDEDFVDACIGLTNARIEGKL >Solyc01g060030.3.1.1 pep chromosome:SL3.0:1:69378518:69380716:1 gene:Solyc01g060030.3 transcript:Solyc01g060030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKNEESGAQDAAERIKAQALSAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQERKEAKRQMYLMSTEKQVRLGERKDKPSGSTLGAASQCQKCFQAGHWTYECKNERVYISRPSRTQQLKNPKLRMKVSASYDLENPDIEKEMKSEKPVKKSKRKHKSDTESGTDSEASVFESGSEASSVTGSDYSSGESDSSDSSSTDSEEERRRKRKNKKKKKQKKRRHRKYSSTSESSDSYSDSESDSEGRTSRKKSSKRHNKKR >Solyc03g013330.1.1.1 pep chromosome:SL3.0:3:47352314:47352610:-1 gene:Solyc03g013330.1 transcript:Solyc03g013330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNDELRERLTRLEALIGGTNDGKDLVDLVTQIQKVKAQLTFTKEVLNKKVLLLKAEYADKHDTAQQEMELVRGEKEDLHGEVLLLCHMLQGTIAP >Solyc12g006420.2.1 pep chromosome:SL3.0:12:898264:909050:-1 gene:Solyc12g006420.2 transcript:Solyc12g006420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSDCKDFMDLSNSSSSISDNALFDASQYAFFGRDIGEEVELGGLEEEGNNCVPAVDGGFGDVDTHEYHLFEKDEGSALGSLSDIDDLATTFSKLNRNVAGPRHPGIIGDRGSGSFSRESSLAAEWAKETDFPDCFDQHLSDTECYQDSKRWSSQSHLSPLHLSESKPLYRTSSNPEQPQQLQRFSSEPILVAKSSFTSLPPPAGRSLQASPYSLSHHQSMPSLAAGPHSHYSNANLSTLSNSNIHLPGLSHGLHYGGNMPQWTLPSLSLDTRLQNHWTSHASLSHGDHSRLLNSLSPHQFPRNGLLSPLLISSQQLQQQRLHHSVQPSLAHFSALPSQFNSFPSPAHLGKHGLDDFRDSKSKSSHKGRQNVRFSKLSSEGSSQKSENNVPKFRSKYMTGDEIESILKMQHPATHCNDPYADDYYYQARLAKKAAESRSKHRFCPNKEQPSRSRNSTDSQPHLHVDAKGQISFSFIRRPRPLLEYDPPGFVCNGSGDQKISEKSLEQEPMFAARITVEDGFYLLLEVDDINRLLHFSQPQDGGVQLKRKRQILLEGMAASLQLVDPLGKSGSSVGLTPKDDIVFLWLVSLPKGRKLISRYLQLLVPGSELVRIVCMAIFRHLRFLFGGFPPDLEAAETVTALAKTVSACTSRMDLNLLSACLAAVVCSSEQPPLRPLGSPAGDGASVILKSVLERATHLLTDPQTVSGLSMPNPALWQASFDAFFGLLTKYCLSKYDSIMQSLMSPAQSNTELIGSEAARAVSREMPVELLRASLPHTNEHQRKLLLNFAQRSMPVTGFNAHGVSSGQINPESNTTSCFTSMPVEMLKARSKGMDLLVVSKRRNNITRKLLLAAGITAICFLIFRTSPGFSSFMKFAQREPGVTHVLVTGGAGFIGSHATVRLLKDSYRVTIVDNLSRGNMGAVKVLQELFPEPGRLQFIYADLGDAVAVNKIFKRNAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLLLVKAMATYGVKTLIYSSTCATYGEPEKMPITEETPQAPINPYGKAKKMAEDIILDFSKTSDIAVMILRYFNVIGSDPEGKLGEAPRPELREQGRISGACFDAARGIIPGLKIRGVDYSTPDGTCIRDYIDVTDLIDAHVKALEHARPSKVGIYNVGTGRGSSVKQFVEACKRATGVNIKVEYLTRRPGDYAEVYSDPSKIRHELNWIARYTLEESLAIAWRWQKAHRNGYN >Solyc09g065940.3.1 pep chromosome:SL3.0:9:64453552:64457432:-1 gene:Solyc09g065940.3 transcript:Solyc09g065940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGHLVNCDTWIFVKLSVRQRFSSVRPPDFEKIMQDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRGDRKPPGVGGRGRGRGGSRDEGAAGRQAKGIGRGMEDAGAKGRGKGGPGAKSGGKGGGRGRG >Solyc01g098240.2.1 pep chromosome:SL3.0:1:88695393:88703083:1 gene:Solyc01g098240.2 transcript:Solyc01g098240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVILREERIKDRLEELESRDKHFEDRCRELREKEKQLNGIPNAHLKTEATEDVTVDTVYTTVGNSTVTRFTAIMDGKSLQIFLIEHEKELALMSDDIFEALQMSPDPAKLVLDAMEGFCPPHLRKRETEFEGSVARRSCILLLEQLIRVSPEIQGSVREIARCIASDWKVKIEATEGNQDEILVFLYLLAAYSLVSFFDADELMILLESVAKHDKFAELCCALDMKQNLPCFIQNLLTKQQHLEAIRHAYAFELVDHFPPTAILKDYLECVERNYVNVLEKATSSAEEKIEAIEQRVASVRAVIRCILVYKLQSQYPVEQLEEQIEFLTRQKEDQAALSIICEAKRPEQANVNQMGSTNPSIRTGTKALNSVSVSAKACACTFDHSNTMAIIIMNMSGNNLQNFLNKHSKEHKLLRSEVFSALQMSLDSDMLVLEALEGFYPPNHRREEIGFHRNIIRQSCILLLEQLMELSREIIPEAKLKASKLAFAWKAKMMTEMENHLTILGFLLLVGCYRLSSAFEKEELESLYHKVAHHVNTSKICHVLGISDNTSKKSKRHQAQGCTDESICDNMDINGKRHDVLCHCASSSYCTSDPALLVLDAFLSCHPTKIVRCENFPSVMRAFSDLLDQLRGVSPEIDLHVKKEAFVFASDWYSFLMGSQVKPTEIVAFLQLLAIYKITDSFHPDRLLGLLEKVQPTERVVALVKILGLTDEIQCSQISALHISDYEILVPIAFIIDLVQNLRDKNQWLVAFNYVYAFELVNLVSPVLLLKDYVSYSKQIAKRILHAGNSSYEAQIKAINCEIYALRNAVRHIVDRGLQSEYSPFCLERQIERLQYQISNLRRSDSNWDLTGMSQQHEPNNGIYESGTFAQVRKEFTRKRSAPAGETYAIYRAQQTQYFKRHSHLSMRR >Solyc07g052580.1.1 pep chromosome:SL3.0:7:61189631:61191538:-1 gene:Solyc07g052580.1 transcript:Solyc07g052580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARAKAAEGKSNHQQISVSPCLPWIPLPTTIKTKCCWILTKRPCFATKITLTEVVTKKKIKILPKGVIMAILLKVPPKSMLKFMGVSKPWLRLISSRKLVKTHVKLTANDKECSNDRVIFQDSTGNFKVSPLPPLFRKEQRIELFNMDSPMENPNTYTKIVGSVNGLICMYSKIEEPVLWNPTIRKCKKMPTFEANLRRGCSYYLNYGFGYDESHDDYKVVVVQCIYDDGGSYDTVVNIYSLRTDSWRTIDKFQGNFLINSPGKFVDGKLYWALSADINTFNICNIISLDLADETWKSLMLPTSYGEGIYPLALGVFGNDLSVLCLNCHEVTNSDVWIMKHSGVEVSWTKIFTIDHPKDLGEFIFFSSIFSVPSCQSNKGELFLLLLPPVIMIYDCSTRQLEAVDHFEECFAAEIYVESLVDPLLIAQNDNS >Solyc08g007360.3.1 pep chromosome:SL3.0:8:1919187:1928571:-1 gene:Solyc08g007360.3 transcript:Solyc08g007360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein sec16 [Source:UniProtKB/TrEMBL;Acc:K4CIM3] MASNPPFLVEDQTDEDFFDKLVNDDDDDVGFNVTTSSTGLGAGASASSVYVDGNESDEVKAFADLSISDDVDSGVDTGKKEGEKVDKGVDSIAKPDLVVEGNRENSSGSLVSLTSGMSDGLLESSNGNLETEVIDGKTENQTSGSSNSGVKEVGWGAFHADPVTNDASGFGSYMDFFSELGDNNGDATGNVGENVNKASTVLPVEQVHDTIQVHETAHLENSSSLTQSQDSYVHDATAEQVADGQDLNSTQYWENLYPGWKYDTSTGQWYQVNSYESGANVQGSTDSNLVSDWSVSDGTSEVSYLQKTAQSVSGNAAESGTTESVTNWNQVSQVSDATQNLANWNQAMQASDNRGTVIDWNQATLASDAGVLTTDWNQASQLNNGYPSHMVFDPQYPGWYYDTIALEWCSLESYTSSVQSTVQGESQLDQNGLASVQTSSHNSDQRNYGAYGHNDDSRFQEFSSGGGDYNWSGSFGNYNQNQHSSNISQNENVAKSNTVSEYRGNQQLENNYNHNFSASSHLNRQINNHYEGTVPYNANTTQSQNDQRFFSGGGSGQQFSQPTLQQYEQNHSSSDYYGTQTTANYSQQAFQSSQQFAHAPTAGKSSAGRPPHALVSFGFGGKLIVMKDQSSFGNSSFGSQNPVGGSISVLSLMDVVSERVDSSSVVMGSCDYTRALCQQSFPGPLVGGSPSIKELNKWIDERIANSEPRDLDYRKGEVLRLLLSLLKIACQYYGKLRSPFGTDAVLKESDVPETAIAKLFASVKRNGVQANQYGSLAQCLQQLPSEGQMQATAAEVQSLLVSGRKKEALQCAQEGQLWGPALILAAQLGDQFYGETVKQMALRQLVAGSPLRTLCLLIAGQPADVFSLDSRAHSGMPVVNAVQQPAQFGANIMLDDWEENLAVITANRTKDDELVLIHLGDCLWKERSDIVAAHICYLVAEANFEQYSDTARLCLVGADHLKFPRTYASPEAIQRTEIYEYSKVLGNSQFILPPFQPYKLVYAHMLAEVGRISDALKYCQALSKSLKTGRTPETETLRQLVSSLEERIKTHQQGGFSTNLAPAKLVGKLLNLFDSTAHRVIGGLPPPMPTSGSSQGNEHHHQFVSPRVSSSQSTMAMSSLITSEPSSDSSRMTMHNRSVSEPDIGRTPRQVDSSKDASSSNTGSNASGAGGMSRFRRFGFGSQLLQKTVGLVLKPRQGRQAKLGDSNKFYYDEKLKRWVEEGAELPAAEPPLAPPPTAPAFQNGAPDYNVKSVLKSESPLCNNGFPEMKSPTSSDNGAGIPPLPPTSNQFSARGRMGVRSRYVDTFNKGGGNPTNLFQSPSVPSIKPATAGNAKFFVPAPMSPVEETGNSTFHEQETSSNSESDSVSAANGPTHFPSPTSSTAPIQRFASMDNLSNKGAVASSLSANSRRTASWSGSFPDALSANKSELKPLGSRLSMPPSSFIPSDVNLMHSSTNGGSLSDDLQEVDL >Solyc12g094440.2.1 pep chromosome:SL3.0:12:65629469:65635185:-1 gene:Solyc12g094440.2 transcript:Solyc12g094440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTGLISPDAVKREMNPQPMASHQKIVNNPTLFWECLRNFHSAVGAKDTVPVIGGKDLDLHVLYVEVTKRGGFNKVVADKKWREVSSIFKFSPTTTSASYALRKHYFTLLHHFEQVYFFKHEVPMFDEGSCFKAEGRISAKFDCGYFVSLKMGSEVLNGVLYHPNQQAQPSSSKSGAQSCNAIVPYYSPPISGRRNRRRRNGDPNRPKPNRSGYNFFFAEKHAMLKSLHPHREREFTKMIGESWNNLSPEEKMVYQEYGVKDKERYQRELKEYKESMMNTSSCY >Solyc08g068870.3.1 pep chromosome:SL3.0:8:58005683:58008288:-1 gene:Solyc08g068870.3 transcript:Solyc08g068870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALINKLIFFLVYFGYNQILPILGENHSAPPNYKVVNISSLLPKPYCQESTSGPAIGSQKLKIVPRHGPCYPNAKTPSSDSEQLMNWDKVRVRSINKKPKTTPLVLSNYDYGSYTVKIGLGTPRQDFFLMFDTGSPATWVRCKSCTKGCESNNRLYDFSISSTHTNKTSGCNGQFGASYNDNSLVQGIWGCDTFIGDDHEIGPIQDFRFGCGEILKGDFDQMDGMLGLGKGDSSVTSQIPSIQMFSYFIPITSSRMGNIYFGYEQVMDRSKDCSMTQFTALVDGECKDCYYVDLIGISVAGSKLDVSSKMLTSGGTVIDSGTVITYLPEEVYYALRDAFRQSMLGYTLLEKGDVLMDTCYSVNKLFTIPEIKFHFGKENSIDVSLSEAGTLWTPTDSTMCLAFAPAKDSSIIGSVQQRGMNVIYDLERKRIGFGTNCPMY >Solyc09g090160.3.1 pep chromosome:SL3.0:9:70173278:70181942:1 gene:Solyc09g090160.3 transcript:Solyc09g090160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQQSKGELLYQQVNYGNVEGIKSLHREGAGLEWIDKEGKTPLIVACMNPGLYNVAKTLIELGANVDAYRPGRHAGTPLHHAAKRGLEQTVKLLLSHRANALLMNDDCQTPLDVARIKGFSNVVRAIESHICLFSGWLRELYGPGFLELLAPQLLSRKVWVVVLPCGSRNLRKPFRLELAIYSAVQDAQPRTIVALWKANMEEPNFSQPDSAVIISDISNIPKRWRRRRGIMPSQLIKSRLRGARRARIKLTAVQESEKQQLQSFCNACKGIPQVMHPAFPFSSQPPMVPATAPSTTEDVELAMAITASLQSASQQRPTYHENHTGSGAETSMGWINPVEVASHDDSSFKGASQKASSSGCQVEEASSSGTQVEQVQVPSEMSTVVQSMPENPVTASVPTAPPLTDDIIDNGPIHYPSIDSSPIDLSSVTVQNSGAHESKNPDGASSSCVICLDAPVEGACIPCGHMAGCMSCLNEIKGKKWGCPVCRATIDQVIRLYAV >Solyc10g054000.1.1.1 pep chromosome:SL3.0:10:54334338:54334568:-1 gene:Solyc10g054000.1 transcript:Solyc10g054000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPYSFTVTSHFLITLGLSFLIFIGITIVGFQKNGLHFLSFLLPAGVPLPLAPFLVLLELIPYCFRALITPQKLAQ >Solyc01g091435.1.1 pep chromosome:SL3.0:1:84933087:84934427:-1 gene:Solyc01g091435.1 transcript:Solyc01g091435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCILKYRTWQQKAILALSALARAMKEMNNVRCVWRQGQILPGKQEVLPPDFTPNPVLELKKSARRIKERPSGPDGEREEFDKDADVKAID >Solyc03g007620.3.1 pep chromosome:SL3.0:3:2159767:2168627:1 gene:Solyc03g007620.3 transcript:Solyc03g007620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDSGSGFPSSVRISGLNTTGKGGPAFVGQVFSMCDLSGTGLMAVSTHFDIPFLSKRTPEWLKKMFAAVTKSERNGPVFRFFMDLGDAVSYVKKLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKEANKLLKAMQQSDGRKKVDGVPVFSAQNLDIAIATTDGIKWYTPYFFNKNMLDNILEESVDQHFHSLIQTRHMQRRRDVFDDNLAADVIEEMGDSMWEPPEVQDALDEVGPPAIPLSVISKAAEIQLLHAVDKVLLGNRWLRKATGIQPKFPYMVDSFEKRSAASFQRACRISGFVNNSPLEANNNQLQCIGTSAVEGADNVSNKKRLGLDIRLPFGGWLSPAWSKGQKQQMMEDKREYREVHPSPLLPKITMVGISMGEGGQVSKTTLKKTMEDLTKELEYTDQKSSSGNNVDEITFEERDPLFVANVGDYHSSAAKGGSTRWVRGGTF >Solyc03g117543.1.1 pep chromosome:SL3.0:3:68161293:68162934:1 gene:Solyc03g117543.1 transcript:Solyc03g117543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHALFDMNLEHLKPLFYSSADTFFILIRLSSEFGVYNCREETMVGAILFYNKKLYLPFQAYVMGWFREIILVEFDFGGNEANQRICVPRWFYSICSAGTVRDNILFGREYDPRRSLSLHFDKTIRICLDKFQLHRVVSSQFCIFKVPILLVKLNVLGDDILFIEESLFNSIFLDFDGNRYSEVLRACSLDFDISRMMGGDMAFVGEKGFNLSGGQRARLALARAVYHDAEIYLLDDIVSAVDAHVGSSILQNAILGPPMNQQTRILCTHNIQVSIDRLPFSARSNRSFLRFLNILLNSFIICLFSNKKC >Solyc12g055940.2.1 pep chromosome:SL3.0:12:62880020:62896130:-1 gene:Solyc12g055940.2 transcript:Solyc12g055940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGPRGIEVFEDHFQASTSGEDPMHSPDLSKYIGSNDSLRSISRSWTRRKLKGAASILNMFSLNKLPWMSGTDGQEKVVLTAAEVESLRSELGALEEREAHCKAQDGQLYPENLFQLMTLTLMIGCLDFLSFRDRVSSDLSPQDSTLLSDVVEVGTLPCLIRDNEDKRYCFYISTRYGLKYECSSISKIKVDSWLEALQSDCKLRLTFDKLVSADAKDCADLVMYNFNLGIYIVKESLLALCGKAFSKHMSLVLFNLWRKMNDYNANNVVNEVKASLSEAEGEKKLLDDGLISLVSSVKDAGEVLDVIAQNTGRSGGVVSGSDCCLIIAAALERSNAELAISVFDAMRSTFHPGTTVLDRGPSYDRWRWSRPDVNTYTLLVRGLATLLRVSDALKIIANVCRVSISPNEEVLFGKVVRCPSCEIAVTVAQPQDGIQVVSCSKCRYQYELLSGNIVSIESEDFSMDIPAWRRGLRFLPIKQNIPAAVHSIVVETPSGMARTHRFATETVDLPAQEGERVTIALAAPPNVYREVGPLKFNPKAPNVYPGEPLCLTNHKDGRESPLLRAPKKDKTPSLLNPSILVPLLAVFATGDAASGMIDPNLPQIITVAAVSSIALGATLNSLVFPQFSKLPQRLVDTIGIRQQLLSQYDVLQSRIKELKESAENEVWMLARMCQLENKIFAVGEPAYRARRSRVKRVRESIENSLGRTIELIESYARISSIIEIEVEMDSDVLAAEAAGNAESIAEQIQQIMELENLEEKWKIQAEANDEAERLLSSEPLTTENVSER >Solyc03g113520.3.1 pep chromosome:SL3.0:3:65120130:65124083:-1 gene:Solyc03g113520.3 transcript:Solyc03g113520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAKFDMFMSWSRQRSVQVLIFIAFVYVVLVGLEVPFVFKTGFSLVSQEGFGKNRHLNSKTFVLESEEYVEERKAPIRPVDAPVRVVDQSRPERRRIRELHTLLSNLAFNGSSVNMNSTDGFSGILKSAKEAFDVGQKFWEELELQRQEVIPVDYNNKTEECPHSISISGLEFQEKGRMMVLPCGLTLGSHITVVGKPKKAHPEHDPKISLLKTGQFLMVSQFMMELQGLKTVDGEDPPRILHFNPRLRGDWSGKPVIEQNTCYRMQWGTAQRCDGLRSKDTEETVDRQVKCENWIRDNDTHSEQSKSSWWLNRLIAGRPKKMSIDWPFPFSEEKLFVLTLSAGFEGYHINVDGRHVTSFPYRTGFALEDATGLSLNGDIDVDSVFAASLPATHPNFAPQRHLDMSNRWKAPPLLDQPVDMFIGILSAGNHFAERMAIRKSWMQHQLIRSSNVVARFFVALHARKEVNVELKKEADFFGDIVIVPYMDHYDLVVLKTVAICEYGVRVASARNIMKCDDDTFVRVDAVIKEVNKVPKDRSLYIGNINYHHKPLRSGKWAVTYEEWPEEDYPAYANGPGYIVSSDIANFIVSEYDSYNLKLFKMEDVSMGMWVEKFNSSRAVQYVHSLKFSQSGCVEDYYSAHYQSPRQMICMWNKLQQQGRALCCNMR >Solyc08g016180.3.1 pep chromosome:SL3.0:8:6995343:6998031:-1 gene:Solyc08g016180.3 transcript:Solyc08g016180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:K4CJE2] MVTYKFHQYQVVGRALPSENDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKYPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRHHCIQIIKTATIPAKLCKRESTKQFHDSKIKFPLVFKKVRPPSRKLKTTYKATKPNLFM >Solyc07g039557.1.1 pep chromosome:SL3.0:7:47865537:47868717:-1 gene:Solyc07g039557.1 transcript:Solyc07g039557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYMVLNEYAWVYELEFKNERLVAELLRLSSGPGQGQPTGSTSEMVLELDQSKCPNTSNKSNNMNSLNPYFLQHSDKPSLMLVPIKFNGINYPTWSKSMIHALTAKKKLSFIDGSIEPPPSKIDKPTEYGLCSQCNRMILSCLDHSVESDLSRGVIYAKMAHQVRQDLKDQFIKRMHQQYIRFKNH >Solyc10g009580.3.1 pep chromosome:SL3.0:10:3690493:3692087:-1 gene:Solyc10g009580.3 transcript:Solyc10g009580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTPGMGHLIPLVEFAKQLILQHDSSITIILPTDGPISKSQNTFLSSLPSGLNYRLLPPVNFDDLSDDVLIETRISLTITRSLSSLREVFESLVKSHRVVAFVVDLFGTDAFDLAKEFNVSPYMFYTTTAKMLSLDLYFPVLNQTVSCEYKDLQEPDLPDPLHDRKDDAYKWALHHTKRFKMAHGIILNSFIDLEPQTIKYLQEAYNNRPKIYSIGPLVLMDKKFDDDVSQCLTWLDKQPRGSVVYISFGSGGTLSHEQIIELAIGLEMSGQRFLLVVRCPNDRIPNGTYFNNQNSTNPLDFLPNGFLERTKGLGLVLANWAPQVQILSHVSVGGFLTHCGWNSILESVICGVPLIAWPLFADQRTNAVMLIEDLKVALRPKIRDNGIVGRSEISEVVKELMEGEEGKEVCIKMKELKDAAKKVLSEDGSSTKALDELAFELKKCVT >Solyc09g008290.3.1 pep chromosome:SL3.0:9:1749843:1750761:-1 gene:Solyc09g008290.3 transcript:Solyc09g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFLPVRPASPCGPPMTKRPDGLRWKMVFSSSNLGLAIGPEPWAGFVLPHFSKLGTKLGGQNMGEGHELRSFICGIPKHMTLVTSSNFFWFLGKMTVNSLGDVRALLFNVNKDLAVVSHATLLSGSCSRQASRMASETWSQSLSG >Solyc04g017950.1.1.1 pep chromosome:SL3.0:4:8730180:8730350:1 gene:Solyc04g017950.1 transcript:Solyc04g017950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLNLVICYSSYAARYIQCKHNIHMQTICLGWIPVGKILLMSLIGFCILFIRWLS >Solyc02g078215.1.1 pep chromosome:SL3.0:2:43533997:43537732:1 gene:Solyc02g078215.1 transcript:Solyc02g078215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWEFREMEDDSCLCLRTCKDKIRFHEYFEAAQFDLTQKKVGFHGQATTFLSVVRIYRNHFIYLSTENH >Solyc01g009330.1.1.1 pep chromosome:SL3.0:1:3449009:3450289:-1 gene:Solyc01g009330.1 transcript:Solyc01g009330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4ATC9] MEDVEVPNYFLCPISMQLMRDPVTTSTGITYDRENIEKWLFKCKNTTCPITKQELLTMDLTPNHTLRRVIQSWCIMNSSHGVERIPSPKPQVTKSYVLKLLKQAMQSQEMQLSCLRSLKSIAHASESNKKCLESCEVVDFLASIIMKKEVAFIQDSELSCDNSQTPLVLEYITTKASDEALDILFHLNPSDETLKRFVSKDNGDELFLDSLLYFLKCGNCQSRDYAIMLLKSAFNVADPCQLIGVKKEYFKEIFLFLKNKLISQQATKASLKLLVELCPWGRNRIKAIEVGAISTLIELLLDTIERRSCELILTILHQLCSCAEGRAELLNHGAGIAIVSKKILRVSQVASDRGVRILCSISKFSATCKVLQEMLQVGVVSKLCLVIQVDSCSKTKEKAKEILRLHSRVWRDSSCLPPHLLSSYPS >Solyc06g048430.3.1 pep chromosome:SL3.0:6:31113318:31124771:-1 gene:Solyc06g048430.3 transcript:Solyc06g048430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSKAFLFLGIFLAIFAMISSETIMKSDDKNDEHHDGYNSIGGYSGDGRGEYNNGYKLRDGGNKPPHEGYNTPGGEYNSPHDKYKSPRDEYKFPSNEYKSPSDKYNPPRDKYKLPSDEYKYPATEDSNFVEAWRKELLTVEPPSTASVFMATRPTTSSSIPSKLTVNFILPYSSELSGKEVDMVIIPATTGQMGVLPGHVATIAKRKPGILSVNEGNDVSKYFVSGGFAYVHANSFADIIAVEVVPLDRIDPNLVQKGLTDFAQKLNTASTDVEKAEAQIGVDVHSALNASLTG >Solyc09g059996.1.1 pep chromosome:SL3.0:9:57447303:57454896:-1 gene:Solyc09g059996.1 transcript:Solyc09g059996.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLKKDLRMSWVCSGGSLNAFFFLLIGAISQRLAKRFQKCEYAKSKLFRTEGRGWGLSADENIKAGQFIMEYCGEVLSSEAAKKRCLAYEAHKIKDAYIMSLNANYFIDATKKGSLARFINHSCQPNCETRKWIVLGETRVGIFAKRDISVGMELSYNYNFEWYGGAPVHCLCGAANCSLFLGAKSQGSRLAQECSTVLEEGNNRHIVENLPLYDDESSPVISGTSGGNEHTKILNDGEGSTFQLEPTNSATKKKYQHKPKLKRLKNW >Solyc03g117900.3.1 pep chromosome:SL3.0:3:68386595:68389925:1 gene:Solyc03g117900.3 transcript:Solyc03g117900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSCKGLAVELVKCLSESDCVKVEKKSFRECAKEKSPCIPSECVGLRETYFTCKRGQLDMRARIRGNKGY >Solyc08g006480.3.1 pep chromosome:SL3.0:8:1068181:1081099:-1 gene:Solyc08g006480.3 transcript:Solyc08g006480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFFFFFEFLATLSLSVKKNIAMDSRSGGKIVANKRKRYAVGPYDRPQQQPPPAPAAVEEEERFLKSPNWLTGHVFPATRTILSGAAKILTSVFNSDSSSSSSSGSDSMFEDDDREENDICSEVDELKKHPQLRGERSQTKHLIEQLLMQETFSREERDQLVTIINSRVMDSSSLEGKDSLERLDHSSQAIIEARKWLEEKRDGLRPDNTIGGVSSVVGVDDTLGSPVEMAKSYMKVRPPWASPSVDNSGLRTPSQMKAKLFDEEAPYSVSSDSLSLKKKSSYASGSWNIHEEIRKVRSKATEDMLRAHPSKETGYQLRLVERKAEPNSAVNDLTGTSTAEKINDSSSLRLAKLSDVPIKWSDVEITQDGAESENGPLNAASSIQLQDQAVRVGEQAAHESYVHTGSVFPSEHNDGLHISASDAHEVTRPNLAPSSNGYTSLEASLSAGQSRGQSDSYIGSEKPKPGTSTQDKSTKSHQVTDKCVFLSESAVDVPDMNETVESPAASIPSEDLSQEEPVLVRDLAKNGKVVKQQGKRPVRNVRKTTRAKRPCCVASIPKFM >Solyc02g089670.3.1 pep chromosome:SL3.0:2:52052480:52069207:1 gene:Solyc02g089670.3 transcript:Solyc02g089670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQSSELPIGKPQARRKTAPKLAPLIVITILFTIIPIYYPSIRYSSQKKISEVISSNSEDTVQVVDHLDNDLQVNRPCPVEDVPTKPCNVPIKEEQQHEPEQEKPEKLPEKDEKFVTKSDITDKEVQPLPRKKLDRHNQHARKTGHHQRDFTVSKAVPEKTDQKKQLSNDDVRISSSEVSHSSASCDLFSGEWVKNPEGPYYTNDTCYAIQQHQNCLKFERPDTDFLKWRWKPDACELPIFNPTQFLEFVRGKSLAFVGDSVARNHMQSLICLLSKVVYPEDVSETQDENRRWIYKDYDFNISMFWAPYLVKTEKTDPNDVTQPFNLYLDEFDEFWTKQIQGFDYVVISAGHWFFRPTMFYINRRLVGCLYCPQSNVNHVTSYFSYRRAFRTAFRAINSLESFKGVTFLRTFAPSHFENGPWDKGGDCARTKPFKRNEKKLEGYNLEFYKIQLDELKIAQRTGRRRGLKFRLFDATQPMLLRPDGHPSKYGRYTDPNVTVPNDCVHWCLPGPIDAWNDFLVELLKREVAD >Solyc07g052010.2.1 pep chromosome:SL3.0:7:60681563:60687085:1 gene:Solyc07g052010.2 transcript:Solyc07g052010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEMSLRNFLTKLIVVRVTEDQMDEDTKVDHRFYSMVRRIKMRKYESEGMQKIRKPVFTWKILEQEMDEFLLEDNSNNLDLNIQNVSFVYQKEWLSWSLKRKTIFKGDILVDEMGIGKTVEVIALVPAQRELRRQLTVLVYYRLYPWFHEIERCTTKGSNKTLVYHGTNTDKCMYKLEEYDLVTTTYSSIQTDYWPNKLKQNSKNSKWSDDGFIENSAWVGEDVSRRKSILHSVKWERIILDELQQQSHKGGLALESSYKLALTGTPLQYRIREFYLFCRHKGSFKSLVIYPASRLPPSFSDVCPHFPDKRAHHFLWWRKKTSMNMGHKNDGGVAMVLLKQKILKSLLLRRTKKERVANFSLPSKTVIMRKDPLDVSEFNYYKSLHYRSREQFDWYREIRFMVERDGSAKEIVFSHFTSFLDLIQYSLNDSNCKILLMSLKARAVALNLTVASNNCDRNTIEENIIELQEKKKLLFEGTVCGSSEALGKLTWRVP >Solyc07g066540.1.1.1 pep chromosome:SL3.0:7:68065722:68066324:1 gene:Solyc07g066540.1 transcript:Solyc07g066540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSSIMESKPSHPLHQIAETPTHKLLLKQWLKEEELILNRIATKETQIDSVRNEITQLYCIFFLFHSISLMLLFSASSKWASRTGLCHRSWIPSICSLLCSMGIIWAVRYKTDTESHLEKLLEREKEDGKLLAKCVEELKRKGVEFDLLKEVDALRRAKSLRVEAKVVRKWSARDFVSLFFFSVTCLVLALTRTILCN >Solyc02g064840.1.1.1 pep chromosome:SL3.0:2:36534851:36535039:-1 gene:Solyc02g064840.1 transcript:Solyc02g064840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAKRRFNIFILLNITIILIFCSVATEASRPVSTTRYFKSDVVPIFRSEAYSGPSRHGRGH >Solyc12g056980.1.1 pep chromosome:SL3.0:12:64012226:64013239:1 gene:Solyc12g056980.1 transcript:Solyc12g056980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSTMDFWTTTLLDLNSSNSGGELMEALAPFIKSASSPSPSPSVSPSFDLQSSSLSTSFLYESFSSTSQPNMSSIGLNQAQIYLSQQVMPAVTFQNNNQYASYLGPKPVSMKQTGSPPKPPKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLRHNGSLIGSEFGEYKPLHSSVNAKLQAICQDLAQGKSIDTKKKRKVSSKAMMVEVEEKEYKKSKTTAEAGSESDGSGSGSGSGSGSGSSPISEYTFDSIWDMCSENYVLHKDPSQEIFNWASLL >Solyc02g044045.1.1 pep chromosome:SL3.0:2:154497:155403:1 gene:Solyc02g044045.1 transcript:Solyc02g044045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVVTDSSSSGRNVVIEQSWGAPKVTKDGVTVAKSIEFKDKIQIVGASLVKQVANATNDVAGDGTTCATILTRAIFAVGCKSVAAGMNAMDLRREKYQDLFSKGSLFGRLTIKVTPNEKN >Solyc07g041685.1.1 pep chromosome:SL3.0:7:54130440:54131806:-1 gene:Solyc07g041685.1 transcript:Solyc07g041685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMHQRKYALQLFAEVELSGAKPSGIPMDVNVKLTSKQYDDQTKENQGDKLTLSQFLQEPKKSYMDAALRVEVCDCSCGQVRRVYGVSWKSKKQTTISRSSAEAEYMSLASTVTELVWLVGLLKSLEAEIALPLAVAAGVEAELVAAVVIVVEEAVGEPTKAAIELQIDALLLQRTFFDLNIDPNLDL >Solyc12g088480.2.1 pep chromosome:SL3.0:12:64814484:64818064:1 gene:Solyc12g088480.2 transcript:Solyc12g088480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAGRKRGAPAEEEPNDGAVDYEKQRAERIKENKERLHKLGILELTKKLNPPSKKLTTPRISRRAVSIDDPPRRSFRLKDKPPVSYIERMIPKTDKVSKEDVEINIGEGENPEFYTEEHEKLLGDCQNAWTLYVDGYGEDGQRVYDPDNGKSCHQCRQKTLGQRTACSNCKLGQGQFCGDCLYMRYGENVIETNENTNWICPVCRGICNCSRCRREKGYAATGAIYRKVLRLGYKSVAHYLVKTRMVVTTNI >Solyc02g084470.1.1 pep chromosome:SL3.0:2:48103814:48104592:1 gene:Solyc02g084470.1 transcript:Solyc02g084470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVSFTVVNNYHQSILFECALLWDETFQWLLHTWQEAMFGVSPRTIITDQDVAATNTVAKKNIIGCVGYMQFARNEFQHLCELLFVLKCQQLKKVSMNKYFKDYLNSTTSMSVFVTQYDKVVDARYDKVREKDYKTKHSKAILKTLHLMEDEIAKMYTKKIFQKFQEELIQSQKFISEKIKVRDGIHVYKVHLFQRQAPTYIVLF >Solyc01g057257.1.1 pep chromosome:SL3.0:1:60118148:60118401:1 gene:Solyc01g057257.1 transcript:Solyc01g057257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKLNSWTEKDHFPKPFMDQMLDRLAGKGYLLHQKINRKPLLLVHMGPLRSEECRLNCAMHPPHFRYV >Solyc12g017780.2.1 pep chromosome:SL3.0:12:7375909:7376344:1 gene:Solyc12g017780.2 transcript:Solyc12g017780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLMALQLLVQKPTKSWTKLFMIHINHRIVAEKVEEGIKAATDISAERKVAKTLDKKTKDKGVEVGTVLEKVEEEMKEEEEEDVATRNAAVTTLMDFSIVEEEDELVQIL >Solyc10g047640.2.1 pep chromosome:SL3.0:10:41464370:41477236:1 gene:Solyc10g047640.2 transcript:Solyc10g047640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGIRDTMYRRETMTAAVQREGQQQTHFADDGDDDDVDVAGGGGGGGMESIEDSSHHIGYDHNHHGLHNGTDGTIATTAAALNGVEGVPHNSLYVPGSEMVGGGSSDQLTLSFRGEVFVYDAVSPEKVQAVLLLLGGYEVPAGIPTVNMASQSHRASSEGPGRLNQPQRAASLSRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKTVSDEAASSSAEGNAGSSQEEQETLCRHCGTSSKSTPMMRRGPAGPRSLCNACGLTWANKGILRDLSKVSTTGAQELSVKSSEQNGEADGSDVMAAAGIITSDDENMVLPSE >Solyc04g056600.3.1 pep chromosome:SL3.0:4:54501901:54518096:-1 gene:Solyc04g056600.3 transcript:Solyc04g056600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDHLQISPAGAKAIPGKEQQAAGYGILLQIMMLVLSFVIGHVLRRRHFYYIPEASASLLIGLIVGGLANVSDTETSIRAWFNFHEEFFFLFLLPPIILYPHLNSFLQKIFYCFCSIYLGGVTYLMYRLPFVECLMFGALISATDPVTVLSIFQELGTDVNLYALVFGESVLNDAMAISLYRTMSLVRSHMSTDQNYFMITIRFVETFMGSLSAGVGVGFVSALISFFFTFFPHNVLWCLDFTHLFKYAGLDIDNLQNLESCLFVLFPYFSYMLAEGLGLSGIVSILFTGVVMKRYTYPNLSESSQRFVSAFFHLISSLAETFVFIYMGFDIAMEKHSWSHVGFIFFSIVSFTSVHCDCEVCLLFCFLFSGVTLGCTILSQAHCANAYVALMFSPAANVFGCAYLVNLVRPPHQKIPAKHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLIIGGSTGTMLEALEVVGDGQSGSMDETFEGNNGYIAPSYSDESYDGEPSSGNRFRMKLKEFHKSTTSFSALDKNYLTPFFTTQGGDEDEDEPIMHSSRRAGYDGH >Solyc01g093970.3.1 pep chromosome:SL3.0:1:85422812:85433730:1 gene:Solyc01g093970.3 transcript:Solyc01g093970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIFDGSFFFPLGVLLWVQFMLISTCVGLLILVFLEQDMALKRGSSGLGTQRNRSAGSSFPIVILIFCSFLAPLIFFVGRGLYTSTSVDHNDFSTTSSKQVIDIIKASTDDLGPLSLDSLRKNNLSASWKFVGQEIVAKKSAADAQVNKPSQVAAADSKPEITRGKRDGPVDGDHSQFVDSTAKFARRQLRERRREKRAADLVKRDDDVTVKLENAAIERSKSVDSSVLGKYSVWRKEADNDNTDSTVRLMRDQMIMARVYISIATMKKKLDLAHDLQARLKESQRALGDAGSDADLTRSAHEKMKAMGQVLSKAREQLYDCKLVTTKLRAMLQSADEQVRGLKRQSTFLSQLAAKTIPNGIHCLSMRLTIDYYLLPPEKRKFPRSENLENPDLFHYALFSDNVLAASVVVNSTIVNAKEPEKHVFHLVSDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKAAHPNTLSAGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLNKILFLDDDIVVQKDLTGLWSVDLHGKVNGAVETCGQSFHRFDKYLNFSNPHIARNFDPNACGWAYGMNMFDLKEWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYGLTHPLDKSWHVLGLGYNPSIDRSDIENAAVVHYNDDEKPCPQPPPLLHVPPIDLNGYLSGDPLAVSNATRLVNEACRKHGFFLVVNHGIDTKLINEAHKNMDFFFGKPLVEKEKAKRKVGDYCGYASSFTSRFSCNLPWKETLSFRYSAELPFSHHIVQNYILNVMGQEYTHFGDVYQKYCEEMSKLSLSVMELLGESIGVGRSYFREFFEENDSIMRLNYYPICQKPDLTLGTGPHCDPTSLTILHQDDVGGLEVFVDDKWHSIPTNTNAFVVNIGDTFMVMSNGTYKSCLHRAIVNCRRTRKSLAFFLCPKQDKIVSPPKELITKNLPRKFPDFTWPLFLEFTQKHYRADKNTLDAFVHWLLHKT >Solyc07g054030.1.1 pep chromosome:SL3.0:7:62569050:62569661:-1 gene:Solyc07g054030.1 transcript:Solyc07g054030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGHGVSLMAGMGRGSASRRGEGRGISAESVLQVEGEGRDVRGIWGHGACLGTGIGGQMERSMLQGGDWGGRPRATKRISGRGWENKASRKARIGEGKVVGCLGAGMGEREASGYEACFGLERRRGHRGMERALGRGWGRQGYSVCLGEGMQGKGAWGKKRGEGRGASRHGACRGVRREKGGP >Solyc04g072930.1.1.1 pep chromosome:SL3.0:4:60018384:60019406:1 gene:Solyc04g072930.1 transcript:Solyc04g072930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFESDNSDGFDRLPDPLIHLMFNQISDIKTLIRCRSVSKRFNSLVPQADSLLLRVDRVISATDSDDDDDSFLIAFLRSIIKSIHHLVSPSKVLPTPARSQNSPAQILREFERIRNLQIELPSGDLRLEKGTTIRWKADFGKTLKSCVILGFRSGDGGGGEAEFGGGAGAGLKMRVVWTISALIAASARHYMMVEVVNEHKELENLVIKDRDDEGKVVMDKKGLRECRESQGEGEEAEVNNASSGNGVGLWWRNNRTTVPAVRMRMWHEARMELSGGMKMVGATLVVVRPTNGGGERSEVEEQNGDVGLALGAFGEDAVYCEAVERLLKSRSYILEMNSF >Solyc12g088010.2.1 pep chromosome:SL3.0:12:64480927:64506094:-1 gene:Solyc12g088010.2 transcript:Solyc12g088010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLAKYMFGFFVVSAILWSIIKFSSRLFKWILSRVMKASVSFRVGGCNCLRDVAVKFNRGAVESIFISEVRLSIRQSLVKNGAGLISRDPKLHLLICGLKVVTRASSKSAKKTSSKRTRSRKPRKLGRGKWMIIANIVKFLSVSITETAVKTPKAGLEVKEMTLDISKDSGPDPTLSVKFRIVSILVQLCESQISSGQSSMHSGSLPANHAIQTVTEKTSAPFSCEEVSLLCEFGHDREAGTVVRNVDIRNGEISVNLNEELLLKKKGADTAQVAVKPINESGTAEKTEKKPAALAVMREKYASMFPEKLSFTLPKVDVKFVHRVEGFMVGNSITGIQLKGSKTQSIEDVGESTQLHVQLDISEIHLLKDAGTSILELSKLEIIASVYIPVEPASPIRCEIGVKLGGTRCNLIITRLNPWLRLNASKKKKMVLKEESPAREKSRPSDHKAIIWTSTISAPELTIMVYDLNGLPLCHGCSQSLHVFANNSSSADAAVQVEIVEFNLNMSDEHQECLKDLFGIEKTSLIHIAKVSLDLGRKDLDSPEDGLNCKKVLSVDSTHMSICLTYRRLASLISAAFSFKRFMKSFSVSGKKATTLGSKSSKPSGKGIQVTKFNLQQFSLIISGEVGLENAVVPDPKRVNYGSQGGRIVISVSADGTPRTANIISTASDELEKVKYSVSLDISHLKFCMNKEKQSTQVELGRAKSIYQEHLQDRNLGTKVTLLDMQNTKFVKRAGGLKEIAMCSLFSATDISVRWEPDAHIALVELGLQLKLLVHNQKLQDPAKEGDLKDNDQSKDSKESQQLEKQHKKRESVFAVDVEVLNISAEVGDGVELFVQVQCIFSENAQIGMLLEGITLKFNDARIFRSSRMQISRIPKPSSGAANEKTESGTTWDWVIQALDVHICLAYRLQLRAIDDSVEDMIRALKLVTAAKTKLMCPNKEEKPKTKKPSSTKLGRVRLCIKKLIADIEEEPLQGWLDEHYQLWKNGACELAVRLNFLDELISKGGKCGNAAEGNDPLDDGKINISGEDIDVEDTSAIQKLREKIYKQSFRTYYEACQKLVQAEGSGACNEGFQAGFKLSTARTSLFSISATELDVSVTKIEGGDAGMIEILQKLDPVCRAHSIPFSRLYGANINLRTGSLAVLIRNYTCPLFAANSGRCEGRIIMAQQATPFQPQMQQNVFIGRWRKVRLLRSLTGTTPPMKTYLDLPLHFQKAEISYGVGFEPAFTDLSYAFTVALRRAHLSIRNPTPDPPVPKKEKSLPWWDEMRSYIHGNSTLHFGETQINVLSSADPYEKSNKLQVATGYLEIQQADGRIYSFAKDFKILLSSLDSLSKNANLKHPTGFSCTFLEAPDFSVEVLMEWGCDSGNPLNHYLFALPKEGVPREKVFDPFRSTSLSLRWNLLLRPSLPVHDNQSELPSVDNQGVSSGTTSGALKQDNGSVKSPTIQVGPHDLAWLIKFWNLNFIPPHKLRTFSRWPRFGVPRVPRSGNLSLDRVMTEFMFRVDSTPTCIKHMPLYDDDPAKGLTITVTKFKLEIYLGRGKQKFTFESVRDPLDLVYQGIDLHIPKAFISRDDSISVAKVIQMAKKDSQSVVSDMSTIDKPSSRSGSMDRHQDDGFLLSSEYFTIRRQSPKADPERLLAWQEAGRRNIETTCVRSEVENGSGSDDKTRSDPSDDDGYNVVIADNCQRIFVYGLKILWTLEIRDAVRAWGAGLSKAFEPSKPSPSRQYAQRKLLEESKVISSTESQDDNQTPPSHDAGTSKSQDDNHKSPPEPEGPLKSQSEPLPSNAIKADTPQSSSTEKLGTSEDSEGDGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVVSIGSEVIEKALGEGGVQVPESQPQMTWNRMELSVMLEQVQAHVAPTDVDLGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKSGTTQLKVKPLKELSFNSHNITAAMTSRQFQVMIDVLTNLLLARAPKPPKVSLSYSEGDDEYEEEEADEVVPDGVEEVELARVDLEHKARAQKLIQEDIKKLSLCTDASADMGPAKGGDLWIISGGRSILVQKLKKDLINAKKIRKVSSASLRMALQKAAQQRLMEKEKNKSPSCAMRISLQINKVAWSMLVDGKSFGEAEINDMIYDFDRDYKDIGIVKFTIKSFAVRNCLPNAKSDNLLSAWNPPPEWGKKVMVRVDAKQGAPKEGSSTIELLQVDIYPLKIHLTESMYSMMWAYFFPEEEQDSHRRQEVWKVSTTAGAKRAKKGSSAQEAPVSSSHLTKDSQSSSNGDLSQATKNPKANASAVTPNLRRTSSFDKNWEENVAESVANELVLQMHSSSVSSSKSGSLANIEHPDESNKNKSKESKSIKSGRSNEEKKVGKAHDEKKSRPRRLREFHNIKISQVELQITYEGSRFAVGDMRLLMDTFHRVEFTGTWQRLFSRVRKHIIWGVLKSVTGMQGKKFKANHQKEAGPSSVPDIDLNLSDSDGGSAEKSDPLSWPKRPADGAGDGFVTSVKGLFNTQRKKAKAFVLRTMRGEEDDLHADWSEGEADFSPFARQLTITKAKKLIRRHTKKFRPIGGKGHKGSLPSSPSANATFESDSSSETSPYEQE >Solyc11g068980.2.1 pep chromosome:SL3.0:11:53852418:53859174:-1 gene:Solyc11g068980.2 transcript:Solyc11g068980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPATESNDAHSSSQEAKKPQIEPIRMPTVEEIRGQDIWNNCAVRSVVSGVMGGGLGLFMGMFLGALDNPIMQEEMTTRQQIVFQAKQMGRRSWSSCKTFAVMGLVFSAAECTVEKVRAKHDITNTAVAGCVTGGALSARGGPQAACMGCAGFATFSVLIEKFLDRYH >Solyc02g062780.3.1 pep chromosome:SL3.0:2:35100789:35130137:-1 gene:Solyc02g062780.3 transcript:Solyc02g062780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRVDYMNDDSDYNSPYIFKGSSSATTSQRPGIHRLAGYDFKAEAYQKVDEFPAHIIREGDKKIKDVVKEHVLPFLPAKSLMKFKAVSKDWDKWIASPLLIHQQSFSCQSFSGYIYQNMSAWDMNTMFLSLDPSTIGVPSPALDFLPEVVKILSSCSGLLLCQGSEKYYVCNPATQDWKTLPPPRYYHGDEPAVVLAFEPSLRNIEAYYHVICAFPMLDQPIIGFEIYSSESNTWSCSSAYCSDLENSCLDGGNFPKNPPSFLSFSPPPPVLTPAPPHAHLSPPPRSGSNEPSPANNDSSSTVGIVAGIAVGGIVIVALIILCVWCSRRKKKQRYYMAPGPKGGDPYYNNNNQWNRRQSMDHVMKVPQSEMGTQSGWAGATPHQQGANSSGEFGSGYSGQAAPLPSQSPNMGLGGFSQSQFTYEELAKATDGFSQANLLGQGGFGYVHKGVLNDGRVVAIKSLKAGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIANGQRMLVYEYVDNKTLEFHLHGKGQPVMDWETRLKIALGSAKGLAYLHEDCQHRIIHRDIKAANILLDLNYEALVADFGLAKLTSDNNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSYGVMLLELITAKKPVDPSNMMEDSLVDWARPLLTRALEEEKYDGLVDARLEGNYDTDELHRMIGCAASSIRHSAKRRPKMSQIVRALEGNSSLEDLNDNPKPSKVASFAGAPTQSYDTGMYNADMMKFRKMIMPTQEFSSSPTHFLSISSIDSGYSLPELSRLGFEYTEMVANADTGVNGHTDIVANVDTGVNEVKDVVTKADSPVSVLAEELFPVDVHVRVRKMPSSKFRIANSFVPCPNASCWLGGMFSNIFAYAIHLCILNLKDTCKEKLTVKLEDEVFLDAKNGDVSLLSESMKKEEENLIKARVKEEEVNNPKEAPNLNDLQFSKLDELLTQTQLYSEFLLEKIINITMTGVKDEEEGSEDKKRGHGRKRKGETSYNNVSSTNTVQQPVMFSFLSRMNCKIALGIALCSTCLILEKKAKRAVAAMLSRSKEGGSVEDSTLTEEERADKEQAELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTISFLAHLKGNGLHGPYLVIAPLSTLSNWMNEIERFVPSINAIIYHGNKKQRDEIRRKHMPRTIGPKFPIVLTSYEIAMVDAKKFLRHYTWKYLVVDEGHRLKNSKCKLFKELKLLPIENKLLLTGTPLQNNLAELWSLLNFILPDIFSSLEEFESWFDLSGRCGNEAQKEEVEEKRRTQVVAKLHAILRPFLLRRLKVDVEQMLPRKKEIILYATMTEHQKKFQDHLINRTLEGYLTENVSLGNHFRGKLTNLMIQLRKNCNHPDLLESAFDGSYHYPPIEQIVGQCGKFCLLERLLSELFARKHKVLIFSQWTRVLDIMDYYFSERGFDVCKIDGRVKLDERRRQIKEFNDVNSECRVFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATALSVEGRMLKRAFSKLKLEHVVIGKGQFKQERSTTDTMEEEDLLTLLRDDDTEEDRLTQTDISDEDLQRILDRSDLVVGSASEDESKVNVVPLKGPGWDVVVPTASGGMLSTLNS >Solyc06g008930.3.1 pep chromosome:SL3.0:6:2857686:2859005:-1 gene:Solyc06g008930.3 transcript:Solyc06g008930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNKDSQYKKIFKWDNRKKQSQSSDEIKGKSKSNMVLIEYNHAAGKVHQKQETEDNKSSDKSHNDKYFSGYINRVKNKMRTVTSNFDMVDDGGSKKPTIRRDSFNDTISHYINRAKLKIRTTTIVNRSDHI >Solyc06g008550.1.1.1 pep chromosome:SL3.0:6:2445131:2445475:1 gene:Solyc06g008550.1 transcript:Solyc06g008550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKSYNIFYATYCCIVLLLLFFISMETSEGARFLEHKEEGVWRENNAQYLLLPSLQWRSVRSPGSNPGTNSLTDATSQISERNFAGRKEVAHPPPLPFSNNKYISTVDTKNCW >Solyc03g063280.3.1 pep chromosome:SL3.0:3:36173513:36180822:1 gene:Solyc03g063280.3 transcript:Solyc03g063280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIFRICLLCCLQCLPGPINRCTISILNINLGTDDDRPQSQYNRAPARSIIGGNRRFNISSAPYQRMYVDMEVEIHNLEQDAYGAILRAFKAQSDALTWEKESLITELRKELRVSDDEHRDLLTKVNADNRIHSIREWRKTNGNQPIHDQLPSPTVSGSRKRAKSQSVIMPLGAPLESLHHQTIAANTQPTTPGAKWGAAPGNGGFRSRPGQQVFSSRPVHYQQAGPGSSSVLRSGELAERPRDPLIGRRVMTRWPDDNNFYEAIITDYSAVDGRHALVYDMNTPNETWEWVDLKEIRSMDIRWIGDDPGISRGNGGPGYGGVNTLSAGRGRRFARQQFENDVNASENRIVQKASDEIEILHTATLIKKVEKVVDASHPDLAELAKAKKMLKEQEQALIDVIAKLTDVCESGSGEEPPFLRKNDPLGSNHDGADMEPEMRARGQGAPENHPDEDVIVI >Solyc03g082720.3.1 pep chromosome:SL3.0:3:54056787:54059828:1 gene:Solyc03g082720.3 transcript:Solyc03g082720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4BI48] MAETATYNHPLFSCRICQNPIALRDDLLSKRFLAKSGRAYLFGHAMNVVVGKKEDRKLMTGTFSVADIFCSKCGQELGWKYVKAYDPSQKYKEGGFIIEIAKILKEY >Solyc10g083800.2.1 pep chromosome:SL3.0:10:63676830:63688137:1 gene:Solyc10g083800.2 transcript:Solyc10g083800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAKTNREFDISSPAEEKPPAQYRVPETEPETGDLNRRKPDEPQVKVSGSNGVVVYRRNKRRKTADSNRNSSGKVVNMDVTKGNLGISANNGDVVEVEVKEETRSKRLTRSALGRKRELLEITNGNSGGEVDEGSGVVISGTPTKKLEMKMSKKISITVIPETVKELFETGLLEGYPVFYNGGKKGIPLRGTIKDTGILCSCELCKGATVVPPSKFEIHACNSYRRASEYICLENGKSLLDVVKECRKGSLKTLEETIQSVIGPVPVKKSLFCRDCKGSFVATLAGNDEQLCDSCIVSLRSEATPTQSINTENAVFEPVLNLNSSGTSNMSSVSLRSVKGRKKKKVAIKHSRRQSPSAHTLSRKKWKTPNKVTKPVFAPKSDETSITCSSFRNNMQGNISEKLSKSVLVTKYSKVASPGVSVHSRTQWKMTKRDQKMHRLVFEEGGLPEGTEVAYYSRGKKLLVGYKKGSGIFCSCCNTEVSPSQFEAHAGWASRKKPYGYIYTSNGVSLHEFAISLLKGRKSSVKDSDDLCIICADGGKLVLCDGCPRAFHKECASLSTIPRGKWYCKYCESMLQREKFAERNTNALAAGRISGIDPIEQITNRCIRFVKNAEEAEFIACVLCRAYDFSKSGFGPRTVILCDQCEKEYHVGCLKKSKIADLKELPKGKWFCSVDCKRIYCALQNLLNSGEERLPDSCLDAGRVKEKHNSLVAVGELDVRWRLLSGRISSRETRRLLAEAVSIFHDGFDPIVDSVTGRDFIPSMVYGRNIRGQDFGGMYCAILTVNSTVISAGILRIFGQDMAELPLVATRVGSQGKGYFQLLFSCIEKLLAFLGVRRFVLPAAVEAMSIWTKKFGFEELTPEQLVSYRKTCWQMISFKGTSMLEKMVPKCRIIKQGEGETDVPDE >Solyc11g005620.1.1.1 pep chromosome:SL3.0:11:480216:481259:1 gene:Solyc11g005620.1 transcript:Solyc11g005620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-acetylglutamate kinase [Source:UniProtKB/TrEMBL;Acc:K4D4H5] MLAAKTFSLSSPVSKVSNSPDSSLIFPKSIPAIKANRNILSNPFQASCLKTSLESVIAPDVQSYPGATRVKILSEALPFIQKFRGKTIVVKYGGAAMKSEALQASVIADLVLLSCVGMRIVFVHGGGPEINQWLGKLGIKPNFLNGLRVTDASTMEIVSMVLVGKVNKHLVSLINKAGATAVGLSGIDGHLLTARPSPNSEQLGFVGDIASVDPSVLRPLIDNYHIPVIASVAADKTGQSYNINADTAAGELAAALGAEKLLLLTDVAGILEDRNDPGSLVKQIDIKGVKKMTDDGKIAGGMIPKVNCCVRSLAQGVKTASIIDGRLQHSLLLEILTDEGAGTMITG >Solyc09g030360.2.1.1 pep chromosome:SL3.0:9:32354378:32354473:-1 gene:Solyc09g030360.2 transcript:Solyc09g030360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTPTIRKSPTKLDPAKSKFLVGKQISIDPS >Solyc10g005590.3.1 pep chromosome:SL3.0:10:468413:475055:-1 gene:Solyc10g005590.3 transcript:Solyc10g005590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPGRGRAASPSGSPAGVSKRGRSPPPPPESKKASPPPRKVSPIPESRVIHVDQLSRNVNENHLKEIFGNFGEILHVQLAIDHVVNLPKGFAYVEFKTRIDAEKAQLHMDGAQIDGKVVHARFTLPERKKAASPPRAVATSSRRDAPRTDNAPVDVEKDGPKRQQELSPRRKPASSPRRSPIGRRGSPRRGPDSPVRRRANSPFRRGSPPPHRRRPASPMRRRSPSPPLRRHRTPPRGSPRRIRGSPVRRRSPLPPRRRSPRRARSPPRRSPIGRRSRSPIRRPLRSRSRSISPRRGRGAAARRGRSSSYSSSPSPRKGPRKISKSRSPKRRPLRGRSPSNSNSSSSPPRKP >Solyc07g049710.3.1 pep chromosome:SL3.0:7:60183883:60188907:-1 gene:Solyc07g049710.3 transcript:Solyc07g049710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to ATP synthase subunit H protein [Source:UniProtKB/TrEMBL;Acc:Q53U40] MGFLVTTLIFVAIGVIASLCARICCNRGPSTNLLHLTLIITATVCCWMMWAIVYLAQLKPLIVPVLSEGE >Solyc10g080270.1.1.1 pep chromosome:SL3.0:10:61725461:61725691:1 gene:Solyc10g080270.1 transcript:Solyc10g080270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQMLLAAAFMAAPLTLFLPPFRSLNSFVESLEFLHHETTSICNLNTVLRFRDFFSRYMDIPHVLLLSSPPPPTLR >Solyc11g044380.1.1.1 pep chromosome:SL3.0:11:33004731:33005162:1 gene:Solyc11g044380.1 transcript:Solyc11g044380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYNRHEYDPKVVSLEPYHHGKTELPLAEHFKHIALEMFVSGSYKDVVYFYNKIPEVVDNARSCYVDGSMDKYNDHEFALIMLLDACCIINHVELSTTEMYNELRSTRHHLGMLLYQQQFVTCFLLESNPILDTEALDQLTI >Solyc03g013440.3.1 pep chromosome:SL3.0:3:46094157:46098832:1 gene:Solyc03g013440.3 transcript:Solyc03g013440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGSSNIRVRLLDNCYNNNNDGRIEDNTISGPTSFSRTCFNGLNALSGFLILEGDNLQNLSGQLQIFGLDGKQCFVIIISLIILPTVWFNNMSILSYISASGVLASILLLASILWAALFDGIGFQTSQTHFINWKGMPTAISLYAFCYCAHPVFPTLYTSMINPKKFSKVMFVCFLLCTISYASMAIVGYLMFVPSVLSQITLNLPINKISSKVAIYTTLVNPIAKYSLMIKPLENSMENQLPIHYKTRVCSLLIRTILVISTVIVALAIPFFGYLMSLVGAFLSVTASIVLPCLCFLKISGIYQRLGFEQVFIVGIVIMGIAIMLAMFGLPTYTSVCGHPNSTD >Solyc01g008925.1.1 pep chromosome:SL3.0:1:2877841:2882143:1 gene:Solyc01g008925.1 transcript:Solyc01g008925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEFLKLLLGCAQLIFQGVTHVICCTGTTAFPSRRWDGDNTPERVDWDGVRNLVSALPKSLKRIVLVSSIGVTKFNELPWSIMNLFGVLKYKKMGEDFVRNSGLPFTIIRAGRLTDGPYTSYDLNTLLQATAGERRAVLIGQGDKLVGEVSRLVVAEACIQALDIDFTEGQTYEVNSIPMKLSIYRYIYTELYYKFPSPPQALKVKDEVLIREIEYSKNVPTAKRSMILVAAAIWFNHVKHCSETGSRSTMNSCPRVSFYQSHPTRYDSSKEKTKYGSLKQEP >Solyc01g099680.3.1 pep chromosome:SL3.0:1:89726488:89728376:1 gene:Solyc01g099680.3 transcript:Solyc01g099680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNPKPQQPAEMAQIDGEKLKYLEFLQVAMIHAALCVVKVYGYAKENSGPLKPGVQTVEGTVKTVVGPVYDKYHDVPVEVLKFVDRKVDQSVRTIETRVPPMVKQAPAAARSVAADVKSAGVMGAASGLAKTVYAKYEPTAKGLYTKYEPMAEQYAASAWLSLNKFPIVPKVTQAVVPTAAYYSEKYNVMVQQTAEKGYKVASYLPLVPTEKIAKVFSTQPVASS >Solyc04g008350.1.1.1 pep chromosome:SL3.0:4:2006147:2007328:-1 gene:Solyc04g008350.1 transcript:Solyc04g008350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRKVSGLLKDQNSVWISSLSRRTALRNPDIEAAVIKATSHDEFSMNMKNVDRVFRWLRLSSTNLKPLIWAISVRTEKTKSWVVAIKVLILMHGLYSTRLPCVQRIGRLTFDLSNFEDGHSRNIEMQGINAFIRAYFMFLDQKSYFLYIEMEEKRSMVKLNKNMVVQNLENEEKENYSMAQDLVLLEKLQTLLDMLLNIRPLSYSTIVPLILEAMDCVVTEIFDVYSRIRLGIARVLSRINVIGKVEATLALKIMKKANIQGVELSQYFEFNRDIGVRNAEICPIVDQIPNEEIKELEEIINGGPSDSSEKIDEINQHIVIYEQKESETKLKTIITNRWETFDEEHGADDSSAIVNFKSRINPFEDYSELTNSTNNVPVKPQELPDLISFT >Solyc10g050220.2.1 pep chromosome:SL3.0:10:48056506:48069045:1 gene:Solyc10g050220.2 transcript:Solyc10g050220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETCRSDSPMSSDSCIAVLSRSESGAGESSSLIIENFKHDFVQTGNVTTDECMGWTNEKHNAFLDCLETSFVKQLHRSMILRAGCVELNRSSRNLSKKLFNNDIAKKQFTAWRDKSWKINIESDQPELLIGQGDEYHHVADLQLCSRLFTKEKQRRDKRTSSHGLEERSNLPLLIPAELLKTVGRIAEGSGQNFVDEDFEENSRSKKLKTAMTDTADNKQIVPTGKFRVQELLTPCSAERNK >Solyc05g016503.1.1 pep chromosome:SL3.0:5:17374704:17376268:-1 gene:Solyc05g016503.1 transcript:Solyc05g016503.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTWHVGIKQMTSANDKQHYPKLARNSRGCNGRHQQRRPMCYGKEMPANVKLNQPCNIGRASPAFVVAYTHCSVDIYRDYPHQLSLVNINTFIFEWAVTLVVSRAHRPSDIDQLQIVFETLTSAIICTINKGLCASGKRRRPMDLAFPHHPWRAHNVLPTADGSYPHGHRRAWNTYITFSLQTTFKQYQVLPSPIDLVLHNDQPTSGLYYLHHPLPAQLSFDVERGLPVSPLS >Solyc05g007830.3.1 pep chromosome:SL3.0:5:2280714:2283011:1 gene:Solyc05g007830.3 transcript:Solyc05g007830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4BWV8] MAYFGICFVGLLAMVSSVYGYGGGGWIDAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTAMFNNGLSCGSCFELRCVNDRQGCLPGSIVVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPIFQHIAHYKAGIVPVAYRRVPCRRRGGIRFTINGHSYFNLVLVTNVGGGGDVHSVAVKGSRTGWQPMSRNWGQNWQNNNNLNGQTLSFKVTTGDGRSLISYNVAPAHWSFGQTYTGAQFH >Solyc01g095870.2.1 pep chromosome:SL3.0:1:86880692:86886019:-1 gene:Solyc01g095870.2 transcript:Solyc01g095870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTSKWFHRIIMEDIIWKHACLRDLQVPDPGKVAFKWINLYAAAFNGCHSYKFRQQDKHIDWMRIGAFSFDSQNALLTENLIPPLKVLKEKTTEKMLESNGCCVVRNVKSGIWIADLQLVRCPVCDLNTCDGTMQVLDARHIELFLSEGYQDGSWDYELLGSHNEKKQANGASAGIFDIKHLKDHSTSAVLDLKSWVGKPNDWQPKAMITSYAVAVNTNLQENEGLHVKFHVMKSGKNGEIVSIRMSEQLQ >Solyc03g119230.1.1.1 pep chromosome:SL3.0:3:69366452:69367252:1 gene:Solyc03g119230.1 transcript:Solyc03g119230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNQSNSFFFYYFFVSLFFSFVRSSAKNDSSSYAFCPKSTCNGVEISYPFWRLDNYNTSAPQYCGYPGFGINCSENQPLPIIYLPSDAFYVKSIDYKSYSLALVDADVFNVKCPRARHNLTLEKLPLKFSDSALKLTFYFNCTKNPGDALPAECLKSGTYFYVGEIEPDDLNWFGICEEKVVTTVTKRRSFQNNDWIEGFGAAMGEGFVLDWRSASECGQCEKSEGRCGYNNSTHNLLCYCKDGTVKFKHCKGTPKTLAIYLSSY >Solyc07g020910.2.1 pep chromosome:SL3.0:7:14606803:14610368:1 gene:Solyc07g020910.2 transcript:Solyc07g020910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNCFVSSPILRPQHPDNAFIDIKKIMTMIIKESGEIRMQFLSILINSVKKENQNVSPRSYVLGEEVLQESAVKIHPYLPKAVADLNISFKNYSKVVEYIWRKAMKYKAKVENAPQDLAPHASPKRVVSFLDHT >Solyc11g020910.2.1 pep chromosome:SL3.0:11:12929563:12938232:1 gene:Solyc11g020910.2 transcript:Solyc11g020910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKRKSKSSNHFKKRNLGCDDSGIFQHLISVLQTPQGVKLPVLKSLYCLLVYFSLNSLESPTYKLDFDDLDMDVDHNGFKASSKDIETLSGILFEEMYERFDHLFSAPRDTSVNDQRQGILQKNMSKDAETFYLLLRCCMVTLTLLVSQHNLLLEKGKILLVLLRKLCSINTFAAGNRTKITFQKSVSHAVTSEDNDCRTTSTEDIVASLHLVEPSDPHTSFLCATFEVFVDELLVHGQLRQYFKLIDSLASSDEVLFTPQSGQGDIGIVMEVLSRYFLLSWSNELRSGDHLNRLLLVNNEYFKSSLKAHELSLSAAISLLLNPIILSAPKLMQAQLISMIHEATFLLVDIQNLKTNHRPLKFFLSLFEKSVALYMKHLSVLQNACQSSSVSHSSTTGVEGKGIHPLFELCILARTRNEINNLVLKFEDSSQPHFLKLFFEMKSDLISSCVNYVKESRYLLENSCQDEIMSTLSCLILRASDSFEENAIVDASLEDICLLVSTLKLMSSSLLQLVWCLRCNLNSASSKTLKDFSSCGEYNSILGIIDCFRELSIYGSVQNLSRQMMEIDSEKHKVSKMMFLHFSGMLSFSFFKRLDCLAKGCLFAVITLLNLFIFEEGNLDIFQSLVDPTPDSFSYGLSAVRIQEAVVDKRSSLVVASKFQKARTLCSSSRRKGYSSAISSSEVYNKEDVVAMEEEMEETTNGKIFLKCRSEAGQVPDFDDLADFIECKQGKDYPLWLKHRQEYRKLKCNKIALLRWKRKKKSWKVMKRNKP >Solyc02g085940.3.1 pep chromosome:SL3.0:2:49353027:49353695:-1 gene:Solyc02g085940.3 transcript:Solyc02g085940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIQKMKGTQQISFGLVSDETDALHLTNIVESDDTDPCLRVSLLCLLHLSQDLGCISAPKHGQLPHGPVSAIVRLTSVSNSKQGTHPFFNRYSISLSNCSSDKSGRYDRVSYFFMLIGGHTYTIINS >Solyc06g063210.3.1 pep chromosome:SL3.0:6:40035721:40046275:1 gene:Solyc06g063210.3 transcript:Solyc06g063210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKPQNWDLCSEPIQLCSYRESASIFVKSLKRELWITTGAFFVFIGFVVFYHYMMPIKGEDNKTSVAKVDVGIILDLETDMGKVMHISILLALDDYHATASGSAIRIVPHLRDSKKDDVEAASAAIYLLKDVQIQAIFGPQMSTQTDFVIDLGNRVKVPIISPATNPLLTVKENPFFIRGALSSSSQTKAIAAIVKNFDWKEVVVIYEDSPFGTGIVPHLTDALLEISTSVSYRSVISPSANDDQILSELYKLKTMQTRVFIVHLRPKLAKRLFLKANKAGMMSDGYAWIITDVLTSLLDSVDTSVIESSMQGVLGVKPYVPRTNELINYTKRWRRRFRQEYPDMDIVGLNVFGLWAYDGITTLAKAVEKVGGSAIPKFKKADNREYLTDLDALGTSELGSLLLNSMQNTALKTGLSGDFRIVDGELQPSPYEIVNIIGKAERNTGFWTEKDGISCKLKTNGKTAAKCNNKELGNIFWPGESTIAPKGWEIPTSGKKLRVGVPDKEGLEQFLKVEIDSKTQEVTVTGFCADVFKEVIESLPYALPYEFIPFQILDSPTSPDFDVLAYKLFSEKFDAMIGDITISANRSKYVDFTLPFTESGFSAVVPVKDDDRKNAWIFVKPLKSELWVTTGAFFVFIGFVVWVLEHRVNKEFRGPKRHQVGMIFWFSFSTLVFAHKERVTSNFTRFVLIVWVFVVLVLTSSYTASLTSMLTAQKIQPTITDLNDLIKRGEYVGYQKGSFVRGVLKSMKFDSTKFRSYSTLEEYNDALSKGSKNGGVGAIVDELPYLRLFLNKYCRKYIMVGPTYKTAGFGFAFPKGSPLVPDVSRAVLKVMEGEFMNNIIQKWFGNETDCPRIDGMSITSDSLTLDSFKGLFVTAGVSAGSALLLFFLNFLYQNREILATDDSVWKKLSAIAKAFDEEKDNSNSMSENPSEGNGSQTTTLLAESEASAEVPDLPLQSIDIRISDRLGASSPIAEGFSTTEHGTPVHEIVTATIEERLHRVDLGIILDMETDVGKVMHTCILLAIEDYHAAASHTATRIVPHLRDSEKDDVEEASAAIYLLKDVQVQAIFGPQTDFVIDLGNRAKVPIISPATNPLLSVKENPFFIRGALPSSSQTKAIAAIVKNYGWRQVVIIHEDSSYGTGIVPHLTDALLENNTLVSYRSVISPSANDDQILKELYNLNTKQTRVFIVHLQPYLASRLFLKAKEAGMMSTGYAWIITDVLTSLLDSVDPSVIESSMQGVLGIKPYVPSTTELKNFTKRWRKRFRQVYPDIDGVELNVFGLWAYDSITSLAEAVAKVGITAIPKFKREDTRKNLTDIDALGTSELGSLLIHSMQNITLKAGLSGDFRIADGELQPSPYQIVNIIGTGQRSVGFWTEKDSISYKLKMNGKIAKTDNKQLGPIIWPGESTIVPKGWDMSTSGKRLRVGVSVNGKLDEFIKVERDSKTQAIVATGLCLDFFKEIIESLPYAVSYVFIPFTMPDSRTSPDYDHLDNMEYDVVVGDVTILAGQSKYVNFALPFPESGISTVVPVKDDERKNIWIFLKPLKSELWITTGAFFVFIGFVVWVLEHRVNKEFRGPKHKQVGMMFWFSFSTLVFAHRERVTSNLTRFVLIVWVFVVLVLTSSYTASLSSMLTVQQLQPANDLINNGGYVGYLKGSFVEYFLMRMQFDRSKLRSYSTLEEYNDALLRGSKNGGVSAIIDELPNLTLFLNKYCRKYIMVGQTYKTDGFGLVRFYLHLLTGKAFSEASPLVPDVSRAVLKMKEGGQFAKRGIQKYSSNETDCSQSNGTSDSLTLDSFRGLFLIAGVSAGTALLIFFLIFLYQNREILATDDSIRKKISAIAKVFDEEKDISNSKSGKPGCNEESQTATVLLAASETSPEILPNLPSQSLEIRISDGLGESPAHEGFSATEPATLVHETITETF >Solyc12g044657.1.1 pep chromosome:SL3.0:12:60766815:60768214:-1 gene:Solyc12g044657.1 transcript:Solyc12g044657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLICTRPDILVVVGVVSRFMEAPTSTHLEVAKRILRFLKGTIDLGLFYSSLIILILWDIVIVIMREMFMIEKTHRVLCFSWVIVLFLGVQRNNQLLFFRLVNLNIWQRHHIECVSTDNGGSMSLNKSKDSNEDLEVGLTLSKAQMNAFVTIFTHGLSLS >Solyc02g069170.2.1 pep chromosome:SL3.0:2:39681482:39687256:-1 gene:Solyc02g069170.2 transcript:Solyc02g069170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLASLCCILFYVSLILVDENVGRVEGICYKEVHEVICKNDDPEQDCLPTCKHLFGGGNRATCAPQFDGPSICACDSRSRAAHMSSKSNRNRPVAAKATAVVIPPPPSTPLSESDYAFTITRTAVAQICSSIGFTAAEAPVLGILTDIAIRYLRTIAKSAADSANSACRTQANLVDTIAAVDELSSVSGFPGAWRATDCFLNSGAVKKLDTFTEDSKEIPFAKPLPRKIFSLGSRKGLRNVGSSSKIEYLGGDKKHIPKWLPVMPVIVNHEKEIVEKRKRELWGYCGAKTEEEPKREKKTAADSVEKERKGLELPLKRGKVRFKIGGGGVSGVCRSGGIGKRVLCENWNFDDENSHQNKNSQL >Solyc01g067610.3.1 pep chromosome:SL3.0:1:76260886:76261540:1 gene:Solyc01g067610.3 transcript:Solyc01g067610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINCKVNRKLLIYCLRVSNLEEKDYCQLFCCVKGDVTFMTSTCQLSHAGAYCCAALCLTRLGREQNFCGNSSHICIF >Solyc01g087770.3.1 pep chromosome:SL3.0:1:82555501:82556650:-1 gene:Solyc01g087770.3 transcript:Solyc01g087770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGMDQAVADGVDIISMSSGSTHVPLHRDNIAIGAFGAMMKGILVTGSAGNRGPDFGTLVNGAPWIMTVGSASTERTFAGTLTLGNGQKLRGWSLFPLRAIIKDTTLTYNKTICSCNSTELLSNALAPFIQVVVCDGPKDFSSDLAIGFSFQMNSLTDTGFLAAIYISSDPRALTSKSFHSPGVVIGRKESTQVKDYISKTEHPTVSIHFQETYEDVTAPTPKHPVEAHPWLVPHVSGIAAMLKSKRITVATTNEPTTPYGIGSGLIDPNRALDPGLIYDATPQDYVNLLCSKNHHNCSNPSDDLEKI >Solyc04g028400.2.1 pep chromosome:SL3.0:4:16255683:16263542:-1 gene:Solyc04g028400.2 transcript:Solyc04g028400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKNASLEKPKNIFLITYEELIKDTKIHVKRLEEFLGFPFMNHDEEEVDEIVKNCSFDILSSYAVNKSEDFPRLFQVPYNSFFRQGVVGDYKNYLDAKTIERIDALTRDKFHGAGVFPGGPYYDHVMRFKNASLEKPKIIFLITYEELIKDKKIHVKRLTEFLGFQFMNHEEEEVDEIVKNCSFDILSSYAVNKSEDFPSWFKVPYNSFFRQSAVGDYKNYLDAKTIERIDALTRD >Solyc01g017750.1.1 pep chromosome:SL3.0:1:25258902:25262191:1 gene:Solyc01g017750.1 transcript:Solyc01g017750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCLNICVEMWSMSRSVSCRKEHLQNVCPPVLRKLGLKDELMVQGISFWVLRFCCEVLICRTLSLLLVSRGIQGLVRLCQSLSGSTRVVMHLSSGILAFEVMFCYSGTKFVDHMKSYVECSMYLITDFSSMRFATIYLYYEYIRFINFKF >Solyc10g074630.2.1 pep chromosome:SL3.0:10:58251064:58254988:1 gene:Solyc10g074630.2 transcript:Solyc10g074630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKRFPVGAEDVEGTKEIGFLGFMPISISKGLEPVVWSTLDGAIVSKVDTLLEKIKSQYSNLEGLPPDRIVPTVGLNIGRVEASNTKLVFWDLGGQPGLRSIWEKYYEEAHAVIYVVDAASPSRFEDSKSALEKVLRHEDLQGAPLLILANKQDLADAVSAEEVARYLDLKKLDERAYTFLAVSSYDGLGIKESVNWLVDVMERSKRTEMLQLRAGANLISN >Solyc09g010050.1.1.1 pep chromosome:SL3.0:9:3455888:3458095:-1 gene:Solyc09g010050.1 transcript:Solyc09g010050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKIIEFEDGWEFMEKGITKLKKILQEEEEICFDSEEYMMLYTTIFNMCTQSMPPPYINNHSKQLYENYKQVFVEYIDSTVLPCLRDRHDEFLLKEIVKRWANHKVMIRWLSRFFQYLERFFINAKSLPGLKEVGLMCFRDLVYEELKVKARDVVFVLIERERDGEKIDRSLLKSVVDIFVAVGMGNMECYVNDFEFAMLEDTAGYYSRKASSWIGEDSCPDYMLNAEECLKKEKERVSNYLHVVSESKLLEKVRYELLVVYTNQLLEKEHSGIRVLLRDDKVDDLSRMYRLFYKIDKGLVPVSAMFKQHVVAEGMYLVQQADDTTNRQSETPFFVRKVIELYDKYMSYVTSCFANHSLFHKALKEAFEVFCNKIVSGCSSAELLASYCDSILKKGGSEKLSDDAIEETLDKVVKLLAYINDKDLFGEFCRKKLSRRLLFSKCANDEHERLMLTKLKQYCGGQFTSKMEGMVTDLTLAKENQNDFQEYLSNNASTGIDLTVTVLTSGFWPSYKSCDLSLPVEMAKCVQVFNEFYQTTTKHRKLTWIYSLGTCNVIGKFDSKTIELILGTYQAAVLSLFNSSDRLSYSEIKSQLNLADDDLIRLLQSLSCAKYKILIKDPSNATVTSSDHFEFNSKFTDRMRRVRVPLPLVDERKKVVEDVGKDRRYAIDACIVRIMKSRKVLPHQQLVAECVEQLSSMFKPDLKAIKKQIEDLITREYLERDEENPNLFKYLA >Solyc06g084095.1.1 pep chromosome:SL3.0:6:49383114:49383555:-1 gene:Solyc06g084095.1 transcript:Solyc06g084095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPPAIVPLIRVSSSSSPRMASCKCLGVILFTLRSLLALPANSRTSAVSRVNSCCCSNPAMGRGSGLELTLIDQKMMSPLKIFDESKKFKVPKE >Solyc03g123590.3.1 pep chromosome:SL3.0:3:71901880:71905886:1 gene:Solyc03g123590.3 transcript:Solyc03g123590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLARANYCEPPSQGEAALEGKNGNPFLDSFPDPLCKLNLKETSEFVKSLPTASNGAAGFLRKEGVSSVTRRNMDAPSTPGRPIFSFSVGNFSRKNFPSKWDDAEKWLVNGSSIQDSPASHHNNNGLKPALESSNLLKQCNGFKLKETENVFAEKNRVTDEKVLLPLHHHHISAGAANTVSAATDVFLKAGKSMKEAIHEIKHRDIGTEMTPIGSSTTSRCHTPFKSPSPARHNNPADRSGPLPLPSSGSDSTVDIMQFEECHLAKLQFGTQFDSVTTNWSSREEEEEDVSKSLRHFEINNECRKSVSESKTRSWEEEEKNKCCLRYQREEAKIQAWVNLQNAKAEAQSKKLEEKALAVTKAFWDSWSAWFPI >Solyc05g012580.1.1.1 pep chromosome:SL3.0:5:5800175:5800387:1 gene:Solyc05g012580.1 transcript:Solyc05g012580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVLLLLQQPVRRPEPYRHRTGGDKFGPSQRTVQFLEFRLIFRISVQRRAHRLLLQPLFCYRFFRNLC >Solyc01g103080.3.1 pep chromosome:SL3.0:1:91622155:91631171:-1 gene:Solyc01g103080.3 transcript:Solyc01g103080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFKRKSQEFSNEDDIPPSKQLKQNDLLGADEPVTCLHDVSYPEGYVPSASTSGLPQQDSKPAKEFPFPLDPFQSEAINCINNGESVMVSAHTSAGKTVVALYAIALSLKNNQRVVYTSPIKALSNQKYREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSEVTREVAWVIFDEVHYMRDRERGVVWEESIVMAPKNSNFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPSGGDGLYLVVDDKGKFREDSFQKALNALVPANEGDKKRENSKWQKGLVVGKSGENSDIFKMVKMIIQRQYDPVICFSFSKRECEFLAMQMSKMDLNNDDEKVNIETIFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGFIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDDRGICILMVDEKLEPSTAKFMLKGSADALNSAFHLSYNMLLNQIRSEDGHPENLLRNSFYQFQADRALPDLEKQAKILEEERNSIVIEEEDSLERYYNLLEQYKSLKRDVRGIVFSPKYCLPFLQPGRLVCIECTKVDVDPNFSLSEEVTWGVIVNFERVKGISEDDANKKPEDANYTVDVLTRCIVQKDEVGRKTIKVVRLKDAGEPAVVSLPLSQIDSLSSVRLVIPKDLLPSEVRENALKKVSEVLNRFSKEGMPLLHPEDDMKVQSSSYRKASSRIEALESLFEEYEIAKSPLIKEKLKVLHKKKELTSKIKSIKRTLRTSTVLAFKDELKARKRALRRLGYIKDDVVLQKGKVASEISSADELTLTELMLNGTFRNIKVEDMVSLLSCFVWQEKLQDAQKPREELGLLFAQLQDTAQQVAKVQLESKVQIDVENFVSSFRPDIMEAVYAWAKGSKFYEIMEMTPVFEGSLIRAIRRLEEVLQQLIQAAKSIGDIVLEAKFEEAVTKIKRDIVFAASLYL >Solyc01g102290.3.1 pep chromosome:SL3.0:1:90978524:90980015:-1 gene:Solyc01g102290.3 transcript:Solyc01g102290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTMTCVDILLAIILPPLGVFLKFGCKVEFWICVLLTLFGWLPGIVYAVWVLTK >Solyc11g009040.2.1 pep chromosome:SL3.0:11:3167249:3168527:-1 gene:Solyc11g009040.2 transcript:Solyc11g009040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSNNSIFSLYFSIKSTIIVFFLFLFILFSPSYSLYHHNHKIQDSTKGLLVEEKTRLGSMPPSCYNKCNQCHPCMAAQVPTTPSHRQDGPSPSRGKNIAMEYFDSPSPSTGANRYSNYKPLEWKCKCEDHLYNP >Solyc06g005170.3.1 pep chromosome:SL3.0:6:191876:195121:1 gene:Solyc06g005170.3 transcript:Solyc06g005170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:Q84MI4] MVDANMGAAQFPDFPKIVTHAGQYVQYDIFGNLFEITNKYQPPIMPIGRGAYGIVCSVFNAELNEMVAVKKIANAFDNYMDAKRTLREIKLLRHLDHENVIGLRDVIPPPLRREFSDVYIATELMDTDLHQIIRSNQGLSEDHCQYFMYQLLRGLKYIHSAHVIHRDLKPSNLLLNANCDLKICDFGLARPNVENENMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFAGKDHVHQIRLLTELLGTPTESDLSFLRNEDAKRYVRQLPQHPRQQLATVFPHVNPLAIDLVDKMLTLDPTRRITVEEALAHPYLAKLHDAADEPVCPIPFSFDFEQQGIGEEQIKDMIYQEALALNPEYA >Solyc09g065910.2.1 pep chromosome:SL3.0:9:64435619:64436802:-1 gene:Solyc09g065910.2 transcript:Solyc09g065910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITACTTTSSVARAALVQRGSVSRTSVVLGLPSMSKGGKVKCSMEGKGKGESKLGMGESLMAAAVAASMSSPVAMALVDERMSTEGTGLPFGLSNNLLGWILLGVFGLIWSLYTVYTSGLDEDEESGMSL >Solyc09g015340.2.1 pep chromosome:SL3.0:9:8549866:8550922:1 gene:Solyc09g015340.2 transcript:Solyc09g015340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSIVVDILLRVIPTTFVEKEAFTYYRDEIDPYVRSYILYNIGLIHTSKGEHNIGLIHKSNGEHTKSLEYYFRALE >Solyc10g086250.2.1 pep chromosome:SL3.0:10:65256085:65258430:-1 gene:Solyc10g086250.2 transcript:Solyc10g086250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB transcriptional factor [Source:UniProtKB/TrEMBL;Acc:K4D457] MNTPMCASLGVRKGSWTEQEDSLLRDCIQKYGEGKWHLVPARAGLNRCRKSCRLRWLNYLRPHIKRGDFAPDEVDLILRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTHFHKKLSIIAPHLHPHSRPRSHPRLQIKHKSIAVTKNEIIRPQPRNFSNVKKNDSHWCNNKSMITNTLDKDDKRCNEIVVNICEKPIGENTSSIDDGVEWWTNLLENCIEIEEETANTNFGKTPTMLLHEEISPPLVNGEDNSMQQGPTNNWDDFSTDIDLWNLLN >Solyc11g069560.2.1 pep chromosome:SL3.0:11:54470060:54474802:-1 gene:Solyc11g069560.2 transcript:Solyc11g069560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARNGNIRSGDSLEGMLSDYMGGKAAKMRSQKGSSTKVVTILTCLQFSFAVYATFLLYYMSPSIDLSSKPDFTWATRIARSWKHFIIPPHVVSHVVQQKSVVSPSDVCEHEKIDFEQKKSNDALMIKLKTELYQELRDFQNKNLGGTETLSELMLMKSKWDLRGPNKPKITVILNHFKRKTLCAQIDSLLGQTLQFHHVWVVAFGSPNEESLKRIVDSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGKKMLQILAHVAGTEKYMNSVLGSIGRILPFRQKDFIFPSYRKFRSKEAGLYLPDPAYNITVDRIVQADFLSSSWFLSAELVKTLFIETPFTFMTGEDLHLSYQLQKYRNAGSFVLPVDSNDKETWGDSEHRLAYVSETTVIFKDTVQVRDDQWWKALSTGYITQWAAMNPQKIDVLFYAHSVDEVKALAPLLEKFRSTVGKKAYIVVSGGSFCPCEDAAAALKWPKIVCTERRFKIMDLGVGAVSGISNSEVPVVQAVYASMKGLIKIHNPSLVITVADADSNVMKALKMATEANTNSSKLVLLPRSSVTKVLWMADLRSTALPNWNRMTLSINIITQNRANSLARLLKALSDAYYIGDEVPITFNMDSKVDEATIKLVNSFNWPHGTKTLRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLAYHYDPLISLPELSSISLYTPRLVEVVKERPKWNATDFFKHIHPNTPYLHQLPCSWGAVFFPKQWKEFYVYMNMRFTEDPKQNPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQMSFSTNHMEPGAHIAAKNNVVKHNKADFEVPLLKEDFKNLLPYGKMPQASKLPSLNLFNQPVSLKGLKTAGAKLGTDVLQCSPTEIVSVHHDTGLPSHCARF >Solyc07g017495.1.1 pep chromosome:SL3.0:7:7378714:7381759:1 gene:Solyc07g017495.1 transcript:Solyc07g017495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTRKSLVLPVSEFSVGLSSCFGLSLDSNGDYKILKIEGNEFGGHKVPGEVFTMKNDTWRKIGEYPRVTGNKDTKRTLYIVASRPKQTLAYPVSEANREPTKPQTSYRLSSFLRSPIIKQTKSGNPRLSACYSY >Solyc03g121295.1.1 pep chromosome:SL3.0:3:70929551:70930980:1 gene:Solyc03g121295.1 transcript:Solyc03g121295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWKDEGSEIKKRIVIGSWGGHGGSPWDDGSFNGVREITLVYSLCIDSITVVYDQNGQPYQAEKHGGVGGSRTAQIKLQFPEEYLTSVSGYYCPVVYGGSPVIRSLTFSSNKRKFGPFGVEGGTPFSMPMEGGQIVGFKGRSGWYVDAIGFYIAKVKTTRVLQKAQQSLVKLASSVSMNYKSGDEPKKYSYFYKPTIPKTEA >Solyc02g084650.3.1 pep chromosome:SL3.0:2:48380404:48381860:1 gene:Solyc02g084650.3 transcript:Solyc02g084650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVREQKLFEDYYLSIEMALSLSKTTPLRTNLSYRPPENVQRASAFLMPITDLTFGRIYTANLIRFCHPRILSSRNFAALKGPMAEETNLKSTVESTMDNKITVESTTNNKTESEKKQEESKVTIPPPPEKPLPDDCCGSGCVRCVWDMYYEELEEYNKLYKSNPDVKPS >Solyc02g092490.3.1 pep chromosome:SL3.0:2:54181032:54184978:-1 gene:Solyc02g092490.3 transcript:Solyc02g092490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGDLVVSIVVREFDAKNDSKEVEEVERRCEVGPGGKLSLYTDLLGDPICRVRNSPAYLMLVAEIVVHNGQNEEKSIVGMIRGCIKTVTCGTKLSRSGVKNSSDSAKPLPIFTKLAYILGLRVSPAHRRMGIALKLVCGMEEWFRGNGAEYSYIATENDNQASINLFNHKCGYSKFRTPSILVQPVFAHRVRVSSRVTILKLHPTDAETLYRRRYSTTEFFPRDIDSVLNNPLNLGTFLAVPKGLYSAETWPGVEDFLVHPPESWALLSVWNCKDVFNLEVRGASRMTKFLAKTTRLVDRAFPWLKLPSVPEVFKPFGLHFLYGLGGEGPLSVKFMKSLCDFAHNLAKESECSVVATEVASGEPLRLAIPHWKKLSCAEDLWCMKRLGEEYSDGSVGDWTKSQPGLSIFVDPREV >Solyc07g007110.3.1 pep chromosome:SL3.0:7:1857918:1861638:1 gene:Solyc07g007110.3 transcript:Solyc07g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRRKLLFLSTTLTFPSTISTSVAAPPPSPDTTITERVFLDLSICPNYFKNRNLGDDLSNCADSEPIGRLVLGLYGNLVPITVSNFKAMCTGSSGSTYKGTLVQKIFPGQFFMAGRQGRRDKGEVKPPLKLIRNVESIESKAFLLEHSRAGVVSLPLAENDDDDDLKLNPNYHNVEFLITTGPGPCPQLDSKNIVFGTVLEGLDIVTTIAAIPTYKPSENIRQYNDFAELIGDGRAKTARAIWNKPLKTVYISDCGELKVAKPTLSPSLP >Solyc10g044960.2.1 pep chromosome:SL3.0:10:28996772:29006089:1 gene:Solyc10g044960.2 transcript:Solyc10g044960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPYDSSGTDDDLPPSHQNRIPRGSGRVTGNGRSAVIGSVPYQRMYGETEMEAQIHQLEQEAYISVLRAFKAQADAISWEKESLITELRKELRLSNEEHRELLARVNNDDVIRRIRDWRQSGGHQPSMLGAGQSVHDPTPSPSVSASRKKQKIAQSLPSQSFAGPSPTFHPPPVTAANQPSSSAAKRGPMVGPKGKKHKSMMSGASSMKMQYPTPGPSGRAQFGNRVSEPVEAASFDPLIGRKVKTRWPDDNNFYEALITDFNRVEGRHALVYDMGTANETWEWVNLAEISPEDIQWEGEDPGISHHGNYGGPGRAMTRPAGRDSAPGAGRGRGLTKAHSRKDFPPSQNGLGKKGPDDIKLLHTGTLIREVERIFSSGHPDPQMVEKATKVLKVIDTELFSSWLYSQIYCTRIPTFLLLQEHEQALLDAISKLGEYSDGESGMILWKRNGISCMGRTWIGSSKDYRWEVSSIKELGIEPQPPKITGIME >Solyc07g055040.1.1.1 pep chromosome:SL3.0:7:63307357:63307758:1 gene:Solyc07g055040.1 transcript:Solyc07g055040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSRGDLVEVVSKEDGFLGSYYEAIVVCQPLKKDYIVQYKTLLKDDQSDPLTEFVTHSELRPVPPEIPVSRFNLNDQVDAFGNDGWWVGRITGKIGSRYFVYFECSGDECGYFISDLRVHQDWIDGKWVCSK >Solyc04g050980.1.1 pep chromosome:SL3.0:4:49133558:49134022:-1 gene:Solyc04g050980.1 transcript:Solyc04g050980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTREKEKVDTCSSPPKKKSRKTITPIKNKTPPKIISNQPCLRKSPRSVNVAKRPKSPLLKKQAKKPAYVPYYTGTKQNVEIKSNVASEISIHRERSPGSFNTLPYVTYLVQGSSSRFHYTFK >Solyc11g005160.1.1 pep chromosome:SL3.0:11:143579:144928:-1 gene:Solyc11g005160.1 transcript:Solyc11g005160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPWCDVPAELVERISKFVESHIDILRIRAVCSSWRSTLSPDFKIPKSEFPIKLPYEPPFPHQPMLCTTDFKSHFYLIESSVYLIQLPHPPHTGWLVKILQTGPGKFKILNPLTIRVMDNLPDTTKLNFLDFRVSQVCKFYHVHWDLNYYIHCMLGYELFNKALPIWNDQTNQFSIMATIDHLLCVKSGDNKWTTLKHPTHSKIVDIIVYKGNFYVVDTYGQTIMYDSSLSNDHTIVSSTLKVFNEWGTKKRLIESGGELFLVDIPKEIKVYRLDEQQHEWIAVHTLGDQIFFAGDDCCFSVSSSNFGDHYCRENCIYYVNGGIIVDRKCYPSKYYAYDFEDHLVSGLSEEVKLRYEGLDGHHTGIFSLRDGKLGSLLSFIEYADIFWPPPSWIFTTDDHQAEFWHFVIDDRHVRSFNDTPYMKKVPFHR >Solyc01g066520.3.1 pep chromosome:SL3.0:1:74335277:74341774:-1 gene:Solyc01g066520.3 transcript:Solyc01g066520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:K4AWQ5] MICRKIYQGKLPNSVLRQTIFRWFQSSVETPVTPVTSTVSSGKRIQSQLHFLRIYTPPFCSYTKSNASFTLRGCGFSTQAALDIPVDGIIDIPLAQTGEGIAECELIKWFVQEGDQVEEFQPLCEVQSDKATIEITSRYKGKISQILHVPGSIVKVGETLLKIGVDEILDPTETSDASEKMISLESDFSGTSDISSVPAETKMGGVLSTPAVRNLAKQYSLDINDVPATGKGGRILKEDVINYAMQKGLINGAPACEQQNLSEVSPPIGGGYEDKTLQLRGYQRAMVKSMTLAAKIPHFHYVEEINCDALVELKTSFKNETSDPEIKHTFLPVLIKSLSMALTTHPMLNSRFSEESYEVILKGSHNIGIAMATPNGLVVPNIKNVQSLSILEITKELSRLLNCAKINKLSSDDVSGGTITLSNIGGIGGKFGSPLVNSPEVAIIAMGRIQKIPHFAEDGNVCPASVMTINIGADHRVLDGATVARFCNDWKKFVEKPDLLLLHTR >Solyc06g082210.1.1.1 pep chromosome:SL3.0:6:48172643:48173311:1 gene:Solyc06g082210.1 transcript:Solyc06g082210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSRVEQPDPNIVGSSEGGTGTSSASAVTEGGQSTTVSAAPPSRYESQKRRDWNTFLQYLRNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHVTGCPYFGHPNPPAPCACPLKQAWGSLDALIGRLRAAYEENGGKPESNPFGAKAVRIYLREVRESQAKARGIPYEKKKRKRPSTSSSVATAGSAVAAEGGSSSGGGDGSGGDGVIGQQPPTDPNTTV >Solyc06g076410.3.1 pep chromosome:SL3.0:6:47617172:47620989:-1 gene:Solyc06g076410.3 transcript:Solyc06g076410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQYLITNFNDFQLACLGSFFLHESVFFLSGLPFILLERAGWLSKYKIQTKNNTPAAQEKCITRLLLYHFCVNLPLMMASYPVFKLMGMRNTLPLPSWKVISTQVLFYFILEDFVFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPIITGPHLITLWLWMIVRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDW >Solyc07g039525.1.1 pep chromosome:SL3.0:7:47777271:47778888:-1 gene:Solyc07g039525.1 transcript:Solyc07g039525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFVGNVDYRVTEESLMDRLNTNMHDACLQIDKGCRMETMLTFTTYMECRFLGIDYFRVLTVHGSADEIIPVEDALEFDEIIPNHKLHISEGANHCYTSHQAELTPVILPFIKEGLQH >Solyc10g018947.1.1.1 pep chromosome:SL3.0:10:11013048:11013282:-1 gene:Solyc10g018947.1 transcript:Solyc10g018947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVEIYIKDLLQVKFKILRIMVVLDLTRDWLGCGAWALENSCTTTGEHPLINSIPIFWISN >Solyc01g067320.1.1 pep chromosome:SL3.0:1:75578878:75579436:1 gene:Solyc01g067320.1 transcript:Solyc01g067320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLLYKSSNILMDENFVAKVADFGLAHALNDGSICFEPVKTEIKGTLGYMDPEYVITQELIEKSMNKAHYYTNSFWTSSSSDSFWLKSIFDKKK >Solyc11g031970.2.1 pep chromosome:SL3.0:11:24410120:24413997:1 gene:Solyc11g031970.2 transcript:Solyc11g031970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLFQSSLPLPCKSSSFGVNSIYKHFTLLPKRCKNHIQELFSNGLQWNSSKQFLVHVVKEDDTLTSLSKLYGVPIFEIAAANKEIIDVDLVFEGQHLNIPSYVTSYSQTNQREKINLPKIEVSETSRHFKLCGSDINQKMLYVLSCRHLPYAKTSGHFLVLVPLIGFCIRCIMNAFHHRVARNKLQDAHQTSGSMRWKSALRDLTDPDALYSDSRPETDNVTDDREHLQSEELSHAYAKLDGDYQKFLSECGMSKWGYWRGGTDE >Solyc05g053130.3.1 pep chromosome:SL3.0:5:64085810:64101897:1 gene:Solyc05g053130.3 transcript:Solyc05g053130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSMSPDNLSSAPSTSRSSPPSAASQNVNCKHSNVFQLLTRREVAPRTKRASRKFWGENTKCTLDSYGLKRGVGSDARQGLISWVEAESLQHLSAKYCSLLPPPRSTIAAAFSPDGRTLASTHGDHTVKIIDCQTGKCLKVMSGHRRTPWVVRFHPLYPEILASGSLDHEVRLWDAKTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYIWHYNRRGEASSPSIILKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPANELPIMSLPFLIWPSIARGDPRIPMQQSDIDVGSDNIQQRSDTLSSVRLLTYSTPSVQYELLLSPIEQSASPTQEAHTSSSVRENETGTQLLVDPMETDGQPEERNNQFFPFSDPAYWELPFLQGWLIGRSQAVQQTTRSDLGGATINPSTYGELENPSAVPLVISSNSHPRSGRSGSRHRSSRSRMIPVAGAGDGAAPVNVMHDESDSQISIGRIQSEIATSLAAAAAAELPCTVKLRIWPYDIKVPCAALDAERCHLIIPHAVLCSEMGAHFSPCGRFLAACVACILPNLDSDPGFHGHLHHDTMTASTSPTRHPVAAHQVMFGSVLAARAIRAAHCLTSIQFSPTSEHLLLAYGRRHSSLLKSVVIDGDTTIPIYTILEVYRVSDMELVRVLPSTEDEVNVACFHPSVGGGLVYGTKEGKLRILQYDNSNSLGRTIPCSPVENMLEVPTYALEG >Solyc11g030780.1.1 pep chromosome:SL3.0:11:23362155:23363149:1 gene:Solyc11g030780.1 transcript:Solyc11g030780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKYATVSGGPLLLALVARESTHKFERINIPKSIQIVFSSWRSDLFSFGSYDNVSVGASGDDNEILAETLGEGRLFFFAGESTTRNYPATLHGAFLTRLREAAKVAHHASV >Solyc02g065740.3.1 pep chromosome:SL3.0:2:37458319:37461021:-1 gene:Solyc02g065740.3 transcript:Solyc02g065740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAALKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINKILGPRSSCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGQDINALEQHIKNLLCPSTPNFFNTLYDPFREGADYVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDMVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLKKEYLGIFWQEEIIPFFQSHIFSKDCTTVQKCYVELSKHVKEKLGKVDPYFVKLADAMVTWIEAWDMLNPPIKIPLMPLQNEAIKFYD >Solyc02g085035.1.1 pep chromosome:SL3.0:2:48695874:48699441:-1 gene:Solyc02g085035.1 transcript:Solyc02g085035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSKNQSDISMENLSHSFAKKSYHGSGFITTPSKSVYDDVFGGTPKFGVPTLAPRYEDYTEIFGGFHSSRASSIPVLDLPVLDEDDDRLSVDIQTSHLDYSEIFGGFPVFDFALSYEDLVRQSTSGYDSSDEGWSPVQSETLSNESDPSAFSERSQSSSSADVHHLDDTKQFNISYHKTFQRSEGVMSNGMTHVPHLHAIPGYTYMVSGSQASQNTEDEEPPGQANLDINYNVDFSGPLVEDQQYKRSTPRKMSSSYIMHGSDSKHPEKCSEASCTPDKPFLTVSDISLRTRPSGLPPPSRPPPAMAAKKGNSDRLNSRLKASNSCAFEQKQGDSSQPYFDMEVYASSSAAASSAAIKDAMEKAQAKLRSAKELMERKKQDLKCYAELHLEKGILEETPSKTFDKDGVEQSMCVGRIEEVLKNNDVISGNIKDGEHFKSTGKHEESEQDKPNMSSQQPIKAEGRVAWREGAEFFEVVETYPSCGSPEEVKIESGLLHNMESHEDRQSTAATDRFDHLETCKNVAAKEARDCIEESEEKMGKGSYQLANTHQRSKEEDLCGQLEHKETLKAEENSPDVSMSEKHVKVQQEGTSEKLSSSSHKSVEYIRGSGQNVSECKATVKLSGGRRKLNDQKRCINTDSRHIDLELMVESEIEECEGGLWDVVDETGNGQRVNEILKQETKKQLDAVSEREEGAIYWEEDAKKPNEDFKSEKNDEKSEVACKQDKNERDNTVSFKMGPIDQDAKGAFEWEQEDSQFRVTLERKEHEGEQNDAEEGEETEGRLSVSCEGEDDNMEMSEVLEQQENKRESPLTSRLEFENISEKAGKIEETEVGLF >Solyc07g063980.1.1.1 pep chromosome:SL3.0:7:66434282:66434812:-1 gene:Solyc07g063980.1 transcript:Solyc07g063980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSDQTQESEVWSPISSPPHDHFNNNLRNVESIISESAKDEIDQKAIEKGKSPMSEVYELKTISNPNFSNLIQGGTSEVELKKEYDYCEDLAILKSIYHYYFNHGMIPYPNYSENFINYIEASIPNLKFRGLALKAKIVLLERRFLAILRIAGYDPNINNPVHREIFNLSMGLWG >Solyc11g017140.2.1 pep chromosome:SL3.0:11:7929209:7932100:-1 gene:Solyc11g017140.2 transcript:Solyc11g017140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLSFPSPQFPIVRKKNHWLTFNLEAYSDMDPDDSLSEDPWIKSSSSGNELLKIMPSDNHSFTNLNLHEQKWEGSSYLDQEIRIEQQFTGFAKPKHTSEMDQQGNQNHDTTRIHDWDPRALLNNLSFLEQKIHQLQELVHLIVGRRGQDEVQGNDLIVQQQQLITADLTSIIVQLISTAGSLLPTMKYTLSSAIPAASQLGQVGGVTVPSTAGTSAGGLTCNDGVAKLEDQSNHIDQLRDCGIEHNHAADEHELKDEDEAEEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPHKEPSSEPTLIKRYSCPYVGCKRNKEHKKFQPLKTILCVKNHYKRTHCEKAYTCSRCNIKKFSVIADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHISLFQGHTPAVSPDETKGSAGTSDRGQTGEVTMKARQENYKVNASLGNEFQNPGVVKECPYNPSSYFSPLNFDTSNLNGFQEFPRPPFDESDSSFSFLLSGSCEYPPHKAAKFMSSSEME >Solyc01g107440.2.1.1 pep chromosome:SL3.0:1:94891532:94891924:-1 gene:Solyc01g107440.2 transcript:Solyc01g107440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHLFTILITIIDKSLDPTTPSDIETRHTQFSMTLPPLTPTSTFCFLKLLIHSFFHKGNKSLLVGSIFTIFPHLLNMKSFRKLQKIFTHH >Solyc05g026030.1.1.1 pep chromosome:SL3.0:5:39426705:39426992:-1 gene:Solyc05g026030.1 transcript:Solyc05g026030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEGMLIASICSCAPMIADDIANLVLTVFNGKKVDIVVPLYKTIGRSSGDDVYEIKVKVEVPNTRNWLIPWLNQLIMLNLLVQNHGNFKDGGMW >Solyc09g059830.2.1 pep chromosome:SL3.0:9:56392407:56399355:1 gene:Solyc09g059830.2 transcript:Solyc09g059830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNDQRVTEYFNLNIWVCVSDDFNVKSKVTGCHGHGSPSEKLQELFNGKRYFLVLDDVWNEDHENWANVRAVLMIGESGVSILVTTPLLFKQRAFVHQTETSPKHMEIRKDILKKCGGFPLAAKTLGGFLRFKREENENSFLPALRLSYHHHPLDLRQCFAYRAVFSGYTKIEKEYLITLWMAHNFLLSKGHMMIEDVGNEIEVNSRKTYFNMHDLIHYLATSMFSASASRSIRKINVKDDEDMIFIVTDYKDMMSICFYELFSSYSLSLFNSFVSLRVPNLSNSEFKQLSSSVGDLVHLSYLDLSGNKICSLPKRLCKLQNLQTLGLYNCQSHSCLPKQINKLGSLRIIYLITTLSYFVVGERKYYQLGELRNLHLHGATDYKDIMSIVVSSYSPSLFNRFVSLRVLNLSDSEFEELSSSIGHLSSKEAVQASKSAALDLYNCQSLSCLPIKITKLGSRRNLVLDNCPLTSMPQRIGLLTCVKTLSYFLVGERKGYQLVLNNVVSIIIHGCENCSWLPPFGELPCLESLDLQDGSMEVEYVEDSGFSTRRRFPSLK >Solyc09g089910.1.1.1 pep chromosome:SL3.0:9:69994534:69994965:1 gene:Solyc09g089910.1 transcript:Solyc09g089910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLNMEQDPSNERRTNGGGGEIKYRGVRRRPWGKFAAEIRDSARQGARVWLGTFNTAEEAARAYDRAAYSMRGHLAILNFPEEYNLPSSSSHFYSAGSYSSSSMASSSSSSSSRQVLEFEYLDDKLLEELLDCDEEPNKRK >Solyc12g019010.2.1 pep chromosome:SL3.0:12:8972185:8979880:-1 gene:Solyc12g019010.2 transcript:Solyc12g019010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-phytyl-1,4-beta-naphthoquinone methyltransferase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4DDC9] MASLHVPLSSLPRPSFRPTGKLLIRCSADRQALFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWSGAKEGDTVLDICCGSGDLTFLLSEKVGPHGKAVGLDFSNEQLLIASTRQKLRSKTCYKNIKWMEGNALDLPFPDSSFDAVTIGYGLRNVVDRHRAMTEICRVMKPGSTLSVLDFNKSINPLSTTVQEMMIDNIVVPVASGYGLENEYKYLKSSIKDFLTGNELEKLALEVGFSTAEHFEIGFGFMGNLVAIR >Solyc09g009400.3.1 pep chromosome:SL3.0:9:2838742:2843390:-1 gene:Solyc09g009400.3 transcript:Solyc09g009400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRRVTSVLNLGGNAILSTVKELGNVGPLYSTVSAMETLPRKLKKAEKKPWVTSVNELKRRARFEKQERRVVREVALRPPENGLLVEKLIPVAHRVLASRAQLLACVSRISEEIPIYFCSLCTEVHVGHPPHKIRTCDVSGSQKNKEHTWQRGGVDHVLPVVQSFHLYDRLGRAVSHNERLEVDRIPALVELCIQAGVDLPEYPTRRRKFPIYRVAGKLIDFEKRFPKDDLFGKDVETFRSRETIKKHIGDGNYLNLPYDDIKGFAMRGMEAWETMRTGAIQLMKTYGVQTCGYCPELQVGPKGHRVRQCQAFKHQMRDGQHAWQEATIDDLLSTVYVWHVQNPHAGDVLVDSMKRYYGKLPAVVELFSQVGAQVGDDYYHMMRDDVVVPGRDEEKLVV >Solyc10g086780.2.1 pep chromosome:SL3.0:10:65624488:65628087:1 gene:Solyc10g086780.2 transcript:Solyc10g086780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENQKTVFKSVQELANENQVPEKYIHTQGSINSSCPMLNVPVVDLRLLTSTARKQELNKLQSGLKFCGCIQVINHGLADSFLDKVHEISKQFFALPAEEKLKYARTVDDIYGYGNDSVLSDKQKLDWTDRLYLNVFPEAIRNLKLWPQKPECFREVFEEYINNMKLLSESLLKAMAVSLNLEENCFLDQCGEGREMIARFNFYPPCPTPEVVLGIKPHADASAITILLQDKEVEGLQVLKDDQWFRVPIVPYGLLVNVGDQVEIMSNGIFKSPVHRVVTNADQERNTLAVFIMPDVTDGIGPVEKLINEEMPRAYKDVKNYVELFFQSYQHGKRPIETAMICQEQD >Solyc10g051225.1.1 pep chromosome:SL3.0:10:51723407:51724860:1 gene:Solyc10g051225.1 transcript:Solyc10g051225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRHTQKSSPESLNCVYIYLHTLFLIFTPCPKDQSTTLLKFKKALTPKDPSFTTVVYGDEMTGNVIELDLTFELSHLQRLDFSMNNLSNFHISPNKLRLETHDFKLILRNLTQLKVLGLSYINISSTIPLNFSSHLTTLKLHLNQNNQLMSGYFPKTR >Solyc07g032615.1.1 pep chromosome:SL3.0:7:40701058:40704018:1 gene:Solyc07g032615.1 transcript:Solyc07g032615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGTNTMEFGTTAVEFDTTTHIGGGIQHIGAIDEKKDEIKPPTSLYKLIVSRPHIRSDQIRTAMSFDSNQTSCGEARFLISYLKNFLALYLPSLKAKLSTPPSMVGQWLAVPVPFTSMHKLTSVIVEQIKKFLGEGLHFCTLQEVQRIEKQLACSVTPSGHRQTLTGEKEGENTCTDGSGRKMLRLNCFKDHRSAE >Solyc03g116660.3.1 pep chromosome:SL3.0:3:67462474:67467284:1 gene:Solyc03g116660.3 transcript:Solyc03g116660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPFQNSCNKITKMKSWLSLSNIHRSPLNFSTSAANSVTPPRRCSPSTATSSSSPNLRFSSFVLNLQSSTTYSYSYPNTSFGRCRATSAGPPSPPQTEPPNNEEDSSSSPGIMSSFSKAQDTLRIFVAVLFWMSLFFWYSVWDGKKDGRPNKGSRFRR >Solyc01g091240.3.1 pep chromosome:SL3.0:1:84786477:84788461:-1 gene:Solyc01g091240.3 transcript:Solyc01g091240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVANSSQSWNLAHPTLTFSQKCKTQQDIDQIHAQLITTGLVKYPFLTTKLILKLSSSPHTPIVEFARFLFFSADFCCSKRNIKDPFLWNVIMKSFSHGNDPHKAFQMFCLMLENGVFVDEYSISIVLKACSKMGFITRGMQVHGLLRKIGFGSDVFLQNCLISMYVRCGFVEYGHRVFDRMCMRDSVSYNTIIDGYVKCGMLDVACRLFDFMPIEMRNLVSWNALLTGYVKLDQGFDVAWELFDRMPQRDLVSWNLMLYCCVKSGNAEKATALFDMIPKKDVVSWAIMVDGYAKIGKIDIARRYFDDMPVRDVISCNAIMAGYVKNGHCLEALKVFHDMLSDSSPAPDSTTALLALSAVSELGYIDEGIALHCYIEENGFPVAGKLGVALIDMYAKCGSVDSAMGVFNDIQEKNVDHWNAMIGGLAIHGFGDLAFELFMEMERLSLDIDDITFIAVLNACGHSGLVKEGMICFEIMRRAHHMEPKLQHYGCMVDVLSRAGHVEEAIKFVDEMPIHPNDVVWRTLLSSCRNQENVHIGDPMDKQLVGLNSHNSSSYVLLSNIYAQFGKWDYVRRVRTIMKEKDLKKTVGSSRIELQGIVHEFSVGDKSHDQVEEIYSTLQMVCVYQESKFN >Solyc04g014300.1.1.1 pep chromosome:SL3.0:4:4596941:4597111:1 gene:Solyc04g014300.1 transcript:Solyc04g014300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSEGTLSRGFAGIGGRVIFGTTEGKDGNGELAVGSVGNNVTLGNGGNVANSWLR >Solyc05g051980.3.1 pep chromosome:SL3.0:5:63179567:63182760:-1 gene:Solyc05g051980.3 transcript:Solyc05g051980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKIPELVPSAAEDSQQLRKAFVGWGTDEECIIRILGHRNAAQRKLIRETYEAAYEKDLLQDLAGEISGDFQRVVHLWTMAPAERDACLVNEAIKHLPGSNCIIMEIACARSSVDLFKVRQNYQARYKKSLEEDVADHSTGDFRKLLVSLVTALRYEGEEVNMDLASDEAKILHEKISDKAYSDDELIRILSIRSKTQLNATFNQYNDKFGNAINKDLRANPKDQYLTLLRSAIKCLMEPEKYFEKVLRLAMKGIGTDEESLTRVVATRAEVDMELIKEKYYKRNSVTLESAISDDTSGDYGKMLLALIGHGNL >Solyc05g047610.3.1 pep chromosome:SL3.0:5:59958855:59983059:-1 gene:Solyc05g047610.3 transcript:Solyc05g047610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNPFRLCSGLKVIGYFMILIVFAIVVLSYYAVVVLIWAPRLLDGGFTSFLSFTIIIIFHILLVMLMWSYIRVVVQDPGSVPENWKLVSEQNIEEGNSVALSDYASIENPTPTLSTEQIERRQSQSRGYCSKCQNGKPPRCHHCSVCQRCVLKMDHHCIWVVNCVGACNYKFFLLFVVYTFLITTLDTLVLLPSFIKFFRQSKNQSLLPGNIAVIFLVFVLNLAFSLSLLCFVIMHASLLSSNTTSVEVYEKKKSPQWRYDMGWKRNFEQVFGANKVLWFFPLFSKKDMESIPALHGMEFPTRSDVVD >Solyc04g024500.3.1 pep chromosome:SL3.0:4:30442605:30463660:-1 gene:Solyc04g024500.3 transcript:Solyc04g024500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKEESNMLLTMLKAGDHRPFDEIVADFISSFPPHRQFYTCFSLALILDEKLLKPAQRLVAFAILLQVYSSQEPSSNPFISLLVNAACDEGAEQCERAFILQLLSSASSTSSREVLKQSATDYMKMFDTSQLSFPQREQLQQQYGSKALPEEFQCLIGNNSVKNSIPDPDVPRGCDSNSLEFDLLPGSKPKIGSGDVDETITGLLSNLSFEGLGPQWIRPHPPRLPVLDGELVWLNPDYNYELLWDHGMCADTSRGAAVRDLIAKALKGPLVPAQQEQVLLELANDPKLVYHCGLTPRKLPELVENNPVIAVEVLVKLVNSPEIAEYFTVLVNMEMSLHSMEVVNRLTQAVELPTEFIHMYITNCISSCENIKDRYMQNRLVRLVCVFLQSLIRNKIINVQDLFIEVQAFCIEFSRIREAAGLFRLLKTLE >Solyc01g057420.1.1 pep chromosome:SL3.0:1:60873840:60874629:-1 gene:Solyc01g057420.1 transcript:Solyc01g057420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAYAIVLSLLLFWNTFFDILETVEITFVIGNRCHFLCSFVAAIGYRVLQAFDALLDALCSCFVGIEISFSYDSRSVKC >Solyc04g071150.3.1 pep chromosome:SL3.0:4:58156363:58163569:-1 gene:Solyc04g071150.3 transcript:Solyc04g071150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIIFIVMLLVMVLVVVLLVLLRTNKASPKEMEAIPGTLGWPIIGESLSFISEFSSPAGIYSFINKRQKLYGKVFKSYVLGRYTVFMTGREASKILLTGKDGIVSLNLFYTGQQVLGPTSLLQQTGEAHKRLRRLIAEPLSVDGLKKYFQFINSLAIETLDQWSGRKILVLEETSTFTLKVIGNMIMSLEPTGEEQEKFRTNFKIISGSFASLPFKVPGTAFYRGIQARDRMYAMLDSIIDQRRSGENIKQDFLQSLVKKHGKDAPEGDDDDKLTDKQLKDNILTLLVAGHDTTTAALTWLLKFLQENPAVLERLREEHREIQAQKQGTLDLTWSEVNNMPYTAKVISETLRMATILPWFSRKAAQDFEIEGCKIKKGWSLNLDVVSIHRDPKIFPNPEKFDPSRFDDPLKPFSFLGFGSGPRMCPGINLAKLEISVFLHHLVCRYKWTPLDTDDSVQPTLVRMLKNKYPVMVEPL >Solyc07g065985.1.1 pep chromosome:SL3.0:7:67678997:67679946:1 gene:Solyc07g065985.1 transcript:Solyc07g065985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENRELMEVNPTRKTKIWAAIAGIAIEAPILGFMCFSFLTSIILLVVTSPLLVIFSPLLIGAAAVLGVAMAGFGVAGVTAGLGLSSFVLVYRSVIKGRITSGEYGGGGADEAPVVVDKMIEPEEERDREVAGTGDKTDVQAQEGNPSSEAVHVDKIVELFESLKEHPHDQNETATIVHIVTVEVMICLHFLVFVALDELLFLLKYLEAVIYKNSSQPSLTSTF >Solyc02g065670.2.1 pep chromosome:SL3.0:2:37403049:37404315:-1 gene:Solyc02g065670.2 transcript:Solyc02g065670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLMFPYLRGFSIDLCSTPINLSLIKKKITQKYSSSIHLVEFHLPNLPELPPHYHTANGLPIHLQSTLYKAITMSKPQFYEILKDQKPNVLVHDVMQPWAAGVAFSLNIPSIKFCVTSIAMCCYFGHFLLKTEVEFPFSALYLKDHERDITRPYDVEVKEELGENRAIMLVNSSRAIDGKYIEYLSEIGKTEILPTGVVVQDIAINDEEMEIVKWLGNKKENSTVYVSFGSENFLTKEEMEEVAYGLEVSNVHFVWVVRFPKEEQVVNLEDVLPQGFLQRNIGEKGRIIERWAPQTIILKHPSIEFGVPIIALPMNFYSDQPLNARLIVENGVGVEMARDGYGKIHRGNVAETIKDVIFGGRNIGEDLRRKVKDLRENIKLQRWMELLTC >Solyc07g042680.3.1 pep chromosome:SL3.0:7:56341003:56349509:1 gene:Solyc07g042680.3 transcript:Solyc07g042680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPKFAGLIDLNENHDHYGLTQNFYHKLGEGSNMSIDSYGSLQMSNGGGSVAMSMDNSSVGSNDSHTRILNHQGLKRVHNNYPDAASVNKGKTSHGLSDDALAKALMDPRFPTNGLENYDEWTIDLRKLNMGPAFAQGAFGKLYKGTYNGEDVAIKLLERPENDLERAHLMEQQFQQEVMMLARLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLARRQNRSVPLKLAVKQALDVARGMEYVHGLNLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELLTGMLPFQNMTAVQAAFAVVNKGVRPTIPNDCLPVLGEIMTRCWDGNPDNRPPFSQVVRMLEAAEIEILTTVRKARFRCCISQPMTTD >Solyc05g016033.1.1.1 pep chromosome:SL3.0:5:13617234:13617275:1 gene:Solyc05g016033.1 transcript:Solyc05g016033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRFPCKSGECQGL >Solyc06g074600.1.1.1 pep chromosome:SL3.0:6:46289223:46289399:1 gene:Solyc06g074600.1 transcript:Solyc06g074600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDRFFFIPEEVHILPGSSSLMVRNNSIVGVDTQITLNLRSRVGGLVRVERKKNELN >Solyc04g053023.1.1 pep chromosome:SL3.0:4:51249743:51250221:-1 gene:Solyc04g053023.1 transcript:Solyc04g053023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHFYEEQEFDFDHPMGSLTIPCKEDVLVHLMSSWILS >Solyc01g090515.1.1 pep chromosome:SL3.0:1:84054889:84056096:1 gene:Solyc01g090515.1 transcript:Solyc01g090515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEYQHADCVKYSTSIITFTFDDTLMSFMQELKRENIGKADDLQLQIQIARNYSLHEQVEEVHRTMKFNKAKSAS >Solyc07g054090.3.1 pep chromosome:SL3.0:7:62619876:62624908:-1 gene:Solyc07g054090.3 transcript:Solyc07g054090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVQQSWWKFSTCFLRVSSSSYSTNTETLASLFLPDKDEQASPKNALNSRLLYLTRSKDSVISVLDKWVTEQNPIKYEDLQIIVRQFRAYRRYNHALQVFEWIKKSKDFDISPRDFAVELDLVSKAHGLEAAETYFTSIPDDLRTYQVYGALLNCYANAMVIKKAEDTMQKLKELAYAGTVAYNVMMTLYAKLGYLEKLQSLMLEMEDKGVSGDMISYSILLNAYASVPDVREMEKVLMKMEADPLLINWNPYTVVAKGYLKAGDIEKANESLKKCEYRLKGKREKLGIDMLITLYTSMGNKDDVYRIWNKYKQKVKRHNSSYHCMIRGLEKLDDLDGAEKIFAEWETNRVHFDIRIPNLLISAYCKKGHMEKAISLIGQLEASGKHPNGSSWNRLALGYCVQNDMDKAVETMKKAILASKPGWKPHFHSLASCVKYLQSKGDTQGEEELKDLLRVRGLCSKEFEGGLDKYIEIGNRKSEALNETDLEETC >Solyc10g054823.1.1.1 pep chromosome:SL3.0:10:55931191:55931199:-1 gene:Solyc10g054823.1 transcript:Solyc10g054823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KV >Solyc01g108043.1.1 pep chromosome:SL3.0:1:95315395:95316018:1 gene:Solyc01g108043.1 transcript:Solyc01g108043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSEILEEMRGKGLMPGAVAYNTLWMEDTLCVTKEMEQKRLVPDVVTYSTIISGFCRNCGLERAFCVKQQMVEKGVLPDVITSRSLRAAKND >Solyc07g025450.2.1.1 pep chromosome:SL3.0:7:28329017:28329136:-1 gene:Solyc07g025450.2 transcript:Solyc07g025450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWRLIALFRTPRLRTDDITPNFSLYDLTINILQFPLSHE >Solyc08g075860.3.1 pep chromosome:SL3.0:8:60054104:60060183:-1 gene:Solyc08g075860.3 transcript:Solyc08g075860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGCLKKIATKSPTTTIFLPYLLRSVLTTRFQLLVAGSFCNSSGDHRNSEVFTSFSGSKEMEFFFRTQTEETSDCSFNGQDIQRCPFLSNINKPTNFSFFSALNFPSPVKGGKGPIFEDGPNFDMAFKVFHGKDGVVPLSGRSQFFNDNIEVESAPQFNPLAAKAATISLSSFGAGGPFSFDSFSRKWNSQKKKPESSKKKKPSSQDKSSKHEAMGNEWLESGNCPIAKSYRAVSGVLPLVASAFQLPPGMKLKCPPAVVAARAALARTAFVKTVRPQPLSSKMLVIGALGMAANIPLGIWREHTEKFSLSWFTAVHAAVPFIAMLRKSVVMPKTAMALTIAASILGQVIGSRAERLRMKAKAESVKLVAQTGSDGVIAQSSTEGVIAGLNSIQVSGMPGVHCGTQGMLKDQPSKESANTISPSASLCF >Solyc05g039965.1.1.1 pep chromosome:SL3.0:5:49349684:49359003:-1 gene:Solyc05g039965.1 transcript:Solyc05g039965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGLEKCASQPTLMAVSLSTNGAAPPLPISPTSTVSLGLYSIWPLPILTSSLLSTELLSACINQVNMITIV >Solyc11g011940.2.1 pep chromosome:SL3.0:11:4868653:4877539:1 gene:Solyc11g011940.2 transcript:Solyc11g011940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGEEHIGESSNSQKKSKRQRQCHRHTMEQIQRLEAFFKECPHPDENQRNQLGREAGLDPKQIKFWFQNKRTQTKTQNERSDNNALRMENERFLCENMAMKESMKNIMCPKCDGPPIGKEERARNLENMKLENQRLREQHEKASNFLSSILGRSFVMGSNLAPPKSTLQTSSNSSDESLLSQNICGSPIRYPPQENNNNVRAHSININNIPIMSPSRQEHYEFHHDNRQRTDTFEIVVASMNEMFELLQMNDPIWVDSSSDGGCSIHRESYERIFSNMNRPYKSATARIESSKDCGVVSMPANELIHSFLDPVKWINLFPTIVTKARTIEVLDSGTLGGSVQLVKFLFIFYICFLRN >Solyc12g005910.2.1 pep chromosome:SL3.0:12:541681:547122:1 gene:Solyc12g005910.2 transcript:Solyc12g005910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQVQLLFMVVFLEMGLILMFLFRTPLRKLIIMSLDRVKRGSGPLIVKSVAATVLVIMIYTVYSIKELQSRPADSVNPTDQILLAHQILEAALMGFSLFLGLMIDRLHHYIRELRLLRKTMEAVKKQDRTLDNIKNGEASTLRDEISSLRNRMKQLESESEAKEKEVQSQRANSDSLKGQSEKLLLEYDRILEENQNLRSQLQSVDDTLSHSDNKKNT >Solyc04g014390.3.1 pep chromosome:SL3.0:4:4638822:4642176:1 gene:Solyc04g014390.3 transcript:Solyc04g014390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVLTDRSEPGTQNYSKPQFCKGFTLPKSPAADVLLLTLNLYPLQIIFSDGIKLYMALRGVWQLRKLVVSYCNWGGSSRGIRAFMESELPALKEKNPHLEVVTELNRGQHPFLKGLYKNKNERVVCVKNLSQDEVLEAATKLRNSLGRKVVKLKTRHVTKQPSVQGTWSTALEL >Solyc05g053360.3.1 pep chromosome:SL3.0:5:64324516:64327855:-1 gene:Solyc05g053360.3 transcript:Solyc05g053360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHILFREGDFEVDLESCRSTSGEAGNNNSTLDAKAGNVLFTSVCNGDGEQLSKAENGLNMKNHTQDGDELTQGSVSVFIDEASLVEKKKIKEKRKSTSAKKPPKPPRPPRGLSLDAADQKLIKEIAELAMIKRARIERMKALKKMKAVKASPPSSALNGSSLALLFTIFFFFVVLFQGISYRSSTMNFYDSVQPGGVRENRFIIVQGNSNLSTSAASIAGSGSSDSVEQASGLTHGVVRKVSQAEIQ >Solyc06g071600.3.1 pep chromosome:SL3.0:6:44236708:44239964:1 gene:Solyc06g071600.3 transcript:Solyc06g071600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNGAAAVTVREKMEQLRLKCNRDLQIQQQSIDAGAISFWKSLDSTKTQARQTLQFQEKLGKLKTELREAEDNLVKALAVKTRKEAKRIKIAELIATTNARVEELRGVMEDKRVRKHEYSALISQQDDALEALEENLNQNSGHREAIEEATGWYNKVLGLRIECGLGVKFIFTNINANNPDDEYSFTVRRENDAHTLIDCDPQLNDAKELIIELNKSNGMFKFVRTMRKKFLEAVARGLTSQDQDTSMVSMPTVMSSISIISRDESSPQKAEPQSDEYKRASRKLALGKRDRSAILSPVSALSLRRSPRFKVNK >Solyc02g014630.1.1 pep chromosome:SL3.0:2:18040481:18041130:1 gene:Solyc02g014630.1 transcript:Solyc02g014630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSMCRSSRSESLGDALKAPGARVVRSRHRALRSCRLGGILTVSYLKLREPSWSAQRVTMVAYIEHSGNRASRSNSLGGALRASRLKIREPGWRAQPSHLKLWDLGCRAQGVATQAFLKFLVLGLCTQDNAPPSPVAWLVCSRHCSSSFECLGGTLIASCLSFRGLGR >Solyc07g045150.3.1 pep chromosome:SL3.0:7:58379233:58383448:1 gene:Solyc07g045150.3 transcript:Solyc07g045150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAPKVILLFRDPTGFGTAIFEALQPNPKSNLQKRQESLDLSLQHYGIKDQKVSVEVVHFLNGANLEVSLLLLENYEPPTLACALNEVLALLVGDGLSNMPTIVAPFVVAASKLKMENRTSVSIDNRSVYGLQVGASSDLTKALCTNLQSPPPSLQICNEQLACLLQLVRVLKMPTYVIIGKKGQNLHRKTSEEEHEVIHEIGQHLASFSSLSFSGEKIAWDATKSSKETQEPWRALYG >Solyc07g041362.1.1 pep chromosome:SL3.0:7:52656189:52658306:-1 gene:Solyc07g041362.1 transcript:Solyc07g041362.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSDRDAPYPCLEIVENKQHARAKFLALKVHPPVIGAFPIIRKMLDTSHHLPEWSSTETEDVPDNFSFKVTTEKVLLLRSSTHQNVVVVIPPRRNEYLSSRRVPSSGFGEFRYTSGYWEWAFCENWRPSNNTVSTFVRELSVSLWDLRAIGSLPVHGSFYDEVVPSAKELTHVDDQGKSFLPRSCSFLFSAFYRLTKGAIDEVSFGEWTKFWKADCIRASVFKVASLMSHGEIFSLAVPILASIYHGLKDISTYSNLGACNTFVPLHFIYGWIGEYFETYFDVTRPQRGVRLWNISGERMVKYFDLVNARNFVTLRLDDELIVEPYSPHRFSRQIFGNCQDVPGALIEHHYDGSLLALVQLWDSCVHLGCSSKIIIPMRLSKKGSLMTREQLQEKLPQFLKSKATPDIPPQSVRVVSKSKSSKSKTTHVGNGVEGPLQTPKAANLTYKEVIDVTLKRKKPSSSSDKGVAKSLGIAPSYRSTSKMSISIQDIDISTSAASSSNESNVSQELHW >Solyc06g050690.3.1 pep chromosome:SL3.0:6:33544728:33547855:-1 gene:Solyc06g050690.3 transcript:Solyc06g050690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAYLTDNAFTERNIICYDHSDVISNGYKVRNPLRFPAPLMVFQLSIISLTSLIIAVGLKPLGQPILVAQVLGGILFGPSALGRSEVLRDTIFPPRGVMALETAATFGVFFNLFAIGVECDSKRMFRPGKKAVIIGISVLFSSLVSNMGLATLMQSFITMDPPLAKALPVIAISQCIVGFPNVCSLLKEMQMLNTDQGRLATTSAMFCDVIGFTMGAVGFIKLQVEKEHTIQRKMGSGLSPLILVIFTIYFVRPAIKKTLKRRPDGKPIGENYFVCLLVIVLMYIFAAETIGQHFLFGALLLGMAIPEGPPLGAALINKLHYPVGKILYPVFLTTSGLKTDVFTIHFKSLWVITILVLFAVLIKIGVMIIIIRFTGLTLHDSVIVGLMLNSKGICDVVFFNLWRISEALSDEHFAVVIIISVILVTVIITPLLKYLLSSIEEQSPTKRRTLQHSKPHTELRILVCVHHLQSVPTMVNLLEASNATEQSPIGVIGLILIELVGRAAPLLITHNHTQGEIPEDASISLQIINALRQYELAYETCVTLQPFTDITHFDLMHEDICRLALDQNATFVILPFHKHWEIDGSIGTSSRAIQNINSKVIKKAPCSLGILVDRGILKGSMAILNNQRGYHVAVIYIGGPDDAESLAYGARLARHPNVSVTLIRFLMFGYDNARERKVDNSLIEAVRYENSMNESFIYEERVTRDGVGLSASLRSLEDRFDLIVVGMHHEESPLLVGLGEWSECPELGVVGDFLASPDVGIIATVLVVQQQRVRGKLLNRAAKPVVVNNQDGGPYPEMNNHNNNGMSTPTTVSNDHPRWEITIDRAN >Solyc07g025210.2.1 pep chromosome:SL3.0:7:26679035:26684283:-1 gene:Solyc07g025210.2 transcript:Solyc07g025210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKQLMSKRTIEKLSKLKATQILCHFHSKSSIPTSSLPPSTSWTKCRFFLIHNTPIPKFESWSAKRLIHTDTVTDTPEEMVIDTEDDITMNDFLSRFVWIMRGKLKQVYPDFEKKTIDEMLLVIVDKVVSELEKGGFDQIVGGKSSDDDFSHDLWKTVWEVSNVVLEDMEKAKRKDKMKNFLQAEQVKEMCRFAGEVGIRGDMLREYRFKWAREKMEASEFYHSLERFKEEEQQQQGTEHGIGAEDPKLVTLPKRRGKINYKIYGLDLSDSKWSQVADKIHEAEKIICPQEPKKIDGKCKIITEKLLSLQEEDDYSTLIAEWVQLLQPSRVDWINLLDRLQNRNPSLYLKMRENGIVPDILTSTTMVHMYSKAGDLDRAKAAFESLRTQGFLPDMGVYNSMILAYVNAGDPKKGESLMKEMEVRDMKSSKKIYMALLRSFSQHGDANGAQRIATSMQFSGFQPTLESCMLLVEAFGKAGDPDQARQNFDYMIKLGHKPNDRCTATMIAAYEKNNLLDKALNLLVELEKDGFEPGVATYSVLVDWLSKMQLIDEAEQLLDKIAEQGEAPPFKVHISLCDMYARANVEKKALQALGVLEAKQEQLEPEDFERIIWSLIAGGFVQDAQKFQGLMEARGFTVSEQLQVTLMASQTFLRRRPTPKNLPLTIHPNKMGLRAQQSQQAPDSHELYTDSSWFPILPLLYRHVSMNSIASSRYPVLTAYGSIERTMS >Solyc02g064765.1.1 pep chromosome:SL3.0:2:36427636:36428031:1 gene:Solyc02g064765.1 transcript:Solyc02g064765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNYKSWIDGFAPLVISGDMDSVAFQEFSRTLFNVRQDISLSVFRTIFTFDLRYFLCRVTVPCHIIQSSKDLAVPVAVSEYIHRNLSGRSIVKVISTEGHLPQLSAPEYY >Solyc04g007820.3.1 pep chromosome:SL3.0:4:1496790:1497873:-1 gene:Solyc04g007820.3 transcript:Solyc04g007820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGKLIVSLEVKCGGHSIHDIFRTNTQHLSTISPSRVKHFEIHEGEKGEIGSVASWKYYEDGKEMFVKTVIEAIDPQTNSISWNVIEGNLLDLYNSFTVITSSEHEWITYTLVYEKKTEDTPEPLALMHYCVGVIKDTESHLLKN >Solyc09g030380.1.1.1 pep chromosome:SL3.0:9:32052115:32052264:1 gene:Solyc09g030380.1 transcript:Solyc09g030380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSKCIQYKCIPIKFERFYCKKYKCIPVYYIYEQRYPIQMYVNLLYKY >Solyc01g091200.3.1 pep chromosome:SL3.0:1:84762336:84764871:1 gene:Solyc01g091200.3 transcript:Solyc01g091200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPQIKKLPSLKFVKTRERSSVCEPTPSPKLNLLFVPTTTSASKKPEDSHKYRLKFQYPHLLFCLFDDTNTLIITFIATPFPLFCFLSPFPLSTTKRKTKKKRLFFQTLTLAFFKKIWRFPLKTRNSQGGYSSNIQDLESVMSQMMHIDNIPSTPGKFKMEKSPYNRLRMHFSLAKLTFWSFVFLGLIFVFFYRSPASSSPVSSDLSRRSLRTSSYGGPAWEKRIKASAKVRSRNGISVLVTGAAGFVGTHVSVALKRRGDGVLGLDNFNDYYDPSLKRARQALLERTGVYVVEGDINDATLLKKLFDIVPFTHVMHLAAQAGVRYAMENPGSYVHSNIAGLVNVLEICKSVNPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLAALDTAEKSTGSGGKKKGAAQLRVFNLGNTSPVPVSDLVGILERLLKVKAKRLVMKLPRNGDVPFTHANISSAHKELGYKPTTDLQTGLKKFVRWYLNYYGNGKKSAQ >Solyc02g081250.2.1 pep chromosome:SL3.0:2:45834006:45848525:1 gene:Solyc02g081250.2 transcript:Solyc02g081250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEHLTIDPSDRAVEQAIVALKKGAHLLKYGRRGKPKFYPLRLSASMESVIRISHYFDPDGEKMMIYSNCRTKKTDEKFLIWYSGEKENQLRLSSITNVIRGQSTVIIQPEMENQCISLIYGNGERTLDLICKDKMQAETWFVGLRAVISRTHHHRMVDSLKSKRGTHSCISSPAGYMRRKQNLGLSAKTIRLSQVRSLAGSPTQSFSERCFTDGLSCSSESFFSESSLSNVMDNFTSCSSYFEPDDLSQTRASCAGTEIQTDMLAPLLPSSNESRPFGKNILRDVFIWGEGAEGGCLGVGEVKLDALSPKLLESTVMLDVQAISIGRSHASIVTKQGEVFCWGEGKNGRLGHKHDMDTARPKLVDSLNGVRVKSVSCGEYQTCALTFSGELYTWGDNSLCAELVGEEKKRSHWLPNRVCGSLGGVKISYVACAEWHTAIVSTSGQLFTYGDGTFGVLGHGNLQSVAQPKEVESLRGLWVKCVACGPWHTAAVVEVIVDRLKFNNPGGKLFTWGDGDKGRLGHPGEETKLLPTCVAKLVDHDFIQVSCASTLTAALSSTGKVYMMGSAVHGQLGNPEAKDKSLVLVQGKLREEFITEISSGSYHVAVLTSRGSVYTWGKGENGQLGLGDTKDRSWPTLVESLRDRQVEHIACGSSTTAAICLHKSASSTDQSSCKGCNMSFGITRKKQNCYNCGLLFCRTCCSKKTPNASLAPDKTKAFRVCDPCFYQLQRIAQSSRSSKLENRSPRPLPITIKAVTCEKVERDEADTTSSRMMSTKKYLTENNQCFDRRSANSLGESRQFSDPVTSLMDSFPRWGQVPCPKVFRRDYVQMRTQNPHLRNSLASASPTYFVEPKVVLSAGLTMEEDFQESEKILLKEVCKLRTQVESLERLCETRKEKIQESQQKVEEAWSVAKEEASKSKAAKEVIKALTSRLQAMSESFFAGAEANVQAIANVLQTTSTYSDSQNHTSGHRIVVPVANTQLEERNVDSLCGSPIVFSSTLRSFYNKEDNVESRSTEESCKETDHVQAGIRTSKVEWVEQYQLGVFITLTILPSGNKGLKRVRFSRKKFTEKEAKKWWEENQLSVYKKYDVEGYENVNQVLLKNIGKITECPFTCHLNPGCRPAPPQRHKTLSRRMTLKLFKCALPIRFLGNTFKISSRFMCADNKLDELVDSLLKFPEDECTPQEENKLKESSFSVQELGVLQDSILSSVSSKTDTGKFPEDVFLMINAIRNGNDGFGERTEKALRSFREKLNPGLVVDVLRNIHNPELGVKFFKWAGRQIGYVHNASVYDALLDLIGCVGVPQHLFNDIGKDDKEVLGKLLNVLIRKCCRNGWWNSALEELGRLKDSGFKPSAATYNALVQVFLQVDRLETASLIYKEMSELNFKMDKHTINSFTRSLCKVGKWRDALDLIDKEEFVPDTVIYTNMISGLCEGSFFEEAMNFLNLMRTISCIPNTVTYQVLLCALLNRRKLGRIKRVLNLMISEGCYPGQKIFNSLVHAYCRSGDYWYAYKLLKKMDGCGCQPGYVVYNILIGGICGNEELPSKDVLELAENVYSEMLTARLVLNKVNVVNFARCLCAFGKYEDAFSVIKEMMSKGFVPDVSTYSKVIGFLCNASKVDKAFLLFREMKRNGIVPDVYTYTILIDSFCKSGLIQQARNWLNEMIQKGCTPNVVTYTAIIHAYLKQRKISDANELFESMLMQGCIPNVVTFTALIDGYCKAGHLEKACQIYARMKGSLDTPEVDSYFKVNLDGNNEPNIVTFGAMVDGLCKAHKVKEAHNLLDIMLAEGCEPNHIVYDALIDGFCKVGKLDDAQEIFAKMSECGYSPSIYTYSSLIDRLFKDKHLDLAVKVLSKMLESSCPPNVVIYTEMVDGLCKVGKLDEAYKLMLMMEEKGCHPNVVTYTAMIDGFGKAGKVNKCLELIESMGNKGCAPNYITYSVAIKHCCAAGFLDEALQLLEEMKQISWPKHMASHLKVIEGFRREYLVSLGILEDMSDNNFLPVIPVYRLLIDRYQKAGRLESAVELLKEISSSSPFPHLDKRMYSSLIECLSVSNKIDLAFELYVDMMNKGAVPELTDFVNLIKGLISMNKWENALELSESFPPPFSSFRGQEMITLRPSNPEALPAGTSYTFLELHWLEGCSLAFLITIYLLWQLNEPVFSDRAVKQAIIALKKGAQLLKHGRRGKPNFYSLKAETWFCRLESYNIQDSPSQNGLDPLKSSRCAHSCVSSWKKQNLGLPAMTIRPSQHEFTPKVSVSSTQSSTCLWSGEFGAEFVTRLFENL >Solyc02g050287.1.1 pep chromosome:SL3.0:2:4959215:4961616:1 gene:Solyc02g050287.1 transcript:Solyc02g050287.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLREISMASFNKPLGFQPELANKGEGVGSKSRGCSTKTGGLNEQRTKVTVMLMSLKAGNLGLNMVAASHVILLDLWWNPTTEDQAIDRAHRIGQTRAVTVSRLTVKDTVEDRIIALQEDKRNMVASAFGEDQSGGTASRLTVEDLRYLFNL >Solyc08g069070.2.1 pep chromosome:SL3.0:8:58215471:58216425:1 gene:Solyc08g069070.2 transcript:Solyc08g069070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWRKVKVLGSGSYGTVSLARPMNVRSSSMIYAAVKSAEFNRSSSLREEGRILHALRGSEYVVECFGEDVSVENGNNTYNLMLEYAARGTLDDLIHKSKIMLGESEAAYYAFQILKGISHVHNKGIKLADFGLSLISDDSWKKHHHFRGTLVYASPESVTSGVYGKQVDIWALGCIVVEMITGKRIWSRCKSKKELAWKIARLEPALPYNISNDGKDFLKKCLERDNNQRWTAEMLLDHPFMKNINAKNMNCGLIHKCNWISTQHLFSTISPYYPNQYSSCCSRGTSSNSISSLETNNYK >Solyc02g036260.3.1 pep chromosome:SL3.0:2:30945960:30954282:-1 gene:Solyc02g036260.3 transcript:Solyc02g036260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADIGVAAALNILSALIFLLAFAILRLQPLNDRVYFPKWYLVGLRNDPLKSGGFVKKVVNLDWKAYIRFLNWVPAALKMPELELIDHAGLDSAVYLRIYLLGLKIFIPITLLAFAVLAPVNWTNKTLKKSGLTYSAIDKISISNVPLGSERFWAHILMAYVSTVWTCYVLQKEYAKVADMRLQFLASAERRPDQFTVLVRNVPPDTDESVSESVEHFFLVNTDHYLTNQVVYNANKLAKLVKEKNSKQNWLDYYNLKYSRNKSKRPMMKTRFLGLCGEKVDAINHQTAEVERLSKEIAAERERVKNDPKSIMPVAFVSFKTRWAAAICAQTQQSRNPTLWLTDWAPEPRDVYWKNLAIPYVYLTVRRLIIGVAFFFLTFFFMIPITFVQTLASIEGIRKIAPFLKVIIDISFVKSFIQGFLPGIALKIFLIVLPRILMLMSKFEGWGSISALERKAASKYYIFNFVNVFLGSIIAGAAFDQLNTFIHQSANEIPKTIGVAIPMKATFFITYTMVDGWAGIAGEILRLKPLILFHLKNFFLVKTERDREKAMDAGSLNFNTGEPQIQLYFLLGLVYAIVTPFLLPFILVFFALAYFVFRHQIINVYHQEYESGAAFWPDVHGRIIFALVFSQISLLGLLSTKRAAQSAPFLIALPVLTLSFHYFCKGRYEPAFTRYPLQEAKRKDTIEQAKESKLNLKYYLQKAYLHPVFRGDDVDDNEDVNDKLESNDVELIPMKRHSRGSTPGPSRISGASQEEMLQHQEE >Solyc10g005440.2.1 pep chromosome:SL3.0:10:318030:321963:-1 gene:Solyc10g005440.2 transcript:Solyc10g005440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCMIISNWYIFLFSVFYMNLLCCACASSKIIQGEILRDGEMLISPKRKFILGFFSPNVTNNQRFVGIWYVDGPENSFVWVANRDKPIFDKNGVFTIEKNGNLVVKNGHGDIMWTSNVEAISNNCTALLSDYGNLVLFNSNNKVLWQSFQHPTDTFLPEMKFYTDEVLRSWTSESDPSTGRYVILYRVIILSEMFYLLDFVFYMPPHAYSLGVTSHGSPQIVIWDGGNKRWRSGYWDGRIFTGVIDMKPEFLHGFKLNNEGDNLYFTYTVSNTSDLVRFHISPTGYEVEQRWDKDNNKWSIVQSHPSGDCDLYNLCGNFAMCDVTYFEKCICLGGFVPKDLGQWNAGNWSEGCVRRKEVECRGNNSVLKSGSEKKDRFYGVEKIKLPDFADTADVLNIDECRSMCLENCSCTAYAFVSGIDCMMWSGDLVDMQQFQEGGYTLYVRLDSSEFDGSNRAVKIVVISVMVVGAFLVCMVVFLLCRHKAKTRASNRINQMETVDPTRSGEFSMDTSVAGDMTIEGHQGSGSELIFFSFSGVAAATDNFSNENKLGQGGFGPVYKGKLLCGVEIAVKRLSRKSGQGVEEFKNEIRLIAKLQHRNLVRLLGCCIEGEEKMLLYEYMANRSLDSFLFDTVKQVQLDWRKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNENEANTIRVVGTYGYMAPEYAMEGLFSGKSDVYSFGVLLLEIICGRRNTSFRSDEHSGIIGYAWQKWDEGTPMDLIDRSIWDECQHDEALRCIQLALICVQDMAVHRPSISSIVLMLETDNIPLPLPRQPTYTSMRKHEDAETWNEKQDFSANNVTISVIAGR >Solyc04g011590.3.1 pep chromosome:SL3.0:4:4020777:4024513:1 gene:Solyc04g011590.3 transcript:Solyc04g011590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKSSESNNNNTKNVDDWLPITSNRTAKWYYSTFHNVTAMVGAGVLSLPYAMSQMGWYWGGVVLLTSWVVTLYTLWQMVEMHEMVPGKRFDRYHELGQEAFGEKLGLWVVVPQQLTVEVGVNILYMVTGGKSLKKIYDTACPTCSPLRTTYFIMIFGSVHFFLSHCPNFNSISFVSFLAAVTSLSYSTIGWGASVHKGISPEVEYGPRASTQIGRMFNGFQALGEVAFAYAGHNVVLEIQATMPSTQEKPCKKPMWKGVIGAYIIVAICYFPVAFVGYAAFGNTVEDNILISLEKPAWLIIMANAFVVIHVIGSYQIFAMGVFDMVESYMVKGLKFAPSKILRFTVRTVYVALTLFLGMTFPFFGGLLSFFGGFAFAPTTYFLPCIMWLAIYKPRRFSLSWWTNWICILIGVLLMVLAPIGALRHLILQAKTYKFYS >Solyc01g086860.3.1 pep chromosome:SL3.0:1:81654881:81663706:1 gene:Solyc01g086860.3 transcript:Solyc01g086860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEVQMSSEKQPRRGLSCTRYFDALWFCYSPVHQMQQYYRLGALDNCSKKWSGLVDCLTLKTKRSSEVEEILETREKAKPHLWSTRTPEEAAAYWSELFDHLDEE >Solyc02g055530.3.1 pep chromosome:SL3.0:2:2251214:2263647:1 gene:Solyc02g055530.3 transcript:Solyc02g055530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDCGAYPMRDSTQNLFVVMGALYSSCLFLGVNNASSVQPIVAIERTVFYREKAAGMYSPLPYAAAQGLVEVPYIFI >Solyc05g021520.1.1 pep chromosome:SL3.0:5:28048363:28049547:1 gene:Solyc05g021520.1 transcript:Solyc05g021520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVYGLGSKGLEFHRVYDFKNIVFRISYGIGLNVY >Solyc04g011960.2.1.1 pep chromosome:SL3.0:4:4319331:4321997:1 gene:Solyc04g011960.2 transcript:Solyc04g011960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSAVISLLRTLDERNIHELFHDHTAEVLDSLRAIAEYFEKVLDEFEPGKIKSLEEKIRDAASEAEDVVELKTHQIIEGTSWTFGILQHRDLLPVFEKMETIKKQVMEIVSHDADQILELFGDSMIGPSSKSYALLSDKLEDDIVRGIDDDLEIIIKRLIGPPSDLDIVTISGMGGIGKTTLARKAYHHLTIRYHFDILAWVTISQEFQCRNVLLEALHCISKKTAIVNAKDYDKMDDNELADLVQKNLKGPRYLVVVDDIWTENVWDSVRGIFPNYNNGSRILLTTRENEVAMYANTCSPHEMSLLSGENGWKLVCDKVFGPKHDHPPELEEIGKEIVEKCQGLPLTISVIAGHLCKVARTLEGWKDVARTLSEIIASHPDECLAVLGLSYHHLPNHLKPCFLSMSSFPEDFQVETRILIQLWIAEGFIRTSENGKSLEEVAIDYLEDLISRNLIQARERRFNGDIKACGIHDLLREFCLTEAEMTKHMHVERTYPTLPTQMHNVRRFSFQTEYYSVDDCYKLLPPASRSIYLFSRLDLPNLPRIKLHRRLPIYHHDPIIYEFFSHFNLLRVLSMNNKYLYFESFPLVITKLFHLRYLQVRFNGNIPESISELQNLQTLILSGTFPLDMTLPVKIWMMKNLRYIRLDRPTYLPSPGTQSLVTGMPNLQEFSGHLTDEVFSGIPNIKRLIFHLPYFRKSNLDQLQLDMSRLTKLEAFKFYGSIFYRYSFKRFHFPTSLRRLSLTRCSDFLWTDVSSTVMMLPNLEELKLKNCQTLIDEWRLSDEHKFRSLKLLLLSDSDLRYWEATSDNFPKLKRLVLKNCGDLQGIPADFGEICSLESIELYDCSTTAENSAREIVQEQEDMGNYFLKIYIHNIRGKFFSSLIFI >Solyc03g098530.1.1 pep chromosome:SL3.0:3:62252735:62253722:1 gene:Solyc03g098530.1 transcript:Solyc03g098530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRYKQIIDIVVVQMGEMRALMERLFGELNVRLDKFDARLKKFDEHILELKKCIGSFMDQEGKNMIQVAINPSSNGHENSSMLQEKQVKRHTEPILATYSHKRNKKKDVVSMTEDGGVDAPILGLVPIEEIKIDLNNDANVPIVDFVDKQKTEIDLELSVGSEFCVTGYHRELPLAVLMGEEETTHNFINESLADKLGCETVSIHPQTVRSDLGEMVTSRRCNNFQLSMEGTVFNLKLYLLPLSSKYDIVLGGEWLGALEKITITFAGIELYLLEGEKKFMPFKKSVRGRQRRRF >Solyc02g088190.3.1 pep chromosome:SL3.0:2:50990063:50992374:1 gene:Solyc02g088190.3 transcript:Solyc02g088190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPTKAGLQRCGKSCRLRWTNYLRPDIKRGKFTLQEEQTIIQLHALLGNRWSAIATHLSKRTDNEIKNYWNTHLKKRLVKMGIDPVTHKPKNDALLSNDGQSKNAANLSHMAQWESARLEAEARLARQSKLRSNSFQNSLASQEFTAPSPSSPLSKPVVAPARCLNVLKAWNGVWTKPMNEGSVASASAGISVAGALARDLESPTSTLGYFENAQHITSSGIGGSSNTVLYEFVGNSSGSSEGGIMNNDESEEDWKEFGNSSTGHLPQYSKDVINENSISFTSGLQDLTLPMDTTWTTESSRSNTEQISPANFVETFTDLLLSNSGDGDLSEGGGTESDNGGEGSGSGNPNENSEDNKNYWNSIFNLVNNPSPSDSSMF >Solyc04g081580.3.1 pep chromosome:SL3.0:4:65611104:65617672:1 gene:Solyc04g081580.3 transcript:Solyc04g081580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDFSFEQPSDEEVEYEENDDSEEEEHEVDEDNEDADPKPRTNKKPQSPWDFSSYSESVADEHSHRRTTSIDFKISKARQQLSAPIAKPIEEDSDSDDSEPHRQEEYRPDDEDGDDDVDTHVEKKPFFASSEGVTFHANSFIELHISRPLLRACEALGYSKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLYRPKNRPAIRVLILTPTRELAVQVHSMIGKLAQFMPDIRCCLVVGGLSTKVQEAALRTMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFSAEIRELVRLCPKRRQTMLFSATMTEEVDELINLSLNKPLRLSADPSTKRPATLTEEVVRIRRMREGNHEAVLLALCTKTFTSKVIVFSGTKQAAHRLKIIFGLLGFKAAELHGNLTQAQRLDALELFRRQEVDFLIATDVAARGLDIIGVQTVINFACPRDLTSYVHRVGRTARAGREGYAVTFVTDNDRSLLKAIVKRAGSRLKSRIVAEQSITKWAQVIEQLEDQVSAVMQEEREEMALRKAEMEANKAENMIAHRDEIYSRPKRTWFVTEKEKKLVQKAAKENAAAKENGSQSKVMSAEQAEDLKMKEKRKREREKNLPRKKRRKLEAAREQLEDEDDLDDGKDKSKKEKSGISLVDLAYRRAKAVKAVNKAVDAGKIVRKAGNKPKPKSRASESRTEEMQDIFQNDTSERKQRKPLHAGGKKKSSFKSKSRYKRR >Solyc05g052040.1.1.1 pep chromosome:SL3.0:5:63233780:63234877:-1 gene:Solyc05g052040.1 transcript:Solyc05g052040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKPHKWLPCSNQEGPKKPPLKLKFSTLYNLHFHSSFSTQFNYQQYFSRKKMDSSSLEMIRQHLLDDVVFMETCSSSSSSSLETTSSTLYSQTSSNSESLESLTSEIKLESNFSVYPDFINTPQSSNLESVSRFFDNSTIEFQAKPQKKRSFNDRKPSLNISIPSVKKTEEPKTGEVKTGEPKTEEPKTGEVKTEYSVKEKMVENSEKKRYRGVRQRPWGKFAAEIRDPTRKGTRVWLGTFDTAMDAAMAYDRAAFRLRGSKAILNFPLEVSNFKQENHEIEKNVVNLNSNTNSCGKRVRGEMENDDGIVMKKEVKREQMVATPLTPSNWSSIWDCGNGKGIFEVPPLSPLSPHSNFGYSQLLVS >Solyc10g082040.2.1 pep chromosome:SL3.0:10:63063793:63065413:-1 gene:Solyc10g082040.2 transcript:Solyc10g082040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:K4D390] MLGNFITSVLILVLGYAYPAFECFKTIEKNKVEIHELRFWCQYWIIVGALRIFESFGDLFLSWLPMYGEAKLALFIYLWYPKIKGTSHIYDTILKPFVAKYETDIDRSLMEFRAKAWDLAIYYWQNCTEIGQAKFLQMLEYIASQSKRGTIHPKSEKQDETTHRSSSPTIDHKDFYGARAKLRRSNKGD >Solyc07g005520.1.1.1 pep chromosome:SL3.0:7:408209:409534:-1 gene:Solyc07g005520.1 transcript:Solyc07g005520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKDRVKFNVGGRIFETTATTLANAGRNSLFGAMFDDEWNLNSDATIIEHFIDRNPNCFSVLLDLLRTGELYLPQNLPEKLLYREALFYGLLDHVRSAKWGPFDGNRLCLARSVTGQAPGDGTAIRAGPDGGCCVAHGSMVHVYDWMMEEHPPINLDYQRVNDAGWIDSESIVLSACERLGKGDGGMGLFSASTGELRYKFNVKHENQVKSYTAGALSFSSDYKLFSSCKGRSNEYGIGVWDQVTGKQIDFFYEPPGWSLGDADKLQWLHGTNCLLVATLFPRKDNCYISLLDFRDKSMVWSWSDIGTPITDERRVRDAIAMEETSSICVVNEYEDLGFMDLRRNAGSVRWSSRSRLMKGKMPDEPCYPKLALHEGQLFSSMNDCISVFCGPDWVLTSRLRRSYGGSICDFSIGGDRLFALHSEENVFDIWETSPPPII >Solyc12g088930.1.1.1 pep chromosome:SL3.0:12:65103981:65104805:1 gene:Solyc12g088930.1 transcript:Solyc12g088930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRFKNQNPKPSSIYSSSPFNQIETPPLLSLLQCTTNHSTTTTTTTTTISPSNTNPKKSRKSSTSTSGGILKMFKLLPMLTTGCKMVALLGGRPRNKPMLTDKATTITLFGYKKGRVSLAIQEDPHRPPIFVIELPMLTGVFHKEMGSDIVRLALESETKTHKKKVLEEFVWGVYCNGRKFGYSIRRNNKNMSDDEAHVMQLLRGVSMGAGVLPCPILLHDNNNNNNNVDGEITYMRARFDRVVGSKDSEAFYMINPDGASSQELSIFFVRLH >Solyc03g082820.3.1 pep chromosome:SL3.0:3:54099474:54107748:1 gene:Solyc03g082820.3 transcript:Solyc03g082820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKAPFWSKDSNSESQTESPSAVAELISSLERQRLYREVTLALRTGLNDARAEFSFLRIRGLRVILKFLRSVAESDTTINIFCHSQSIPDLQVVPVLFRHSLRDTEDQNVTSLDHIFTVEPMEITSPSTDSEVSLALRVLEGCCLIHCESNVLAHQYKAIPVLMNILSTRGVLGQGACLDAFIAIMLDSSANQADFEACNGIEEVAILIRDKQVDEKLRLKCGEFLLLLIGHVNGRERSPMATIHEDLRRFLGEKSASLIWAASQFGSTLDPEQRLTALQIQARRVMESIDLY >Solyc12g049680.1.1.1 pep chromosome:SL3.0:12:62463262:62463456:-1 gene:Solyc12g049680.1 transcript:Solyc12g049680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNSLSTSFLVTKSSFTTRTVRLPVHRRVVFASSSSSSLSSTTPCQIKLTSKNGFKVFHSLY >Solyc12g049650.1.1 pep chromosome:SL3.0:12:62387394:62387827:1 gene:Solyc12g049650.1 transcript:Solyc12g049650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLLFLPPFPFLGKSRIKLVFLCSLCLRFYCFIEDQLMLGLFLWIWRRWVSGDCFQCTLRPGIEEREDHLGSNSVREGGFRKFWGLKWGKEEFDEVANLLPWDSFDMDSSNKRMVFSHH >Solyc05g026605.1.1 pep chromosome:SL3.0:5:43855438:43863524:-1 gene:Solyc05g026605.1 transcript:Solyc05g026605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISRDRSASTLNLSQKLYFEKVRSRFRVNDAKPRTTPLANHFKLSKEQSPKAAKERDHMALVPYASAVCSLMYAMKVGLEKCTSQPTPMTVFSSTNGADTPFDDITHFRSLIGALRYLAITRPDIQFAVNRVAQSMHQPSEHDYHCLKRILRYIFGTLGRGLLIRPRDLKLRGFSDSDWANDKTEYRGLALLAAKTIG >Solyc08g008180.2.1 pep chromosome:SL3.0:8:2640476:2646273:-1 gene:Solyc08g008180.2 transcript:Solyc08g008180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFHYLGFAANPSSNTSKSMGDSIRVNEAEAEGADCANTTLQLDSVGSLMPLFPATKEIKHNWSLIRGSVDQQIGSSLCLSLGHSSSALESKWSSGIVCTSISPAKEIGESSMDLEVDFGLYLANDKKLSDLELSLSSSAAESDVTSLHLTSTSPQSVIKVPHGFGGVRQTHEVSVVSHWKTSSIFHPLHVPQDEGSSYFSKQVTKEIEPSSVSPDPSSSIVTNQNSSVTCTSGTKKQQRNSVIKQCQFKDCVKGARGASGFCIAHGGGRRCQKPDCHKGAEGRTAFCKAHGGGRRCKFLDCTKSAEGRTGFWWGSHGGGRRCQYPQCSKGAQGSTMFCKGHGGGKRCTFEGCNKGAEGSTPFCKGHGGGKRCSFEGGGICPKSVHGGTLFCVKHGGGKRCAVPECSKSARGKFIDCTKSAQGSTDFCKAHGSGKRCSWGQPDSGFSQNDSTCDSFAKGKSGLVESHGALEQDKRVHGGGDTLGASTLDSTPLNPGKMKEIDIMNTGSNVLTSTGWNYFGFNQTLNIRLDFEKSNSS >Solyc08g067800.2.1.1 pep chromosome:SL3.0:8:56902433:56909724:-1 gene:Solyc08g067800.2 transcript:Solyc08g067800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATEAPKIVWSERVGRFETEDKEAYLEYELRDGGRVMDILHTYVPRTKRGLGLASHLCIAAFSHAQSHSLSVIPSCSYVSDTFLPRNPSWNSIVHKQDLKSHI >Solyc07g053240.3.1 pep chromosome:SL3.0:7:61836731:61838016:1 gene:Solyc07g053240.3 transcript:Solyc07g053240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCHDEHGRKKGTWTPEEDRKLAAYITKYGSWNWRQLPKYAGLARCGKSCRLRWMNYLRPNVKRGNYTKEEDEIILNLHAQLGNKWSTIASHLPGRSDNEIKNHWHTTVKKRADYNSSEGSKKCNNKKSESDITKRKSNVENQNAIGDNNNNNNTMHENVILENSEWSSSEDLSSIDYQQDIFQEELANLEDITSGSFWTQPFEVDTKIDFVAPSIDYCGLICPPSPFISHEFLSSFDLDHYDYNW >Solyc10g080630.2.1 pep chromosome:SL3.0:10:61982724:61996102:-1 gene:Solyc10g080630.2 transcript:Solyc10g080630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSEEESPSSSSAGSSKSRSSASHGASYLAKTVLRGSVVLQVVYGRIRSPTSYDVVFGKETSIELVIIDEDGVVQSICEQPVFGIIKDIAVLPWNEKFCVGSPQLLGRDLLVVLSDSGKLSVLRFCNEMHRFFAVTHVQLSSPGNPTDQIGRMLAVDSNGCFIAASAYEDSLALFSRSASVGSDIFDKRIFCPTDKQGKIKTANGFTSICGTIWSMCFISKDVQPNKDYNPILAILLNRRRSYRSEIVLIEWNTKEHSLYVVYQCSELGPLAHHIVDIPHSYGLVLVLRAGDAIVMDFKVPHSPCILYRISLNFTPPSVEEPNFVRETIRIPDIIDEEGIYSVAASALLELSDLNKNDPMNIDDDSNVKPGSNFVCSWSWNPGNENSPRMIFCADSGELFLIDFLFDSDGLKVSLSDSLYKTLPAKALLWVRGGFLAVIIEMGDGMVLKVEDGRLDYRSPIQNIAPILDMSVVDYHDEKHDQMFACCGMAPEGSLRVIRSGISVEKLLKTSPIYQGITGTWTVKMKLADSYHSFLVLSFVEETRVLSVGVSFSDVTDFMGFQPDVCTLACGLVGDGLLVQIHQTAVRLCVPIAAAHPDGIDSASPTFTSWSPDNMTISLGAVGPNLIVVATSSPCYLFILGIRTVSARHYEIYQLQHVKLQDELSCIAIPQRLLEQTSFISRTSNRSGVRLDSLPVGLDISNTFVIGTHKPSVEVLSFTSDKGLSVLAVGSITLTNTLGTTVSGCIPQDIRLVLVDRLYVLSGLRNGMLLRFEWPSISAIYSLVSPGLQTFDNSCMANCISSSTSASQNFRSQPTQVTSLLDKTKDFPVYLQLVAVRRIGITPVFLIPLNDSLDADVIALSDRPWLLQTARHSLSYTSISFPPSTHVTPVCSTECPKGIIFVAENSLHLVEMVPSKRLNVQKFHFGGTPRKVLYHSDSRLLLVLRTDLSDDLCSSDVCCIDPLSGSVLSSFKFELGEIGKCMELVKAGNEQVLVVGTGLSSGPAIMPSGEAESTKGRLIVLCVEQMQNSDSGSIAFSSRAGSSSQRTSPFREVGGYAAEQLSSSSICSSPDDNSCDGIKLEESEAWHLRLGYSTTWPGMVLAVCPYLDRFFLASAANCFYVCGFPNDNAQRVRRLAVGRTRFMIMTLTAHFTRIAVGDCRDGILFYSYQEDSRKLDQIYCDPVQRLVSDCTLMDGDTAAVSDRKGSFAILSCLNYMEDNFNSPERNLAQTCSFYMGEIAIRIRKGSFSYKLPADDALRGCQATSIVGDISQNSIMASTLLGSIIIFIPLTREEYDLLEAVQARLVIHPLTAPILGNDHTEYRCRGSMARVPKALDGDMLAQFLELTSMQQEAVLALPLGAQNTIMFNSKQSPDPITVNQVVRLLERIHYALN >Solyc03g058540.2.1 pep chromosome:SL3.0:3:27046007:27048933:1 gene:Solyc03g058540.2 transcript:Solyc03g058540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDVNIDDVVDVHIDVDVNINDAIDVQIYVHIDVHDDVHVHIDVEVDVHIGIQVDIHIHVDVHIDIYIDININVQIHIDVYVDFYIYVHIDIYIDVHMDVDVDVDIYINANVYIYVDVHVYADVYIGVYIDLDFDVHIIFDVDIDLHTDIYVNVDADANVDITFVYQHIIIYVDIYIDVDIHVDININIDIIIDVHIDVDIYVDVNVHIDVHIDVHIYVHVHIDIDDNVHVDVNFDDEIDVHVDIHIYVHGDV >Solyc02g078040.3.1 pep chromosome:SL3.0:2:43420458:43426490:-1 gene:Solyc02g078040.3 transcript:Solyc02g078040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVPTKSLGFILAFLLITSFTVLGQNDAGIVASELAPHSLVSSPPVEAPKPHKGGHHHHLKHHSQPPASPPSHSSPPPVIPTTPPAHSPTKSPSPPVKPPAHSPVKPPAHPPVKPPSPLPVRKFVGVRGVVYCKACKYRGVDTLLGASPIQGAVVKLACNNTKYHLTSLGTTDKNGFFFIQPKWLTTAGYHKCKVFLAKSPKAECSVPTNFHNGQSGAMLIPAPPSPMTLSEPEVKLFNVGPFAFEPSKKLPCKTL >Solyc01g095680.2.1 pep chromosome:SL3.0:1:86748848:86751202:1 gene:Solyc01g095680.2 transcript:Solyc01g095680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKFLLSSTTRPFAPNGAFPFSVSQKSSFIQKTRICPVTHLAISAEHFGKTQILGKSLSFGVKNESICNLRKTLVEKTPFLGESLSFREKNESSGNLRKVPNFSITAAGTVKRRKELPFDNVIQRDKKRRKTRFWTQFFQMAGLVSAGDKNISLCLRLFHAQKTRIKDLKLQNLLLAYYSLTRFVVPRVKGASILFTPWLHILFS >Solyc12g056510.2.1 pep chromosome:SL3.0:12:63395693:63398056:-1 gene:Solyc12g056510.2 transcript:Solyc12g056510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSSSLIASSNTSITAGAADGERNSGGNRWPRQETLALLKIRSEMDVVFKDSSLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHRRTKDGRASKADGKTYRFFDQLQALENNPSSHSNIPPPPLAATPITMAMPMRSGNNSANPPMPTPTPTPQNHNHFFSVSQKSVVTGAAQPAVMTAPALPLSQVPIGNNNLNQMHRPQGNTTTTKTSFLSNSTSSSSSTSSDEDIQRRQMKKRKWKEFFESLMKDVIEKQEELQKKFLETLEKRERDRLMREEAWRVQEMARLNREHDLLVQERSMAAAKDATIIAFLQKITEQQNTQTPNSTNNTSPSPFPIAQIQLKLSEKPFSTPPQPQPQPSATAVSLPMTIHTPTPAPPQTLTLPVVSSKSLEPPKSDNGGENFSPASSSRWPKEEIEALISLRTCLDLKYQENGPKGPLWEEISSGMRKIGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLEALYKEKAKLEPVPHNTTFGLTPQNNPPPPPPPIMAQPEQQWPIPQNQLHQQNRDHHHDNESDSMDHDLEEDEDEDEEDEGNGYEIIITNKQQSSSMAATPVTTTTSAAAV >Solyc09g009820.3.1 pep chromosome:SL3.0:9:3308181:3320804:1 gene:Solyc09g009820.3 transcript:Solyc09g009820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYLFHFPPHQTETTAKLRRETMTGVLNVSPAPIFRPVSSHKLSRNNRVLFVKSMAQSSDSSNGSTSVSTQAEPNSSFSPPPNFKPPKPKPFTPRGDKILDILGASLALVFRLGTGALVSGYSASFVPKNEVPSDQYALEIAGFKVKESSNIGPRPEKPIEIYEFESCPFCRKVREIVAILDLDILYYPCPRNGPNFRPKVGQMGGKQQFPYMVDPNTGVSMYESDEIIKYLVGKYGDGNVPLMLSLGLFTTLTAGFAMIGRMGKGSSYKPSKMPPKPLELWAYEPSPFCKVVREVLVELELPHILLSCARGSPKRQILYERVGHFQVLSHT >Solyc06g075730.2.1 pep chromosome:SL3.0:6:47193082:47193662:1 gene:Solyc06g075730.2 transcript:Solyc06g075730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDSRVMEARVQFVKSRIQPVIEDMDKKIKHLELSLATMNGEMKLKSLTQPITEYVDNEIKHLELLIAIINTKIKLHTAHRFFFPEVGTICHDSTKYHTSRYAKRRN >Solyc07g053300.1.1.1 pep chromosome:SL3.0:7:61897853:61899679:-1 gene:Solyc07g053300.1 transcript:Solyc07g053300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPVKTSDDRYKIEARNLSYKLPAKYNEFKWIGKKLANNNKASSTYILRNVSCEAKPGEIAAVVGPSGAGKTTLLDILAGNVGPSCVSGHVLVNDQPMKPANFRRISGYVTQDEALFPLLTVEETLMYSARFRLRAGDDKAKDRVKTLLNELGLDHVAGLKVGSESSRTISGGEKRRVAIGVELVHDPAVVLLDEPTSGLDSASAFHVMHMLKSMAKNHGKTIVLTIHQPGFRILELFDKAVLLSSGLVLHNGSLHLLEEKLKSTGHFIPHHVNVLEFAIDITDSLAECLHSGDQSDIEKCDTEQESDNMLNKNTNKEVLYSNSPLKEVLILSQRFCRNIFRTKQLFLAKVIQALLVGLILGSIFFNAYNNNNKNLELQSQVGFFAFSLTFLLSSNTEALPIFLEERRILMRETSRGAYRISTYNIANTIVFLPFLFIVALLYAIPVYWLVGLKYEFSAFAYYTLVSWMIFAMGNSFVAACSALVPNFLLGMSFIGCLIGAFFLFSGYFISKESIPMFWLFVHYLSLFKYPFECFLINEYGGESGKLKCIQKVDGVCLMYGEQLLTRYGLEESQKWSNIAIMLSFIFCYRFLCFLILWYRSIRNKC >Solyc01g067275.1.1 pep chromosome:SL3.0:1:75475902:75480399:1 gene:Solyc01g067275.1 transcript:Solyc01g067275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKVQLMMSKSYSTTLSVVRPRNGSRRIYNMCTQKPPHDYSQQLYDKYREAFEEYIITTVRVAAVFIHISL >Solyc03g096160.2.1 pep chromosome:SL3.0:3:59479602:59482828:1 gene:Solyc03g096160.2 transcript:Solyc03g096160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTGMHTVADIFCVCCGSNVGWKYETAHEMSQKYKEGKSVLERFKICGPDGSHYSASHDIHVAGSDADDV >Solyc01g088720.3.1 pep chromosome:SL3.0:1:83352276:83371491:1 gene:Solyc01g088720.3 transcript:Solyc01g088720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWCVNCAQDKPTKDVEGKICCSFCGKVLEEDNFSIEPTFVKNASGQSQLSGSRVSTIQSNYSVSRERTLKEAYEGIEGMLYGLGIDGGDSIARPALSFYTIAVEKSFTRGRRKEQVQAACLYIACRENKKPFLLIDFSEYLRINVYVLGAVFLQLCKILHLEDHPIVQKPVDPSLFIHRFTDRLFGGRKPNISRSALHILASMKRDWMQTGRKPSGLCGAALYIASLSHGLSCSKSEIIKVVHICEATLTKRLIEFENTESGSLTIDEFNTRAEELEKEETLAMQLYPGSKGSGITEVLCEHKKTVKLPFAHGLCESCYTDFVKLSGGLDGGSEPPSFQRAERERLMAKQDAEEIAEDPSFPMSSQMENNVGEYLEPEKEGNTQSVKNVHISGSEQVGAFSAVKTDHVATPDTLHDMDGIDHDESGNFSDIDDVEVDSYLHNEQEKKYKKIIWETMNREYLEEQAAKEAAVLEAKKQHEFILANCPEDVQKFAAATAASVAKSKKEKQQKRAAELKNTGPAQTAAEATKQMLAKKRLSNKINYDVLEKLFDDSAPENPKKARTAYDSTDDYGVKSDKIDPEVDENYDDTFGEDFHSGEDVGGYGYDQDYDLDDY >Solyc01g021675.1.1 pep chromosome:SL3.0:1:32442880:32446179:1 gene:Solyc01g021675.1 transcript:Solyc01g021675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNQVMPRQLVNQQIRRLEAIHCLFNHDHNHQTSGNSNSVIPSYAKMKFSTFNGINNPLIWAHRCEQFGPHENGNSMGALVNLQQIDRVLRFPVTTVQVWDAWFVGAESDDRMRHCYLLRLVDVELWDDLCWVDVLLLILLTLPAPVNNMEMFVTE >Solyc09g090130.3.1 pep chromosome:SL3.0:9:70150859:70153182:1 gene:Solyc09g090130.3 transcript:Solyc09g090130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTPEEDQILISYIQSNGHGNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFTREEEDSIIQLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLKNYQPPQSSKRHSKNKDSKAPCTSQIALKSSNNFSNIKEDGPGLGSGPNSPQLSSSEMSTVTADSLAVTMDISNSNDQIDSSENFIPEIDESFWTDGLSTSGGGEELQVQFPFHDMKQENVEKDVGAKLEDDMDFWYSVFIKSGDLLELPEF >Solyc12g044800.2.1 pep chromosome:SL3.0:12:61071345:61083045:-1 gene:Solyc12g044800.2 transcript:Solyc12g044800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVGGEVAEAPAGPPPPLEWKFSQVFGERTAGEEVQEVDIISAIEFDKSGDHLATGDRGGRVVLFERTDTKEQVGSRRELERVDYPVSRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKYWKAICTDCLQNNCLIVYVSHVQEKKVKKISEMNIDPSKVAGNGSIASSSLCSSPKQCLANGGCGDKAYSSLSNDLSFPPEGIPSLRLPMVVVLLTYYIMFVYDADDMSSLSIYSLLVTSNETSLLARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPSNMEDLTEVITSAEFHPTHCNTLAYSSSKGSVRLIDLRQSALCDSHSKLFEEQEAPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKKTIQTEAVVLHSLRDTALLPILWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGSRVATGSYSNLFRVFGCAAGSTEATTLEASKNPMRRQVQTPSRPSRSLGSSLTRVVRRGADNAGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Solyc08g029130.3.1 pep chromosome:SL3.0:8:38172166:38227209:-1 gene:Solyc08g029130.3 transcript:Solyc08g029130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVERLRVRSDRKPMYKLDESDDETDMMPGKSGTKKQEIEKIVRTDVKDDSCQACGGDSNLLYCRTCNYAYHPKCLVPPLKAPLPSSWSCPECVSPLNDIDKILDFEMRPTVAEDQDASKLGSKQVFVKQYLVKWKGLSYLHCTWVPEKEFVKVYKAYPRLKTKVNNFHRQMSSMTNSEDEYVAIRPEWTTVDRILACRGDGEEKEYLVKWKELPYDECYWEFESDISSFLHEIERFHVVQSRRKKSSSKQKGRPIETTESNKKAKEFQQYESSPEFLSGGSLHPYQLEGLNFLRFAWSKQTHVILADEMGLGKTIQSIALLASLFEEKVSPHLVIAPLSTLRNWEREFATWAPQMNVVMYVGGAQARAVIREYELFFPKNLKKTKKKKSGQIVGESKQDRIKFDVLLTSYEMILMDSASLKPITWECMIVDEGHRLKNKDSKLFSSLKQYSSRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQQEFADISQEEQVSRLHKMLAPHLLRRLKKDVMTELPPKKELILRVELSSKQKEYYKAILTRNFQILTRKGGAQISLINVVMELRKLCCHPFMLEGVEPEDNDEFTKELLESSGKLQLLDKMMVRLKEQGHRVLIYSQFQHMLDLLEDYCNYRKWQYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIFRLITRGTIEERMMQMTKKKMILEHLVVGRLKAQNINQEELDDIIRYGSKELFADDNDEAGKSRQIHYDDAAIDRLLDREQVIDEDAAANDDEEDSFLKAFKVANFEYVEEAEATAEEEASTAPVENKATVNNSERASYWEELLRDKYEVHQVEEFKTMGKGKRSRKQMVSVDDDDLAGLEDVSTDGEDDSYDAEADSSDGETASLGAPVLRKAHRKKARVDSAEPLPLMEGEGRSFRVLGFNQSQRAAFVQILMRFGAGEFDWADFTPRLKQKTYEEIQDYGALFLSHISEEITDSPTFSDGVPKEGLRIPDVLVRIAVLLLIRDKVKAFSEMTGGSLFADDIMSRYPGLKGGKHWKDEHDLLLLRALLKHGYGRWQTIVDDKELSIQEIICKELNLSVINLPVPGASQPQVAPARGPSQDLPASGVPQAEFTVPGAFQPPHGVNTANAGSVGGQVKATGDGNTCGAELSHGTSDPSNRQVIQDSSSLYHHYREMQRKQVEFIKKRVLLLEKGLNAEYQKEAFDDEKSHELPNEGMACDTKVVDEPNRNVEEANTEMTDHSPRLVAISPQGISQVACDSKPDRLSVAELYNKMCLVLSGNVQDSFNESHPSSGMKKNILPLEAICQQMNQILSSPQQNTPNFGRKLVQEDRNSEASKSSYPVPSSQVDDRVLNAEKEHDSVLKKPESGSKSASSCTVASMTENHHNVTPAVLETRPSSTSTGDDDIEMVDISTSNA >Solyc01g006180.3.1 pep chromosome:SL3.0:1:812230:818879:-1 gene:Solyc01g006180.3 transcript:Solyc01g006180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICDQIVGGYYGLLNSLTTTLDYQKINQRTMIIRSISSSKLKKLIFPLSLSAYTHKNPIPNSSPPVLENVYTQNPAKTLVPSFLFSFRNFSTPIKIQSLPVSRDGNFEESISDVVCPGCGVKIQDSDQKQPGYFIKPCVKPLNYKTPINKNPVVDEPEISFSLKRGLLNEDVEHENQENVEKLGVKGEKPVVCARCHSLRHYGKVKDPSVENLLPDFDFDHTVGRRLMSSSGARTVVLMVVDASDFDGSFPRKVAQLVSRTIEENSRAWKEGKSGNVPRMVLVVTKIDLLPSSLSPTRLEHWVRTRAREGGAIKLTSVHMVSAVKDWGVKNLIDDVVGLAGPRGHIWAVGAQNAGKSTLINAIGKCSGGNLSHLTEAPVPGTTLGILRVEGVLPRNAKLFDTPGLLHPHQISTRLTRDEQKLVHISKELKPRTYRIKVGHSVHIGGLMRLDVEELSIDSVYVTVWASPLIPLHMGRTENVSTMLEEHFGRQLQPPIGEGRPEELGKWLKREFHVNGNMWDSSSVDIAASGLGWFAIGLKGEAKLGVWTYDGVDVIVRNALLPNRSYDFEVAGFTVSKIVSTADRSSNKQRRDDKKRKLSDSTAEKPAKPSTVDVASTTC >Solyc09g018200.1.1.1 pep chromosome:SL3.0:9:13534687:13535442:-1 gene:Solyc09g018200.1 transcript:Solyc09g018200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFKLSDMVTSSWFYKLKDMAKSRTQIKRKQTSSSTSSSSFSIFYSSSNVQQHHRKSYYFSRTLSPNPHQSNVTPMKSSKKRKNTRRNTPKFVNSPKSMILSPSHRRCNDHIFDSVSKIDLPPILTKPNKKEEKTELKFLTVKTEQSTSPKRRISVSSSSTGVKLRTKSPRIISRRSVGEKSYAVVKSSKNPQKDFKESMVEMIVKNNIKTSKDLEELLACYLLLNSHHYHHLIITVFKQIWFDLQLK >Solyc04g083000.1.1 pep chromosome:SL3.0:4:66543434:66543676:-1 gene:Solyc04g083000.1 transcript:Solyc04g083000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSSYNENKGQKLQIPLVHLWSTKRWYHSDQLGFKSSRFEVSCQR >Solyc10g050700.1.1 pep chromosome:SL3.0:10:50295237:50295576:-1 gene:Solyc10g050700.1 transcript:Solyc10g050700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDVDTADTQMIRGVIADISVANETRIQYMALRKFPTDNRKYFYTLDVIPQTEVIYLAKGPSVGVSLSNATTGFPFISSLEL >Solyc08g015670.3.1 pep chromosome:SL3.0:8:5211008:5215489:1 gene:Solyc08g015670.3 transcript:Solyc08g015670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKYSLMSLPTWLNLKIKSPHEYRYKPTSRLIPSSIPSKLNLPYTNHSECPNILCPPIDQLSLDKYIVLLFSTDFKKNINVPRTYNVDFHVYYCWQNVAAIVFGDGSNSKLYPLTKRRSEAALPIAGNYRLIDAVISNCINSNIKKIYALTQFNSASLNSHLSRAYSSAHIRNEPLVDVIAAYQSPEGKGWFQGTADAIRRCLWILEEYPIVDFLVLPGYHLYKMDFQELLKVHSNNKADITVAVLSRRTDKDVELGTFQVNSGNQVISFKDNPEVQNSDISHDKYAGMDIYVVNKDAMIKLLTEYHPMANDFRREVVPGAISLGMNVYAHKFSGYWEDMRTIEAYYQANMESIKNTKYTYSLYDKESPLYTLPRHLPPTQITDAAITDSVIGDGCFLNRCKIRGTVVGMKTRVGDGAIIEDSVIMGSDTYQSGRVEEDIHIPIGIGEGSQIKKAIIDKNVRIGKNVKILNKENVQECNNEANGYIITKGIVVIMKGAIIPDGSIL >Solyc10g028550.2.1 pep chromosome:SL3.0:10:15126877:15127373:-1 gene:Solyc10g028550.2 transcript:Solyc10g028550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLSKILSNSEEVFDFVVNEGYEVKGLCDIGIQALPKQYIQPLEERITTSIVRTDDSIPITEVSNWDDPKVADQIREAAQNWGFFQKYTKENSISSNVRYGTSFTPEAERTLGRRDYHSLVYISDDEATSFWPTSC >Solyc10g079660.2.1 pep chromosome:SL3.0:10:61280532:61290911:1 gene:Solyc10g079660.2 transcript:Solyc10g079660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISSPMISTNYINFGWLLEDSNSQQININPMEPLHSSSRKNLQHSDSNKFDEITINGGDHHQPDQTVKKLNHNASERDRRKKINDLYSSLRSLLPPSDHMKKPSIPSTISKILKYIPELQSEVERLVQKKEEFTSTYVFNRQKLGDFTKQKRTKGGIENSSFVISTSKLSDKEIVVQISTLKINKGSIGEAISQLEDEGLVLLNANSFETFEDRVFYTLHFQVEGSMVVEIDMLRDKLSSYFEKEDNGDQYQPDQTVKKLNHNASERDRRKKINSLYSSLRSLLPPSDHTKKLSIPSTVSRILKYIPELQSEVERLVQKKEEFTSKNILNKPKRIKGGIENSSFVISTSELGDKEIVIQISTLKINKGSISEAISQLEDEGLVLLNATSFETFEDRVFYTLHFQFEKLPEMMKDFTVGLALIEQLPNIAPFLLESAAYRIMLLIVNKDDDHYDLDMDCFFNNGIVDNLVECCRSRNWRSLRRQAAETLRELVNCGTMAQNQELLRRGVVTIFLQMLNDEEAIDLDDEEWFDDLLVVEAVKTLALLSTKVDVRGDLVTAFVEQNLCRRLMLLFRNTRHTIVENVLTFVENFLTIGTEEQMQVLLDNQVLHHLRLVIVEPVVSDLYLLGGQYKVVEILGNFARRSRRLRDLVIDSGEDLISLFRVLRSLADDSIVAFDASPAISAARTIGYLCFGSPPPPFDKLRPSLPILRFLINQLEPHIGERACPVIKHACLIIACLARGGFDPINALIDENMCPILVMLLAHPHSEVVASVLKVVENFLKNGTDNQIQVLHDSQVLQHVLEIVMNHDNLPPLHLRSVCRAIANIVNYRSSQIQVSSLRLFRFRLTKRLVIPNMRLYTPSQALSRGDLTSRLGTMIFFFRFFQFLQCCDHDFYDVGLRGILRVGEAHKVDGVNIYTQMITENGGLAKIKSQRDDRDVGEIARRLLSSYWPGEV >Solyc02g014777.1.1 pep chromosome:SL3.0:2:19009004:19009533:1 gene:Solyc02g014777.1 transcript:Solyc02g014777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAKVTEWVSRQSLDYVIVMYGIYDKGGSIDRSCHIGPSWTSNCFDLNYPENPMPDIYQKYGQSNLFLDSLKEVNRVPIEISKK >Solyc04g078730.2.1 pep chromosome:SL3.0:4:63485876:63495664:1 gene:Solyc04g078730.2 transcript:Solyc04g078730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSFMPKAFTSHEQWHSSILETVNLKDTASKSSTKPTRLLYSYDNAFHGFSAVMSEDELQVLEKLPGFVSAYADKMVTLDTTHTFEFLGLNPESGLWPASHYGEDVIVGVIDTGVWPESRSYKDDGMTEIPSRWKGICEPGQDFNASMCNNKLIGVRYFNKGVKAANPNITISMDSGRDTHGHGTHTSSTVGGNYVEGASFFGYATGTARGVAPRARLAMYKVIFDEGSFASDVLAGMDQAVADGVDVISISMGFNNVPLYEDPIAIASFGAMEKGVLVSASAGNAGVTPGRLHNGIPWLLTTAAGSIDRVLSGKLTLGNGQVITGWSMYPASAVVNKFPLIYNESISSCNSTSLSSFNYGIIICENGYFSDQINFIAKSSAPAAIYISDNPSIFESGEFEYPGVVISPENGAAVISYAKSSANPVASISFQQTFVRSTPAPVVASYTSRGPSPSYQGILKPDIMAPGSLVLASWIPKGYTTYIYPDIKLSSEFAMISGTSMACPHSSGIAALLKGAHPEWSPAAIRSAMITGAINIDNINSPIKDSGLNYSIATPLAMGAGLVNPNFALNPGLIYDATPQDYINLLCTMKFTHKQILTITRSSTYTCQNASSDLNYPSFIALYTNETAATLSQKFVRTVTNVGNGPANYSINMIVPSNTNVSVYPSRLSFSSKYEKLSYTLTVEYSGNRTGEVVFGSITWVDVIGLHAVTTLAKRTTYIIHMDKSFMPKAFTSHEQWHSSILETVKLKDTTSGSSINPTRLLYSYDNAFHGFSAVMSEDELKVLEKLPGFASAYADKMVTLDTTHTFEFLGLSPESGLWPASEYGEDVIVGVIDTGVWPESRSYMDDGMTEIPSRWRGICEPGQEFSASMCNNKLIGVQYFNKGVKAAYPNTTLSMDSGRDTRGHGTHTSSTVGGNYVEGASFFGYATGTARGVAPRARLAIYKVVWDEGRFASDVLAGIDKAVADGVDVISISLGFDYVPLYEDPVAIASFGAMEKGILVSASAGNLVGPPEGLHNGIPWLLTTAAGSIDRVLSGKLTLGNGQVITGWSTYPVSALVNELPLIYNESISSCNSTSISSFSNKIIICENAKFPLQIDVIVKSSAIAAIYISDDPLIFENEVFEYPGVVISPEDGAAVISYAKSGAEPVASISFQQTIMRSTPAPVVATYSLRGPSPSYPGILKPDIMAPGSLVLASWIPNVYAALIYPRIELSSEFTTISGTSMACPHSSGIAALLKGAHPEWSPAAIRSAMITTAINIDNTNSPIKDSGLNYSIATPLAMGAGLVNPNFALNPGLIYDATPQDYINLLCAMKFTRKQILTITRSSTYTCQNASSDLNYPSFIALYTNETGATLSQKFIRTVTNVGDGPANYSINMTVPSNTNISVYPSTLSFSSKYDKLSYTLTVEYSGNRTGEIVFGSITWVDVLGLHAVTSPIVSETYIIHMDLSAMPKAFSSHYNWYLTTLFSVSDSKDLLSSKLVYTYTNAINGFSASLSPSEIEAIKNSPGYVSSIKDMSVKVDTTHTSQFLGLNSESGVWPKSDYGKDVIVGLVDTGIWPESRSYSDDGMNEVPSRWKGECESGTQFNTSLCNKKLIGARYFNKGLLANNPNLTISMDSARDTDGHGTHTSSTAAGSRVEGASFFGYAAGTATGVAPKAHVAMYKALWEEGVFLSDILAAIDQAIADGVDVLSLSLGIDALPLYEDPVAIAAFAALEKGIFVSTSAGNEGPFLETLHNGTPWVLTVAAGTVDREFIGTVTLGNGVSVTGLSLYPGNSSSSESSISFVDCQDDKELQKNAHRIVVCIDNNDSVSEDVYNVRNSKVSGAVFITNSTDLEFYLQSEFPAVFLNIQEGDKVLEYVRSDSAPNAKLEFQVTRIGAKPAPKVASYSSRGPSPSCPTILKPDLMGPGALILASWPQQTPVTEVTSGKLYSNFNIISGTSMSCPHASGVAALLKSAHPEWSPAAIRSAMMTTAYVLDNTQSPIQDNGVATPLAMGAGHIDPNKALDPGLIYDATPQDYVNHLCGLNFTSKQIQTITRSSTYTCSNPSLDLNYPSFIGYFNRNSSDSDPKRIQEFKRTVTNLQDGTSVYTAKLTPMGKFKVSVVPNKLTFKEKYEKQSYKLRIEGPIIMDDIVVDGSLSWMETRGKYIVKSPIVATSIRVDPLRGHN >Solyc10g062035.1.1 pep chromosome:SL3.0:10:23939686:23942717:1 gene:Solyc10g062035.1 transcript:Solyc10g062035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVHEITGAPSSGIFMEILFIVVVSPLKNTTHPFWVDIGRPTIDGRIQKANIAPPPELVVSGGVLMKPTLDMSNSEKKAMSGSMKGERCDRGRKMFSEIDIFFSSKMKKADDGLRCILCEGNTFFLPPWYDYQDLSLKSSGVHVAAVSAPFLVKGTQLVQHLLEKRESSSDNHISTRKRVEWLREDLFGYVIQLKLIDELDICILHNFSIWKTLVKYIADLGALCKTNPIFAITFTITTHMMDYPPTSGFGAYLLALVGVVTSVIGRWVVGRFPLVSKFGGPKAVLRAPDT >Solyc08g062707.1.1 pep chromosome:SL3.0:8:51898567:51904587:1 gene:Solyc08g062707.1 transcript:Solyc08g062707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIKYLKGTVGQGIWLQSKPANELSCWCDFDWAACPNTRRSITGYVVKFGESLVSWKSKKQHTVSRISTEAEYRSMASAVSEVTWLLGLFTDLGHTRAVCFQLHRYPPGLERRKKGSSYGRGRNQNDKRQLHTEHNAISNDQEDFNSNREESSSNNSYSQSCNGRGGTEGSGSQSNSAANANIVQDYASSTGNDIALIVRDKQQGWIIDSGATTHMTSLPTVLDYQQQVLSDKPRRVYLPNGDNVKLPLTEVDTGTSTVEGSILAQRRSTRSSRALLWMKDYVAVASLKSDDMMITGNDLSLIKATRGTLLNTFKMKDLGDLRYFLGIEFARSQEGIVMHQRKYALEIISEVGLGAAKPVSTPLDPYVRLTTKEYDDMNGKGEEDKLLEDATIYRRLVGKLLYLNVTRPDIAFAIQTLSQFLHRPKQSHLNAALRIVRYIKSQAGQGVLLSSKSSKQLKVYCDADWGAC >Solyc03g007240.3.1 pep chromosome:SL3.0:3:1793474:1801138:-1 gene:Solyc03g007240.3 transcript:Solyc03g007240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative spermine synthase [Source:UniProtKB/TrEMBL;Acc:Q7XBB3] MDGKENNGVNGSNKVGIPKCCLKARVFDPELEANCHSTVVSGWFSQPPTSFDGKEKVLYFNNPMWPGEAHSLKVEKVLFKGKSEFQEVLVFESASYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIKSPKKVLVVGGGDGGVLREISRHSSVELIDICEIDKMVIDVSKKYFPDLAIGFEDPRVNLHVGDAVEFLKNTPEGKYDAIIVDSSDPVGPAIELVEGPFFAKIARALRPGGVLCNMAESMWLHTHLIQDMISICRETFSSVHYAWASVPTYPSGVIGFLLCSTEGPFVDFKHPVNPIEKLEGALQHQRELKFYNSEMHEAAFALPCFLRREVSGLRDSPRSAGSGVKI >Solyc12g010590.2.1 pep chromosome:SL3.0:12:3563245:3568392:1 gene:Solyc12g010590.2 transcript:Solyc12g010590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISVICVLESEIPIDDSCTITLLKDVFIPINPRFSSIMVKDKNGVKQWKKVEVKHKDHINVPIFPQGKSKEFYDNCFNEYMTKISMEQFPQSRPLWEIHIFKYPTTKSAGNLVFKLHHSLGDGFSLMGALLSCLQRADNPSLPLTFPSFTSNNNNYNHNLESDYKKRLCTNVVQRVNGVVNTLLDFGWSLMKSTNLEDERTSIRSGDEGVEFRPIDITTMEFSLDHLKVIKSNLKVTINDVICGVLFLGVRLYMEEMKYDQKNANSTALVLLNTRNIAGYKSVKEMLQPKNESKWGNQFAFLHVSLPKIDKEESSTNPLSFVFKAQDVIQRKRNSAAVILTGKLLDTLQKYKGPEVTAKYIHNTLKNSSMTISNMIGPVEKMALANHPVKSLYFMVVGVPESLTITMMSYMGKLRVAVGTEKGLIDPQKFKCSIENAFDRIFKAAVPSASSKPSN >Solyc02g091460.3.1 pep chromosome:SL3.0:2:53377997:53388439:-1 gene:Solyc02g091460.3 transcript:Solyc02g091460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVNTVTQSSEQPIEMAEVNTVTKCSEQPIEMTEVNTVTQSSEQPIKMAEVNSVTQSSEQSIKMAEHVIIQNPQPNISETEKGRRRYIHVEFIHKNRLQELTQRSSLGRPVYHTVNAGPPHAPLFRSSVLVDGVWYTSPNTFSHVKAAEHDAAKVALIGVKEKLKHEGCPLIRYSVLQVYPK >Solyc01g059888.1.1.1 pep chromosome:SL3.0:1:69103491:69104603:1 gene:Solyc01g059888.1 transcript:Solyc01g059888.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVKLAFMENRNERRVSYRKRLKGFLTKARQLNTLCDVELATLVNSDYHDEPEVFPNHEVATSLFTKFIDLPEEKRSKNMKTYEMITKKRIEKIEKELEKVRKENKKMEYTNQMYDLLNGEEMPNNRLPEYFNDLCYVIKKHLKLINDGIKEKTNKEGSKSNAPLPIDAPIDSGGTSFDMQWDPLLVHIDSPVLSEIPLLASCTIPSGINFDGPRAPLNISPPSMIPSNAPCQMLQFMFPLNNPPRMVPHVDLSHDPFLLSSQRYPEMAYPILPTTIAYPTPSSKITPPMSNLVFTQSAPQIDPLINIPPMSSSTPMGNNVDESLGIPRSPSFSDLLSLNDDELMTLLDDTSFNINVQDPYHHHNNL >Solyc10g008065.1.1 pep chromosome:SL3.0:10:2204812:2207214:-1 gene:Solyc10g008065.1 transcript:Solyc10g008065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTLGQLERKEFKVYCSGIKMNMDSGKNVFQINKEKLWNVHCLDPSHEATRKHLMEIHGSLLLRHMDTQRPVLVCMIVGDFKIAIMRMWFFNQEGAAVGGCGIC >Solyc10g018580.1.1.1 pep chromosome:SL3.0:10:8746742:8746984:1 gene:Solyc10g018580.1 transcript:Solyc10g018580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLILWLDKKEDNKRKEQARIAIDEAWDTIPFAQIIRGYMLITQSILRKYILLPALIIAKNIGRMLLFQLPEWSEDLQF >Solyc06g069020.3.1 pep chromosome:SL3.0:6:42947148:42950971:1 gene:Solyc06g069020.3 transcript:Solyc06g069020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPTVNWSKERYDEIESKMVPFLRSSGYNVKKDVQFLPISGLLGSNLKTRLEKSVCPWWSGHCLFEVLDAVEVPPRDPNGPLRMPIIDKFKDMGTVVMGKIESGSIREGDNLLIMPNKAAVKVLAIFCDEDRVRHVGPGENVRVRLSGVEEDDLLSGFVLCSVAKPIPAVTEFVAQLQILELLDNAIFTAGYKAVLHVHAVVEECEIVELMQQIDLKTKKPMKKKPLFVKNGAIVLCRVQVNNMICVEKFSNFAQLGRFTLRTEGKTVAVGKITALPTVADSA >Solyc01g049920.1.1.1 pep chromosome:SL3.0:1:47264158:47264379:1 gene:Solyc01g049920.1 transcript:Solyc01g049920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSNNDLWPVKKEERTGPPGLVNMALEHPLEALDGGCLELVARLNTCKTKEKTRKNHEGKKGMGLAARRCYFR >Solyc03g051920.3.1 pep chromosome:SL3.0:3:23411959:23414164:1 gene:Solyc03g051920.3 transcript:Solyc03g051920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRLAPLSEVPTNDEETHSSSSSSSSWRNWLKTHFSLIFITKKSDLRILLSVLGCPLFPVSSNPKIPISQVSSSAEYIIEHFRAASGCRKIQGVVKNMYVSGKVSMAIVDTSSPASTISQKGCFVLWQMVPNKWLIELVVAAHKVVAGSDGNVAWRHTPWLGSHAAKGAIRPLRRALQATAVFSAAEYIGEKNILGIDTFVLKLSANHPDLTERSDNTAEMIKHVVFGYFSQRSGLLVYVEDSYLTRIQSPGSPPTYWETTMGTKVEDYRPVEGVMIAHSGCSSVIITRFGDNLKAGPAITRMEETYTIDDVAFNVPGLSIDSFIPPQGLIKGYPEENLGWRSPIDR >Solyc01g079090.3.1 pep chromosome:SL3.0:1:78018019:78023491:1 gene:Solyc01g079090.3 transcript:Solyc01g079090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEARDRAGGNITTVERDGYLWEEGPNSFQPSDPMLTMAVDCGLKDDLVLGDPDAPRFVLWKDKLRPVPGKLTDLPFFDLMSIPGKLRAGFGAIGLRPSPPGYEESVEQFVRRNLGAEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWKLEQTGGSIIGGTFKAIKERSSNPKPPRDPRLPTPKGQTVGSFRKGLRMLPDAICERLGSKVKLSWKLSSITKSDKGGYLLTYETPEGVVSLRSRSIVMTVPSYVASNILRPLSVAAADALSSFYYPPVAAVTISYPQEAIRDERLVDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPNGRVLLLNYIGGATNTEIVSKTESQLVEAVDRDLRKMLIKPKAQDPFVTGVRVWPQAIPQFLVGHLDTLGTAKAALSDNGLDGLFLGGNYVSGVALGRCVEGAYEIASEVTGFLSQYAYK >Solyc06g070985.1.1 pep chromosome:SL3.0:6:43733674:43734326:-1 gene:Solyc06g070985.1 transcript:Solyc06g070985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCDAKPNTTNEILVHVGPAKLGPTQSSSRNNTLYIIPLCLYLSLSFALRTPGAATREHKAQDLSSEPYLTALLPPYCGV >Solyc08g068050.3.1 pep chromosome:SL3.0:8:57206620:57214342:1 gene:Solyc08g068050.3 transcript:Solyc08g068050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVDTAPEPTSEIELERRKLRERWELASVLNFLTVFEPVLEIKLKISAKEIETALIESNDNLAQLHIVLLKGIPPLNKLLKDSDGWVIALSKKLEMWWPWVAEGNFPLTAKKGEEMSTYKALNPTTRLLVLKALCEIRADQSDTISYINDETKNRTDSTAFRKEKLGGNGNEVSYWYDGNETLGHRLYKEVYQVESMSKVKDKKRVSAIISQWETLATTFEEFQNFVEKFSSSQVKWEADVGKAVEAHAIPALQKLQRKKDKAQKRQQREQRLESLRSLAVHRSCRIRRPVNYTCDDFDRAIKEAISVTNKRKATEDSRSETRLNEHGPRNETNLLDSSPASNSISSDAADSESESDRPQESNEAVDDTSDEVDEADLDEDGTVSMKGNGQLYHRPSSCRYSKRLAGVTGYAVPESSHISAKYRLRQRPSINTAAKSVVILDSEDEN >Solyc07g024030.3.1 pep chromosome:SL3.0:7:23992618:23994121:1 gene:Solyc07g024030.3 transcript:Solyc07g024030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNKIECEKPPLNKYALACALLASTNSILLGYDIGVMSGAVLFIKDNMNISSSEVEILVGSLNVCSLIGALASGKTSDLIGRRYTIILSAATFLIGSLLMGFAPSYPFLMAGRVVAGIGVGYSLMIAPVYTAEVSPAMTRGLLTSLPEIFITVGILLGYIFNYALAGLPHHINWRLMLGLAALPAIAIAYGVFQMPESPRWLIMKGNIEEAKRVLRKTSDNDDEADMRLEEIINAAGTTTNWKGQGVWKQLLRPTKPLRRILLAAIGINFFMQASGNDAVVYYTPSVFNAAGIHNRKGLIAVTIIMGMAKTFFCIVSALFLDNFGRRPMLLLGTIGMAVSLAGLGLGSMYLNNVDYKPSWAIALCVVAVCADVSFFSIGLGPITWVYSSEIFPIRLRAQGTSLAVSVNRLVSGAVAMTFLTISNKITFAGTFFLLCGVMIVATIFFYFFLPETKGKSLEEIMAIFEDKKEETIER >Solyc09g059817.1.1 pep chromosome:SL3.0:9:56340129:56340433:1 gene:Solyc09g059817.1 transcript:Solyc09g059817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLSKQKFSLCYLIVMFARSFKDYRGIIEHKEVTNLKLQRYFIKIILEEAKDG >Solyc01g091840.3.1 pep chromosome:SL3.0:1:85266973:85270592:1 gene:Solyc01g091840.3 transcript:Solyc01g091840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIFHDENVMNMSDFTLIFMINYRNMYIIGFNSGKFDMFPFRIIIKYFGLDLHLEINLVCGGYLAKCSYGWYFTFVQGLVYVALIHFLNGFTPKQMVNPWKDYVKLSTVLMGSHGLTKGSLAFLNYPAQIMFKSAKVLPVMVMGAFVPGLRRKYPPHEYVSAVLLVAGLILFTLADAQTSPNFSLIGVLMISGALVMDSFVGNYQEAIFTSNPNTTQMEMLYCSTIVGFPILFVAMIVTGELRIAWPACAQHPYVYGVLVFEACATFVGQVSVLSLVAIFGAATTTMITTARKAVTLLLSYLIFTKPLTEQHGTGLLLMSMCIIMKMLPENKPSQPRPQKIILLQQTEKPRETEDDRFQIGIEEEEEKRPFV >Solyc12g036260.1.1 pep chromosome:SL3.0:12:45696181:45698661:1 gene:Solyc12g036260.1 transcript:Solyc12g036260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSTEMISTQKVLQCVTFPPRGFSLGFFKKGSTPWIYSVPTIESQIIVIYLLTQLFHFPLKRIGFPKIASEIFAGLILGSTFLGRYKSYQEKMFPLPSQSILGALTTFGFLLFLFLSGVKMDTSMTRKIGKRALVIGFLNHLAPLITGMITVFALSSDFYQEGVTSLSVPVEVISIARTSFPVISYLLKDLGLLNSELGRLALSSALISDLVGLAIHAFIFIIVIGAKNTVERAITDAILLISFVIVVIFIFRPLMIWIVKRTPEGRPVKDLYILIIVLAVLLSGVFSAWFEQSVLFGPLIFGLAVPEGPPLGSTLVDKLDPFTSGFLLPIFVTLMSLRTNLSAINPSSSYTFANIILLCVGSLTKILACLLPMLYCKMPLNDAAAISLIMSTRGVVDLASYSFLRDDKIINQASFAFMVIATAVTAIFVQIMVKWLYDPSRKYAGYQRRNLMNSNNKLPILVCIHSLDNTAAILRLLEKSNPTRDFPIVSNVLHLIELRGRASSVFISHQVQTKAITDVAYSENVILAFQGFERNNYGAVTIQAFTAISPRNLMHEDICTLALDVLASIIILPFHRKWAVDGSVEVEDHGLRTLNSSVLERAPCSVAILVDRGQLKRSASVRASENVYCIAILFLGGNDDQEALAFAKRMAISGTISLTVIRLISKQDVSCDVDEVIDLDIIGDWKHSRSTWENVKYIEHYVHETTETVLFVRSLVDDYDLIITGRRNNTHSPLTAGLEEWSELPELGVIGDMLASKDLKTRASVLVIQQQQTTL >Solyc08g079020.3.1 pep chromosome:SL3.0:8:62785546:62790939:-1 gene:Solyc08g079020.3 transcript:Solyc08g079020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKGQDPRIHAIQSTIRVVPNFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYRDKSISVVAGIEARGFIFGPPIALAIGAKFVPLRKPNKLPGKVFKQEYDLEYGSDCLEMHIEAVEAGERALVVDDLIATGGTVSAAMNLLERAGAEVVECACVIEIPELKGKEKLNGKPLYVLVEYR >Solyc10g085770.1.1 pep chromosome:SL3.0:10:64973677:64977214:-1 gene:Solyc10g085770.1 transcript:Solyc10g085770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCIIWILLLLAYYSQFSHSSDRKLNESVKTSEEYYSASGIAGVFHLERLLPETHVTSIDALVARDRARHARILQTATSELVNFPLNSSFDLNNIGIYYTKVKEYTLLIDTGSDISWIACNSCDTCPRTSGVGLKLNFYDSGNSTTATPISCSTRGCKCTSTNQCGFTLSYMDGSSTAGYLVSDVWHLDTFLSTSSSTSSASAPIIFG >Solyc02g084120.2.1 pep chromosome:SL3.0:2:47858805:47870279:-1 gene:Solyc02g084120.2 transcript:Solyc02g084120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFLVFLLGLIGKLVLAAKLGFEVFELIMFGRRKVQFILLQLYPKSTETSTWQYYLGILNLFSAYVEEGIAKSTGAQGSRSILEIILTEATFEAADLNVKATQDLSEKDVQQALLKMLEGTIVSVPDNRAWKIPPGDTIQAVTNTTYIWQFLIF >Solyc02g067360.3.1 pep chromosome:SL3.0:2:38125478:38130604:-1 gene:Solyc02g067360.3 transcript:Solyc02g067360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIIVSSNAKTPIHLHTNQPQPPILNNSVFLGRRELCFDSISTVCSADPITSNPSTSVSAPRGGGDNGNSLIEQLRRSFPFATRRMLLTSFFMYSCYHPSRYLSALALGDPSVTVEQVTPTIFPSAALFPLEERVVELFEKNTYCVVNIFDVTLRPTLNVTGMVEIPEGNGSGVIWDKEGHIVTNYHVIGNSLSRNPSRGQVVARVNILAADGVQKNFEGILIGADRAKDLAVLKVGASEDLLRPIRVGESSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDIYSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTQTGTSAGVGFAIPSSTVLRVVPQLIQSGKVLRAGLNIEIAPDLIANQLNVRNGALVLLVPGNSPAAKAGLLPTTRGFAGNIVLGDIIEAVDDKPVKSKADLYKILDNYNIGDEVRLKIRRGNDNLELIIALEEKDS >Solyc10g086200.1.1.1 pep chromosome:SL3.0:10:65233192:65233563:-1 gene:Solyc10g086200.1 transcript:Solyc10g086200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGKFVKACQKMGTKVITCATNCDKCCKWTKWPFVQEDNNIPRDVPKGHLVVYVGKYQKRFVIKITLLKHPLFKALLDQAQEDFYDYNHVESKLWIPCDENIFVSVIRCATSPKNRRISICF >Solyc06g053320.3.1 pep chromosome:SL3.0:6:36153500:36163934:1 gene:Solyc06g053320.3 transcript:Solyc06g053320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPGEIPSNNLWVGNIAPDVTDADLTSLFQKYGQLDSVTAYSSRGFGFLYFKNINDSKEAKDALQGSLFHGNPLRIEFAKPAVSLTPVMLSGLQAKPCKSLWVAGISKSVSKEELEDQFKGFGTIQEYKFIRDRNTAYIDFARLEDAAEALKNMNGKKFGGEQIRVDYLRSQPTRREQGPEYREMRDGQYHNRNVGHPDSRLMPQDFARNYSDPMHAGFRRQHPFQLPVGQGHGQPSKILSIGYPPSVHVDEDMLHNAMILFGEINGIRTFYDRNFSLVEFRSVEEAQRAKEGLQGKLFNDPRITIEYYSSGPAPGREYHPSIIGPTTDSYPNENSFQPAQMGMFGHNRPMLASNVPGHLPPFGIHGPEIPARPLGMQGRFDPTISGPEYTDLPVASKLRDTSPHNVVGGPNWKAASPTPGMLSSPSGVQKAPSRSAIPGRDVFDSSQLQRESKRSRIDGAYDNSYPHKRTSDRAEQYGLGPFGTNVPSGPVTVGQANNSVSPLDARISPGQRLPGHNYIWHGTIAKGGTPVCHARCVPIGESIEFEIPEVVNCSARTGLDMLTKHYADAVGFNVVYFLPNSEKDFASYTEFLGYLGSKDRAGVAKFANGTTLFLVPPSDFLTKVLKVVGPKRLYGVVLKFAHHMPSGTSLPQESSQPQYVDAPRMPSSQAAYDAMPSVERVPQMNYNQVTLEDMKLPSKDYGSLTAAYATNTVQPSNSAAYPSSYVHQSNAAAPAQAGVSLTPELIANLVKILPASQLLSVEGTTMPAGASAGMPASDVAVGPGKVQQQSWRYDQQAPGQAADHMFSSQFNNQTQVLPQLQAHPQVLNTPSHYSQGATSFNQIQDHNLNLQAQGGPPQTLPSTINSQGTQLSAQPHIDRQLQLGRHQDAASASGIAHATDAVGHYGSSVPQQQTNLASLTNQTHGANVSQPQAGMPGASGMGLATQMQQLQSALYGSAQEGSESEVDKNERYQATLLFAASLLSKIHNQKPSSQSGQGSDNH >Solyc10g085250.2.1.1 pep chromosome:SL3.0:10:64628347:64628423:-1 gene:Solyc10g085250.2 transcript:Solyc10g085250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHWKCAGQCWEALTEWLHHQRKS >Solyc09g008270.3.1 pep chromosome:SL3.0:9:1740259:1743986:1 gene:Solyc09g008270.3 transcript:Solyc09g008270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVNASPIAFTKYPFSSLLNYQPIISSKFSNKSLKNETKRRIFLAYKKLNCEDINVDVKIVGLEKEEMSFTNNETFLYSFNPLPLMFLAALPGAGTISSLFGPFVELVKSWNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRFSDDVEEKAKAKDLHPKLLGGMFFFFALGATGGITSLLTSDKPILESPHAVTGFIGLTLLTIQTILPTLFEGNPGLRNVHGILGSGIMTLFLVHAFLGLQLGLSY >Solyc08g077770.3.1 pep chromosome:SL3.0:8:61793180:61799953:-1 gene:Solyc08g077770.3 transcript:Solyc08g077770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like molecule [Source:UniProtKB/TrEMBL;Acc:G5EM33] MGCFNSKVKKQFRGHEDPIALASQTAFSVSEVEALFELFKSISSSVIDDGLISKEEFQLALFKNRKKENLFANRIFDLFDVKQKGVIDFGDFVKGLHVFHPNAPQEEKVNFSFKLYDLDGTGFIERQEVKQMLIALLCESEMKLADETIESILDKTFVEADSNQDGKIDKSEWQIFVSQNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEVAT >Solyc03g118410.3.1 pep chromosome:SL3.0:3:68779017:68780797:-1 gene:Solyc03g118410.3 transcript:Solyc03g118410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:Q672Q9] MASLSATCLRFGCSVNTSQINGGTVKLVSVGWGRSSAGFPSLRTSRLRVAAAKAETIDKVISIVRKQLALPADTKVSPESTFTKDLGADSLDTVEIVMALEEEFGIAVEEENSENIVTVQDAADLIEKLVEKK >Solyc08g068780.2.1 pep chromosome:SL3.0:8:57965820:57966840:-1 gene:Solyc08g068780.2 transcript:Solyc08g068780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRIFPTLFIFSLVLQACLITMASSLSETITTDASSENNNVTITGKIYTRLRLATKSDLSHIYQLFYQIHAYHNNTHLYKATESSLANLLFKENPLPLFYGPSVLLLEVSPTPFNEPTNEGFKPVLTTFDLKFPVVEGQVEEFRSKYDDKSDVYIAGYAFFYVNYSCFSDKPGFYFESLYFRESYRKLGMGSLLFGTVASIAANNGFVSVEGIVAVWNKKSYDFYVNMGVEIFDEFRYGKLHGENLQKYL >Solyc08g065640.3.1 pep chromosome:SL3.0:8:53905491:53914392:-1 gene:Solyc08g065640.3 transcript:Solyc08g065640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLHDHDCADHNCSSDWSLFKHIDLPKVSALNEAVTGSVKSVFKPWEQRLNSSEGHLESNEGDPELIVFIPFTADVKIKSIAIVGGADGTSPSKMRAFVNRDGIDFSDAQSMQAIQEWDLAENLQGVLEYQTRYSRFQSVGNITLHFPDNFGADTTRIQYIGLKGEATQMKRDVVANIVYEIMPNPSDHKTQAETGGGFSHVE >Solyc12g006710.2.1 pep chromosome:SL3.0:12:1167696:1176512:-1 gene:Solyc12g006710.2 transcript:Solyc12g006710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSSENLLQTLNTRGWCFRDINLVNSLIAAQLPSSSTVSSIESELLNTDLRSVGGKSLPDFSLLRKSSHIQGPKVLQISSVRDISRSTMAENSESSNSRRLLRLKLTDGHSEITAIEYSHIPSIPDDVVPGTKVRLENKATIHSGIVCLNAKMITVLGGTVSSLYEEWQMNKKYSGFSRSTLKVAHEDGTAGPPPFEKLQIGALQKPLSQHKRYSGQRHVSPSFGKRACLTYAEKAQSSTASQTHRFQNNESRIESTGNELKPPAHIERIEEKPSTSESRPKEVAEAVPVQNQAAAQKLLQKMSQPPPRGGHHSRGRGHRGRDREEDESHLLTLEEWERRKTGANVSAARNFPDVSQDEDLARQLQHQLDFEDLHPQYVAKLWVCLWLQVTRANYAKDLGGKVKFCFSCMDERT >Solyc12g036285.1.1 pep chromosome:SL3.0:12:46155459:46160698:-1 gene:Solyc12g036285.1 transcript:Solyc12g036285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIGYPGCLPNVSNNGREAASKARYLIIASYYVVCGIAKGN >Solyc06g069380.3.1 pep chromosome:SL3.0:6:43245284:43249536:-1 gene:Solyc06g069380.3 transcript:Solyc06g069380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKVTALAERRLEKLKSSMSSKSRMKLWMIRITTSVLIWICLVQLTIFGETWGPRVLKGWPPCLSHESAAVLAVKSSSEVPARVLPPKRVYRNNGYLMVSCNGGLNQMRSAVSSFCDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFIMSLRDEVRILKELPPRLKRRVELGVTHTMAPISWSDISYYHNQILPLIQQYKVVHLNRTDARLANNGQPMEVQKLRCRVNFGALKFTPQIEELGRKVVRILRQEGPFMVLHLRYEMDMLAFSGCTQGCKQEEVEELTRMRYAYPWWKEKIINSDLKRRDGLCPLTPEETALTLRALNIDPSIQVYIAAGEIYGGERRMASLAAAYPNLVRKETLLEPSELRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGYKKTILLDRKHLVDLIDQHNAGSLTWDEFSTAVKEAHSERMGNPTKRLVIQDRPKEEDYFYSNPWECLKPSYEDEL >Solyc02g069070.3.1 pep chromosome:SL3.0:2:39608693:39611427:-1 gene:Solyc02g069070.3 transcript:Solyc02g069070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSNSGISGTIDLTGSLPPEKVVELTGKVHQLPCCIKFNGPSDVSQYFKPKSTGVIVDGLSVEESHFRGRKLQGTTVAIPHGYSGFVLGKKMLVEKRKRSEEDSSCWEMKAKFQNITLWNHDSLPSENDASLRAFHLFSVATALHQPVSLEDLEAASIDQELEL >Solyc06g074550.2.1 pep chromosome:SL3.0:6:46273582:46276694:1 gene:Solyc06g074550.2 transcript:Solyc06g074550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDRNCSSSSSLCSEAQRVNCSKAQNCLLKILKIISTVPPQNNNTPPSQNLDGFAIDLNIGFNLTPLEMEEESGSPVDGGFVSRPVVAECGSGSGSVDFGKCSDIDDGGEESCKSVEILQLENDGVFETSESKEEIGEVKEQQGFMGLLIEAATLIFGDFKDENPFKLDEKLKMDEHHYNNDNDVTATKKQLKRRINEYTEEVIADKSSYPLVRSKRGRIQVLPNKYRDSILEPLTPFSRIRSTIVTNRRRSKYAARFALQRQVHDLLCAFHPSALRLSAWQASYLDPSSALSSSFLKIWRAFLHGLGLALMMGRPFLRIGLPYSTGANALGRAVAEACLLV >Solyc04g011690.3.1 pep chromosome:SL3.0:4:4161979:4166013:1 gene:Solyc04g011690.3 transcript:Solyc04g011690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFWTTFSIGLALLYLLHELWRNNKRKKLPPSPKEIPILGHFHHLLGKNPHQDLNNLAKKYGPIMYLKLGFVDNIIASSPHVAEQFLKTYDQNFASRPPHEAAKYISYDQKNLTFGTYGPYWRNMRKLCTLELLSTLKINSFQSMRREELNLLIETLKKKSHEKIVVDLSEIVTRLSVDISCRMIFGKKYKDEEFGCKGFKSVVNEGLQLAAAPNLGDFFPFLGKLDLQGLTRRMKAVSKVFDNFLEKIIDEHEESDKKGQHQNNKDFVDTMLNIMKSGETEFQFDRGHVKAILLDMLIASMDTSATTIDWILSELLRQPNIMKKLQKEFEEKIGMKRMVEELDLEKLEYLNMVIKESLRLHPVAPLLLPHQSIEDCTIDGYFIPKKSRILVNTYAIGRDPKVWPNNPDEFIPERFVGSSIDLRGHDFELLPFGSGRRRCPGLQLGLIIIRLIVAQLVHCFDWELPNGMLPNDLDMGEEFGLVVSRAKHVMAIPNYRLSM >Solyc05g015003.1.1 pep chromosome:SL3.0:5:9341253:9351057:-1 gene:Solyc05g015003.1 transcript:Solyc05g015003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLHEVAMDNCKVVSTPMTSTIVFDPSPDDHLVDGALFGRIIGKLHYLSFTHSDVAFVIYRFMKHVAIDFHIVHEQVEPKQLEVLHLHARSEGSIAEGYIIDKCLTFYSMYLTNIETTFNLEYQKDVGSRSKGEEDVLDIFSKSSRPYGSVILQLYNKEKSIYVQKLYPLAIGPDVRGSTHTYCIVNGVTFHVQRRDALHKSQNCSIVVACYNLNEEIDFYGIIVDILELEYVEENRVLLFKCSDNCMNMILLYELLKQKNYIDDPKLRESWRIVLKFEDRHLYDVPEKENSETENDELHITNDEVYQDVSLEKVQFSMIQMIYIESTT >Solyc08g077955.1.1 pep chromosome:SL3.0:8:61985616:61987978:-1 gene:Solyc08g077955.1 transcript:Solyc08g077955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIEFGRKAMFYIRVLSGYEERKIRSYRLQLQQRLQQAEERKAAVRKIPEQMILSEVRRMVEEMQALNKKLEDTFELPVGEKKMSSDRLQTEVDWQFMNIQSPSCYLPAKLSGADVTYLPGSSPVQLEGSEWG >Solyc02g090267.1.1 pep chromosome:SL3.0:2:52512547:52513578:1 gene:Solyc02g090267.1 transcript:Solyc02g090267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGKPNLSDHFPVLKRIDPQGIRRRIGKHSDKLLQLIGGLIDERLEQRRKSPNDSRTDFLEVMLNTSEEDPHAFDRNHIEYLCLCSVMLHWVPFNFFHSYLRIIHISGPFHCGNGYNGPKMKAIEGRGRACTLLDWAMVEVMRKPYIKKKAKTDFADVIGKGKIIKEADIVRLPYLQCIVKETLRMHPPVPFLIRKVDQDVEACGYFVLEDSQVLVNVWSIGRDPATWEDPLTFKPERFWNLKMDVSGQNFELIPFGAGRRICPGLTLATAKLSTILGSLLNLFDWKAEGDIAAEFLDVEEKYGITLARLRPLRALPISL >Solyc09g065420.3.1 pep chromosome:SL3.0:9:63761597:63762761:-1 gene:Solyc09g065420.3 transcript:Solyc09g065420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRIEMGLTLTLVLVFMQWHGVRAQSGCTTALVSLSPCLNYVTGNTTTPSTSCCLQLSRVVASQPRCLCSVLNAGGSSFGVSINQTQAVALPSACNVQTPPVSRCNAGANGPAASPADSPPADSSKGSTDIPSGTGSKASRGGTSNGSIVELTATWIFIVAFTAFASNFF >Solyc08g077610.2.1 pep chromosome:SL3.0:8:61686468:61694744:1 gene:Solyc08g077610.2 transcript:Solyc08g077610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARRTQGRYERKCFGESSSILDVDGFKVPDSSAAEESPVFGEGTVWDLVPKGSKDTMYPHQRGGFEFMWNNIAGDTKIERFREPLLESKGGCIISHPPGTGKTRLAIVFLQSYLKLFPKCRPVVIAPSNLLLNWEAEFQKWAMDIPFHNLNSKNFSLKEDEGTVGVFHCLSGAAKKNPHLIRMVKLKSWAKSKSVLGISYDLFKILTGEDGESYNKELREILLKFPSLLVLEEGHTARNEHSLVWKALKKVETEKRILLSGTPFQNNIKELYNTLCVVSPKFAADLEQKWASLSSSIDKNARALEELRDILSPLVHKCSENVKKVGLPGIRDTVIHLKPTELQKELLKRVPENPGSFYEQNLMSLISVHPSLVANRKEFSELESQLKERRCRLDPDIGVKMKFVIELIRLCGGLKERVIIFSQLLDPLNLIKEQLNSLFSWTLGREILYMDGKLDVNQRQISINSLNDPKSDVKVLLASTKACSEGISLIGASRVVLLDVLWNPSVEQQAISRAYRTGQKKFVHVYCPVTSKWEVDKIEQQTRKRYHSDVILSRNEENTSCSVSEDIILECMVKHDGLRHIFEKLSHAPRVQLLSSSMDKTVRLWDIETQSCLKMFAHNDYVTCIHFNPVDDDHFISGSLDGKVRIWNISDRKVMDWTDLHEMVTATCHSPDGEGALIGSHKGSCRLYSTSECKLEQKDSFELEPKKKSPAKKVTGFQPHLCQICYILIYWYVASPFTGSTDSFGVVLLELLSGKKAIMEFKDGQPTLVTDWAWSLVREGRALDVLEDSIPHLGPPEVMEKRGELAPELSNESRKNGNSEGNRVTRSTGSVSSPRSIPEMYREREQNLRVFTLSELKEATRNFNRLLKIGEGGFGSVYKGSIQPSNGKGDPIVVAGSPTMDCRSSIPRTLGLFLSFPFLMNTFWLKLKVIYRDFKSSNVLLDENFCARLSDFGLAREGPAGDRSHVSTAPVGTLGYAAPEYVETGHLSVKSDVWSFGVVLYEILSGRRTLERSRPVNEQKLLDWVKQFPADSRRFSMIIDSRLRNDFSIIAAKRIAKLADSCLNKNAKERPKMSEVVEILTQAVQESQGTTSTEATGAGPSRPTQLPLPNQLKKKTISETARPMIPLAQASLLKYGLYNLLEIS >Solyc10g078530.2.1 pep chromosome:SL3.0:10:60450881:60453297:-1 gene:Solyc10g078530.2 transcript:Solyc10g078530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSVNTISMLDSNMEVDKLTNEIFSILENKFLFGYDDPKKSVTGNEENLSARFAGNKNVGAGKVRILSIDAGGSTDGVLAAKSLTHLESTLRRKSGKSDAHIADFFDVVAGSGTGGVLAGLLFTRGADGVPMFTTDEALRFIVENGEKISRSSKTGFFRQVSRPAKVFKKVFGDLTLKDTMKAVLIPCYDLKTGAPFVFSRADAWEMDGCDFAMSDVCGATMADRAVDLKSIDGRSKITAVGGGIAMTNPTAAAITHVLNNKQEFPFANGVEDLLVVSLGNGDSDSGTGNVMSSPAAFVKIAGDGTADMVDQAVSMAFGQTRNNNYVRIQGNGIVGKKYQLIKDENMNKCEKMKKMVVIAEEMLGQKNVECVLFQGKKLVENSNLDKLKTIASELIKEQERRKTSILPPVVLKHASPSPRTSSVTTLSSDSSC >Solyc11g008000.2.1 pep chromosome:SL3.0:11:2205041:2216036:-1 gene:Solyc11g008000.2 transcript:Solyc11g008000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEGALVLAPAPVGPVQPVPPVAPRRAVGVFSATSLAPGFRFHPTDEELVRYYLRRKACGKPFRVEAVAEIDVYKSEPWDLKDYSALKTRDLEWYFFSPVDRKYGNGSRLNRATGEGYWKATGKDRNVNHNSKIIGMKKTLVFHKGRAPDGERTNWVMHEYRLDDKELDAAGVVQDAFVLCRIFQKSGLGPPNGDRYAPFIEEEWDDDTAPLVAGGVTENDVANGNQARVEGNEFDQVILSLSLTVGCPKQDVRGKAPCVSENLFEPRALSFVCKRERSEDLELLSLSQSKRSKHDDPSSSHANGSEDSTTSQQDPPTKTMATTYSPTLLTFPLLDEPKEAPPTNSFNFDSSNLEKSVPPGYLKFISNLENQILNVSMERETAKIEVMRAQAMINILQSRLDFLNKENEDLRGLIRRVWLMESRGSSKLLAPGFRFHPTDEELVRYYLRRKIYGRTFKSDLISEIDIYKVEPWDLPGMSRLKTRDLEWYFFSVLDKKYGNGARTNRATEKGYWKTTGKDRAVHRNKSQVVGMKKTLVYHSGRAPKGQRTNWVMHEYRLIDEALDKAGIPQDAFVLCRVFQKSGAGPKNGEQYGAPFIEEEWEDDELQVPSKDETTEDFVFGDDIYFDANELDQILGTDKAVAGASFPSDYSYGENGSTEETNNSSDAQQPDELKPIDLAVQKNFNAEQVNHEYIGESSKNMNSEDGDYLLNEPIVNGTDDLQFNDEAFLEANDLSNPVQADSSGFDMLEEYLTYFDANDDFQNMSFDPSVFFGNDDQISDQALLPQKDVGEVTQQPIAPNEGFSEDKNGIASSSKLVPTKFESDYQYPFIKQASQMLGNIHAPPAFASEFPTKDAILRLNSFSRSASSVQVTAGNGTRWPLGKHVYYNIILSLGLSRGSSDDSSMDSFHKIHPGKTTSTISRGWFCYLFFSFLMLLMSLRIGTIICAS >Solyc02g083350.3.1 pep chromosome:SL3.0:2:47345190:47353485:-1 gene:Solyc02g083350.3 transcript:Solyc02g083350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:K4BAK3] MDLRFPFSPAEVAKVRTVQFGIVSPDEIRQMSVVHIEHGETTERGKPKPGGLSDPRLGTIDRKMKCETCMANMAECPGHFGHLELAKPMFHIGFMKPVLSILRCVCFNCSKILADEDDPKFKQAMRIRNPKNRLRKMLDACKNKTKCEGGDEIDVQGQDTEEPVKKSRGGCGAQQPKISIDGMKMVAEYKMQKKKSDDPEQMPEPVERKQQLSAERVLSILKRVSDEDCLLLGLNPEFARPDWMILQALPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLKRQERNGAPAHIISEFAQLLQFHIATYFDNDLPGQPRATQRSGRPIKSICSRLKSKEGRIRGNLMGKRVDFSARTVITPDPTINIDQLGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDQHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQANRPVMGIVQDTLLGCRKVTKRDTFIEKDVFMNILMWWEDFDGKVPAPVILKPRPLWTGKQVFNLIIPKQINLLRYSAWHNDSEKGYITPGDTQVRIEKGELLSGTLCKKTLGTSTGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQQAFSIGIGDTIADASTMEKINETISNAKAKVKELIKAAQEKLLEAEPGRTMMESFENRVNQVLNKARDDAGSSAEKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPFGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIETQKLDSLKAKKGTFDDMYAYEIDDPNWNPSYMLPEAVEDLKGIREIRSVFDAEVQKLEADRHQLGTEIAVTGDNSWPLPVNIQRLVLNAQKTFKIDFRRPSDMHPMEIVEAVDKLQERLKVVPGDDYLSMEAQKNATLFFNILLRSALASKRVLKEYRLSREAFEWVVGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEVGKTKERAKTVQCALEYTTLRSVTQATEVWYDPDPMSTLIEEDVEFVKSYYEMPDEEIDPDKISPWLLRIELNREMMVDKKLSMADIAEKINLEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNSKVQKFDDNEGFKAENEWMLDTEGVNLLAVMTHEDVDASRTTSNHLIEVIEVLGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVFAESDHLRGVTENIMLGQLAPIGTGGCSLYLNEEMLKQAIEIPLPSYMEGGLEFGMTPGRSPISGTPYHDGMMSPNYLLSPNMRMSPITDAQFSPYVGGMAFSPTSSPGYSPSSPGYSPSSPGYSPTSPGYSPTSPGYSPTSPGYSPTSPTYSPSSPGYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYSPTSPSYSPTSPSYNPSARYSPSLAYSPTSPKLSPSSPYSPSSPSYSPTSPSYSPTSPSYSPSSPTYSPSSPYNNSGTSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPRVSERDNRSVKDDKAG >Solyc11g044636.1.1 pep chromosome:SL3.0:11:32652030:32652531:-1 gene:Solyc11g044636.1 transcript:Solyc11g044636.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSFMTIAHFKHKAISSYPYESDNTINLLHPKSNNLAYFEIFIVSFLYKPIYSSLKILEFINSFVKKDPKRILWAKILNGIYDWSYNRAYIDVFYT >Solyc07g007450.3.1 pep chromosome:SL3.0:7:2161744:2165338:1 gene:Solyc07g007450.3 transcript:Solyc07g007450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:K4CBL5] MLRYVGNRIPGLGQRRSSSAVAQGVYRRLYHERVVDHYNNPRNVGSFDKNDPAVGTGLVGAPACGDVMKLQIKVDEKTGKITDACFKTFGCGSAIASSSLATEWVKGRTMEEALSIKNTEIAKHLFLPPQKIHCSMLAEDAIRAAKKDYEAKKAKFNENAEKSV >Solyc11g012780.2.1 pep chromosome:SL3.0:11:5550953:5554173:1 gene:Solyc11g012780.2 transcript:Solyc11g012780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKFGQVKVIVVQGRRLVIRDFKSSDPYVILKLGNQTAKTKVINSCLNPVWNEEFHFSISEHAQVLKLQVFDKDHFKADDKMGNAHLSLQPLVASARLRKILGVTAEGTTLRKVIPESDNCLAADSSINWVNGEVVQDVWLRLCDVDSGDIELKIILIDLPSAAPSK >Solyc03g032210.3.1 pep chromosome:SL3.0:3:4740921:4743812:1 gene:Solyc03g032210.3 transcript:Solyc03g032210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFFKNSRLVLGFFSSIKIHSKTTKLSSRECSNLCESWKSMEGFAKCSANFEPLTPLSFLERAANVFRDTTSVIYGEKLKYNWEQTHNRCVKLASALVHLGISHGDVVATLAPNVPAMQELHFAVPMAGAVLCTLNTRHDSAMLSVLLMHSEAKVIFVDQKLLEIARGALAQLADKKQNLPLLVVICQTDESPAVENLNPRDHDYETLLGSGDSNFAIRWPRTEFDPISINYTSGTTSRPKGVVYSHRGAYLNTIATFLLHEMSSFPVYLWTVPMFHCNGWCMIWGLAALGGTNVCLRNVSPKDIFENISLHKVTDMGGAPTVLNKIVNSPPCDLKPLPHKVKIMTGGSPPPPRVIAKMEELGFKVNHLYGLTETYGPGTSCLWKPEWDSLPPDEKFVLKARQGVQHLCLKEVDIRDSTTMEKVPADGKTIGEIMFRGNTVMSGYLNDTKATEEAFKGGWFHSGDLAVKHPDGYIEVKDRLKDIIISGGENISTVEVERVLYSHPAVVEAAVVARPDNHWGQTPCAFVKLKEGFSVDDQEIINFCRDNLPHYMAPRTVIFEDIPKTSTGKIQKFILRERANALGSIF >Solyc08g080850.3.1 pep chromosome:SL3.0:8:64154630:64163344:1 gene:Solyc08g080850.3 transcript:Solyc08g080850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase RIO1 [Source:UniProtKB/TrEMBL;Acc:K4CP83] MEELADKIEIPQKAEDKKDEELEELEDEDEEGELSWSSDSEIGEALDYLDTKDNSESNVGAFSLQTRRPNAHGGLHTRPNSSALQPLSNRTQKFANHIRASPLEEWEGRIKVGMSNSVTTAIRGSVRDMAIGKTKTTEKADRATVEQAIDPRTRMVLFKMLNRGVFHDINGCISTGKEANVYHATKADGQELAIKVYKTSVLVFKDRDRYVQGDYRFRYGYCKHNPRKMVKTWAEKEMRNLMRLRAAGIRCPAPILLRLHVLVMEFIGKGGWAAPRLKDAALSSDKLRECYVEIIMAMRTLYQKCKLVHGDLSEYNILYFEGHLYVIDVSQSVDLDHPHALDFLREDCVHVSDFFKKHGVAVMTIRELFDFIVDPTINDDSVDSYLEKVQERILARGDMTAEEEIADSVFIQSFIPKTLDHVKDAEADVQRIISGEDTGDMYYKTITGLKQALTATNSSAEDQQHLQIDELGQETAAAAETNKPSDGESETETESDDDDDDESDCSEGSSSDGEKPTAADKKAARKENKKKVKEEKREARKHKVPKAVKKKKKKLAKAKKYR >Solyc01g087995.1.1 pep chromosome:SL3.0:1:82715470:82716398:-1 gene:Solyc01g087995.1 transcript:Solyc01g087995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGRGGVGTGDDGRGSVQNFSARDGSQNKLLPNVLVVPGAKMNSLNKEDGFSNESTKKKVALFSRQSSHLLRSFV >Solyc02g043945.1.1 pep chromosome:SL3.0:2:836436:853434:1 gene:Solyc02g043945.1 transcript:Solyc02g043945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSFPRIRRPKNRVSFIRLNFMSDTACALPHAISNKREKATEAQKIRRKHVKQSSIARDHATLEQGQCMIDEVSEHEEQPDEIVEQGEKLGDNTEQMEYPEEEQSQPLRRLERQRVESNTYPSSEFSDNNFIILLLYVDDMLIVGQDKELIAKLKNDLSKSFDMKDLGPAQQILGMKIVRERTKRKLWLSQEKYIKRVLERFNMKCAKPVSTTLASHLKLSKQLCPTTKEEKEGMTKVPYSSAVGSLIYVMVCTRLDIAHAVGVVSRFLENPGKENWEAVKWMLRYLRGTTRDCLCFKGSDLILKDYTDADMAGGAISWQSKLQKCVALSTTEAEYIVATEAGKEMRAKMGFTQFAFYDSAAFA >Solyc08g080375.1.1 pep chromosome:SL3.0:8:63799311:63802436:-1 gene:Solyc08g080375.1 transcript:Solyc08g080375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFWAWESEAAVLSFAVLLQTFQSCLAQWDVLCEEAPNQRNEQAKQGLRELLLQPLAGLGIFSFLHQRVSIARCGSRNGAGGCNLILPSPTQLLRYWCLNQQRLTPSHDTAIKTNLKLNLRKQIREKESEQTTNNWWIQSLKDGYNVITEDSIHYFDSAGAN >Solyc06g082990.3.1 pep chromosome:SL3.0:6:48618804:48622824:-1 gene:Solyc06g082990.3 transcript:Solyc06g082990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKDEEEDMREAFNVFDQNGDGYITVEELRSVLGSLGLKQGKTLEDCKRMIMKVDVDGDGMSEKCSGEVSSFRRRSANHGSYNISSAADSSSNPFYPIPFPSKPVCKVFERGVTVGPRLVVQKWDFGLINSGRYYCTAGKAGETSNGGGNDAVAESSGEFKEDKIKRKKLKGKRQVVKWLKFFRWKKKKEFQRMTAEERILFKLRKARKKEERLVEALRKVEPKEIPEATHDPEILTPEEHFYFLKMGEKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFSPEEVKEIAVELARLSGGIVLDIQDDDTIIMYRGKNYSQPPTEIMSPRSTLSRKKALDKSKYRDSLRAVERYIPRLEQDLELLRLQAENKTDAPDKNQEAGFEKFQPEHRSDQQIEASDKLKRIMIENEEQSEENDSMVDTDICSDSEDLSDIFETDSEEEHEEKAEEPLYLDVFEKFPVQSNGDAQDFEEHLRQISSNSRKEKSPGKDVDTPGLDEVDKIILQATSLLKKQKR >Solyc10g052820.1.1.1 pep chromosome:SL3.0:10:53557727:53557963:1 gene:Solyc10g052820.1 transcript:Solyc10g052820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKLRSNIRKQVFQAIQVAKKQEKPPIKDVYTDVYDVFLANLQEQDLSISETILRHPHDYPTHACLVENLNYLSMI >Solyc02g071810.3.1 pep chromosome:SL3.0:2:41727090:41731887:-1 gene:Solyc02g071810.3 transcript:Solyc02g071810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFWGRDLSFNRLEGQIPDLESQERLQLLELGKCLSSNPCSKNWYSVHINCGGESVTIGDTTYEADEDSAGAAKFVYWRESWGSSNTGDFWDRPIALNEYKATNVSSIKGQNSELYTTARLSALSLTYYGRCLANGKYTVTLHFAEIVIRDNRSFQSLGKRMFDVYIQGERKLKDFDIRTAAGGVDESWTRKFNASVEDGILEVRFQYAGKGTTAVPRRGSYGPLVSAISFEAKLRGLDLLTGVFTIRQIKAATNNFDVANKIGEGGFGSVYKGTLLDGTVIAVKQLSSKSKQGNREFVNEIGMISGLQHPNLVKLYGCCAEGNQLLLVYEYLENNSLALALFGSDEHRLQIEWPTRQNICIGIAKGLAFLHEESSLKIVHRDMKATNVLLDKKLNPKISDFGLAKLDDEDKTHISTRIAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVAGKNNMKYRPNEKFVCLLDWALVLQKHGKLMELVDETLNSDFKKDEALRMINVALLCTNPSPALRPTMSAVVSILEDHLDLPEFNLESRSHDDELKFQGLRDKYDEMRSLSESQTLIHSSNTTRRDCSSTTAESS >Solyc08g067490.1.1.1 pep chromosome:SL3.0:8:56569457:56570386:1 gene:Solyc08g067490.1 transcript:Solyc08g067490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHETEEGEIYDDLVYSYSDVKIPKEEDEVEEGEIHDDYHIDIDVKIQTVLGEYMKDFEGAENLGPTFGVYGSFLASDQLVIQPQISQKLPSPTPISSHKPIRIKFRSSDKTAAPKSSDSPPPAPFIIQEDWIQCSTCRKRRLLPYGTKPNQLSQSWVCTMLDWLPGMSCCDISEDHTTTALHALYHSLIQINFQNRGASTDVKAHNGREVSVKKRKSREQDYLGNKLGESDANAFERKLRKQNKSKVIQAEKKKSTRSGKSTRRDRGIKDCGPIEREQQATTLHIGRGITVLAVITSCFLLNLFIC >Solyc11g072570.2.1 pep chromosome:SL3.0:11:56032296:56040828:-1 gene:Solyc11g072570.2 transcript:Solyc11g072570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTVRDVDEATIMKSSARRKNGGWITFPFIIATMAGLSLASGGWTSNLIVYLIDEFNMKSIKAAKVYNVINGCTTLFPIVGGILADSYLGCFSVIWFSSLISALGILLLLLTSAIDVLRPPSCDDGSSLCTSPSTHQYAVLYMALALASLGIAGTRYTIAPMGANQFDKPKHQAIFFDWYIFAFYTSFAISTTVIVYVEDNVSWSWGYGISMAFNILGLAMFLIGKRFYRDVKEQGGSPFVNLARVMVVAIQKWRVPLSEQTQHYHHDHLTTSQIPTKSFKFLNCAAFVTEGDTKPDGSISNPWRLCTVQQVEDLKSLIKLFPLWASGFLISTQLVIQTSLLILQALKMDRHIGPHFEIPAGSMSVFILLFTCIAIFIIDRFLYPFLAKYTRFTLTPLQRIGIGHVITVISMAVSALVESRRLRFARSHKLKGQNNDIVPMSVFWLVPQLALNGIGEGFHFPGHIAFYYQEFPTSLKSTSTAMVALFIGIAYYLGNALIDLVQRLSGWLPDDINEGRMDNVFWLCCILGSANFIYYVVCASLYKYKNIDNKSNIAPTTMAGLSLAAGGWTSNLIVFLINEFNMKSIAAAKVFNVVNGLTTIFPIVGGIIADSYLGCFTVIWISSLISALGIFFLLLTAVIDALRPLACGDGSSLCTSPTTKQYVFLYVALALASIGVGGTRFTVAPMGAYQFDKLKHQTMFFDWYIFIFYLCWALSTAFIVYVEDNISWAWGFGISMACNILGLAIFLAGKRFYRHVKVQGGSPFVNLARVVVAATQKWRAPLSEQTQHYYHDHLTTSPIPTKSFKFLNCAAFITEGDTKPDGSISNPWRLCTVQQVEDLKSLIKLFPLWASGLLISTQLVMQLSLLTLQALKMDRHIGPHFEIPAGSMLVFILLFTCIAISIIDRILNPFLSKYTKFTLTPLQRVGIGHALTIACMAVSALVESRRLRVAKSHHLQGQKDAIVPMSVFWLLPQLALNGIGEGFHFPGHIAFYYQEFPTSLKNTSTAMVSLFIGIAFYLGNVLIDVVQRETGWLPDNINDGRMDNVFWLCCTLGSVNFIYFLVVAFFYKYKNMENKPNNVPSK >Solyc04g045440.3.1.1 pep chromosome:SL3.0:4:33217678:33218023:1 gene:Solyc04g045440.3 transcript:Solyc04g045440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLDITIYELVILLRCTRNQLISFCFHPATRMGYIILKPLMVMDRQTLR >Solyc06g076890.1.1.1 pep chromosome:SL3.0:6:47920723:47921157:1 gene:Solyc06g076890.1 transcript:Solyc06g076890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFRSVTGANPNPSDYEEIEFWSNPERDGWLTKQGEYIKTWRRRWFILKQGKLLWFKDPSSVTSAAVPRGVVSVAECLTVKGAEDVINKPFAFELSTRRDTMFFIADTEKEKEEWINSIGRSIVQHSRSVTDSEVVDYDSRP >Solyc12g014490.2.1 pep chromosome:SL3.0:12:5459770:5464424:1 gene:Solyc12g014490.2 transcript:Solyc12g014490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIWDEVGETDEDRDKMLLQIDQECLDVYNRKVDQAVKSRDHLLQALADAKVEFSRLLSALGQKTYDGIPEKKLGSIKEQLAAIAPALEKLWKQKDERVIKFLDVQSQIKKISSEIAGSSEQVETPKVDESDLSLKKLDEFHAQLQDLQKEKSERLHKVLKFVSAIHDLCAVLGIDFFSTATEVHPSLNDSTGVQSKSISNDTLSSLARTVLALEEDKKQRLQKLQELATQLIDLWNLMDTTEEEQSLFDHVTCNKSASVDEVSIPGALALDLIEQAEVEVERLDQLKASRMKEIAFKKQAVLEEIFVCSHIEIDSEASQHKIMALIDSGNIQPAELLADMDNQIVKAKEEAESRKEILDKVEKWMAACEEESWLEDYNRDQNRYNASRGAHLNLKRAEKARILVGKIPALVDSLTTKTRAWEQDCDTTFTYDGVPLLSMLDEYMMLRHDREEEKRRLRDQKKFHEQIKEQETPFGSTPIPSRPLGTKKVVGPRANGSGNRRLSLNSHQNGSRPSTTKDGRRDHSRTVAPVNYVAISKDEHIPSTP >Solyc09g008820.3.1 pep chromosome:SL3.0:9:2243936:2249002:-1 gene:Solyc09g008820.3 transcript:Solyc09g008820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSSLTENESTANGRPGLGDIPENCVACVFMYLTPPEICNLARLNHAFRGAASSDSVWDSKLPSNYHHLLDVLPQRIYEGFSKKDIFAFLSRPVPFDDGNKEVWLDRISGRICMSISTKAMLITSGEDRRHWNWFPTEESRFHVVAYCQQVWWFEVSGSVKFPFPPDIYTLTFRVRLGKFYKRLGRRVCNFEHTHGWDLGPVQYELFTSDGQHAVSEYSLDDSDQDDTSANLKRGDWIEYKVGEFIVSRSDPATEVRFSMKQIDCTHSKGGLCIDSVSITPSDLKARRSKGVSKYQ >Solyc03g006820.3.1 pep chromosome:SL3.0:3:1322862:1326749:-1 gene:Solyc03g006820.3 transcript:Solyc03g006820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKMAEKRKNDGKKEKRESGWPSIKLKLNLEVNRLKDNDLITVENFFTPAESKAFVKAAESIGFVHQGSRGPAYGEAYRDNDRVSMHDPDLADAMWRAGLNRLFSDIKIRGKVAVGLNPNIRFYRYKAGQRFGRHIDESVDIGEGKRTHYTLLIYLSGGPKSKTKVDKDSQDSLSESLVGGETVFYGPRNTLVAEVPPTEGMALVHIHGDKCMLHEARNVTKGIKYILRSDVVFA >Solyc01g104200.3.1 pep chromosome:SL3.0:1:92541395:92542482:-1 gene:Solyc01g104200.3 transcript:Solyc01g104200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCCIEQFLEGKTIFITGVTGYLAKILSEKILRVQPNVKKLYLLIRAPDSNLAKQRFNNEVIKTDLFGVLREKLGTNLHGFIEDKVFPVVGDIDCDSLGINSELKDEMFREIDIIVNSAATTRFDERYDTAIRTNVLGALHVLNFSKQCSKLMMLLHVSTAYVCGEKEGLILEKTLNYGETLNGSSHLDIDVEQKLVEEALKDLQHRNATEKEVRLAMRVLGIES >Solyc12g088140.2.1 pep chromosome:SL3.0:12:64588790:64589853:1 gene:Solyc12g088140.2 transcript:Solyc12g088140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNNSSSSSRPTIAFPLGLALLVLVLVCITGLFSCCYHWNKLRSILCYDDDQSSSDDDSIVSSNPKSNQVKEHKNCSESLTILMPGDDVPKFLALPTPLCSTLRIETLV >Solyc05g042188.1.1 pep chromosome:SL3.0:5:56312857:56314844:-1 gene:Solyc05g042188.1 transcript:Solyc05g042188.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRTIQYKKDQVLLIEIHGEGTKVLNAFDVVVKLLRKFLVHHSMIPYQPSRPASAAVSDYQDPYLLDHGTAVDSKTLRSTLPRYGQDIVKKPLGKCFYPFSFSDHKAYASTAGHKFAEQVGNLLLCKGAEYLKKSLLRLRVPHQRIKQLNTLLRSPLTTTKSQPQECMGRVSLSLVLFHFMETLILHHLRFHIK >Solyc09g009450.2.1 pep chromosome:SL3.0:9:2885889:2889126:-1 gene:Solyc09g009450.2 transcript:Solyc09g009450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSLTLLQMDLPGPNGHVVMTEEQQYEFLKLLAKVISKDYEFGSTFQEESWSIVTNQMQGMNPHLSVDHLKAKLRIFMRKYWLFSTLLARRGVQWDRRTNSMTYARPGVWISYSLEHPKGYPFRYEGIKPRLFDAMRDVFEAGVAID >Solyc11g065197.1.1 pep chromosome:SL3.0:11:50844962:50853499:-1 gene:Solyc11g065197.1 transcript:Solyc11g065197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVKGITRNARKYKKIQKFESVVICQQIRTSKKNETMLKSKLLRWVLASASLVLVLILFMLFVRIQLLVTNRENLNVCYHYLLLISTRICLKKPIPITFFLEILTTLLHNK >Solyc11g067280.2.1 pep chromosome:SL3.0:11:53309008:53316009:-1 gene:Solyc11g067280.2 transcript:Solyc11g067280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQPKGVPSSSLAHNNAAVNSQSLDCGGGSMDPSCGGNNSSSLASKQRLRWTNELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKNSDKKEPRDMLSSLDGSSGVEITTALKLQMEVQKRLHEQLEVQKQLQLRIEAQGKYLKKIIEEQQRLSGVLSDVPGSGVTALPTGDNGPESDSRTDPGTPAPSSEAPHVDKPVNAHTSTKSLSMDESFSSHHSPLSPDSDCQETSLMESPNGESSSKKQRVGNVALPHQILESSVSPPYQQPHSVFMMRDQFNHTSGLSLDIEDHKVSGSNI >Solyc02g084000.3.1 pep chromosome:SL3.0:2:47777550:47783084:1 gene:Solyc02g084000.3 transcript:Solyc02g084000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSPNDSEHGGNESDPRLHLTKIANPSEDFEKKTSSKRLKDVEIYVPIVFGTIAFWLGKKATETQSHRWSVYVRGATNEDLSGVIKKVVFQLHPSFNNPVRVVESPPFELSECGWGEFEIAISLLFHDDVGEKKLDLYHHLKLYAENEPGPQSTKKPIVVETYNEIVFPDPPEDFFSRIQNHPSVVVPRLPTTFKLPPAPIEDLHALKRGDTKNHPLSQWFINFSEADELLKLTAARQRVQAHIVKLRRQLSVMDELPQTFKLDSD >Solyc07g049740.3.1 pep chromosome:SL3.0:7:60214055:60220417:1 gene:Solyc07g049740.3 transcript:Solyc07g049740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRIWNVNWVVNMKLMRKMRRTFSVSSQSAVDFASKDGELRVFIVAGEVSGDTIGSRVMGSLIKLSPLPVRFAGVGGKMMSKQGLNPLFPMEDIAVMGIWELLPYLNQFRVRLKQTIEAALSFKPHVVLTVDAKGFSFRFLKHLRATCVQQGMVSPLHFHYVSPSFWAWKGGEARLKGLLQFVDHVLCILPFEAEVCRSNGLAATFVGHPTLEDIPECQGKDVTERRHRIEGNAEAFLTGFGISSGSPVISLLPGSRLQEVTRMFPIFSKTLEQLKGSFPNLVAAVHVAPNKHVEDYISKAVRKWPSSVVLVSGGSHQMKYDSFSLNSFETYRDQNDSILKYGVTGKRGKSLGGIHKASSVALCTSGTVAMEMQLARLPCVVAYRAHLLTEWFIRYKAIIPYISLPNILLDSAVIPEALFQECTPSKLASFLKDLILDDNLREKQIIAAEKVIKLLRPPEISLGFSTRVEMSIPLSDCTPSMVAAYAVLYYQKKLE >Solyc03g111880.3.1 pep chromosome:SL3.0:3:63962181:63966641:-1 gene:Solyc03g111880.3 transcript:Solyc03g111880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNMFISLQWLENCTNLSYVLAFSLLISFLVMSLLMSKSKTKKNLPPSPPKLPFIGHMHKLGVYPHHSLHKLAQQYGPLMFLKLGSVNTLVVSSAEAASEIMKTHDLVFCDRPKSNVNKKLLYDFKDVSVAPYGEYWRQMRSICVLQLLSNKRVQGFRIVREEESALLVKKIKERSPEAVNLSELFMTLTNDVVSRAAFGRKYSGGESGEKFRKLMKEFVGILGGFDFGTFLPSLAWIDRVSGLEAKVDRVAREMDEFLEGVVEEHLDSHKRVKELLGDKVENENREDFVDVLLGIYKQDMVDGFSIDRDGIKALIVDIFAGGTDTTYTVLEWAMTELLRHPGAMKKLQNEARGITKSKNEIVCEDDLDKMHYLKAVIKETLRLHPPIPLLVPRQAREDVVVMGYDVGAGTMVITNGWAIGRDPEIWDDAEEFKPERFLNSSIDFKGHDFGLIPFGSGRRGCPGISFAMATNELVLANVVREFDWELPNGAKGDHLDMTECTGLTIHRKVPLFAVATSNTS >Solyc01g080520.3.1 pep chromosome:SL3.0:1:79612041:79621861:1 gene:Solyc01g080520.3 transcript:Solyc01g080520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFRLPEEIVQVLPSDPFEQLDLARKITSIALSTRISALESEADALRRHLSERDDIINDLNSQLDSLDSSLADAADRLSRAEQEKESLVKENSMLSNTVRKLNRDVAKLEAFRKTLMRSLNEEEDSSAGAPGFAKNGQNQSDQDSSSPASIPPQRTQSTEVGYSHSEDAESETSRPRISPGLLLASQTSTPRLTPPGSPPSLSASVSPSRSPRPLSPRRHSISFSTVRGMYDDRSSAFSTSYNQHGSMSSLEAGSQTAPDGLCFIRSRLSYEQFGGFLANVKELNSHKQTKEINGNYPRTFGFSMMLNCSFRNDIEESDLNETGQRNGGKSLFLACKIENTCNNVDEEESDEELFKVGPKLLLCASSISLTAEEFRSLSMGEDHDMDEDSTREVIKEDKVCEESIREASENQTDQSETTTSKPSTSDSDDNLIGEGGYSEVYKGLLKDGQPVAVKRLTRGSQEEMTSDYLSELGILVHVKHANVANVIGYGVEGGMHLVLPLSPHGSLANMLSDDRGKLTWQVRYNIAVGTAAGLAYLHEGCQRRIIHRDIKAANVLLTEDFEAQITDFGLAKWLPDKWTHLNVSQVEGTFGYLPPEFFMHGIVDEKTDVYAFGVLLLEIVSGRPALDEARNSVVMWVEQMLVAVDGILQSKKKFQRWPPLKRRCAVELDALLESP >Solyc08g029402.1.1 pep chromosome:SL3.0:8:36345759:36351073:1 gene:Solyc08g029402.1 transcript:Solyc08g029402.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKMKNINVPTKRTRKTRKVCTLFKEIPVKFSLSFQNNVGVLSIEVFTSSYLTLFQVKVSFELITLRFYHGDHGGGVYEFLDVDVDRVSYFELRDCLKEVGYEPRQYVILECFVCHFAAEQILVPPSLEYGDSVVGPRNTPLQVRNRRKKGPNVGYDESTGDNRNSLEANFETDPDICCTKYVVAEHVAIEMHINEPTRVRVRCTIGCPWVYLLPIHKCDPTNRNKLCNSKFLSMCRRAKNKILDYKDELLRTNPGSTCVVKLHEKTYENDRKMFQCFYICFDGMKKSFLVGCRKCIGLDGCFLKRISKHKLLVVVCKDGNNQMLPLAWAVVEDKNKFTGAWFVKLIKEDLQLGDGTYITVISDISILDHLPNVEHKMCARHILADWPQRWRGIERNKCFRGVIDHLLYINPKRWNNNMAEYFKSWILEARHKTIITMREFCNTMICDISPMAMKVLQENTVKSMKCNKHVVEIQRQTCSCRAWMLKGIPCPNVIAALHHRKLDPINYISHWYNKETYMKTYNYFIYSVISPRVRNMEGNPTKKRKKDQSETSKTGKLSKRGTQMSCSTCHNKAHNKRKRPLGAPASGLSAPASDLRPSTGPNVAPSASPSSRHLTGPAAGPCSKPHSSPTVSPTLTKTAGPRATPDVEPRVTPNAPTRRGSGTPRSSIEKCFTSRRRMVGMCVVHTQGGANIINICFFLFVYLNIIPGMPSQRFRNVKSSVVVTGDLGHIPTCGVIWKGKQVMISSQLEQMRGENKWRDNVTVLVLF >Solyc09g064240.3.1 pep chromosome:SL3.0:9:61612750:61623962:-1 gene:Solyc09g064240.3 transcript:Solyc09g064240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGHMLPSGNVAGFNCRTLFQSFAPTLTTTTRNFSVKMSIPTTQSSILLPEDRIILGCGAVSVDFLAAVASYPNPDDKIRSTSFEVQGGGNTGNALTCAARLGLTPRIISKVADDSQGKGLLEELEADGVNSSFMVVSEGGNSPFTYIIVDNQTKTRTCIHTPGYPPMIPSDLSQSNLLSALDGAKLVHFDGRLHETAAIVAEEANRRGIPILIDAERKREGLDYLLNFASYVVCSTKFPQEWTEASSIPTALVSMLLRLPKVKFVIVTLGKDGCIMLQRTEMDNLLPEEMDVEVLFKKLNLEKDTNATLPACISSNVARLHAKGIGTITGKLLVGTAERIPETELIDTTGAGDAFIGAVLYSICANMPPEKMLPFAAQVAAIKCRALGARAGLPRSTDHRLSPFLV >Solyc10g037950.2.1 pep chromosome:SL3.0:10:18147993:18149896:1 gene:Solyc10g037950.2 transcript:Solyc10g037950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVIDLAPYLEICSDELDSHIKSMCLEVSRTLRETGALLVKDPRCTAEDNDKFIDMMEKYFQQPEEFKRLQERPHLHYQVGVTPEGVEVPRSLVDEEMKEKLKAMPKENQPSSPSGPDPKWRYMWRVGPRPKITSFKELNSEPVIPEGFPEWKCTMDSWGYKMISAVESVAEMAAIGFGLSKDAFTSLMQQMLFCAVTQEHPLHPQREGRQM >Solyc03g025820.2.1 pep chromosome:SL3.0:3:3236325:3247687:1 gene:Solyc03g025820.2 transcript:Solyc03g025820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRFIGKDNFNSAQFPAGDFLRLPLPHLPTPNASIFEHLHCFDGLPTLSIDVEIERFPIEKTLSRFFSYVFPHRIDVELAEFADPRPFAGKLVDEQGSCSFEETSGITTEGSLVSYGKGKDNLEMVQFEIPELDSSSLQLLEDIPHFGKENMLFPSEISDDGNKLDMPGFEVRLQHSLDTLQSICSVDDICSVSFMDSVSFLEKSSDWLEDSGSSQGKHHSSTYAFPLLEVDEAGLGIVGENSIKEKVLIFENIELQRETPGSEGMGNINELLDSTKFDTLQHLSNDSLPTDCLEVENFSLEMNLISIIELEKNSVIHQGRENDGPIWVGSPIIFDELQFFDSDLYTFCELLSEVKVDVEDTCDLMLREAENFRNFDELIVSHELIPVDNSFRSLPVPLISENGNIKSLHLCIKEILSELEPQSSSMSDGLYLDWHFMEEEKCKCREDFFNLLGEKDANNIDLCLNFIDNEMLVSDFLFSSDSPQEPNRVESKEMLSLPSNATAVSPMPYNVEVPTKLLKDGKFSTEGVSSQCNSKKASLFGDSWSEFNDLDFFLNPKEYGRDKDYKPADSSIDTNTMGQSRFLSSDTTLVQPQQWNIKVHQILLSSDILLLIDDLRKIFQVIFERRRELIETQDSSQAVDDIAILRLSKKKLINLIKKRTLCRTSLFQDGDNTISLVTLCAIKQMVWYLCYYDLHTTYLYIEKLYRRLQEFNSKLDFLYNFIKDVHQKGEKDIHKFHPSLSVIKDVLQSFVSKGSSKILVVAEPVFWWSLKKLLTSMNIAFSHPHNGQKNDFYKLEDASMQMISHCCLVTQEHLSASFPFEKFEIILEYGGSQGSSKVSSILPKSDRVPPLHFLKVELEDPSVAKALCDGVDMPNTDEPSMASLCLLLDGGGPHSFSALNEIDVTVEELLNFLPVEKNLKGASVETLLGNEACSAAAQHAVFSLGSEQNRGSTDGCPETIIIVNTHNFDKEMVIARRTAYQKILALEKKGVQVVERDLRQPVDIIVSASACLAWYDCKNIAKKATAPDEAFSCLPLCVENIAASILTSLSFAFSGCILVFEGESDFISGIMESSDELYAAAASLGMDIQIFYSYSSEMTDEIILSCIELSSRTSRGLYSKMPESQTLAESFLTAFPSINSLSAHAILSSAGLLAEFLGWTHEHRTYAVQKYQVPDESIILLSALSRFGEREDSKSVMTDCSSSVSSAPDSESLHFKRTYGRTKQKTTWDIENLNIPTREVYDLDPPRTFSEGRLHPRASGLRDSLISDDINFFDEFGKSSLSFDNEPCVHRQSLDTYPTKDLFKVTDLCDNQITNNSQMGGGDINKFRAPQIDVCLHPREEVDLGMMNQLGRQNNYSGNFTDHIAGEVINIDDTVGSGKAFHNAKYKSFSTLVHAMETPTTGVPTAAKKLLFGASNLEFLNTVEIDSSLDACTSVRDLGQGMGQHLNAGFNHKKIQFKHQKWVPDEGISQKRMQPVMAKQEKNAASHGETPLSNALQSTPLQQGSPWTIEFLNRIREKSRSRQQSVPCDLSAPCYGYPGKPSKVTKRKSPSTLELYKYQGNSFQEAVTRRKRRMKCMQLPASSSEKASDRPVSSWTPVDKRAKRELSFATSGNGGQTKLVWNDKNSHTLGRRY >Solyc07g065590.3.1 pep chromosome:SL3.0:7:67418926:67422732:-1 gene:Solyc07g065590.3 transcript:Solyc07g065590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nicotinamide-nucleotide adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CHN7] MSSKTDIALPLDKLSLDLIKQMEGQLSPEKRKRTYAVLVSTGSFNPPTYMHLRCFELARDALTSEGICVIGGYMSPVNDAYKKKNLISAEHRVAMCQLACKSSEFVMTDPWEASQDSYQRTLTVLSRIKSAISGGSLTSTNDLMVTLVCGSDLLESFSTPGVWIPEQVRAICRDFGLVCIRRSGQDVEKIITGDEILNEYKKNIRVVDEVVPNGISSTGLRDCISKGLSVKYLTADEVIDYIKQHNLYKGQ >Solyc02g094340.1.1 pep chromosome:SL3.0:2:55531865:55534361:1 gene:Solyc02g094340.1 transcript:Solyc02g094340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKNDIIKNEVGSEITSFKDQNSYIKLLPEDSLASSESKKVTPISSDFELDCGSLIPTSLTFPPGGGDDDDVEIQSPDNSIWESFFADQLEADFMISSPVRNLSSTSTFCTTTTTHNNNTYTHHNQGIHGQSMMMCSPPRSPLRPNNYNSTNKGKGLSPFQKVFNSPNNQFMQIESFNLPALESFLDDDLASEYSTLKVSDVGSSSESLSVIPDFLECLALPNSSSNTSASFMGSLLSNTSVGQVDDEIFQTGSIAPLSQQLHQERHHEKQQKQIPTHVQLPSTQQQYTQMINHNLVVAAPDQMQEQDSGLQLVHLLLACAEAVSKEDYMLARRYLHHLNRVVTPIGDSMQRVASCFTEALTARLAATLATKPSTSVPKPFNPFPPNSLEILKIYQILYQACPYVKFAHFTANQAIFEAFEAEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGSYPEAVRETGRCLTELAQSLHVPFEFHPVGEQLEDLKPHMFNRRIGEALAVNSVNRLHRVPGNCIGNLLGMIRDQAPNIVTIVEQEASHNGPYFLGRFLEALHYYSAIFDSLDATFPGDSSQRAKLEQYIFGPEIMNIVSCEGMERMVRHERLEKWRRVMEGKGFKGVALSANAVTQSKILLGLYSCDGYKLTEDNGCLLLGWQDRAILAASAWRC >Solyc03g005170.3.1 pep chromosome:SL3.0:3:100141:111934:1 gene:Solyc03g005170.3 transcript:Solyc03g005170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSVRSGGGVLKKKSSSGCLIIKRKDDRLGIGGISSSGASQKVKNRPKLVMNEYESSEEISESIQRKNGQVFSNGSVFYGRSGVRDGEFGRNMNLSNFNKHEECDTKMQSNVYGDDRFNMVERRGGSREFGTESTSVMVEKRKLSYMDISSSFSGSRSKGDGGGFKRRCGLLDDGVHMPMSLPREASHESIRLQGKNGVLKVMVNKKKIDFRPKEYDPVEIEGRKGSSSADVVKRNFQVRPSFYWGPKRPEKQPLLFQTEGNELKPQKPLSGKSTHLVASEKDETDTSLKLAPPSLQPASSAMCVLKEESRPLASEDVTPAKRKDGKVNRGGSTEKQKLRERIRGMLIEAGWTIDYRPRKNREYLDAVYINPSGTAYWSIIKAYEAFQKRSEVDSGKSKPDGSSCSFAPISDDLINKLTRQTRKKIEKEMKKKRKDDDQRQDPKQTFVNESVLGICSDQREKKFNNYIMKTDKLLQGKLHASDQESGDNSSDNSLKVRRLVQDMAGKASVGVASNSIHGRRSKLIGRCTLLARHSDKGEYSDSDGYVPYTGKRTLLSWLIDSGILKLRQKIQYVNRRKTTVKLEGWITQDGVHCGCCSKILPVSRFELHAGSKRHQPFQNIVLESGASLLECLVDAWNQQKESDRQNFYNIDIDGDDGEDDVCGICGDGGDLICCDGCPSTFHQSCLGIQILPTGLWHCPSCTCKFCGAASRNPAEDSETVVHEFLSCSLCEKKYHKSCSLEMNALPAISNNPSGTFCEQKCQELYDHLQNILGVKHELEAGFSWSLIQRTDLDSDTSHYPFPQRVECNSKLAVALAVMDECFVPIVDRRSGINIIHNVLYNTGSNLSRLNFRGFYTAILERGDDIISAASIRIRGTQLAEMPFIGTRNIYRQQGMCRRLFDAIETVLSTLKVEKLIIPAISEHLHTWAKVFGFDELEESNKQEMKSISMLVFPGTNMLQKKILKKDVQEACVLQQSHPPSPVLVEKTDQESSLRRGGHLHDGVCVNIVEKPDDRLGPMDSDSPVSAIQLSDSSVVRAEGGCCKSDTQVSSKEVEKNFAESATKWMLSSPPYGTSDSRPDTEDAALGPGNIVNSGIEPINVKV >Solyc02g021650.3.1 pep chromosome:SL3.0:2:23846648:23867231:-1 gene:Solyc02g021650.3 transcript:Solyc02g021650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWNYVVTAHKPTNVTHSCVGNFTGPQELNLIIAKCTRIEIHLLTPQGLQCICLQPMLDVPIYGRIATLELFRPHGETQDLLFIATERYKFCVLQWDTEASEVITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLYGCPKPTIVVLYQDNKDARHVKTYEVSLKDKDFIEGPWAQNNLDNGASLLIPVPPPLCGVLIIGEETIVYCSASAFKAIPIRPSITRAYGRVDADGSRYLLGDHNGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVFIGSSYGDSQLVKLNLQPDTKGSYVEVLERYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSATDDPYDTFLVVSFISETRVLAMNLEDELEETEIEGFNSQVQTLFCHDAVYNQLVQVTSNSVRLVSSTSRDLKNEWFAPVGYSVNVATANATQVLLATGGGHLVYLEIGDGVLNEVKYAKLDYDISCLDINPIGENPNYSNIAAVGMWTDISVRIYSLPDLNLITKEQLGGEIIPRSVLMCSFEGISYLLCALGDGHLLNFVLSMSTGELTDRKKVSLGTQPITLRTFSSKDTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNVAAFPDSLAIAKEGELTIGTIDEIQKLHIRSIPLGEHARRISHQEQTRTFALCSVKYTQSNADDPEMHFVRLLDDQTFEFISTYPLDQFEYGCSILSCSFSDDSNVYYCIGTAYVMPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWASREDGGSRELQTECGHHGHILALYVQTRGDFIVVGDLMKSISLLIFKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERSRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHDQYLFLEKLQTNLRKVIKGVGGLSHEQWRSFYNEKKTVDAKNFLDGDLIESFLDLSRNRMEEISKAMSVPVEELMKRVEELTRLH >Solyc05g052190.3.1 pep chromosome:SL3.0:5:63316507:63320750:-1 gene:Solyc05g052190.3 transcript:Solyc05g052190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTNQIVIKRTGKLGFQSAVKFRGGGFNSGFTFIEFGDRSMEKELVELFEKVKRTADEAAVDGGADSSPEESRCLDVLKQLKKFPVNYQVLVSTQVGKRLRQLTKHPREKIQALASDVVQNWKNIIVRETLKSKNSNGVNGESVKDESAGATANGATKSQRAESVKVEKVSRVDDVKVERMTSKIEEVVNTESAFAEKKVERVIVLKTEKSSSNVVGAAPPKLGALIYCKDSVRDKVRDSLQRLYAKSQVKLTRN >Solyc06g034160.3.1 pep chromosome:SL3.0:6:23815679:23824706:1 gene:Solyc06g034160.3 transcript:Solyc06g034160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLSQLHGAFKGTVERITSPRTVSAFKEKGVLSVSEFVIAGDNLVSKCPTWSWESGEPSKRKSYLPADKQFLITRNVPCLRRAASIEEEYEAAGGEVLLDNEDNDGWLATHGKPKENNGAEDDNLPSIDASEINKKNTIQSIPSYFGGEEEEDIPDMGDYEEADNLIETDPATLQTTYLVANEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMLRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSST >Solyc06g010100.2.1 pep chromosome:SL3.0:6:4925333:4934144:1 gene:Solyc06g010100.2 transcript:Solyc06g010100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLEMLVIDEAAQLKECETAISMQLPGLRQAILVGDERQLPAMVHSKICEKANFGRSLFERLVIVGHKKHLLNVQYRMHPAISLFPNREFYENKITDGRNVKEAMYEKRFLKGNIFGSYSFINISNGNEQYDNKHSTRNMSEVYVISEIVANLHKESVTSRRKVSVGCISPYKAQVFEIQQKLGHKYSTDVNSHFSVNVRSIDGFQGGEEDVIIISTVRCNGSGLVGFLSSCQRANVALTRARFCLWVLGNAKTLVNSGSIWKQLVIDSKARGRFFDVNEDKSLGQAILSATIEVGQIETLLTMNSPLFKTSKWKVLFSEDFSKSIARIKDVAMRKEVISLLEKLSSGWRNPGNLNLFGNSGRSSYELLKIYSVKHLKLIWSVDILLENLTYFQVLKFWDILPGHQISRLVKVLDVRFDTYTIDKMNRCKHKLVERNLTLPMTWPIDGNDNSRKNSAQSDLEKNSAHQLASSFRCFLASSRKMQVSKSQDVSDEEFEDPNQMKIRCPCGSSSQTEPIIQCEDRRCRAWQHVDCVIIPETTIEGVAQQNTPETFYCELCRLSHADPFWETIDNPLYPVKFGFTSAPAEGINIEQKIEKTFQLGRASRYLLAKQEYDLQAWCMLLNDKVQFRMQWPQNVDLQVNGVPVNCIDRSGSQLLGANDRDDGVIITRCFRDGINKILLTGCDARAFCIGVRLVERRTIQQILNMIPKEADGETYEGALARVCRCIGGRTATENADNDCDLEAVVAGFVPVNLRCPLSGSRMKIAGRFRPCLHMGCFDLQVFIELNQKSRKWQCPICFNNYSLEHLIIDPYFNRVTSQMQTCGELVTEIEVKPDGSWRAKAEGYLRQWHLPH >Solyc05g010280.3.1 pep chromosome:SL3.0:5:4442423:4449342:1 gene:Solyc05g010280.3 transcript:Solyc05g010280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLEGTFLDWEYESYPSYEDFAVLPLFALFFPSVRFLLDRFVFEKVARRLIFGKGQEVVENETDDRRRRIRKFKESAWKCIYFLSAEVFALVVTYNEPWFTNTRYFWVGPGDQVWPDQMYKSKLKALYMYTGGFYTYSIFALIFWETRRSDFGVSMSHHVATAILIVLSYNIRFARVGSVVLAIHDASDIFLEIGKMSKYSGAEALASFSFILFVLSWIILRLIYYPFWVLWSTSYEVLQTLDKEKHKVDGPIYYYIFNSLLFCLLVLHIYWWVLIYRMLVKQIQARGQLSDDVRSDSEDEHED >Solyc07g061700.1.1.1 pep chromosome:SL3.0:7:64792979:64793161:1 gene:Solyc07g061700.1 transcript:Solyc07g061700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYQGGKHFFLCVNKLLARVTWLLIIRTFSLLFFLIFTLKVNNFIRSTAPYILIVTFSY >Solyc09g074365.1.1 pep chromosome:SL3.0:9:66588803:66589755:-1 gene:Solyc09g074365.1 transcript:Solyc09g074365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELQREEERQEEEQQSEESVKLFVGQVPKHMTESQLVEMFQEFAIVDEVNIIKDKTTRASRGCCFVICPSREEADKAVNACHNKKTLSGLVECRTICSVMAL >Solyc02g036130.2.1 pep chromosome:SL3.0:2:30539814:30544235:-1 gene:Solyc02g036130.2 transcript:Solyc02g036130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GELKPSRIMLTWLGPLLKKTFFGECLVHDRLQKNERSKYCITCDSDLCRYCISTNKHNDHDQLKIYRHVYKDIVPLEQMKMYIDCKLIQTYKCNKKWIIALNPLPHSWSGSFIACQSNVELDITESACSCVCNVVAVNSFALDLACQICASSSALRQNAFQTSTSFSFSCRAIDSSAVEAKWGKIAKTKQKRKRKGIPCRAPLK >Solyc03g098730.1.1.1 pep chromosome:SL3.0:3:62441486:62442226:-1 gene:Solyc03g098730.1 transcript:Solyc03g098730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTPFLVAIILSTSFLFLVKAQNISEPVLDISGNPLVKGSQYFIVPVSGRSNEGGLDVSSIRNTVDTLVVTQNAQSSVGKSLRFTPVDANENIVRLSTDLNVKFMNIIFSDNMSTVWTINTRLIPQRNLVSVGGVEGNPGRDTLGNWFKIDKYEEAYKFVYCPGVCETCRPFCGDIGILVEPNNKRVLFVGSDKPLKVTFENTTSVVTVTKAPPTPSASHPDRLSTMSFINLVMCIVIAYLTKLM >Solyc02g084250.3.1 pep chromosome:SL3.0:2:47961944:47973505:1 gene:Solyc02g084250.3 transcript:Solyc02g084250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLLKFLFKCRAKFSEECICNPQLADTDGSSIVRPSVNKQGLWCMCCYRHPRPATVRWMAMVSITCAVAANFTTHKRNIDYSVSLLSGDDGRSRSIFRSRSIFRSATSKYCHSDDMHAFPASFGLKGDALSSTSDVSLLKIVTKTHGKILTGKYLHFGIDNCRQDVGTTGISV >Solyc12g013970.2.1 pep chromosome:SL3.0:12:4802187:4824333:1 gene:Solyc12g013970.2 transcript:Solyc12g013970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQELAEREYNTLSSQTASQIFWKTGTLSEPIPNGFYSVVPEKRLKERFEDIPTLDELHALELEGFGADVILVDSKKDKKLTMLKQLIVTLVKGLNASLAAMIKKIAGLVSDVYKRPNSETSHAKAALEEASQISDNQGIQMLGQIRHSSSRSRAILFKLLADTVGLESRLVVGLPAEGASECAESYKHMSVLVVLNSVELLVDLLHFPGQLIPRTTKAILLTHIAAGESDSAENDSCDSPLEPNSPLYGFSERNDTDSSEKDDILQYQRRFEASSNAAGPSLRNTMLRSNTSINRELGFSHSAPNTATTVWRRGRSKVITEPRTASSSPEHPSFRAHGRSMLSGDNRTFRGYSDDVSTSRKSLFVR >Solyc05g042182.1.1 pep chromosome:SL3.0:5:56280976:56282476:1 gene:Solyc05g042182.1 transcript:Solyc05g042182.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKDTENTILPLLPITPSLVFDLKISYRTAEQCIAPENEIPACYDDSLAVMKWVSQKSDSSGANIAHNMMMRASIDEDHKLEVGDSLNLVGMTLIHPYFEENKPDRIWSYICPENPNTDDPRCNLAAHPSLLSKLCCSNILICIAEKDFIRDRGWTYYEALKKCGWKGEVEIK >Solyc06g060240.1.1 pep chromosome:SL3.0:6:38317651:38319942:1 gene:Solyc06g060240.1 transcript:Solyc06g060240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHSKATSHEFCGSFGFGSVCKVCKSFVSILSPKKTHHKPKSNTLQDNHHKVSVKKSGADEDIDDDDEQFTYKLNEGLNKKHQNNEHYYYTSSPKSSPPHSRSSSPSRLSRTISRIFRATSVRNHNHNGGGGPALASTLSRNASSHADLTSHHAAPKSFSRSVSLTSGGAEATSTTLPASFSRSASRKSSTPIMFSSSTGLVKPPPTEKTLECTLDELCFGCVKKMKVTRDAMTDNGLIEEEEVLTIKVKPGWTKGTKITFEGKGNERPGTSAADVIFVIAEKKHPLFKRDGDNVELAVEVPLVKALTGCTISIPLLGGEKMSLTIDDIICPGYEKIIEGQGMPKPKEEGNRGNLIVTFLVAFPTEIAEEQRSDIVSILQDCC >Solyc11g045260.1.1 pep chromosome:SL3.0:11:31528100:31529390:-1 gene:Solyc11g045260.1 transcript:Solyc11g045260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLIWANLCFAWWDGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLAALGWGVGPGGEHPFSIHREIQSSKSIKRPSWTKIQIDQSCWEVLPKGRKRLLPDQE >Solyc08g041665.1.1 pep chromosome:SL3.0:8:26652750:26655297:-1 gene:Solyc08g041665.1 transcript:Solyc08g041665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKQQFEKHKEVSGCSGNKSELERYLAEDIDPDSDDFDILMWWKVNEPRFILAEMVRDVTKGPSVKKTTLHLTNGGTTGTSGKLGYTSIQTSHNSYLEYLGHGGYTFLAELYQHVTYIHGCDECGELVHFARDCCTGRPFSQQGHKSGPKALIQSTKCGAQSSDGGHQGGLGCPLLGRQSGQGGHGHMHTFQRRLEEESLDVIIIGQSKHSFFSRII >Solyc12g098140.2.1 pep chromosome:SL3.0:12:66746442:66747623:1 gene:Solyc12g098140.2 transcript:Solyc12g098140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLKVIIANMSNCISTRFMIVPAGNVLIYRDFVSATRPSQKMKIDKETCQKIIEAAEAVKEGAKEVKSVGEYVKKTVSDSAGNVISEVAKAALEKATEKEEKGAWDKVKDTANDIKNKVVGK >Solyc03g095650.3.1 pep chromosome:SL3.0:3:58260605:58268741:-1 gene:Solyc03g095650.3 transcript:Solyc03g095650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4BIY8] MASTGCIRTCDERPLDETPTWAVAMVCFVLVVISLFIEQLIHHLGEWLWKKQKRPLYEALEKIKSELMLLGFISLFLTVVQDPMSKICIPRSVGRSWHPCDINKHIDDQYLDPCRIKGKLQFASKYAIHQLHIFIFVLAVAHVLYCITTLGIGKLRMRTWRAWEDESKTIEYQFYNDPERFRFARETSFGRKHLHFWSNSPILLWIVCFFRQFYASVEKVDYLTLRHGFAMAHLAPQQEKNFDFQLYINRALEEDFKDVVGISPLLWMFAVLYFLTTTNGWYSYYWLPFIPLIIILLVGTKLQVIITKMGLRIKERGDIVKGTPLVEPGDDLFWFNRPDLLHFFIHFVLFQNAYQLAFFAWSWWKFNLPSCFHKNVTDIAITLSMGALIQVLCSYVTLPLYALVTQMGSTMKPVIFGDNVAYAIRTWHQTAKQRAKDGRPSKNASPVRSRAVSPLRGGSSPVQQKHGQLYPPSPNPSRRRSGGNPESSSRQIFDDGSHEQSEIEITLNDLSLENKLS >Solyc04g017593.1.1 pep chromosome:SL3.0:4:7574820:7576208:1 gene:Solyc04g017593.1 transcript:Solyc04g017593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSEEEKEAKEAQVLTTGKLLNQTKFRDNIGIQERCFRARRTQLQRVSSKKESMARQPKEKKVEIGKVFRRNAELEECAALLSAEAQAW >Solyc12g038427.1.1 pep chromosome:SL3.0:12:51046001:51050623:1 gene:Solyc12g038427.1 transcript:Solyc12g038427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVKAVSSQHHFTVNNVSLVLSMAYCSTGCHPPSIDTAKAKVVGVGAILQPVSSGKQLKENGDNRITEELLILARPPDPRVFCYSGYILNGSRFRTMESELGLKTQNSGVVVKSDEHTGNIDYFGRIRRILEIQYMNNKSVMLFQCDWFEVPPQGRSQSRGYKKDEYGFVCVDITRLHYTSDPFILGSQAQSVYYVKHGQSEKWHSVIRVRQRHLYDLPEQKDEMEQYQLIDLVERGETIQEVELEHDNIRIEREDIDGVSVEAPLNNEEEADLVVIDDIDHENVDDFSDSEIDEDTSEYNEVEDDDWF >Solyc08g041663.1.1 pep chromosome:SL3.0:8:26593695:26594433:-1 gene:Solyc08g041663.1 transcript:Solyc08g041663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSKWFHLTNGGTTGTSGRGRPFSQKGHRSRSKPLIQSTKCGAKISDGGHQSGLGCPLSGRQSGQGGHGHMHALQRRPEEESLDVIIIGTLCVCVLFKGGQRKILWMLSSQEYIVDVN >Solyc05g007540.2.1 pep chromosome:SL3.0:5:2087476:2088342:1 gene:Solyc05g007540.2 transcript:Solyc05g007540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLRSAKLLCLFLLLGCICIAAATATEPKAESFDTYSIGLWPRWWWRIHPKIPSAPTPSPIDNAASPTHMAPSPVDDVVSPPHTTPAPSTSCTKVDGCALDLITSVFKRRITLSTQCCQVLSTISDDCFYTEYTHSKRVPFFLGKVRNYCSHAVDNAVPSPSPVDNVASPTHAVPSPSPVDDVVSPSRMPPSWGPAPAPTTSCIKVDGCASDLITSVFTHKISLSTQCCQVLFTTSDDCFYREYTHSKRVPFFLGKVKNYCSHHHA >Solyc12g038017.1.1 pep chromosome:SL3.0:12:49390472:49393437:1 gene:Solyc12g038017.1 transcript:Solyc12g038017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPSNLFEPIAGIHDRLVTRHDIKDNESMGLLSESLCILSVSSYILFGDILIMPLAQIRLAMLETLSLAKESSRTKYPPTARTAAAIADASLLPALGIDDIRDVSISFHYCSS >Solyc05g013253.1.1 pep chromosome:SL3.0:5:6333158:6334649:-1 gene:Solyc05g013253.1 transcript:Solyc05g013253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKRPNGKLKTCRVHDLLHKFCLEKSKQENFLLHINGFSGEDSFPEMCMDYRLFVHSSEDQIDLWQPSRSNVRSLLFNVIDSDNLLWPHDVSFIFNSFKLVKVLDLESVNIGGTFPSEIQFLIHLKYFAAKTGGNSIPSCIANLWNLETFVIRGLGGEVILPSSLLKMVKMRNIHVTHRASFSLHENMGESLADSQLDNLETFSTPHLVYGEDTEMLLRKMPKLRKLSCIFSGTFGYSEKVKGKCVPFPRLDILSHLESLKIVSNSYPAKLPHVFSFPSRLRELTLSKFRLPWSQILSIGELPNLKILKLLLRAFEGDEWEVNDSEFRELKYLELGDLNITRWSVSEDAFPLLERLVLTKCKCLEKIPSHFDDAVSLKSIEVNWCSWDVAHSAEEIQAIQHDEMANDAFKVTIQPPDWDRNSSP >Solyc09g009780.3.1.1 pep chromosome:SL3.0:9:3262810:3263280:1 gene:Solyc09g009780.3 transcript:Solyc09g009780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSENSVEDSLHIRKLEVTDKEKGFIELLRQLTVCDSVSDERFKERFEEIAKYGDDHCICVIEDVRLGKIVATGSVFIEKKFVRNCGKAGHIEDVVVDSSARGMQLGKRIVEYLAHHAHSMGCYKVILDCTEENRPFYEKCGFKKKEIQMVKYFV >Solyc03g123720.3.1 pep chromosome:SL3.0:3:71967465:71971582:1 gene:Solyc03g123720.3 transcript:Solyc03g123720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDRESSDEEDDRENLIHQNERVNHLSKSPRPSTFQIEDVKDRFALCRRFNFTSGKTYLLAIILPLLVLILYFATDIKALFQTTVTTIKYDGSVNSMRESELRALYLLKQQQLGLFKLWNHTLVNDTSTTHSLESAPGFTLVSRSSIVEDLKDDLLRQISLNKQIQQVLLSSHQLGNSLITSDNSTDPSLGGLGRCRKVDHNLSERRTVEWKPRSNKYLFAICVSGQMSNHLICLEKHMFFAALLNRVLVIPSSKVDYEFRRVLDVDHINKCLGREVIVTYDEFAERRKSHLHIDKFLCYFSQPQPCFLDEERVKKLKSLGISMNKLEAAWDEDVKNPKKRTAQDIVAKFSMDDDVLAIGDVFFADVEKDWVMQPGGPISHKCKTLIEPSRLIMLTAQRFVQTFLGDNFIALHFRRHGFLKFCNAKKPSCFYPVPQAADCINRVLERANSPVMYLSTDAAESETGLLQSLVVFNGKTVPLVQRPARNSAEKWDALLYRHGLEGDPQGLANLNLSNEHISNSLSLTSSNLNFNSIYGKVEAMLDKTICAMSSVFIGSSGSTFTDDILRLRKDWGSASLCDEYLCQGELPNFVADDE >Solyc02g055397.1.1 pep chromosome:SL3.0:2:3144738:3146839:-1 gene:Solyc02g055397.1 transcript:Solyc02g055397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYGFVSVNRRRCLNINEPLVLVSQASQVRFNLEKQKKFWKWIKVYVKQKGQRTLGEQIFVRMFCDRDLEKI >Solyc09g015590.1.1 pep chromosome:SL3.0:9:10206139:10208324:-1 gene:Solyc09g015590.1 transcript:Solyc09g015590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFLLYPKYRLHYVGIFTSSKYRHHEDAETIEPNPSTANVQQWVVPQQLEVAPPLSRTRPTVGRVATSVDRHCLHSLEVKMDHDQFHGKEHTKSREIGEDLVSDNKPKKIHEEIEDMNMEKFELQLDEGDDKKKNEEEEIEDDIGREVKSKELALNKRRKENENKEFLKQLHDDIQRLLRCLYSVFRTSFPLANFDWM >Solyc11g069163.1.1 pep chromosome:SL3.0:11:54064445:54067138:1 gene:Solyc11g069163.1 transcript:Solyc11g069163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWQCPICLKNYSLEHVIIDPYFNRITSQMRSCGEDVTEIEVKPDGSWRAKTESDRRSLGDLGRWHLPDGSLSESPDIESKPKPEILKQVKQEGGSDGNGLKVGLKKNRDGLWEISKPEDQTFSSGNRLRENFGQDVIPMSSSATGSGKEGEDRSVNQDGNGNLEFSNNAFDLEAISLNIDPPYGFGNGNPSIPAGDAEVIVLSDSDEENEPIIPSGAVFNNNHSDAPVVSFPAQPLGIPDSFHDSALVNGGNSCLGLFNSNDDEFGMNLWSLPSGTQGGPGFQLFSSDADVSGSLVDVQHESINCTSSMSGYGLGAESGIGSASLLPETYTDRPNADINDSLVDNPLGFSGNDPSLQIFLPTRPSITSVEAARDQPDVSNGVGTEDWISLRLGGDGGVPGDTAVANGLSSGQQVQTKDTALDSLADTGSDAPVCAASLLIGMNDSRSTKSSRERSDSPFTFPRQRRSVRPRLYLSIDSDSE >Solyc03g033710.3.1 pep chromosome:SL3.0:3:5340870:5344167:1 gene:Solyc03g033710.3 transcript:Solyc03g033710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BFP3] MAKILSLFIMVTSLLVFSPTLCLSSKTNYYGYLYPQFYDWSCPNAKEIVKSVVAKAVTREARMAASLLRLHFHDCFVKGCDVSLLLDSNGPIISEKLSNTNRNSARGFEVIDEIKSALEMECPQTVSCADILALAARDSTVLAGGPSWEVPLGRRDSRDASISGSNNNIPAPNNTFDTILTKFKLKGLDLVDLVTLSGSHTIGNARCTSFKQRLYNQSGNNLPDYTLDQSYATELRTMCPKFGGDQNLFFLDYVTPTKFDNNYYKNLLASRGLLNSDQILVTKNQATLELVKLYAENNEIFFDQFAKSMVKMGNISPLTGYKGEIRKNCRYMN >Solyc11g009090.2.1 pep chromosome:SL3.0:11:3204459:3209793:-1 gene:Solyc11g009090.2 transcript:Solyc11g009090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEHEVYGGEIPIEGDMEPHGADVDMATADDDAVKELDEMKKRLKEMEDEAAALREMQAKVEKEMGSVQDDPASTAASQENREELDSRSIFVGNVDYACTPEEVQQHFQACGTVNRVTILTDKFGQPKGFAYVEFIEQEAVQEALQLNESELHGRQLKVMPKRTNVPGMKQFRPGRFNPYLGGYRSRRPYAAPYFYSPYGYGKVPRFRRPGRFMPYY >Solyc06g073030.2.1 pep chromosome:SL3.0:6:45139542:45141881:-1 gene:Solyc06g073030.2 transcript:Solyc06g073030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEICRLYPKLASYGVENPLYAVIQLAQTTMRSELGKISLDKTFEERDTLNDKIVLAINDAAKDWGLKCLRYEIRDITPPRGVRSAMEMQAEAERKKRAQIFESEGERQANINIADGRKSSVILDSEAAKMDLVNRAQGEAEAILSKAQATAKGIALVSQTLKEHGDAEAASLRIAEQYIQAFSSIAKEGTTLLLPTNVSDPASMVAQALHIYKNLTSKNVGIEQTKLKVSDSFEVINNDSFITDKSTNEDDETDGDDKKDH >Solyc03g044890.1.1.1 pep chromosome:SL3.0:3:10788945:10789742:-1 gene:Solyc03g044890.1 transcript:Solyc03g044890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IMP2 description:Inositol monophosphatase 2 [Source:UniProtKB/Swiss-Prot;Acc:P54927] MEEFVDVAIEAAKKAGEIIRHGFYKSKHIEHKGVVDLVTETDKACEVLIFNHLKQCFPSHKFIGEETTAAASGNFELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIEKKPVVGVVYNPIIDELFTAIYGRGAFLNGKSIRVSSESQLVKALVATEVGTNRDKAIVDATTGRINRVIFKVRSLRMSGSCALNLCGVACGRLDLFYEIEFGGPWDVAAGALIVIEAGGLVLDPSGSEFDLTARRVAATNAHLKDAFINALNESE >Solyc02g073571.1.1 pep chromosome:SL3.0:2:42317378:42319336:-1 gene:Solyc02g073571.1 transcript:Solyc02g073571.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPHVYLFQRLRLIEIFDVKRLQMRYATSVIGGPSTLATFVAVYSLFGPTYPGTYDKDRGVGLKILMSVGLSFAFPIPSQYTDCCRDGEAELPLEFPDGTTPVTCRVSIFDSSAGSKVGVGSMMDKACTPPLPAGSLYMEEVHVKSYLKL >Solyc03g026030.1.1.1 pep chromosome:SL3.0:3:3460434:3461807:-1 gene:Solyc03g026030.1 transcript:Solyc03g026030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLNFRKCRFVKIMSSFQLVLGGLVIFVSLSTLFSFYSVGFFMHDEDICRHFYGAYNGFDIRSLSARVDEVLDKMETLQDKLELMVKHMDKGKVDELSSSYISRFEYKRLLEEDVIKPLSSAQSSLRQIRLPRVETSGSVREDPLINTFVMEEMRKYITPKVNRNGDVNVYGTLMIYNTIGHACVLMKKELEEYMDYEIGSYCKDDWNLAQKLMLNGCDPLPRRRCLARASKLYLKPYPIDKSLWTIPDGRNIRWSNYKCRNFECLLRKNTKKGFEMEKEKVKWVTNSSVPVDFLIKDVLGIKAGEIRIGLDCGVGTGSFAARMREHNVTIISTAMNLEAPFSETIALRGLVPLYATLNQRLPLFDNTMDLIHTIGLIDGWIDLQLLDFILFDWDRVLRPGGLLWIDRFFCNRRDIDDFMYMFLQFRYKKHKWAISPKSKDEVYLSALLEKPKRS >Solyc12g008370.2.1 pep chromosome:SL3.0:12:1801170:1802966:1 gene:Solyc12g008370.2 transcript:Solyc12g008370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELLPAAKSTTSTVYDHTSDPWFKNRYSATEAEKSAVIKANPVPPYLKRAGFRPSKLEDFGDGGAFPEIHYAQYPLDMGRKKDWKAGGKNLPVTVDEHGEVRYDAIVRQGENAKKIVYSQHKDLIPKFVKEDESDEEMDQDEKQKVIDETMEETKAALEKIVNVRLSAAQPKNVPTQSQESKFIKYKPSQQAAAFNSGAKERIIRMVEMPVDPLDPPKFKHKRVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKARSDRLVGVPSAAAHVPSERDSRNVDDMNEDYERARDLPKESRGEREERLNREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDVSEKVALGMASTGTSRGEVMYDQRLFNQEKGMDSGFAVDDSYNVYDKGLFTAQPTLSTLYRPKKDTDSEMYGGADEQLDKIMKTERFKPDKAFSGTSERTSTRDGPVAFEKEVEEADPFGLDQFLTEVKKGKKAMSNVGSGGTMKASAGSTRDGYETSSRTRIAFDKGR >Solyc07g049550.3.1 pep chromosome:SL3.0:7:59974707:59976764:1 gene:Solyc07g049550.3 transcript:Solyc07g049550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACO4 description:1-aminocyclopropane-1-carboxylate oxidase 4 [Source:UniProtKB/Swiss-Prot;Acc:P24157] MENFPIINLENLNGDERAKTMEMIKDACENWGFFELVNHGIPHEVMDTVEKLTKGHYKKCMEQRFKELVASKGLEAVQAEVTDLDWESTFFLRHLPTSNISQVPDLDEEYREVMRDFAKRLEKLAEELLDLLCENLGLEKGYLKNAFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDEQWIDVPPMRHSIVVNLGDQLEVITNGKYKSVMHRVIAQTDGTRMSLASFYNPGNDAVIYPAPSLIEESKQVYPKFVFDDYMKLYAGLKFQPKEPRFEAMKAMEANVELVDQIASA >Solyc11g007807.1.1 pep chromosome:SL3.0:11:2036660:2037852:-1 gene:Solyc11g007807.1 transcript:Solyc11g007807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESECSVVMFWVEHKGKLRTVAGPPRTLNVNKFAESRASELESLHSIVKERLSNDFRCKRSKRRRTTGHDNRVAKGRVRKKQKLGDENLNKPDHLKNDKKMLPRHVRRRVELKKNSLNGFSTSGDGTKRLRTHLWYAKRFAMTKIWGFYLPLGVQGRGRGSRALLKKLQGGVLVHDASYCSAVQLEGPEAGTYSLFPAKI >Solyc06g065240.3.1 pep chromosome:SL3.0:6:40797459:40807315:1 gene:Solyc06g065240.3 transcript:Solyc06g065240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4-fucosyltransferase [Source:UniProtKB/TrEMBL;Acc:Q8VXF9] MQLKSVNTFAITIMLGFTLIILFFSGFLDFPLHTSSSIPSTKNQILTTISVSEPDPFSNLLSTFKKWDSQVGCAKFRGEHKGLLGNGLLLDSSSGSLQNVDDGELKCNELKMDHVSVLVKGWTWIPDNLDNLYSCRCGLSCLWTKSSVVADKPDALLFETATPPVERRRGDPLRVYMDLEAGRKKSGYEDIFIGYHAEDDVQSTYAGALFHNNRNYHLSPYKNNDTLVYWSSSRCLPQRNQLAKRLLSLLPSHSFGKCLNNVGGLDKALSFYPECIKDSNEAPKWWDHLHCAMSHYKFVLAIENTKTESYVTEKLFYALDSGAVPIYFGAPNVWDFVPPHSIIDGSKFSSLEELASYVKAIANNPVAYAEYHAWRRCGVLGNYRKTRAASLDTLPCRLCEAISKRNGRNAKAS >Solyc01g044303.1.1 pep chromosome:SL3.0:1:43091925:43092603:-1 gene:Solyc01g044303.1 transcript:Solyc01g044303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGSSLELIEEAKEAFQKVFKMKDLGELKYFLVCRLHLNASKKIYSRAYKKYGNDVALRVVRYLKGQPGQGLLFGSSSNSLITAFYDVDWASCPLSRRTVTGYAIKIGEALLSWKAKKIDHAEAEYRSLASTISELVWLLEMLK >Solyc08g047885.1.1 pep chromosome:SL3.0:8:15638381:15643181:-1 gene:Solyc08g047885.1 transcript:Solyc08g047885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSLEMNFIHPLTSFELKYIHPLTLFELNYIQPRTKDITTKHDKPLVQCLLQKRFMMLTHPQHILALHIQQTQSSRKKGFGVSRRNNEPTVMINAGVWSDQNLKLLCV >Solyc11g012000.2.1 pep chromosome:SL3.0:11:4951056:4954198:1 gene:Solyc11g012000.2 transcript:Solyc11g012000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGETGLLLQSNKEMVSSGFSTNESSEEIKKIKSALTWVFLDQSNLWRAGLSWSFFSILTIGVPLVSHFMFACLTCDTMHQRPFDALVQVSLSLFATLSFVSLSSFARKYGIRKFLFLDKLYEDSEKVQQGYTEQLHRSMKILSSFVLPSFIVESIYKIWWFSSGGTQIPYLYNAALSNIFVCILLISSWLYRISISFLVCVLFRLICSLQILRLEEFAQVFEKETDVSSIMMEHLRIRRNLRVISHRFRVFILSTLILVTISQFLALLLTTEPSSTVNIFTAGELALSSITLVTGLFMCLRSAAKITHKAQAVTSLAAKWHACATISSLDDMTEETPMARSVAPQVVYPINSSWDTDEEGDGDDVLDNTNMVPIHASTISYQKRQALVTYFEHNKAGITVYGFMLDRTWLHTIFAIQLSLTLWILNKTIGIS >Solyc11g050930.1.1.1 pep chromosome:SL3.0:11:17012985:17013974:1 gene:Solyc11g050930.1 transcript:Solyc11g050930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4D8Q6] MTTSSPKYLQEDNLSEECKKLLSILPKDKGWVGSYIYNYQGFWTPPRFLQGVIAFQQQFQAEDSDIILVTTPKSGTTWLKSLLFALINRVKHPIFEPNHPLLVNNPHVLVPFLEHDLYIDGRVHDFSTFTSPKLLATHVPFASLPKSVQDSKTKLVYLCRNPRDTFISMWHFTNNLLLHHNDTNSIEEKFDLFCEGVSLYGPFWNHVLDYWKKSIEMPTKILFLMYEEIKKKPKVQLKRLAKFLECPISTEEENCGVVDEILKMCSFKNLRNLEVNTNGRFSTGEAYNLFFRKGEIGDWKNYFTTNMSDKLNHTIEEKFQGSGLKFSYV >Solyc06g053590.3.1 pep chromosome:SL3.0:6:36488750:36497453:1 gene:Solyc06g053590.3 transcript:Solyc06g053590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFISDEEYERCSQDAGLVAVKADEFIRDLYNQLENVKAQADAASITAEQTCSVLEQKYVSLSSEYSALQLQYSQLNSSFEERLSELSQAQAEKQQAFIQSIGKDGDVDRLSTEASELRKTKRQLMELVEQKDLEISEKNSTIKSYLDKIVHLTDIAANREVRVCDLETEVSRCQASCSRLLQEKELVERHNAWLNDELTTKVNGLMELRKAHSELEADMSAKLVDAEKKISECDRCLKRKQEQVREMELKFTSLEQDLLSAKDVAAAKEDQMSGEIATLNKLVELYKESSEEWSKKAGELEGVIKALETHGNQIENDYKERLEKEVSAKIELKEEVACLKNKLAESEAELKKGEDTLKLLPLSYFSSESLPNSAEAGDMVEDDRMVVPSLPIGVSGTALAASLLREGWSLSKMYTKYQETVDALRHEQLGRKQAQDVLERVLCEIEEKAGVILDERAEHQRLEDAYSVLSEKLQHSLSQQAALERNIQEFNADMRRRDRDYAVAQAEIVDLQEQVTVLLKECRDIQLRGGSVGPKNDKSVVSSSLIMFGAESNADDVGRLLSYKDINGLVEQNVQLRGLVRSLTDQIENRESELKEKYEKELQKHVDKATSQVNAVLAKADEQATMIKSLHASVAMYKKLFEEHTVVSSDAQSEKLAEVQRPEVMLLPDSSHEVLGRAQERAFERVKCLEEELSRLRGEIISLRSERDKSALEAQFARDKLDRYMKDFEHQKEEHNGVITRNVEFSQLVVDYQKKLRESYESLNAAEELSQKLKLEVSILKDEKGMLVNAEKRASDEVRNLSQRVHSLQVHLDTLQSTENVRDEARAAERRKQEEYIKLIEKEWAEAKKELQEQRDNVRNLIPEREDALKNALRQIEEMRKEMTSTSHSVAAAEARVVVAEARSADLEEKLQAFQKKVSERADEGGPSSSTELFDNMDSAEEVKRLREEVQVNKNHMLQYKSIAQANEEALKQMELAYENLKVEADRVKKSMEEEALALRKNVDELERECNLKSIEAASATAGKEEAVVAALAEISSLKEDTSAKTSQISNLEAQISALKDDLDKEHQRWRAAQDNYERQVILQSETIQELTRTSQALATLQEESSELRKLSDVLKSENNALKAKWEAELSVLEVSKTEAEKKYTEANEQNKILLDRLEGLYIKLAEKDRVSSGVSAGSTVAEGDDGLMNVVNYLRRSKEIAETEISLLRQEKLRLQSQLENALRRVEVAEASLNSERESSRAQVLSEEEFKTLQLQVRELNLLRESNLQLREENKHNVEECQKLRQAAQKMKTELEDLEKLLNERQADVEACRKEIEMLKLDKEKLERRVSELVERYKNFDLEEYASLKEAASQMQVNLREKNEELEKVKKAMSEQQNLLADLEQDLSRSRTELSQRESRINEILQTEASLRSDVDKHKKLTGLMKKRVESNLLKEKERADSLSKEKDDLARENQALSKQLEDAKQGKKTADAADEQALKDKEKEKNTRIQGLEKITDRLREELKQERSKRLKMQKTIGDSYGAVNQQRSKLSDEIDKHKQALKMLTDEVEKIRQTKGSQTEGTSVDQLLSGTHLDDFTAAYLQAVDDFERVARNELGVSGAGDASAPDASLSASVVPGPAATLPPPASLVTSIPAVGKAEEERRLVLSKITSETRKTGRKLVRPRITKPEEPLVDVEMQDTDESTNSRKHLPPQKAENLDNATLPTQPPIRKRLSAASTSSTSELQEETPAMDETCLDVAQPVLKKSKHLEAPQEGGEDKSVDNVENSESLPTTEEHDAGDETQGLKEEASDIEKDETTLSGEQVEEPLVVATNQAESQVQQESEQLAMDEREEGELIADPEDVGNLDSILSMGSPENLEPQTDDLAGTDEDLLLTPTDPGEIESSQLPDDDKNDEVDATEELAESSEKLNDGGDQVAAETDQALDTVTGEKPSSSPVDSSNSKEGGLDESAAAETEEGKQVSPINRSSRTINLNERARERASIRQAAMLSATPARGRGRVLRGRSGRSGRGGRGPNSGSQG >Solyc07g043473.1.1.1 pep chromosome:SL3.0:6:29054521:29054937:-1 gene:Solyc07g043473.1 transcript:Solyc07g043473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIYFNLDINDVLEEQVKDSIKIEARKLYDLYNANKNLSSENETESSRARFDENNIDDYLEDYLELSHDNRNDFDAYINQNTEPTKDILKWWRDRAKGFSKLVPIVQDILAMHASSVASKASLVKQGFNLENIGIH >Solyc01g056655.1.1 pep chromosome:SL3.0:1:55353820:55355755:1 gene:Solyc01g056655.1 transcript:Solyc01g056655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLELGTGSGDVLVLDVFAARSADFGAIKTVDVTDKENKSTLSTFYWRTVYTLKGIIKVKDTQNACEFCSTVFESDDFLIEKLVLMEIGMDVVTSKLLIPRSREPNNEPSLRKASLMWDCHPREAKGDGQTVEGLLKDKKYKTIVDADIQEVLRMLEGNSLAERLEDIVAYE >Solyc04g025750.3.1 pep chromosome:SL3.0:4:21127130:21130471:1 gene:Solyc04g025750.3 transcript:Solyc04g025750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4BR19] MGVKVAIGEMLPCTSMIIIEACSIFLTIMASTTMSKLGMSSFVFVVYTNALSFILLIPFSIFFHKNNKIEEPLFTFPLVLRAFFLGLVGVTIAQNLAFVGLSYSSPIVACGAANMIPAFSFIIAIILRKIRIDLKRQGSIVRVIGSLISIMGILAMTFYKGPVVKQHSPSFLHLATSPHLFIFTSTHENWVLGCFLFVSASFALVIWNIIQVGTSKKHPHVMKITCLYTLFGTIQSALLALFMEKDVSVWKLKLDMELLVIVLTAIFGSLIRSNVQIWCSRLKGPSYILYFKPVGVPVASTCGCVLFAATFHYGSMLSACICGLGYYTTLWGQLKEDETMKNKKGNVTTSDERVPLLQEQQEEGSQV >Solyc12g056320.1.1.1 pep chromosome:SL3.0:12:63235911:63236750:1 gene:Solyc12g056320.1 transcript:Solyc12g056320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRVKKKSFAIVNRRTSLRMRAEPGPPHSPETHTSDSGGGASSSMDSVKRENVESPAIVTESSTGKRRKVKSEPSTDQIGSVKRENVESAAIVTGSSTRQLRTVKLEHSSSREIGPVDSKNAIVTQSSTGKLRAVKSEPSSPGQIGSVDSKNARQLRTVKFEPSTSGVTGPVDSKRELRTVKSEPSSPGQIGSIDSKNAIVTGSSTGKLRKVKSQPSDQIESINSKKRENVDTKRTVKEVSPTSGVLTRRGKAKMESDTPKKEGNGKNTRAKVTFS >Solyc11g045150.1.1.1 pep chromosome:SL3.0:11:31816637:31816915:-1 gene:Solyc11g045150.1 transcript:Solyc11g045150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQFAKFEKSKERRLATELGYGFPIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTLCRLAKHRLDKRYTSHQPNLDEKKEKQVHP >Solyc01g014790.2.1.1 pep chromosome:SL3.0:1:15046632:15047018:-1 gene:Solyc01g014790.2 transcript:Solyc01g014790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLITYLRSTFVNYDCYAQSAVKANLHEHILHASLDLQRLCCTFEDFEKLDLVSTFRGESGTTFPKVLGDYFESLARERSLLILTPKIPHFVSIRALLEWLIRPRPIKLYPTRLLSELCVTKKIMGQE >Solyc05g016346.1.1 pep chromosome:SL3.0:5:15996736:15999952:1 gene:Solyc05g016346.1 transcript:Solyc05g016346.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPLPTLNKAYSLVINHESQRSIAMSTSVSKVSEVLEGAAFFSKKGSQPNNNGRVFNSTRSFHGGGSNSGGNHNGRVSSSGGSRPQKRESVVYEAKNSSSYDTSQGVVGTAAQSPILPSFTPEQYQQILHLLNKTNDDSSPTIQTANADNKVHLPTGHIVSVAYTGSSKIFSDNSISNVLVLPEFKFNLLSVSRLTRELKCSVSFFPDFCIFQDLSNGMVKGIGREEHVARSNEGIVMCQKKYALELVAENGMSGAKPASTPFEINQKLTSTEYDKHVSSKAEISDGILENHASYQRLVGKLL >Solyc02g077450.3.1 pep chromosome:SL3.0:2:42950536:42956953:-1 gene:Solyc02g077450.3 transcript:Solyc02g077450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKNGALFKDKAKNRVDDLQGMFMNLQSARKESRTHDAGLLEEQVHQMLREWKAELNEPSPASSLQGGSRISSDLYRLLHGEEEDDATSALAAPKPEPDAQKQDVAGFQEDFNATPVMQEQGYQLDDECKNTPLAVNNAGINNEGVATQLDYHSFDLQQDFDQFGFDALNLCLEENLSPIQISPPPSAFLGPKCALWDCPRPAMGSDWCQKSHDYCSDYHAALAPNEGYLGRPPVVRPMGVGLKDSLLFQALSAKAHGKDVGVPECRGAATAKSPWNAPELFDLEVVEGETIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQVINDYGGLKRSYYMDPQPMKNLEWHLYEYEINKYDAWALYRLELKLVDGKKSPKGKVMNESVADLQKQMGRLSADIPLENKRSVKGRGKANSKDVVTNGPPAPNQTVPAIEGFDYVAGAPFPDYLVDNIGGYYIT >Solyc12g096850.2.1 pep chromosome:SL3.0:12:66588028:66592796:-1 gene:Solyc12g096850.2 transcript:Solyc12g096850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMGKDVLPLLLMVIVQLGYAGTAIVSKLVMDEGMDPYVHLSYRQILATISIAPFAYFFERKTRPKLTPFSLFLIFLCSVLGVTAMQMTCIIGLRNSTATITSAMANLIPANTFLLALICRLEKLGLKTKAGQAKMMGTIMCIGGAMLLSLYHGKVVIGKLGFQWKYSQHNNTSKNNSHGNFFNGPFLVIISTMVYSLWLIIQPSVNMRYAAPYTSTTLMFFMASLECVIVAICVNHDDKTAWSLNPIRAISVLYNGIVSSALALYLVTWCIKRKGPLYVSMFLPLQLIFSAFLSWTLLGEKLYTGTFIGSITTIIGLYCFLWGKKKDMNDNTINVKEEISKEKKQLTNFDSEL >Solyc08g081480.3.1 pep chromosome:SL3.0:8:64639298:64651743:1 gene:Solyc08g081480.3 transcript:Solyc08g081480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSPNSSRGVSYGGGQSSLGYLFGDDKKQQKIDEPPPSPTILAPPYGIDDDTNYSQNNSNTTSITYQRSQGQNSGIFITVRHWTRLLLRSDMVWTRSYHSEAIRRVLLLAVVILAAFGNVHGRHRVHKWETVEYPAISCRAHTASLTDFGGVGDGKTLNTDAFRTAVDHLSQFQSDGGSMLYVPAGKWLTGSFNLTSHFTLYLDKDADENDYPVIAPLPSYGRGRDTEGGRFISLIFGSNLTDVVITGENGTIDGQGQLWWDKFHNGELKFTRPYLIEIMYSDNIQISSLTLVNSPSWNVHPVYCSNVIIQGITILAPVRSPNTDGINPDSCINTRIEDCYIISGDDCIAVKSGWDEYGVAFGMPTKQLAIRRITCISPTSATIALGSEMSGGIQDVRAEDIVAINTESAVRIKTAVGRGGYVKDIYVRGVTMKTMKYVFWMTGDYGSHPDDNYDPNALPVIENINYRDMVAENVTIAAKLAGISGDPFTGICISNVTIEMAPKAKKLFWNCTDISGISSGVVPQPCDLLPDQGMEYASPCNFPTESLPIDDMEIRTCSCRKKIYN >Solyc05g053870.3.1 pep chromosome:SL3.0:5:64753040:64758672:-1 gene:Solyc05g053870.3 transcript:Solyc05g053870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTFRSTFHKKTSRSSSSDCNSSRGSTSREISSFPSSFNENFSSIPAKDLSFGSGSVNSKKDSSNCSEKEPGSVNLKKDSSNCSKKETEKTMKDKKNKYYYIPDNFKSVDQVTLALRESGLESSNLIIGIDFTKSNEWTGKVSFNNKSLHAIGNSVNPYEKAISIIGKTLSPFDEDNLIPCFGFGDATTHDQDVFSFHSDHSPCHGFEEVLACYKKIVPNLQLSGPTSYGPVVDAAVDIVERSGGQYHVLVIIADGQVTRSVNTSDSELSEQEENTIKSIVTASMYPLSIVLVGVGDGPWEDMQKFDDRIPAREFDNFQFVNFTAIMKKNTSDSGKEAAFALAALMEIPIQYKAARELGLLGKRTGKAKKVVPKPPPVPYSRRMATPTRHQSSFSGSPQNEQNQACPVCLTGTKDMAFGCGHMTCRECGPRVSDCPICRRRITSRIRLYT >Solyc07g064710.3.1 pep chromosome:SL3.0:7:66874256:66878498:1 gene:Solyc07g064710.3 transcript:Solyc07g064710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRKMVNQIAYTPPEDRLRTLSTLQFSLIPLLSLASTLYGFVLPLRHRLYCLGLLHKDRLPVPVISVGNLTWGGNGKTPMVEFLAVWLAAAGSSPLILTRGYGGADEAKMLQRHLCRTPVKIGIGANRANTAASFLKRYGHISPCKHGDKNLEKRISDNKHGNCSYSDQIGIAILDDGMQHIGLWRDIEIVMVNAMNPWGNHQLIPLGPLREPLTALTRANIVVIHHADMVSVKDVEAIASEIRKVKSSLSIFISRLTPLYFLKAGNMSCKLALRDIRNTLVLCISAIGSADSFVERTKKLGPAYVVRLDFSDHHLFEAKDIDMIRMRLRSLQSEFAMKPVVVVTEKDYDRAPEALMHLDPYEVLVLCSSLQILPHNGNTEDSFKKCLWQHLEVSN >Solyc05g014490.2.1 pep chromosome:SL3.0:5:8352891:8354940:1 gene:Solyc05g014490.2 transcript:Solyc05g014490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRAQEDPVFVGDRTPGEQQKCVNLESDADVNIVLDRISPGTSEDEVLQSLLSDPACDSLQITDNFVNRVLYRFKDDWKSALGAFRWAQSRPNYKPSPELYDKLVDILGKMKKMEKMRSLLDEMHADHLISLNTIAKVMRRFAGAREWKEAVRTFDELGKFGLKKNTESMNLLLDTLCKENRVQQAREIFYELKLHIPPNANTFNIFIHGWCKVNRVEEAYWTIQEMKGRGCSPCIISYSTIIKSYCQQFNFQKVYELLDEMQGEGCVPNVVTFTTIMCFLTKSGAFEEALKITERMKSIGCKPDTLFYNALIHTLGMSHRLQEATRVLKVEMPRNGVQPNTSTYNTIIAMFCHHLQEESAEEFLRDLESSPFFKPEVQSYFPLLKLAFKVGKTDEFLKKLLNDIVYKHHLSLDLSSYALLIHGLCRANKCEWAYLIFEDMVRKEITPRYKTCSVLLQEIKQKNMYEAADKIELFMKKMKAA >Solyc07g051885.1.1 pep chromosome:SL3.0:7:60545001:60548117:-1 gene:Solyc07g051885.1 transcript:Solyc07g051885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGNIHHRPPKNWNLQCDYCKLKGHTKNVCYKLIGYPPGYKGKKKEDFPNANTAQNEDNSKQRYQGETTAYNAQIESVHSDVNAGFNTESSSGRGDFQRAPFLTEKQQDKIKMMLDNDLTSGTLKGIGKESDGLYIMFSQPNDKHSNSSTGEIHRVNVAEKRQEDMLLWHRRLAHPSNIPSTDSPIIENEESVSLPIQSSSSPTPSLVVPPTSPDMILPPSNPVPLRRSHRISKAPLWHVDYVTKKAAHVTYPISAYLSYDNISPSHQRKYALEIISELGIGAVKPAWTPLEVNAKLTTLEFDSLVQREDDNMLEDKTKYQRLIGKMLYLTLTRPDISYAVQTLSQFLQQPKQSHWDAAVRVMKYIKREPALGILLSNKVSNLLTVFCDLDWASCPNARRSVSSFVIKHGNSLVSWKSKKQTVVSRSSAEAEYRSMANVVSE >Solyc04g080435.1.1 pep chromosome:SL3.0:4:64700066:64700814:1 gene:Solyc04g080435.1 transcript:Solyc04g080435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSTWSHHQNKLFENALAIYDIDTPDRWRKLANAVGGKTEEEVKNHYEKLVEDIKRIESGNIPLPNYNGGRNNKGYNFMDEEQRLKYLKLQ >Solyc10g019200.2.1 pep chromosome:SL3.0:10:12282655:12287353:1 gene:Solyc10g019200.2 transcript:Solyc10g019200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSPKYLQEDNQSEECQKLLHLTKRRMMCWIIYLQLSRFLQGEIAFQQQFQAEYSDIILVTTPRSRTIWLKSLLFALVNRVKHHVFEPNHPLLVNNPHVIVPFLEHELYVDGRVPDFSTFTSPRLLATHMPFSSLPNSVKDSKIKHVYLCRNRRDTFISICHFKNNLLFHHNDTNSFEEMFDIFCEGVSLYDPFWNHVLDYWKKNHRKAYQNTFVNEENCDVEDEINLEVNTNGKFSTGEAYNLFYRRGEIGYWKIFFTTKLSDKLNHTIEEMFQEDGLKFSYV >Solyc06g017930.1.1.1 pep chromosome:SL3.0:6:15620708:15621190:1 gene:Solyc06g017930.1 transcript:Solyc06g017930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFAPTRLQLGSFHLLSKVKPWGLMADLKIHLQKLYAQSFWITLASSVLPAITKLVDAYFLDIIISSSPEKKITSRKPSTSPACSFFSDRRSILHLDLNLTKGSTRDQKLLKKQQDLSFVPSKRSKKKEMIYIFKIITYLQNTVLIHPILSDLGCVKEK >Solyc02g092470.3.1 pep chromosome:SL3.0:2:54146531:54157624:-1 gene:Solyc02g092470.3 transcript:Solyc02g092470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4BD43] MRAAGLSIFFILSLLSSFTCQFQDLVVKEKNRRILHQPLFPVSSTPPPDSEISPPPPAEPVNSQPFFPEVPTGTTPDQTHQPQVTPANGTPVSNSVATQTAKPVKKVAIAISVGIVTLGMLSALAFYLYKHRVKHPDETQKLVRRNSDQRINEESRTPPSTFLYIGTVEPPAKTSAMTDSNDATGSPYRKLSSVKRMDSRYRPSPDLQPLPPLSKPQPPPSINSPTAMSSSDEESHDTAFHTPQGSTVSNEEGYYTPSLRESYSSNKNYVPYSKRTSPRSRLSDSSAEVKHTMIPSIKQAPVPPLPPRQPQGGLIEQLPPEPPLQYTRPELYVPKRANFSSPPPPPDMTRLQLISNQAQQISKAPPPPPPPPPLPPPPPPLPFSTPHKPEGSQRNVPSAAYQQMVKTESRSPTPKSTPGSEKTSTSEEQNGGASSLERHDSSDIDPSKPKLKPLHWDKVRATSDRATVWDQLKSSSFQLNEDMMESLFGCNSANSVPKEATRKSVLPPAEKDNKVLDPKKSQNIAIILRALNVTKDEVSEALLNGNPEGLGPELLETLVKMAPTKEEEIKLREYSEDASKLGSAERFLKTVLDIPFAFKRVEIMLYRANFDGEVKDLRKSFQTLEVASEELKNSRLFLKLLEAVLRTGNRMNVGTNRGDARAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEELDSEPPGEDLSNKANIKFKEEDFKKQGLQVVSGLSRELGNVKKAAAMDSDVLGSYVLKLAVGLDKARSVLQYEKQGMQGNFFESMKVFLKEAEDGIVRIRAEERKALSMVKQVTEYFHGDAAKEEAHPLRIFVIVRDFLSILDNVCKDVRRMQDQTVVGGARSFRIVATASLPVLSRYNVKQERSWDDNSLSP >Solyc03g062810.2.1 pep chromosome:SL3.0:3:34487393:34488682:-1 gene:Solyc03g062810.2 transcript:Solyc03g062810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHIEFTRLKLYRVSKKKRKIEVVVMEKRDSSVRRWEDLDINIFLTILMYFDLFRLIYAIPQLCRAWRLSCCDPLLWKTPDLSILQLNLIRIQLKPYIYVDRPSEEKLPDSLIFPNLYVNDHQLTFAVERYSPIKLSSFYCIIIIQIRQLITYPLFRCLQLKRLVIHIWKDLDKEIGTSCKKNSALKIMGPCDMLFASALASFLPNLEVLSLRCTVLTKPSLAIILEELKKLKVVNISHCIITEDHPLKPMRILTELDESILEKASRLDKFLTCMSDSCKYEEDLWKVDEVKSLAI >Solyc05g005530.3.1 pep chromosome:SL3.0:5:372402:375465:-1 gene:Solyc05g005530.3 transcript:Solyc05g005530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGKNGYIIMLILNLFGIIISIPIIIIPFITVNKFCRETSMSMFLIAGIMILTWSLVGLASSICCWKIGFREFVYMRSQVFILFIVVLLGTMAWWQSRTDSRMYTYYDWQTFKNCMVKKQICQRNLGHGWDRFSPFASTTLQDACCKYPKICNSSDNNKSKNENTNSQDPLIWMDTGDGFLVTSNQNKSTETIEDCHQWSSDPNKLCFECDVCKKGYLFKQDQNWAAALSICVINSVVLLSFSCYFYSKNFEEPGGGEKSNSSKVHPSSV >Solyc05g005790.3.1 pep chromosome:SL3.0:5:611270:614020:-1 gene:Solyc05g005790.3 transcript:Solyc05g005790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVQSEIREKYDLLNQIHLLSSPQKDSSTPSSSSGNKISPAVLFIIVILAIIFFISGVLHLLVRYLMKHRWSSSSSNRDPEMSDSGTYQRQLQQLFHLHDSGLDQAFIDALPVFLYKEIVGLKEPFDCAVCLCEFSEQDELRLLPLCSHAFHISCIDTWLLSNSTCPLCRGILFTPGFCAENPIFCFDDSIREECSNGGVSEHGSVVGVGVSPSVKPHEDLDSTISSRRVFSVRLGKFKNTNNSLVENGKREMGETSNSSLDSRRCFSMGSFQYVVDDSELQVALCPNSAKNHGVDGSGGQLMRGIVKGKSGHNGSSANDGDNYGKRIGNKGESFSVSKIWLWSKKDKFHNSADNMQADLDTTVVKYSKIKLREADILASPSLPPKRKGVEGPTNAQQDDNFCLPTDFFFSNGLVVPPTIFICKVHCVEFLIMVGSVKSGVGDFSDEMDVFPSCGDIEISPLGHVVALNYK >Solyc10g047960.2.1 pep chromosome:SL3.0:10:43166079:43171529:-1 gene:Solyc10g047960.2 transcript:Solyc10g047960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRPNTSRRLADAGSIPFISAFHPKSRPSPLLSIGLVVVAWYLFSVASEVLSLCIGGAVDAFSRHEGGVSCTSELQRALPILQKAYGDSMQKVLHVGPDACSVVSTLLKKEGTEAWGVEPYELDETDETCKSLVYKGIVRVADIKFPLPYRSNSFSLVIVSDAVDYLSPKYLNKTLPELARVAADGLIVLSGYPGQQRAKVAELSKFGRPAKLRSSSWWVRFFTQSSLEENEVAIKKFEQAASKRSYQPACQIFHLKPLH >Solyc03g013490.1.1 pep chromosome:SL3.0:3:45856973:45858043:-1 gene:Solyc03g013490.1 transcript:Solyc03g013490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCIIWIHNIHNIDVNESNELSLSLLVNNLSRDFERCSTRNNFFIASTNILQKVNPALIATNKLSTCIKIRRLLITEQFKKFALSYTRVFQLEKKMFDTNGFGSITMGSNARNLVALTNEFEEGEGEGDIDPKGDLFNHIVWAPRIWRCRGFLFNCIERPNEL >Solyc06g072840.3.1 pep chromosome:SL3.0:6:45059572:45060952:-1 gene:Solyc06g072840.3 transcript:Solyc06g072840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQNRGFVSLKKGFLNYINQSFGSDLVRAVHASVYDKNLEESVLVPDEVIKAKSDKYWTPHPHTGVFGPATDHHIGIRAVTASVDSVLEQKAFFRPLEDLEKPAYV >Solyc06g030630.1.1.1 pep chromosome:SL3.0:6:20213034:20213192:1 gene:Solyc06g030630.1 transcript:Solyc06g030630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEVFGMSGVIFKAKLDVKAQMVLRTQHVRSQAAFAKACAERAWTAKYAL >Solyc02g032020.2.1 pep chromosome:SL3.0:2:27784324:27787366:1 gene:Solyc02g032020.2 transcript:Solyc02g032020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFDEQQMALGCEYVHIFSSSKAEYKSN >Solyc09g074505.1.1 pep chromosome:SL3.0:9:66732639:66738112:-1 gene:Solyc09g074505.1 transcript:Solyc09g074505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTVKTSLSIYKKPRNGRSESMKNGLQQKHLFISSFAGDFGVKATEADSKCLKCRDRILIVHEATVTRPLKLRHQHWSCSCHLGALFFKVRTSSFGLGKGPDEKLSTRVDFDLKKWREGKESTFTGTNSVCVGAKPPGSIEEAGIAKRQIKAHKRNYLLPSPQDIQLIRDVSYRIVASGYSWRDLFHPNKPSRATFTDFSSCIRWLCIIDSELGPQEVGSVTKFSKEKAVSKMTINKKELTVIVSNCKSQVVKAAGREEEIIQCDLQADKDCSSLRTLTGKREIATTLIITWEEKGNEKKKSKKDPRVNQWTSTKKNMGMEGRSKGTRDLLQNPKCFNNLFHDFPPTPANTSARHNIFPWTPIRVRLLNPHQHISQ >Solyc08g063135.1.1 pep chromosome:SL3.0:8:52846644:52847540:-1 gene:Solyc08g063135.1 transcript:Solyc08g063135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPKLENIGIREQLNLLGEESAFKEILSYWNSDETKETSHTNKENREKVRYPHTIGKTSFAIISRVTGKDHRGRVRLYRRGVTKTLLKHKRGGSGPSSQTVDDEMMQEKIKELEERMQQRMQ >Solyc06g009900.2.1 pep chromosome:SL3.0:6:4257613:4261474:1 gene:Solyc06g009900.2 transcript:Solyc06g009900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKQKYKKRRNSIFWNSNNIFGKLLIAFDFLVFNRFLSFALISLSHKFSTISSFTSSLMAYDDTGTDEDLPQSHWSRMPRGGVFGTGGDRAAMMYDETDMEVEIHRLEQEAYSSVLRAFKAQADTITWEKESLISELRKELRLSSDHHREFLGRVNEDDVVRRIREWRISCMRGTGQAVHDQIPSSFVRTNQIIAPYLPSRSFSGPSPSFRPPAASAANQPSTSTANRDPMMDPKDQIYSTKMQYLSLGPSGRGQSSNQVSEPAEPVSFDPLIGRKVRTRRPDDNNFYEAVIADCNAAEGKHVLVYDGGSANEMSEWVNLKEIPPEDIQWEGEDPGISHHGNNSQSGRGRDSDPVAVRGLGLTMPQSRTDFPSSHYGIGKKGDDFIVLLRTDMLINEVEKRVFGTSHPDPLEVEKAKEVLTDHEQALVAAISRLDRMISDADSSMNCAK >Solyc03g013308.1.1 pep chromosome:SL3.0:3:47781559:47783946:1 gene:Solyc03g013308.1 transcript:Solyc03g013308.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYHCIPPPQPVLGFPLPVPPPGSVLEPSEYYARGYHSVPAITARTSSTRFSSGGVKVSLSARALSGTTSLDLDVLHLIWTVEKLEHQLNFDRSTLEKRCPPNFFCDKENQEAEAMLSSRGIHGLSMFQ >Solyc06g083340.3.1 pep chromosome:SL3.0:6:48822621:48824583:-1 gene:Solyc06g083340.3 transcript:Solyc06g083340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGASDKRKTEEQKPKEQRPKASENKPVMNE >Solyc11g067010.2.1 pep chromosome:SL3.0:11:53073624:53078261:-1 gene:Solyc11g067010.2 transcript:Solyc11g067010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVKTNRIKYPEGWELIEPTLAELDVKMREAGNDSNDGKRKCEALWPIFKVAHQRSRYVYDLYYGRNEISKELYEFCLEQGYADRNLIAKWKKPGYERLCCLHCIQPRDHNFATTCACRVPKHLRETAVIECVHCGCQGCASGD >Solyc09g063050.2.1.1 pep chromosome:SL3.0:9:61285871:61286230:-1 gene:Solyc09g063050.2 transcript:Solyc09g063050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSLIDFYSKGGDVGSVRRVFDDLLVKSTATWTAIIASCVNVGKSEISLQLLRNMLETDVVPDNYVVSNIFGACSSLKYIKGGKEIHCYVLRRGAKMNVTVSNVLIDFYMKCGEVKTAR >Solyc12g005740.1.1.1 pep chromosome:SL3.0:12:393768:394292:-1 gene:Solyc12g005740.1 transcript:Solyc12g005740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNINSLHVMFLRALSSHPTLLQCPICDEIGHTNDEFMIHFQSHPMREQVKYLAEGFSVLGITTNVVLPPERLERFTRVEMVTPQTTPPPPLPSSPPQQGSPLPSSPPHQGPYPIRGISDGESVNAGSTGIDLNEIPCEPTGSQDVPIQIPFGGPQLIDGDDGTNDEIDLDLKL >Solyc04g077690.2.1 pep chromosome:SL3.0:4:62711221:62712545:-1 gene:Solyc04g077690.2 transcript:Solyc04g077690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSGSECSSGCESGWTMYLDQFSEDQYNNNRRDISHNNIPPYGINYKTEYVNDDEEEEEDEDMSMVSDASSGPPHFHEDYCFDENGYIFYPSASQNTQKNKEKRKINEQKVKKQSIYLDDTASSPFSNFPKDERCYNDRTSMEMVTGFSETHSKGKSVLGKHFGFLKTSVSGRTSSEKSSGLKGRKRQ >Solyc05g007520.1.1 pep chromosome:SL3.0:5:2083886:2084789:1 gene:Solyc05g007520.1 transcript:Solyc05g007520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLRSAKLLCLFLLLGCIGIAAATATEPKTEPFDTYSIGLWPRWWWRIHPKIPSAPTPSPIDNAASPTHMAPSPVDDVVLPPHTAPAPSTSCTKVDSCMIAFTESTHSKRVPFFLGKVRNYCSHAVDNAAPSPSPVDNAASPTHVSPSPSPVDNMASPTHVAPSPSPVDNVASPTHAVPSPSPVDDVVSPSHMPPSWGPAPAPTTSCIKVDGCASDLITSVFKRKISLSTQCCQVISTISDDCFYREYTHSKRVPFFLGKVRNYCSHHHA >Solyc07g042745.1.1 pep chromosome:SL3.0:7:56382502:56383939:-1 gene:Solyc07g042745.1 transcript:Solyc07g042745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQAEMVTGEGGNELTKKSESVTAEDLKKTFSTPQLGKVESMDIVRTKGRSFAYLDLLPSSDKSLPKLSSTYN >Solyc04g054320.3.1 pep chromosome:SL3.0:4:52235328:52242580:-1 gene:Solyc04g054320.3 transcript:Solyc04g054320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-zipper transcription factor [Source:UniProtKB/TrEMBL;Acc:Q94EX6] MNSSTYTQFVASKRMGICDPIHQIGMWGDFKGSSFPDSLILEVENCLENEMPIMEKRLENEIEEPSQVTVGTSNRYEPETTKRIDKVRRRLAQNREAARKSRLRKKAYVQQLENSKLKLLQLEQELERNRQQGLYVGDGLDASQIGCSGTANSGIASFEMEYGHWVEEQDRQTDDLRNALNSQMGEIELRILVEDCLNHYFDLFRLKATAANADVLYLMSGTWKTSAERFFLWIGGFRPSELLKVLTPHVEPLSDQQIQEVSNLTQSCQQAEDALSQGMVKLHQILAEAVAAGTLGEGVILPQMTATIEKLEALVRFVNQADHLRQETLLQMSCILAPHQSAQGLLALGDYFKRLRALSSLWAGRLSEPA >Solyc08g062960.3.1 pep chromosome:SL3.0:8:52442803:52445981:-1 gene:Solyc08g062960.3 transcript:Solyc08g062960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVVKVKVEEDGIPTAVLPMEGLHDVGPPPFLSKTYEMVEDSSTDQVISWSTTRNSFIVWDSHKFSTTLLPRFFKHSNFSSFIRQLNTYGFRKVDPDRWEFANGGFLGGQKHLLKTIKRRRNVGQSMNQQGSGACIEIGYYGMEEELERLKRDKNVLMTEIVKLRQQQQSTRNHIIAMGEKIETQERKQVQMMSFLAKIFSNPTFLQQYLDKHVHRKDKQRIEVGQKRRLTMTPSATGSDQPMNYSSSHQESEAELASIEMLFSAAMDNESSSNVRPDSVVTANGTDMEPVADDIWEELLSEDLISGDRAAEEVVVVEQPEFDVEVEDLVVKTPEWGEELQDLVDQLGFL >Solyc02g005200.3.1 pep chromosome:SL3.0:2:7475854:7479846:-1 gene:Solyc02g005200.3 transcript:Solyc02g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLSCSLPLLQTHKAISNFSVFPFKSVAICLPKCKVKSVHIPSVSEASSISSTNLEEEEDDDPTAELVYLDPEIDPESLSEWELDFCSRPILDIRGKKLWELLVCDDSLSLQYTKYFPNNLINSITLKDALLSISNDLGIPLPDKIRFFRSQMQTIITRACNELAIKPVPSKRCLSLVLWLEDRYETVYTRHPGFQKGAKPLLALDNPFPMELPENLYGEKWAFVQLPVSAVRKEVSNLETRLVFGSSLDLDLLGIEIEDNTLIPGLAVASSRAKPLAAWMNGLEVCSIEADVDKASLILSVGISTRYIYATYKKNPASTSEAEAWEAAKKACGGLHFLAIQNDLNSDDCVGFWLLLNLPPPPV >Solyc01g106690.3.1 pep chromosome:SL3.0:1:94356992:94358687:-1 gene:Solyc01g106690.3 transcript:Solyc01g106690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRIVIGGLALKFPSESSKILPNNSTFDCKIKLRGCSAQSSTISAFVQEREVILDNRIHGCFNFSKSELVKFVEKSNVRGNPSCLKIEIYGGKVGFGYGSKRLLGSVVVPLDLKSLENIGHRGFVIHNGWVSVGCGSTAELNLNVRAEPDPRFVFQFDGEPECSPQVFQVNGNVKQPVFTCKFSFRNSGDRNLRSRSSLSEPSTSTSCFGSCTSDREISVKERKGWSITVHDLSGSPVAAASMVTPFVPSQGSDRVSKSNPGSWLILRPGDNTWKPWGRLEAWRERNGELGYRFEIIPDGATDAITLVNSTISTKKGGKIGIDIINGATPLTSPNSSFDLSSGSGSGSDFGSQPGSGSWAQLLYRGFVMSGTVGGEGKCTKPEVEVGVQHVSCAEDAAAFVALAAAMDLSIDACRSFSQKLRKELRQQDQE >Solyc04g071320.3.1 pep chromosome:SL3.0:4:58332166:58342700:-1 gene:Solyc04g071320.3 transcript:Solyc04g071320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEDEELKMALRMSMQKESPEPKRSKPGEEEVSGGGGGEEGESPEVKNRRRQRELMAAAAEKRMLALPKNVVLEKSGGSGNREVECKGKSVNLVKGEERNVNLVKGAEKNVNLVKGKEKNVNLMEELPLAEANELFSMIFGCEVTKDILGQWTNQGIRFSPDPDTSMGLVQHEGGPCGVLAAIQAFVLKHLLFFSDDLNMVSPSLSGNVDSRRLANKDSAGADIFSSLSEEKKSRALVRGMCEILFMCGSNRRVVIASLRTVEAILVGPQGCSGDEVISKALEGLSIESGANLQKALIINTYTSSEDALQRLEALLPVFRSRMGAMLFLISALLSHGMESVQADRDDPSQPLVTAPFGHASQEIVNLLLSGEAVANVFDGRMDLGGGMFVKGISTTVEVGFITLLESLNFCKVGLHLKCPAWPIWVVGSESHYTVLFALDTKVQEENELEGKETKIRRAFDAQDQSGGGGFISVEGFHQVLRDTNVNLPADKLQNLCSSGYIVWSEFWQVLLDLDNSLGGLKDPSGLMGKKVFDLYHFNGIAKSVMSGNQVSSGNDIPVQRPRLTKLRVSVPPRWTPEEFMSSGPSTSDPAGKDSVTEVSKPEPSQHAPLVDCIRTRWARATCTWEGDPPSIV >Solyc06g005160.3.1 pep chromosome:SL3.0:6:181784:185338:1 gene:Solyc06g005160.3 transcript:Solyc06g005160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic ascorbate peroxidase 1 [Source:UniProtKB/TrEMBL;Acc:Q3I5C4] MGKCYPTVSEEYLKAVDKCKRKLRGLIAEKNCAPIMLRLAWHSAGTYDVCSKTGGPFGTMRFKAELAHGANNGLDIALRLLEPIREQFPTLSHADFHQLAGVVAVEVTGGPDVPFHPGREDKPEPPVEGRLPDATKGCDHLRDVFKKQMGLSDQDIVALSGAHTLGRAHKERSGFEGPWTANPLIFDNSYFTELLSGEKEGLLQLPSDKALLCDPAFRPLVEKYAADEDAFFADYAEAHLKLSELGFAEA >Solyc12g009380.2.1 pep chromosome:SL3.0:12:2671675:2673869:1 gene:Solyc12g009380.2 transcript:Solyc12g009380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVVGTVVSNKMQKSVVVAVDRLFHNKVYNRYVKRTSKFMAHDDKDECNIGDRVKLDHSRPLSKRKHWVVAEILKRARIYVPPPRVTTERVNKTQVSAP >Solyc12g087860.2.1 pep chromosome:SL3.0:12:64341079:64342200:1 gene:Solyc12g087860.2 transcript:Solyc12g087860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKTNTFYFVLCFFCIVAEAGTILDTPSISPTTLTHSIDPSNVQISPTLAVILACLVLILFAGVFIYMRRMSPDSFDHSLGFHFLRNRSPISRGLDPEIIKTFPVFIYSDVKSLNLGKSILECAVCLNEFEEEETLRLLPNCHHVFHPECIDAWLAFRTTCPGPREVNNASPNNISNFSNAKRKTTDFISIARKSSTPRRTNISRMFNRSHSTGHSLIQPGQNCERFTLKLPEDARKRLMELSLSRAYNNGEVLSLERSSSKGYRFEPGNGRFKFLSTPNLLSNKPGSSKEEKSEKEPKNLLKSVKSPLSLLCLTEKEETGERSFTYLRSNPSS >Solyc01g066457.1.1 pep chromosome:SL3.0:1:74289609:74291071:1 gene:Solyc01g066457.1 transcript:Solyc01g066457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEHKTMRVNGINMHIAEMGQGPIVLFLHGFPELWYSWRHQMLFMAAHGYHVVAPDLRGFGDTTGAPKGDFTKFTTLHVVGDLVELLNIIAPDRKVFLVGHDWGAMIAWALCLYRPDKINALVNMSVPYFPRNPIIRPIEALRAIYGDEYYIIKFQEPGKIEAEFAQIGTKTVLEKILTMRDPDPLKMPKGKPFDDSPVILPPWLTEEDVDYYATKYEHTGFTGGLNYYRAIDLNWELTAPWTGAKIEVPVKFIVGDLDLTYNLVGVKDYINKGGFKKNVPLLEDVVILKGVAHFLQQEKPDEVNQHIHVFFQSFSSSETSAS >Solyc05g021167.1.1 pep chromosome:SL3.0:5:26617164:26619818:-1 gene:Solyc05g021167.1 transcript:Solyc05g021167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSPPVEQYRPPYKDQYSYHSNTDTQAQEDDTNSSGTGNLESSIGGAGAPITIGEEAYQRSSPGIEGANTKEQGTVQLETSMQEAKEGMKIQKLQQPKM >Solyc01g006360.3.1 pep chromosome:SL3.0:1:951338:981297:-1 gene:Solyc01g006360.3 transcript:Solyc01g006360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEMSRVEDLWERLVRAALRGHRAAAGRSAGGIAANVPSSLANNRDIDDILRAADEIQDEAPNVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDITLLQEFYKQYRERHNVDKLREEELKLRESGVFSGNLGELERKTVQRKKVLATLKVLGNVLEQLTKEVSPEEADRLIPDEVWSLITCTSYLSVQVLAFDLKRMMESDAAMTEDIAYNIIPLDTTSTTNAIVSFSEVRAAVSALKYFRGLPKLPGDFSLPSTRSIDLFDFLHYSFGFQQGNVSNQREHIVHLLANEQTRLRIPEEPEPILDEAAVQKVFSKSLDNYIKWCSYLGIPPVWSNLDVVSKEKKLLFISLYFLIWGEAANIRFIPECLCYIFHHMGRELEELLRQQVAQPAKSCMSDNGVSFLDQVICPVYDAIAAEAGNNENGRAPHSAWRNYDDFNEYFWSRHCFKLSWPWRTNSSFFLKPTPRSKDFSPSLSQFSSSLDVSFHGLTILAFNNERLDSKTLREVLSLGPTYVVMKFLESVLDVIMMYGAYSTSRRLAVSRIFLRFVWFSIASVFICFLYVKALEDNSSQNSNSTVFRIYIVVLAIYAGVKFFVSFLLRIPACHSLTSRCDNWSVVRFIKWMHQEHYYVGRGMYEKTIDFIKYMVFWLVVLGAKFAFAYFLLIKPLVKPTRQIVAMDIRQYSWHDFVSKNNHNALTVASLWAPVFIIYLFDTHLFYTIRSLDAVHKRFERFPEAFMNSLHVLERNKADAARFAPFWNEIVKNLREEDYITNLEMEQLLMPKNSGSLPLVQWPLFLLASKIFLAKDIAVESKDSQDELWDRISRDDYMIYAVEECYYAIKFVLTSILDDEGNDEGKKW >Solyc05g013235.1.1 pep chromosome:SL3.0:5:6300360:6301760:-1 gene:Solyc05g013235.1 transcript:Solyc05g013235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSKCSFGKAKVEYLGHVITKEGVTTDPHKIQAMTHLGLTGYYRKYVQNYGTISRPLTNLLKKKAFKWNSEAENAFENLKKAMMTTQVLALPDYTQEFMVETDASHRGSGMCLCNKGSQLCSLVKCWLINIEEMFRLLDTQLHYRSAYHPQSDGQTE >Solyc07g025175.1.1 pep chromosome:SL3.0:7:26444324:26448871:1 gene:Solyc07g025175.1 transcript:Solyc07g025175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSATKCVSCAQAERASTASARYANACGKKEITMSTKGRSDARHVVNQVYDILYVMGMTIFLSLWKVKVEYFLTEMLHVVIDNNEKIFLLGPRGLLDIDSNFGFKKSFFPKRIGHWDCCIQPSSPGYVVYKMTLRDRMPTAPMSRLLVSTFHPSEPKKKCSFANRLASGNKLWCFNGFSLCRSSTTLMDPFKLNDPVVGEHFNIFAASLKGIFNWIDTKESGTLSWTFTYSVRWLPELLLGHTTDSRLDPQKGLDLIVEAVPWMIVQSET >Solyc12g056020.1.1 pep chromosome:SL3.0:12:62941958:62943309:1 gene:Solyc12g056020.1 transcript:Solyc12g056020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFDWKLAFVAALLVFGMQDFQATSRGLYETSMVQKHEQWMTRFGRVYKNDVEKAKRFKIFKDNIDYIDSFNKAGTKSYKLDINEFADLTNDEFRATHNGYKMPFQKKSPEITSFKYENVTAPATMDWRLKGAVTGIKNQGQCGCCWAFSAVAATEGINKIKTGKLISLSEQELVDCDTSSDMGCEGGLMDDAFKFIIKNHGLTTESNYPYEGTDSTCKTGKESNHAAKITSYEDVPANSESSLLKAVANQPVSVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGEASDGTKYWLVKNSWGTSWGENGYIRMQRNVDAEEGLCGIAMEASYPTA >Solyc11g073160.2.1 pep chromosome:SL3.0:11:56520267:56523275:1 gene:Solyc11g073160.2 transcript:Solyc11g073160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCVLFNQLLVPRIFISSNVHHQSFGHSHNNNNNIVGIGQYRKKLSSPNLNTWTCKAAETPFENGSLLSAEITIDFFVSETEGDPDCPTKGYSSIGEALNALSQGKFVIVVDDESGQVEGNLVMAASFVSAEAIAFMVRHGSGIISVGMKEEDLERLNLPLMSPEKQDESSAPSFTITVDAKKGTSTGVSASDRAKTVLALSSPTSTPEDFIRPGHVFPLKYRNGGVLRRFGHTEASVDLVTSTGLQPVSVLSTIVDKNDGSIASMHILKNLALDHKIPIVSITDLVRYRRKREKLVERTAVSRLPTKWGLFEAYCYRSKLDGTEHIAVVKGDIGNGQDVLVRVHSECLTGDIFGSRRCDCGNQLDLAMQLIEEAGRGLVIYLRGHEGRGIGLGHKLQAYNLQDEGHDTVEANLELGFAADAREYGIGAQMLRDIGVRTMRLMTNNPAKFTGLKGYGLAVVGRVPVLTPFTEENRKYLETKRTKMGHIYGSDVQGPIKASIKRNLEKQDPSQERYES >Solyc11g020498.1.1 pep chromosome:SL3.0:11:11368687:11368924:1 gene:Solyc11g020498.1 transcript:Solyc11g020498.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQADDSSSFNFKYQAEKIWSVHHIIATDVFKEDREEDIDEAWRNTALQPCLDIVKRFL >Solyc11g009080.2.1 pep chromosome:SL3.0:11:3200322:3204136:1 gene:Solyc11g009080.2 transcript:Solyc11g009080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:K4D5G8] MALSNTLSLSSSKSLVQSHLLHNPTPQPRFSLFPTTQHGRRHPISAVHAAEPSKTAVKQGKWSLDSWKTKKALQLPEYPDEKELESVLKTLEMNPPLVFAGEARSLEEKLGEAALGKAFLLQGGDCAESFKEFNANNIRDTFRILLQMSVVLMFGGQVPVIKVGRMAGQFAKPRSDPFEEINGVKLPSYKGDNINGDTFDEKSRIPDPHRLIRAYMQSAATLNLLRAFATGGYAAMQRVTEWNLDFVENSEQGDRYQELAHRVDEALGFMAAAGLTVDHPIMSTTDFWTSHECLLLPYEQALTREDSTSGLFYDCSAHMVWVGERTRQLDGAHVEFLRGVANPLGIKVSQKMDPKELIKLIDILNPANKPGRITVIVRMGAENMRVKLSHLVRAVRGAGQIVTWVCDPMHGNTIKAPCGLKTRAFDSILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTYDDLGSRYHTHCDPRLNASQSLELSFIVAERLRRRRMSSQRL >Solyc03g061540.1.1.1 pep chromosome:SL3.0:3:32592168:32592506:1 gene:Solyc03g061540.1 transcript:Solyc03g061540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCTCGSGRAFLRYLITLLLLAAGAPLLTIAHSFWNNLFKRDNFTYFYQILLLLSTAGTISMCFNSFEQERFDAFESIALIPVPTHGMFFMISAYDSIAIYLSIEPQSLCF >Solyc09g008460.3.1 pep chromosome:SL3.0:9:1902786:1912366:-1 gene:Solyc09g008460.3 transcript:Solyc09g008460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPSTATTGQSNSSYDLSFKILLIGDSGVGKSSLLVSFISNAVDDLAPTIGVDFKIKTLTVSGKKLKLTIWDTAGQERFRTLTSSYYRGAQGIILVYDVTRRETFTNLSDVWAKEVELYSNNQDCVKMLVGNKVDRESERAVTREEGIALAKELGGLFLECSAKTRENVQNCFEELALKIMEVPSLLEEGSTVGKRNILKQKQEQQTQQGGGGCCS >Solyc03g120650.3.1 pep chromosome:SL3.0:3:70463694:70465651:-1 gene:Solyc03g120650.3 transcript:Solyc03g120650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASAFGEQKRLEPMQPETKAKWRKEIDWLLSVTDYIVEFVASKQKSKDGTIMEIMVTKQRTDLQMNIPALRKLDTMLLDCLDSFKDQTEICYTSKDDEGKTARKDDKWWIPTPKVPPNGLSDTTRKWLQFQKDSVNQVHKAALAINAQVLTEMEVPENYIESLPKNGRASLGDSIYRSITDEYFDPDYFLSTMDLSSEHKILDLKNRIEASVVIWRRKMTAKDGKSTWSSAVSMEKRELFEDRAETILLILKHRFPGIPQSSLDISKIQYNRDIGQAILESYSRIIESRAFTVMSRIEDVMQADDLAHDPSNAEVKRFPMGDSLGVSDGMFNDKEEVEKLSSAETPNSMTLLDFMGWGEGDEDTEKDTKEEIRSKESDAKLLSKPPNIVTNKRVSYLENLTGSRSPTARH >Solyc03g110930.3.1 pep chromosome:SL3.0:3:63140841:63144214:1 gene:Solyc03g110930.3 transcript:Solyc03g110930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQSSSFFNPLLSSSNNQNTANFRPKTAQISTVRAVPLCVSSTSLPVTPILKLDHHSSDYAHLFPSLPFSNILFFKSAYNVQVVAGEHEPEEKLIGRFRREVFRAGVIQESKRRRFFESTQEKKKRKCRDAARRNRKRRPQPKALLGDTPETLKDEGYKSDEDKWDLIDVESPYT >Solyc10g074770.1.1.1 pep chromosome:SL3.0:10:58492142:58492303:-1 gene:Solyc10g074770.1 transcript:Solyc10g074770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLMNVHRRADVSQRRDFHPLRGSGGSLLSYIYLRGISLVITVLNLGVSTE >Solyc06g074210.3.1 pep chromosome:SL3.0:6:46019989:46028124:-1 gene:Solyc06g074210.3 transcript:Solyc06g074210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKLMPNLDQNSTKLLNLTVLQRIDPFIEEILITAAHVTLYEFSIDNSQWSRKDIEGSLFVVKRSSQPRFQFIVMNRRNTDNLVEDLLGDFEYEIQLPYLLYRNASQEVNGIWFYNQRECEEVANLFDRILGAYSKVPTKSKVPLTKSEFEELEAVPTMAVIDGPLEPSSSTASNAPHLPEDNSFVNFFSNALTIGNASNTAVQGQLYHASPATLPPPRPPPSVPSSSAPVLLPSPPLSASSLLRPLHDAPEPDVSAKWSSNLVKPSSFFGPPPASSPLMPPISSSVPTAPPLLPLGNLQRPYGAPLLQPFPPPTPPPSLTPTSSSIPNNIPVVNRDKVREALVMLVQDDQFIDMIYRALLNAHHS >Solyc12g009830.2.1 pep chromosome:SL3.0:12:3021097:3026646:-1 gene:Solyc12g009830.2 transcript:Solyc12g009830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRRADLNKTHSLTDQLPPPGMDALSRQTSHQVDVYATKSFSSIMDIIDDSSKATQCQQPSIVHRRNIDQAMCSKNPHHYYGLHYTRRRSSNNAEASTSRGGTTSFYDELMSAKSASKCNSDSGHDTESKPQKPESVGVAPSLLATNATSSNVTKQFCGLCQKPLRKKHYMLDSNIPSYEPSVVAVLVCGHLYHADCLEERTHHDNATDPPCPICRGIN >Solyc10g012090.1.1 pep chromosome:SL3.0:10:4416775:4417600:1 gene:Solyc10g012090.1 transcript:Solyc10g012090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIIQGAGFSLSPFTATRPRRMAPVVRAEAINPDSNKDEPSLTYIKLMTMIKTPHEDTLVYETADTESIIWQAASLSTLASSSNSIIPL >Solyc06g034230.2.1 pep chromosome:SL3.0:6:24058215:24060681:-1 gene:Solyc06g034230.2 transcript:Solyc06g034230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAKPLQASSSSSLQTMYMHFLLRRTHHQRQQWRTLFDDALSIKHVRDRGLDHAVEREKNLKPLLNIKNLIKSEPSKSIPLNIITQSKDSLQIPSRPIEFIRKYPSIFQEFFPASINIHPHIKLTPEILSIDSDEELFYQSVSYKEDVADRLLKILMIASATPHGSPGTRSGVP >Solyc09g009970.3.1 pep chromosome:SL3.0:9:3418646:3423842:-1 gene:Solyc09g009970.3 transcript:Solyc09g009970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIIQPQHELPSASSSISILPCLVARDNDGSHQESVISGPNVYSVVDVYRGGDNSEVEVRGVSISGRKRERKRREREEGGDQLSLLTLCLTGFRKSLVACKSNFDIISGVGVEELLSSSCSGFSSSSANSSMEISWPSNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASTRVFGVSTDCMQLSFDSRGNSVPTILLMMQGRLYVQGGLQAEGIFRINAENGQEEFVREQLNRGIIPDNIDVHCLAGLIKAWFRELPTGVLDSLSPEEIMRAQSEEECVRLVRLLPPTEAALLDWAINLMADVAQLESFNKMNARNIAMVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLKDREETVVEAGPAPELEPFDENGHHSASQPIVQKSNEIEEDILISKERPSSGAANSALREIIVENKSHGLPCTIENVLQKDAFVDCLSEISNLPDSTKDDELGSGNINSTSGRSQPKIRRTKSVHSSSSSLKGSKKMIEWPIGHISGSLEKGKGVRIIDRLNSTTERVEAWR >Solyc01g079385.1.1 pep chromosome:SL3.0:1:78326650:78328096:1 gene:Solyc01g079385.1 transcript:Solyc01g079385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVIDGHNMNSGFVTTSPPKKTIIKRKAKGLSDKDKLEENKRKLEIHVSELLMLATSRSDTMKNSGTGKMLSLRISSPLCKVVGLVQGSGDRDYANGEEVVSSVTARLPFIQNIPPYTTWIFLDKPIIDAIMIILSFLLEMRILFALCSQFFFTKHRNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDIAEPEEEKRHFSEGEDKILSMYYNFIECYCSFIKQIFASVSKRKIEHRLFRRVGFDVIYFSMSQLMLSAYIGKVCICHAPSPCDRQRHTTDYPTKQTKPI >Solyc01g107970.1.1 pep chromosome:SL3.0:1:95250604:95252572:1 gene:Solyc01g107970.1 transcript:Solyc01g107970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENGENNHDHLCDDIWSYLDWNDHQVVNGETEGNKLLDPTGSDTCEPLTVINEVVEVSVNVDKKRSSANRKRNGKEIVEPNSGVDGAEVRRASKHEVRKWTERERRKKMRTQFETLHALVPNLPAKADMSKIVYEAVNRIRKLKNTFKKLESQKLKRLEEYNIRLTGLQKVNNSWEKYVGDQGSTCNSIAITPTNHGASPLIPTGFMTWSSPNVILNVSGEDAHISVCCPKKPRLFTTICYVLEKHKIDIVSAQISSDQFKSMFMIQAHAKDGSGVAQFSEAFTVEDMYKQVANEIMLMTTPK >Solyc06g066510.1.1.1 pep chromosome:SL3.0:6:41867494:41867724:-1 gene:Solyc06g066510.1 transcript:Solyc06g066510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNVVLKALEMLQSETESPVLPEFGRSPTLRKLRITDSPFLLRSDIDNGEMINYVADEFISRFYKELRREASDFA >Solyc02g011740.1.1 pep chromosome:SL3.0:2:14133991:14134400:-1 gene:Solyc02g011740.1 transcript:Solyc02g011740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIAIQIPKMFTMFNSFSRASLALPDINSSKVSFFDSGPPELFLSIFIFLHVIGTGIYPNFVLSLALDKVKVILSSFFL >Solyc06g008445.1.1 pep chromosome:SL3.0:6:2368102:2369530:1 gene:Solyc06g008445.1 transcript:Solyc06g008445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFVEHYCLSSLVFTGEKEFKSLHCCLSTLAVAALGIKTIEAMNLRELELGESEAEDLSGHWLSHFSDSCTSLVSLNIACLASEVSFSALERLVARSSHFRTLRLNRAVPIEKLPKLLRHASKLVEFGT >Solyc04g081850.3.1 pep chromosome:SL3.0:4:65806215:65814561:-1 gene:Solyc04g081850.3 transcript:Solyc04g081850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEQNADEANTVQTSNIDNVELAIVDGSHSDTAQKSEPLIEELQDEELRKLLVPKLENLPISPPSAVESNFVTYFAPDFMKPGHDQYVHRHANGLCIIGLAPTHIAFKDEGGVTSVDFNVGKSDRSGIKVSGKRKKNAQHFESNTALCKACTKDGSYIVRCCVKGSLLEVNDRLIKQPELLNSLADREGYIAIIMPRPADWIKAKTTLLGIEEYRELRKDTLQL >Solyc07g008360.2.1 pep chromosome:SL3.0:7:3141390:3149900:1 gene:Solyc07g008360.2 transcript:Solyc07g008360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMINKLEINYHDHDHDQYIFRSKLPNIYIPNHLPLHKYCFENISKFSSRTCLINSATGVTYTYSDVDLAAKRIALGLHKLGIEQRDIIMILLPNSPEFVFSFLGASFRGAISTTANPFYTPSEITKQAKASNAKLIVTQSCYVDKIKRYAEENRVKIVCVDDSLPLPDGCLSFAELLLTTTHPSDENNSNDDNIILSDSVKILPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHSEDVIMCVLPLFHIYSLNSILLCGLRVGATILIMQKFEIKGLMELVEKYKVTIAPFVPPIVLAIAKSPLVDKYDLSSIRMIMSGAAPMGKELEDTVRAKLPNAILGQGYGMTEAGPVLSMCLAFAKQQFDVKSGSCGTVVRNAEMKIIDTNTGTLLPRNHAGEICIRGDQIMKGYLNNPKATEETIDKEGWLHTGDIGYIDDDDQVFIIDRLKELIKYKGYQVAPAELEAMLISHPNIIDAAVVPMKDEVAGEVPIAFVVKANGSNINEEEIKQYVSQQIRDMGEGGVVVPESVLKKQKRNEEWALVKKQELAVAKKKSVENRKLIYNRAKQYAKEYSEQEKELIRLKREARLKGGFYVDPEAKLLFIIRIRGINAMPPQTKKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSIKELIYKRGYGKVDKQRIALTDNAVVEQVLGKHGIICIEDLVHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >Solyc02g079500.3.1 pep chromosome:SL3.0:2:44595355:44602184:-1 gene:Solyc02g079500.3 transcript:Solyc02g079500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLSLLSLAVSLVALALAGVAIYRNTYEAMIMNNGSLLQNISPDIDSLESGEVSILILNDKKKNSDKYLSQQLTQESCVFSAVKGVVDSAIDNETRMGASLIRLHFHDCFVDGCDGGILLDDINGTFTGEQNSPPNNNSVRGFEVIAQAKQSVVDSCPNISVSCADILAIAARDSLAKLGGQTYTVALGRSDATTANFSGAINQLPAPSDNLTVQIQKFSDKNFTVREMVALAGAHTVGFARCSTVCTSGNVNPAAQLQCNCSATLTDSDLQQLDTTPAVFDKVYYDNLNNNQGIMFSDQVLTGNTTTAGFVTTYSNNVTVFLEDFAAAMIKMGNLPPSAGAQLEIRDVCSRVNPTSVASIHLSLALSFVALALAGVAIYRNTYEAIIMKNGSLLKNVSPDFDSLESGVASILTLNNNKKRNSDKYLRQQLTPEACVFSAVRAVVDSAIDAETRMGASLIRLHFHDCFVDGCDGGILLDDINGTFTGEQNSPPNANSARGYEVIAQAKQSVINTCPNVSVSCADILAIAARDSVAKLGGQTYSVALGRSDARTANFSGAINQLPAPFDNLTVQIQKFSDKNFTLREMVALAGAHTVGFARCSTVCTSGNVNPAAQLQCNCSATLTDSDLQQLDTTPTMFDKVYYDNLNSNQGIMFSDQVLTGDATTAGFVTDYSNDVNVFLGDFAAAMIKMGDLPPSAGAQLEIRDVCSRVNPTSVASM >Solyc06g073820.2.1 pep chromosome:SL3.0:6:45708662:45728017:1 gene:Solyc06g073820.2 transcript:Solyc06g073820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKNPGDTEMTPLLPPRGKGYQGSDAPVVTGNDGDNQLSEDPQTFYWKVVNFSRLNVRKLYSDDFIAGGYKWRILLFPKGKNSEYLSMYLGAADSTALPSGWVIHAHFSLSVVNQTQNDYSIRKETQHRFCSTASEWGFKPFMSLVELYDPDKGYLVGDMCTIEAEVAVLSDSEFMLYDSIHSKKATNFVGLKNQGATCYLNSLLQTFYHIPYFRKAVYNMPTTENDMPLALQNLFYKLQFSGKSVATRELTKSFGWDSSDVFMQHDVHELNTVLCEKLEGKMKGTIVEGTIQQLFEGHNMSYIECINVDYKSTCTDTFNDIQLLVKGCSDVYASFDKYVEVDYLDGDNKYSSELYGLQDAKKGVLFIDFPPVLQLHLKRFEYDSMRGNDSKINDPYEFPLQLDLDRENGKYLSPEADRTVRNLYTLHSVLAHGGSVTGGHYYAFIRPTLSNQWYKFNDERVTKVDAKRALEDQYGGQGKLPQTNPGYEFQISENSNAYLLVYIRESDKEKIMCTVEQKDIGEHLRVRYSTSSMSCLFIFAPISHVDLTILMDVFKARFEIDQEDEEQKKKDESEANLYTVIKVARDEDIRQQIGKDIYFDLVDHEKVKCFRIQRETLFSAFKEDIKKEFCVPVQFQRYWLWAKRQNHTYRPDRPLTRLEESQTVGQLRKVSSKFHNAELKLFLEVELGPELMLLSPPEKANDDILLFFKGYDPEKEDMRYVGRLFVKGISNPMQILSKLNAMAGYAPDQEIELYEEIKFEPSVMCEIIDKNSTFRSNELVDGDIVCFQKSLTAESRQQFRYPDVPSFLEYIHSRQVVHFRSLDKPKEQGFCLELSRINTYDEVVGRIARQLKLNDPSKIRLTSHNCLSQQPKPQPIKYRGLDFLVNMLFHNNKISDILYYEVLDIPLPEIQGLKPFKVAFHHATKDEVVIHMISLPKQSNVDDVMKNLKTKVELSHPHAELRLLKVFRHKIYKIFEVVL >Solyc03g083560.1.1.1 pep chromosome:SL3.0:3:54939827:54940876:1 gene:Solyc03g083560.1 transcript:Solyc03g083560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHSLSRRPEAPVPVIFYFFGAVLFFFFTLPVNGWRPWPNQKPNATELIYGGSKKYEGSSEFVHLKYHMGPVLTANITVYPIWYGRWGNSQKRIIRDFISSFSAVESKHPSVAGWWKTVQLYTDQTGANISRTLHLGEEKNDRFYSHGKSLTRLSVQSVIKSAVTARTRPLPLNPKTGVYLLLTSDDVYVQDFCQNVCGFHYFTFPSIVGYTLPYAWVGNSAKLCPGTCAYPFSVPSYMPGFKAVKSPNNDVGVDGMISVIAHEIAEVSTNPLVNAWYAGQDPSFPVEIADLCEGIYGTGGGGSYTGQMLNGEDGATYNMNGVRRRFLVQWVWNHILNYCSGPNALDQ >Solyc05g006130.3.1 pep chromosome:SL3.0:5:839021:843880:1 gene:Solyc05g006130.3 transcript:Solyc05g006130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQHSISICKLWRSSSPPNLYLFRPFVAYQPHCCRIRAFGSAVSASKVVETNTNETFFAEESVSWTSLGVSESLSRALSSSGLHRPSLIQAACIPSILSGVDVVVAAETGSGKTHGYLVPLIDKLCQISDSSGAITGQDARKHNRLSLVLCPNVMLCEQVVRMANSLCNDSGTPLLSIAAVCGRQVWPVKEPDVMVSTPAALLNYLYSIDPERRRRSEFIRSVKYVVFDEADMLLCGSFQNQVVRLINMLRFDEKQLSRSKNSGAEMSSTSDLEEFRDLKADYGEDADEVEDEDEDEDEDTEVGKDRANLETDTKGLKRRDWRRVRKIYERSKQYIFVAATLPENGKRTAGGVLKRMFPEATWVSGNYLHQHSPRLEQRWIEVSVDTQVDILINAVENGNSMADSSPGVLRTMVFANTVDAVEAVANILTRVGLECFRYHSDSSLEERTKNLLDFQQKGGVFVCTDAAARGIDIPNVSHVIQAEFATSAVDFLHRVGRTARAGQPGLVTSLYSESNRDLVAAIRHAEKIEEPVEKAFSRKRSFRNKIKKRGREASHMR >Solyc06g082803.1.1 pep chromosome:SL3.0:6:48520962:48523084:-1 gene:Solyc06g082803.1 transcript:Solyc06g082803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRAQTNSLKPKTLVVSRHPTPVSSVIASEPKPYKQAASSPEWLCAMEAEYQALCRNCTWTLVPCPPTANVVGCKWVYRIKRRADGSIERYKARLVAKGFHQEEGVDFHDTFSPVVKPSTIKLVLSYAVTKGWAFKQLDVNNTFLNGDLTEVVYMSQPPGFIDKSHPHFVCRLSKALYGLKQAPRAWFLKLKTFLLSHGYTCCYSDSSLFVRHTSSSTTYLLVYVDDIIITGSDPSYISSFTQSLDLEFSLKDLGNLSFFLGIEVSRVGSGMHLSQTSYIRDLLTRTKMTDCKPSPSPADTTFQLSKHGETFDDPSLFRSIVDALQYATITRPEISFSVSRVCQYMKNPTLDHWKAVKRILRYLKGSLTHGISITPSTSSSIHVYCNAGWAADPDDRRSHHGFAAYYGPNLISWSSRKQKVVARSSTEAEYRAIAFAASEVSWIARAPPVTFATRRRASSTVRCFTEPK >Solyc02g063546.1.1 pep chromosome:SL3.0:2:36203121:36208739:-1 gene:Solyc02g063546.1 transcript:Solyc02g063546.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene isomerase [Source:UniProtKB/TrEMBL;Acc:U3M0H6] MLLINTNNFYHAFSLVFSKHKFPLSAASPPPPKSSFSQASDSITKNKLKLPLVSLTKSLPNTQFDLIQSEKMVNFMMDKYIVPSFVVSLLGFLLLYVLRPRTPNYKKMDLKSTRKCETHNVISRKLEKGTDADVIIVGAGVAGAALAHTLAKEGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGIEDCVEDIDAQRVVGYALFKDGKSTNVSYPLKNFHSDVAGRSFHNGRFIQKMREKAATLPNVRLEQGTVTSLIEENGSIKGVQYKTKAGQELKAHAPLTVVCDGCFSNLRRSLCDPKVDVPSCFVGLVLELENDRLPYPNHGHVILADPSPILFYPISSTEIRCLVDVPGQKLPSLANGDMANYLKTMVAPQVPPELRDAFLSAIDKGHIKTMPNRSMPAAPYPTPGALLLGDSFNMRHPLTGGGMTVALSDIAVLRNLLMPLQDLNDADELCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARREMRDACFDYLSLGGTCSTGPVALLSGLDPNPMSLVLHFFAVAVYGVGRLLVPFPSPKKLWIGAKLILSASGIIFPIIKAEGIRQMFFPTTIPAYHRAPPVKTESD >Solyc01g087830.1.1 pep chromosome:SL3.0:1:82587781:82588745:1 gene:Solyc01g087830.1 transcript:Solyc01g087830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPYWNFAKGVSHFGYASGTARGVAQRARLAVYKLSFKEGTFTSDLIAAMDQAVADGVDMMSFSFGSRFVPMYEDAISIASFGAMMQGVLVSASAGNRGLDTGTLKNGSPWILCVKAAHPEWSPSAIRSAMMTTADPLDNTVKPIIDSDINREATPLAMGSGHVNPNRQFKTIARSPANHNCSDPSADLNYPSFIALYNNEGNYTCLEQEFRRKVTNVGQGAVTYKAKIKKTQELKSFSVTTNFDVQE >Solyc08g013867.1.1 pep chromosome:SL3.0:8:3321603:3321879:-1 gene:Solyc08g013867.1 transcript:Solyc08g013867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKELLEADHIRPSKAPYGAPMLFQKKKDGLLRLCIDYQALNKVTIKNKYPIPLIADLFDQLGPSTLSRWIFEKATTKCASRRGMSQRQRA >Solyc08g005030.2.1 pep chromosome:SL3.0:8:27668:29143:1 gene:Solyc08g005030.2 transcript:Solyc08g005030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPVKKPQDNGPKTLVSDDILAKRLKNRERQRRYRERKRLKADSMKVLGTNQLVSLPVGVPVIVSPQDSTLPVNVASLDSSVPVEVAPLDSSMPVNVAPLASSVPVNVTPRAYVMPVDASSRESVTRVYSGRNWKRDARKAHVARQNEVSTNNTVSPDLASIGEGQATLLTHVEQSDVAMSDVISSPISDARLTRLLFFASD >Solyc01g060080.3.1 pep chromosome:SL3.0:1:69477323:69524484:-1 gene:Solyc01g060080.3 transcript:Solyc01g060080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVVASMGAQEKSSSTNNSSIQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEEPGLLVTDEDEHETLLLHRISAEDIYRKQEDTIISWRDPEYSTELALSFQETTGCSYIWDSICSVQRNMQFSSLNYETFHSASSDLRELPPVELSTLPLILKIVVESGVADQLRVTELVLHDQIFFGKLMDLFRICEDLENVDSLHIIFKIVRGIIFLNSSQIFETIFGDELIMDIVGCLEYDPEAPHIHHRGFLKEHVVNKEAIPIKDTVVLSKIRQTYRVGYLKDVVLAQMLDEATIANLNSIISSNNAMVVSRLKDDNAFIQELFVKLRSPSTSAESKKKLIHFLHEFCTLSKSLQMAQQVRLLRDLVNEGIFDIIVDILQSPDKKLVLMGTDILILLNQDPNLLRSHVIRQEGLTLFGLLVRGMLTDFGDDMHCQFNEILRSLLDSYASGLQRDTIVEIFYEKHLTGLVQAITLSCPPKGDSLSVSESANSDGGNVKQSCVKPEILLNICELLCFCVVHHPYRIKCSFLLNNVVDKVLLLTRRREKYLVLAAVRFVRTLITRNDEYLMNHIAEKNLLKPIVNAFVANGDRYNLLNSAVLELFEYIRKDNLKILLKYLVDSFWDELVKFEKFSSINSLKVKYEQSLEDSGIRSVGNLLDPRKRVDERSLEKEEEDYFNKESDEEDSTSASGANASRVKSQLAVPNGSAPSYTSDRSGGVVDSGDDDIDEDYKPPPKKQSDTSDEDGADSFPLKRKVAPKKDPEPKRLQCVVKGSKSRDTVFAALCSTLSQAVLPSKTTESPAGNGSAAPGSPQSDENKRSVEANHDEEGSLSNNGNADFENHANKQATSPKKISESLHKSPDSREHEEDCPLIQPKSSPEMAVNGS >Solyc11g027693.1.1 pep chromosome:SL3.0:11:18806956:18817978:-1 gene:Solyc11g027693.1 transcript:Solyc11g027693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQFIVVYLDDIVVYSSTLQEHVEHLKKVFKVLRENQLYVKREKCDVACHHSIWKTDTVGRRRAWHAIIAFGLADTVRRHQASHAIVAFGLADTIGRRRAWHAIFALGQHRRSEGVGPQTVGRRREWHSVIALGQHKRSIIVGRAYTQRSVVAVPHRSWLAQHCSITRRNMRMFMTRHRRPLGLVGVLHGRLTTCVACPCYAVGRRQKHASDVCGATEAKAGGRQGCPVGLVGVLCGRLTAWMARLCFVVGLLQKQATDVCGETVLRRGNFSRLVYTSVWCGNSNSRRRTHGARKAMYGHRAVQRRRREPREELSIMFNSLPTLESALPKVGSSCWKSTARRVVYGALPADLENLETECRPRWVVLVTASGLQGKGSVQSGSVTSGKGFALRDGHVDPSPEPVVGLLELLSRRERVAACRIGDGQGESHCLIKTKHCDGPKGCLRNVISAQCSECQSEEIQPSAVNGRSNYDSLKKRRMCPPSACRPAVGAYGPHRHVSLAKSPQHKRRSDHLEVQFPWSSGMSAAKRHLPRPAEHGHGLQKTPLDNINGRTTLGVACHHHPWTAHTVGRHRAWHAISAHEHHTQSDDVRYGMTSSPLDCMHVQRRRAWHDITARGLRARLDDVGRGMTSPPLDSTHAYIVVLRWAWHDITTLGRHTRLNNVGHGMTSSPLDRTHGRMASAHKVGRHPALHDITALGKHTRSDYIGCGMTSLPLDSTHGRMTSGVARYYRLWAAHTVERRRAWHGNIAHGQQTRSNDIWRDMPSPPLDSIYGRTMSGATQTVERRRAWHEITAFGQHTRSIDVGCGMPSSPLCSTNGRTTTGVACLHYLWAAHKVQQRRAWHAITTFGQHKRSNDVGRGMPASPLGSTHDRNTSGMACHHRLWKYKRSNNIGRGMPSLPFGSTHGRTTSSVACHHGPWTAHTIERRQAWHDITAFGQHTRSNNVERDMPSPPLDSTHGRTTSGMACQHRLWVAQTVERRRAWHAIIAFGQHKRSNDVGHCMLS >Solyc02g030590.1.1.1 pep chromosome:SL3.0:2:26924905:26925168:1 gene:Solyc02g030590.1 transcript:Solyc02g030590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKKLVSLWKMGDKLLMKERLLWRLNNISTRTSTLQIQNQLILLPKKMLQRSHIHQFTKKERANQNLCTHQYFQNGSSEGCKADGC >Solyc09g008930.3.1 pep chromosome:SL3.0:9:2312717:2316841:-1 gene:Solyc09g008930.3 transcript:Solyc09g008930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGVETVTESFFNLWRTSRKSSTSEPERKNVIGILAFEIATLMSKVVNLWQCLSERRIDKLREEISSSLGIQKLVAEDDKYLMDLAIAEIIDNLGSLTKSLATLGKRCADPVYHNLERIFEDPVEIELNGCAWRYKLKKMERKVKKMERFVAATTQLYQELEVLAELEQTLRRMQAGASSGQMKLLEFRQKVIWQREEVKNLREMSPWVRTYDYTVRLLLRSIFTIIMRIKYLFGTNQSEVSGGSNHFEGIDNGYLDRSRSISALTLSSVYPSENSTSESYLGSIGRSFSSLGLSGNKDRPTNRKSLARQSSVFCGKPPQPRSRRFANVGSFKGCMNSGTDSPVLESCMPSNSDVSKSDDSFQKDTDKFEDTNPVPASSNGVILTKGSLFNFKRKLLIVPEDTLGYAALTLKYANIIILLEKLASAPHLISLDASLAAEWSLALGRILEWLSPLAHNTIRWHSERNFEKQRLVYGANVLLVQTLYFANQTRTEAAVIELLMGLNYLSRFGREVSAKPLMESSCGRTSSEYFIHRDSNSNAYNHMYHD >Solyc04g016260.3.1 pep chromosome:SL3.0:4:7057462:7066562:1 gene:Solyc04g016260.3 transcript:Solyc04g016260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4BQC6] MANILEPDEFDLPGKNPSVEAQRRWRDAVSFVRNRRRRFRYGSNLEKRKEAKELMEKTREKIRVGFMAYMAALKFIDAGDHGRSSDQVNNVIGAELAKDLPEEAREAGFGINPDKLASIVGSYDIKTLKKLGGVEGLAGKLRVSSNEGVKSSDVSVRQNIYGSNKFTEKPFKSFWTFVWEALHDLTLIILIVCAVVSIGVGLATEGWPKGTYDGLGILLSIVLVVMVTAISDYRQSLQFRDLDKEKKKISIHVTRDGSRQKVSIYDLVVGDVVHLSIGDLVPGDGIFISGYSLLIDQSSLSGESVPVSISEKRPFLLSGTKVQDGSAKMLVTTVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATIIGKIGLGFAVVTFLVLIVRFLVNKATHHEITEWYSSDALTLLNYFATAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDNKALVRHLSACETMGSATCICTDKTGTLTTNHMVVDKIWICEKAKKVEIGGSADAITDLSESAQDLLLQAIFHNTAAEVVKDKYGKKSVLGSPTESAILDYGLLLGDIDDKKKDCKLLKVEPFNSAKKRMSVLVSLPDSNTRAFCKGASEIVLKMCDRFIDCNGEIADMSEEQATNITNVINEFASEALRTLCLAFKDVGDGYNIPDSGYTLVAVVGIKDPVRPGVKEAVKSCLAAGITVRMVTGDNIHTAKAIAKECGILTDDGLAIEGPEFRNKSPDEMRQIIPRIQVMARSSPTDKHVLVKNLRGMFKEVVAVTGDGTNDAPALHESDIGLAMGIAGTEVAKESADIVVLDDNFSTIVNVAKWGRSVYINIQKFVQFQLTVNVVALMINFISACASGSAPLTAVQLLWVNLIMDTLGALALATEPPHDGLMSRPPVGRDVSFITKTMWRNIIGHSIYQLAVLLAFNFAGKQILGLEGSDSTMVLNTFIFNTFVFCQVFNEINSRDMEKINIFRGIFGSWIFIGVMVATVVFQVIIVEFLGTFASTTPLSWQLWLLSVSIGAVSLIVAVILKLIPVEKETPKHHDGYDLLPGGPELA >Solyc07g063060.2.1 pep chromosome:SL3.0:7:65772762:65777307:1 gene:Solyc07g063060.2 transcript:Solyc07g063060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVKDHSCHLHGMECCLVTMLNPLAKEFQVQQDLENGVAGAVCIPYDAAGKMEIIMSLVTNVEAMIKTDCKITALKELQLFRNELLQASRCTYTEVAAELHRDFCLVAQNMKSFENISLDFSMQQ >Solyc01g096010.3.1 pep chromosome:SL3.0:1:86983044:86988974:-1 gene:Solyc01g096010.3 transcript:Solyc01g096010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLTISSSCSILYPLQISSPKFSISKWRKRTPLARNFKICSPISPFSNPSRFQISAQFGRRTKRQNYLRKKLTQKQQVIENPITHNPTSEIFQFESQHGDEKSKNLVSDTGVVGNTEESVKELKTKALGESVLWNKLESWVEQYKKDTEFWGIGTGPIFTVFQDSEGKVKRVVVSEDEILKRSRIDPTLYRNATIEEHEDVNAKISLAEVLAREMESGKNLLPKNSSVAKFLVSGEMSNTVVSGEMPYTVNRLSTFSLNPNLSKKLPSIGLVVFCGFFLIWTVKKMFISGNNGEEEYSSLEKEMLRRKMKARKEKEKTAKGEMEVIRGTIEPDNMSLERPWLNKQEIMSSIKKAREVDGKLALAEQFQNQQFENAEFYEEIEEIRKMARHAREQEKGNSLQADNGGESGDYPASTELFNEMVVAEQNLFEDINEQHDLSGFVGPTTSSDNNGVHTSSSSLVNHEVQTSNSNLEPPDDITSPMADSCESKHDVISTYGTEKPIITSGKSSKPSEISVTSKSKIILSVKEAREYLSKKNEKLKTKQERTSECEPEVENISIPLLEEESIGDMNQLSDKAGKEFDRLPLCGTSDFAYEDSSFKQEEFLPTSNSAVAALNKGKCYQSLSSDDDENSRYEELKSLDLSSPEQEATVGDLSSQLGEIKIFQRSVPLETSDLTSSSNHCQENNKAFPANDISEHDDKEAPPTVIPETHSHQEDNSRTKELEPSPNNGSWLEKNFHEFEPVIKKIQMGFRDNYRVAKEKSDEELNLKTQMFHLETNENVTELEWMKDERLNEIVFKVRENELAGREPFYQMDDEDKLAFFSGLEKKVDQENKQLQNLHEWLHSNIENLDYGADGISLYDPPEKIIPRWKGPPLEGSSEFLNYFLEQRKVVAESLKSSKIIKKERQDLPLGLQESPLSSKIDSTSAISIQDAKTKTPRTIIESSDGSIKAGKKSGKEYWQHTKKWSRGFLESYNAETDPEIKSVMKDVGKDLDKWITEREIKEAADLMDNLPEKGKKLIKEKLDKVKREMELFGPQAVVSKYREYADEKEEDYLWWLDLPRVLCIELYTEEEGEMKAGFYSLEMGADLELDPKQYHAQMEMLGNGNAFVVARPPKDAYRDAKTNGFNVTVIKKGQLQQLNVDQSLEEVEEAITDIGSKIYHEKIMRERSLDVTTVMKGVFGTGKPTKKSCGRIPLILKSQRSFTTVSLIQISY >Solyc01g111070.3.1 pep chromosome:SL3.0:1:97375897:97384375:1 gene:Solyc01g111070.3 transcript:Solyc01g111070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILEKMEGRNEINFGNATILALGKAFPHQLVMQEFLVDGYFKNTNCDDPELKQRLTRLCKTTTVKTRYVVMSEEILKKYPELAVEGLPTVKQRLDICNAAVTEMAIEASQSCIKKWGRPISDITHVVYVSSSEARLPGGDLYLAKGLGLNPETKRVMLYFAGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSVDRPYDLVGVALFGDGAGAMIIGSNPIRDIERPLFELHTAIQHFLPDTEKIIDGRLTEEGISFKLERELPQIIEDNIEDFCDKLINISGIKDREYNKLFWAVHPGGPAILNRLEKKLDLSPDKLSASRRALADYGNASSNTIVYVLEYMLEEKKDITDWGLILAFGPGITFEGILTKNLTI >Solyc12g100250.2.1 pep chromosome:SL3.0:12:68007697:68014918:-1 gene:Solyc12g100250.2 transcript:Solyc12g100250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNSMSNPTTKNRVPSSKPPFTIGDIKKAIPPHCFQRSLVRSSSYLIYDLILVFIFYYIASTYFHVLPKPYYPYLAWPIYWIVQGCVCTALWVIAHECGHQSFSDYQWINDTIGFILHSALLTPYFSWKYSHRRHHSNTNSLEHDENHVPKLEVKLKWYTKLYVNNPLGRLLLIVFTLTAGLPLYYAINIAGRPYDRFASHYDPYSPIYNDRERLQIYISDAGVIATIYLLYRVALTQGLTWVICIYGVPLVIVNGFIVLITLLHHTHASLPHYDSSEWSWLKGALATVDRDYGVLNKVFHHIVDTHVLHHLFSSIPHYHAVEATKAIKPLLGEYYQFDGTPFYKAIWRDFNECQYVEKDEASQHQGDYYQVDDTPILKAMWRDTKECIYVEKDEGSQGRGVYWYKNKL >Solyc03g026340.3.1 pep chromosome:SL3.0:3:3798711:3799983:-1 gene:Solyc03g026340.3 transcript:Solyc03g026340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNQDISFGPGSAVLIDAQSPKFTCKSSFSTLLTEQSTTSYGSAVFSFSGTNGSNGHDFASPVLTLPNASVGKVFMLSDSPVCFEQVVRDKTTEVRKSGSNGSRALGIQSRRNHTIGLGEFEQIDIVVTETVIRWASCTCLPTATSLRSSLVC >Solyc12g038653.1.1 pep chromosome:SL3.0:12:51619811:51620704:-1 gene:Solyc12g038653.1 transcript:Solyc12g038653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDTTYRTNRYDMICAPFVVVNNHWNNTFFGCAFLCNETSGSFVWLFQTFLKAMGGKTPKTIFTDQAPTIAFAIKEVFPGTCHRLCEWHSDRNAQKNIPQLYFKSGFRYCFDILLCNSESEFELIWKKMIDDWDFASNTWLQKLYDLRKNGVLRSTFSADIKSTQRSASTNRVFTEMSCKTMSITEFVKHYEQRTIEMRDIEAIEDYKSRGDPKIFIEDCEILKHAARVYTRRIYTRFQHEFLQGTTKRGSQTKYTILKGESEKTEIVQFNALDNSIICSCHMF >Solyc04g076550.3.1 pep chromosome:SL3.0:4:61536001:61551315:-1 gene:Solyc04g076550.3 transcript:Solyc04g076550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSPAKFLFGFLFASIILWSIFVFASRMLAWILSRAMGASVSFRVGGWKCLRDIGVKFNKGAVESVSIGEIRLSIRQSLVKLGVGFISRDPKLQVLICDLEVVMRASNKISKKAKSRKSRKSGRGKWMVVANMARFLSVSVTEVVVKTPKATVEVKELTLDLSKDGGSKPELFVKLLLAPIFVHFGESRVSYDQLSMHGGSFPSNDRLLAMTERISAPFSCEEFSLMCGFGHDREAGVVVRNVEIGTGDVSINLNEELLLKRKGEDAFSSTNVAIKAVNESGTADKPVKPPVNLAIMKYASIFPEKLSFVLPKLDMKFVHREVGLMVENNIMGIQLKGTKSRSFEDVGESTRVDVQMEFSEIHLLKDGDISVVEILKLDVVSSVYIPLQPASPIRSEVDVKLGGTQCNMVMTRLQPWMRLHALRKKKMVLRGESTTSERSHSYDHKAFMWTSTISAPEMTVVLYDLNGSPLYHYIMPNVWAQFEER >Solyc04g049290.2.1 pep chromosome:SL3.0:4:41316484:41336187:1 gene:Solyc04g049290.2 transcript:Solyc04g049290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEASSNMDPPRNEGDMKPTNLEEVKEEGPLFSCNLFDAEMVRKVAQEFLTGLASACVDNTTGGLFKSPASMAVDIRREMVDYLIQRSETFVAESVVLEGGTETIVSDNPYDNISDFIDDFGQSKRNFFSRVSGWLLSERREDRIDDFVQEMEINGFWLMARRETVAQTVIRNVDFKNTFHCNMKSKSEEELARHVISCGFREIHCENEGCNARFSAAQHEQHDSECPFKILQCEQKCPEMLMRREMDRHCITICTMKLVNCSFYPVGCQSTVPQCKADEHRKENLQSHLVYILKLIYKEASSEALKKRAQQLEQATSGGRLAAARDARSLTTAIKNINAKLGPLEVEKKIEVNPELTEQKGEGTDVSSKNEKSPDSPKTQHDLAATPAKVESPTKSVDVVEPTSKAQVQEDSIDISYNKHRSTEPLVKNVLSPTALNEESSKSPQDVTTSSSKSEESTLSPMKHKDSSSPEIDTHETKDSTPSPKKQHDSTASAPKMSTHEPNPSPKQHHDSTASSPKMSTHDPNPSPKKHHDSTASSPKMIIHDSTPSPKKHHESTTSSPKTGMHESTPSPKKHHECTSSSPKTGRHESTTSPMKHHDSTTSSPKMVTHESTPSPKKHHDSTTSSPKVSTHEST >Solyc12g100210.1.1.1 pep chromosome:SL3.0:12:68002240:68002440:1 gene:Solyc12g100210.1 transcript:Solyc12g100210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCIIYSQPYHIITRWRQPKLSSLRFNFGATPFYKAIWRDFNECQYVEKDEGCQDDKGVFWFKNKL >Solyc09g092700.3.1 pep chromosome:SL3.0:9:72136014:72137695:-1 gene:Solyc09g092700.3 transcript:Solyc09g092700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKNLLIFGLLIAIVLISLQVGASSTQKENKASGDVQEAQLGGGGYPGNGRGGGYPGRGGAYPGRGGGRNGYPWRGCRYGCCRGFRYGKGCAKCCFTAHQTPDAVFEDDINN >Solyc07g005090.3.1 pep chromosome:SL3.0:7:113880:115318:-1 gene:Solyc07g005090.3 transcript:Solyc07g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILSCCIVFFLALCSGVMANPHCKGVKGAYYPSWAFSTFPPSSIDTSLFTHIYYAFLVPNNTTFKFDIDDETSKLLFNFTSTLRSSVKTLFSVGGGGEGPARFSRMASTSVSRLSFIKSSIEVARKYKFDGFDLDWEFPQNKKDMENFAILLNEWRVEVKKESLATKRPQLLITAAVYFSVDFFLWGEFRSYPVPSINKNLDWINLMFYDYRGSWDTSATGAQAALFDTKSNVSTSYGLSTWIKAGALRSKLIMGLPLYGRTWKLKDPNVDGIGAPAVGVGPGDEGTLTYREIEKFNEENNAKVVYDSATVSAYSVAGTSWIGFDDTNSVAMKLHYAQSQRLRGYFFWAVAGDKDWKISTTAKQSWIIS >Solyc07g005790.3.1 pep chromosome:SL3.0:7:641201:647279:-1 gene:Solyc07g005790.3 transcript:Solyc07g005790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILREQPKSHSDESFKLAIAMALVRSKLLHKPPAATPVPPSSCPPLSHSDDAVKWKQKAKERKREILRLKEDLKVAEVRIKERKRRRPDDSLLHRISDCDNDNNIEQLSASVDFLLELCDTISLHSLEEGNFKNWCHQAVDFIIGTLATMSPTERNREPVEGIINSLIMRLLRRMCSALQGDESHHFDNAVQFYIQHLMRKVGNEAFVGQRIIFAVSQRISAMAESLLFMDPFDDAFPSMHNSMYMIWPQKGKGRETGAMGVKPIHVVYLFILSSILKSRLFEEWVVSILHGRKALELLENRNSLYVLYIDRVIGVVAKQVRQLSFLQKLSPQILENLFS >Solyc02g050300.1.1 pep chromosome:SL3.0:2:5013805:5014815:-1 gene:Solyc02g050300.1 transcript:Solyc02g050300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFFIFCDVLMYNNLVLQFVSTIESREGFLVDWWDSFYSMYNSIQAKHAKDPYAEAAQTMDNVVRQVPFVVPSSSPQRAPFIRDFSHKIEQIPNMSQQREARNGADNVEQTMNVEPIVSTLDKAMLPVRDPPKKGSSTSGMQQTP >Solyc11g005240.1.1 pep chromosome:SL3.0:11:197403:200196:-1 gene:Solyc11g005240.1 transcript:Solyc11g005240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPASLTGLHFQILTDCVAMEANVDFHIQDEAERMLKEIDLVMKNVENSEFYAGMHLDLKQNETIQKHFFRLLGSHSHVQVVVYGLGSIEYSFHSQFHLAFVLLLKRDCSHWIDSIVIYDPNMSLADIIVFKKLDLEVLTIDENCKRRVQRPTMFYMPDPYCYHIGNLLGANWSSSCLNRICLLTNSFLDTLTDTPRSGPNLEAVIRLERILPFTTEIKIKLSDNTMYANLFSGFAWHFFDVDPNIDIDKPGWFWLDIQRNLEEEFLEDMKGNMTSEEFAEIWGIYRGFRRLRCNNVLPPPGWTKLNIYGIGREVDQPGQYGGIFQDENGTCLVRYRGVFDVEDNVIAGLEALRLGLARCVEGKPNVEKLIVESDDLTLVQYVNGRPEPNKKAMDKLKEISVLLEHITCATVHYIYEEANEAARKLALSDA >Solyc12g014010.2.1 pep chromosome:SL3.0:12:4844611:4847288:1 gene:Solyc12g014010.2 transcript:Solyc12g014010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGKKIELLFIPSPGIGHLVSTVEMAKLLITREKNMSITVLIIQSPHDNKLPSYIQSLTNFSSSLKFIHLPQDDTVLKLLKSNLFTSYIPAHKPAVRDVVAEIVKTQSNVTLAGIVIDLFCTSMIEVANEFELPAYVFYTCGAAPLGLQFHIQSLTDDFGRDITNYKDEPEAELSIPTYFNPFPAKCLPSVALDKEGGSAMFLDLTRRFRETKGIMINTFLELDSHAINFLSQDKNIPPVYPVGPVLNLNNVQGDNLSLSDQNMMKWLDDQPTSSVVFLCFGSGGSFTIEQVKEISYALENSGCRFLWTLRQPPQKDATLPGDYENFEEVLPEGFLQRTQGIGKVIGWAPQLAILSHKAVGGFVSHCGWNSTLESTYFGVPMATWPMYAEQQANAFQLVKDLEMAVELKMDYRKEPTGKMGQKVIVKAEEIEKAIRELMDPENKIRMKVKEMKEKSRAATTEGGSSYTSIGSFIQSIMENTP >Solyc03g077890.1.1 pep chromosome:SL3.0:3:49920668:49922763:1 gene:Solyc03g077890.1 transcript:Solyc03g077890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGGGEYSIYTTFQSPWWLLIMPFSLPRVEMFLTGPSMLSLKQLCLYPTFRYRKCCDFFLDKCSRGQKELELRRWWSLGTRRRCGKCIPCLYSLAALGVDTKTTFVKGMIDMQSLVVHKLEERFDFVWGICIPNPFEILIWFQDLGFMCCFYVWHFPNTSEMPMQRVLEHVWRSWSLQLLEKRILEVATEEVIMQDMMILVNLGNDEGYHKLGDVFLHDDGIIRGPSIGNEIGLARAIDGGLEGFGHLGTSHKRRVELNSWDMYDL >Solyc09g061250.3.1 pep chromosome:SL3.0:9:58619250:58624414:-1 gene:Solyc09g061250.3 transcript:Solyc09g061250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHHSQLALAKCSRHQRYNEWVFRDVPSDIMIVVDGGTFSLHKFPLVSRSGRIRKLVAGHRDSDISMIELHSLPGGAESFELAAKFCYGVNFEITSANVAQLYCVSDYLEMTEEYSKNNLGSRAEEYLEVVVSKNLEMCVEVLKQCENLLPLADELKIVIRCIDAIASKACVEQIASSFSRLEYSSSGRLHMNRQAKCEGDWWIEDLSVLRIDLYQRVITAMKCRGVRPESIAASLVNYAQKELTKKATSWNQSNQPKVDVVSGSNDHEKVVVETIVSLMPVEKLVVPITFLFGLLRSAVMLDCTVACRLDLERRIGSQLDIATLDDLLIPSFHNAGDTLFDVDTVHRILVSFSQQEDSDEDMDDVSVFESDSPTSPSQTALFKVAKLVDNYLAEIAPDANLKLNKFIAIAESLPAHARTIHDGLYRAIDVYLKAHQSLSDPDRRRLCKLIDFQKLSQESGAHAAQNERLPLQSIVQVLYFEQMRLRNSLFCSYPDDDHKPMQQSWRLNSGAVSAAMSPRDNYASLRRENRELKLELARMRMRLNDLEKDHVCMKKNMEKSNSRRFMSTFTKKIGRLNIFGHSSSRESSSPSKRSQVTDSKLTERT >Solyc08g041664.1.1 pep chromosome:SL3.0:8:26595558:26596665:-1 gene:Solyc08g041664.1 transcript:Solyc08g041664.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIFMCMTYILNIIVQDGLKEIGKSVKLVRQAVKYIKQSPARYRKFKECCESELITCKKSLCLDVPTRRNYTYSMLDIAQHFELAFERYSFYNIGYLNHLRTFGSDSSENKDGTSVEDETSVEDGTTANILSSILEKCEVNEMMTNEDCNLKEMAESMNVKFKKYWGKPQKMNMMIFISSVLDPRNKLDYVPFAIVDICGNFVNRGRMRTKQQFEKHKEVSGSSGNKSELERYLAEDIETDSDDFDILMWWKWFVMVTHSHFKCRIRMCIKHMRSCS >Solyc01g106780.3.1 pep chromosome:SL3.0:1:94443840:94448625:1 gene:Solyc01g106780.3 transcript:Solyc01g106780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:K4B2P5] MAVVGDLALMGTKIKLTDKFEKRVFGVPLSSSTRFSFSHVKNLDVRKLRTAVRAVMQVEKIQSSGELKEGSLGFDVVSERELKENGFLGLRKTKLVCTIGPACSSIDELEKLAIAGMNVARLNMCHNSREWHQDVIRKIKKLNQEKGYCVSVMIDTEGNQIQVDHGSSSSVKAEEDSIWYFTTEKFEGSRPFTIQANYEGFSEGVNSGDEIVIDGGMATFEVIEKVGNGLRCKCTDPGLLLPRAKLSFWRDGKLLGRDYDLPTLSTKDWSDIVFGISEDIDFIAVSFVKDAEPITHLRDYLSTTSSKAIKVLAKIESLESLRRLEEIVEASDGIMIARGDLGVEIPLEQIPSVQKDITYVCRQLNKPVIVASQLLESMVEYPTPTRAEVADVSEVVRQYADALMLSGESAIGSYGMKALSVLRTTSTRMEQSCREESRQTLLHQRKLGASLPDQIAEQICHCAVEMADNLGVDAIFVYTRHGKMASLLSRNRPNPPIFAFTNDNSTQMALNLQWGVTPLLTDLSDDMEGNVKKTVELIKAKGMIKKDDAILVVSDIIPISTARTIFQSIQVMTIT >Solyc08g042030.2.1 pep chromosome:SL3.0:8:24146572:24157933:-1 gene:Solyc08g042030.2 transcript:Solyc08g042030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTIGQLIQIFPEEKMETKGSSPCPYTATVRRNPHRKARATPFSTAPVSLLQPSASPPQNIPSFPIEEILSMEVPEKKLTEASSENLKVFMRIRTLNIQRETLKKVSEMKKTKNTWPKNPKSTNALPKKLKKSNEVCVTVNDAHSVTVSPPQSLQDGKRIKSEVYQGFSQVFSSEASQREVYEKMVSPLVEDLLNGRSGMLAALGPSCSGKTHTIFGCGRDPGMVPLALDRILSQEDNKMQSQRIFYLSMFEISSEKGKSEKIFDLLKDGADLFIHQSSIKGMREAILYDPLQAESLIASGLLKRATAMTNSNNQSSRSQCIINIRCEYKEVNGEVGDNSNSAVLTIVDLAGAEREKKTGNQGIRLLESNFINNTSMVFGLCLRSLLEHQKNPRKPMRKHFQNSLVMFYTYEIIWKARNGWLQLLTVRPGEEDYLDTSFLLRQASPYTKIKFDIIEEHGILNHNKRPVQRTPSYVQLKRMKLSKNEDPEINQGSDECPQLLNKEAAAKGMKDVSLTDSRVQSEEIISIEANVGNILRVDQVELERKERNHHILQNFGKALWKVLKEYKKKLEVAENEICTLRNCLTSEKSRSAELENQLRDWQSNCCCREGKKSLDCEVAENETCTPRDHITNEKTRFSKLEYELRDWRSNCSSRKGILSEVSFREVDEFERKDLEDHQSNDYNKVESSTGDATAAEDQENTNELNAESPQWNVKEPAVLSGSCSSTDQEYRRKEESFGNNKFLNPIHIQ >Solyc03g113590.3.1 pep chromosome:SL3.0:3:65152594:65159555:-1 gene:Solyc03g113590.3 transcript:Solyc03g113590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5-formyltetrahydrofolate cyclo-ligase [Source:UniProtKB/TrEMBL;Acc:Q8LKF6] MAIPWAVSLSTSRFFPTRSAILRRQTSPCSATATMSTAGEQNTSDTAHLDTIFKQKKALRLVVKRDLKSMDPTLRSEEDEAIQRIVMEAPWFKACKGLCAYISCSALREVDTSRILSHILSSHSEMRKKLFVPRVEDRNRNMRMLNISSTEDLIANSMNILEPAPLDAEGNEREDVLFANEPVDLLILPGLAFDKAGRRLGRGGGYYDTFLSRYQELAEKRNWKQPLKVALSYSVQIVDEGTIPLTPNDVLVDALVSPSGVIPISPAALEFCQ >Solyc04g050574.1.1 pep chromosome:SL3.0:4:47602976:47604248:-1 gene:Solyc04g050574.1 transcript:Solyc04g050574.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLPQILSYGQKNLVFAKYGVYWCNMRKWCKVHLLSNQKIHSLQSMRKQQVELLIESLKNEARDRVVVDLSAKVTSLNANLTCLMVFGKKYMDEDLDKRGFKDLVQDILHLARMPNLGDFPPFLGAIDLQGITRKLKDLSKVFDEFLEKIIDEHVHAHEQKQNKDFVDTMMDIMQSGDAKFQFDRHHIKAILFDMLIAAVDTTASSTEWILTELLRHPHVTKKLQKELQEVVGLDRMVEESDLENLKYLDMVVKEGLRLHPVAPILHHESMEDCVVDGFHLQRGSRMIVNCYAIYMDPNFRGRDFQLVPFGSGRRSCPRMQLAVTVVRLVVAQLVHCFEWELPSCISLVI >Solyc08g005660.2.1 pep chromosome:SL3.0:8:515955:518029:1 gene:Solyc08g005660.2 transcript:Solyc08g005660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIVSQHFFISLKSSLDLQCWKSSSPSSISMGEFKGIHDKLQILKLPLTMSDRGLSKISCSLSLQTEKLRYDNDDNDDLELHEELIPKHIALIMDGNRRWAKAKGLEVYEGHKLIIPKLKEICDISSKLGIQVITAFAFSTENWKRSKEEVDFLMQLFEEFFNEFLRYCIFRLKYTNVIKG >Solyc06g068980.3.1 pep chromosome:SL3.0:6:42906670:42912361:-1 gene:Solyc06g068980.3 transcript:Solyc06g068980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPGTLIPSDTSGVHGDYEESILSISPSSKDVDSHPGSNSSGIASSLGDHSDYGTADKRSRFAESTSAGNESPSSGNPELQVKAEKESYNTFLDFTKAYSPKEQGQETSSRAGHARSAFTHARSPSWTEGVSSPAAHKMKVKDASQYMIDAAKENPQLAQKLHTVLLESGVIAPPNLFAEIYPEQLDVSHIEGKSRLEERDEFQKVRGQSDKNRARFLPPLPYHSPYSKGNAHCERADGDADTAVYEQQGCGHQEHEAAGANSEGERMSDKSTSNDSTKSDVTLDDVADCEIPWEDIALGERIGLGSYGEVYRGEWHGTEVAVKKFLDQDITGESLEEFRSEVRIMKRLRHPNVVLFMGAVTRSPHLSIVTEFLHRGSLYRLIHRPNNQLDERRRLRMALDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTCFLFHILPGYFIVDPLSMKLVMLPSCILANQPYIILYRPLEKIRFVKKKKKKTLFVHSAEWMAPEVLRNEPSNEKVTVSQIDLFSGIRYMIDDVGLSIVPCRCDVYSFGVILWELCTLQQPWGGMNPMQVVGAVGFQHRRLDIPDDTDPAIADIIRKCWQTDPKLRPSFTEIMAALKPLQKPITSSHAPKPPVARGQPS >Solyc09g018170.3.1 pep chromosome:SL3.0:9:13399646:13407916:1 gene:Solyc09g018170.3 transcript:Solyc09g018170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVGMNEMLDVNGTNLELEYVEVDSSKRYGRYKEILGKGATKIVYRAFDELLGMEVAWNQVKLNDMFRSPEELQRLYSEVHLLKELDHEAIMKFHASWINVEGRTFNFITEMFTSGTLREYRHKYKRLNIHAIKNWGCQILNGLAYLHCHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLATILCGSHHAHSVIGTPEFMAPELYEEDYDELVDVYSFGMCVLEMLTSEYPYSECSNPAQIYKKVTSGKLPKAFYKINDPEAQRFVGRCLSPVSERPSASELLRDPFLAVDEHEDLPPAINLSCQKYMPNEKQNEIIPFQPDDSVLDGTNMTITGTMNPEDYTIFLKVQIFQKNGQARNIFFPFDISSDTAMEVAAEMVKELDITDWDPLQIADMIDTEISDLIPEWKNSRSLQNYEQQHSFNYSTFHQGKLDHDWLQDELKLYDDSSSQCSMNSYESYNNVNFHENDADCMSSKKAESQCTQQISKCSTRFCPETSLLSKNQNTMQMNNQRPKLTKVRSLVDIRSQLLHRSLVEEINKRRLFKTNLAPFTNAQAFGLHNYGGKFAFAKD >Solyc05g012790.3.1 pep chromosome:SL3.0:5:5958429:5965069:1 gene:Solyc05g012790.3 transcript:Solyc05g012790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BY01] MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKLFQYIVMGLYTPLIISAFGLYIWCAAADPADPGVFRSKKYIKKLDHEKQVQLKESKLGCETNSSIQDANAASIGENASGKSNKGAEPAADHNETEQKITATRERSFSSGLLALLPCALISNCTGRHEESSQQQLSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDQFDHHCRWINNCIGKRNYRKFFALMVSALLLLILQWSTGILVLICCFIEKKKFSAEITSKLGSSFSIVPFVIVVAVCTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALRDQEQQGVAGQQSPQMSTVSSLTGLSSASSFNTFHRAAWCTPPRLFVEDQYDVVPPDTVSVSSLGKRSMADEPIKKKNPAAVKISPWTLARLNAEDVSKAAAEARKKSKILQPVVRNKEPYILETNSSLGSSGRRMVPRLDNNRRRASKRVRLPAELPFETMSKIPNDIAQNSRRPMLTESSSSLAPLQLEARSDFRTTRGLSTSGVVVASSPESSLDSPDIHPLRMSSSGVEDAARLVGHLSSGMTLQKDTPLSRSTSDGYEASGGEDSDRVPTRIVQRSTRWSSILFGSDQQDDRVRRLMVPSSSTQANIRKH >Solyc06g068670.3.1 pep chromosome:SL3.0:6:42685378:42688296:-1 gene:Solyc06g068670.3 transcript:Solyc06g068670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMVSCGIPTSLKLIEKQPVSIPGFFNGSLALNPVQKLHVTPKRLALSGSTIVLKASATAVEDGSSQETAAVPTPKVVIDLDSDPEATIVEVTFGDRLGALLDTMNALKNLGLNVVKANVCLDSSGKHNTFAITKASTGRKVDDPELLEAIRLTIINNMMEYHPESSSRLAMGEAFGVFQPYQKIDVDIATHIHVYDDAPERSLLCVETADRPGLIVDLVKTITDINVDVESGEFDTEGLLAKAKFHVNYKGKALIKPLQQVLANSLRYFLRRPTTEDASF >Solyc12g056355.1.1 pep chromosome:SL3.0:12:63255781:63256722:-1 gene:Solyc12g056355.1 transcript:Solyc12g056355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKANWLLHQSHDNWIKIPCQKGATAADCRSDAERCHEYLESKSLYWSFFGCYGNLRIGS >Solyc10g086070.2.1 pep chromosome:SL3.0:10:65158636:65166153:1 gene:Solyc10g086070.2 transcript:Solyc10g086070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSSNSNSNSMMSPASASASANNAAQSPGLKTYFKTPEGKYKLHNEKTYPAGLLHFSHGKTVTQVSLAPLKDKPIQAQPHSTSSFGVTSGVKSAAARLLGGGNGSKSLSFVGGNGGSKSVSGMSGRAGSFGVTSSNNSGSIPNFDGKGTYLIFNVGDTIFISDLNSRDKDPIKSIHFSNSNPVCHAFDPDAKEGHDLLIGLSSGDVYSVSLRQQMQDVGKKLIGAQHYNKDGSINNSRCTSITWVPNSDGAFVVAHADGNFYVYDKSKDGSADPSFPVIKDQTHFSVAHARYSKNPVARWHICQGSINSIAFSTDGAYIATVGRDGYLRIFDYKNEQLICGGKSYYGALLCCAWSMDGKYVLAGGEDDLVQVWSMEERKVVAWGEGHNSWVSGVAFDSYWSAPNSDGTDENVVYRFGSVGQDTQLLLWDLEMDEIVVPMRRPHGGSPTYSTGSQSSHWDRACPVGTLQPAPSMRDVPKLSPLVSHRVHTEPLSGVMFTQESVLTICREGHIKVWVRPEFGETQTSNSESLLGTSLKEKPPISGKVVSSKFQAMT >Solyc04g009740.3.1 pep chromosome:SL3.0:4:3060799:3072491:-1 gene:Solyc04g009740.3 transcript:Solyc04g009740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISRNSGGVGGVVGGVDMLSERARMMRDSLQKSQSITDNVVNILGSFDHRLSALETAMRPTQIRTHAIRTAHGNIDKALKAAEVILSQFDISRQAEIKILKGPHEDLESYLQAIDQLRDNIRFFSNNKSFKSSDGVLNHANSLLTKAILKLEEEFKQLLLSYSKPIEPDRLFECLPNSMRPPSSPGHQDSSGKSHLSNSNAEQDGAGNAVFTPPTLIPPRILPLLHDLAQQMVQAGHQQQFIKIYRDTRSPVLEESLRSLGVEKLSKDDVQKMQWEVLEAKIGNWIHFMRIAVKLLFAGERKVCDQVLEGFDSLNDQCFAEVTTASVAVLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHSEIESLFRGKACNEIKESAFGLTKQLAQTAQETFGDFEEAVEKDATKTAVSDGTVHPLTSYVINYVKFLYQSTLKQLFQEFENGGDSNSQLAAVTMRIMQALQTNLDGKSKQYKDSALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRIAWAKILQSLSIQGLTSSGGSSSSGVDGQNSSGVSRSTVKERFKMFNAQFEELHQRQSQWAVPDTELRESLRLAVAEVLLPAYRSFIKRFGPLVESGKNAQKYVRYSAEDLDRMLGEFFEGKTFNEPKR >Solyc12g099000.2.1 pep chromosome:SL3.0:12:67298171:67300163:-1 gene:Solyc12g099000.2 transcript:Solyc12g099000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETRGLSYLESIVDGRFREMETFLFTSESVNEGHPDKLCDQVSDAVLDACLAQDPESKVACETCTKTNLVMVFGEITTKANIDYEKIVRDTCREIGFVSPDVGLDADNCRVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCSWLRPDGKTQVTVEYHNDNGAMVPLRVHTVLISTQHDETVTNDEIARDLKEHVIKPVIPEKYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILNIVKENFDFRPGMISINLDLLRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKWDKPEA >Solyc02g088060.2.1 pep chromosome:SL3.0:2:50900236:50901654:-1 gene:Solyc02g088060.2 transcript:Solyc02g088060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLIKSLTHVCLDYGSDQRVLMDLDDLENIPIEQVCENLRCKEDEGLTYTDVEERLSIYGHNKLEEKTESKFFNFLRYSRNPLSRTMVAAAIMAMAIVRKASRLVPFLDLEKWCRVLIRGQWCEVNSSNLVPGDMIRIKMGDIVPADVRILKYCTTDDPVVKIDQSDLTGESLPVTKSRRGDCRNAAAAHLVKKFQPSAEQANLEVSSDTEQSVHSVIDTFADKVLHSITVAIQEVPHGGKEKSGSPWQFIGVLPFVDPPREDCASSIRELLNHGVNVKMITRDQLVIGKEIGRRVGMGTNMYPSSALLGHNYRHEDWEFIQLISTMLVVFGDQSIGWSCVGKIWLYNIVFYVPLYCIKFFNQE >Solyc10g078420.2.1 pep chromosome:SL3.0:10:60369455:60376028:-1 gene:Solyc10g078420.2 transcript:Solyc10g078420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHRARPMAGLLLFTGLNVVLVSTITPVYDFVCFHPYWERRREHRRQEREAALRSSTSAQV >Solyc07g064900.3.1 pep chromosome:SL3.0:7:67009167:67012877:1 gene:Solyc07g064900.3 transcript:Solyc07g064900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDESKGESQSQSLLNKKSILYARSKSHAYDELRVFRRWLKWMCVDQSDTLSTVLSWFVFIIFVIVVPCLSHFLLACADCDANHDRPYDNVVQLSLSGVAALSFICLTGFVKKFGLRRFLFLDKLCDESETVRKCYMEELNRSLKILFIFVLPCFAAESIYKIWWYSSGGTQIPFLGNVIVSDVVACLLELSSWLYRTTVFFLVCVLFRLICYLQILRLRDFAQIFHIDSDVETVLREHLRIRRHLRIISHRYRIFILLALIFVTASQFASLLMTTRSTADLHIYKSGELALCSLSLLAGLLILLRSAVRITHKAQAVTCLAAKWHVCATIDSFEAVEGETPPISQITSNQVFPVTSEGSSDADDVGDEEDELDNTKFVHSYAYSTISFQKRQALVTYFEHNRAGITLYGFMLDRSYLHTIFGMELALVLWLLGKTIASIA >Solyc12g056130.2.1 pep chromosome:SL3.0:12:63037137:63046826:-1 gene:Solyc12g056130.2 transcript:Solyc12g056130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNFSDPLTKMDPLGYGSGTGSNFSFAYDNAAFSDRILTIQIVPNSKSDGEGCSSTAGDLDRKRKRRREETSKQNDADVLTQHKDKVLNCTMLDTEVLAYENQDEEAVAMAEESSSRVEMTTDHPAALSVKTIRISSAILAAQSPFFYKLFSNGMRESESEKQLVTVQIYASEEAAFVKLLQFMYSNTLSTIPATAMLDVLMAADKFEVASCMRHCSRLLLNLPMTCELASLFLNLPSSVSIADAVQPLLDAAKQFLAAHFKDITKFQVEVLNLPIAGFEAVLCNDDLQVASEDVVYDFVLKWARTHYPNLEERREILGSRLCHLIRFPYMTCSKLRKVLTCNDFDPELASKNVLGALFFKAEAPHRQRLLVAEEGNASCSSFVERAYKFRPIKVLNFELPFQQCIVYLDLNRQECNNLFPAGRIYSEAFHLGGQGFYLSARCNMDQQNSFHWFGMFLSMQEQGLETFTVDFDFAALTTLSEDYVSRFKGSHTFTRGKGVGFRNLVGIPWTTFIAQGSVYFINGVLRLRAVLTARQ >Solyc04g078750.3.1 pep chromosome:SL3.0:4:63500272:63502934:1 gene:Solyc04g078750.3 transcript:Solyc04g078750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKTDSEDTSLAPSSPDNRGPTAYYVQSPSRDSHDGEKTTTSFHSTPVISPMGSPPHSHSSVGRHSRDSSSSRFSGSLKPGSQKILPDAAGGVGGRHHRKGQKPWKECDVIEEEGLLEDDRSSKSLPRRCYVLAFVVGFFVLFSFFALILWGASRPQKPKITMRTIKFDHFGIQAGSDATGVATDMISMNATVKFLYRNTASFFGVHVTSTPLDLSFSELTIGSGAMKKFYQSRKSQRVVAVSVIGNKIPLYGGGASLSTPAGATPLPVPLKLNFKLRSRAYVLGKMVKPKFYKTIDCLLTLRPQKMNAAISLKNCTYS >Solyc03g063220.2.1 pep chromosome:SL3.0:3:35883426:35917164:-1 gene:Solyc03g063220.2 transcript:Solyc03g063220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVYKCKPDHETRVRRQKGLEAPKEPQRPKTHWDHVLEEMVWLSKDFESERKWKLTLAKKVAIRASKGMLDQATRGEKRVKEEEQRLRKVALNISKDIKKFWLKIEKLVLYKHQLEVDEKKKKTLDKQLEFLLGQTERYSTMLAENLVSSQSTCKRTNSLPAPEAFRIQCKDGSEGDVTNRDCVGENLQPLSTGSDIDDDFGVQSEDEMEDDEHTIEEDEAVITKEEREEELAALQNEVDLPLEELLKRYAIGEASRDCSPEKSAADVIVSSGKGRDKCRDVDVATETDKDSSPAISGRRSVESNGVLSVPNNYCSDLGKEKLRSSRKKYQEFGQINLLDDFNDEQDDDDYVVAVGEDKGYNMDDETTLLEEEELANAEANDAADEVKAQSLDLTEILMHSVIHRPSPQLSRHIALLQKESELPLDELLARYKEDFDTDEYVDDDSESYASASDELLDSPAHNESEPVRVNDVPCDVLPTTVAEDGENEVESVDKTGEEKQSEDIIADAAAAARSAQPTGSTFSTTKVRTKFPFLLKFPLREYQHIGLDWLVTMYEKKLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLRWCPAFKILTYFGSAKERKIKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFCNPISGMVEGQEKVNKEVVDRLHNVLRPFILRRLKRDVEKQLPSKHEHVIYCKLSRRQRNLYEDFIASSETQATLASSNFFGMISVIMQLRKVCNHPDLFEGRPIVSSFDMSGIDMHLSSSICSMLSPGIFSTINLGALGLLFTHLDFSMTSWESNDVQSMATPSSLIEGRVSLIHDEETSLGLKRNKKFHGTNIFEEIQKALAEERLREAKERAAAIARWNSIKCKQKPVYSTSLREIVTVKNPVHGIYCQKSNPMSFLYSARLAESILTPVERFQQMVDQVETFMFAIPAARSPAPACWCSKPGTAIFFSPTFKETCSEVLSPLLTPFRPAIVRRQVYFPDRRLIQFDCGKLQELAGLLRRLKSEGHRALIFTQMTKMLDVLEAFINLYGYTYMRLDGSTPPEERQTLMQRFNTNPKIFLFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGSYNTEFFKKLDPMELFSGHRTVSLKNIEVVKNSNVTEVQLSNADVEAALQNVEDEADYMALKKVEEEEAVDNQEFTEEAIVSKELVATSNVSNPLKEQAITFASKEDDIDMLADVKQMAAAAAAAGQAILSFESQLRPIDRYAVRFLELWDPIIDKTAIESQGHFEETEWELDRIEKLKEDMEAEIDDDEEPLVYESWDTDYATEAYRQQVETLAKHQLKEELEAEAKEKELAEYENSMGHTSSVPKTKSKKKAKKTKFKSLKKGGLASERQSLKEESSIELMPIDDDNLSSEPVTTPDSAQEKKRKLPRYDEDVKGAKKSKKMKKSSEVSSLVIHSTYLGKRQVESKELKQYDVGTMNIELKPISRSKMGGKVLVSPIPVKRVFSIKSERPIRKGKTWSKDYFPSADSWLQQEDAVLCASVHEYGPHWSLVSDILYGMTAGGAYRGRYRHPLHCCERFRELVQRYVLSAADNVNDRSNNTGSVKGLLKVTEGRDLSKNETIGDSVTGENVRLVLDIASEIPDHEPLVQIHFFALLSSVWKVQKNLKKTFSSSQNGFFHSGSLFSPIMNRVSTNHSMGPPIRRFSNSSLCTKLVAIALSDQQSAQSDERVRICDQREEVSFPSEHLDITLEFGAEKDDKTIPLLHPVTVKILGPESSLFPRMTTAEHHHFKSSQIMAENRFWAASSSEVCLDWASLAFPIRDAKSRTPLKSQFLGKHKPSDSVKVSKSKSRKILMESSDVGHTKDQLFPPMPSVSDDSCPTADVGFSFLTESGNDFEDRTLLDLNPIFNAGSEDVLRHDYVPEFISGLDDWSVFPEFTDIG >Solyc03g118440.2.1 pep chromosome:SL3.0:3:68805827:68807846:1 gene:Solyc03g118440.2 transcript:Solyc03g118440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAVADVTNKRLKPSVEDNGTTQTDSEVAKTVEEETAVATLTSEQMELEIANILEKINRFTNLKVSELLESGKSMLKELSNEFEERIILIHKEQMEKWQEEIKELRLLDTSNEEADGLLLNAKYLLQNVRGES >Solyc01g079360.3.1 pep chromosome:SL3.0:1:78310567:78311913:-1 gene:Solyc01g079360.3 transcript:Solyc01g079360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKPTKSQSKTSRLLQPQFLASKNRKMAQKVVMTVQMEAKSLKQKNEGPPSDCWSWRKYGQKPIKGSPYPRGYYRCSSSKGCSAKKQVERCSKDASLFIITYTSSHNHPGPNLPKDSVKQEPVVDQHVTTTSTSIPQGILEENLFTDNFLGTISYDDFLPLSYPQLMKFPKSELSEENDFYDELGELELPPSSSTSFAGIFEEAILVDPSS >Solyc01g010770.3.1 pep chromosome:SL3.0:1:5766511:5772212:-1 gene:Solyc01g010770.3 transcript:Solyc01g010770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFGCIQVDQSTIAIKENFGKYDDVLGPGFHFMPWCLGSQLAGYLSLRVQQLDITCESKTKDNVFVTLVASIQYRALADKAADAFYKLSNTKEQIQAYVFDVIRATIPTLELDKVFEQKTEIAKTVGERLEKVMSLYGYEILQALIVDVEPDDQVKSSMNEINAASRLREAAFEKAEGEKILQIKQAEGEAESKYLAGLGIARQRQAIVEGLKESVIGFSTSVPGASTKDVMDMILITQYFDAMKEISVSSNTSAVFIPHEPEDASSVQR >Solyc04g015180.3.1 pep chromosome:SL3.0:4:5360846:5370967:1 gene:Solyc04g015180.3 transcript:Solyc04g015180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGGKGFSLPKSGKSSLKSPASKGKDDSSAKSKRGRKVQFDSEGSLDTNSTKSNGKADIPSFKGDLGKAGKGEKAGSAGKSQKAKAPDPLELRVEQELSTKTTCMMDCEAADILQGIQENMVVLSDDPAIKLPVSFDRGLAYGQRIRLYDNPQAVEQILGPLKQHGVSDGELCMIANFPLESVDEVFAFVPSFKNRKSKLRVPLENVLAELTKLRKAA >Solyc04g055200.3.1 pep chromosome:SL3.0:4:53763613:53783222:-1 gene:Solyc04g055200.3 transcript:Solyc04g055200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILAIFGCTDNSHAKRSRVIELSRRLRHRGPDWSGLHSHEDCYLAHQRLAIVDPTSGDQPLYNEDKTIVVAVNGEIYNHKELREKLKSHQFRTESDCEVIAHLYEEYGENFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYMGWGLDGSIWFSSEMKALSDDCERFVSFLPGHIYSSKNGGLRRWYNPPWFSETIPSTPYDPLVLRKAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVASRYLADTDAGRQWGSQLHTFCVGLKGSPDLKAAREVADYIGTRHHEFHFTVQEGIDALEEVIYHVETYDVTTIRASTPMFLMSRKIKSLGVKMVLSGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINIAMNIDPEWKMIRPDLGRIEKWVVRNAFDDDQNPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANSQVSDSMLANASFVYPHNTPTTKEGYYYRTIFERYFPKAAARETVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHEAAYEVKEL >Solyc01g090160.3.1 pep chromosome:SL3.0:1:83665699:83669649:-1 gene:Solyc01g090160.3 transcript:Solyc01g090160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSQNQKNQKIRNANGEDQRRNNTERSNRKFFEPIFHFSSSNFFT >Solyc07g045050.3.1 pep chromosome:SL3.0:7:58271236:58277677:1 gene:Solyc07g045050.3 transcript:Solyc07g045050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxyphenylpyruvate dioxygenase [Source:UniProtKB/TrEMBL;Acc:K4CER3] MGKQAAAAADDQQLPGDIEDKFKLVGFNHFVRTNPRSDFFTVKRFHHIEFWCGDATNTARRFSWGLGLPIAAISDLSTGNSVHASYLLRSSSSSQLQFLFTAPYSSAISTSSSASIPTFSVSSHRSFTATHGLGVRAIALEVENSRLAFSTCVAHGAKPVSEPVILNDEVVIAEVHLYGDVVLRFVSFLKDSNCFVFLPGFESVEGAQLDYGIRRLDHAVGNVPELGPVVEYIKSFTGFHEFAEFTAEDVGTAESGLNSVVLANNDETVLFPLNEPVYGTKRKSQIQTYLEHNEGAGVQHLALVTEDIFRTLREMRKRSGIGGFEFMPSPPPTYYKNLKTRAGDILSDEQIQECEELGILVDRDDQGTLLQIFTKPVGDRPTIFLEIIQRIGCMLKNEEGELYQKGGCGGFGKGNFSELFKSIEEYEKTLEAKQNTQVAIA >Solyc01g006150.3.1 pep chromosome:SL3.0:1:797118:801691:-1 gene:Solyc01g006150.3 transcript:Solyc01g006150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEIKNEVKTPLLDGWKLSYSGRRNSVTTLRGDFLARLPEKVKSCVNVDVESSSSNIDNTKSSSLSKGEKDYYEKQFETLKSFEEVDSIVASSFIDDEDLDEQSQDEKAMQISNYANVLLLALKIYATVTSGSLAIAASTLDSLLDLMAGGILWLSHLSMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVFIQAVEQLVKDESPEKMTSDQIFWLYTIMITATVVKLALWLYCRSSGNNIVRAYAKDHYFDVVTNVVGLVAAVLGDKFYWWIDPVGAIMLALYTITNWSGTVLENAVSLVGQSAPPEYLQKLTYLVIRHPQVKRIDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGETLQIKLEKLPEVERAFVHLDFECEHKPEHSVLSRLPNTEP >Solyc02g084750.3.1 pep chromosome:SL3.0:2:48488240:48493200:-1 gene:Solyc02g084750.3 transcript:Solyc02g084750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSLSTMLSQPHCAVRIKFPKQYSVRFLSSKLLEVQSTSSRRTSVSLGRSSTFSIKISRNFSQCHSRTSLTSKNQIPTLRDFIPKATQTVSSSDVQQESVMISDVMPRGRIYHETYGCQMNVNDMEIVLSIMKNAGYTESVEVPENAEIIFINTCAIRDNAELKVWQRLNYFWFLKRQWKSNVASGRSQSAHPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEEVDYGQKGINTLLSLEETYADINPVRISKNSISAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVAELWKEGVKEVTLLGQNVNSYNDTSGVENPDEPAVSWELSDGFSSMFKVKHVGLRFADLLDRLAIEFPEMRFRYTSPHPKDFPDDLLYVMRDRYNICKSIHLPAQSGSSAVLERMRRGYTREVYLDLVKKIRDIIPDMGISSDFICGFCGETEEDHEDTLSLVKTVCYDMAYMFAYSMREKTHAHRKYVDDVPEDVKQRRLTELIEAFRGSTGQCYDAKIGTIQLVLVEGPNKRAPDTELIGKSDRGHRVSFTNLLIPDKVDNNGKRNPKVGDYVEVHITKSTRASLFGEALAITKLSSFYNTSHEEAVAFASRT >Solyc12g014460.2.1 pep chromosome:SL3.0:12:5441166:5447565:1 gene:Solyc12g014460.2 transcript:Solyc12g014460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDTSPINRCSKDHEKIYQQWFSLADSDGDGRLTGGDAIKFFAMSNLPRQDLKQVWAIADSKRQGFLGFREFIAAMQLVSLAQAGHPVSSDLLNAEVDFENLQPPSLEGLDLLQTKKKRMPKSEPEQNGSSTMQSSATANWFSSSASVKKVSLSSVTSIVDGLKKLYLQKLKPLEATYHFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLGTSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVQANMPFSGLTTFGSAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRSYDFTGVTSWFASKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPINDAAIGPIGKELFEKEQDDLLTDLKNIPKKACDRRINEFVKRARAAKIHSYIISHLKKEMPAMIGKAKTQQKLIDNLEDQFMKVQKEHHLPAGDFPNIEHFREVLSGYNIDKFEKLKPKLIQSVDDMLGYDIPELLKNFRNPYD >Solyc09g011295.1.1 pep chromosome:SL3.0:9:4632297:4632839:1 gene:Solyc09g011295.1 transcript:Solyc09g011295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSMSLLIFFLPYVFLSSVDLFAENLRVYAITPLIQEACDSCNIPKFCYDVLGNDPAAQFSSTKFNIEAATIQLAYSNYTNIHRKVSIITSKETNREYKQGFINTLLFRSNNFVQEVKDAADHLTNCMIFFYDSPNIPNPIAQDNDSLLSFFDLLRSMHYNL >Solyc01g059884.1.1.1 pep chromosome:SL3.0:1:68995447:68996562:1 gene:Solyc01g059884.1 transcript:Solyc01g059884.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKVKLAFMENRNARRVSYRKRLKGFLTKARELNTLCDVELTTLVNSDYHDEPEVFPNHEVVTSVFTKFIDLPEEKRSKNMKTYEMITEKRIEKIEKELEKVRKENKKMEYTNQMYELLNGEEMPNNRLPEYFNDLCYVIKKHLKLINDGIKEKTNNEGSSSNAPLPIDAPINSDVTSFDMQWDPLLVPIDSQVLSEIPLLVSSTIPSGINFDGPRAPLNLSPPSMIPSNAPSQMLQFMFPLNNPPRMIPHVDLSQVPFLLSSQRYPEMAYPILPTTIAYPTPSPTITPPMSNLVITQSAPQIDPLINIPPMSSSTPMGDNVDGSLGIPRSPSFSDLLSLNDDELMTLFDDTSFNINVQDPNHHHNNNL >Solyc01g099830.3.1 pep chromosome:SL3.0:1:89837863:89839744:1 gene:Solyc01g099830.3 transcript:Solyc01g099830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGSSGATKGGKKKGATFVIDCAKPVEDNIMEIASLEKFLQERIKVGGKAGALGDSVTVTRDKTKITVTSDSTFSKRYLKYLTKKYLKKNSVRDWLRVISSNKDRNVYELRYFNIADNEAEEED >Solyc06g072270.3.1.1 pep chromosome:SL3.0:6:44692304:44693383:-1 gene:Solyc06g072270.3 transcript:Solyc06g072270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGNTGGGEMAGNSALNYYCYGCQSTVPLPNSELSCPNCNGTFVEESPSPDPVTGANPDSSFSFPTDSGNESDDEVSALFESGFGRSPVEVDPVTFMNRDGDGTIQLLLENNHENELPIIFGDFTVGRYLVQLIRQLAVIDLNMNGTPPAARSAVLGLPDVRVSDELLNSDLSQCAVCKDGFKLDEMVKQMPCKHMYHNDCILPWLEMRNSCPVCRFELPTDDFAYEIRRRRNANNAGLLSRGLEGGGNQGTLDSNGGLLSVDAGNQGNGGTVESYGALFSMGAEVGGSRGNRGTVERRVRISVPGLLRGLQSHAETSSSTGGGGSNDGVKNDGDSSSSDDTHGEPNPHPGGHGQAN >Solyc01g067020.3.1 pep chromosome:SL3.0:1:75167847:75172116:-1 gene:Solyc01g067020.3 transcript:Solyc01g067020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNSIGNLTELRSLSLRSNSLSGLLPPDIGSCTELRILNLENNNFSGSIPTTFFNLNNLIRVSLSGNRFSGEISDAFNNLTRMRTLYLENNNFSGSLPDLKNLSQLNEFNVSFNRLTGSIPSSLNQFSASSFLGNSLCGSLSPCPENNNITNQSDKLSSGAIAGIVIGSIIGFCILLLVLFMLVRSFYRSKKSFRQVNVSPTPNQVVSSPHDSIATENHDIEDVFSDKKVRVCDDSTKGMVYFGESFEVFGLEDLLMASAEVLGKGLTGTTYKAYLDSDVEVVVKRLRNVCVSEEEFRAKMEVSGGIGHGNLVPLRAYYYGREEKLVVYDSMPTSLYAVLHGEGVSKEALTWVIRSRIALGVANGIEYLHSLGPKVTHGNIKSSNILLTHYYDAYLSEFGITQLISSTSNSKMSGYYAPEVTDIRNVSQKADVYSFGTVLLELLTGKNPSSVINDEGIDLPKWVKCIVQERGTTQVFDPELIRFQNCDEEQMVSLLHLAISCTSQHPERRPPMADTTRRIKEIVM >Solyc05g053390.3.1 pep chromosome:SL3.0:5:64338057:64343673:-1 gene:Solyc05g053390.3 transcript:Solyc05g053390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLAQQRDLQAKIPDIEKCLDIVATLQAKKDSNEALVADFEVSEGIYSRAKIEDAESVCLWLGANVMLQYSCEEATALLQTNLENAKASLEVLVADLQFLRDQVTITQVTTARVYNWDVHQRRVRQATTPKES >Solyc10g083567.1.1 pep chromosome:SL3.0:10:63480322:63481343:1 gene:Solyc10g083567.1 transcript:Solyc10g083567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSEEYGYQRTGKKCREKFENLYKYYKKTKDGKAGRQDGKHYRYFRQLEALYGKTSNTINTNTFHQYQVHYNQQPHHCPKVSDDNLYDSSDSDDSDNSSNDDSKRKNSKKKGKRSWKGMISDFMDIQMRKLMEKQDIWLEKMMKTIEDKEKERILREEEWRKKEEIRLEKQQKFWADERAWIEERDAVLIDTLRRLNGEKIVMNSTINYNDEYGSMSYCNKNKTCAKYS >Solyc02g084315.1.1 pep chromosome:SL3.0:2:48002978:48005670:1 gene:Solyc02g084315.1 transcript:Solyc02g084315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVGTLSSTMRLTHDEESKEGILSMALNAYFTPSTSKYSHSGLMGTRKNKFYAGNRGKNKFFAMSESSRKAAVNNSIKIVHVVPLMAVSQDYLNARSHDRWVYEIFGEVPFFGLSSLSHRQTDVCSHAGFQSF >Solyc07g017970.2.1 pep chromosome:SL3.0:7:8687921:8689269:-1 gene:Solyc07g017970.2 transcript:Solyc07g017970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANFVINVMFLFFFYGSHGAKFIIKNNCPYTIWPATLTSKGAQLSTGFELASQASSSLDVQNSWSGRIWARYHCSKNGKNFTCLSGDCDSGEVACNGKGPIPPETLLEFTIVGYEGKDFYDISLVDGFNLPVSIKPLNRGDCNTTSCPIDINKQGCPNDLAVRNPVGGSIIGCKSACVAYQQPQYCCTGSYGSPQTCKPTRYSRQFKHFCPLAYTYAYDDQNSTFTCIKVDYMITFCP >Solyc11g069630.1.1 pep chromosome:SL3.0:11:54562668:54564736:-1 gene:Solyc11g069630.1 transcript:Solyc11g069630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHWLLVVVIIVTYVGSSYGQQYYDSTSCHSSITSLGTRYTCSTSPNDSCETFLIYRTNQDFTTISDVSYLFGILNPDDESLLRTNNVTSSSQILEIGREVIIPIHCSCPGEFFQANVTYITPTNTNFNDVACGVFEGLVKSVTLVQENIFTNMFDNSEIRSGTQLIVPVKCACPDKLFGPGINYLVTYPFISGDDTGKVSEKFNIPVEDIWRVNNMSFNPTVYSNTTILVPLRNEPSINFSIQDSEPPSPGFLPTQLVEKSTKNQKLKKLYISGSIVGFLLLAATLIACGLYVRTLRKFKEDRCVHRSTMHKDSVSSCSTLRSSPPISGPTTTRTSTNSCLSPDLFAGIKYTLGEYNIDELTNATCDFSEETKVSNNVYRGCVDKVEVLIKKIRFEDTRQVIDVHSRINHVSIVKLQGVCYGEDDITGSYLVFEYPSNGTLRDCLSNSSVISLNWHKRTQIAFDIAIGLHYLHFCTIPPYTHMNINSKNVFLTANWRAKLAIFGAKGGIEPATSRDIGSIGSIGGWIAPEHLVHGSVSEKVDIFAFGVVLLELISGKEDVDGNFLRDSITFLGGGVNEGGCFEQLKNFIDPCLMEDYPLAEALCLAVLAKACIEDDPLHRPSMDDIIKVLARMYHKLVLKMILFIDHDLWTISLKSLQEWCDFQYLY >Solyc05g051450.2.1 pep chromosome:SL3.0:5:62628878:62630636:1 gene:Solyc05g051450.2 transcript:Solyc05g051450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKITYVDPFYNLSYNQIISKKSNSLYSRRSYIHFNKINKPLNFLISNAKIGIFIVRCNSANSIGSDASPPGNSSSLGWKKWLLGFLLPMLLPAFKNKVSPLQLLKSNVDKAIETVETMSEIVEEVAEEVEKIAEEVEKKLPGDSKLKESLDSIENLAQGAVKYANQAQDLIHKVSIETNSEFQVYTFYNNLYQLITNFKF >Solyc01g080630.1.1.1 pep chromosome:SL3.0:1:79731265:79731414:1 gene:Solyc01g080630.1 transcript:Solyc01g080630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVPINLSILILNKRSRHQFIVSKDFDTKIIDNLVHMERYNYVFHYLFD >Solyc01g016770.1.1.1 pep chromosome:SL3.0:1:21978696:21978863:1 gene:Solyc01g016770.1 transcript:Solyc01g016770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDLKMGCFSEQEECIIIDLHIILGSRRSQIAKHLPGRTDNEVTNFLNSFITKKT >Solyc09g010450.1.1.1 pep chromosome:SL3.0:9:3814136:3816436:1 gene:Solyc09g010450.1 transcript:Solyc09g010450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSFLKCHPWIQSQNPPNPFSFPPPFHPPKPISLPFSSRHEHVSSTVLPSKAKELLQDFTPKQFLDTLRQENDETSAFHLFEWASKQPHFTTTLSIYEEILRKLGNVGFFDLMKGVLDDMKRLKVELVEGTFFIFIESYAKFELYNEAIKVLDMMWNEFGVKPGTFSYNLLLNVLVDGNKLKFVENVHSRMLDEGVKADVSTFNILIKALCKTHQIRPAILMMEEMPMHGLVPDERTFTTIMQGYIEEGNLDGALRIRDQMVSAKCLASNITVNLLIHGYCKEGRIDEALNFVQDMCSRGFSPDQFTFNTLINGLCKAGHAVQALDILDLMLQDAFDPDVYTYNILISGLCEVGEVQEAMELLNQMLVRDCTPNTVTYNTIISALCKVNQVQEATEFARVLTSKGFLPDVCTFNSLIQGLCFTGNFNIAMEMFEEMKDKGCQPDEFTYNILIDCLCAKRRIGEALNLLKDMESSGCARSVITYNTLIDGFCKDKKIEEAEEIFDQMELQGVSRNLVTYNTLIDGLCKSKRVEDAAQLMDQMILEGLKPDKFTYNSILAHFCRAGDIKKAADIVQTMTSNGCEPDIVTYGTLIQGLCKAGRVEIASKLLRSIQMKGMILTPQAYNPVIQAIFRRRKTNEAVRLFREMQETASPPDALSYKIVFRGLSSGGGPIQEAVDFSVEMMEKGHIPEFSSFYNLAEGLYSLSREDTLVKLVGMIMKKANFSDSEVTMIKGFLKIRKFQDALATLGSVLDSRYPKRTYWS >Solyc06g073230.3.1 pep chromosome:SL3.0:6:45263480:45272642:1 gene:Solyc06g073230.3 transcript:Solyc06g073230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDYRNKLVLAPMVRVGTLPFRLLAAQYGADITYGEEIIDHKIIKCERRVNDVLGTTDIVEKGTDNVVFRTCPEERNRVVFQMGTSDAMRALKAAEIVCKDVAAVDVNMGCPKSFSISGGMGAALLSKPELIHDILTTLRRNLDVPITCKIRLLKDPQDTVELARRIEMTGVSALAVHGRKVPDRPRDPAKWNEIADVAAALSIPVIANGDVFEYEDFQRIRNVTGASSVMVARGAMWNASIFSSEGKTPWEDVKREYVRKSILWDNDIKSTKHTLKEMITHYSSLGSPEGLAVIKSDTFADVAKLYGEEEYYEYVSESRRKQQMK >Solyc08g081790.2.1 pep chromosome:SL3.0:8:64867390:64870235:-1 gene:Solyc08g081790.2 transcript:Solyc08g081790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKYNAWFAYTLVFNSSEYYGTLNIMGADIIDQKTRDLSIVGGTGNFFMARGVVTFSTDAVEGLGYFLESSNKIRAHRPCKTLIFYFHDILYNGENGKNATSAIVGAPSWGNLTKLAGQNHFGDLIVFDDPITLDNNIHSNPIGRAQGFYFYDKKEIFTSWLGFSFVFNSTQHKGSINFAGADPIMNKTRDISVIGGTGDFFMTRGIATLMTDSVEGEVYFRLQVDIKLYECW >Solyc11g005520.2.1 pep chromosome:SL3.0:11:386387:399242:1 gene:Solyc11g005520.2 transcript:Solyc11g005520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSHVFSSWEERFVSRGKGRRVVHYYLKDTSGELILAVVGTERSSKNMLYVVSKDYLDAFGHTSTINSDTKWRTRKRVVEWLTNLISKQHQSPPISNTPRRETRRSALIAQEDPSMQGPSGSRSVREGGRSGIRKSTLGNQIAQAKPPTYPKLKIKYPNIEPVGIQLVEPQRKRSFDVGDNIEVLSNDSGMKGCWFRCKVLQVSQKHMHVQYNDIQDCESLEKLKEWIPSCKVAVSDKLGMRSSGRLTVRPQPLEDSSDCSFELGAAVDAWWSDGWWEGVVTGFDVFGSSDLQLYFPGENISLEIQRKNVRTSRDWVDAKWIEVEPKKDTKSFIDSSLTYASRFNINEPESCENQMAPRLMAPEYNKMTSTSKHSAEKQDTDVLKLKKRWEIDFLADNKN >Solyc05g024060.1.1 pep chromosome:SL3.0:5:30350824:30351559:1 gene:Solyc05g024060.1 transcript:Solyc05g024060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGWNKVEVGDFGGSIVTCILGNPLGLLARQLSYTVHMSIEQFPLYRVDKKPIQEYLEKKVEASYNEILDKASMVITAGQLFVEYMALFNVPLRVLKSGSITLIPRVASAKAGHIKKIRFCTVKQGCIAISIYVSGLQC >Solyc07g021500.1.1 pep chromosome:SL3.0:7:18802438:18804596:1 gene:Solyc07g021500.1 transcript:Solyc07g021500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINILLPPSTDQVMKHTKIIEKAIALRGQVNSPSNARSSRNAANRAKFMMLHHIGSKPIREIIYEKAQLQEIFQTDPSLPSIEIDEKCCGPQTRSHVFGFGGGLKANNLKGGTSSKAELFSALPSTREDNKLLNEENNFLNEENKSLNDRLSTLENVMKEIMKMKEFIATQ >Solyc11g013760.2.1 pep chromosome:SL3.0:11:7144112:7149987:1 gene:Solyc11g013760.2 transcript:Solyc11g013760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSEPALVPEWLRNTGSVTGGGSSNPHFATSSFHSDVTLSTLSSPNRSPRSVGDKDSPRSVFLDRSSSSNSRRSSSATSLKHPYSSFNRNHRDKNREREKERPVTVDLWDHDTSEPLGNILAGRVDKNSLSRSQSLVSRKPGEFLPHLKGGISSTYNSGNGIHSGGSSSFNGNQKAAFEKDFPSLGIEEREVTRVSSPGLSSAVQSLPIGNSALLGADKWTSALAEVPPIIGSIGMGSSASRQSVSVAPTPSTSSGTASLNMAKALSQAPPSARSTMQIPDKTQRLEELSIKQSRQLIPVIPSMPKVLVPSSADKSKQPKSIARTNEIVGIAKSMQQPSSSQLANQARNGQERAAAPATSHSKTLLVLKLGRENGVTSLSKEASTPANNTGNRLANCPPVVTSPTSRVASLETKAVALSLKPRFPAEKRYSLSQAQSRSDFFNLMRKKTSNSSTALPYSGMAPSNSREQSCLKTKDENSASLSPCVSENGSERTSNGYPHEAQNHVQRHNDVEENNSPINGSVYLNEKEVAFLRSLGWDENAAEEESLKEEEINAFYQEYMKLKPSLKAYKGAPPKCLVLPELHFATNPGNASSNSTSSESDA >Solyc06g054610.2.1 pep chromosome:SL3.0:6:37441784:37445497:-1 gene:Solyc06g054610.2 transcript:Solyc06g054610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKKYETDEVCDLAPEFILDAVRRSKRSSKRHIWPRVGRC >Solyc04g045410.2.1 pep chromosome:SL3.0:4:32785522:32790933:-1 gene:Solyc04g045410.2 transcript:Solyc04g045410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGALLEQTEKLLEHDDHPIRVALEHTPNKFEFCLNEFEPLIQTCRNDVNLDLIKLEGKVGGKLYNTDLIYGIDVNIAILTCPFEHPKPKTKHKGFDDEASQLLMHKNLLAIRWVGGVEFERIAIATGGRIVPRFQELTPKKHGRVVTIFIRGGNKMMIEETKRRFHDALCVARNLIRNNSIVDGGGSAEIFCPIAVEAATDKHLRYFDDALDSIPMALAEYSGLQPIETLFAVKSQQIKETILGVGYIAMMLQKMTCQNLFETLIGKQQQILLATQVIKMILRIDDVISPSEY >Solyc05g023835.1.1 pep chromosome:SL3.0:5:29501991:29503319:1 gene:Solyc05g023835.1 transcript:Solyc05g023835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQSCSQIQTSEHTNSIDIAELNSEPGPSKTRKVRGPTLLKDKKLSILKCGEEFVKKSIGKK >Solyc02g086220.3.1 pep chromosome:SL3.0:2:49585757:49591241:-1 gene:Solyc02g086220.3 transcript:Solyc02g086220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPTPPSYTVVPDDGKFSIPEVARRDGVDFLKLRTRRGNEIVAVHVKHPKASATMLYSHGNAADLGQMFELFVELSLRLRVNLMGYDYSGYGQSTGKPSECNTYADIDAVYKCLKEQYEVKDEQLIIYGQSVGSGPTVDLASRVPNLRGVVLHSPILSGVRVLYPVKRTYWFDIYKNIDKISAVNCPVLVIHGTADEVVDYSHGKQLWELCNEKYEPLWINGGGHCNLELYPEYIKHLKKFVLGLGKPKPAANGPQKASTESENQSKPAESGSTTDTFDLKPDLPEISRNSLDSRLEKTKKSNKPEKSRMSTDRVDRFRRRKGLVW >Solyc07g045353.1.1 pep chromosome:SL3.0:7:58594200:58597501:1 gene:Solyc07g045353.1 transcript:Solyc07g045353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGKRKTLHDFFPGSDVSKKGKSHECGPRNANLRDENSTNIGEEQVIYEETAYRALAKFFCQSGTPPKSVEDMYFRKFIVYLNPKFHPSSAILSRYCLKLYEEERAKVKEILRSLNGRVSLSVERLKYKKFNGFGYCSTSDFRGSFSDFICLTVHFIDENWKLRSWVVSFRSLEAYGDYVGETIQCLSDFGIEDKICAVTVHSYLDFDEIVDVIKSKLLEKKRLQLDGQFFRVSCNADIFSSMVKKAFGMIEDIINDIRLLVFWGKSLPVWNVTFHKLQEALELEAKGEYLRKMIIRIMIYLLPKSGRKLRKYVNL >Solyc01g021720.1.1.1 pep chromosome:SL3.0:1:32570974:32571234:1 gene:Solyc01g021720.1 transcript:Solyc01g021720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLLLRSASPRDAAGARDDEEEKRVGWIFGVVCISSLFLATGSGKRAGLKGKGGSRKLLGQRNWVWENQMEEWAVGCKQKGPTLP >Solyc07g044797.1.1.1 pep chromosome:SL3.0:7:57965237:57965839:-1 gene:Solyc07g044797.1 transcript:Solyc07g044797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRRPEVERGSFYGWCPNVNSRYLQSKRSKEIVMDVIGLQTEGNNYVDFSYPVQPVEVEAIPSYTGEEFSSRKLQEEEVLAGLRDGGVTIIGICGLGGVGKTTLTEKIREKAKQERLFNDVVMVIVSQQHDLKRIQGEIAQGVGLTLEGDDLWSRGDLLRSRLMDQNSCILIILDDVWKALELENLEFPAVAATNISAK >Solyc02g078065.1.1 pep chromosome:SL3.0:2:43432766:43436657:1 gene:Solyc02g078065.1 transcript:Solyc02g078065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCTTAAVTIGGLGGTTCCNRAPVFPPLKFVGTLQVGFGDFTKYTLHLPISAPINDLGVIRNSPFFTLDWDSVEEIGNSIAIAGVVTGGRDGPRGITGGFAYGEDGTGAIITGEGGDLSTDGDDCDGGVVGLIIDETMGIRGAIRGDLSIGGIKTGGIKMDGSLTVNKPSNIGHGHKHIQKPCRENASLKTGKENISIEMTATDNYGYLLHALGILIQFVGKAKTTISEEETILVLVYVDNMLITGSSLKLIEDTKKALQQAFKMKDCA >Solyc09g066040.1.1.1 pep chromosome:SL3.0:9:64587543:64587923:-1 gene:Solyc09g066040.1 transcript:Solyc09g066040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISKVNRKGRKFSAKVVLPRDLIIGNEHISKVNKNSVYPPHFGLDSKLVEKYAGWRHKERGREHQLMDEPDPPNVQDPGGGALPVNTNHKATAIYYDNVDQDQVMTMVTLPKGEDRSKSDDENR >Solyc03g025580.1.1 pep chromosome:SL3.0:3:3000371:3003809:1 gene:Solyc03g025580.1 transcript:Solyc03g025580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4BF31] MNVNSISGLKFVLFIFWPWLVNSKGEQNRLLVNMTLVQNATALGAYCLDGSLPAYHLHRGFGAGVDNWLLQFEGGGWCNDIKSCLDRSKSTHGSTRYMNKWEVFSGILSNNASFNPGNSQTYS >Solyc04g025000.2.1 pep chromosome:SL3.0:4:26622297:26624018:-1 gene:Solyc04g025000.2 transcript:Solyc04g025000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSRDASPFVFYHRDSKSDVSEGGLGNISGDSDVDRAGTSKPKMTIDEAFSYLDQVKEKFPNQREKYITFLVVLMDFMRKRIDIVGVKEKAKYLFKGHPSLLLGLNPFLHKGYEIILNDEDEVTYLTQQVFNFMKKTEERLENYSEVKDVFSMFKKKSKNAKEVHNQVILLLKDHPDWQEEFNFLLGRLSYC >Solyc02g082170.2.1 pep chromosome:SL3.0:2:46433074:46434467:-1 gene:Solyc02g082170.2 transcript:Solyc02g082170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSQHMYCSNNKGGTGRVSTRSKMQAEMGKRYYMILLVINYMCLFLGSVSSSLLSKFYFNHKGGSRWVSTWVQCAGFPLLLFPICFFRIFTDRKLFSGFTPKIIALSILIGLLLGVNNLLFSWGNSYLPVSTNSLVLSTQLAFTLVTSMIIVKQKITYPNLNCVVVLTLSSVILALSSSHDKPIGLTKAKYFKGFFSTVGAGLMFALYLPVMEKIYQRVNCYAMVVEMQLVMEIAATVLATVGMAADGGFSEMKRESLKVFDLGPKAYWLTVGFNVVTWQVCFMGTAGMVFLTTSLTGGVCMTALMGINVLGGVLVYGDYFSGLKAVSTSLCVWGFCSYIYGIYMNMKEEDENSIEKNVKKMNSDHIMELAEIVVTHNIRGILEEK >Solyc02g087330.3.1 pep chromosome:SL3.0:2:50402604:50404497:-1 gene:Solyc02g087330.3 transcript:Solyc02g087330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTINRWLRPEVYPLFAAVGVAVGICGMQLVRNICTNPEVRVTKENRAAGVLDNFSEGEKYSEHALRKFVRNKSPEIMPSINGFFSNPN >Solyc01g113450.2.1.1 pep chromosome:SL3.0:1:98413708:98414412:-1 gene:Solyc01g113450.2 transcript:Solyc01g113450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNPADERFRPPDPSVGEEVSNVQLKTTEMADEASSSSSHLREEAIQVATIEAEMDEFRHHREIDRNLTSQVVDIQMADNLQQLVTGGLQRRGVENVQVIGTEKLSSMEAVMEIVSEKSSSMEAGQSSSRVNRTDTSQQKSIADVTSQEMNLNNQQEQINRGVRNLVHQQTAVMVDSQGIQPTVNYDGQKGIQSTQTQQRTDNPHKNSEIYQQQQQIDSTNTRNTNSRTTTIQQ >Solyc10g049340.1.1 pep chromosome:SL3.0:10:45122286:45123135:-1 gene:Solyc10g049340.1 transcript:Solyc10g049340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQAKSVELKRQLTTLHKTDTMSIDQYLQVAKQIADSLATINSPVPSQDLIDHLIHELGKEYDTLVGIITLFPDSLSLEEVQTKLLFHEQRVQRFKDIDSSATHQVFAVKSVSSHPYNVSGVQSVQGGRGEGCSFNSKDKGRSGRSRDSFGRGQPQHASLPNTFPRPGFPRQSLLGPTPSIVCQICGTPDHNALQCNNRFNNAFIANDLPKSFAAMFVGESNDATL >Solyc08g079670.3.1 pep chromosome:SL3.0:8:63274058:63278105:-1 gene:Solyc08g079670.3 transcript:Solyc08g079670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAGNDEEEGLVVVMPAPLLGLAKEDLKGDYVKLGGDDLGEDNRFVSGCMSASSSELSYEGNSRCWSLWWWAKLVLVLIFVGVLAAVFLKWVGPFFMDKEIIPILNWETRTFSTPVLAVLVYASVALFPTLLLPSTPSMWVAGMTFGYGFGFLLIITAVPIGVSLPYFIGHLFHHKIQSLIERNPKNASVIRLAGEGNWFNQARAVTLIRISPFPYIVFNYCAMATGVKYCPYLLGTLIGMVPEIFVTIYTGILIKTLANASQEQRFLSAPQIILNVLGFSFTFITTVLITVYAKRRLKELQRDEELLLQ >Solyc05g016060.3.1 pep chromosome:SL3.0:5:14390274:14391946:-1 gene:Solyc05g016060.3 transcript:Solyc05g016060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding REILFNKCSRSLLGCLSYVCYFYSCVEQLHICELSSSSSSQTLNNNYIYTPKHRHKTSTIFTYLINLKSLISIYILKMNSYNQKQAESGSSQAQQQASAFVAPPPPAGYPTRDVQSHSSVPLTTQSRGDGFWKGCCAALCCCCVLDACF >Solyc01g011095.1.1 pep chromosome:SL3.0:1:7606210:7618442:1 gene:Solyc01g011095.1 transcript:Solyc01g011095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSDRIRLYKRLWGSIVLLLVVWLLLVSVLENRATKIMKKQRTNSIHSKMNLNFMSIKRRVPNGPDPIHNSATVLEPFTISLRVDIDLEWLFSLLKIHLGVDTSPITLARSHYSKVQYLAKRLTPLGVDCLAGRPRLIITLASWACEEASLSPPRPAAARFPHLF >Solyc08g080440.2.1 pep chromosome:SL3.0:8:63846197:63858420:-1 gene:Solyc08g080440.2 transcript:Solyc08g080440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQEQFYIMKPNEVMTRAFKLYPASDQAAKYVCSAILKDSDFNEVDRAECQFTTKATVLDNGSQIPFQPPKTNINGFFGSIEDLWKKTWKNLADFLTGKSCSLLMSNMLDFVENYILEVERRQIFDVIVLLWLLHQKGLFDPLYDWWEDHFSITEDKHMSRRKHSYDADPLGNHHKRRSHKNEPRHHKHHRRHTRFQNDPGENLLGEADYHYYLHHVHKDKHKHGKTKSSGITKPHHSKKGEDDHMRHHRRINERETLGGPIINKKRGDKNQEEYLRHKHPILNDPHHKWQSKESRVLKYGGAGKNELSDSSRTSSFKTHSFSGNIFCCFENIRCTAGGQTITAQPAGMVYPASDKYYIKLVAQKKLY >Solyc01g080725.1.1 pep chromosome:SL3.0:1:79818619:79830867:-1 gene:Solyc01g080725.1 transcript:Solyc01g080725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKKSSSIKKNSSKNKDASTSKDPKTLKKRGRKAAPPIVRPTLQMIECSNIQATPEEISALGLPDINYVPPNQSVSSVPDNDDVHPEEVSDFEDFTAKPPDVLLKRTSRGVNVGTNPQKRKRLKIAHPHKYDLPRISKAQKESDHQPDHSFQNPEPQQKGSENVAGVGVSPNSFNEKTSLANSETDDLKKFMKSYVDQKFGDLDSKVEALEALIKSNHSELLIAVAARGNKYEKDMGGVSSPHMMNDSVAKKNVGTQFNSSKSNEATVDDADENSDAAGKQKSNSAHQIVSPKHKNFATVDDVAEIAVELNKQTEDVTKNNSDHPTVSQKHMNFATVDAAAETAVEVEKQTEDVTKNNSDHPKVSPKHMNFATVDDAAETAAEIEKQTEDVMKDSTSSVSFTPENVAMTLDVNPLDAVIPLQLTWGDDLLSDSQLPSQLGTSCGIHLPHELLQFQSMDMDHVHRLAQF >Solyc06g034010.1.1.1 pep chromosome:SL3.0:6:23599311:23599649:1 gene:Solyc06g034010.1 transcript:Solyc06g034010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKETTVAIFVLFLCVVTATAYDYSGINQEASKTITNRFGNIVKTPTTSHEHPMQEAAPTTENNGGTITNRFGNFIVKTPTTSHEHPMHKAAPIIPVNNGESSTLQNSDIFV >Solyc07g032493.1.1 pep chromosome:SL3.0:7:39549457:39573195:-1 gene:Solyc07g032493.1 transcript:Solyc07g032493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIECDKMLSLDVPTRCNSTYLMLDTAEKFEKAFEMFDLYDDKSIAGSIKYEDWANVRNVTMFLEKFYELTSKVSGSQYVTCNVHFEDICEVDAYLKLCIASDDLYLSKMASGMKEKFKKYWGTPEKMNKMILLLIFSLEELLGEETENVVNTKVEAYLRDLFAIYVNQEPESEDFDILSWWKVNFPRFPVLSQLAQDVLAIPMSSMASECALSTGGLILDPFRSSLTPKCVQCLICVQDWLRQETKPICVEENLVFKVLSLVSLSSFN >Solyc03g006375.1.1 pep chromosome:SL3.0:3:966275:966892:-1 gene:Solyc03g006375.1 transcript:Solyc03g006375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNFVNNLQDIGYESGCPSRPFRPIFKVKRASKRAYPSFQRLSCAITNHFLGDLDFNFKMLKYFVDVHQHLIYDSGWPSRQLRPIFKQIIFWVIRISTSKFFVKVRQNLGYLSGWPSQPFRPILKVKQFLKRAYPPFRYFSCAITNHFVVDPDSDVKKAKNFCGHFSRPFLCIRLSLTAILTHF >Solyc10g062180.2.1 pep chromosome:SL3.0:10:25168729:25174029:1 gene:Solyc10g062180.2 transcript:Solyc10g062180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSARKTPTGSGSKGGRRTSRAKPKSLQEPMEEESKDNAVELKQEFKVPEQKHEEPEQPLNAKPVQNNKVDDVKESIDQYGKGERLDLEDNDPEYDPEEYVTVDYDERGIENDDIQEEGDEIEEESEEVDVDEEEEGDMVEEDVEDVHEEIEGDEEDEYAGEEHAEMVHAAEEEEHHEVVKERRKRKEIEIFVGGLDKDATEDDLRKVFCKVGEVTEVRLMMNSHTKKNKGFAFLRFATVEQAKRACTELKNPVINGKQCGVSPSQDNDTLFLGNVCKTWTKEALKEKLKHYGVDNIEDLTLVEDTNNDGLNRGFAFLEFSSRSEAMDAFKRLQKRDVMFGVDRPAKVSFEDSFIDPGDEIMSQVKTVFVDGLSASWDENRVREFLKEYGKIEKVELARNMPSAKRKDFGFVTLDTHEAAVTCAKSINNEELGEGDNKVKVRARLSRPLERGRAKYGGRGDLRPWRMSMHGPRAPWGRIVPHSRAIRGTRVSTRVPPVVSRGFKRPAGSRDRRAVMDLPPRGRPTAPPSSRSYDRRPPVPSYPKSSLKREYGRREEIPPPRSRAIAEYPSRVHSDRRASYRDEYSSRGSGYPELPRGTHSAARRSYVDDGYGQRFERPPSYREGRGREYDSASGSKHPYTAGDDVHPRYAEAGVQHSRARLDYELGSGSGSHYGDSYGDRLGRSNLGYGGSRGSLSGQDSHGMYSSRQDMGYGGGSNGGSDVGGIYSSSYGSDYMSRGSDVDSVYTSRGMGGSGYTGSGGSGSYY >Solyc07g064100.1.1.1 pep chromosome:SL3.0:7:66511941:66512549:1 gene:Solyc07g064100.1 transcript:Solyc07g064100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNILQLHHLHLLPSIHPISCVKQFTIKCTSPESSSDPEFLTPNSETTISPEKFPIEKRRKSEIIRERKSRTELIKQDPPNFEIGWKRTKPIPLDKPIGYVIMDFLEKLEELMARDFGSTALLAKVGEIVAERAREEAEVLKDEGKVEERMVTELYRVLKLMEMDLAMVSAAVKEETLNKRLEQAKARCRQAILVANSF >Solyc06g009770.1.1.1 pep chromosome:SL3.0:6:3772533:3772952:1 gene:Solyc06g009770.1 transcript:Solyc06g009770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSTRSLCSGLKCYWKRRRRRGGYERLNGSGCMRMNRVGLGKRRFWKINLKRRVKLSRNYLKFWPKKFVMNVRDAYVNMMMKIANSKCMSSGVGGGFGTRQLKEYDEKVLVQIYKSMIIAQGQLIGDGDVKFGTPIL >Solyc03g114250.3.1 pep chromosome:SL3.0:3:65764593:65767012:1 gene:Solyc03g114250.3 transcript:Solyc03g114250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAFASNTLVPPWNSSEQPLIPSHSQFHFKPTTRRHLITSISIIMTPLLASDPFSPFPLPVSHARGLFQMPPFRLSNRYFLVRAGESEYESLGLINTNPVAKTSVDNGLSEKGKKQTVRAAFDLKEMRACDENCWIWPSITQRAYQAAEIIAAVNGVNRSHIVPEYSFLDARGLGAYEGKSLDTLSQVYESDSLSPNIKPPPTDDGTPNESVSDVFVRVTQVMSILETQYSEDTVIIVSPDSDNLTVLQAGLTGLDLRRQLTLTTIVLLAI >Solyc08g061778.1.1 pep chromosome:SL3.0:8:49597366:49617837:1 gene:Solyc08g061778.1 transcript:Solyc08g061778.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQLDPLEAYVPAVLLAGVQIKELEKSLEIDQPKYGDCRNILRSGPASSLRVNIRAVAQYAADGGNGKLAFSDVDECLSALEGLDSLLLRASRKDPGASIDSMKAQIATALNALDSLLKTVPTDVLEKGKSVADSYFFAGEEEVAPERLDPELKQLESIL >Solyc01g098450.3.1 pep chromosome:SL3.0:1:88845990:88849906:1 gene:Solyc01g098450.3 transcript:Solyc01g098450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSELIEKRVKYDRNLLFCCHICTTNICCLNFIYINLFIGIISLFFFSSIWIQTCIRRSPGVHIEFLKFSVLPLVLPDSSDKWKALWKIWSSFSSPLLLSNSHEESSSKRRRIDSPIGPLIFRPCEETLTPLLRSPLLSTRIPSPVPDLSLPRFLQTSSGMLFSTASSIATEFSPQVSDTIHNFNSIQFLPLPNFGENSKPNSIIGISPTGENYDQVGLFMLCSEDTQFVAKKFKNGTSILVHNHKLNFRILRLLVNPVSEIDDSCSSSCITFGYLLVCTLYSVHWYSVKIGVKGDENVMLDYVGSADRNLFKGGIVSHACWSPHLREECVVMLKNGEMFLFDMGSCGKSQAFCASDVLQGKKLQVLWDKLDRDEHWVTCEFSWHPRILIVANSRTVFLVDLRSDKCKVCTLLNIEAVSSGRTDRFIALSRVEADVFCFTAVSGRSLLLCDVRKPLMPLLQWVHGLNNPAYVTVLRLSDLRRRTRDDKWAWATESGRCILVGSFWDCEFALFCYGPDYNHSHKFSEIARLSKSVNAWGLPSDLSLSGRDCCCESCLMRANFSEDFLSDWIDWRQKKVIVLGFGILNNGLSIRSDDTDSSASFSLVRLMSCGSLEAQRYTAEWDSEEKSDAPYGGNSLCSENNLLYDMGVEELELKKSHIYLGLDFLKEYLNGSLPKFISRVYRENLKDSEENRSEFHQQICQKIQECGVARLKSSLTVSDVIKGISLPASIYEIALESISISLPNNLLGFTFSAFLRFPEFPLKPKKLPLEFSDIFDRLCPLPFPLHKCCIDETPEEVPSCRSSGPFLPPPFLVALNNLRIAERDILPLDAELRLQSDKVMKVACEIGLSHSDNEPDDGYSVSLDADTECPSDWMEKMRPLCLHEPVAFSDCYISKMDLGVEPDKRFTTFIYKKHEEPISNASKEMTGVELFDEGCPVELKFNDSLAMLGANELQTFRLLKQKDLGFQKKFQLYQEYLTGCHNTWLFYLKYDCFSEVLLGVSGVLQEILLSMREPCVSKIEMEEAELYSLKNSRQNGPTTVKISFQLDCMRDNLR >Solyc01g006750.2.1.1 pep chromosome:SL3.0:1:1343811:1344352:1 gene:Solyc01g006750.2 transcript:Solyc01g006750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTASSYTSLHVSRQSLLGFSLTVAKRVMIFVLTNSSVFAMVETMRGVTRFFTFALSTFGSFAIA >Solyc12g038730.1.1.1 pep chromosome:SL3.0:12:51721996:51722199:1 gene:Solyc12g038730.1 transcript:Solyc12g038730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELKILRDAAKKEVEDVESKVLEAEEEFNKYADVSLVTMKTSDDVEKKSVLEVALQDLANYKLCLD >Solyc01g110240.1.1 pep chromosome:SL3.0:1:96896999:96897848:1 gene:Solyc01g110240.1 transcript:Solyc01g110240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARYSSLNPHAPEYIPITPLPLPLPTIDPPPPQNVHPILKGHETTVKLENIPLHYNRKKLMDFLDDYCLLENQKARDSNKENPHVFAFDFVYLPMNFKWTGIEGYGFVNFTDHKTLLKFFRDFSERAKTYPDSEISVQMSIAEMQGKNALMERFKSERFLFESDEFHPVSFNPARNGSRASVKVNSVGIFQLRINPTD >Solyc03g115275.1.1 pep chromosome:SL3.0:3:66549693:66550680:-1 gene:Solyc03g115275.1 transcript:Solyc03g115275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDTWNEQNFLTIGIIGQHAKLDCLYCIRGSNLETVLSAIASLCGSISAAASAMNEIVPYQKDFEALGAQFRIFAEKEADITPLNNLKLRQRERDNDSEKFFPTVT >Solyc06g075050.2.1 pep chromosome:SL3.0:6:46704546:46707174:1 gene:Solyc06g075050.2 transcript:Solyc06g075050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTATITKMLFVSFAFLSSAFLARSGEVDDESEFSYDENAENGPANWSNIHPNWIKCKTGKMQSPIDFANNKIENVSNLGILQKLYKPSNATLLNRGHDIMLRWDDGGFLKINGTQYRLKQVHWHTPSEHTINGKRFDMEGHLVHETNDGKNIAVIGILYEIGLFPDLFLTMIEKDLEALRLADQKAIGINHPNLIKIDEKRYYRYMGSLTVPPCTENVIWTIDGKTKTVTRRQIQLLRDVVHDGFEYNARPIQQLNGRTIKFNKPWLFA >Solyc07g025525.1.1 pep chromosome:SL3.0:7:28896370:28897425:1 gene:Solyc07g025525.1 transcript:Solyc07g025525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQIDKKIISSGKRVNDQFINQNRSLELSELHVIAATAAPGPPKEERTENKGKGEKNERRRWTGIAAGCCCLAVLGGNAGWSFYKWSLVVVPRSR >Solyc01g010860.3.1 pep chromosome:SL3.0:1:6243927:6248352:1 gene:Solyc01g010860.3 transcript:Solyc01g010860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDNDVVRGGLHVSDELLGTILPIVVYWVYSGLYCLLGGMENYRLHTKKDEDEKNLVTKKEVVKGVLLQQVVQSVVATVLFAVTGNDGDSDGNQHGFLVLVRQLFLAMVILDTWQYFMHRYMHQNKFLYKHIHSQHHRLVVPYAFGALYNHPLEGLLLDTIGGALAFLFSGMSPRASIFFFSFATIKTVDDHCGLWLPGNLFHIVFKNNSAYHDIHHQLYGSKYNFSQPFFVTWDRILGTYMPYALVERPEGGYEARPDKDCKDD >Solyc10g005920.3.1 pep chromosome:SL3.0:10:703110:706856:1 gene:Solyc10g005920.3 transcript:Solyc10g005920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGNPNQSGGTPSDMHTFFKPSTLVSTNPNTQNPINPNLIPSPFPLASASYPPASAGGAGGGTGGSAGGLYYPTQTTPFHLIPQFNHNIPLQYNNHQPQHDGHMHPQRSMSFPAPPLQPPPTPTSPHQFLNPGNNPNPNPGARLMALLSPPSSTHEVLQQPTVQLPPLQPTTSGSELSDFSASPNVGIAHSGSSPLRMPSRKLPKGRHLNGDHVVYDIDDRLPGEVQPQLEVTPITKYGSDPGLVLGRQIAVNKSYICYGLKLGAIRVLNINTALRSLLKGLAQRVTDMAFFAEDVHLLASASVDGRVYIWKITEGPDEEDKPQITGRIVTAIQIVGEGESLHPREILVVGIGRHVLKIDTTKFGKAEVFSADEPLKCPVDRLVDGVQLVGAHDGEVTDLSMCQWMTTRLVSASVDGTIKIWEDWKPQPIAILRPHDGNPIHSATFLSAPDCPHHIILITGVRANIVHGKHLLTETNVFFDE >Solyc11g012730.2.1 pep chromosome:SL3.0:11:5491814:5492802:1 gene:Solyc11g012730.2 transcript:Solyc11g012730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMAEKRNRWLSLGHGEYSEIQAEKDFFSVVKASDRVVCHFYRENWPCKVMDKHLSILAKQHIETRFVKINAEKAPYLAEKLRIVVLPTLALIKNAKVDNYLVGFDELGGTDEFSTEELEERLAKADVIIFEGESSKFLSKSKAQAKKSVRQSSNPDSSDSE >Solyc06g082520.3.1 pep chromosome:SL3.0:6:48347217:48357221:-1 gene:Solyc06g082520.3 transcript:Solyc06g082520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMICMNELCRATTSVEWKKGWGFKSGGFAKLCYNCGSAFENFVFCETFHPDESGWRECRTCRKPIHCGCIASKYLYEYLDYGGVTCIKCAHHLDGHSIRPIQIPGDDFPIGNLGSKSAKPLGIENKIDENDFERRRFMQTNEPGQLFHTQRNDKKQDPMLPIGNVGTCFSNLNQQNIGASLFGKPDNERPSQGVKDMYDSINQPSLNFCLSTPIGTSSSGQPFLGGDVEGREQSKTSPFQQGQRARHILPKPPKPSPTSGSESVKGMVSQARIARPPAEGRGGRSQLLPRYWPRITDQELEQIAGDLKSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPINQSEGLPIRIQDIKGKEWTFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDTVIFSRIDPGGKLVMGFRKATNNVDMQDPQTPNLPSGNGSGETSFPGMADNFPNGGRTSDDTMNRQVPMSEKKKARNIGSKNRRLLMHADDAMELRITWEEIQELLRPSPTAKPNIVVVEDCEFEEYEEAPVFGKRTIFTARSSGDQEQWAQCDSCSKWRRLPLHILLPAKWTCSDNIWDSRRCSCAAPDEISPRELEALLRVGKDPKRRKLVENNEDLESSGLDALATVAASDMGDSIGDMGEPSVGATTKHPRHRAGCTCIVCIQPPSGKGKHHPTCKCNVCLTVKRRFKTLMLRKKKKQSEREAELAQAKDQVPPKDESETDGMTSGVELLQMNHSENEHMNHSDNERNSNGDQVEEFGPGKGQLDLNCHPNRDDDMLAEATAGMSMTSLVNATNLPLEYLTQNRLESLGNSLLSQAASESEGHHPDNGFGKTADVESGGKGAKA >Solyc05g015900.3.1.1 pep chromosome:SL3.0:5:12952549:12952755:1 gene:Solyc05g015900.3 transcript:Solyc05g015900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTKSKKSPNCSYKMNNDLSTI >Solyc10g083490.2.1 pep chromosome:SL3.0:10:63389664:63401464:1 gene:Solyc10g083490.2 transcript:Solyc10g083490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCTSAVFVPLDTRLRNGVLTVLARRVCPLKMQDEKVGYLSVNQKGISSCPQFKCSANSHRFNNYQSKDSFLNLHPEISMLQGEGNHTFTTSRQESSSGGVAESLMDSSSLKNFNEAKIKVVGVGGGGSNAVNRMIESSMNGVEFWIVNTDIQAIRMSPVFPENRLPIGQELTRGLGAGGNPDIGMNAAKESKEAIEEAVRGADMVFVTAGMGGGTGTGGAPIIAGIAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAVQSPLLDIGIERATGIVWNITGGNDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSLSGQVSITLIATGFKRQEESDGRPLQGNQLAQGDANLGINRRPSSFLEGGSVEIPEFLRKKGGSRYPRT >Solyc02g091810.2.1 pep chromosome:SL3.0:2:53662938:53668261:1 gene:Solyc02g091810.2 transcript:Solyc02g091810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTWWSEMETSNMNDHNFVNQSQAMTQFNEFPFNSKPFSTFTPPPPSNVISNYSYSNSMSTKNQFEKLNTFKVVKHEVPSGTTINFSSSVNSMDDSDFGDIEAAMGFGAAITTTTDQKKSYNRTSVQAQDHVLAERKRRERLTQRFIALSTLIPNLKKLDKATVLGDAIQYIKELEEQVKTLEEKNKKCSEEPVIPPAKRPRLVSSCADSSSSDEISSVSTVCTDRSLPDIEVRASDGNILIRIYCKKQNGMMKEIFNEVEKLHLSIISCSVMPFGYNTSHITIIAQMDHKLTSNTPNHVANRIRAAMVKEEANSFTA >Solyc11g017380.2.1 pep chromosome:SL3.0:11:8300830:8313873:1 gene:Solyc11g017380.2 transcript:Solyc11g017380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4D6P1] MGSSQQSGSFGDPQQISSDNVNGLCLAISSSLFIGSSFIIKKKGLQKAAASGLRAGSGGYSYLMEPLWWAGMITMIVGEIANFAAYAFAPAVLVTPLGALSIIISAALAHMILGEKLHIFGIVGCVLCVVGSTTIVLHAPQERQIESVKELWDLATEPAFLLYATLVIVAVVVIIVQYAPKYGQTHIIAYVGVCSLVGSIGVMSVQALGIALKLTFSGTNQLLYPQTWIFAVVVVTCVLTQMNYLNKALDTFNTALVSPIYYVTFTSLTIVASVIMFKDWNGQTATQIITELCGFVTILSGTFLLHKTKDLVEVSSPTAFMRYPKYDGGGGSSEEGTPLKHRESMR >Solyc07g049660.3.1 pep chromosome:SL3.0:7:60152954:60153637:1 gene:Solyc07g049660.3 transcript:Solyc07g049660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKPSSSQLVFTVRRQNAELIAPAKPTPRETKFLSDIDDQEGLRFQIPVINFYRKDSDISTGGNHDPVKVIKKAIAETLVFYYPFAGRLREGNGRKLMVDCTGEGVMFVEADADVTLEQFGDELQPPFPCLEELLYDVPGSAEVLNSPLLLIQCD >Solyc04g078670.3.1 pep chromosome:SL3.0:4:63451046:63455697:1 gene:Solyc04g078670.3 transcript:Solyc04g078670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTTSFSLPPGTQFYPSDQQLISYYLSSKNGVDHRNGVGFDLIREIDLYNFDPFNLPDSACFRYGRGGRKRHWFCFVARVLKGGRRRAGGGYWKKRGRVRDVVGAGAGKIVVGTRKSFEFYLGDCTKTDWLMYEYALTGHPMASFVLCRVFIKSHHGNNLSGHVFSSNGEEIVATVRHIGIQFDGSAALVTGSKMHDENMIDQENDVSKLPSGLVPDLNGQVVAENVAKQICLESNGPPILNDYSAQELIAILEGDFIELDDLLCPLPGIN >Solyc10g044470.2.1 pep chromosome:SL3.0:10:26444403:26451667:1 gene:Solyc10g044470.2 transcript:Solyc10g044470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENQVDIENDGGGMIEEEKFDLERNFSTISETGSIREPLLKSKSRVNNTSQIAIVGANVYPIESLDYDIVENDLFKQDWRSRKKVEIFQYIFLKWTLVLLIGLSTGLVGFFNNIGVENIAGFKLLLTSNLMLEGKYFQAFAAFAGCNVFFATCAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPSTLLVKIFGSILGVSAGFVVGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLKYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEIASWSLSLSLSHTHTHRVHAHNKQAKYLLPFIIKLVSQTVQLCNLCCRWRSALLWRTFFTTAVVAMVLRSLIQFCRGGNCGLFGQGGLIMFDVNSGVSNYNTVDVLALILIGVLGGLLGSLYNYLVDKVLRTYAVINERGPAFKILLVMSVSILTSCCSYGLPWFAGCIPCPVGLEEKCPTIGRSGNYKNFQCPAGHYNDLASLFLNTNDDAIRNLFSSNNSNEFHISTLLIFFAGVYCLGIITYGIAIPSGLFIPVILAGASYGRIFGRALGSLSNLNVGLFSLLGAASFLGGTMRMTVSICVILLELTNNLLMLPLVMLVLLISKTVADIFNKGVYDQIVKMKGLPFLEAHAEPFMRNLVAGDVCSGPLLSFSGVEKVGNIVHALKYSRHNGFPVIDEPPFSETPELCGLVLRSHLLVLLNGKKFTKQRVLSASNILSRFHAFDFAKPGSGKGLKFEDLVITEEEMEMYIDLHPITNTSPYTVVETMSLAKAAILFRQLGLRHLCVVPKKTGRAPIVGILTRHDFMHEHISNLYPHLVPHK >Solyc02g050243.1.1 pep chromosome:SL3.0:2:4716920:4722678:-1 gene:Solyc02g050243.1 transcript:Solyc02g050243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLKFQVFFFSSTRGGRKQNYENNGGHTAHNIPQYDNNGGHTAYNGTQSFSSVNNISGKLDDPGQVASSRHAMNNAFVTKKSRDLHSGRVKGIGKENDGLHIYRRERRFNMKNKSQRLAVALPVQDCNLWHKRLGHPSTQPKDVIPPSVPLMFESYFFEKSGDDSDPDLLTTTEVLPIDSIQTKGLRETQVFLGIEILRSKSGVIPNQRKYLLELIYDIGLSGSKPVDTPLESNLRPTTLEYDQSIGLSEDKPLCDTPKQSHYEAATRVVRYLKGTIGQGVWLQAKAGETLTCWCDSDWAACPNTRRSSAEAEYRSMASAVADVTWLLGLFKELEVPLKLPKEVTVDSAKKLKWRWEISGDPYIIPFHWLNR >Solyc05g054140.3.1 pep chromosome:SL3.0:5:64945160:64949528:1 gene:Solyc05g054140.3 transcript:Solyc05g054140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKECRANLLVYLHPSNAKNVETAVYRELSSLLFKFNEALDGVVLTYEPKFSSNLARILPGIHPYFGVKLEAKLLLFNPKPEMLLEGEVVKVGQQSIHIVVLGFSSAVIADEDIREDFKYKIKHGKEVFVSRSNKKHRIKVGTTLRFSVKNFDEEILHMCGSLIPDNTGNIQWLETKAEESQAYSITEKRIGNKRAAELLEPDNLVPYKGKLKSENHIKKSKRSKSRDS >Solyc01g005070.3.1.1 pep chromosome:SL3.0:1:65647:66843:1 gene:Solyc01g005070.3 transcript:Solyc01g005070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLWRKAFGLVKDHKSLWLASISRRTSFRNPEMEAAVIKATSHDELTIDMKNVDRVYKWLRLSPTHLKSLIWSISLRMEKTKSWVVAIKGLMLMHGVYSSKIPAIQRIGRLPFDLSNFKDGYSDPYKIWGINEFIRAYFTYLDQKSSLLFMNLQERRNLQNLEHINDDDNNNNNVVVMVEGRYSMIQDLVLLQKLQYLLDMLLEIRPLCGNAVVPLVLEAMDCVMIEVFDVYSRICNGIARILLRIDSAGKVEASMALGIVQKAMMQGEELSVYFELCQSIGVKNAAEFPTIEQIPYEDIKELEEIINGVSEKESRMLPYQHEAKGIVVVREKNGDQNIEKESKLRTVITDKWEKFDEDLMQNDGVKIAPYAINPFEICTYNVPIKRTPDLPDLISFL >Solyc01g065680.2.1.1 pep chromosome:SL3.0:1:72054628:72055080:1 gene:Solyc01g065680.2 transcript:Solyc01g065680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTTTRTQPNPAKHNSEITGKTEPKTHQISPQITTSAHPLSFSRVMSLMANSELPSSHFPLLAATTQNRIGCSSFSSEIPPALPNPTFFDPKTT >Solyc03g046260.2.1 pep chromosome:SL3.0:3:12112413:12115884:1 gene:Solyc03g046260.2 transcript:Solyc03g046260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLAWAADVVGGSHSNDEDDNNPNSISLIFTPQQQLYLLDLDRKATSPTRTIQDIRLRLPPPDISERLPHLHAHSLASNNALALQLNAHSATKEQAQLREITLQEENVEYEKTISDYENRIQEKSQEADLLRTKLQENFSDKEGLNGRKHKVRVRTSTGCSPSESVKYLIKNNGEDQEDKEFAHSALLGKSEVKKKEWITMEGAVQNLEEKWAEVQDYALKQPSP >Solyc07g066270.3.1 pep chromosome:SL3.0:7:67880790:67883624:-1 gene:Solyc07g066270.3 transcript:Solyc07g066270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETVSGESKAEVKVYDSDEELAVALAKYTADLSEKFCKERDVFTVVVSGGSLIKSLRKLVEPPYIDSIDWSKWHVFWVDERVVPKDHPDSNYLLAYDGFLSKIPIPAGNVHAINDALSAEAAADDYETCLRHLVKSKILDISEESGFPKFDVMLLGMGPDGHVASLFPGHPLVHEKAKWVTFIKDSPKPPPNRITFTFPVINSSANIALAIVGAGKADVVHKSLGDDKSSDLLPVQMVSPEGELVWFLDKDAASKL >Solyc10g081900.2.1 pep chromosome:SL3.0:10:62963062:62969905:-1 gene:Solyc10g081900.2 transcript:Solyc10g081900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFRPPETRTRPSVGSSSSSVVTAEKALSFISKGWQEVRSSADADLQLIKNRANKFKNLADRELENFINSASISPFAVPAITASTTTAPAEIDFVKKLRPKLSEIRRAYSSSEFKWPSQGKLRIDLSAIKNAIVAEVEEEEEQEKEREREIWRKWRCDRLKEERHFGEFDWEPIKAFKTRLKELEVELKGSSSSPAEIFEVIKNSEFMGKVKSSLKSICKEPEDAKGVPPLDVPELLAYLVRQSSPFLDQLGIRRDISEKIVESLCSKGHSKLLLNSLPGGGSSLIDGEIMNDELDLRIASVLQSTGHCYDGGFWGDSTKQNLEGDKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSEKQNVTLLVPWLCKSDQELVYPNQLTFSSPEAQELYIRNWLKERIGFKADFKISFYPGKFSKERRSILPAGDTSQFIPSRDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVKHINNWVTRAYCDKVLRLSAATQDLPRSLVCNVHGVNPKFLKIGEKAAADRQSGQKVFSKGAYFLGKMVWAKGYRELIDLLSKHKTEFDGFHLDVFGNGEDAHEVQCTAKRLNLNVNFMKGRDHAHDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFQAFANCLTYKTPEDFVAKVEKAMSSEPQPLSPEEQYKLSWEAATQRFMKYSDLEKVLSDETSLDRRRRKGMGKSVSMPNLEEMVDGALAFTHNCLTGNEFLRSCTGAIPRTRDYDKQHCNDLHLLPPQVENPIYGW >Solyc01g091330.3.1 pep chromosome:SL3.0:1:84851863:84857916:-1 gene:Solyc01g091330.3 transcript:Solyc01g091330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINPPMLLQISRSYIKLVKADNFQLWFGRHFSNTVTPTTNSIVDVSLAVPISGSSMESDNSMKKATDSTWVSKIVLYSFWQSSCSWRVRFALNLKGLFLVFLMNIEQSTLAKENSSLQDIVHAACLPNHLKIAAVSEFDKLNPLHYVPVLVDGDVVISDSYAILLYLEEKYHQRPLLPIKPQLRALNLQAASIVSSNMQPLHMLSVLRYMEERVGPEEKQLWAKFHIQKGFGAALEKLLTGSAGKYATGDEVYMADVFLAPQIAVATKRFDIDMSEFPTLRKIYDSCEALPEFQASLPERQPDASP >Solyc10g075140.1.1 pep chromosome:SL3.0:10:58908327:58909211:1 gene:Solyc10g075140.1 transcript:Solyc10g075140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVQPSTCTKPQSMDFVILCR >Solyc09g018220.2.1.1 pep chromosome:SL3.0:9:13619029:13621615:-1 gene:Solyc09g018220.2 transcript:Solyc09g018220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQAKLLNQDLHYGVVSIVGMPGLGKTTLAKKLYRLIRDQFECSGLVYVSQQPRAGEILLDIAKQIGLTEQKIKENLEDNLRSLLKIKRYVILLDDIWDVEIWDDLKLVLPECDSKVGSRMIITSRNSNVGRYIGGESSLHALQPLESEKSFELFTKKIFNFDDNNSWANASPDLVNIGRNIAGRCGGIPLAIVVTAGMLRARERTEHAWNRVLESMGHKVQDGCAKVLALSYNDLPIASRPCFLYFSLYPEDHEIRAFDLINMWIAEKFIVVNSGNRREAEDLAEDVLNDLVSRNLIQLAKRTYNGRISSCRIHDLLHSLCVDLAKESNFFHTAHDVFGDPGNVARLRRITFYSDNVMIEFFGSNPKLEKLRVLFCFTKDPSIFSHMACFDFKLLHTLVVVMSQSFQAYVTIPSKFGNMTCLRYLKLEGNICGKLPNSIVKLTRLETIDIDRRSLIQLPSGVWESKHLRHLCYRDYGQACNSCFSISSFYPNIYSLHPNNLQTLMWIPDKFFEPRLLHRLINLRKLGILGVSNSTVKILSTCRPVPKALKVLKLRFFSDPSEQINLSSYPKIVKLHLNVDRTIALNSEAFPPNIIKLTLVCFMVDSCLLAVLKTLPKLRKLKMVICKYNEEKMALSGEANGYSFPQLEVLHIHSPNGLSEVTCTDDVSMPKLKKLLLTGFHCGISLSERLKKLSK >Solyc02g031700.2.1 pep chromosome:SL3.0:2:27246528:27250062:-1 gene:Solyc02g031700.2 transcript:Solyc02g031700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVYITFRFYHGGKLQQKPRIKNEGVKEDVAAPPAIGYLNDVGRVDGESNATFNKESSHTLEGSEGLGFEEAAQHEEPIVGEELGRTFAHDGEELAGRERGTATSVTRRGRKRGTGVAATTTTDVPGDTGRVKRTRVVVMGIVHTYSGFTIHNPEIPTNSSIVTLNLGHCKTRSGLKWKGKTL >Solyc06g082530.2.1 pep chromosome:SL3.0:6:48362602:48371114:-1 gene:Solyc06g082530.2 transcript:Solyc06g082530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLIGLFFAILIAIIISKLRSKRFKLPPGPIPVPIFGNWLQVGDDLNHRNLTEYAKKFGDVFLLRMGQRNLAVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRGGWESEAASVVEDVKKNPESATNGIVLRKRLQLMMYNNMFRIMFDRRFESEDDPLFVKLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKEKRLKLFKDYFVDERKKLANTKSMDSNALKCAIDHILDAQQKGEINEDNVLYIVENINVAGDHRSSDGFEFGHCCGGGGDNDVVCFSSRRSSEEEVVIGSGSDRTVVQGDYFDGVFKYIHQMLMEDQEDLENRPCMFQDCIALQAAEKSFYDALNPPTIHRDFVDDSGNQFQDDYCDAVNHQFAETTFNSDLDNDQFSFFYNTNTICHGVGDGISVVNGDTSNAINYHQKGENENKKHTRDENSESSKGRKSKQLASNGTEDGKTEEHYDKALLCPGLNPSFYENRSKSATAWDNAAWDKQKSSHIKQSKRGRPRGSKKGVKTNEVVDLTSLLTRCAEAAASYNTKTFIEVLNKIREHSSPFGDATSRLAYCFANALEARFAGGDTTWITSKKISAADFLKAYQVYITACPFKRMSNIFANKSIAKLTSESSRVHIIDFGILYGFQWPCIIHGISLRPGGPPKLKITGIDFPQPGFRPAERVEETGRRLKEYCKRFDVPFEYKAIAKKWDEIKVEDLDIDRDEIVVVNCLYRLKNVLDETVEVTHNNKNPRDAVLNLIKEINPHYFVHGIVNAMYNASFFTTRFREALFHFSSQFDMFEATMPREDEGRMMFEQEVFGRDIMNVIASEGAERVERPESYKKWSMRNQIAGFRQLPLDQDIVKEVKTKVKMFYHGDFLVDEDSNWMLQGWKGRIMYALSVWEPIHK >Solyc04g051287.1.1 pep chromosome:SL3.0:4:50231881:50233416:1 gene:Solyc04g051287.1 transcript:Solyc04g051287.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFGGITGQVGIFLALMMNTLATSLVILRIYKFILIRIIGLFGLLSLQVIKIKIVLRKKPDSGSHKLNTDAYNKGNPGGAGGGGIIRNSDMEVSSLHIHKITECVAIM >Solyc09g009460.3.1 pep chromosome:SL3.0:9:2895119:2897774:1 gene:Solyc09g009460.3 transcript:Solyc09g009460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDVIHHQTDYAMDFCCGFISNHNPLNIVDEKGKFDELIEEKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTTASKGAEKNQILDLGFVDWKLMS >Solyc07g017880.3.1 pep chromosome:SL3.0:7:8251739:8253855:-1 gene:Solyc07g017880.3 transcript:Solyc07g017880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLISQKMENQKKISNLFFVMLLILSVSSIAFGQLKTDFYKNTCPNVESLVRSAVRLKFQQTFVTAPATLRLFFHDCFVRGCDASMLLSSPNGKAEKDHPDNLSLAGDGFDTVVKAKAAVDSDSKCRNKVSCADILALATREVVAMTGGPFYPVELGRRDGKVSTLTSVQHQLPGEGFNLDQLNTMFARRGLSQTDMIALSGAHTLGFSHCNRVSKRLYNFSPKSSVDPTLNKAYVAQLKQMCPLRVDPRIAINMDPTTPNTFDNAYYKNLQQGKGLFVSDQILFTDSRSRNTVNLFASNNDAFKQAFATAMTKLGRVGVLTGNQGEIRFDCTRPN >Solyc10g053880.1.1 pep chromosome:SL3.0:10:54076862:54077128:-1 gene:Solyc10g053880.1 transcript:Solyc10g053880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVCLKLFEDLCLCNFIMILSSNWWIMCTPSLCLFELDICASVNYKFIIL >Solyc09g015475.1.1.1 pep chromosome:SL3.0:9:8943084:8943748:-1 gene:Solyc09g015475.1 transcript:Solyc09g015475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNFNPFNNNWFNKPSTPFQPINLLSHFDSLKTHHHFPPFAAISNPFSRKPKSDPEKPGHYRKMLDQFYCECETRPDFRHSPEVERIMNEDPFIEKKENPTKEEIEENEKWFAEFRENPVFQFLLQAEEIADKINELELQENSTPYRKEDKKL >Solyc04g039650.3.1 pep chromosome:SL3.0:4:13388151:13390109:-1 gene:Solyc04g039650.3 transcript:Solyc04g039650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTTPPSPPQRLAALPCHTSNKLRNYTPIQSFNRKDFSIRCEFENSQRWTVGCVSGTDPIHIILKPPSIPMTSAAVLGSSGKNSKRVCLFYCNEMKDLAERIASQSDAIELRNITWRTFEDGFPNLFISNAHGIRGQHVAFLASFNSPGVIFEQLSVIYALPKLFVSSFTLVLPFFPTGTSERMEDEGPTSLVIFDIHALRDSTSETIYYHALRAGSHCF >Solyc08g078960.3.1 pep chromosome:SL3.0:8:62738928:62748484:-1 gene:Solyc08g078960.3 transcript:Solyc08g078960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVREMHPLCCITLETPEIGDQSPEPTLLRTGSAVVYSGSDINAGGSDVGGVAGILYKWTNYHKGWRSRWFTLRNGILSYSKNRRPDHLDGDDIKLIGKLPDSNPSRRKHGKSVGIVHLKVSSFRESRSDSRRFYIFTATKSLHLRTHSKKERAAWIEALIATRNLFQLRPLNDNLNLLQNDVSISTERLKNRLLEEGIGEGLVQDCEKIMLSEFSEIKGQLKSLCEERSNLLDTLRQLEAANIEGEASGVHDGEFQLMKQFSSLGRGKYSEYSTTESSDDIEKQELDEASDEEEANYLDANECFSEHQTSGGSVVGDNMKNNTIDASAQAQVVRRTKLPDPVEKEKGVSLWAMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEHGKSGNSLLRILNVAAFAVSGYASTEGRNCKPFNPLLGETYEADFPEKGIRFFSEKVSHHPTLIACHCEGRGWKFWADSNLKSKFWGRSIQVDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKIYCDHHGTMHIRGNRQYSCKLKYKEPSIIERNPHQVHGFVEDISGKKVATLFGKWNESMYYINGEWTSKPKDSSILSLLWTRNNPPPNLTRYNLSSFAITLNELTPGLKEMLPPTDSRLRPDQRHLENGEYDKANAEKLRLETRQRMSRKLQENGWKPRWFQREGEDGTFRYMGGYWEARETATWDGCPNIFGEIDQDLLNSFEGS >Solyc07g017930.1.1 pep chromosome:SL3.0:7:8572425:8574315:-1 gene:Solyc07g017930.1 transcript:Solyc07g017930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVLPTPALSLVSDLSEIALTSGQRGGSSDLEIGYPGYRTTISLSSSYSERFMLICSTRRAVYQPHVRVPPHTAQVAKALSIALGKSFTLANLTASLSARAKLRPRLLVASGHLIPSPEILVSTDKDLLIPRVRAGLEASYLLPISPPSFSRVSLSLLDRLLPNAVDPMASRKGDLVLYSDDIFPTETRKLPSCPRSDFVNRMGNPTHILGTKLGMARFFINDAALPTQRNNAEGKLYSVLNTKQYTKNLNVLPSIMTTGGEAKLRRQLRFLLASFLETSFSSGQLGKQAIFSIVKISINNRPEWWGRRPSLLQWKGGNCRFTAAPLLTVKRLSLPRSGNRSQSMTEKIA >Solyc04g009530.3.1 pep chromosome:SL3.0:4:2944056:2949217:-1 gene:Solyc04g009530.3 transcript:Solyc04g009530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSIGHQIHINVNSPILLPLRTNFSSLSFTFSNARYPLKWNHIGCPKICALPAVSIIASGSSREMLPPALDSSSEPPAIFDGTPKLYISYSCPYAQRTWIARNCKALQEEIKLVPIDLKNRPDWYKEKVYPANKVPSLEHNNEVKGESMDLIRYIDSNFEGPSLFPDDPSKREFAEELFSYFDSFYKAVISSLKEDKINDATFDSIETALSKFVDGSFFLGSLSLVDIAYAPFIERFQPFLLEVKNYDITTGRTKLAAWIKEMNQIEGYTVTKRDPKEHLENYKRRFLSQL >Solyc08g007940.3.1 pep chromosome:SL3.0:8:2443387:2446733:1 gene:Solyc08g007940.3 transcript:Solyc08g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGTQTKCKACDKTVYAAEVISAGGVNYHNTCFRCSHCNGRLANRSPSKLSSLFCGTQEKCASCKKTVYPLEKVTVDGEFYHQSCFKCAHGGCKLTTSSYAALDGLVYCKPHFSQLFKEKGSYNHLTTATNKKNHSADEHEEGSTTTTTDVDEPPQPPVEETQD >Solyc03g121910.1.1.1 pep chromosome:SL3.0:3:71410818:71412377:-1 gene:Solyc03g121910.1 transcript:Solyc03g121910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASCMLRSSFLSPGLPQLHHQSTSKPNNGIHFFAPIKATATNDAISQQKHRRPADENIREEARRHCSSHNFSARYVPFNAGPTSDEWYSLDEIVYRSRSGGLLDVQHDMDALKKFDGQYWRSLFDSRVGKTTWPYGSGVWSKKEWVLPEIDSDDIVSAFEGNSNLFWAERFGKQFLGMTDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMHKPVVGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTAELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPEWVIVPGGNLGNIYAFYKGFQMCKELGLVDRIPRLVCAQAANANPLYLHYKSGWKDFKPVKANTTFASAIQIGDPVSIDRAVFALQNCNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALFKLRNSGVIAPTDRTVVVSTAHGLKFTQSKIDYHSKEIKDMECRFSNPPVEVKADFGSVMDVLKSYLLSQNSKL >Solyc02g067940.2.1 pep chromosome:SL3.0:2:38593728:38595265:1 gene:Solyc02g067940.2 transcript:Solyc02g067940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREDRQHGLVQTSLWSPTSNSRSVNKLNSPPTAGLFTKASPMPWNHSKFTGKCGRSKCTICHLQPAGRLRGQKN >Solyc09g059520.3.1 pep chromosome:SL3.0:9:55008597:55017263:-1 gene:Solyc09g059520.3 transcript:Solyc09g059520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFENGVSVAPFLLKCYEMVEDESTDGLISWNQSEKSFIIWDVPKFSSELLPKYFKHSNFSSFIRQLNIYGFHKTDTDRWEFLNDSFVKGQKHFLKNIVRRKQSSVAQKKPSQLEEIKSCTSEESKNLELWKEVENLKDERNVLTQELVKLKEHQQNSESKLILLREQLKVREKNQQQMLSFIVMAMQSPSFLVQFFQPKENSWCMSENGNNILSEVEDDCVDTPSDRAIVRYHPPTHEEAAETLLCAEPEPALDSQKPMELDFSSNELKDMFSNIDFFSGLMDEKLLAFENRVPLTLPYHPDDDNLLEQLLLSSPITENNEGEVMDNPACSHTGMETDLESGTFSGSEEPFHSLEDKKMEMDLLETQSDNLSNMDILAEQLGHSKF >Solyc03g114705.1.1 pep chromosome:SL3.0:3:66087018:66089030:1 gene:Solyc03g114705.1 transcript:Solyc03g114705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSFGSFMFFFIFLTIKDTIVHTDDQVDLSYEVEQMEVLDGVPSNERDSKNSASTHNSKNDDPSDDNGTFQNLESENFQDDATDFFTTKKRLLTLTKQKDYIF >Solyc03g118530.3.1 pep chromosome:SL3.0:3:68885453:68903334:-1 gene:Solyc03g118530.3 transcript:Solyc03g118530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDTSSPDGSYNSPRSSGSNSPIRSDGKKKGKSNSKDNSPSTPTSLSSFISFRDKKKDAKGKESQNSTPIKNSEKPSTGEVKEKKGVTETKEAGATSFPLSPIMASSLGLNKIKTRSGPLPQESFFGYGSRDKGNALGASNLSKTGGDEQVSSGWGKKSLGKKDEMKSILGSAENAGRIDNASNSDGMSAGSAALKDRSKHIPGTSRLQAGDSSSGAGQFNPSWSHSGGLRGMDVYTPELKTSYEWENPKESESPRVQAILRVTSAPRKRSPSDIKSFSHELNSKGVRPYPFWKPRGLNNLEEVLMMIRTKFDKAKEEVDSDLRIFAADLVGVLEKNAETHPEWQETIEDLLVLARRCAMTSPGEFWLQCEGIVQELDDRRQELPMGTLKQLHTRMLFILTRCTRLLQFHKESAFAEDEPVFQLRQSLQPVEKRIPPGIRRNVMMSGPMQFPKVPAPRKSYSQEQHGLEWKRVQAVNQKDSQVVQAENPKKLETPGGGDRMKKFPTPAVKSPKEASPLKEHTIDGNIKPSKLLVDKRGIPDVNLAADKHPELLSAKDSHAHSSIPSKHQHKVSWGYWGDQPSVLDENSIICRICEDEVPTLHVEDHSRICAIADRCDQKGLSVNERLLRITDTLEKLMESFSQKDFQPIVGSPDVTKVSNSSVTEESEPLSPKLSDWSRRGSEDMLDCFPEADNSVFMDEFKGLPTMSCKTRFGPKSDQGMTTSSAGSMTPRSPLQTPRTSQIDLLLAGRGGFSEHDDLPQMNELADIARCVANTPLNDDRSTSYLISCLEDLKVVTERRKWDALTVETFATRIEKLIREKYLQLCELVDDDKVDISSSVIDEDAPLEDDVVRSLRTSPIHSKDRTSIDDFEIIKPISRGAFGRVFLAKKKTTGDFFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYVAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMDDDESQLLTPEHQQERRGKRSAVGTPDYLAPEILLGTGHGFTADWWSVGVILFELIVGMPPFNAEHPQKIFDNILNRNIPWPGVPEEMSPEAFDLIDRLLREDPNQRLGAGGASEVKQHPFFRDINWDTLARQKAAFVPASENALDTSYFTSRFSWNPSDERVYAASEFEDSTDNDSVSDSSSCLSNRHDELVDECGGLAEFESGSSINYSFSNFSFKNLSQLASINYDLLSKDWKDDQPTNPNA >Solyc06g054470.1.1.1 pep chromosome:SL3.0:6:37352593:37353144:-1 gene:Solyc06g054470.1 transcript:Solyc06g054470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEILRPQDILTQWFRVSPSVCYRRRNNFGNGNEYISSRSSYGNRKQVVRTEKERSEKKKVRNQPEPLMRRRSASSDDLRPTLNAGKSNGGVVMGQVTILRRGESLDSLNSVYGTGPGQPEKLRKQIQVGLSSADVYAGSAFSNSPSPRALPLPSFFNKKQEDFKSDDFASRDLRRLLRLEH >Solyc07g049560.3.1 pep chromosome:SL3.0:7:59978391:59983029:-1 gene:Solyc07g049560.3 transcript:Solyc07g049560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVSESPIPLNFQKPPSLSLSSVTMKQHATVASTADTCKTIEIAVVERQHHRSQPLPPPQSIKLSPVPTADDNPYDFEVNYSCADENEEDFFIPPLNFAMVDNGIFRSGFPDVDNFSFLQTLGLRSIIYLCPEPYPEANMEFLKENDIRLFQFGIKNSKEPFVNIPEEKIREALGVLIDVRNHPVLIHCKRGKHRTGCLVGCLRKLQKWCLTSVFDEYQRFAAAKARVSDQRFMELFDASSFKQQSLPFSCSKR >Solyc02g085010.1.1.1 pep chromosome:SL3.0:2:48681105:48681470:-1 gene:Solyc02g085010.1 transcript:Solyc02g085010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAMSTRRDYRGYGVLNKGEEDEEACAPILGNPKLSRNRTVPASAAAKFFRSSSKKVTSEDNFRASAQLKEAKKASKIHPIFSLFETKRKKKATARPEFSRYIQYLREGGFGDVLQTTTT >Solyc08g016797.1.1 pep chromosome:SL3.0:8:9449149:9450182:1 gene:Solyc08g016797.1 transcript:Solyc08g016797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLDSTHDWQRRAWHDITPLDNTHGRQRRAWHDTTAFGQHTWSDYVRRGMTSLPLESTHDRTTSGVICHHRLWAAHTVERRVACHHRRWAAHMVERCQAWHDITILGLHARSNDVGRGMTSLPLDSTHGRTTSGMA >Solyc09g055995.1.1 pep chromosome:SL3.0:9:46871243:46876714:1 gene:Solyc09g055995.1 transcript:Solyc09g055995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSVAIEMKDLGPTKQILGMKISRDRSAGTLNLSQELYIEKVLSRFWVNDAKPRTTPLSTVGSLMYDMFCTRPDIAHAVGVVSRYMANPGKEHWEAVKWLLRYLRGTSSTSLCFGKVKVTLQGFVDVVLGGDVYTSKSTSGYIYTIGGTTVSWMSRLQKCVSLSSTEAEMLRENECVIEFQMENCQERVFECK >Solyc03g025900.3.1 pep chromosome:SL3.0:3:3338952:3346276:-1 gene:Solyc03g025900.3 transcript:Solyc03g025900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKNLWDILESCKKTLPLHHLQNKRVCIDLSCWIVQLQNVNKSHCAMKEKLYLRGLFHRVRALIALNCSVIFVTDGAIPAIKSSTYRRRLNLGNVLTQDEAAIKPSSIRRNTGSDFSRMMKEAKVLGNALGIPCLDGIEEGEAQCALLNSESFCDGCFTSDSDAFLFGARTVYRDMCLGDGGYLVCYEMDDIERKLGLGRNSLIALAVILGGDYSEGVYGIGRESACQIVKSIGDRAVLQWIASEGFSLVKKKKGSKKQTEDDKCNGKENAAEHKNPDGSKYPAQMKSQVLQVLDAYLRPKCHSADSNSVQRILALYPYSRSQLQHICSETFGWPPEKTDEYILPKIAERELRRFANLRSTASQLGVQLPLNEMPIKCPLSQIVKPRKVQGVDCFEVSWEEMDGLETSIVPADLVERACPERILEFQERRAQGKKRNNARSRPRKPENPQLLGQIDQKLQDLLLEIDRETSTTNDATSLCRSVPEDDDDIAHIQVVDTGKQSHYTWNSNCVKKGGDFTYGITSFQESNISQVSSEDEVIDLTSPPPLQHASGNSKHLEGNVQCIDLVELSDSDNDMFSPEHTRRARELRMFIARIKNTC >Solyc01g080550.2.1 pep chromosome:SL3.0:1:79646381:79656033:-1 gene:Solyc01g080550.2 transcript:Solyc01g080550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMMGRDDIAVGVGGEGGILPNGTIMPDVGGYLPIIDQGDGTDGYCRYRQTVPVGLGGRLNIDSNYGFRKIFLPQGKRQYSPLRQPTAQQVMINTISSGPTVVFLTGSHTNFALFLLSNPHLKKNVEHIYIMGGGIGCCTKNSTSSCQPGQCGNLFTDYTSNPYAEFNMFMDPFAAYQVIHSGIPVTLVPLDATNTIPITKEFFETFEKNQHTYEAQYCFKSLKIVRDTWFADQFYKSFFMWDSFMSGIAASIMRKQQNYQGENEFAEMEYINITVVTSNMPYGISDGSNPFFDGRKIPKFNLERNGVHSGHVQTRLRDPFCVVKNGKGKCQDGYTKEVVGPSGVPVRVAVRAKPNQNPKSALDREFFVSFLDVLNQRENSALFNFSTQFPHYKGELRKPDFRGKHLGKNVVFDMDMSAGDFIALVHLLKLPVEEINLKAIIVSPTGWANAATIDSVYDLLHIMGRDDIPVGLGDMFAMNQSDPVFSAVGDCKYNKVIPQGSGGFIDSDTLYGLSRSLPRSPRRSTMENFVKFGAPWDTDHPKLGQPLALEVWESVVKSLDPGSKVTILTNGPLTNIAKIVLAGKNMTNAIQASDILVVGGHINHDNTDKGNVFNVPSNKFAELNMFLDPLAAKTVLSSELNITLIPLGIQRKFSAFPKILKTVLQLTKKTPGVIFVKRLLSKLQHLQKTHPRYKHMDIFVGEILGAVILAGDSVLKSTCDVKKIEVTATGNESVDGQIIIDEKQGKSVKVLENVDHLGYYNIFANRLSDME >Solyc02g092960.1.1 pep chromosome:SL3.0:2:54557170:54557494:1 gene:Solyc02g092960.1 transcript:Solyc02g092960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTNKDTEDVKGMERRVESVDYQSSVGQGQEQRPVELIQSNTSGGVLANAAASVASTLESAKRAISRK >Solyc03g083143.1.1 pep chromosome:SL3.0:3:54397338:54397708:1 gene:Solyc03g083143.1 transcript:Solyc03g083143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGMFVMMLYHFVLKELISKEIVTLLYRVSQVCIGCKSDKYILRVSTTIQFSRYFYLKTILAKGKMGASIHRSSRDRGIGGKSNLHT >Solyc03g044660.3.1 pep chromosome:SL3.0:3:10317433:10321696:-1 gene:Solyc03g044660.3 transcript:Solyc03g044660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSITSCCHFYVERAGTTNRRNATWKSPRAAVIPSIHLPMRSNEVKNRTFAEDIKKLRLITAIKTPYLPDGRFDLEAYDALVNLQIENGVEGVIVGGTTGEGQLMSWDEHIMLIGHTINCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGLISHFDSVLPMGPTIIYNVPSRTGQDIPPHVIQALAKSPNLAGVKECVGNDRVEQYTRNGLVVWSGNDDECHDSRWHHGATGVISVTSNLVPGLMRELMFGGNNPVLNSKLMPLVEWLFHEPNPIALNTALAQLGVVRPVFRLPYVPLTKAKREEFVKIVEKIGRENFIGERDVQVLDDNDFFLVGRY >Solyc06g068420.1.1 pep chromosome:SL3.0:6:42500176:42500552:-1 gene:Solyc06g068420.1 transcript:Solyc06g068420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNSSNFIMFPHNLASQFEIKRFQIDQFIILQNERLRLALQEQRKQQLALILRNYESETHLLLKQIDEKIVKACLEF >Solyc08g066210.3.1 pep chromosome:SL3.0:8:54706306:54710179:-1 gene:Solyc08g066210.3 transcript:Solyc08g066210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDQTLQNQIVILFMEFSHFLTGLFAGKQSLYLILLLNFIPIFVTPATTERDTLLKIKRQWGNPSALDSWNSTSSPCSWPEIECDDGKVTGIILQEKDITVEIPTSICELKNLTLLNLRLNYLPGEFPTFLYKCSNLQHLDLSQNYFVGTIPEDIHRLGKLKYLNLGGNNFTGDIPPSVGNLTELETLCMDLNLFNGSFPAEIGNLANLESLGLEFNGFSPMRIPPEFGKLKKIKYIWMRDTKLIGEIPESFGDFLNLELIDFAHNNLEGKIPSGLFLLKNLTMMYLFNNRLSGRIPETFESSKLMELDVSNNNLTGTIPESFGEFKHLKIMNLFANHLYGPIPESIANIPSLKVFKVFRNKLNGSLPSEMGLHSKLESFEVSVNSFTGNLPEHLCAGGTLFGAVAYANNLSGEIPKSLENCSTLRSIQLYKNQFSGEIPSGVWTLVDMTSLLLSDNSFSGELPSKIALNFTRLEISNNKFTGEIPVGISSWRSLMVLLASNNSFSGLIPVELTSLSQITQLELDGNSLSGELPADIISWKSLSILDLSRNKLSGKIPAALGLIPDLVALDLSQNQLLGPIPPQLGVRRITSLNVSSNQLTGNIPDAFANLAFENSFLNNPSLCTTNSLPYLPSCNNAKVTDSKRLSHRVLALILVLAFAVFLFSVVSTLFLVRDYRRKKHKRDVASWKLTSFQRLDFTEANILSSLTENNMIGSGGSGKVYRISVGRPNEYVAVKRIWSDRKVNYILEREFLAEVQILGSIRHSNIVKLLCCISSEDSKLLVYEYMVNHSLDRWLHGKKRVSLSNKVMDWPKRLEVAIGAAQGLCYMHHDCTPPIIHRDVKSSNILLDSDFTAKIADFGLAKILEKKGELNTMSAVAGSFGYIAPEYAYTTKVNEKIDIYSFGVVLLELVTGRQPNFGDEHTSLAEWAWKQHGEGNTAIDNMLDTDIKETCYLEEMKTVFRLGLICTSNLPASRPSMKEILQILHRCKSFRYSGGKSPDTEYDVAPLLSGNNSEKYIASYKRINSNKVIDDSSDDGLIISSV >Solyc04g014730.3.1 pep chromosome:SL3.0:4:4994106:4997947:1 gene:Solyc04g014730.3 transcript:Solyc04g014730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKSFVEVQSDSQFPIENLPYGVFRPAPDFEPRIGVAIGDYVLDLSVIASADLFDGPLLENSDCFNQSNLNKFLELGRPAWKEARATLQKLLSDTEPILRDDAFLRQKALQPMDNAEMLLPVAVGDYTDFYSNINHATNCGLMFRGSENPIKPNWFCLPIAYHGRASSIAVSGTDIIRPRGQDRPKGNSPPYFGPSRKMDFELEMAAVVGPGNKLGKPIDVNEAADHIFGLVLMNDWSARDIQGWECVPLGPFLGKSFGTTISPWIVTLDALEPFVCDGPKQNPPPLPYLAEKESRNYDIELEVLIKPAGQEHSYAVTKSNFKHLYWTISQQLAHHTVNGCNLRPGDLLGSGTMSGPEPGTYGSLLELTWNGTKPLSLGGVTRTFIEDGDEVIFTGCCKGNGYTVGFGKCSGKILPSPY >Solyc10g085000.2.1 pep chromosome:SL3.0:10:64469004:64473929:1 gene:Solyc10g085000.2 transcript:Solyc10g085000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRSSKFSFCCWHSSRKPSPHDLSDLENGGENGKNALPSFTEFSLDVLMSATEGFSADNIVSEHGEKAPNVVFKGLLENGHWVAVKRFNRSAWPDSRQFLDEAKAVGNLRSERLANLLGCCCEGEQRLLVAEFMPNETLAKHLFHWDSQPMKWAMRLRVAFYLAQAMEYCSSKGRAIYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYMRTGRVTSESVVYSFGTMLLDLLSGKHIPPSHALDLIRVKNLSMLMDSCLEGDFSNDDGTELVRLATRCLQYEARERPNAKSLVNSLITIQKETEVPSHVLLGIRHGAATPPQPLVLTTMGEACLRRDLTALHEILQKTGYKDDEGIANELSFQMWTNQMQETLNSKQQGDAAFRAKDFITAIECYTQFNDGGTMVSPTIYARRCLCYLMSDMAQEALGDAMQAQVISSEWPTAFYLQSVALFTLGMENDAQEALKEATKLEGKRSKN >Solyc03g117030.2.1.1 pep chromosome:SL3.0:3:67778353:67779330:1 gene:Solyc03g117030.2 transcript:Solyc03g117030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPKTDSIHIREVWFDNLEEEFALMREIVDDFPFVAMDTEFPGVVIRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDENGNLPKCGTDKYCIWQFNFREFNPNEDVFANDSIELLRQSGIDFKMNNEKGIDAKHFAELLMSSGIVLNDSVSWVTFHSGYDFGYLLKVLTCQDLPETQAGFFTLINVYFPVIFDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLDKYAGVLYGLGVENGQSTH >Solyc03g097085.1.1 pep chromosome:SL3.0:3:60865594:60867753:-1 gene:Solyc03g097085.1 transcript:Solyc03g097085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFLTQIDISLIVLMASTERTPEEEVHITRPSWSKPEVKRLGKDDFFKENIKFRWVGRKCLLGIDNQGHCCCCWAFTSTEAITAAYALKNKREIVSLSKQQLIDCMYTKYKKPSYFADLGEKECFPCSYNKAYKFAMDYGITLETKYPFMEERGKCECQTEMGVYMGPTEHEIAQVRQKKTEGQVVGKHAIKPSASSTKCFFYSLMKNAQLRKALPCNRTPNLPVHLNGDDKRKCKRLVERRRKGNGGFRLRELMFQTRDSSPI >Solyc08g081155.1.1 pep chromosome:SL3.0:8:64349098:64350983:-1 gene:Solyc08g081155.1 transcript:Solyc08g081155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLTQAKKSNLVLILDYGSQYTHLITRRIRSLSVFSLCINGTSSLDSITELNPRVIILSGGPHSVHADGAPCFPSGFIEYVESQGIHVLGICYGLQLLVQNLGGVVKVGEKHEYGRMEIEVQKNSGLFGNKQIGDKQVVWMSHGDEAVKLPEGFEVVARSNQGAVAAIENREKKFYGLQYHPEVTHSIEGMETLRHFLFDICEITAGWNMEDVLEEEIKVIKAMVGPEDHVICALSGGVDSTVAATVVHKAIGDRLHCVFVDNGLLRQVYSLATSL >Solyc04g010050.3.1 pep chromosome:SL3.0:4:3353102:3357087:1 gene:Solyc04g010050.3 transcript:Solyc04g010050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLWVISEQIDVTQVGVIIKKTMATLVPKLMTGTPTLHIQNSSSSQIDLQTGSSQSLLIKTPAILLRHSFRGNFSDQSSLQWHGVLGSGKTGSILSCNKQGSVCLDRVKEGTNGSLNGDVEKISNEQFKKLSSSLRLTLDGPLVENDETTNNKILRSFCSHGKLLEASKLVDLMSRRYQIPDYPSCINLIRGLVNVGQTDKAVNVLQTMVMSGGTPDIITYNMLISGLCRKGLLNSAIDFLDYMSLSGCLPNVITYNTILRAMFDRNKYDQGIQFWKDQLRKGCPPYQITSTILVELVCKHCGVIRALEVMEDLAVEGCSPDLVTYNSMVNFSCKQGNFEDSALLIYNLLSHGLEPNAVTYNTLLHSFSTYACWDGVDEILSIMNESSHPPSVVTYNILINCLCKHGLLDRAIDFFTQMVSENCTPDIITYNTLLRALCKEAMVEEAIQIVHCLGDSSCSPSIITYNIVIDGLAKLGFMEKAMDLYHQMRKHGTCPDDVTYRCLIWGFCRADLIEEAVELLKEMGNSRHRVRDNCYRFIIHRLCQNGKLDSAKKVLKMMISSCHKLKSTVYSNIIAGIAGAGMNEAAMELCEKLKEWKILKE >Solyc05g024340.1.1.1 pep chromosome:SL3.0:5:31203029:31204807:1 gene:Solyc05g024340.1 transcript:Solyc05g024340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4BZS1] MDKSGATPICDDIDLNRFKYTVSTSHDDDDPCSRLPENVEHFSKIIESRIAKCSSGETPTRLGKMTEEESFFLEAVMHLSKLTNVIAKSPSGSTLLSQTNIVLKLAMTFMEEELRTLLEDFGGNSNSKVVKKLQLEDYPWYPPEVVTRMNRIATTMISAGFETACCQVYSISRRNAFYEQMKMLEFEKINVDDVQKMSWDSLEAEITRWINVARNCSNTLFLAERKLGESVFSQSPMISQSLFNNLARSIVIQILDFAEAVSWTKRSAEKLFKYLDIYDAIRDLIHAISESLSINDCEHELKSEILATRDRFGDAVINIFNDLEISIKNDAARTPVPGGAVHPLTRYVMNYLKYACEYKDALEHIFKEHNAMSPSKLKSSVDVAESESPHENAVAETTPLTAQLMTIMELLDANLEVKSNLYRDTSLRDIFLMNNGRYILQKAKGSTEVRQVMGDTWCRRRSTTVRQYHKNYQRETWGKLLQILNHDGMQVNGKVTKPIVKERFKNFSTMLDEIHRTQSTWVVSDEQLRSELRVSVSAVLIPAYRSFCGRFRQYLDNTKHADKYIKYQPEDIETLVEGLFDGNPTSMARRKT >Solyc12g049483.1.1 pep chromosome:SL3.0:12:62076923:62079189:1 gene:Solyc12g049483.1 transcript:Solyc12g049483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYVIKDSIFDDYQGIKKIFETTIAGCIITKADQATIDVQLGTNGENLMIVQPISNNEQTPLPTRRNRRPCPYNTSPYLINFGSFAVKHPFIFDLISGDYDITLWDAFRSWIRDGLLTKLILAEISVAINLGVLIVDNKNWFYNLFFKGQLLNNSVKVVYYEKNVYLVQRSLLFEKYLDLDSDVGCADEEHVIGEYIRGYKMHAATPWHKIDYVFVPVHVKEKFHWVLAVISLNDKCINVYDSYRAASHDAAIKAEIVKLSQLIPLKLSVNEYYNNKGIDVLQAQQENDFFNVLTFNFLYYRDCGIYMLAFAEYLSYGQGIPANILVASYLRSRYATLLWNYGQQKNDSGAIGDNEAPPRYS >Solyc04g056340.3.1 pep chromosome:SL3.0:4:54029806:54031743:1 gene:Solyc04g056340.3 transcript:Solyc04g056340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEGDDDGVMLVSPNNFSAVEDNCIYRSGLPQPSNFPFLKSLNLRSIMLVFIFIQLGISLVLFSRFVCGYLCPEPYPEENLEFLTINSIKLFQFGIDGTKEPSAMSSSAITEALKVITDVRNHPVLIHCKRGKHRTGCLVGCLRKLQNWCMSAVVEEYKHYAGTKWRETDVKFLEKYDVSRIRHCLESIIYRYYGSKKRRLQYREESLQKPQMTSVL >Solyc10g085670.2.1 pep chromosome:SL3.0:10:64897526:64904027:-1 gene:Solyc10g085670.2 transcript:Solyc10g085670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATRLLLCFIVFSSGIDFIYTVTDPRDVTILRSLKDQWENTPPSWQKSDDPCGTSWEGVTCNNSRVTALGLSTMGLRGKLSGDIGGLTELISLDLSFNRGLTGSLSPRIGDLQKLNILILAGCSFSGSIPRELGRLSELSFLALNSNNFTGEIPRTLGNLSKLYWLDLADNQLTGPIPVSTFSSPGLDLLKKAKHFHFNKNQLSGSIPDILFSSDMVLIHVLFDGNQLSGSIPFTLGLVQTLEVLRLDRNALNGSVPSNLNNLTSIVELNLAHNKLSGLLPDLTGMNSLNYLDLSNNSFHKSEAPIWFSTLESLTTLVIEYGSLHGSVPQKLFALPQLQQVKLRNNALNDTLNMGGISGRQLTLVDLQNNEISSITLGSGYKNTLILIGNPVCDTALGNTNYCQLQQQSAKPYSTSLANCGRKSCPADQKVSPQSCDCAYPYEGTFYFRGPSFRELSNDNTFHSLEMSLWVKLDLTPGSVSLQNPFFNIDDYLQVQLELFPPTGKYFNRSEVERIGFSLSNQTYKPPHEFGPYYFIASPYTFQAERGETSISSRQVIGIATGCTILVLLLVALAIYAIQQKKLAERAIGLSRPFASWAPSGNDSEGAPQLKGARWFSYDELKKYTGNFSERNEIGSGGYGKVYRGMLANGQVIAIKRAQHGSMQGGQEFKTEIELLSRVHHKNLVGLVGFCFEQGEQVLVYEYMPNGSLRENLSGKTSIYLDWKRRLRVALGSARGLAYLHELANPPIIHRDVKSTNILLDQNLTAKVGDFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELITAKQPIEKGKYVVREMRTAIHKNDEEHYGLTNMIDPVIRNMPNLIGFTRFVDVAMQCVEEAAADRPTMSEVVKMLESILQNDGLETNSTSASSSITDFGTAIAASRHPYNKEALQRKEMNDTHAFDYSGGYTLPTNVEPK >Solyc08g015990.3.1 pep chromosome:SL3.0:8:6512883:6524405:-1 gene:Solyc08g015990.3 transcript:Solyc08g015990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERAKRATLPPAQENIEKLEKVVKQGNYYGAQQMFKSISARYVSAERYSEALDILKCGACLQLENGQVTCGSELALLFVETLVKGKVSYNEETLDHVRKIYEKFPRPSVPQNLDLTDDDDDEMQKLSEAIAAAKTRVDCCSSFLKASIKWSVEFGALRYGSPELHEMLADYMYSQSPEVDMVKVSFHFVRGRNLKKFASTIINFMGKCYPGEDDLAIARAILMYLSLGNLRDANKLMDEVEKEMQSKHLDFPRSELMEFVNYLLLTLQRDALPLFNMLRQNYKTSIDRDPLFNELLDEVAKKFYGIQRKSPLQGMFGDIFKMMGGE >Solyc03g096770.1.1.1 pep chromosome:SL3.0:3:60549447:60549794:-1 gene:Solyc03g096770.1 transcript:Solyc03g096770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTIAVPSTQTTPHHRRETSAVPESEVLRRRNEELEKELKKSFEREEKMREELQKTWKRLRVAEERLCSQLGEFEAEAVDQARAYRTRVMNLMDQLSLAQKLLQSASVVVPTSQ >Solyc02g092515.1.1 pep chromosome:SL3.0:2:54223274:54225568:1 gene:Solyc02g092515.1 transcript:Solyc02g092515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNVIKFLGKVNSVSDLISVKYVSKSHDMMFQIWNSKVQAQGEGTSKRTGESKEEWRDFPPEITVEYLSYISDSRTGTENLKNQDTILDITSGVLHFVAQKLPAQTTTKISYSDGSRKGKYLLSTNAVCAYTKGFPCSPPSKMPTPMVDQTCGTVIFLFLELNRFGIYASIGGLDSCDVYSSDPSKFYYDGDDLTHKHSHGEENP >Solyc01g100480.3.1 pep chromosome:SL3.0:1:90364843:90370399:1 gene:Solyc01g100480.3 transcript:Solyc01g100480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKKRVQVLLFLVGLLILSITAEKCRELVGQEAASKSGEFTWLQCFDGGTGSVACLVKEGVKLYAYNIRSSHVEIARNSAIEIALADAISQGISAKEAAKVAQKEGAKAAKLAVRKTKRIVGPIISAGWDFFEALYLGGTPTEGALRGSGTLFGAYWVGYLGEQTMGRFGYLVGSELGSWVGGKVGLMVYDLVNGVDHLLAFLQLKEIEVDRTVYDELYKETSSEVPKESYDSESSTYSSFEAPEESSSYEAPEESSSYEAPAYEDPEVHEEF >Solyc04g005330.3.1 pep chromosome:SL3.0:4:240915:251462:-1 gene:Solyc04g005330.3 transcript:Solyc04g005330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASVTPTPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTINVPEGFDYELYNRDDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPHFFNTLYDPYREGADFVRGYPFSMREGAATAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEEIIPFFQSATLPKDCTSVQQCYLELSKQVKEKLSAIDPYFTKLADAMVTWIEAWDELNPKPSISNGPGNDKSTPARVIAGELRILCLQGSVQVGERITSQASHLEHRGIQSPSFWALRTDFGLTQVEFGIPLSICNLRQLREYISGGSGLTQSCSIGEGGIALAEAVQSGRFSTWYCRKGVAKSVPAEADPPSKEEIEQILKSGLLDKGEDSDDEEAEEDMNVDESKKNEDDEVAIALAAADALGKATQVSSAGTDDIIDGLKELDMDNYDEEEEGIELFGSGLKDLYYASNDMDPYLKDKDNDSEEDDDMVIRPDDSVIVCASNEDDIMILEDLSDGETNMYVHHALILPAFPLCTAWLDCPIKGGERGNFIAVGSMEPAIEIWDIDIIDEVQPSVILGGIADIKRKGKKKSIKYKKDSHKDAVLGLSWNKEYRNILASASADHTVKVWDVTTEACNLTMNDHTDKVQAVAWNPFAPQILLSGSFDHTVCLKDGRKPSHSGFKFSVGADVESLAWDPHSEHSFVVSARIMFVINAICVEALFALVSLENGTVTSFDIRAASSASTSETKPSFTIHAHDKAASSLCFNPLVPNLLATGSTDKMVKLWDLTNNQPSCIASRNPKAGAVFTVSFSDDCPFFLAIGGSKGKLQLWDISSEDAVLKKYGKYVAQKKQSPKS >Solyc05g012640.3.1 pep chromosome:SL3.0:5:5834203:5838088:-1 gene:Solyc05g012640.3 transcript:Solyc05g012640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQVHYKGFRPSYYSMRDLNEDCNSSSWTPSYGDKTLPNSQYCNGFTPRTTTNAYPGYDKDILKQRMLEHEAIFRNQVVELHRLYRTQRDMMDEFKRKEMHKYRASMEPSCSSSHLGPQIPSEDVRKWHITNFPLENSSYTRPSTSGTEIVNSPFSSSKGDGVQPGRVQMQNGYSSKACDILEARPSKVRKMLFDLQLPADDYIDTEDGGQSRDNAGSLHPSYPANGNYVVPQENGTKLFLGGAGAAKGDSRKDASASNSCLRSPIGLADLNEPAQLDDATDPVDFLGYGNNHNEIRSINASAKSNPPFVALPWNSNCASPNESLSNPYNRSRGKEREWLASAYETGNIKGSSVSLPRGLEEEKIPTASHQAPVIINKAYQAPGAHLVHHIKDGIWKDRTGHSLDMSHRNGEQSNYTQVGPFVTSKMASPFPCASSSEFSSSWPHSVSSWEKPNGSFTQRLSSLHTNSFFNSSAAVGKGSQSSQRQIGDYWQANGGSSRVRPGCASELPNRSVFYHGSSSGTKESPIHIPSGAFDSLSYIKGDRFTSERSSNNASENFLISSNNTDLKSVKGFNLNVLATSALSEEAPRQDVEFSNEKRERQDPVTVLPWLKAKANYKNEDVNTRIGGTSANSGFVQAHSNSPFCQSDPSALEHHHMKTAKEVGEMGHVRKILGVPILDIPVASRNESSSSLVSASANLRSSPERKTIRHERRSMVIDINVACDLSMVEPEESDAVEHIVTTKVMETKTINIKNHFDLNSCITEDEEPISYETNKANVKTILDIDLEAPVVMDIEQDNFPREEDEKQHWTSSQLPDHKPEQTQEELLRIAAEAIVVISSSAHCNLTEERHSDTSDDPLTSLRWFVDVVSSCAAELDSTSSVKEITYKSNNMMVAHSAFKEIDYFEAMTLQLTETKEEDYMPKPFVPEVQIVEDAGASSLTNRPRRGNARRGRQRRDFQRDILPGLASLSRHEVTEDIQIFGGLMRATGHTWNSSLTRRNGTRNGGTRGRRKKVVDTSIPAPAPVLTTTTVNSPLIHQLNNIEASLEDNKSLTGWGKTPRRPRRQRCPAGTPSAVLLT >Solyc12g094645.1.1 pep chromosome:SL3.0:12:65796384:65798285:1 gene:Solyc12g094645.1 transcript:Solyc12g094645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRLFNHYVVDVIPWKQSKVMIFQCRVFKLITLTHPNSLSSLSTAKRSMHIFPGERPPPAAGSGDVSIESLRFSIFAERRAHVRSENLITPDTKMNKLRNGSQEEPGKDTSKI >Solyc08g013830.1.1.1 pep chromosome:SL3.0:8:3272595:3273941:1 gene:Solyc08g013830.1 transcript:Solyc08g013830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMVQELNFPQLQIPLTINSISPILPSSPIPPSYGDTLYLSNLDDMIGSRVFTPTMYFYRSNGKMDVMTIINVLKEALASVLVPYYPFSGRLRETKEGKLEVFFGPKQGVLLVEACSEMKILNLGDLTVPNPAWKNLVYTFPNEEQYKVIDMPLLIAQVTRFSCGGFSLGLRVCHCLCDGVGAMQFLSAWASTARLNKLTLDPKPCWDRETLIPNDPPFIQYPHIEFKRIDDAFSFTRRLFVQKCYRVTRDFQAHLKTLVGPNISCTTFDAMAAHVWRSWVKALINVSPLDYELRLTFSVNCRSRLTNPPLKSGFYGNAVSVACATSTVSGIVNGSISDTICLVRNARLSVSKAYLRSTIDYIQVNRPTKLEFGGKLTITQWTRFSMYESADFGWGKPIYAGPIDLTPTPQVCVFLPQDNSDGAMLVCICLPEDVSHRFTDIFCLLN >Solyc10g053910.2.1 pep chromosome:SL3.0:10:54161362:54173356:1 gene:Solyc10g053910.2 transcript:Solyc10g053910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQPLFALVFLEVLVILTLIFRTPLRKPVLMALDKSKQGRGPVIVKSAGGTLFVVLVSILFNVTLMQNRATDSGTVNPTDQVLLANHLLEASLLGFTLFLALVIDRLHYYIKELRLLRKTMEGEKKMNQNRDQVESAASKSPSIST >Solyc09g092340.3.1 pep chromosome:SL3.0:9:71947841:71952540:-1 gene:Solyc09g092340.3 transcript:Solyc09g092340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPISGELDDWDRPFTEEELQEIDAAFESATKRKSDSVVAAGEESRRKSRRKLPASLFVYHQQNEVSDSSLLSPCTRNQSRCSYSSRSSNQAAKIVMQYPEMSFKGRVIYSRTINEVEKSAVELLNFVEEKKRKEGNVALGFDIEWKPTFRRGVPPGKAAVMQICGDKGNCYVLHIIHSGIPQTLQSLLEDPTVVKVGVCIANDAFKVRQDHNVSVKALEDLSELANKKLDDPKKWSLASLTEKLLAKQLPKPSNIRLGNWEANVLSRDQLHYAATDAFVSWYLYQALQSLPEIVDNKE >Solyc10g005040.3.1 pep chromosome:SL3.0:10:48377:49274:1 gene:Solyc10g005040.3 transcript:Solyc10g005040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYDQQKIPNESVGYPQKPSPIAISSNDPSRRSPAKTLCALLFILVILAGLVVLILWLVYRPHKPNFSLVDAAIYDLNVTSPPYMSTSMQFTVLARNPNRRVRLDYDQFSAIVYYKGQAITPPALLPPLFQKTKSTVILSPLIRGASVPVSVEVANGLLIDEVYGVVGLRLVLMGKMRYKAGIIKTKHYQVYVKCDMLVGYKKGFMGQVPLLGSSDCQLH >Solyc06g075650.3.1 pep chromosome:SL3.0:6:47156797:47158012:1 gene:Solyc06g075650.3 transcript:Solyc06g075650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 12 [Source:UniProtKB/TrEMBL;Acc:K4C9T0] MPISRIAIGNLAEATKPDALKAATAEFFSMLIFVFAGSGSGMAFGKLTNGGAATPAGLISASIAHAFALFVAVSVGANISGGHVNPAVTFGAFVGGHITLFRSVLYWIAQLLGSVVACVLLKFSTGGLETSAFALSSGVTPWNAVVFEIVMTFGLVYTVYATAVDPKKGDLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFGGAAIAALVYEIIFIGQNTHEQLPTTDDY >Solyc03g095450.3.1 pep chromosome:SL3.0:3:57995390:58004259:-1 gene:Solyc03g095450.3 transcript:Solyc03g095450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSENNANGSLSSPTSSNLTAFGVQFTPLRFFQSPVSTLLEYSGLFTVRPDNPEMDPLVVDDTVTNEAESSSNVGGGNSSSGEVSIRIIGAGENQGIGGEDEDDGSVMDGEEGGAVEERGSGVDASSMVADDDAGNASRDSSYQRFDIQQVARWIEQILPFSLLLLFVFIRQHLQGFFVTIWITAVMFKSNDILRKQTALKGERKIDVLVGYFVVFVIHVTGIYWWYHNDELFYPLLMVPPKVIPPFWHAIFIILVNDTMVRQAAMALKLVLLMYYKNGRGHNFRRQGQILTLVEYMLLLYRALLPTPVWYRFFLNKEYGSLFSSLTTGLYLTFKLTSIVEKVKSFYTALKALSKKEVHYGSHATPEQVNAAGDLCAICQEKMHAPILLRCKHLFCEDCVSEWFERERTCPLCRALVRPADLRSFGDGSTSLFFQLF >Solyc01g057740.2.1.1 pep chromosome:SL3.0:1:63546211:63547059:-1 gene:Solyc01g057740.2 transcript:Solyc01g057740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEISWNIKAIMDTAFPFRKTDIHWNQLCQVVENLRPRINRKIVVWNRPQQGMIKLNMDGSFLEHYVKARIRGMSRDITGKFIFVFAIPIWCKDHNVAEATTAKYVFQWLKNNAPQQGIIEMDSLLVVDIIEKNFSKSQLEVYRG >Solyc01g009780.3.1 pep chromosome:SL3.0:1:4139250:4142714:1 gene:Solyc01g009780.3 transcript:Solyc01g009780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFEEPAIGIPYNPSAPYQAPMPQQFQQQYYVGQNPYQAGMVPPNAIYGDPKGIPIQQTIYRDTPAPFNCLHCGNTGLTQVKSKPSPAAFVGCMTPFMLGVCFLLPSMDCLWHKYHYCPSCNQKVADFEKSDFCLVMDPPNWEEKSFALPA >Solyc02g030303.1.1 pep chromosome:SL3.0:2:25964363:25965313:-1 gene:Solyc02g030303.1 transcript:Solyc02g030303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQMGVLRGLMTGWLSKKSTWKLNKSLYGLIQASRPWYCKLANALCSRGQRMMLSSQELTQEILRSLRITDMAGYTIFWVWKFYTEWMESLSHKGSSVVSQDICYTWHFLSNDPDCTIIGYCDSDRATCPDSRRSISGYLLFLGNRPIIWKSLKQETISISSAESEH >Solyc09g018040.1.1 pep chromosome:SL3.0:9:12697666:12699701:1 gene:Solyc09g018040.1 transcript:Solyc09g018040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKKICCHVLAVQIGLFQLLTKSWRRKANFPFARAENSTIVPLLATVCSTGSVASRDGEGSGSVKRYAVSIRIIWLKLGRMLGSSTQHDCMINAKSGEISSGRLGLSWYVFGIFQFSHV >Solyc11g039800.1.1.1 pep chromosome:SL3.0:11:42331352:42331867:-1 gene:Solyc11g039800.1 transcript:Solyc11g039800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKELNKGRGTHNMVIHRKASSNVSPGSQSNSSKWLSWLPCSNIFEDFMKDTVKYGNVMAPTLHISTPEVNKIIKDCEVTMALKSNNLMIKQPILTLNTLAHEVPIQSLESFRKLQGESGQFILSKGNDKDLVKGMSSLSQANLTNNFIFSKKNQGRRVLLGALIWLMKK >Solyc01g010410.3.1.1 pep chromosome:SL3.0:1:5255864:5258224:1 gene:Solyc01g010410.3 transcript:Solyc01g010410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYQDLKFVCKWCNKKYPCGKSLGGHIKCHVVDEKFETAKLKKKESWNISSGKNQSSGSYVLRENPKKTWRFEDSNLYWSDSPKIITNNTRTTFGESDTHPNNIDSKYTAAQEKVCQQCGKGFPSMKALCGHMACHSEKDKGGLKDDDDDDDDDNSWTSSDHSHSDTEAGELISQSCRSKTTKRYNKIVVKSNNYNCSSSVSEIDQVQEQEELAKCLIMLSRDSRSWNGVDSLVETSDNNSAILETKPSSSDMRNNARKNGVKRVYNQDEKPRMKKEGDTITKIDMLEGETQSENSDSDYYLGENGNIESDGSVERYLGNGKGKWNTSKMSFAAWLNENLSDEKNGINRIKQYITESRKNLGKKCERDGYGLASNSYICESKKRAMDPYNDLEIRNDSKKMKLCVKSPEGYKNDIHKTRKYECLNCKKVFSSYQALGGHRPCHKKVHDYSESTYETGENSLGADHNDPKCTKLGKHRDVFRNKKQVVPSQDLPYEPEIKVKTKKSKGHKCPFCYRMFKSGQALGGHKRSHFINGSDQENLNQQSSAVKREVVDLLDLNLPAPVDEEDEEHARYMSW >Solyc10g080850.1.1.1 pep chromosome:SL3.0:10:62165404:62165553:-1 gene:Solyc10g080850.1 transcript:Solyc10g080850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLYSTLKISNSDESADTITTSSPRFALYRKNAKSLLRLRETKKQKKQ >Solyc04g074340.3.1 pep chromosome:SL3.0:4:60419078:60434202:1 gene:Solyc04g074340.3 transcript:Solyc04g074340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQTESKLSKPHAVCIPFPAQGHINPMLKLAKLLHIQDFHITFVNTDFNHRRLLKSRGLNSLVRLPSFRFESIPDGLPPSNEDATQDVPSMCEACMKSCLAPFRDLVARLNNNSNIPSISCIVSDAGMSFTQQVSEELGVPNVGFWTASVCSLWAFTQYPKLVEQGYAPLKDESYLDTIIDWIPGMEGIRLKHLPSFIRATVDEPSYIIMKYIVEEIVDKIPKFSALIFNTFDMLESDVLQQLATKFLVVNYTIGPVHRFLLNNPTQDEDLKSIGSNLWKEDTHCLEWLDTKKPNSVVYVNFGSVTVMSNEQLTEFAWGLANTQMDFLWIVRSDLVMGDSAILPHEFLAETKERGLLVGWCPQEQVLSHPSIGGFLTHCGWNSTLESISYGVPMLCWPFFADQQTNSWFNCNRWDVGMEIDSNVKREVIEEVVRELMIGEKGKKMKENALKWKKLAEKAIDSSDGSSYMNFDKLVSHAQESLLSKPHAVCIAFPAQGHINPMLKLAKLLHVRGFHITFVNTEFNHRRLLKSRGPNALDGLSSFRFESIPDGLPPPSNEDATQDISSLCEACKKSCLVPFRDLITRLNASSNFPSITCIVSDAGMSFTHQVSKELSIPNVGFWTSSAGALLAYLQYPKLVLQDECHLDTIIDWIPSMEGILLKNLPTFIRAKVNEPNNIILEFIVEEIVDKIHNFSALILNSFDTLESDVMKQIATKLSAVSYTIGPFHSLLNNNLTQDNDLNSIGSNLWIDDTHCLEWLDAKQLNSVVYVNFGSITVLSNDQLVEFAWGLANTKMNFLWIVRSDLVMGDSAIFPHEFLVETKERGLLVGWCPQEQVLNHPSIGGFLTHCGWNSTFESISYGVPMLCWPFFADQQTNSWFNCNRWGVGMEIDSNVKREVIEELVRELMVGEKGKEMKENALKWKKLAEEAITSPNGSSYMNFDKLVREVLSPKGSSLLPVD >Solyc07g006620.3.1 pep chromosome:SL3.0:7:1480278:1487817:1 gene:Solyc07g006620.3 transcript:Solyc07g006620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATEKSKRFGDQRKEESKKWQSISKNQTQIRKAKAFVISMMGEFTKTCQYKQVDLEARVGFSSNPGRGLIKEAKSIPADYLLIGGKKNKTCRYPFTIAKYCCERVPDNCSLVVVARNSGQLPPHNIHSNSIRIQENHQPSSRWLKENNSPSSEKQIITRNSPTTVLNGCEGEENSSSFGENSITKSSILSSSSLVTKEFKYQQDQFKKPMSPLRRISSFLRSPFDLSSRKKSSRFQNEETKQMSPLKCFSYEEIASSTNYFHPENLVGQGGYSDVYRGVLEDGRRIAVKRLAKDSNNMNKEKEFLMELGVISHVNHPNTASLVGYCIENGFYLIFKFYPNGTLSSALHGKSNKSLEWPMRYKIALGIARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQKISDFGLAKWLPNKWTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGILLLEIITGRRPVDSSRQNLLLWATPLMEGGKLIELADPKLEGEFNMDELHKMVLTASYCVRQSSVWRPSTTEVLELLRYGDDSEAAKSWRIPKFTSDEVNDYSMVFGYDLPSDLILEDLF >Solyc03g033390.3.1 pep chromosome:SL3.0:3:4987350:4990729:1 gene:Solyc03g033390.3 transcript:Solyc03g033390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSPKDGQSKTPKQKRRTKSTKGKYLKPGALAQLRYTKASAAKSCTDLGKKRVAVITSDETNNQAALKNSVVEGSPIFLSPVKFCYGSVNTPIDISKQNKLQMTPKTPGAFECTSESRLESLPMDLLVKLLCHLHHDQLKAVFHVSQKIRKAVIQARLFHFNYTTPDRTRQEMLRTMTPTPTDHWPFVSKENGKGAWINTPHTPKAPKHGPRPSPRLKFPEMRHIAAVLFQESTIPKRYMVPSVIPTSLCKSLGSNRVLFYEDELCQAVAQNKLR >Solyc11g072670.1.1 pep chromosome:SL3.0:11:56183848:56184611:1 gene:Solyc11g072670.1 transcript:Solyc11g072670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRISVVFHFVAPFLLIYLFVYYHIFVRMMTRQRITKEDFDLHLNRPHLDLSVPRSSPEKTEYETSLRKFNFRIPARSPSVSETSPLYQQADGTTFVSERKSNLKVNNGGTDMQPESLNHSPVHRGFLANPPSVSAKPLRYQQAKGTTFVPGRKLKLKVNNGGNDMQPESLDHSPVHRGILANPPSVSATPSPHQQAKGTTFVPGRKLNLKVKNGRSDMPDL >Solyc01g065710.3.1 pep chromosome:SL3.0:1:72107395:72117917:-1 gene:Solyc01g065710.3 transcript:Solyc01g065710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIASGALVNLEELVPTSQHFKHGISLRVTGKLQDYDVETAVAVIVDRNASLKVDTQLLNINLRIGSTFQFIGELLLEPDKKAILKARVGRNMDGMDLNLYRQSLQLLRDFQAGR >Solyc08g006190.2.1 pep chromosome:SL3.0:8:895171:898030:1 gene:Solyc08g006190.2 transcript:Solyc08g006190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIPVAFCKHLKGCNQEHAILRKSDKKWQVKVNGRLLDEGWAIFAKENDLQLGDCLIFRHEGDFEFEVSIFGSNHFERVYEQTPKGGEEINHTCNKIISQGLVFVLCFSSLVFYFNYTSKFFELLQIVHKSISLIASSLSSKTTEKTKLNVKSNEIIPEVEAAENMPLDRPPFIFTVTPYCLTRGHVQLPVQFARDNSLMNRRCTITIRDEQRSLTFALYSSGARTYIKGQWREFCIANCLKKGDQIMLAIVDNGMNPVLRFYGNSDVIIFNLKLDLRTNASLQLEVKKPNLDAEEVSSRKEVATVPASTSANANAQFVSIIHPYAIIRALFYLPLSFARPNGLMRRCKMILKDEKQRSWSVQLEEVGPRFAITKGWRQFREANDVQVGDTYKFELIHNGTTPVAYFHRTRANASVQPEEKKPNLDARRVSARSNEADVPASTCDNANTQFVSTINPHCINSPFIYLPSAFAKSNSLVNRRCKMILRDEKQRSWSVVLAPMGHHTAITKGWRQFREANGFQVGDTYKFELIDNGTIPIAYFHCEYIYFPSFHYVPPCYATLFQ >Solyc07g032440.1.1.1 pep chromosome:SL3.0:7:39126113:39126346:-1 gene:Solyc07g032440.1 transcript:Solyc07g032440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIMGHTMKYRRMIIMLQSGYFIPPLRKKRAYIKKHNIMAIHLCNTFLPQEHARNYRQSTEIQSTKKFDLWIESLW >Solyc08g066980.3.1 pep chromosome:SL3.0:8:55921897:55941519:1 gene:Solyc08g066980.3 transcript:Solyc08g066980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRGVNVPMYTTLRRTTVVFTMIVESILAGQKYSRPIIGSVVIIVFGAFVAGARDLSFDFYGYAVVFLSNITTAIYLATIARIGKSSGLNSFGLMWCNGIVCGPFLLLWSLIRGDLALTMDFPYLFSPGFLVVMLMSCILAFFLNYSIFLNTTLNSAVTQTICGNLKDLFTISLGWFIFGGLPFDLLNVLGQLLGFVGSGLYAYYKLMGK >Solyc11g011530.2.1 pep chromosome:SL3.0:11:4586558:4588429:1 gene:Solyc11g011530.2 transcript:Solyc11g011530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTRHSYLTLKSTFPIPSPSKAPCPRLEQISSNLQIGHKVFDKSPNRERVDSIISNLLPCCPEIDDFIYFLSRNDIQHFVLNLPLGKMFDKSSKEKKRRRIPSSTIFFCM >Solyc03g117300.3.1 pep chromosome:SL3.0:3:67956127:67962413:1 gene:Solyc03g117300.3 transcript:Solyc03g117300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLKEGSRPPWVGLAAAVWVQIAAGSAYTFPLYSPALKSVLGFSQQQLTIIGVANDIGENVGILPGIACNKFPPWVILLFGLCLSFFGYGVLWLAVSQTVLSLPYWVACHLAIVSYSSVIFWNSRYSNVEEVNICEHECKDLYRGSFPGVVVRCFSIGTSNRELDEELWLALVVATNSSAWMGTAVLVTNMRNFPLSRGTVAGILKGYIGLSAAVFTEIFAMVLNDSASDLLLFLTLGIPIICLAMMYFIRACTPASGEDSSEHIHFLFTQAASLLLAIYLLTTTILKNILSLNSSISYILVGVMVILLMSPLAIPLKMTIFPSRHKRPGKLDGSSNDLTEEEVSFSQTMSLLTPISSEADLGSFREGEDISEVDMLLAVGEGAVKKKRKPRRGEDFKFREAIIKADFWLLWFAYFFGVGSGVTVLNNLAQIGVALGVNDTTILLSLFSFCNFLGRLGAGVVSEHFVRSKTIPRTFWMMITQILMIITFLLYASALSGTLYAATALLGICFGVQFGVMIPTSSELFGLKHFGIIFNFMQLGNPLGALLFSGLLAGYVYDTEAGKQQGSHCLGPNCFRLTFLVLAGVSAFGAFLSMILTIRIRPVYQMLYAAGSFRLAQASDH >Solyc08g081690.3.1 pep chromosome:SL3.0:8:64792123:64801855:1 gene:Solyc08g081690.3 transcript:Solyc08g081690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLPGHERRWTSDTVSSGKDLSGESSPGTDSGNISGFASEEFVEVILDLQDDDTIILRSVEPATVINIDGSDPASGVGIGGASIETPASVTSTSETRSPMMRRSTSNKFRQFSQELKAEAVAKAKHFSQELKAELRRFSWSHGHASRAFSPASFFQNAVVGTGNGVDSALAARALRRQRAQLDRTRSSAHKALRGLKFISNNKTNGWNEVENNFAKLAKDGYLYRSDFAQCIGMKDSKEFALELFDALSRRRRLKVDKISKEELYEYWSQITDQSFDSRLQIFFDMVDKNEDGRIGEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRSPIRRMSTKLVYSLQENWKRIWVLVLWILIMIGLFLWKFYQYKQKSAFQVMGYCLLTAKGAAETLKFNMALILLPVCRNTITFLRSTKLSCFVPFDDNINFHKTVAAAIVTGIILHAGNHLVCDFPKLIHANSTNYQKYLVNDFGPSQPQYIDLVKGVEGVTGIVMVILMAIAFTLATRWFRRSLIKLPKPFDRLTGFNAFWYSHHLLIIVYIVLIIHGTFLYLVHNWYSKTTWMYIAVPVLLYAGERTLRFFRSGLYSVRLLKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSIHIRQLGDWTQELKRVFSEACEQPEAGKSGLLRADENTKTSLPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLKNIVAMEEQADLVSDFSGNSDMSAATSEQPALNKISPKKRKSTLKTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSALITMVQALNHAKNGVDIVSGTSVRTHFARPNWRKVFSKTLTKHANARIGVFYCGAPILAKELSQLCKEFNQKGTTKFEFHKEHF >Solyc08g074940.3.1 pep chromosome:SL3.0:8:59179113:59193141:-1 gene:Solyc08g074940.3 transcript:Solyc08g074940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKVFFLLSILALLAFSKLTLVSCRKAKTNHGLDGFTLELIHRDSPLSPYYNPSTTPSQRLRDAFQRSFSRVSFYTKASIHPTTLVNHAIQSDVIPDYADYLMKIAIGTPPRKTYAILDTGSDLTWIQCKPCNRCYKQILPIFDPKKSSTYKTIGCQSKECKFVRESTCGSKNVCEYEVHYGDGSYSIGDVASDTFTFDSTYSKKVNDISIENVIFGCGHHNGGNFGNHSAGIVGLGYSNISIINQLDKQIKGKFSYCLVPNGDLSLPYHSPNTTSKINFGPKAFVSGTNVLTTPIIRKKSVVLGYDLFYFLNLESISVGGKKLEFKSSQLMSSSDVADEDLGNIIIDSGTTLTYLPENFYDRFESILVETIKGSRKIAPPGVDLPICYETKSVVEFPNIVFHFTNADVETLPMNAFSKVDEELTCLTIVKGDLYENCFSIKIHRDSPLSPYYNPSITPSQRLRDAFQRSFSRVSFYTKASIHPTTLVNHAIQSDIIPDSGDYLMKISIGMPPMKTYASLDTGSELTWVQCKPCTHCYKQILPLFDPRKSSTYKIIGCHSKECELVRGKTCDKKNVCEYELHYGDGSYSFGDVAFETFTFDLTSNKVDNISIPHVIFGCGHSNDGTFSNRTTGIVGLADSKISFINQLDKQIKGKFSYCLVPNNDISPSYHPPNTTSKINFGSKAVVSGPNVLTTPIIRRNNDIFYYLHLESVSVGGKKLEFKSPQVTNSPSNANEDLGNIIIDSGTTLTMIPGEFYDKLESTLVEMIKGKRIGGPLPLCYEAKSIVNKIPNIVFHFKDNADIELLPMNTFAKVNDLSCFSIVKGYPNFAIYGNLQQMNFLIGYDLAKTNGFTLDLIHRDSPLSPYYNPSITPSQRLRDACHRSFSRASFFTKASIHLTTPFNHHAIQSDIVPIPAEYLMKISIGTPPRETFAIVDTGSDLTWIQCKPCTECFDQIFPLFNPRKSSTYKIIGCHSKQCEAVGQTVCVRKNVCQYEMNYGDNSYSVGDIASETFTFASTKSKTNKKVQNISIPQVIFGCGHDNGGTFNNFTAGIVGLGGSKVSFIKQLDKQIKGKFSYCLIPMDLSLPFSFDPNITSKINFGPKAVVSGPNVLTTPIIRKYPDTFYYLNLESVSVGGKKLKYFKSSQLMSSSSAAADEDLGNIIIDSGTTLTIVPEGFYNKLESTLVEKIKGKRKKDPSDYFPLCYETKSLAKFPKIVFHFTDADIELLPMSTFAEVDKNLTCLLIVNGGVDGLAIYGNLAQMNFHIGYDLDQEFD >Solyc04g010235.1.1 pep chromosome:SL3.0:4:3561709:3564475:1 gene:Solyc04g010235.1 transcript:Solyc04g010235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSQQPASKLLKYSYSYSHHGSQDDSYGFHFAFEDMNTFWRRLDKHRQATAERAGWADL >Solyc10g080650.2.1 pep chromosome:SL3.0:10:62008619:62009174:1 gene:Solyc10g080650.2 transcript:Solyc10g080650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINFQKHQQKEAISTKFKGRKRSKGPNSFVGVRQRPSGKWIAEIKDTTQKIRMWLGTYETAEEAARAYDQAAVLLRGSNTRTNFLTTRVSHDSPLASRIRNLLNIKKTANEKSLDFQVKMQKRAIFMKNYFLMITKVNFSTATLFKVTLLV >Solyc01g014070.1.1 pep chromosome:SL3.0:1:11088428:11089333:-1 gene:Solyc01g014070.1 transcript:Solyc01g014070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFRKQILLVVLVVVIAKLRSTTAVVYEVGDSWGWTFNYNYEQWAASKHFQLGDVFIFNYDPHLHNVRQVDKNYNDCTDHNPLASFNTGSDTLTLKTPGLYYFMCDIPGHCASGLRFQIKIDIPRVLPADPEKPSPPPPDPYESFPNIPGFPAKNPATSSNAGSLFKCTWSCKDMLLTFFLLALCF >Solyc07g049385.1.1 pep chromosome:SL3.0:7:59789362:59789761:-1 gene:Solyc07g049385.1 transcript:Solyc07g049385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMHCFAGSSSIGSVFVVRWLFGFFPTVKCGKPTHFLQRNQQTITHSKKKKKEVEFILNYITHGMDA >Solyc07g065490.3.1 pep chromosome:SL3.0:7:67352499:67361396:1 gene:Solyc07g065490.3 transcript:Solyc07g065490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGETVSEVVEAPANGNVAVADKSEAKDLHAEKDGTKEVKEEESKENDKDVAEKMDIDKEEAKETKGEEEVKENIEKEEVAKEDNEKEEEAKENNGKGEEVKETNETKEEEKPDQEIEVEEEKPQTKSEAMEEEPDNKDVEEEMAEEQDNKDEEMAEEPDNKDDDEEMAEEPENKDEEVREEGEKDDNKTNEKHKDKEGSKKSVKSKGQKDNKRKREVAPKKEKEPKTPAPPTIDRPVRERKSVERLVASIDREANKEFRIEKGRGTALKDIPNVAYKLSKKKTEDTFKLLHTILYGRRGKAAQIKSNISRFSGFVWHENEEKQKMKVKEKFDKYIKEKLLEFCDVLDIPVTKATSRKEDMVVKLMDFLEAPHATTSELLAEKDQSSRGKKRRRESKKSPSSASASSKGSTKSGKKAETAQKAEKKKKVQESEDESEQEEDVHEESEEEKTNGVPERSDDEKSDQAESEKESESEDEPEPEPEPEPEQEPEPEPEPEPEPKEDKKKHKQSSSKSSTKKEPAVKAKTKKPAVSKVPSPPKKTPSKSSKSSKHIANDDATPKASSKKKKTEVVKEKSSTPKKSTPRENTGKKIVKGKDKSKEDKLRPSDDELRNAICEILKEVDFNTATFTDILKQLAKRFDTDLMPRKSSIKIMIQDELTKLADEEDDEEDEGEALKDAKKPSTKAVKA >Solyc12g042710.1.1.1 pep chromosome:SL3.0:12:59061091:59061525:1 gene:Solyc12g042710.1 transcript:Solyc12g042710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQELKQGVSEDFFFYQCISSSDESSDSDEYDTITHDGTGNEGGGSSNNDAENNNNGNDREDNNDDNNNRNNNNDEKDNDDDKDELDDDDEDEDQEEDEDVDDQDCDENDHQEDQAPKSKKQKVEKEKEIEEEKVDKEDKGKQK >Solyc05g026085.1.1.1 pep chromosome:SL3.0:5:39742342:39742614:1 gene:Solyc05g026085.1 transcript:Solyc05g026085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVRMNDAWNLFRLHRPHERVEEILRRSGLYDVVCVGMMQYDRALVTTMVEHWRPETHCFHLPFGEVTITLQDVQVLFGLRIDGDAVYI >Solyc08g005680.3.1 pep chromosome:SL3.0:8:530743:557490:1 gene:Solyc08g005680.3 transcript:Solyc08g005680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NDPS1 description:Dimethylallylcistransferase, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:C1K5M2] MSSLVLQCWKLSSPSLILQQNTSISMGAFKGIHKLQIPNSPLTVSARGLNKISCSLNLQTEKLCYEDNDNDLDEELMPKHIALIMDGNRRWAKDKGLEVYEGHKHIIPKLKEICDISSKLGIQIITAFAFSTENWKRSKEEVDFLLQMFEEIYDEFSRSGVRVSIIGCKSDLPMTLQKCIALTEETTKGNKGLHLVIALNYGGYYDILQATKSIVNKAMNGLLDVEDINKNLFDQELESKCPNPDLLIRTGGEQRVSNFLLWQLAYTEFYFTNTLFPDFGEEDLKEAIMNFQQRHRRFGGHTY >Solyc03g112340.1.1.1 pep chromosome:SL3.0:3:64251788:64252984:1 gene:Solyc03g112340.1 transcript:Solyc03g112340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFKALSVNLLLLVLLCPASAQPSNSPDSENRFEYPSVSPAMAIIIVILIAALFFIAFFSIYIRNRGAVNGSIGQTFSMRRRTAAATRGLEDSVIETFPTFTYVEVKDHHIGKGALECAVCLNEFEDDEMLRLIPKCDHVFHPECIDAWLKSHVTCPVCRADLTPKPDEPVPVQTPEVNRELGQEQENTQQNNEVTIQIDVDENLMSQQEETSVKPEVKRNLSFNAVNRPPRSFSLKRPKMLSKFRSHSTGHSLVVPGENLDRYTLRLPEKVRKDVMDRALLNRTRSCAVTLPRHGSTTRGYRAGPGEGSNRGARSFKRIDRFDPETKSDRWFLKIAPPFFTRGSSMKSPKVRADNEEASTSRSNMKVAVKLPSFQCLEPKGDEPGLMANDSARSPV >Solyc07g039250.1.1 pep chromosome:SL3.0:7:46546871:46548006:-1 gene:Solyc07g039250.1 transcript:Solyc07g039250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEVASLEIGQKLKVTLYNNRTVGAYTNLFLRHLGKNFRDRNICPLGVSSWNDTKQEKLNHMWAAVKGGKDGNPPDVASIFFEARQKDNNLVDPETIEKHV >Solyc03g082640.2.1.1 pep chromosome:SL3.0:3:53982354:53983778:1 gene:Solyc03g082640.2 transcript:Solyc03g082640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWYLIAIIASGLFLKILLKTSVLQIVKKWWRFLEDGCYVYQFYRVPQFNHNMQENQLYRKVSTYLNSLPCVEDSDFTNLISGDKSNEISLVLDANQMVVDKFLGARVFWINKKDEFTGLKSLVMKIRKKDKRRILQPYLQYIHSVFDEIEQRKKEVRLFVNVDNEPQRNGRWRSVPFAHPATFDTVVMDTDLKNKVKSDLESFQKSKQYYHRLGRVWKRSYLLYGPSGTGKSTFIAGIANLLNYDVYDVDLSKVTDDSDLKTLLLQTTNKSLIVIEDLDRYLGTKSTAPSLSGILNFMDGIFSCCGEERIMIYTINNKDQIDPTVLRPGRIDVHIHFPLCNFNSFKTLANSHLGLKDHKLFPQVEEIFQTGAALSPAEIGEIMISNRSSPTRALKTVISALQINTESRAATRHARRLSESGSVRAAEETGESGIFCKENLREFKKLYGLLRVRSCRKDSSYEFDTSDKDNSKA >Solyc02g094754.1.1.1 pep chromosome:SL3.0:2:34024557:34025306:1 gene:Solyc02g094754.1 transcript:Solyc02g094754.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDPNYYMDTGATSHMTNSQGNLSPYFNLSNHVNNAITVGNGSKIPIKGYGHECLNQNTLQLKNVLHVPKIIKNLISVRKFTTDNHVSVEFDPFGFSVKDLQTESKIVRCNSSGDLYLFLTDRQIISSTTPSAFAVVSPSLWHSRLGHPGHAILSSLRSSNLIKCNKASNDIFHSFPLGKLIKTPFTDSLSHTTMPFDIIHSDLWTSPILSSLGHKYYVLFLDNYTNFLWTFPISSKSQVYNLFIRV >Solyc11g017460.2.1 pep chromosome:SL3.0:11:8407607:8423908:1 gene:Solyc11g017460.2 transcript:Solyc11g017460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSASPDPRVRKGSFSSQKNSEANGTAEMDDQEKTMSTVAQLIEQLHANKSSPHEKELTTARLLGIAKARKEARGLICSHGQAMPLFIFILRNGTPLAKVNVAATLSILCKNEDLRLKVLLGGCIPPLLSVLKSDSTEARKAAAEAIFQVSSNGLSDDPIGTKIFVTEGVVPTLWEQLNPKQKQDKTVEGFVTGALRNLCGDKDGYWKSTLEGGGVDIILGLLSSDNAAAQANAASLLARVMLAVSDSIPKIIDSGAIKALLGLLHQKNDVCVRASAAEALEVLSLKSTQAKKAVVDSHGVPILIGAVVAPSKECMQGEGGELLQWHATQALSNIFGGVCALVLYLGELSQSPRLAAPVADIIGALAYALMIFEPNAEEIFDATKVENILIMLLKPRDNKLVQERLLEAMASLYGNAHLSNLVHQSESKKVLTGLITMASGDAQEYLILSLIQLCCDGVSIWDAIGKREGIQLLISLLGLSSEQHQEYAVEMFAILTDQVDDSKWAITAAGGIPPLVQLLETGSQKAKEDAAHVMYNLCCHSEDIRACVESAGAIHSFLWLLKNGGPKGQEASARSLTKLITTADPATINQLLLLLKGDSPSSKAHVIKVLGHVLTMASQSDLVHKGAAANEGLKSLVLALNSSNEKTQEYAASVLADLFSSRHDICDSLAVDEVVNPFKKLLTSKTPVVATQSARALGALSRPTKEKSTNKMLYIAEGDVRPLIKLAKTASIDSAETAMAALANLLSDPEIAAEALAEDVVSAFTRVLGEGSIEGKKNASRGLHQILRHFPVGDVLTGTAQCRFAVLAIAESLKAMSADGTDAADALDVIALLAREKQGTHSTYNPWSTLVEVPSSLEPLIHCLCEGSPMVQDKAIEILSRLCGDQPVVLGDLLVSRSRSIGALADRIMNSSSLEVSVGGTALVICAAKEHKSQSMDALYASGYLKPLIYALVEMMKKNSNCSSLEIEVRTPRGFTERTPFGEGNEFEVPDPAMVLGGTVALWLLSIISSFHINSKSTVQEAGGLEALADKLARHTYNQQAEFEDAEGMWISALLLAILFQDANIVSSPTSMRFIPLLAHLLKSDEMIDRFFAAQAIASLVCQRDKGINLTIANSGAIAGLVSLIGHIEIDMPNLVSLSEEFLLVRNPDQVALEYLFEIDDVRVGSTVRKTIPLLVDLLKPLPDRPGAPPLAVCLLIQLADGNDANKLIMAEAGALEALTKYLSLSPQDLTEATISELLRILFSNSDLLQYEAAVSCSIQLIAVLHLGSRNARLSAARALNELFDAENIRDSETSIQAIQPLVDMLDAALESEKKVALSALIKLTSESDSKTLLMADLERNPLKSLHKILSSASPLELKSDAAELCFVLFGDPKVRALPIASEFVDPLVMLMQSDAERAVESAVCAFESLLDDEQLVEVASAYDLVDLLVHLICSSNHRLSDASICALIKLGKDRTPRKMDMVKAGIIENCLELLPTASSSLCSTIAELFRILTNSSAISKSTSAAKIVEPLFMVLLRSDIGLWGQHSALQTLVNILEKPQSLSTLNLSPSQVIEPLISFLESPSQDIQQLGTELLSHLLAQEHFKQDITTKNAVVPLVQLAGIGILNLQQTAIKALENISLSWPKAVADAGGIFELAKVIVQDDPVPPALWESAAMVLCNVLCSNSDYYFKVPLVVLVKMLRSTVETTITLALDALIVHEKADISCAELMAEAGAVDALLDLLRSHQCEEASGRLLEALFNNVRVRQLKVSKYAIAPLAQYLLDPQSRSPTGRLLAALALGDLSQHEGLARSSDSVSACRALISLLEDEPTEEMQMVAICALQNFVMSSRTNRRAVADAGGILMVQELLIAPNTEIVVQASLLVRFLFSNHTLQEYVSNELIRSLTAALDKELWNKATASEEILRTIHVIFSNFPKLHVTDAATLCIPHLVAALKSGSEPAQDSVLTTLCLLKQSWSTMPMDVSNSQAMVAAEAIPVLQILMKTCPPSFHDRADSLLHCLPGCLTVTIKRANNLKQVMGGTNAFCQLTIGNGPSRQTKPTSAILGVYVLTVKYHHSYTEYSWVVSHSTSPEWEEGFTWAFDVPPKGQKLHILCKSKNTFGKTTIGRVTIQIDKVVSEGLYSGLFSLSQDNNKDGSSRTLEIEISWSSRTHSDSE >Solyc07g009470.2.1 pep chromosome:SL3.0:7:4570943:4573041:-1 gene:Solyc07g009470.2 transcript:Solyc07g009470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRGTNKREEKNHLAKKSVQTIPTAFVAKRTRNKDKTIFNEALEESNKKGKLRYVFKATETNIASIEVSDGEKMEAKKEVEEKSLVGRVKKRASLAEEFDKMERPSNGQKVAGPVAEGPSFKRVKRRAIKVQKVKKVLKEKVIDPTVAEEPGLKELKKKVDRQGWSHLLLDHHPVVHEDEVCQFYKRLKLVKGNTIETTVKGVKISFTDKDLGDILRVPTIGCGEYVKRGWIEYGPEKNRVYLTTNFSQGRDVDEPRKVFKGEMTPSHKLLFELVNKCLLPRCKRMNEANCLDMLVMDIIDQGMLVNLPSLMVKHMTRAAEGSYALPYGFWLTRVFTHFKVPLNIGKRGGKKDMINRSTLEECDLLPRALGFKSNSLITQLINELEEAKAEKANAEAENIVLKSEIQKADNCSSEEHLILLTEALLNHEANREKIMQIMFDTFNGPATYVAIQVVGSLYANDNYLTMRRGERK >Solyc01g065810.2.1.1 pep chromosome:SL3.0:1:72219930:72220316:1 gene:Solyc01g065810.2 transcript:Solyc01g065810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNYHIRFGEIWDRLYDRGSRCKIFLSIAGQMTTRLSVYSTSTHHFEPYTEGFSVPTPSTYTAFEAPKGEFGVFLFSNGSNRPYRHNIRAPGFAHSQGLNYMSKHHMPADVVTIIGTQDIVSGEVDR >Solyc03g113770.3.1 pep chromosome:SL3.0:3:65306344:65311196:-1 gene:Solyc03g113770.3 transcript:Solyc03g113770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-hydrate epimerase [Source:UniProtKB/TrEMBL;Acc:K4BKM9] MESTDDISYVNQQEAIEIDQMLMGPLGFTVDQLMELAGLSVASAIGEVYSSSEYTRVLVICGPGNNGGNGLVAARHLHHFGYKPSICYPKRNTEPPFAGLVTQLESLSVPFLPVEDLPVQLSSDFEIIVDAIFGFSFHGNPRPPFDSLIRRLVSIKNQQRTHEKAAVIISVDVPSGWHVVEGDICGEGIEPDMLVSLTAPKLCAKMFCGLHHFLGGRFVPRSIIDKFKLKLPPYPGTSMCVRIGNFPETNSSAQKGIFTASVNLEVEENPIDQFHKWLGDASEAGVKEPHYMVLSTAGKDAKPSSRMVSLEGVNKDGFVWHTNYRSRKAREISENPHASLLFYWGPIKCQVRVEGFVEQVSDEESERYFSSLPLDIQIRPIVSKQSTLIHGREILHHQYKEFKEKYHDRTSIARPKHWGGYRLIPEFFEFWQGEESQVLLKFRYCVEEIDGRRVWTIHRLVPQFAESASSL >Solyc04g056260.2.1 pep chromosome:SL3.0:4:53855724:53862460:1 gene:Solyc04g056260.2 transcript:Solyc04g056260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLEIRLKGLIQSESKSNGVGCLSLRSSVLCLNSIGFDGNNGGSLFEDSARESFVSLISMENCFPSKCMLRARRSSWRGRGRFLSVTLSDSNEILGKNGEAAVAESEVNVCKEVEKVEKGKLHGGGGGAFNTTKHLWSGAVAAMVSRTFVAPLERLKLEYIVRGEQKNLFELIKTIAATQGIKGFWKGNFVNILRTAPFKAIHFYSYEKYRDHLLKITGNEEATNIERFVAGAAAGITATVLCIPMDTIRTVMVAPGGEALGGLIGTSRHMIQTEGFFSLYKGLVPSIISMAPSGAVFYGVYDILKSAYLHSPEGRKRLENMKQGEDLNALDQLELGTVRTLVYGAIAGACAEAATYPFEVVRRQLQLQVRATKMSTLATTLKIVEQGGIPALYTGLTPSLLQVLPSAAISYFVYEFMKIVLEVE >Solyc04g009200.3.1 pep chromosome:SL3.0:4:2695458:2699402:-1 gene:Solyc04g009200.3 transcript:Solyc04g009200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVNGVGLSWPSKLTKNQTPKWGFSPSHRRCNPSSSSSSATIRMTASVDEKKKTFTLEKSEEAFSKAKELMPGGVNSPVRAFKSVGGQPIIIDSVKGSRMRDIDGNEYIDYVGSWGPAIIGHADDEVLAALAETMKKGTSFGAPCLLENTLAEMVISAVPSIEMVRFVNSGTEACMGVLRLARAFTCRPKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATIDTLTAPYNDISAVESLFEEHKGEIAAVILEPVVGNAGFIPPKLEFLAAIRKITKENDALLIFDEVMTGFRLAYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRRDIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLQGQGTYEHLDKITAELTQGILDAGKKTGHAMCGGSIRGMFGFFFADGPIYNFSDAKKSDTEKFGRFYRGMLEEGVYFAPSQFEAGFTSLAHTPEDIQRTVAAAEKVLKQI >Solyc01g108000.3.1 pep chromosome:SL3.0:1:95279638:95284266:1 gene:Solyc01g108000.3 transcript:Solyc01g108000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLYGQPIFLGFLIFLEMHLLSTTTAASVCPLDMAGSNYTLTASICSIKEERGKCCRYINALVAISVARYANATSNLGVNAETSQICLDKIAELFQFHGVNRNATVFCGFGTKIPVNYDCQGRTTVTQMIQSPQFSSVTKTCQVPLSGESECRKCLNAGILYLRNLVGTANNMTFSTCRDATFAALASQVDNVSAIDLARCFFGVKGLIIPPGPSPSQLSPEVSPSPPAAASPTQLSLNTPVKENHHPYHLTLVPVIGIVVTVVAVLMLFILIVLIWRKSKELEDSDATDKISSKSFTHPPKRFQEGTASIFTKYSYKGTKKATNNFSTTIGQGGFGTVYKAEFKDGSMVAVKRMNKVSEQAEDEFCREIELLARLHHRHLLALRGFCTERHERFLMYEYMPNGSLKDQLHNPGTTPLSWRTRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVNTEIKGTPGYMDPEYVITQELTEKSDVYSYGVVLLELITGRRAIQDNKNLIEWAEIFMTSESKITELVDLNIGDSYDFDQLQTLLAIVRWCTQREGRARPSIKQVLGLLYECADPMHSGFVESMDDEDYDEIEGKGRTSRSRPHKGDGIFNNGDGRCLASSSSTSRSYCSRSFLIEASPPQSPL >Solyc04g080720.3.1 pep chromosome:SL3.0:4:64907361:64907842:-1 gene:Solyc04g080720.3 transcript:Solyc04g080720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSIDLPDCVYSKEPTFISPISPTPNHTLYLSNLDDQMFLRFSIKYLYIFTKSINLEKLKYSLSRVLVDYYPLAGRLLKCPQNNHKLQVDCNGKGAIFAEAFLDLSADELLLVSNKPDKSWRKLLYKDEAQSFLDIPPLVVQVDYHII >Solyc11g021220.2.1 pep chromosome:SL3.0:11:13411054:13412461:-1 gene:Solyc11g021220.2 transcript:Solyc11g021220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSTVDIVEAQSKYGFWGWNLWRQPIGFIIFLISSLAECERLPFDLPEEEEELVAGYQTEYSGIKFDLFYIASHLNLLVSSLFVTVLYLGGWNLSIPYIFVPEIFGINKGGKFFGTLIGIFITLAKTYLVLFIHIATRWTLPRLRMDQLLNLGWKFLLPISLDIQHMLPMIIEFINYGQQTIRAAKYIGQVFMITLSHANRLPVTIQYPYEKLITSERFQGRIHFEFDKCIACEVCVRLCLIDLPVVDWKLKTDIPKKRLLNYSIDFGICIFCGNCVEYCPTNCLSMTEEYEFSTYDRQELNYNQIALGCLPMSVIDDHTIRTISNLPQINNE >Solyc01g055200.1.1 pep chromosome:SL3.0:1:51677661:51678423:-1 gene:Solyc01g055200.1 transcript:Solyc01g055200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Self-incompatibility ribonuclease [Source:UniProtKB/TrEMBL;Acc:K4AVI8] MFKSQLITALFILFFCLSPIYGDFDYMQLVLTWPPSFCYPRGTCKRTSNNFMIHGLWPEKKGFRLEFCSGGKAYKKFELHDHIVNDLDHHWIKMKFTEQDAKQKQPLWNHEYTRHGRCCFNLYDQNAYFLLAMRLKDKLDLVRTLRNHRITPGTKHTFDEIKSAIKTVTNQVDPDIKCVKHINGVEELNEIGICYTPSAGSFYPCRQSNTCDETGTAILFR >Solyc07g007740.1.1 pep chromosome:SL3.0:7:2383291:2383639:-1 gene:Solyc07g007740.1 transcript:Solyc07g007740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFFKVIFLLVLISIGYAEAKDCVEWSKTYKGFCRAQKCRDACISEGFTNGYCVSLRRYRRCSCSKPCIFNNYLP >Solyc04g078050.3.1 pep chromosome:SL3.0:4:62983481:62996320:1 gene:Solyc04g078050.3 transcript:Solyc04g078050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSIMKLLEEDEDETMHSGADVEAFTAALNRDIGGDNSQSQPSDSDSVPLSQGSSYTSNQFAPWQTANHDENASRCNLQDSETIQPKEENVSDMQLKRLDTDSQNQQQKNDSSQEINSLPVQHISQDSYQTTEVEQDTLHSSRAVNMPNPEKNTQNPESPHLNLQGTNNLQPMQSLTTGTSSLPRVATVASNQSESATGSISQAAMNIAKQGKQVPFAMLFPHIQPQLDKDRAAQLQTLYVKLKKNEISKESFVRNMRSIIGDQMLKMAVYKFQSQASKNSQSVPGQFPQSQASQQQHSLMPADDSSNMAIESKAQKLHEVENQADLRGAQGSQMSSSSLTAVKQERDHTPFPIQGLNRQQQQHLHFSQASFPTFANAGNNYSAYSASNVNSSTTQPLKQQSDDAQMRQISVQQNRNATQFGVPAQAMGIMSAPKFEKQNTFGEAKRLPGGGLNMSSTSRIQQTSVQWQPSANKEQKSILSSPMTNPKPEPIDHFHDQLQRSQLSPFSSVQVDQGNSTSESSRDESIEQTSRIGLSSTTSMKPSNSASSSMSSHMDTSTLLTSRTLSVTSPLGLGNNGKTPVKKPSIGQKKPLDALGSSPPPSGKKQKVSGGFLDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRVSEASRRVVQEEEERLILQKIPLQKKLTEIMAKCGLKSMSSDVERCLSLCVEERMRGLISSLIRLSKQRVDIEKSRHRTIVTSDVREEIMSINRKAREEWERKQADVEKLQKANEPEGSTGVDGDKEKDEGRGKSIKVNKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREGGGDVASGSQPGKDVTRKNLPAPPRSSRDPQEAEKRIQSSAIAPPGVVRRAVRTQGIITQTRIARSITVKDVIAVLEREPQMSKSTLIYRLYEKARSNASSAESS >Solyc04g080380.3.1 pep chromosome:SL3.0:4:64668104:64670097:1 gene:Solyc04g080380.3 transcript:Solyc04g080380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQENGSLPDFILPDSLYTETIREVHSAVEHDWDSLRQSACQTAAGRALWKHVIHDPLAELLAGETYLKKLYEKIKKDILNNAKEISGVIIAVRTLWFDKGIEAALTSFDGGGAQVVILGAGMDTRAYRLSCLKDSNIFEVDFPEVLQMKTTIVEAAAETTDEQKHQLMMAKSLNRVAADLREKNWLEKLQESGLTLNTNTVWVLEGILYYLSHSNAMEVLKIIANNCTSAHTVLLADFMNKQSTTMSSSNFHFYSDYPDELLPSLGFSDVKLSQIGDPDAHFGLLHDPLNLFNELRNLPRSLQTHPDGTPCCRLYLLQASGEPPNKTIL >Solyc05g009380.3.1 pep chromosome:SL3.0:5:3536294:3539605:-1 gene:Solyc05g009380.3 transcript:Solyc05g009380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyrate [Source:UniProtKB/TrEMBL;Acc:C6ES39] MSPERNPLDLNNLPHEEFCRDGKQVLEGGGYRKKKNGGKEDCGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFNNDNIIPPHHLSCPPIPHGGGYHQSTNIGDPTLSYRPPPPPPPSLYPTGLFSGNSTTTLLPPPPTQPPHQPSYMYASPPRMVSFSSHQYPAQTNDYFLGHVLSESNTHSTSTTNNFMGSSALGPDHGSNNNNNNNNSNNYTCIGAPIGHGLGLGNNGSGSSGKQQHLDRFQDGF >Solyc03g095804.1.1 pep chromosome:SL3.0:3:58764862:58767553:-1 gene:Solyc03g095804.1 transcript:Solyc03g095804.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSAYAKWFRLSLNLEFDNLGDKSPSNAMLSRTSSVFLRANTVLFYTTSLSGGAKYLQKAKQHNLY >Solyc11g020353.1.1 pep chromosome:SL3.0:11:11040693:11051930:1 gene:Solyc11g020353.1 transcript:Solyc11g020353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSRLSVGSNSYIEKLVEDPVFMLKYKYDNCFIWIDVEQSVLNRRVDMRVDQMVKEGEIEPDSDFTAEDFCLQVVVYIEKIMKTQRVPIIVGGSNSYIEKHVEDPVFMFKYKYDSCFIWIDVEQLVWNRRVDMRVDQMVKQQSVLNRRVYMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREETNIYGDDESKQMILQASISSIKRNTHVFKEDREEDIDEAWRNTVFQPCLDIQSVLNRRVDMRDDQMVKAGEIEPDSDFTAEDFCLQAVVYIEKILKTQCVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDGEQSVLNRRVYMRVNQMVKAGLVDEVRQIFIPDVDYTKGIQRSIGVPETDRYLREETNIDGDNESKQMILQASISSIKRNT >Solyc09g066310.3.1 pep chromosome:SL3.0:9:64980335:64986498:-1 gene:Solyc09g066310.3 transcript:Solyc09g066310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERPGDAHIPICTKIPVIDLGKSQKFETIKQLLLAGQEFGFFQVINHGISENVTTQTMSTFEEFFNNITDEDKVNVASRKGWMFTGSEEEVKNGVHLWRDNIKHPHPLHKCMQSWPDKPASYREVVGRYVAEIRKLSLTILELIGQGLGIESGYFDEQSQVQLLSANNYPPCPDPSLTLGIPKHLDPSLITIIYQGNVSGLQVLVDGKWMCVEAVPNAFVVNIGNQLEVINHGISENVATQALSTFEEFFNNITDEDKVNVASRKGWMFTGSEEEVKNGVHLWRDNIKHPCHPLHKCMQSWPDKPASYRKVVGRYVAEIRKLSLTILELIGQGLGIESGYFDEQSQVQLLSANNYPPCPDPSLTLGILKHLDPSLITIIYQGNVSGLQVLVDGKWMCVEAVPNAFVVNIGNQLEMISNGMLRSVMHRAVTNSKEARTSIALFVNPTPNSIVEPAKVLLNESNPPLYKSILYKDFINASKAFGAHTDAIQNDV >Solyc04g074640.3.1 pep chromosome:SL3.0:4:60655433:60660581:-1 gene:Solyc04g074640.3 transcript:Solyc04g074640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFASTLPSLVSFIPSPSSITNASRNPPQPGMICCKFGSELNNEDRFHRRDILQSVGAAVGMDLIARSSAFIEVANAADLIQRRQRSDFQSKIKLTLYDAIKANPDIIPSLLTLALNDAITYDKATKTGGPNGSIRFSSEISRPENKGLDAALNLLEESKKVIDLDSKGGPISYADLIQFAAQSAVKSTFIASAISKCGGNVEKGTLLYSAYGSNGQWGQFDRIFGRSDAQEPDPEGRVPQWDKASVQEMKDKFKAVGLGPRQLAVMSSFLGPDQAATEALLVSDPEVLPWIQKYQRSRETVSRTDYEASIFLLLKLIKDLRNIYG >Solyc11g061917.1.1 pep chromosome:SL3.0:11:48903900:48904822:1 gene:Solyc11g061917.1 transcript:Solyc11g061917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYLREETNIDGDYESKKLILQASISSIKRNTRLVDEVRQIFIPDANYTKEIRRSINAPEWTDI >Solyc03g123460.3.1 pep chromosome:SL3.0:3:71807480:71808797:1 gene:Solyc03g123460.3 transcript:Solyc03g123460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLSPTSLSIYTLHFIYLPIKYRSVLTSSFPQFLPYYPKKNKKKMGQKSVAVGLKILINQKKICQGKAVLIMYSSRFTKVKPIFHTNPTQSISIQHNNCCFLKYCFLCNKTLRLDKEVYMYKGDLGFCSVECRNRQIYLDEIKELETCTKSMLRSFRRCGDDNRRRCSETSALLEEYNQRRNPIAYSKKNTRPIFTLS >Solyc01g065630.1.1.1 pep chromosome:SL3.0:1:71946395:71946583:1 gene:Solyc01g065630.1 transcript:Solyc01g065630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLVLHFFLGGNTYDRIHLVYLDKMGGKDISMPKMFTMVSSFSMASLALPGMSGFVVDNIF >Solyc04g007560.3.1 pep chromosome:SL3.0:4:1242316:1252685:1 gene:Solyc04g007560.3 transcript:Solyc04g007560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPSSMTAKTANVMLTIPEKKTVSLDLYRPLRNYIVYNYSEREAQNLEDDLQTLKQFRSDIERGPNESSLPARRDLLQNYYKALCAVESRFPISPDHDHINSVTFTWYDAFKNKLKAAQQNIYLEKAAVLFNLGAVHSQMGLGFDRSSVEGRRQGSHSFIAAAGAFAFLRDNVAMKASMGSSNTVDVSVECAGMLERLMLAQAQECVFENSIAKGNTPGVCAKISRQVGLFYEEALAALNVAPLNQHFDKAWLAHVQLKASLFYAEACYRYSLELHDKEEIAEEIARLKSGVNALSGARKTSPRGAPQQLLDAITKLEASSNLNLEKAIKENDRVYLMRVPPASSLPPLAAFSLVKPMQMNDILDASKEKMFSSLVPDNSTKALSRYTEMVDDVIRTQTEKLQQGSELARVRLREMDLPDSILALEGNLSLPAALKEDVEAVQICGGPAGLDAEMQQLRDLKRVNQELLVQTEELLQKEATEDTQFRTQFGTRWTRPQSTTLTKNLQDRLNRFAANLKQAAESDARIERSVRDHAALMSILDCRPIESALPTLARPILSLDATEDAIVGALKQSLRQLETLGAQRAGLEDVLKEMKRKDDILPKLMTFTGSQEDLFRKEIAKYDHLCEEISQNLEAQEQLLLQIQAQNDEFASIFNLEDYKVSRERIYKQIEAAIMKYQEIKENINEGLKFYVTLQEAITNVKQQCSDFVMTRNMQCREMIEDVQRQISGLSFQDNKSSSGYTYPSVGHAHQPPRSNPQMPTETGHIPSPSRPHQAPTYQPPPQQPTMSGYSQTSPPYSSPQPPAPPAQQPTMSGYTQTSPPYSSPQPPYGFPGQGQGQPYSHPQPHPQYQQQPPPSHEYGQPAYPGWRGPYYNAPPQQPGAMPQPPYTAPSPYPHPPPHQSGYYRQ >Solyc12g056820.2.1 pep chromosome:SL3.0:12:63850424:63855040:-1 gene:Solyc12g056820.2 transcript:Solyc12g056820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4DG13] MAMKTYTLFFILLLANVAFANHYQFIVKETSIERLCKSKKILTVNGKFPGPTIYANKGSTLIVHVYNKSKYNITIHWHGVKQPRNPWSDGPVYITQCPIQPGSQFKQTIILSDEEGTIWWHAHNKWARATVHGAIIVYPNPGHSYPFPKPHAEIPIILGEWWKDSVVEVLKEFVGSGGQPQTSDAFLINGQPGDYYPCSKHGTFKLEIKSGKTYLLRILNAAMHEILFFAIRNHKLTIVGTDGSYTKPLTRDFIAISPGQTFDCLLKANQNPNNFYYMAARAYTNGTNVQFNNSTTTAIIKYQENNYNFNNSPISLPYLPSYYDTPSTVNFSVSLRSLASKIRPISVPTKVKTRLVSTVSINLLPCPKNNTRSCQGPNGTRLSASMNNISFLTPPRYNILEAYYYNRVKGVFGADFPDFPPYVFNYTDDVLPLELELPKFGTQVKVLEYNTTVELVLQGTNLVTGLDHPMHLHGYNFYVVGWGLGNFDEKYDPKNYNLVDPPRRNNVAVPKNGWVAIRFRADNPGVWTMHCHIERHLTWGMQTVFIVKNGHKPEEHILPPPHYMPPC >Solyc06g034317.1.1.1 pep chromosome:SL3.0:10:8976432:8976893:-1 gene:Solyc06g034317.1 transcript:Solyc06g034317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKKVILALIENETERKLSYKKRLIGLLKKAEELNTLCDIEMALIVYSPYGDEGSTSNVPQSTPSITMTSMISSAIIDPLFTAMTPQMDPVAEIPSMGASIQMNNYKNSTDIPQSPSFIDLLNLNDDDFVTLLDDLSINNANYQDSNPSNNK >Solyc09g005820.1.1.1 pep chromosome:SL3.0:9:557948:558115:1 gene:Solyc09g005820.1 transcript:Solyc09g005820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRDKDRATNYSLLNGFHMDLEPNFICRPNWEDGLYLYPYEGPIITLQCIIKSRR >Solyc07g064430.1.1 pep chromosome:SL3.0:7:66726404:66727648:-1 gene:Solyc07g064430.1 transcript:Solyc07g064430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRYFLNEAGIENAGFIEQDAHNFIQAHKRNMISGGDAQTMINHFMHLQSEDSNFFYSFQVDEDGRLCNFFWRDSISKLHYECFGDVMIFDTTYRTNRYDMICAPFIGVNNHWKSTFFGCAFLRNETSDSFVWLFQTFLKEVFPGTCHRLCEWHIDRNAQKNIPQLYFKSGFRYCFNTLLWRCNLESEFELIWKKMIDDWDCASNTWLQKLYDLRKKWCPVFSRSTFPMQDMSITEFVKHYEQRTIEMRDIEAIEDYKSRGDPKIFIEDCGILKHVARVYTRRIYTRFQHEFLQGTTKRAINVETEGSLTKYIILKGESENTEIVQFNALGNSIICSCHMFESMGWLCCHALKVLFFDLNFTSILEKYILKR >Solyc12g049125.1.1 pep chromosome:SL3.0:12:61497673:61497904:1 gene:Solyc12g049125.1 transcript:Solyc12g049125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWGQGTALNRRPKIENRDSSCNIKKSKDEATSGLDDQLEKLAQVAVERVMVGRTSVVVAHRLSSIQNCDTVV >Solyc12g095900.2.1 pep chromosome:SL3.0:12:65985783:65992712:-1 gene:Solyc12g095900.2 transcript:Solyc12g095900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKGEEKLMGPMFPRLHVNDTEKGGPKAPPRNKMALYEQLSIPSQRFKYGISNNRNNADIQGNGRENDDFFSVQLPPSRHPAENPHSCSSNSKTPLLPVESNKKTEEDDFRVPIFVKSKASQGNGKLYSTLDGRKLSASTAVISGHSRKDLNDENFKQIAVGREISCNSTSIPSMDKLDDLLKKADVQLQYEPRNDPDNTLGILCKNDLLQPECRVDPQVGGTMLSEPVRVVDIGDSSLLVKDVASKEHIIPNNNQSNDKESKEDKASESLQTKIVNQDDDLSETSMVESIFGMYISPDDVVAIIGQKHFWNARRAIATQQRAFAVQVFELHRLIKVQRLIASSQSMLEDSAYLSKAVKDSSPKKLPLEYIARDGHNVPKQRKNFEKPNTRMECSAENTEGKPVKDSSAKRLLLEYIPRDGHNVSKQKKDFEKPNISMECSAENTVGKGSFSSVQNNSQSSSYSLFSGHPIANDSTMGGPWSFNQPSGHQWLIPVMTPSEGLVYKPYLGPGVTSSICGGYGPPGSTPIIGNHSAPSYGIPASHHQYQGVRMPFTPPAGHNYFPSYGIPAINPAISSSAVDQSSLFVAQGLQGQLSGGGANFSVQRQNSSNMPSNKNGTFPEVKSRSCRDAEMQASTASSPSGTANKITVDNATERRNVLPLFPTSPATKNLDISSQPQLPSHHARVIKVVPRNARSATESAARIFQSIQEERKQYDSVVN >Solyc01g060245.1.1 pep chromosome:SL3.0:1:69904901:69907052:1 gene:Solyc01g060245.1 transcript:Solyc01g060245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSSVHKYFSQILARKDWYLLLNHEYKAKRVTLNQQEVVSILQNQENPLHPFRFYIWVVKICPSFAKNQSVKVVLSNVLFRKGPLLLSAELVQDIRNSGNVVTVDLLCVLIGSWGRLGLGKYSTDILEQVSYLGLAPNTRLYNAVIDALIKCNSLDLAYLKFQQMQVDNCKPDKFTYNILIHGVCKAGVVEEALRLVKQMEGVGYSPNVFTYTILVEGFCNAKRVDGAFELFGVMKSRGIVPNEATVRSLVNGVFRCVTPDKGFELLCRWLEKEHVLPNVACSSMLQCLSTKFLPREAAQLLRISIDKGYFLDNSILNIALTCLIKGLELDDTCQMLDFITVRGVKVSVDIYLALADALYKGGRVEQGNKYMDQVFKDGLVSNTFFYNRVIDCFCKIKMMKKASDAFKDMLQRGVTPNIATFNTLISGYSKVGEVNKVHELLVILLEHGFRPDIFTFSSMIDSLCRVNRIDDALDCLTEMIEWGVAPNTVPYNILIRALCVLGDVGRSLKLLRKMQGDGIKPDVLSFNALIQSFCKMNKIDEAQRLLVSMLTLDLIPDNHTYGAFIRALCNSGRYDEAKNLFFSMEANGCIPDALTCKLYLDSLIQSGHTKEAQDVLKECGKGGMLLEPITSS >Solyc03g117370.3.1 pep chromosome:SL3.0:3:68015749:68023776:1 gene:Solyc03g117370.3 transcript:Solyc03g117370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNEDAGNVIPQLNEEPNDESKMWRLTKIRDSLQCQSLKLPENLGVTKISSLIYTSSGNCILALASNAIHLLWKWQGNERNSRGKATASVSPQLCQPSSGILMTNDVHEPNHVEAVSCFALSKNDGYVISTSGGRMSLFNLVALKRLTTFMPPPPAATYIVFLPQDNNIIAIGMDDSTIQIYHVRLGEVKSTLKGHSKRITGLAFSRMLNVLVSSGADSQLCVWSTVGWEMQRAKFLQLRGQSISQSDTRVQFHQDQTHFLVVHEAQIAVYETAKLECLKQWVPRESDAPISHATYSCDSQLIYASFLDATVCIFTAGNLHMQCCIYPSAYLSPGISNLNIHPVVVAAHPEDPNQFALGMSDGGVHVFEPLESEGKWGVPPPVENGFAKGGPASPAIGASGSD >Solyc05g052940.3.1 pep chromosome:SL3.0:5:63968960:63973610:1 gene:Solyc05g052940.3 transcript:Solyc05g052940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C1X4] MKELFGGPGRVSGLVLRMGQCLFAAASMVVMASSHGFATCTAFCYLIASMGLQVIWSFSLACLDIHALRLKRDLRNYVFLSLLVIGDWVTAILSLAAACSSAGVVVLIIKDTNMCISTPKLSCHMFQISVALAFLSWFFLAISSCVMFWLAAS >Solyc04g014740.2.1 pep chromosome:SL3.0:4:4998023:5000858:1 gene:Solyc04g014740.2 transcript:Solyc04g014740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIVVLVTCNANYMYAVSPIRSIKPFDCGNITSYYSSVDVDVSVGVGAGASTVTYFEANDQSTPKIIQIPIQSPPENNELRNPSAPIGKAAVKIQSAYRSHVVRNLVKKISAVNSEANYLQRLIQRQDTVDAVRSSEREKIRMNEALMNLLLRLDSVPGIDPSVRELRRDLSRRIVGLQEILDAVSDTKIQNWDGFLMDWDDVVERMEMDVCKERGGGDELEAFCAEHLGFRCLQRFLRDQ >Solyc11g005890.2.1 pep chromosome:SL3.0:11:710239:711394:-1 gene:Solyc11g005890.2 transcript:Solyc11g005890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEYIGATGKPITFDDVPIDEGIDFHFILSFAIDADSLGNPQNGKFSPCWASTLTPNSVASIKSKYPNVKVLASLSGWSIGSKVLSWYKPIDTQQWISNAFTSLKSIVNTYNLDGIDIDYERFPKHSESFSHCIGELITLLKNQSVISIATIAPYYRTILPYIELYNNYGESIDYVNHQFYTDKVSTPRGYLKAFVLRSEQFNKEKVIPSYEVDGRGIQGDAFFDGLKLLETNGFHVNGAMIYSADASSEDNYIYERKIQAFLLNSTSV >Solyc02g089493.1.1 pep chromosome:SL3.0:2:51944143:51946096:-1 gene:Solyc02g089493.1 transcript:Solyc02g089493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNENSGVFYGSRNNWSRVCDSCRSTACAVYCRADSSFLCAGCDTRMHAANLLASRHKRVWICEACERSPAAFLCKADAASLCTSCDADIHSASPLACRHHRVPIMTILDTSQLTPMDREARVLKYREKKKNRKFEKTIRYALRKVYAETRPRIKGRFAKRTDVAEEDQMLSTQLMADGIYGIVPS >Solyc01g081340.3.1 pep chromosome:SL3.0:1:80433722:80435456:1 gene:Solyc01g081340.3 transcript:Solyc01g081340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKSKRRKKMEVANILHMTEGIGDSSYAKNSLFQQKVILATKSITCEAISALYHSLSTWETIRIAELGCSSGPNTYLPVLQLIHTIREKCTENGQKLPEFHVFFNDLPGNDFNTIFRLLTTFYEDLKKQNMRSEDGLFDPPNCFVAAVAGSFYTRLFPSKKLHFVHSSYSLHWLSQVPDGIENNKGTIYASSTSPSSVLKAYSKQYKRDFATFLKYRSEELVKGGRMVLAMPGKENEHHLSNVCRFMLEPLAIALKDLVTEGSIEEEKMDSFNVPTYSPSPAEIQYVVEKEGSFTIDLLRTLEHQMDSSCEGYNEAQSVRAFAQPLLVSHFGDDNKLMDVVFNKCREIYANTMAKEKNIFTNVIVSLIKS >Solyc01g089920.3.1 pep chromosome:SL3.0:1:83505275:83509159:1 gene:Solyc01g089920.3 transcript:Solyc01g089920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLVNLYFNRNWTRQDMMSSAPITQHAYTSLKTVYVTLLLAILATTFGSYLHLIWETGGMLSVIKCGSSLLLLYRTPQQRVLSRLLYLMDVAICFGASVGLFTKYFFEIDQRNLSLSSTVIRFLQGAAIVFGCFWCAAKVHRERSYIYITSLFSTCILILLRFDVSQWTLKAYVLLALFMGYLVLYSQEILYNARFGDINFANCAFTIFFCLPAIVVHAVRLCLGANMH >Solyc10g007870.3.1 pep chromosome:SL3.0:10:2065310:2067085:1 gene:Solyc10g007870.3 transcript:Solyc10g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVMLLLFLAMFVLIEHNAMAVQNINPICAALCVVKCNTKPICLTLCLAKCSITKITYEESVDTEPTNHVCNVGCSLGHCFKFLVNYDHDKFGSCMTSCNENCCINDNINIALPKA >Solyc07g054745.1.1 pep chromosome:SL3.0:7:63097594:63097887:-1 gene:Solyc07g054745.1 transcript:Solyc07g054745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSKAWVEALKEQGVSRCNSTIITINQHAKNNIKSFSQAKKISYQSSSMVSSNSKAKQSEESLRKFEAKLSAT >Solyc07g064160.3.1 pep chromosome:SL3.0:7:66551404:66553452:1 gene:Solyc07g064160.3 transcript:Solyc07g064160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thiamine thiazole synthase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4CH99] MASTLASSVISKTNFIDTHKSSFYGVPISSQTRLKIVKSTPQNMSVSMSADASPPYDLGSFSFNPIKESIVAREMTRRYMTDMITYADTDVVIVGAGSAGLSCAYELSKNPNVQVAILEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLNELGIDYDEQDNYVVIKHAALFTSTIMSKLLARPNVKLFNAVATEDLIVKNGRVGGVVTNWSLVSQNHDTQSCMDPNVMEAKIVVSSCGHDGPMGATGVKRLRSIGMINSVPGMKALDMNAAEDAIVRLTREVVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALRALGLPNALDGTAETSVLPELMLAAADEAEIADA >Solyc01g009120.3.1 pep chromosome:SL3.0:1:3057017:3077562:-1 gene:Solyc01g009120.3 transcript:Solyc01g009120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSSLPAMMSAAATPPLTNFTATTASRPAVPSIQCNLLFYDRHHVYSHKKYSKNGITIKYTDLPKHIRPEKGYSSSSVRGTIYCSNHKERNVGLPSDHIAVGAKVIYAAAPAMGHNQESHPECNSRVPAILTALEKMNLTSKFRGSDVVEVQNFRPATTDDIESVHVGPYVSGLEKAMDQALEKGLIFIDGSGPTYATATTFQESLLAAGAGISLVDSVVAASRVSKDPPVAFALIRPPGHHAVPKGAMGFCVFGNIAIAARYAQRMHGLQRVFIIDFDVHHGNGTNDAFYEDPDIFFLSTHQAGSYPGTGKIDQIGCGSGEGSTLNLPLPGGSGDTAMRTVFDEVIVPCAQRFKPDIILVSAGYDAHLLDPLASLQFTTGTYYMLASSIKQLAKDLCGGRCVFFLEGGYNLSSLSNSVAESFRAFLGDRSLASELDDPSYLHEEPLKKVKQIIEKVKHIHSF >Solyc12g095820.2.1 pep chromosome:SL3.0:12:65917824:65925506:1 gene:Solyc12g095820.2 transcript:Solyc12g095820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNSNGGSSVQRDQESSYDPVRWLNLMVSEPYYLFHLLVFFSYIPVRCSAFQILDPARNSFLLKREIQVFVAYCVLTVVKIVRTESWESFIQDTLLFAKIFLTAIALVMDYHLALWYALAFLVIHIIAQQPPYEGLGSSNHLTPLQLESLLTEGNTSRFWLVEFRAFSTSSCVCTSSFFPELSITYSNTNLSFGTIDLGLFPNAAERFGISLGSLNQLPVYILFENAVEVARFPEFDSEPYVFGPTITKIQDFFVGVLSLTKDFWIMLMENRSNTKGIHDLLFVRPTPIEGDVIRSHTVSEDTNHSCSSHELPAKTTGKHVKRC >Solyc12g096760.2.1 pep chromosome:SL3.0:12:66519797:66523962:1 gene:Solyc12g096760.2 transcript:Solyc12g096760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEISNKQILLKDYVNGFPKESDMELRISSMKLKVPEDSNSVLVKNLYLSCDPYMRPRMKKTEGSYTDSFTPGSPIVGFGVAKVVDSAHPKFKKDELVWGITGWEEYSVIKAPETLFKIHNTDVPLSYYTGILGMPGITAYGGFYELCSPKKGETVYVSAASGAVGQLVGQFAKLIGCYVVGSAGSKEKVELLKNKFGFDEAFNYKEEQDLSAALKRYFPDGIDIYFENVGGKMLDAVLLNMRVHGRIAVCGMISQYNLEQNEGVHNLFCLISKRLRMQGFLAVDYFPLYKKFVEMVTPHIKEGKVTYVEDIAEGIESAPGALVGLFSGRNVGKQVVLVARE >Solyc10g055400.1.1.1 pep chromosome:SL3.0:10:56624456:56624686:1 gene:Solyc10g055400.1 transcript:Solyc10g055400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPNPPLFPFSIPASNLPATILDPPDLYPFNSIFLSLRRNPARLENSISIIASHHHRKDHQFNTQTTSKTPPAAA >Solyc09g059900.1.1.1 pep chromosome:SL3.0:9:56662113:56662313:1 gene:Solyc09g059900.1 transcript:Solyc09g059900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVPHALKLMNTCTSVSSRADIEMILNVGIYILLGSQKKRGKELLHHIESINAKCLAQIQIFKSK >Solyc09g055210.1.1 pep chromosome:SL3.0:9:37797042:37797751:-1 gene:Solyc09g055210.1 transcript:Solyc09g055210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSIGKHRLTDAHKTYHMLLGIGICCFHRPTSLAQSTQELANGACYFRRRCRQVEAHTPQGHDRCGRVNVQMLVLMLPTVDRCCLSLPDVDVAQLMRIRYRSCAQALIDVATLFPTSFSLCALATDDVACRWSMTFVTFHMYIRRSMHACLG >Solyc04g049003.1.1 pep chromosome:SL3.0:4:38944015:38944368:-1 gene:Solyc04g049003.1 transcript:Solyc04g049003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMITEYLPPSIRSILSGPWALITWILFAIFLHIRTNRNLRGPNSTIVASIGFLIIWICYFGVNLLGIGLHSYASFPSTFN >Solyc03g113170.2.1 pep chromosome:SL3.0:3:64900302:64901672:1 gene:Solyc03g113170.2 transcript:Solyc03g113170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYEYYKRGANSVYEDIEPSSSWIEDTQNHYFLIDLPGFKREEVKLQVDIFGNIMVSGERKVREYKSIRFQKSVKAPEKSKYEDSSVKLEDGILYVIIPKEVPENNEHDETANDSSGHEDNQQKDTEEIESSNGEVSEEKENDIQGLSQDDEFHDAKMAKNRHEVGIVIAGKEILRKNKSIVITAVLAFSFGVFISKKSKSSKINQAS >Solyc04g010120.3.1 pep chromosome:SL3.0:4:3406610:3416594:-1 gene:Solyc04g010120.3 transcript:Solyc04g010120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMETVPNGSSPSSTTTTTSAAVTTNAASAAAVAAAISPNAPSSKLNQLSESLKLEHQFLRVPFEHYKKAIRANHRIVEKELSAVINGVSDAAESEMSRDDAVHHLNSLVSRLQGLKRKSEEGSRTENLQAQRCRARLDHLESADPENLSDWHNTRLKRILVDYMLRMSYYNTAVKLAESSNIQDLVDVDVFHEAKKVIDALQNKEVTPALAWCADNKSRLKKSKSKFEFQLRLQEFIELVRSENMMRAITYARKYLSPWGSTHMKELQRVLATLAFKSNTECTTYKVLFDSKQWDYLIDQFKQEFCKLYGMTLEPLLNIYLQAGLSALKTPFCYEDDCTKEDPLSHESFRKLATPLPYSKQHHSKLVCYITKELMDTENPPLVLPNGYVYSTKALEEMSKKNDGRITCPRTGLTCNYTELVKAYIS >Solyc01g105435.1.1 pep chromosome:SL3.0:1:93500799:93505043:-1 gene:Solyc01g105435.1 transcript:Solyc01g105435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVNLNMELQEVELNNAEKGQMGSKSRGVFLTWNDLWVTVSTKKGGSKSILKGLTGYARPSELLAVMGPSGCGKSTFLDSLAGRLDFSTRQSGEILINGHKQKLSYGTSFKKQFTTLHNSNSQFSMTKSEKKQIAEQTIKEMGLQDAMNTRIGGFGNKGISGGEKRRLSVCMEILTRPKLLFLDEPTSGLDSAASYYVMSGISLYAFCLQEEPYISDLASAAIEFFTRNGFPCPYLQNPSDHFLKTINKDFDEDIEQGSAGERRPTEEVIDLLINSYKSSEGYHEVQSHVAEICHQGGEMLEKRSHANFKTQCLVLTRRSTVNMFRDPGYYWMRFVVYVAIALSLGSIYYNVGSNYRSIEERGLMVAFVVSFMTFMTVGGFPSFVEEMKVVFQRENVNGHYGCLAFVIGNTLSSIPYVLLISLVPGAIAYFLAGFRNGFEHFIYFALVLFISMMVVESLMMNVAAIVPNFLMGIVTGAGIQGLQILSGGYFQLPSELPKILWKYPLYYMSFHKYAYQGMFKNEFVGLKFRDDMFGNNHIMSGEVVLRERWQAEMGYSKWIDLVILVGILILYRLVFFLIIKTKEKIVHARKTSTAILSNRSTQIMAKSLPASPLHGLTPPHDTPTNNR >Solyc02g090190.1.1.1 pep chromosome:SL3.0:2:52450375:52453164:-1 gene:Solyc02g090190.1 transcript:Solyc02g090190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSLWKPISHCASLILDKKSRKRDGSNHTNEEIKKNPSVLKKLQEHKLREALEEASENGSLVKSQDVDSLSAQNQDEGLGRSRSLARLHAQKEFLKATALAAERTFESEESIPELEEAYSKFLTMYPKYNSSGKIDELRSDEYSHLSGSIPKVCLDYCGFGLFSFLQSVHYWESSTFSLSEITANLSNHALYGCAEKGTVEHDIKARIMDYLNIPENEYGLVFTVSRGSAFKLLAESYPFQTNKKLLTMFDHESQSVNWMGQCAREKGAKVYSAWFKWPTLKLCSTDLRKQISNKKRRKKDAATGLFVFPVQSRVTGAKYSYQWMALAQQNNWHVLLDAGALGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMASLQNQSGHAGSGIVKITPVFPLYLSDSIDGFPGLTEDDEAGENSEVNAETRPGSQLPAFSGAFTSAQVRDVFETEMEHDNSSDRDGASTIFEETESISIGEVMRSPVFSEDESSDNSLWIDLGQSPLGSDGAGQSNKQKIASPAPPFWFAGRKNNKRLSPKPSKMSSSPLYDRELNPGRHEDNHVLSFDAAVRSVSQEFDHFKEIPEEDQFDKRSPASREFREIEEEPETSKPAHTFDSGLGNSISISQHQTLDNGSASEICPEIKESAIRRETEGEFRLLERREGNRYAGGRFFGIEDADHGSRGRRVSFSMEDNRKARMSHTLEPGEMLATSLDDEEFISDGDYDDGQDSDRREPEIACRHLDHINMLGLNKTTLRLRYLVNWLVTSLLQIRFPGSNGEDSSRLVRIYGPKIKYERGAAVAFNVRDRNRGLVSPEIVQRLAESHGISLGIGILSHIRILDNPKQQQRSLSLDDTTLCKPMENGKYDGRSGFVRVEVVTASLGFLSNFDDVYKLWAFVAKFLDPGFIKEAGLPPVAEDVES >Solyc08g077823.1.1 pep chromosome:SL3.0:8:61848704:61851189:-1 gene:Solyc08g077823.1 transcript:Solyc08g077823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFTYLNSLSKTPQKLKKRMLATWTPDQELNKVRLRSGADMKRKLTWYDLVALGVGGMLGVGVFVTTGPVARKTSGPSVFISYIVAALSALLSSLCYTEFSVDVPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVSRSFTEYLSCAFGRNDPNSWRIHVHGLMQGYNMLDFPAVALIIVLTICLCHSTKESSMLNLIMTAFHVVFFGFIIIAGFCNGKVDNLVKPGGIAPYGVRGILDGAAIVYFSYIGYDTVSTMAEEIKNPSKTLPLGIVGSVLIVSALYCLMALSLCLLLPYNMP >Solyc06g066030.3.1 pep chromosome:SL3.0:6:41501958:41508363:1 gene:Solyc06g066030.3 transcript:Solyc06g066030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVVNNIAVSGNGLGFGNGVHQLPEVVAGISNGMPHASPSNEELERSFQSTVILTDSETVGSSVQEVSNETTITVESNAGVSSEEHEAKESDDATNSKEQKTPPRARNAKNSGPQNGVAKKSKDGKEASNGTLASKPRPKQSSSLDAKGKSFSDKKTVEYYSKPALAHLNVDRAKQQPGHAEVAASASPSAAQSEGLKEKTKLMPLKKVPPAKADGSAESSSSPTAASDAKPRKVGTLPTYNISFKCDARAEKRKEFYSKLEEKTQAKEVEKSNMQAKTKETQEAEIKMLRKSLKFKATPMPSFYQEPAPPKMELKKIPPTRAKSPKLGRRKSSPTKERINESVMRPGRLSLDENASQNNPVKGHSPLIVKKPQRKSLPKLPSEKTNLSNETRKLSIRKSSSSKESAEAASLPNALPKETSEVSSQPNNQHKQATEFDADGRECEVVSVVEPSQTETGVKAQIETNLVQEHVTIEQ >Solyc03g019630.1.1.1 pep chromosome:SL3.0:3:62960033:62960503:1 gene:Solyc03g019630.1 transcript:Solyc03g019630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNRDEAIRAKGIAEQKLMENDISGAHKFALKAQKMYPGLDGLSQFMEVVNVYVAHEKKTRGEVDLYGVLALDPSADDEAVRKQYKKLALALHPDKNKSVGADGAFKIVSEAWSVLYDRSKRAMTEKKRATTNEGVKKPTTTYSRKKKKGESSSH >Solyc04g079160.3.1 pep chromosome:SL3.0:4:63816999:63824173:1 gene:Solyc04g079160.3 transcript:Solyc04g079160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKQKVKKVEMARGNWMSYKRTTIVICSINIFVALYVLHSLYTSVYMYPFSDTQKAFKYTPQQIRKMEESIQLRKQLEPVELINVVNFLKNKLSMDEKVQQIPEHIKQKITDEILVTLKGVNANEDATMLRDAVESWRREKLKETTELIHEKTSNSTISLKEARLLSRALSDNWAELAEEIGLWIPVQIVNTEHDDKPEGEEELDYEVIAGKQLPLQCHAEVHTDYGGDAVRWGLTHHKETAYDCCMACLDQAKQAGPNQKKCNIWVYCPSETGCHSPDIYQHKHQECWLKYAENPKLNFKDRYPESYRNAHPNAPVIVPWMSGVVSV >Solyc05g055900.2.1.1 pep chromosome:SL3.0:5:66202663:66203035:-1 gene:Solyc05g055900.2 transcript:Solyc05g055900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLCLLQADIGILINPGPNLARVGNHFGISFIPLYHGIIEKQKTYAKKDSTSWNKLSGVLYTVSSWAEIHMFIQGSIYTD >Solyc05g015840.3.1 pep chromosome:SL3.0:5:12395136:12399001:1 gene:Solyc05g015840.3 transcript:Solyc05g015840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSSKRAKAPGNIAHCLVDGCNADLSECREYHRRHKVCEVHSKTAKVTIAGRDQRFCQQCSRFHSLVEFDDGKRSCRKRLDGHNRRRRKPQPDSMAKNSGILFGQQGTKLLSFSSQQIFPSAVVSSAWAGVVKTDSDMVLYNNQSHMNGMDSQNSFPDSSGHSYKGGSQFQFMQGSDRSLTEAPLFEHPTSAAGISSSGQKIFSSGLNDIVDSDRALSLLSSAPAVTREIGLSHMVQQPASIPRSQSHGLQYDGLSHFPFAQDFNSKPQDSHVSNSSSPLHFHDMLQNAQDESSTIPASQQTLAFMWD >Solyc10g017757.1.1 pep chromosome:SL3.0:10:5967523:5970750:1 gene:Solyc10g017757.1 transcript:Solyc10g017757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLGIEPKSVPWEDDMPIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARGDTQCLVTGYFDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSATEAEYMALTEAAKEGIWLKGLVNLFYPEDFVSHLSN >Solyc09g055310.3.1 pep chromosome:SL3.0:9:39284886:39294780:1 gene:Solyc09g055310.3 transcript:Solyc09g055310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFKLKDRCKTTQVHAYNANPFPTISPTSSKLQYPTIDSILSESPFLPYGLPKTHSFEPPLDLSLKSLDFVQSLAHLYRKLQMTQDFDKSLLHLEQYALLYTLGDPKLLRRCLQSARQHAVDVHSKVVLSAWLRFERREDELVGSSPFDCIGRVLECPKTALLHGYDPKSVFDHCQSHGPLQPPPNQISDANNFLTSENDGGIVHFCIQNQEVNCIRGRIAALSAPLKSMLYGDFIESEKETIDFTHIGISVDGMRAVDSFSRTRRFDSYPPNILLELLSFANRFCCEELKSASDSYLASLVSDIDEAFILIDYALDERAPLLVASCLQLMLRELPGYLYNPKVLCTFCSSEAREKLATVGQASFLLYYFLSQVAIEDNMMSKVTLMLLERLKECATETWQKALSMHQLGCVLLERKDYKEAQHYFEMAIEAGHVYSVVGVARTKFKQGQRFLAFELINGIISEYTPTGWMYQERSLYSLGKQKILDVNDASRLDPALPFPYKYRAIVMVEEDQVEAAIVEINRIIGFKVSPDCLELRAWCFIALEDYQSAIRDIRALLTLEPNYMMFHGKMRTDHLVELLSLHVQPWSPADCWMQLYDRWSSVDDIGSLAVIHQMLINDPGRSILRFRQSLLLLRLNCQKAAMRSLRLAWNHSTSKYERLVYEGWILYDTGHREEALAKAEESISLQRSFEAFFLKAYALADATLDSESSSYVIQLLEEALKCPSDGLRKGQALNNLGSIYVDCNKLDLAADCYVRALEIKHTRAHQGLARVYHLKGDRKSAYEEMTKLIDKAQNKASAYEKRSEYCDRDMANGDLSMASLLDPLRTYPYRYRAAVLMDDQRETEAVEELTRAISFKPDLQMLNLRAAFHESMTDFSRALLDSEAALCLDPNHKDTLDLYSRTRLKNT >Solyc11g022590.1.1.1 pep chromosome:SL3.0:11:14588977:14589654:1 gene:Solyc11g022590.1 transcript:Solyc11g022590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLVLFVSIALCVPLALSSTFSSDLLLPSDEVVPNGKTYASVVDSDGNPVKAGAKYFVLPSLRGSGGGLVLSRVVDKNVKVCPQDIVQEPQELNTGRPVEFFPAYPNKTGEIIKVNNPINVNFFSLSKTSRCANFTVWKMDKKYKYVVGRGTLGALNRIRNWFRIVPYGKGYRFVYCPSLCVPCKIRCFDLFISYEERENVQVRRLAASDNELPFSVYFKKAD >Solyc07g066160.1.1.1 pep chromosome:SL3.0:7:67780126:67781121:-1 gene:Solyc07g066160.1 transcript:Solyc07g066160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLLINQISEKEVLGDEKDDVMGFGDEDEISKDNKRRICRVCKKVFGSGKALGGHMRIHVESRSKKEKSKPREEVDVENCNNDELVPICKVCGKVFPSMKSLFGHMRSHPEREWRGILPPHLKSNDVKKAKKTASVPGWSVTAKRGRKPNAEEEDEQLQDAVHHLMLLANGDSIESVVTGHDHTPEEELEKTNSNSLTSKAENEEFVSEELDRKKKKRKLRHLNSVHDSPASVAVTATPEKYECNTCGKSFATHQALGGHKSSHNKLRIVIENSNDSIIEEAAASSSKLLGDSKLSTTGGRIADFDLNELPSDHDNELAGNPDYFPFFSI >Solyc03g033250.1.1.1 pep chromosome:SL3.0:3:4860671:4861084:1 gene:Solyc03g033250.1 transcript:Solyc03g033250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTFPFPPPSPPPSSDQSYTIVILVFSTFSCILLSLAILSFFTYFLKKKKKSTMLVEEKEVKHIDDHVKIKEAIVEGPHGKLETIVLSVEEDLHEQDDIIRTKKELEEVHHHNFIHANNKSSEITPSALEAAHRQT >Solyc01g099320.3.1 pep chromosome:SL3.0:1:89488513:89488953:-1 gene:Solyc01g099320.3 transcript:Solyc01g099320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEHVKLVPIANVGRIMKQILPPTAKISKEAKETMQECASEFISFVTGEASDKCHKENRRTVNGDDICWALSSLGFDNYAEVMLRYLYKLRDFERVRANQNKLAPLEINVMERVQRRRFN >Solyc05g032736.1.1 pep chromosome:SL3.0:5:45373860:45376278:1 gene:Solyc05g032736.1 transcript:Solyc05g032736.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPLKYFLGIECARSSTGVVLCQRKYALEILQEAGLTGCKPASTPLPPGHGLAMSTSALIQDPSKYRRLVGRLIYLTITRPDMAYSVYLLSQFMHEPRVDHINAAMRVLRYLKGHPGQGILLRADSNLQLMAYCDSDWATCPLSRKSVSGYFVMLGCSPISWKIKKQTTVSRSSAEAEYRAMADTCYEIQRLKGYAATVRMRSL >Solyc11g006100.1.1 pep chromosome:SL3.0:11:887256:888765:1 gene:Solyc11g006100.1 transcript:Solyc11g006100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D4M3] MELKEQIQPHAVLIPVPFQGHINPFTHLAMKLASRGFTITFVNTESTHERIAKAHSHKDDDNPFSHAEESGLDIRYARISDGFPLSFDRRANASQFMIGLTHVFQAHVDDFIENLVLSKPNPPVSCIIADSFHVWGSMIAKKYNLINVSFWTEPATALTVFYNADLLKRNGHFGRHDKYDDTISYIPGIQAIKPEDLPSYCQDADPNDIKYVFKCIEDAQKADIVIGNTVQELESSTISALQEQQPFYAIGPLCPTNFTKKTISTNLLPTTDYTNWLNNRQDGTVLYISFGSLVNLSKQDILEIAQGILISKVSFIWVLRHNILVSGERNILPLGFEEETADRGLVVPWCSQLAVLSHPAVGGFLTHCGWNSILESILCKVPMVCFPVIGDQLTNRKLVVDDWRIGVNLCTRGLIRREVVAENIGKIMNRSDESRKNIDKTKMLLQNALSDEGSSDKNLNQFIQDMKNKILQKN >Solyc01g094585.1.1 pep chromosome:SL3.0:1:85881243:85882438:-1 gene:Solyc01g094585.1 transcript:Solyc01g094585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSKEEEQSQHLKRSERQRVESSKYPSSNTCESHAPKIRSENTSSVPSICLDTLGFLHTREKPSLRMLAVHHHRSLPPPPDLRRKIFRNT >Solyc08g075740.1.1.1 pep chromosome:SL3.0:8:59985921:59986070:-1 gene:Solyc08g075740.1 transcript:Solyc08g075740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLWDFQKFLCLWTVKCCLDNLTTVLNIKHKLHLQPPCLSSLLLLFDK >Solyc11g040280.2.1 pep chromosome:SL3.0:11:38186539:38191303:1 gene:Solyc11g040280.2 transcript:Solyc11g040280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKMSTGPLERPLRPSMEKIDVENTEDKKPRLGSFKKKALNASNKFRQSLKKTGRRSSSRVMSVVFEDEHDAEEAKLVDAFRQALILDELLPAQHDDYHMMLRFLKARKFELDKSKQMWSDMINWRKEFGADTIMQDFEFKEKEEVVKYYPQGHHGVDKDGRPVYIERIGQVDSTKLLQVTTMDRYLKYHVQEFERTFNEKLPACSIAAKKHIDTSTTILDVQGVGLKNFNKSARELLQCLQGIDGNNYPESLCRMYIINAGSGFRLLWNSVKSFLDPKTTAKINVLGNKYQSKLLEIIDASELPEFLGGTCTCEDKGGCMLSDKGPWNDPEIMKMVHSGMHKCTKRTSIPVDEKTISEDGNAKPKDAKKSNSINLRTASSKIQRDHVSPTQLSTVHEEDDIIKKQPSAYTPVVDKVIEPTIPKATKADNYAIAKASDFLPMHDISKSPDGFSNHLFTGVMTFVMGVVTMVRMTRNMPRKLTDSTLLSGSLKGVDMQVKPVHGQEYRLSGPAISNNEYFSMMKRMGELEEKVISLSNTPSSLPPEKEEMLNNVMSHVDKLEQELCATKMALETALSRQEELLAYIEKKKKKKNFFGF >Solyc09g061500.2.1 pep chromosome:SL3.0:9:59525620:59526057:1 gene:Solyc09g061500.2 transcript:Solyc09g061500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERTTSAGTKMQPLLSDSSFRAITCKACNRICSSLAIENPFTGTKFCYLCCSESCFCGDCCCILCSKLISLDYYGYNYIRCEATIAGRVRGSINLDAKYLCRYCDSRVDLVPHASKLLNICFLC >Solyc05g016220.1.1.1 pep chromosome:SL3.0:5:15107430:15107816:-1 gene:Solyc05g016220.1 transcript:Solyc05g016220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKIPLLSLQKRLPNELVTQWVSTTKEKSNNLNKEFLNQLKILDNESLSLDVLETRTRFCNDDTKKEYMPKMYDPLLNGLYRGTIKKGVSSSIINNTLLENWENRVRLIRIHTIFLPNIDYQEFEQ >Solyc12g005570.2.1 pep chromosome:SL3.0:12:306429:309991:-1 gene:Solyc12g005570.2 transcript:Solyc12g005570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLTMAARRAAAFTRIPSSTTASLVQRRGLAGAADHHGPPKVNCWKEPMNPGQWKEEHFVIASLSGWGLLFFGAYKLFSGGKKNKEENLVQASQ >Solyc03g063585.1.1 pep chromosome:SL3.0:3:37383506:37388781:1 gene:Solyc03g063585.1 transcript:Solyc03g063585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQDAINDFGPTGRGRGTGTGVAGRGRGIVTTVARRRRGRERGTCVAATTTDVPCATGGVKRPRMVGMGILHTQSDFTIHNHVMPMNSSIVTGNLGHHKPRSRLKWKGKDVVTQQGLQEMRENKRRTRPNADDVGYGDCFSLIFELFLKQLCSYDYFRLIFYLFF >Solyc09g050020.2.1 pep chromosome:SL3.0:9:35480148:35490573:-1 gene:Solyc09g050020.2 transcript:Solyc09g050020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYTPHVDLAFNSVEHIMRDVEGGWLLRYMHANGASMFFIVVHLHIFRGLYHASYSSPREFVRCLGFVIFLLMIVTAFIGYVLPWGQMSFWGAIVITSLDSAIPVVGDTIVTWLWGNPDNYIPANPMSTPPHIVPEWYVLPIHAILRSIPDKSGGVAAIAPT >Solyc02g030095.1.1 pep chromosome:SL3.0:2:25581459:25589312:-1 gene:Solyc02g030095.1 transcript:Solyc02g030095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMENRSPLVCSGCRTILLYPRGATNVCCAVCNNLTPVPPPGTEMAQLICGCCRTLLMHPRGATSVRCACCHTVNLVPGTNIGTSFL >Solyc02g084160.2.1 pep chromosome:SL3.0:2:47899645:47903907:-1 gene:Solyc02g084160.2 transcript:Solyc02g084160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLTLTNILCEGRDKNYIYLATSDLLNELSKEGFKLNVSGLAVKWYDLFSFVVSYARVVPVFGLGASYLTPTKMVVPLRRLIKSLVKKVKFIHENAHTRESVEVVLVDEAVGIADTAGCGAKVLHGSSWLEKCLVVAGEESKEASRRIGKGGRFSLIGLQFLQRKYFLIIFVVIILLGFNLLFFLFNNPYNSSDLEQGYDDSQTPVVRKFPIEEESAHDLTASVATTVAVLAKSQERGSLIDVNLLVRLLLSSGEIPKLMNECGMATNTAIVASLNAAMSRPVDLLVPLPRTKPDEVINKPINGCQAPHAGFGQSFEPKPLAKSVPLTMTKHETSVISDLIWSYQLQPKLSLSPNVGHTFSMTSHKDINNYCNSLIKQRGEKTYQATFYLRRGPCSLTKSLITDVSGLVIVKGTYFIIGFGPKLCQDRAWAAWHSLMGLLITLSGFVQGQPIRENRLNHPLFPIVLLASLPATTSHFSFKWNYK >Solyc11g068765.1.1.1 pep chromosome:SL3.0:11:53692952:53694854:1 gene:Solyc11g068765.1 transcript:Solyc11g068765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIRSPTSSCVSQEPSPIDDRSFSDCPSSSETTSMMLVGCPRCLMYVMLSVNKPKCPKCKSTVLLDLFHEERINNSK >Solyc06g005755.1.1.1 pep chromosome:SL3.0:6:785854:786637:1 gene:Solyc06g005755.1 transcript:Solyc06g005755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVIMKIRPGNKTLATIRTSFTTCKTDEYVKLCTDPPYNGKKFDGLQGKWYPECASTVSRILREIHRYNVIKCGPVIAGPMTVANPRKRISAG >Solyc09g014580.3.1 pep chromosome:SL3.0:9:6221562:6222927:-1 gene:Solyc09g014580.3 transcript:Solyc09g014580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSVLCAKIEMKANKDVFHDVFTNKPHHVSTICPLHVQGCELLEGVFGTVGSKICWRYTLGGKEKISRQVIECIDEEKKVITFKEFEGDLVNTYDNWKATLHIETKGEVDLVSWTMEYERPNENVPELINLLDFIIGMTKAVDDHHVKMN >Solyc01g057743.1.1 pep chromosome:SL3.0:1:63555142:63555356:-1 gene:Solyc01g057743.1 transcript:Solyc01g057743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLSIPVSTVASESTSVQVVIFLIHIGTVETLICTQQWIRSPSKEWEVQDYLEVVQKIEEVEK >Solyc06g083090.3.1 pep chromosome:SL3.0:6:48680064:48704275:-1 gene:Solyc06g083090.3 transcript:Solyc06g083090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQLCTLLGELGYEGHESLDPDSFEWPFQYDDARPVLDWLCSSLRPSNVLSPSEGEDLDFAYDSISAFSMRRENQEAVFGSEEGLKDIRDSTLALKAEELELQKQLRRLQSQYDMLTGQASTLIQGKRARVAATSILNGQQATTDDSLSARNLEKMEYTFLIRTSMHICWRMHLTQWIKAQVENAKQQAILTVFKGQVTSDEAHIHLDLHSLRHQFLKVACQLEKKTMLGAFSLLKVIESELHGYLSATKGRVGRCMALIQAASDVQEQGAVDDRDTFLHGIRDLLSLYSNIQAGPSTYVSAPGIVQQISSLCSDLMSLQYDLEHTLPQDRDQCINKIAQLCTLLGELGYEGHESLDPDSFEWPFQYDDARPVLDWLCSSLRPSNVLSPSEGEDLDFAYDSISAFSTRRENQEAVFGSEEGLKDIRDATLALNAEELELQKQLRRLQSQYDMLTGQASTLIQGRRSRVAATSILNGQQATSDDCLSARNLEMNAVLGRMASTAQELAHYHSGDEDGIYLSYSDFHAYLLADSSCVKELNQWFTKHLDTGPYRLVAEEGKSKCSWVSLNDISNVLLRDLEKSHHQRVSELQRLRSIFGPSERQWIVAQVENAKQQAILTAFKGQVTSDEAHIHLDLHSLRRKHAELVGEISILYRKEEKLLSETIPDLCWELAQLQDTYILEGDYDLKVMRQEFYINRQKTFINHLINHVARHQFLKVACQLEKKTMLGAFSLLKVIESELHGYLSATKGRVGRCMALIQAASDVQEQGAVDDRDTFLHGIRDLLSLYSNIQAGPSTYVSAPGIVQQISSLRSDLMSLQYDLEHTLPQDRDQCINKLCTLLQSLQQLLFASSTTAQPILSPQTIMKQLAILEDYNKNLSRAIEDVNSEHLKKNDIYKHQKTEKTIERRVFVDFFCYPERLRNKVMELAASVGALQS >Solyc01g094870.2.1 pep chromosome:SL3.0:1:86146195:86149449:1 gene:Solyc01g094870.2 transcript:Solyc01g094870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANQMEKMKLRQNYRNHWHTDLIRATETDPLCKLSIIIFFLSFFYIVASRFGALHVHHIFSENELCTMICLDINVVEATCLVAADVEKVVALNFVLAQRVCSCMQTQHKVEMDKRDGKFGPRPMSVPPVQQMSRLDQPVPPVVGYPPIQQPQGYPPQPHGNPPPPQHPQGYPPSQQNPQEYQPPQQQPQDYPPPQQQPQGYPPQQQPQGYPPPQQTPQGYPPPQQQPQGYPPPQQQPQGYPSPQQPPQGYPPANYPPPGAGEPKSNHVQ >Solyc06g060190.3.1 pep chromosome:SL3.0:6:38265734:38267822:-1 gene:Solyc06g060190.3 transcript:Solyc06g060190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSLFNLVSLLLFLSSLVIIRQNKKQRLPPGPWRLPFIGNLHHLIGGGLPHRVLRDLSQRYGPIMYLQLGQVPTVVITSPTIAQEILKTHDLAFADRPQLVSTNIIFYNNKDVAFSQYGDYWRQMRKICIVELLSTKMVKSFSGIRQDELSSLISSIRSTRGTTINMTEKVFQFTNCVTCRSAFGKICKDRNEFVALLKKILVFAGGFDLADLFPSWKLLHNISGVKSRLVKAHQKIDAIMENIINEHIENKVAGKKGNGEFGDEDLVDVFLRVKENAELQFPITKEHIKAVISDIFIAGTETSSATIIWALSELMKNPNVMAKAQSEVRQVLKGKKNYGEEDIEKLTYLKLVIKETLRLHTPVPLIGPRECREKTNIDGYTIPHNARVLVNAWALARDPKNWDKNPESFIPERFENSSIDFMGNHFEFIPFGAGRRICPGIQFGLANVALPLAQLLYHFEWELPYGVNPKDLDMSETHGLTASKAKDLYLNATNYKNDEGH >Solyc12g094590.2.1 pep chromosome:SL3.0:12:65747176:65752968:-1 gene:Solyc12g094590.2 transcript:Solyc12g094590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRRRHQHHHSRVRFLFPAIFAVSAIILFLFLFLSILAPSPNDDNHLRHLRRDDSSEGKSRSNENRVSLSRVKINAEVHNRDLWSSKNSKFFYGCSNASSKFAKAKTITHPNRYLLIVTSGGLNQQRTGITDAVVAARILNATLVVPKLDKTSFWKDSSDFSDIFDVDWFIKHLTTDVTIIKDLPLRRGQIWTPHRMRVPRKCSDRCYINRVLPVLMKRHAVQISKFDYRLANKLDTDLQKLRCRVNYHALKFADPILKMGEKLVQRMRMSSKHYIALHLRFEPDMLAFSGCYYGGGDKERTELGKIRRRWKTLHNSNPEKARRQGRCPLTPEEVGLMLRALGYGEDVHIYVASGEVYGGEETLAPLKALFPNFYSKDTIASKAELEPFSTYSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLNRVFLNRNNMTTEEFSSRVHTFQRGFMGDPKEVRPGRGEFHENPSTCICEDAEAEKKMDWDLRKSGKDNVAKKKDGNGRKKDIEAVVHDENVEYEPEMADPEDEEEDEDNPPGEVSLGNTKTEVLSNGTSTDYDSSMSEDTELDELLSD >Solyc04g056280.3.1 pep chromosome:SL3.0:4:53905767:53919378:1 gene:Solyc04g056280.3 transcript:Solyc04g056280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAFGQLNLDESPLWGSRSIECFEKLEQIGEGTYGQVYMARDKQTGEIVALKKIRMDNEKEGFPITAIREIKILKKLQHENVIKLLEIVTSQGPEEDEPEKLGIPAKKMFLLVVKFLSQCLQKLTSMNFDFLTGIDSNKYKGNIYMVFEYMDHDLTGLADRPGLRFTIPQIKCYMKQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSGDHNANLTNRVITLWYRPPELLLGATKYGPAVDMWSVGCIFAELLFGKPILPGKNEPEQLNKIFELCGTPDEINWPGVSKIPWYSKFKPARPMKRRVREVFRHFDRHALDLLDKMLNLDPSQRICAKDALDGEYFWTDPLPCDPRSLPKYESSHEFQTKKKRQQQRQNEEMAKRHKLQHPQQHSRLPPIQQPGHSQHWGGSTHQMSNSQPAISAGAGHHQFGKPRGTGGSNRYPPGGNPGGGYYQDRGAQGGGYSSGAYPPQGRAPPFPGSGLAPSGPRGPSGGYGGPPNYSQSGQYGGSGAGRGSNQMSGNRNQQYGWQQ >Solyc02g060590.2.1 pep chromosome:SL3.0:2:33594634:33596779:-1 gene:Solyc02g060590.2 transcript:Solyc02g060590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTYQTVKDDSGDIAGGGETVTINIRCVNDSKLSVQVSLDSTVGLFKSILSQPTDISAEEQKVIYNGRILKDDQTLKSCGLEADHTVHLIRGSAAAASASATNVVNPNANQDAPRVAVPTTGGLFVRVGLF >Solyc12g099950.2.1 pep chromosome:SL3.0:12:67874884:67877817:-1 gene:Solyc12g099950.2 transcript:Solyc12g099950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIERGIFNKVVDCISDDIKWQILYWYNELSFCSSIPHICRKDQSSALLEFKRTLIVDTSLVTCSSYSYTSSWNMSIDCCSWDGVVCNEPTGHVIELNLSCSGLVGKIDSNSSLFLLSYLQRLDLSSNNFCNTNISPEFGRFSSLTYLDLSDSYFSGSLPIPPMSTRFFLISHNNLSGEIPSSICISTSLVMLDLAGNNLKGAIPLCLGNISALQVLDMHHNSLSGTLPTTFRTGSALKSFNFHGNKLEGKIPRSLINCQQLEVVDLGDNHLNDTFPVWLGAKVLSLRSNKLHGAIRTLTTENMFPQLQILDLSSNAFTKNIPPGLFQHLKAMRTVHQTLNTPSDEGSRYYQDTVAFVTKGLKFEVVRILFLYTNVDLSNNKFEGYIPSIMGDLIALRVLNISHNRLQGHIPPSLGSLSLVESLDLSSNHLVGEIPARFSSLTSLEVLNLSYNHLEGCIPQGNQFHTFENNSYEGNDGLRGFPFTRSCGDDRVSGTNHAVSGQLDDEESNSEFLCHFWKAALMGYGSGLCIGLSITYFMISTGNLKWLVRIIEELEHKIMMARRKQRPFVLLLLLV >Solyc03g111455.1.1 pep chromosome:SL3.0:3:63533213:63538972:-1 gene:Solyc03g111455.1 transcript:Solyc03g111455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYLDQNVRNIVLHLTVSLTSQTSSSPNRVPLKQEMPMMSLFFKSKANEYVFILQMSLNSYEELPESWRKYLPQKAKLGRMIIYLRGQDKRIWPTLYNSRSGFNVLTCGWKQLQEEMPVPHKLFLNHI >Solyc01g050070.3.1 pep chromosome:SL3.0:1:48277470:48300595:-1 gene:Solyc01g050070.3 transcript:Solyc01g050070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNEYRKKLENLFLLIEGGKKRRDIPEIGFSLPRAALNAVVFNKRKWRRRFVTSLVRSEIERFSGAFNVEPRSSFSPSVLFFSVNSVQWNFFLYMMLCSAFTTHIQTWLRDYDRIQSFAVILIYVQIGCALIGSLGALYNGVSLINLGIGLFALVAIESSSQSLGRTYAVLLFSAILVDISWFILFASEIWNISSGIYGTLVIFSVKLTLSMQIIGFCVRLSSSLLWIQMYKLGVSYMDCSVPREADSDMRNSFLNPATPLTRQPSNSDDALGGSVYDPAYYSSLFEDGKDETYFCRGSQNNGFVIGSPSMAKTI >Solyc11g044930.1.1.1 pep chromosome:SL3.0:11:32229924:32230103:-1 gene:Solyc11g044930.1 transcript:Solyc11g044930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLWNKLKVVMMGLNELNAYLTSYEHKLAHSRQGLERTQLLLLGSPLCPRLIEEEKQF >Solyc04g064730.2.1 pep chromosome:SL3.0:4:55889228:55892051:-1 gene:Solyc04g064730.2 transcript:Solyc04g064730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSENRIIQLLLLDFSFLRTRGIIIIQKREHSK >Solyc03g006800.1.1.1 pep chromosome:SL3.0:3:1316489:1317469:1 gene:Solyc03g006800.1 transcript:Solyc03g006800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 21 [Source:UniProtKB/TrEMBL;Acc:G3BGW1] MARVENNQNIEQEDDEVNDCKIDPLLGDSHEYTIAAVGNVTDAEGVDPSPAASTDRVLLLKEEPEENDLRVSTSVGMNMQLQKVEKQPVKRSSKDRHTKVEGRGRRIRMPAACAARIFQLTRELGHKSEGETIRWLLERAEPAIIAATGTGTVPAIAVSVNGTLKIPTSNNEGESSRKRRKRAANSEFYEASNFAPVAPIAPQGLVPVWPVGSGNGLIPTTAFTGGATFYMLPPGTNTTVAATGAQLWATPILNVPYAATGVSAACVSKSDNNGGKLSTVASAMPPSSSSTQMLRDFSLEIYDKRELQFMVGSGSSEIDQTSSSKS >Solyc07g052760.2.1.1 pep chromosome:SL3.0:7:61337247:61337903:1 gene:Solyc07g052760.2 transcript:Solyc07g052760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNADMTAFHEFIRGKLQVEVSKSQLSEKIKRLKKKFFTNAKGDEEPVFMKGQDFLVFQHSKRIWGAPGTSSGVKEIVTNSTNGKAKKTVEAKKSSEPKKSGKVSKHKDDEEHKEEEKQVAVKEVVKEDIVKGDQQDFQSEYPRLAASFESMSGMFTIYPNGTSFLKEKMSLIAPDKAKVLEEKWKKLEDDEAALMVKRLDLIAEHYRLVVDAMRGN >Solyc10g052860.1.1 pep chromosome:SL3.0:10:53709379:53710267:1 gene:Solyc10g052860.1 transcript:Solyc10g052860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYTRNFSAPFMKYPEILVKLQCCTFGINLNSLSNSVCPWREVMSCFFPGVPENGVEIAVKKKDMTLRHEFTEFENEVNLIAKLQHRNVRKFLGYCMNRAGKFLVYEFRSNNSLHKVIYDPTGRGKVIWPIYNVKLRICCNFSAVQKFEARASLKN >Solyc01g098740.3.1 pep chromosome:SL3.0:1:89053885:89057159:-1 gene:Solyc01g098740.3 transcript:Solyc01g098740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNYSQNHHHSESQSHKNHQHNDILPSTSVLLIIVPIIIIILLIAISLLIVMLKRIQSAKHNGTNNSSKSVINKNNCMFVAHSTIDIHLSPDVKGGCLPGHGGNSGRMPETKLRGVQVFTYKQLEMSTDKFSEANVIGNGGYGVVYRGVLIDGTVAAIKVLQREGKQWERSFRLEVDLLSRLHSPYLVELLGYCADQHHRLLIFDYMPNGSLQQHLHNAHKQSTNSLNWGIRLRIALDCARALEYLHEHTTPSVIHRDFKCSNVLLDQNFRAKVSDFGLAKIGSDKLNGLISTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLELLTGRVPIDTKRPPGEHVLVSWALPRLTNREKVVEMVDPTLQGQYTKKDLIQVAAIAAMCVQTEADYRPLMTDVVQSLVPLVQTYSSSCSANSFRSYNHTASPRS >Solyc08g008130.3.1 pep chromosome:SL3.0:8:2590863:2606651:-1 gene:Solyc08g008130.3 transcript:Solyc08g008130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATVNSIPATGVNAGVGRGANMTGASYVNSFRISAVADRLAKHVCNQPKIDPQEFVHLCLSLARGIDFAIANREVPNRAQDLPLLVKQVCRIPCDTLLLAHVMVLMISVKNACHSGWFTEKDAKELCDLANEIYSSFCTTLDFNTEPSNSSTIISTIMSRFYPRLKMGQIVSFLEAKPGFGAYVNDFQITKNMNLSEGEKVRLFVAQIDNLETSLCIVTPPQVNFLLNGTPVGRRTNVSMDPGPQLPSPVPHMLKFGTNLLQAVGQFSGNYIIVVAFMSEISTPVQATLPDYEQAPVSSVDPDSEIIEGPSRISLNCPISFKRIKTPVKGRSCKHLQCFDFDNYIDINSRRPSWRCPHCNQHVCFTDIHIDQDMFKVLKEVSEDVTDVMISSDGSWKAIMESDDHSEKPRDKTPEIAQDSPRRGSDGPSNAPGDVLDLTDIDDDMNPAETEDSKNFPTNIQMQSNVQKTTAVSNPNEINQTGAPDMTDDFWSRIYLSSCGIGTSSSWSNMQTGSASEPARTDLVQLPVFTDAISPALNTEGNTFIPTSILESGLSSSNLLQLQLQQFQFGNSALSNEYGRFPTAARPANRSPVAVQALPAQMNTPVPQQRQQSAMNPLLHAGPSAAAQDLPIASLSGSNLRSELERHSFSDLDLVQTRMTSSALPQKRSLPHVQPSQHSVGRQSPSMRTPYPMNQSQGPSQSATWDRWEALKQGSSQAGVNRALPGGQHARVVTTQQSTQVVRPVFSPRTVSPLPGSADRFRTPLPPDQRGSSSTGGTTPVTRTDSSVDPQLDPNWRPTGRMRGSLSGRAYSEALQQFILKPTQQAQAARPSIPPNLSPQLQVLLANRGAHSTQPVNFPSTAPANASDISGILPERSSGMQ >Solyc02g087130.3.1 pep chromosome:SL3.0:2:50266629:50280270:1 gene:Solyc02g087130.3 transcript:Solyc02g087130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEKDAFYVVRKGDVIGVYKNLSDLQALLRTSIGEPAISVFKGYRLTKESEEYLASHGLKNAMYSMDFSDVRDDLFGTLIPCPFRQPGSSKDKIVGKNVQEKRMQMELVASPSFAAAGQQKLAKLDNFLEAPPISSYPILSAHSRTLLKLESISAHSVQKCRGVRVLTWKNNFVNRCLQSPYMQCSCILEFDGASKGNPGLAGAGAVLRAADGSMVFRLREGVGVATNNVAEYRGVILGLRYALEKGFKHIKVKGDSKLVCMQTQGIWKCKNQNMAELSKIVKELKDQFMSFQINHMDRESNTEADAQANLAVYLKSKGLLSWDVIAVYKNLSDLQALLRSSVGEPAISVYKGYHLAKQSEEYLASHGLKNAMYSMDFSDVRDDLFGILVPCPFRQPGSSKDKIMGKNLPEKRMQMEVVASPSFSAAGQQKHATLDNCLEIPPISSYCPPYIQCSCILEFDGASKGNPGPAGAGAVLRAADGSMVFRLREGVGVATNNVAEYRGVILGLKYALEKGFKHVKVKGDSKLVCMQTQGIWKCKNQNMAELSKIVKELKDHFVSFQINHIYRVWPTFSSFANSELTEPVYQNFDL >Solyc07g063260.3.1 pep chromosome:SL3.0:7:65899725:65911675:-1 gene:Solyc07g063260.3 transcript:Solyc07g063260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEDTQELRSLALTPTWSVASVLTIFVAVSLLVERSIHRLSNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSIISNICIPSKFYNNAFAPCTKSEVDEEMENKDLKERKLLMAFGLHRRVLNSFKQNTCGENHEPFVSYEGLEQLHRFIFVMAITHISYSCLTMLLAIVKVHSWRVWEDQAQNDRHDVLTEISRAKTFRRQSTFVRVHTSSPLARNHFLVWVTCFFRQFGRTVVRADYLTLRKGFIMNHNLTSNYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVGFMLFNVKGSNLYFWIALIPIILVLLVGTKLQHVIATLALESAGITGSFSRVKLKPRDELFWFKKPELLLSLIHFVLFQNAFELASFFWFWVFTAPFLWQFGYNSCFIKNHTLVYLRLIMGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRKRRMRMFPDDSTVHTDTSTVMSLEEYDNDQLVDSPRTVHGAGTEIELQPPPTVTEDHHHSVTINDTSSRIGTPLLRPCASISSATSPTLPPEVISRSSSMPARGHLNLDKQ >Solyc09g015787.1.1 pep chromosome:SL3.0:9:11105822:11138936:1 gene:Solyc09g015787.1 transcript:Solyc09g015787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLGDIPSNVNVFEDCTTISNSLNMAKSSYLPLVEVKIQVANEVPLTKLMITTFTCPYGTFAFKRMSFGLCNAPATFQRCMMLIFSDMVENTIEVFMDDFSVVGRWMVRKCDIQKIDVGSSDIMQPWLFEGIHSKNESANLDFYDWNKVFVRNFDGGAFTRNVEYVNPATNLHFRGARIFETLMEELLARRLKNTKNVYTN >Solyc12g026380.1.1.1 pep chromosome:SL3.0:12:22757939:22758361:-1 gene:Solyc12g026380.1 transcript:Solyc12g026380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVVQACIRPKGDDSIEKRLLTVCACQEPRLHAMPDIVQPGVLAKVCEGMPREMLSKRVCCKIAMMDCHVRRQSTACAAQMPFGHATPDVFRSCVLSKGDDNLPRLMSSDCMCCLMYTMACLPSKGRTGMPRTTPYDRV >Solyc01g106900.3.1 pep chromosome:SL3.0:1:94503201:94511300:1 gene:Solyc01g106900.3 transcript:Solyc01g106900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGVTPPQKFSVPSPDDDADIIRPNTVIPGGVTVGAAMRKKATGVRSWLLLDSTGQTQVVEAGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNARDPSVAPFVEEVQRRILRHHQATKSQEAGGGGNNADWTNLYDLEEPQSEEVSPPNVSANFQSMDENKSDGKQLTGENRDGPKLLPFEFVALEACLEAACSCLDNEARTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMAEMYLTDKLMEQLENSSVSSISGQDGIDEEVIQSNMDDRVPVEISMDANAGSTSYDADTPHIDQHQERLYGGPNALSRGSRGTHTSTTRSAISKHLDVEELEMLLEAYFVQIDGTLNKLNTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSAFVVVAGIFGMNINIELFDEKKAGMPEFLWTIGGGATGSLFLYVVAIAWGKHKQLLE >Solyc09g010370.3.1 pep chromosome:SL3.0:9:3753911:3759158:-1 gene:Solyc09g010370.3 transcript:Solyc09g010370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDSKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVEEVFFSIARDIKQRLAESDSKAEPQTIRINQPDQGAGSSQGAQKSACCGS >Solyc07g065880.3.1 pep chromosome:SL3.0:7:67596619:67604809:-1 gene:Solyc07g065880.3 transcript:Solyc07g065880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQESNQNQSNSLFNSPVKNFRGLKGNLGYNSSSNEVSNTEEMFNDRDLAQRKAEEAGNISCMGKLSHDTSNLLLKFQTKIRNELLAAARRYQAAEWLRQMDSGASEVLPKEPSEEEFRCALRNGLILCNVLNKVNPGAVHKVLIVVVNSVVDMSSECAAQSAIQYFENMRNFLVAVGKMQLLTFEASDLEKGGSSNKVVDCILCLKGYYEWKQAGGIGVWKYGGTVRITSCPKGSPSSFGGSDSADESVDDSESSQFDQLLEFLHLSSEVSLEESNAANILTFLFDRFGLGLLQAYLMERNGVEDFPLNSMVGKTMCSLSKNRTSLLKPQLVVIDAVLRKVVKNFSGLLVSQSNQLLWHLQLRLFLKKILADECSTLSRSEVLEAISNYLRHRTSLVSSECICGGKRESSWCNNGFTAANEEIVDVQQKELEELKIFCRETKLDVQKYKSGWEEEFRRLVHHIKGLEVASSSYHKVLEENRLLYNQVQDLKGTIRVYCRVRPFLSGPPDMQSTVDYIGENGDIMIVNPRKQGKDARKIFSFNKVFGTKVTQEQIYVDTQPLVRTVLDGFNVCIFAYGQTGSGKTYTMSGPDLNTEETWGVNYRALRDLFSTTKARQDMIEYEVGVQMIEIYNEQVRDLLVIDGANRRYPLHRYMMNYIVTRSTELHHLHSKKFGFFCLTLTFQTLDIRNNSQLNGLNVPDASLVPVTCTQDVLDLMRIGQKNRAVGATALNERSSRSHSILTVHVRGRELVSGSTLKGCLHLVDLAGSERVDKSEAVGERLKEAQHINKSLSALGDVISALAQKSSHIPYRNSKLTQVLQDSLGGQAKTLMFVHINPEADAFGETVSTLKFAERVASIDLGAARSNKETGEIRDMKEEISNLKQVLEKKETELELLKSGVNVRGQASPLRTMRHIGNSNLKTEANQRPLDDIREVRSCSSGKQRRSQFPSKFTDKDFIPKMPLLTEEKSAASPMRRSPSPPIRRSISTDRGAHVRSRNKPETFENQPVMKLPFPARAPVTINKSSTNMPAIVSSDRTRGYQSSREQSRQENISDVLYSLQKMSNRKIPEHDEEQFKQVLNVRQGAIRKSKNENKLKSKHQLSTKIQIKSDVSVTLLSDGCHGGMMDEAQRSDVSESENENGFVGSNISGTIRFGNGNLPRNFSRNSQNVEREISQTVEAFLAGKYEDRPSSGNNMLRNAEVNNSFNPEFRKPEDKPSNANKIARNSKEVNNSLAPELRRSRSTPRGKFMFLP >Solyc02g038700.2.1 pep chromosome:SL3.0:2:31862298:31866965:-1 gene:Solyc02g038700.2 transcript:Solyc02g038700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVVEVHWEKGEVPINLKFAIEEVSGRLEDLKSTIEAVSTDQKDLKSSIEEVSTNQKDLKSAIEGIFTHLKESNPPLKMSTSTEEVSREKGEVSTDLTSAIEEVSTNLKSAIEEVSMEKGQVSSDLKSTVEEVSSEKGEVSTDITSNPSLKMSTPTEEVSREKEEVSTDLKSTIEEVSREKGQVSSDLKSTVEEVSNEKGEVSTDLISNPIEEVSREIGQVSTTDLKSAIKEVSAQLKESNYEDWILMFTNLTKLVYNFGDQHFPSSTQQRTADIEHQVDLCVEILKSVPEEKRQTVYECSAFEYLKGRFYNAVPGRAHFSYFVLSGGRDHNNLQLALDTFEKAKKYVAVKSNPHLEYDCGLVNRYLENYKESLNGFSDAASMNPASDALHQKELTLQLLNKFKGLLRVKRNDKNKGKSKGKSQGKIKGKSKGKSTETSLADLIQSLPNIEGKALHSS >Solyc01g087007.1.1 pep chromosome:SL3.0:1:81805789:81806548:-1 gene:Solyc01g087007.1 transcript:Solyc01g087007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKVIYPDIITYSSIIQVLADGKKEVKKAIESDDPSGCVSKCLMCFAKKVGRKTPKLSWNGFDPNVVAYNTLIDGYCLQGWLHEAKQVFIRWFRAVFNLTLINGYCKRMENRQRHRSCERNVSKTIKTWNCNIHQYCIAGIISSREILPCTKISSGVSSRTGKEECWPQLFMYDVVIAGLCKKGKLDIPQDIFNNCVLMVYMST >Solyc09g074530.3.1 pep chromosome:SL3.0:9:66785158:66786806:1 gene:Solyc09g074530.3 transcript:Solyc09g074530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4CV30] MPLFTTLQLAFAFGILGNGVSFLVYLSPLPTFYRIFKRKSTEGFQSIPYSVSLFSAMLYLYYAYLKKNEILLITINSFGTGIQLIYLTIFMIYATKSAKIFATKLLIGFNLVAFGAIVGLTYVFANENDLRISIVGWICAVFSVSVFAAPLSIMRRVIQTKSVEFMPFPLSFFLTICAVMWFFYGLLKKDMYIAMPNILGFSFGIAQMILYAIYRNRKQQVLPDLSLMDLKEIAIDMKAVVVEIIQENVDDENKNKINKQEEVVSVDEKKDVEYDKQDVALTTSNV >Solyc12g019880.1.1 pep chromosome:SL3.0:12:12068986:12071858:-1 gene:Solyc12g019880.1 transcript:Solyc12g019880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4DDL1] MKAWEATVRKTQAVARKRANTIFGTYGPSHVEEEIIDQVDVEEENEGHANGEVYHSERFLPNGDYYSGYWVDSFPHGHGKYWWTDGCMYVGDWFRGKKMGKGTFSWPSGAMYEGNFKSGYMDGEGTFTGPNGDSFKGSWVMNLKHGHGVKEYSNGDVYDGEWNRGLQQGNGKYVWKNGNSYVGEWKNGVICGKGKMCWKNGNVYEGNWEDGVPKGNGTLKWDDGSFYVGNWNKDQNEQNGTFYPSASLLEGGNLDWDPQQVYNTDLLECSICPNEKVSILPSQKKLAVWRSAKATENNVRPRRMSVDGRIDAAPVDREFGRMRLSDCAGTPPRITCVDDAMDGYIRGSPIRHPKAVKRQGETISKGHKNYELMLNLQLGIRHSVGRPGPVPSLDLKPSAFDPKEKYWTRFPPEGSKSTPPHPSCEFRWKDYCPKVFRALRMLFKVDAADYMLSICGNDALRELCSPGKSGSFFYLTNDDRYMIKTMKKAEVKVLLRMLHAYFSHVRSFDNTLMTKYFGLHCVKLTGPAQKKVRFVIMGNLFCTDYTIHRRFDLKGSTFGRMTDKPESEIDATTTLKDLDLNFIFRLPKTWFQEFRRQVDRDCELLEQERVMDYSLLVGLHFKEATGDQTPPPGCRTPAGSEDGSAPRLSRADRDRLLSGLAGRSLGINMSARVERTERKNDLDFQLVGEPTGEFYDVILFFGIIDILQDYDITKKLEHAYKSIQCDPNSISAVDPKAYSRRFRDYIYKVFTEDT >Solyc07g007835.1.1 pep chromosome:SL3.0:7:2498623:2500697:1 gene:Solyc07g007835.1 transcript:Solyc07g007835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGWLLAAVREIVEVRETLLQQLQSKKDPQPQESKDSETVDASEKDVKISGQHASESKLEEKNISEETANVSQDKDSFHDEKRQEQLEDEKSKGTISYADKNEDDVSFSDLEDDDTDLSDSRLLGSKPTHRKKVSSSSESHEWIQLNENSKAQGSQQKTGQSIHRDKDSEGEESSDWLTVDDVDSDSLATN >Solyc12g099890.2.1 pep chromosome:SL3.0:12:67818092:67823928:-1 gene:Solyc12g099890.2 transcript:Solyc12g099890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein SDA1 [Source:UniProtKB/TrEMBL;Acc:K4DI10] MSPISGRHAPSELTAEGIAASGLTSGKLSLPTLQSKMKCDPEGYEAELTLVYNQFKSSMDLFEQQAALNFTSLSGVSTDPTVSKDLGDRAMFLSHVTPFFPKKLVNFPKELAQLLRSSARTLPSGLRVHITQALILLLNRKIVDIGETLALFMELQTLGDRVLRKLAFSHIIHSIRRMNQKHKNDTKNRALQNILFSLLQQEDEAKAKRSLITLCELHRRRVWFDDRTANAICSACFHSSSRIMIASLSFLLDYEKIEDDSDSDMADSEDEQTANQPQVLLNKEAIYKANNKGTSSSKKKKQAKLQRAVRSMKKQQRMQSENNNSSYYSPLNHLKDAQGFAEKLFSRLQTCDERFEVKMMLMKVIARTVGLHHLILLNFYPYLQRYVQPHQRDVTNLLAAAVQACHDMVPPDAVEPLFKQVVNQFVHDRSRPEAISVGLNVIREICLRMPLLMTEDLLQDLVLYRKKTNEKAVSASARSLLTLFREVCPSLLVKKDRGRPTNPKARPKAFGEVSVSSSVPGIEFLDQEGSDDDVEEGSVGLSDYDDQSDDDVNPDEEDANCEKDGDDASDNESGDDECDSDEDNKLQATEEFSEDDDAIDSASATEDDESDGEEEGIDGDIMQDNNSWASEEDDVDEKVSKGTKRKISDIDVNAASNSLRALKKLAGANMEPNSLNMEDGILSNEDFKRIKELKAKNDARTVLAQHGFKLPSSDQISTKRVDAAKLEANIRKKLSKEERLAIIRAGREDRGRYQAKTALKKKKTGGSSNQQKEHQKHMPLAAKRSKVAKSKIEKKRKQARAGKQFRGRKAWK >Solyc12g011030.2.1 pep chromosome:SL3.0:12:3892603:3894420:1 gene:Solyc12g011030.2 transcript:Solyc12g011030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFSSTLLLLISILMSIQLLASAGNFYRDVDITWGEGRGKIQEGGRGLALSLDKLSGSGFQSKNEYLFGRFDMQLKLVPKNSAGTVTTFFLSSQGEGHDEIDFEFLGNVSGQPYTIHTNVYTQGKGNKEQQFHLWFDPTAAFHTYTIVWNPHRIVFLVDNSPIRVFNNHESMGIPFPKSQAMKVYCSLWNADEWATQGGRVKTDWALAPFTAYYRNINIDGCAVSSGNLFM >Solyc09g008130.3.1 pep chromosome:SL3.0:9:1600088:1607448:-1 gene:Solyc09g008130.3 transcript:Solyc09g008130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoglycerate kinase [Source:UniProtKB/TrEMBL;Acc:K4CQK2] MSYVLEFFLGYTLPRISNFHNGCTKFHDLQQIRLRLSYGKCSAVRKCKKIRFLRQALNLELIDHADLLSFPNEAEACDGRGTDILPYVQTVRNFPEEELIGKVVMVRLDLTIVLREQKKQQSPAARVISTIKYLHKAGAKLVLLSSWSLRADSRLLKLEYVAELLSSELELKVIPVDLGSGFEQSLREDRHKSSILLLENLSQFKQEQANCSEFARRLSSGVDIFVNETFFQSHKILASNVGITGFCYASIAGFHFDEQMSQLKKIIEMNKRPYFAIVGGNLAGKAVALLFLASRCDGLVFIGNAAFQIMHAFGLPVPMELVEQESLEAARVLVEAAKARGVQIILPKDFWCINDYYPSRMKIFPANRIIDGWKPVDVGPNTLGEMISVLSRCKKILWIGAVKFSSSHQESAGASKLAAMLYNLSQMNCDLIVVGKQACETFIGRSRHVTADMIENASIVWEFLKGRKLHGLLALDRAYPFKLNWDALYANTTLPLVVDVGSGNGLFLTRMAKMRKDWNFLGLERNEKLVRRCLDHVSQSGMTNGYFIATNATSTFRFIVSSYPGDLVLVSIQCPNPDFNRTEHRWRMVQRSLVEAIADLVAPDGKVFLQSDVKEVAVRMKKEFMNYGKGKLTVMHDSEDITSQQDGWLNENPFGIRSDWEQHVIERGAPMYRLLLLKSSSSG >Solyc01g108250.3.1 pep chromosome:SL3.0:1:95516428:95519424:1 gene:Solyc01g108250.3 transcript:Solyc01g108250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASHSREGLELSDESDYEDEEGSSRTESEEQYEDAADDHASMRTPQVSNRNLDELDSKLKALKLKYGSPVTPTNLRNAVKLYLHVGGNTPKAKWIISQKLTNYEFVKTLKIGGDDEDDYGSSSGGDEGFWVLKVGKKIKVRVSTDMQLKMFGDQRRVDFVDNGVWALKFFVDDEYRNFITKFQDCLFENVYGMEATEANKVKIYGKEFIGWLKPEESDDAMWEDADSGVWKGSGKSPMTPARDRQDLLEEFEEAATGGGIQSLALGALDNSFLVNDSGVQVVKNFSHGIHGKGVYVKFDEKDKWSGGSTPKKALLMRGETNMMLMSPFKEGKPRSTGLHQLDIETGKVVTEWKFEKDGTDITMKDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDKKGIVQTLANTSSPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTLFTDKAGKTKTGFTGRMGNKIPAPRLLKLTPVDAHIAGANNEFHGGHFSWVTESGKQERHLVAAVGKFSVIWNFQQVKDSAHRCYQNQQGLKSCYCYKIVPKDESIIESRFMHDKYAVSDSPEAPLVVATPMKVTSISMSGKRR >Solyc03g032040.3.1 pep chromosome:SL3.0:3:4581413:4585758:1 gene:Solyc03g032040.3 transcript:Solyc03g032040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast monosaccharide transporter 1 [Source:UniProtKB/TrEMBL;Acc:K4BFH5] MRGAVLIALAAAIGNMLQGWDNATIAGSVLYIKKEFNLQTQPTMEGLIVAMSLIGATVITTFSGPVSDMLGRRPMLIISSVLYFLSGLVMLWAPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGQLNTFPQFTGSLGMFLSYCMVFGMSLTQAPSWRLMLGVLSIPSLAYFFLALFYLPESPRWLVSKGRMKEAKQVLQRLRGREDVSGEMALLMEGLGVGGEVSIEEYIIGPDNELADNHDEKDQIKLYGAEEGLSWIAKPVTGQSTLGLVSRHGSMANQSMPLMDPLVTLFGSVHEKMPEMGSMRSMLFSNVGSMFNITENQGKTDNWDEESQKDEENHMSDGSGAESDDNLRSPLLSRQGTNAEGNMGPPTSLSMRQGSNFMQANGVGEQASMGIGGGWQLAYRKDEKKEGALKRIYLHEEGGSGSRRGSIISLPGDAHADQAEFIHAAALVSQSVLRAESVLGQQSIEEAIETQSETVTKKSVWKALLEPGVKHALIVGVGLQILQQFSGINGVLYYTPQILEQAGVGVLLSNMGIGSDSASFLISAVTTLLMLPTIGVAMRLMDLAGRRWLLLATLPVLLSSLIVLVLGNVINMGEVMHAVISTASVVVYFCTFVMGFGPIPNILCSEIFPTSVRGICIAICALTFWIGDIIVTYSLPVMLNSIGLGGVFAIYAVVCAVAWVFVFLKVPETKGMPLEVITEFFAVGAKKAATE >Solyc10g076330.2.1 pep chromosome:SL3.0:10:59343790:59348162:1 gene:Solyc10g076330.2 transcript:Solyc10g076330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEKKRTFRNLPRDQLGGVIFGCTNDTIRECLEKQLVGLPSAHFIYVKNVNPGLPVFLFNYNDKKLHGIFEAASSGQMQINPYAWKKDGDERTRFPAQVQIRVRLHCVPLPEDMFRPIIKDNYFEQHHFWFELDLTQSSKLISQLSSLAYAPSSTTHYSALRRSIIQTSPANNKIVENRCSEPQNLKNKPSSSIDSQAALDEKNFIYMKLKEIALNRERSALSRNRHAEENTNERISYNDVSIDQAGFVEPQPPGEEKNEEGDCDLAGYPPFVAQLLKEVKELNAFKEQHTQKVTGLEKKLANAQEEINLLKGRCLMLESINPTCTHDSENVVESDDILRDESIILAGGSDGNNWLSALDLYSLLSDVLKPLKPMTSFWSYFAIANLSREVYVFGGKFEKLWDDTVASYNPANDMWTLHPHLKKRNNNLSGATLKDKIFTMGGGNGTEYFAQVEMHDPQVGRWIPSRSMWQKQFSHAATELNGALYAVGGFDGDKYLAYALGGSDGSQMMSSIEIYDPHQGTWMIGEPMNYSRGYVTAAALKESIYVIGGAQSDNEVLDTGT >Solyc10g086770.2.1 pep chromosome:SL3.0:10:65615502:65623716:-1 gene:Solyc10g086770.2 transcript:Solyc10g086770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVSNGGMLYHEVQESKLCVVHCVNTVLQGPFFSEFDLVAVASDLDHTERQMMGSIEMHANWPRHYCNKKVLQKVLEVWDLQIIPLDSPVAELAQIDPELRNAFICHLQNHWFCIRKVNGEWYNFESLKPAPDHLSKFYLSAYLDSLKGFGWSIFLVSGKFPKECPISSSEASSGYGQWLLPEDAERITKSCNAAQRTGSRSGQTQWQSVPYRQYEEQGMLLDEEDEDLKVAIAASLLDAAPAVSTKPDTLENENKDNSAANA >Solyc10g050920.1.1.1 pep chromosome:SL3.0:10:51107093:51107368:1 gene:Solyc10g050920.1 transcript:Solyc10g050920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVLLPVDFSPEKGEKRRGLGFGFHRSLVGVSGGCRWFVEVGLKLFQLEHRGKEGEKIRRGGGEKGRRESGREGREGGRQKEGEGKGGG >Solyc02g062965.1.1 pep chromosome:SL3.0:2:35494933:35495395:1 gene:Solyc02g062965.1 transcript:Solyc02g062965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGCSCIPSKKKIFKSTSLNPPPSQRDSLLSAYTQNLKLFIIFYSMYGHVESLVPETLSEEVLVQMQELADADGFLFGFPMRYGCMTAQMKLFFYSTRQL >Solyc05g048810.3.1 pep chromosome:SL3.0:5:60348527:60355354:-1 gene:Solyc05g048810.3 transcript:Solyc05g048810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAGETAQAPSPAPEKQWGEKVSEAVLSVYHRLPKKGKPQGREVTVLAAFLVSSPSQELKVISLGTGTKCIGRSRRSSNGDVVNDSHAEIIARRALLRYLYSEIQDVYNSHARSNGSVLCDDVDNFMFHLESDGLGTKKLKMKRGWQLHLYISQLPCGVASPGSELALLHDSPAKNGDMMCPSMQLSYSKGDSSGYTAMNDGSFPIPLGTVMRKPGRGDTTLSVSCSDKIARWNVELYFLTSWSQFIFLL >Solyc05g008290.3.1 pep chromosome:SL3.0:5:2650247:2665177:-1 gene:Solyc05g008290.3 transcript:Solyc05g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNMLFLVYLINFLGFFMVSTMAKDSNDQLMTLSKLKMFVDELQDMPRIKGYDVINGVHVPKSLKIGMYKKKWKFHRDLPASEVFAYGTSRHTATVPGPTIEAIYGVDSYITWRNHLPSKHILPWDPSIPIATTTFKKGIPTVVHLHGGIDEPQSDGHSEAWFTKHFKHHGPKWTTKTYHYHNYQHPGTMWYHDHAMGLTRVNILAGLMGAYVIQQPNVEGPLGLIYGEEYDRPLVVFDRGFRSDGSIYMNSTGNNPSIHPQWQPEYFGDAIIVNGKAWPYMNVKRRKYRFRIINASNARFFKFVFTNNMSFIHVASDSAYHETNDAVYPYPSGDPVNEENSKVMKFIINSDHEVQTGHIPHKLITYRPPDISTASNTRYIAFYEYASDIDEPTHLYINGKSYEAPVTEMPKVGTSEIWNIINLTEDNHPLHIHLGLFVVLEQIELVNIEEFKGCMMKLNDAIKCKIDKYSHGKRTKVVIHEKGWKNVYKMMPGYVTKIFVKFSLIHSNESYPFDATAEPGYVYHCHLPNSNSKPIECCCLSSPTYRNENGFSGGRLFLEFGKRVFGERMGEGRFKRKYTSVMIVPTGVGAAIGGYAGDALPVARALSSVVDCLISHPNVLNAAMLYWPMPNALYVEGYALDRFAEGLWTLQPVHQNRVGLVFDAGIEEELLMRHLQVVDATRASLGLPIVGYTVTDTPLLVEKWVDPTSGQSTGRIQRPDSLLRAVENLRNECKVDAVAVVARFPDDDTKDLDDYRQGVGIDLLAGVEAVISHLVVKNFQIPCAHAPAVLPPQLNMAVCPKSAAEEIGFTFLPCVLAGLSTAPQYLVKANNYSDNCIVAGDVDSVILPIDACCGDGALAFANRKRNKVIGSLSIDINWPLIIAVEENQTVLNDTPDSLGIEAVKVSNYWEAIGVIAAHKAGIDPNSLRRNRINNITPTTFVPSNGYAKSSIKSF >Solyc03g094170.2.1 pep chromosome:SL3.0:3:57531790:57532774:1 gene:Solyc03g094170.2 transcript:Solyc03g094170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKYRGSFNIVFQLCVWIGILSASLLNNGVQKIKGGWGWRISLAMAAALATFLTVGAIFLPETANTLIQHGNDHQKAKQIFIQASDKSKVVKDPFKQIIKQKYRPQLVMSVVISFFQHMMGISVISLYAPILFQTIGLGASASLMSVEVTGTSVTFLALLIVDRVRRRAMSCLGGIQMFVSQMMFSWGPLSWLVPSEIFPLEIRSAGQSITVAMGLISASMIAQNFLTMNLSIESMESIWRDHWFWKRFVCDEQDYDNYLLLDDK >Solyc04g007410.3.1 pep chromosome:SL3.0:4:1091210:1093206:-1 gene:Solyc04g007410.3 transcript:Solyc04g007410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEATGSLKSRDCIKPARRVLKVSSALVETAASIAVAATLVGAATTILVKRNKSSEAIEAPVRICEDCDGSGVCAECRGEGFVLKKMSDENAERARLMAKNAATRYTAGLPKKWGYCMKCNAARSCSSCGGVGKLN >Solyc11g065320.2.1 pep chromosome:SL3.0:11:51004764:51008716:-1 gene:Solyc11g065320.2 transcript:Solyc11g065320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYEATKIVLSRIQSLDPENASKIMGYILIQDQGEKEMIRLAFGPETLLVSLINQAKTCLGISSNNSSAMSKLNLFHQSSPRIIIPNNGFPSSSSPSSPSPWSTNGSPVFTRSPRPLASPVGGGGGGSSSLSYAAVVNGSTNSVSGGSTTSLSLPFYDPNNDEYGGSVQQAQVQEHLSFLDESLDPIMSPSGRSDSLVFPYGNCEETPHLHRRSCSVNDVFLGGSDDGGGGFGWRPCMYFARGFCKNGNSCKFMHSGFPDSSSSPDAIVGSPSKVDSVEDFLRMKALQQQQQQRFAAASLMASGAHHHPIAYNKCINILNDNQRSAAATFMMGEEFHKFGRCRPDRNDFSAMALGGISSSSSRQIYLTFPADSTFKEEDVSNYFSMYGPVQDVRIPYQQKRMFGFVTFIYSETVKMILAKGNPHFVCDSRVLVKPYKEKGKIADKKQLQQQQHPIDRGDLSTCLSPSALESREPFDLPFGARMLYNSHEMMLRRKIEQEAELQQAIELQGRRLMNLQLLDLKNQHRNDHFPPSLSPGLPTASQMQFHSPNNHNLVPVLNDIDQEVPAESNNTHEATNAPHNAADEKVPQEMLPTDFGHGSSSKEQRSNIDNSNLQESFEHILPDNLFASPTKSAAENQAAFSTDSAEAGVSSPITTATSNNNIPMLPTTTTLNMASLKSCYFHRPRFTSGQEAIEM >Solyc01g014270.3.1 pep chromosome:SL3.0:1:11890253:11895048:1 gene:Solyc01g014270.3 transcript:Solyc01g014270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLRLRLILSFQFSIMNTCPLLVSSFFSSHCPTCLPPMLSMYFIILCSYLLHLSLCSTVCAHQSSLLFLQHSSVGCYDSADNTSSICYSCR >Solyc08g061830.1.1 pep chromosome:SL3.0:8:49677575:49679401:1 gene:Solyc08g061830.1 transcript:Solyc08g061830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIDIFAPNVSFKQRLLLLLLFFFFFLLRVQHFLLFRVPASILLCGYNLIQDWRIPRCSVCSGCQGRLYICLICSSVICCLSIESNHVILHSQSQDGHEILVDMERAELYCFVGCNQVDPDFDKAVMCKHIMGFSRSGVEQSKMVLSKRRRLSFGMDLDSKNMKRLFVRKLKLYFSLG >Solyc09g031970.3.1 pep chromosome:SL3.0:9:26805182:26820839:-1 gene:Solyc09g031970.3 transcript:Solyc09g031970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:K4CSQ2] MEGEGEGAKSNDVSAAVISEPLTDIASNIKYHAQYTPHFSPFNFEPLQAYYAATADSVRDRLIKQWNDTYLHYHKLNPKQTYYLSMEYLQGRALTNAIGNLDIHNAYAHALNKLGQDLEEVVDQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQLITKAGQEEVPEDWLEKFSPWEIVRHDVVFPIRFFGHVEVLPSGSRKWVGGEVVQALAYDVPIPGYKTKNTNSLRLWEAKASSEDFNLFLFNDGQYDAAAQLHSRAQQICAVLYPGDATENGKLLRLKQQFFLCSASLQDIIARFKEREDGKGAHQWSEFPKKVAIQLNDTHPTLTIPELMRLLMDDEGLGWGESWNITTKTIAYTNHTVLPEALEKWSQAVMWKLLPRHMEIIEEIDKRFVATIMSERPDLENKMHSMRILDHNATKPVVHMANLCVVSSHTVNGVAQLHSDILKAELFADYVSVWPTKFQNKTNGITPRRWIRFCSPELSHIITKWLKTDQWVTNLELLANLREFADNSELHAEWESAKMANKQRLAHYILRVTGLSISPNTLFDIQVKRIHEYKRQLLNILGVIYRYKKLKGMSPEERKNTTPRTIMIGGKAFATYTNAKRIVKLVTDVGDVVNSDPDVNDYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEDNFFLFGATADEVPQLRKDRENGLFKPDPRFGEAKQFIRSGAFGTYDYNPLLESLEGNSGYGRGDYFLVGHDFSSYMDAQARVDEAYKDRKRWIKMSILSTCGSGKFSSDRTISQYAKEIWNIAECRVP >Solyc09g091000.3.1 pep chromosome:SL3.0:9:70835820:70836676:1 gene:Solyc09g091000.3 transcript:Solyc09g091000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTSYTHETTTPVAPTRLFKALVVDSDNLIPKLMPQVKNIEAEGDGSIKKMNFVEGSPIKYLKHKIHVVDDKNLVTKYSMIEGDVLGDKLESISYDLKFEAHGNGGCVCKSITEYHTKGDYVLKDEEHNEGKKQAMELFKIVEAYLLENPSVYA >Solyc08g048170.1.1 pep chromosome:SL3.0:8:14928721:14929808:-1 gene:Solyc08g048170.1 transcript:Solyc08g048170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCRTWPSCIAFALYIMIKQHQSWYACIGHETWANDMQHHLRFYTSYMVSEHLAPSLMVCVLHASTVSQGLHTSYIACAHLANDVGQWNRALRMMCAHLLGNIYIGKCQVASPKAYALQESNVDSERQHQLRPAHINHGMCASSGKHRCRRISSNINQGILHRPWPTNNVQTTSSVACPHRLWTSQRLANVGCGLLLGLWPAYNGEPTWSMAFLHIFWAAQNKQPMCPAYKGQPKSANDKRNQQGDITQGMPALVEVSTHYSADVGLDFLDGS >Solyc10g061990.2.1.1 pep chromosome:SL3.0:10:23914685:23915651:1 gene:Solyc10g061990.2 transcript:Solyc10g061990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFYIRFYSMLEHFCECYSDVSGLILFPVLGCITPLFIPNSRIRPIRLIGMFSSLTTFLYPPILRIQFDPSTTKSHFVECLRWLPYENINFYIGIDGISLFFVILTTFLIPICILVGWSCMRSYGKEYIMTFLIREFIMIVVFVC >Solyc12g042830.2.1 pep chromosome:SL3.0:12:59196949:59199392:-1 gene:Solyc12g042830.2 transcript:Solyc12g042830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGLVLKNIYKKSNASVRHLSNNVQKQGWCSELVRRFSTESEKQQVDGSGKSEKQEVAVSDSGKQSKLFQRRRRRGNLWRRNEYDFAPALVENLPTGLGNALLQATQNINKIFDNFNLNPSQLLGRYKEDDKNYKIRYDVPGLGKKDVKIMVEDGILTIKGEHKQEKEEEGSDDEFWSSTSYGYYNNSIVLPQDAKVDEIKAEMKDGVLTITIPKSDKPKKDVKEIEVL >Solyc03g046598.1.1 pep chromosome:SL3.0:3:18271647:18272312:-1 gene:Solyc03g046598.1 transcript:Solyc03g046598.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRRPKVVVVVFTVKENKLLIGKRQACVAHSYISVFSGHLEFGESFEECATREVKEEMGLDIMKIEILKVTNNLFLYDETNLALHYVAILVHVVLVDPNKIPHNLESQNVMDGNFMIAKIYQTLLSHNLKVLLKKVLILSKIILKNIDH >Solyc09g091910.2.1 pep chromosome:SL3.0:9:71597748:71604295:1 gene:Solyc09g091910.2 transcript:Solyc09g091910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CWL7] MKYFGVVGLILIWFLVFVSFFEVNEGQILTTLDGPFKPVTVPLDQSFRGHAVDLPDTDPRVQRTVKGFEPEQISVSLSATYDSVWISWITGEYQIGYNIKPLDPSKVGSVVQYGKHIFSLRREAIGQSVIYNQLYPFEGLQNYTSGIIHHVQLTGLKPDTLYYYRCGDPSIPAMSTTYHFKTMPISSPKSYPKRIAIVGDLGLTYNTTSTISHLMGNNPDLVLLVGDVTYANLYLTNGTGSDCYSCSFPETPIHETYQPRWDYWGRYMQPLVSKIPIMVLEGNHEIEEQAENQTFAAYRSRFAFPSKESGSSSPLYYSFNAGGIHFIMLGGYVAYNKSDDQYMWLEKDLANVDRTVTPWLVATWHPPWYSTYTAHYREAECMKVAMEELLYEYGVDLVFNGHVHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIEHADEPGKCPEPSSTPDKFMGGFCAYNFTSGPAAGNFCWDQQPEYSAYRESSFGHGILEVKNETHALWTWHRNQDMYNKAGDVIYIVRQPEKCPIKPKVIKPWLVQNYKFDLIKKLI >Solyc01g095490.3.1 pep chromosome:SL3.0:1:86607518:86612312:1 gene:Solyc01g095490.3 transcript:Solyc01g095490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC31 [Source:UniProtKB/TrEMBL;Acc:K4AZT8] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYTGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARVWTQKYAMG >Solyc07g052570.3.1 pep chromosome:SL3.0:7:61181684:61188578:-1 gene:Solyc07g052570.3 transcript:Solyc07g052570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIICPIDAQYSDQIAALLKPPPPLEKYFEELLATRQCDGIKVKPTPRYGKGVYAETDFKEEDLVLKDQMLAGAQHPSNKVDCLVCSYCFCFVGSIELQIGRKLYLEQLGVSPIDECHMQKDCYNSDSSVGEDDSDVEDQQVSGECASSPSKDKISLPKDVVESLFNGEMRLPYSEKFSMPPIVSCPGGCKENYYCSKSCAEADWESFHSLLCTGEGSKSLSTKALQKFIEHANDTNDIFLLAAKVISFTILRHKNLKESRHEGKGKQVISESIDFSLLGEAWKPVSMGYKRRWWDCIALPADVDGSDEASFRMQIKELALTSLQLLKEAIFDEECQPLFSLEIYGNIIGMFELNNLDLVVESPVEDYFLYIDDLPLSEKGEVEQTTKPILDALGDDYSICCQGTAFFPLQSCMNHSCRPNAKAFKREEDRDGQATIIALQPIAKGEEITISYIDEDLPFEERQALLADYGFRCGCSKCLEET >Solyc11g072360.1.1.1 pep chromosome:SL3.0:11:55853099:55853425:1 gene:Solyc11g072360.1 transcript:Solyc11g072360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRITEVREEFYGTLSSKKPFNSLEKLEFAEMPEWKQWHVLGKGESPALHDLFIDDCPKLIAKLPEKLCSLRGLRISKCPELSTETPIQLSNLKEFKVVASPKVGVSF >Solyc08g082930.2.1 pep chromosome:SL3.0:8:65701933:65712228:1 gene:Solyc08g082930.2 transcript:Solyc08g082930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSMAKETLKRLCRSHGWSYGVFWGFDQTNSLLLALQDVYYEEQMGSVIDEMLLQVHILGRGIIGQTAFSKKYKWMFTAANHERQISIRSSNNSNLFLDDNEFEQQFSAGIKTIAVLSVEPLGVLQFGSTNKLQESTCFVEQARTLFQGIGGSPTSSSCENLHFVNSTVFPTANNESLMKESHFLENLIQSVTCNAESQIMNSDVATAFLSENQFQDVNQFNNCSSQFDTQLQQAMFPSAGLFTSFHDSCLTSTWEDLPSDMSIQDFSYVLPTGINQFEYGTGATQSFHDNTTFGSLGGFGVLANEDTTGPLNGYIVQCPINQRNDGAVSTISDNILDTTGIISASAGINEQFRFNSDSDASVSIQSSITNAFETVEKANCSNMSAIEKMTNLVGVKHDSKKPCNWGDVSNPVVSTSNSEWTYSNANELRSRPANRLFSKLGLDQFLDGALSSSYSFAGSFSDGQLSETNKRRRVGSSSECNYLQKPLGFSNFDKNAKLVQPECGLDRTSNLEAKSEIITKLDASTLIGDRCSINNCRGNEKSSKPTKKKAKPGTRPIPKDRQLIYERLSELRGLIPNGEKMSIDRLLHRTVKHLLFLQGVTKHAEGLKKAESLKDSETRLNSKSNGNGVTWACEIGDQTMVCPLIVEDLSTPGQMLIEILYNEQGFFLEMVDIIRGFGLNILKGVMQSRETKMWAHFVVEAEGNRLVTRHEIFSSLVQLLHLTSASKVGLNNQLQYTSGGRNTLINDCPNSAVPISGCLPETIRCVR >Solyc02g093790.3.1 pep chromosome:SL3.0:2:55143654:55161290:-1 gene:Solyc02g093790.3 transcript:Solyc02g093790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDREKEREVELESAMYTNCLLLGLDPSIIGIGAGNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPTQSAKVIATFRPVNDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRMFAADVVSNPLPASLTDVAFSHAATLLPVTKGVLVTLNLTALSPVAKARIALERRRFLSNAETAVRRQAMWSNLAHELTAEFRGLCAEEAYLQQELEKLQDVRNKVKLEGELWDELVSSSSQNSHMVQRATRLWDSLLSRKNQHEILASGPIEDLIAHREHRYRISGSALLTAMDQSPVAPPPHLASSHQAVRSQADVDREKHARSSDSSHIQVDDNTFSRVDERVARGHPTVDIAEVLRRWTHALQRVHKQSLQLAKANDGEGPELLRSSHDGGTGGHAESLAATLAEHKQHLASIQVLINQLKEVAPSIQNSILELTEEVSSISSNSSRTANFQGRSHSQAQSSGRTLEHRDDEVAEMSSRLSSMQFEKTSASPTTLKLPPLFSVTPNSLGKGGAQKQQISSQTSQIEIMPERKSLDQQFLNNSFDNSPQDNDTSFVQNLKRSVREAALRSESYYQGSSQDSRSDDSSEHYFEPVSGLGFSQHGDRANLLRRKKLFVSEPDSSFLGTGAPDSHMNIKSDGIPDLLHDLQSVDDYDGFLSTMGSNSSFSDAHRSFYDLEEAKDQVFSPPLLMDASLLADSYEDLLAFIFCISFDWEEQRELIAHCSETGRTASDSCALYTAGIGRTHYIPLDPQVPCDHRMCYILCCPFFCNNSMDTVEEILNRLPRKPSKSAENRDGGSSASPSNASTGSRSSDLSTAQSGNSSATTVSGVTSSTLGPNHGNRLPQGANAKVNGSAAVFLCETLPSFKDVPTAEKQNLFIKKLNLCCVLFDFTDPTKHLKEKDIKRQTLIELVDYLSSANGKFTETVIQEIVKMVSSNLFRSLTPQPRENKVLEAFDLEDDEPLMDPAWPHLQIVYEFFLRFVASPETDAKLSKRYVDHSFVLRLLDLFDSEDPREREFLKTVLHRIYGKFMVHRPFIRKSINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVHMYHQQLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVVFLGELEEVLEATQPPEFQRCMVPLFHQISRCLSSSHFQVAERALFLWNNDHVENLIKQNHRVILPIIFPSLEKNARGHWNQAVQNLTLNVRKIFSDIDSELFEECLQKFEEDEAQEEEVKRKREITWKRLEELAGVKAASNEPVSLYGNTNQVGYQVSANIEARTVPTELRNWRSSRFYSLLSMRGSSSFGGAALESLYTVNIYALTLQLGMKGVGESDSQSGGARGRRRELREMPSVAVKLYSVFFKFMLKHRLQNRTQIPVDDANAGTSFGVTSRPDEESTAASNPLFTDGVATKDIHIDPTTSVSIRIFLPETSLGSPDSDLRAQSKSRAKASRPDSLTGSDLRRSSYGAATTDNVTENRNRNSFNHRRNSYGGNVDDLALKSENGVYRGYAPAGKNCRKLPVMLQFHGGGFVSGSNDSVSNDFFCRRIAKLCDVIVLAVGYRLSPENRYPAAFEDGLKALHWLAKQANLAECSKSLGNRRGGADVKKSDSHGHIADAFGASMAEPWLAAHGDPSRCVLLGVSCGGNIADYVARKAVEAGNLLDPVKVVAQVLMYPFFIGNVPTHSEIKLANSYFYDKAMCVLAWKLLLPEGEFNLDHPAANPLVAGRGGPPLKRMPPTLTIIAEHDWMKDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKHISLRGHEFSY >Solyc05g055420.3.1 pep chromosome:SL3.0:5:65918338:65938100:-1 gene:Solyc05g055420.3 transcript:Solyc05g055420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSASSSLTNLSYISFQYKNPRFSTTLSSVDSSKCTTLRITADSAPKARFIGRRKESLSVKQLQRPLMEYMSLPASQYSVLDAERIERVDDSTFRCYVYRFKFFAFEVCPVLLVRVEEQPDGCCIKLLSCKALEVHDLLRTAMYPTLALLVKREKSPIERMNASVLSHMVDYRIIASALTWGVKLDVPISSSHYGHAASMVNKISYDSKLRDSPLQQLTSDAIIEVNIEIPVAFRAIPVQAIESTGSQVLDQILRIMLPRFMAQLVKDYQAWASGDTSRQPLGTGVVTVKLSGHVFSSFGGDDIFSIYNSGVQTRLHALRLFHRHVPNEISLAATGDTFRRHLSPSLLMGSSPIVVSGSSGTRNRPNNNKSKNDPCVSSSGFVYLLVAFVVLGSIAGIYVRFMMTPNVHASISSIGCKEDDEGSWSIGVFYGDSPFSLKPIEDMNISRDKKAAWPVANPVITCASASVAGLSSNFVADPFLYVKGDVLYLFFETKNSITMQGDIGVARSTDKGASWEQLGIALDEDWHLSYPYVFEYNDNIYMLPEGRAKGQLRLYRAVNFPMKWTLEKIIMKKPLVDSFIIPHDGKYWLFGSDHSGIGALYNAQLEIWYSRSPLGPWRPHKKNPIYNTDKSMGARNGGRPFSFDGHLYRVGQDDGETYGRRVRLFKIEVLTTDKFEEVEVPTSLKESIKGRNAWNGARSHHLDVQQLSSGEWVGVMDGDRVPSGDASRRFHLGCASVLGVAALVILFGVSLGAVKGLFPLSWCPHNVGKRSDASLDWERSSLFYSRMRLFCSHLNRASSSLRARIKPNSCSGSLVLTSIFLAAVVLMCTGVKYLYGGSGAHEAYPLHDQYSQFTLLTMTYDARIWNLKMYVNHYSRCSSVREIVVVWNKGQPPELSEFDSAVPVRIRIEERNSLNNRFKVDPSIKTRAVLELDDDIMMPCDDVERGFKVWREHPERIVGFYPRLANGSPLKYRAEKHARKHNGYNMILTGAAFMDTTMAFEMYWSKEAAPGREVVNNLFNCEDVLLNFLYANASSSNTVEYVKPAWAIDTSKFTGVAISKNTQIHYGLRSSCLQKFSEMYGSITNRKSEFNRRMDGWDV >Solyc11g061760.2.1 pep chromosome:SL3.0:11:48687936:48690237:1 gene:Solyc11g061760.2 transcript:Solyc11g061760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYSQEHIYRHPWERVTSASWRKFADPVNKRVLSHILEVDTLDHKLDANLGKLYTTRAITIHAPGPWFVRKIIGQDICHCIESTVVDAQSRSMQLSTRNISLQNFIEVEEKIRYDPHPDNPNSWTVCKQETSIKIKPLSALASMAEKIEQKCVEKFQSNSAKGREVMERMCKYLE >Solyc02g083480.3.1 pep chromosome:SL3.0:2:47424105:47426048:1 gene:Solyc02g083480.3 transcript:Solyc02g083480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BAL6] MSSSSALILFISLLIFSQGNALSSNYYENTCPEVEDIVTQVVTEATKKDKTVPAALLRMHFHDCFIRGCDASVLLNSKKNTAEKDGPPNVSLHAFFVIDNAKKAIEARCPGIVSCADILAFAARDSVVVSGGPSWDVPKGRKDGRTSKASETILLPAPTFNINRLQQSFSQRGLSLEDLVALSGGHTLGFSHCSSFNNRIHNFNTTHDVDPTLHPTLAATLKSICPLKNRAKNAGSPMDPSSTTFDNTYYKLILQNKSLFSSDQALLSNPKTKSLVTDFASSKDAFFKAFANSMIKMSSINGGQEVRRDCRVAN >Solyc07g054440.3.1 pep chromosome:SL3.0:7:62875761:62883499:-1 gene:Solyc07g054440.3 transcript:Solyc07g054440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYANSYSGRVFNDKNGNSYSGRVYGDRHWKIPFFVSLLVSITLFTTTIFGLYSSSYGRNQVQLDMVSFARPAESDGYFVESDFQSFQSNGFAKNEPPRFAYLISGTKGDSHRMMRTLQAVYHPRNQYILHMDLEAPPRERLNLTISVKNDPTFRKVENVRVMAQSNLVTYKGPTMFACTLQAISILLKESAKWDWFINLSASDYPLMTQDDLLHVFSNMSRNLNFIENMLLHGWKLNQRAKPIIIDPGLYLSKKSELATTSQRRSLPTAFTLFTGSAWVVLTRSFVEYCIWGWDNFPRTLLMYYANFVSSPEGYFHTVICNKEEFRSTAIGHDLHYIAWDTPPKQHPVSLTVKDFNKMVNSSAPFARKFRKDDPVLDKIDRELLGRTHRFAPGAWCNGTSADGADPCSVIGDDSVFRPGPGANRLQELMNKLLSEDFRSKQCSTKS >Solyc04g076700.3.1 pep chromosome:SL3.0:4:61665589:61681439:1 gene:Solyc04g076700.3 transcript:Solyc04g076700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEAGVIIFSSTGKLYEYSNTSMKSVIERYNKTKEENHQLETPTSEVRFWQREAAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLETSLRGVRVRKDEILIDEIQELNRKGNLIHQENMDLYKKVYGNRDANGVSRSPLVANSLSINEDLHAPVHLQLSQPQQQNYETSGTTELGLQLHSQ >Solyc06g065510.3.1 pep chromosome:SL3.0:6:41010510:41013728:1 gene:Solyc06g065510.3 transcript:Solyc06g065510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRTLRIQEEFCTRTIHGHKPHKNLNPPLSLCGANAMKTLNSTHIRRSIISLTSLVSHLTTKNPTFKLFSTQSWLKSTRGKPLMKLPKLNYPPKQPTPLFSPPPSTHQPETPNYCPTDFTTLSEILRDPTIPPGPALENALDRAGIEVNECMFLQLFNHFDSSPKPLFTLYLWAEKKEWFKFSLPVFNAVVNALGKEREFDSAWNLILDRLNSTERPNLGTFAIMIRRYSRAGMLLPAIRTYEFSTNLEIHGLGLEDNLFEILLDSLCKEGHIREASDYFYRRKGKDLNWSPSIRVYNILLNGWFRSRKLKKAERLWTEMKKEGIKPSVVTYGTLVEGLCRMRRVEMAIELIDEMKEEGIHPNVVVYNPVIDALGEAGRFKEASGMMERLLVLESGPTLSTYNSLVKGFCKAGDIAGASKILKMMIDRGFMPTPTTYNYFFRYFSKFGKIEEGLNLYTKLIESGYVADRLTYHLLVKMLCEQDRLDLALQIIQEMRTKGFDLDLATSTMLIHLFCKMHQFDEAVEWFHDMIRRGVVPQYLTYQRLCNDLAKQGMNDNAEKLRNMMVSTPYAEKLPNTYIRDGDTSHSRRKSIIAKAEEMSNIIQTCRSPRQLIKRRTPPENAVLSANQLIENISERVE >Solyc04g056330.1.1.1 pep chromosome:SL3.0:4:54012258:54012773:-1 gene:Solyc04g056330.1 transcript:Solyc04g056330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKSDSHFIFTYGTLKRGFPNHSLMENLISGGDVVFAGEYTTVETFPLCCGPYGIPYLINLTGSGHRVRGELYKVNNSGLGPLDDLEGIEIGHYERLPVTVVGDGGEPVAAEAYFAHRSFGEGMWKRCGEVGIEEFSMELGKKYQRKEERPSNHDFLQHIRNFISNGE >Solyc03g123710.3.1 pep chromosome:SL3.0:3:71964338:71966702:-1 gene:Solyc03g123710.3 transcript:Solyc03g123710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLKVAQTTAKVVFRHSALRSVGKAANRSAVHGTKLPIPDVVDKEQVEVEINQAREVKEKKRREMENAIDSQLKNWNVIRFSS >Solyc01g015170.1.1.1 pep chromosome:SL3.0:1:17320267:17320419:-1 gene:Solyc01g015170.1 transcript:Solyc01g015170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRSYVCETFGGELLQPLYKIKFQSYFWLKNYIIYFYKKGEGILLFYSL >Solyc06g011520.3.1 pep chromosome:SL3.0:6:7972995:7976213:-1 gene:Solyc06g011520.3 transcript:Solyc06g011520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPVCSPYFDPDFDSLAERINGPKCRVTIDNESLENCTVVKIDSVNKQGLLLEVVQVLTDMNLIILKGYISSDAGWFMDVFHVKDELGNKVTNQRVVNYIQKAIGANREGIQKSMTGSRKHLKCDEPRAIEMTGRDRPGLFSEISAALADLHCNVVEAHAWSHNARLACVVYISEESTDKPIDHNRLAAIEDHLTTVLRATTSPRSTNEENVKQQEVKTAYGLINPEGDQGTVTDVERRLHQLMLSVRDFESPIRMGLIESNKEDKMLSVCIENCHEKGYSIVSVQCKDRKRLMFDTVCTLTDMQYVIFHASVDSRGGYAFQEYFIRHVDGYAMSTQGEKERVIKCLEAAIERRVCEGIRLELCANNRIGLLSDITRVLRENGLAVVRADIATEGGRARNTFYLRDISGNNIDMKFVKSMKTEMGEIDVAVNNETATTPTTTTNTNNSLSMTRPSSFRSLGDLMKYQFEKLSHNFVAI >Solyc10g078730.2.1 pep chromosome:SL3.0:10:60591395:60595950:1 gene:Solyc10g078730.2 transcript:Solyc10g078730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAVKREPVDTQGNGVKTRRNAAAAAEAVAAAVAGPSNRNNNNSSVEEETIVGRRVLRSHYLNFKNRISDERDNISTVDSDRFESIIEEVERLHQQVQKPREQVADAEALLDITNTLVTTVKAHSNGGVTPSDFVSCLLRDFGQEAGSSRRTEEDGNNVHWYNVGRVVSHVFRGAPGCCTMIGPMNTEIKQRNPVVRKKRVIPTESEHPEELDEPDGEGKTDTDKNMATMFQILRRHKSAKLENLILNRRSFAQTVENLFALSFLIKDGRADITVDDKGCHRVSPRNAPASNAVLSGEVSYNHFVFRFDFQDWKLMLASVAVGDELMPHRNEADIPATSQPASSTVDKEQAVSTTPIRKLSRNRGLVLQEQTVVEDSPENDNSARAAAIRKGKRKLTQD >Solyc01g013850.1.1 pep chromosome:SL3.0:1:10190465:10191321:-1 gene:Solyc01g013850.1 transcript:Solyc01g013850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQNFHLESLEELGKSILRYAISIQLFKTYENYHEVFSTLRKSFPMLHFSIKASLHEHILHASPDLQWQICYTVENFEKLDIVSTF >Solyc07g055620.2.1.1 pep chromosome:SL3.0:7:63721626:63722123:1 gene:Solyc07g055620.2 transcript:Solyc07g055620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDFSVTPNLERLVLEECTSLVEINFSIGDLGKLLVLNLKNCRNLKTLPKSIRLEKLEILVLSGCSELRTFPEIEEKMNRLAEHATALSELPASVENFPGVGVINLSYCKHLESLPSSIFRLKCLKTLDVSGCSKLKNLPDDLGLLVGLEELHFTHTAIQTIPYSM >Solyc03g114590.3.1 pep chromosome:SL3.0:3:66019605:66025841:1 gene:Solyc03g114590.3 transcript:Solyc03g114590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDAVNGGGDVWINENRFKIVRQLGEGGFAYVFLVKEVISDPSNPGVSKKFKDSSHISDDGTYAMKKVLIQNSEQLALVKEEIRVSSLFTHPNLLPLLDHAIIAVKAGPDQSWKHEAYLLFPVHLDGTLLDNATGMKAKKEFFSTSDVLQIFRQLCAGLKHMHSFDPPYAHNDVKPGNVLLTHRREQPPLAVLMDFGSTRPARKQIRSRQEALQLQEWAAEHVSAPFRAPELWDCPSQCDIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPKPPYPEALHQFVTWMLQPQGTVRPRIDDIIIHVDKLISKFSR >Solyc09g062980.1.1.1 pep chromosome:SL3.0:9:61121741:61122070:1 gene:Solyc09g062980.1 transcript:Solyc09g062980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWSFKLVGFVGNVNPNRSGRPYSGHRLLFLRKKKDVNGGVGQDLEGVWVILLVVSATCLMVVSAGVSSQPQGKRKNNSLSVLGGSFSYLGSGISPKTAEWKWLLWVV >Solyc12g035590.2.1.1 pep chromosome:SL3.0:12:42514048:42514251:-1 gene:Solyc12g035590.2 transcript:Solyc12g035590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVFHTYLRVEAPKGELWIFLIGDLSVFPLRWTIRPPGFINLQILPQFVKRMILADIMTILGSIDIIK >Solyc08g045710.1.1 pep chromosome:SL3.0:8:17143009:17143314:1 gene:Solyc08g045710.1 transcript:Solyc08g045710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIYLRGIRPAINVGLSVSRVGSAAQVKWRWLG >Solyc02g061815.1.1 pep chromosome:SL3.0:2:33872658:33873963:-1 gene:Solyc02g061815.1 transcript:Solyc02g061815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFDIILGLEFWYEVNAFISPRHNQLHISDVGGSCVVPLIRVPQTGMQLSAMQIIKGFKRGEPTFIATLIEDAGSCDEAVPLPPCIEHVLSSNKDVMPAELPQRLPPRREPKTTCVTRYGAFDWLVMPFGLTNAPATDNMEDHVEHLCKVFEILRNNELYVKREKCSFAQPIIRFLGHTISHGKIQMDSDKITAINNWEAPTKVPELRSFLGMANYYRRFIFNYSAIAAPLTDLLKKDRAWNWSAACQTAFERLKLAISPSHSKSTRMHRTSLSVAS >Solyc11g020305.1.1 pep chromosome:SL3.0:11:10800115:10810938:1 gene:Solyc11g020305.1 transcript:Solyc11g020305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDIYETTRQDSVRDTSIVGTSGAKNDVLDLDLNFGEIGNVNIRSQLGRNLNCRYQFPSKQRLALVLPLCELGQDLNLEESEMTISGKALLIKPRITLSDEVSTPPMAKIGVTTYVI >Solyc06g048680.2.1 pep chromosome:SL3.0:6:31524424:31533363:-1 gene:Solyc06g048680.2 transcript:Solyc06g048680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYENALHSLLSESNIQLPEKVLQEVLRCYSIPENMFGRVCIIIDKVRDFHIAKREGDIGSYAGYIGFAFMFGRTLTSAFWGVVADRYGRKPVIIFGTSIVYVRDFHIAKREEDIGSYACYTGFAFMFGRTLTSAFWGVMADRYGRKSVIIFGTSIVVVFNTLFGLSTNFWMVVVTRFLLGSLNGLLGPIKAYAAEIFREEYQALGMSTISSAWGIGLIIGPALGGFLAQVKLPEKVVVPAVYAFGDSIVDQGNNNYIATTIKCNFPPYGKDLRDGIPTGRFSNAKTPPDLIAEELGIKGLIPAYLDPNLKDEDLKTGVSFASGGCGYDPLTGSAFSILHLSDQLTLFQEYIEKLKRLVGKQETTHILNNSFYMVVAGSNDFLNNYYVTGFRKHQYDINSYIDHIVSWASNFVQELYELGARRIGIMGLPPLGCVPFQRTLQGGIISRVCVDEYNQDAQLANTKFAVAFGLLSKSLPQSKLVFIDIYNLVTDFIVNNTKYGFEEVDKGCCGTGTIEVSTLCNKYSAICEDDTKYLFWDSFHPTEKGYKVLIDHIFKTQMKNLL >Solyc04g024785.1.1 pep chromosome:SL3.0:4:28906603:28908111:1 gene:Solyc04g024785.1 transcript:Solyc04g024785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYTKFVSNHQVLQPRLLGLHYNVTSYFGTIIGRVANRIDGAQFNRNGTLYKLHPSEGKNRYMLRKYAHKGSHPYITLSYFSDDSEEGFPGPVLGPVTDSQIKTKCIHSISSLVVYETFFFEIMHFSVIPIHLSPLFKASVALGPRLEIWKILARFYVSK >Solyc04g025180.3.1 pep chromosome:SL3.0:4:25268038:25282261:1 gene:Solyc04g025180.3 transcript:Solyc04g025180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETHRRRKSPTFAIIVFLVSLVNLHLSSVKFVLSQSIVNGVGTASQLDNPAVLDLVTRTVYDRIYNLTLGLFDNQFSDKFKFCILNRDEEWNHAFNYSSNLAFLSACVTRTKVTSSDDKFAVVAFTFILLDRQSCSYLMLLANQRQAELLSLEWLALRFSACPKCCKNGQWPSEVSAQIPAWVVAGMVRAPLVAGHRRLLWLINQIWSHIPLVTRRDYTGRVTEIPSSFLMKRNIKFFYAYAEGQSVIGAFAVNYTEGRRHLDSCEPGWACSTNSDQNPDLRNSREMPARTLACQACCEGFFCPHGLTCMIHIVINYLLGSQVILVVGQTFGLMFAAAQRNYCPTGSTAEKRCFKLTSCNPNTASQNIHAYGAMLIAALATLLLIIYNCSDQIITVRERRLARSREAAAKVVKEKIQARARWKSAKEAAKKHAVELQGQFSRKFSRKRNITVSDKVTVLNEEYTDTDGNPYPLNEQSTSLVSNKSQSASEVEEIGSSPLMTMINEIEEQTFDSSESFSLEIKERNLKTKKAKGKDIHTHSQIFKYAYAQLEREKAQQQQNNNLTFSGVISMATNTDYKKRPVIEIGFKDLTVTLKGKRKHLLRSVNGKIMPGRITSVMGPSGAGKTTLLSALAGKTVGCTISGSILINGKSEPIRSYRKIVGFVPQDDIVHGNLTVEENLWFSARCRLSADLQKQDKVLIVERVIDFLGLQSVRGSLVGTVEKRGISGGQRKRVNVGLELVMEPSLLFLDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPR >Solyc08g080830.3.1 pep chromosome:SL3.0:8:64132666:64137812:-1 gene:Solyc08g080830.3 transcript:Solyc08g080830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCVVFQVLVTVGLVLGICDAFPSNEVYALNTFKEAIYEDPLLVLSNWNGLDSDPCGWAGVFCSMAQDHVIKINISGASLKGFLSPNLHLLSSLQELILHGNVLIGTIPKEIGLLKNLKVLDLGANQLTGPIPSELGNLTKIMKINLQSNGLTGKLPAELGNLKYLEELRLDRNKLQGLVPANTGSDFTSAVRGMYASGASATGFCRTSQLKVADFSFNFLIGSIPKCLGYLPKSSFQGNCLQHKDPKQRSAALCGSTSPPTSHASTLSNKHQPVEEPRHQTSSKPTWLLALEVVTGVMVGSLFIVGLVTGLQRLKNKSSIIPWKKSGSEKDHMTIYVDTGMLKDVVRYSRQELEVACEDFSNIIGSSPDSIVYKGTMKGGPEIAVISLCVKEEHWTAYLELYFQKEVAELARINHENAGKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMKIVIGIAKGLTYFHSELDPPFTISELNSNSVYLTEDFSPKLVDFESWKSIISRSEKNSGAISSEGAICVLPNTLESRRLDVQGNIYAFGVLLLEIISGRPPYCKDKGCLVDWAKEFLEMPEVLSYVVDPELKHFKQEDLKVICEVINLCIHPTTSRRTSIKDLCAILESNIDTSITAELKASSLAWAELALSS >Solyc06g074180.2.1 pep chromosome:SL3.0:6:46010970:46013972:1 gene:Solyc06g074180.2 transcript:Solyc06g074180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSYQSDDTEAFDIIGNKEIKMMPFGAGRRSTLWLIWSDIFSGSGNNVDLSEKLEFIVTMKNPLCAHIRPRKKFPPGPFAFSVITGLLRANADIELILRDLKTKYGPIFNLRIGIGFRRPSIFVASHSLAYQALVQQGAVFSDRPKAAQTSVSLQSCRFNISSAPYGPSWRLLRRNMVSEILHPSRIKSYSKVRSRVLTILIQQLRSDSAATEGIRLIDHFHYATFYLLVLMCFGENIDEAQVKRINDVQRRWIQSAGRFINLSFFPRSLQKIIHRKKWKELLQLIQEQECVFVPLIEARMKAKTEEDVVAYADTLLNLEFPEKKRKFNQGEIVSLCSEFLTAGTDTTATSLQWIMANLVKYPSIQEKLYQEISEIVSRENSKPDGLKEEDLEKMPYLKAVILEGLRRHPPGHFLQPHTVTEEVELNGYVIPKDVAVNFMVADMGLDPQVWENPLDFNPDRFLSAGGHDKEVFDITGVREIKMMPFGAGRRVCPGYGLAMLHLEHIVANLIWHFEWKAVDGNNVDLSEKLEFTVMMKNPLCARIRPRVNQPT >Solyc06g068040.3.1 pep chromosome:SL3.0:6:42281716:42285950:1 gene:Solyc06g068040.3 transcript:Solyc06g068040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYNVSIFASLLYNTIFSFLLFVNVNSFDSFIQFPTLEDSRGKSVSLLYVNEFGAKGDGITDDTKIFQYVWKRACASFLRPKIIVPIGYSFLVQPIDFVGPCRSKISLIIEGSIVAPKDPKVWDKLDAHKWLYFVKVRHLTVQGGGTINGMGQKWWATSCKINTTNPCRHAPTAMSFHKCNNLKVRDIRMLNSQQMHISISNCINVEVSRMIVEAPAKSPNTDGIHITSSIRVAIKDCTIRTGDDCISIVNNSSSILVKNIACGPGHGISIGSLGKSNSWSQVHNVCVDGAYFSNTENGVRIKTWQGGRGYVRRISFMNVWMENVSNPIIIDQYYCDSPFPCPNQTSGIHIHSVSFIGIRGTSATKNAIKFACSDSSPCKKLFLKDVQLVSYSGLPTASFCWKAYGSTSGLNNPPSCFNFVEQTTKNLSNWSDQFI >Solyc02g005335.1.1 pep chromosome:SL3.0:2:8119196:8120781:-1 gene:Solyc02g005335.1 transcript:Solyc02g005335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNFHLLRYGEPEVTITSNKDTQVVYVEVENFAVDQVLEPNPTLEVYDNLPAVPSHVDSSIRKTSKSLTGAKIASTPTESNLRLISVEYDLETGYTGDVVLYDITSYQRLVGKILYATITRPDIRYAVQNLTQFMQSKKSHLEADTRVVRYLNGIVGEGVWLHSEPTNILTCWCDSYWAACPNTRRSIIGYVIKF >Solyc04g008070.2.1 pep chromosome:SL3.0:4:1730397:1736045:1 gene:Solyc04g008070.2 transcript:Solyc04g008070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKLHAEAVQPAVQQPKEILPAVKRQLRSKLPRRKRSHISPILRSFSIAASSYLTSEVSRQSSKGSVNKEVKKREIEGEEFRRITRAYFRKKLLVDQKKDSEVELSECSCVDSCSEVIGKIIKIEDPVDISRDIVSKRNRNAKVIEGTEDSEVISRFLKASGGFCGESSKSGEDAVARSRNAAKIIHEDVVSFNSVLQSPSESKCGNLSVQSIKCSENRAAEEVESEVSRVCPEVELSAVEQAHEKLVEAELDLECSENFSIVDVSDDYSSAYSELQSEIFPESSDIDISDYSPSYWYDSGSQFSEKSNADASPSPTFTLFLRFGQQFCRSTAALQSTPINSSEDQISTEFTGLEDEEDEESYRMIRNRERRQLYLHDYAEEYCSTTDYGDLIVQQRLQMVHWILEQATRKDLQKETMFLSVNLFDRFLSKGYFKTKRCLQIAGIACLTLAVRIEENQPFNSIRQKTFSVAGTTYSCSEVVAMEWLVQEVLNFQCFLPTIYNFLWYNESSIYFIWRLSQLHRFYLKAATATEYMEKTAKYLAVLALLGHEHLCYRPSTVASALVILALSAANLYASCHLVTKTHAKIEDEDLPECIKDKTEYQMRESLSMAKPLDTFRNGKNGLGPNFASLGFPCLWSQI >Solyc09g055990.2.1 pep chromosome:SL3.0:9:46853170:46858655:1 gene:Solyc09g055990.2 transcript:Solyc09g055990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLDAAGKTTILYKIKLGEIATTIPTIGFNVETVEYKNKSFTVWDVGGHDKSQVPQISYGKPIHKFYWVLHKETVIEHITPSNGVEKPLPPPTKLNSTTSDRIPHMMLDSAVEIDILAIVLRCGPKKYTGEITICDNQEIEGNEIEAKMAKETDLIVILGRSIGISTFQGTCIEFFANII >Solyc03g058247.1.1 pep chromosome:SL3.0:3:25812420:25813403:1 gene:Solyc03g058247.1 transcript:Solyc03g058247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTLIPIVVKKPRTRSYLVNKSHYGKRKLNIYGLTEQRLLKYVRIARKSKPFRLGMASTIPIAHKLVNHRHILVNAHIVDIPSYRSIALIQISLASSPREELPNHLESLVVEYYKYHT >Solyc12g006640.2.1 pep chromosome:SL3.0:12:1107882:1112108:-1 gene:Solyc12g006640.2 transcript:Solyc12g006640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPLHLKSLNHISIVCRSLEKSLDFYQNILGFFPIRRPGSFNFDGAWLFNYGIGIHLLQSEDPNNMPKINIINPKDNHISFQCESMTMVENRLKEMETEYIKCRVEEGNIYVDQIFFHDPDGTMIEICNCDNLPVIPLSGGETVLRPCSTLHCTMQQNKIQQMV >Solyc09g061440.3.1 pep chromosome:SL3.0:9:59344985:59357097:-1 gene:Solyc09g061440.3 transcript:Solyc09g061440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAASTCKKKKNPIELSVKSIRSEIENMSGVFTISSLYAPAPAHTHRLQPNCIIPPWNSSFPITNRSGNVAPLNFTCNFSSNPPPGENESKNVLDAFFLGKALAEAVTERIESTVGEFLSTVGRLQSEQQKQVQDFQEEILERAKQAKEKAARETMETQGLISNSYEADTSTATAASASGSVASPPLTNINSQPAKDSEIGISNGD >Solyc04g009690.2.1.1 pep chromosome:SL3.0:4:3041607:3044153:-1 gene:Solyc04g009690.2 transcript:Solyc04g009690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRKRDTYGITNINSGDQGPSNQVTTLRRTTSYVDDHDYIFVGLQDVVQTLLGQLLKPEPRRTVLSIYGMGGLGKTTLARKLYTRPDILNSFHTRAWICVSQEYNTADLLRNIIKSIQGRTKETLDLLERMTEGDLEIYLRDLLKERKYLVVVDDLWKKEAWESLKRAFPDGKNGSRVIITTRKEDVAERADDRGFVHKLRFLSQEESWDLFCRKLLDVQSVVPEMESLAKDMVEKCRGLPLAIVVLSGLLSHKKGINEWKKVKHHLWKNIKEDKSIEISNILSLSYNDLSIALKQCFLYFGIFPEDQVVKVDNIIRLWMAEGFIPTGEEMMEDVAEGFLNELIRRSLVQVVDTFWERVTECRVHDLLHDLAIQKALEVNFFDIYDPKSHSISSLYIRHGIHSHGERYLSLDLSNLKLRSIMFFDRDFRKTSLINFSSVFQHLYVLHLDMNVGNMSINVPDAIGTLYHLKFLRLRGIYDLPSSIGNLKNLQTLLVNDYGYLCQLPRETTDLINLSHLVAPYTKPLVHISKLTSLQVLKGVSCDQWKDVDPIDLVNLRELSMHYIDKSYSLNNISNLKNLSTLRLSGGYGNSSPFPSLEFLNCCEKLQKLWLRGRIEKLSHLFPSSITMMVLWDSLLREDPMRILGMLPNLRNLDLVRAYEGTQLMCSDNSFSQLQFLILYDLEKLERLYLGTNAMPLIKGLGIHDCRNLKDIPERMKDVDLLKRNYYKW >Solyc03g095730.3.1 pep chromosome:SL3.0:3:58330420:58332646:-1 gene:Solyc03g095730.3 transcript:Solyc03g095730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LITLQKQSLGLPTPKMTTAAGNGLFKFLSPKRRPQSTDIQAAAGWGVAAVTGALWVIQKTIKMDKEKDRNNTQLFALAKYRFTFFDPCNPWDFLRKTFIEKQEEEK >Solyc04g081890.1.1.1 pep chromosome:SL3.0:4:65839842:65840246:1 gene:Solyc04g081890.1 transcript:Solyc04g081890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSVFLALFLPCVGMSAVFLVYICLLWYAAAYQSAGPGAENYHSQKTNQELGLSAAQLDKLPKITGNELLMGNDCAVCLDEIENEQIARVVPGCNHGFHLECADTWLSKNPICPVCRTKLEPEFFNPPESNPC >Solyc01g056960.1.1 pep chromosome:SL3.0:1:57810966:57811863:1 gene:Solyc01g056960.1 transcript:Solyc01g056960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGDAEIKNLLSGCPALVNIVFNRYLELSHDFKFSLVDVSSLVDAKRTLDGTFIKNLEDDYEQYSDEEEDICSDYHQDFNTLVQDYLQNLSRANKLTFGTLFTQLTVSDCKHYTMENPYINFTNPSEQITEFCTFLLSFVSFGSVIFPNQKSVEIVISSGMCVKQHLKWEYQEAFQTFKHFVKECSGLKKSVVVSKRRRCKICRIKCVCPDFIAIC >Solyc03g019750.3.1 pep chromosome:SL3.0:3:62872137:62874296:1 gene:Solyc03g019750.3 transcript:Solyc03g019750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLNGEVDIVIPTIRSLNFLENWRPFFEPYHLIIIQDGDPTKEIKVPEGFDYELYNRNDINRILGPKANCISFKDGACRCFGFLVSKKKFIYTIDDDCFVAKDPSGKEIDALAKHLENLTKPSTPYFFNTLYDPYREGADFVRGYPFSLRAGVPTAVSHGLWLNIPDYDAPTQLVKPLDRNTRYVDAVMTIPKGTLYPMCAMNLAFNRELIGAAMYFGIMGDGQPLGRYDDMWAGWCTKVVCDHLGYGVKTGLPYLWHSKASNPFVNLKKEYNGLFWQEEMIPFFQSVILPKKCTNAQECYLELAKQAKEKLGPVDPYFNNLADAMVTWIEAWEEFNAPANVKNGSA >Solyc06g062510.3.1 pep chromosome:SL3.0:6:39550043:39551108:1 gene:Solyc06g062510.3 transcript:Solyc06g062510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEKLMNQIMELKFTSKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLSSRLDAVVARLDTQAKMTTISKSMSSIVKSLESSLNTGNLQKMSETMDSFERQFVNMEVQAEFMESSMAGSTSLSTPEDQVGSLMQQVADDYGLEVSVGLPQAAGHAISSKDEEKVSEDDLSRRLAELKARG >Solyc06g069790.3.1 pep chromosome:SL3.0:6:43576090:43577685:-1 gene:Solyc06g069790.3 transcript:Solyc06g069790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIVSVLLLALLVISMLANTVMAANGKHHHYAKKYGPGSLKPSQCLPQCTRRCSKTQYHKPCMFFCQKCCNKCLCVPPGTYGNKAVCPCYNNWKTKEGGPKCP >Solyc11g066790.2.1 pep chromosome:SL3.0:11:52880601:52891293:1 gene:Solyc11g066790.2 transcript:Solyc11g066790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIKVTEELVSMVRSIVGDEYTEMDIIRSLHMAKNDPTAAINIIFDTPSFKKIEIRNTHLNSEAGNVSSNSGKIKEAEISTHLNSEAGNVSSNSGKIKEDEISTVSLNEGLDSEREFGDNGLVGKRAGRDMGSECGSNDLVGKRAGCQMGSEWWYVGCGEVAGMSTCKGRIVKPGDEVDFTFPVEKKLSSPSPGKFGGGRGRQAAACSEIVRFSTKACGEIGRIPNEWARCILPLVRDKKIRIEGCCKSVPNILGIMDSVLLSVRVHINSSMFRKSHQTSLKARSNPADDTVIHPLPTLFHLLGLTPFKKAEFTPADLYTRKRPLNEQDSSIGPASILRANLSKSSSSADGNEVDNDESISDTDLDYIVGLADSSKLQEMEPPSTLQCELRPYQKQALHWMTQLERGRNTDEAATTLHPCWNAYRLKDERELVVYLNAFSGDATTEFPSTLEMARGGILADSMGLGKTIMTISLLLSHSERGGSSGSQSTSQLSSENGEASNILGHSPTFVKKSAKVSSLDKLLKHKPKLISGGNLIICPMTLLGQWKAEIEAHAQPGALSVYVYYGQTRSKDAKVLARSDVVLTTYGVLASEFSAENAEDSGGLLSIRWFRVVLDEAHTIKSSKSQISNAAAALIADRRWCLTGTPIQNNLEDIYSLLRFLRVEPWGSWAWWNKLIQKPFEEGDERGLKLVQSILSLIMLRRTKSSTDREGRPILVLPPADIQVIYCELTEAERDFYDALYKRSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEFSDLNKLAKRFLKGGKETGEGKDVPSRAYIQEVVEELRNGEQGECPICLEAFEDAVLTPCAHRLCRECLLASWRSSNSGLCPVCRNTVSRQELITAPSDNRFQVDVEKNWVESSKVSALLSELKRLHSVGSKSIVFSQWTAFLDLLQIPLSRSSIPFVRLDGTLNQQQREKVIKKFSEEDDISVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRVHRIGQTKQVMIKRFIVKGSVEERMEAVQARKQRMISGALTDQEVRTARIEELKMLFA >Solyc05g051215.1.1 pep chromosome:SL3.0:5:62323401:62324381:-1 gene:Solyc05g051215.1 transcript:Solyc05g051215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSKKWSSVDGIQLLNTCIEWTISSVLSKKGSLCEMLIYKANVATPPPMSSPILIVPGFFTASTSSIEKSRPAPFVDNQRLFSLPLRSKQSLQSSNDFCDFTLLMRHS >Solyc08g077350.3.1 pep chromosome:SL3.0:8:61356920:61367200:1 gene:Solyc08g077350.3 transcript:Solyc08g077350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAANGENPTESSSLATLAPLQAVLFDVDGTLCDSDPLHYYAFREMLLEIGYNQGVPVDEEWFIKTIAGKHNDDIASALFPDDQERGLKFCDEKEAMFRRLVKEQLKPIDGLYKVKKWIEDRGLKRAAVTNAPRLNAELIIEILGLKDFFDVVIIGSECERAKPSPDPYLKALELLKVSKEHTFIFEDSASGIKAGVAAGMPVVGLATRNPPHILMEAKPAFLIKDYEDSKLWAALEEIDKKSGATTTTV >Solyc06g008130.3.1 pep chromosome:SL3.0:6:2010301:2014298:1 gene:Solyc06g008130.3 transcript:Solyc06g008130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMYLWCYGCVILLAILHFVFLCPSQADDVNAGSLTYLKAAILNQFGLAMPPNPRVDRAFRSMKALGISGEKVKPVLKNLLRLYNKNWDLIEAENYRVLADAIFDDDEAKDAEIKKSTEDTEQEALVQDEPEPPLKRQRFKSQSSQPNESLESQLQNQSPGDFDSPQSMGNESQPGSHPSLDRNKGKQPMFADSLVPQEELPSSQPSDVDKSLPVPRRAGSTSGSGIPVSPTKNAIANHTLIKPKDEPITDDLPSLEVPVAIIRPGSSSKGKSSVASGSEGRHGDSNASATVVEADTNDGNPPSPVVANGKPETSTVKSSSALEMASSQSGEVKMILTYGSVLGRSDFQMPTLDAVVKLMDDKCQKEYKELDPNFSVMKVMTDICQCFLEMSGESTNKSSDE >Solyc08g076510.3.1 pep chromosome:SL3.0:8:60621317:60624811:-1 gene:Solyc08g076510.3 transcript:Solyc08g076510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEITLGTAYFLGLKRTYRLFLRIQRKLISPKYPRLRDFAQRRTRAAFDMALIVHRKVQERDIEAGRNLGNWILRWLDKMKPSANIRGSDNIAITSATKQLRNSSHSPKPEAFQKYGAGKDKESSSRHLFTSASNTWQKAYPTISMMMKPRSPAGTNIQYRQLNTVSPTSFKPMKHGFEGVIRPDILQWIQRS >Solyc03g078720.3.1 pep chromosome:SL3.0:3:52854252:52855611:1 gene:Solyc03g078720.3 transcript:Solyc03g078720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPHVIIFPFPAQGHVNSMLKLAQLLSLSNFHITFLVTVDTHDRLLNHTDVLSRFGSGFHLQPLPHGISMDVMNTRDGLSILYNSLNKIAKLFLREFIVNMNSPVTCLIADGILSMAGDVAQEINLPLIYFRTISACAFWSYFCIPHLIQAGQLPLKENAMDLILTKVKGMENFLRGRDLPSVCRASDVTRPDFRILMTETLQTPRARGLILNTIEDLEGPILSQIQTVCPNVYTIGPVHAHLKAKLATTSTSSNSLWQEDESCMSWLDTQPPKSVIYVSFGSIAGLTKEELLEF >Solyc12g038230.1.1 pep chromosome:SL3.0:12:50109379:50110360:-1 gene:Solyc12g038230.1 transcript:Solyc12g038230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRLKTVDNGYMSEDGEIDESINEKEEVKPQSNHSVVKPMENDSDFVEDAIVVTDQNVLTLMLPYGNALHSLLSESNIQLLEKVSQSSKEPSVVKSAKVGERFSGTLSSFDDVHMLAHRLKTMDNGETNIVSNHEIDNPRYILICFQED >Solyc08g067020.3.1 pep chromosome:SL3.0:8:55968697:55973928:1 gene:Solyc08g067020.3 transcript:Solyc08g067020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b-c1 complex subunit 7 [Source:UniProtKB/TrEMBL;Acc:K4CLS8] MASSFSRWLVDPKKNPLAAIHMKTLSSRLRNYGLRYDDLYDPMYDLDVKEALNRLPREIVDARNQRLLRAMDLSMKHQYLPEDLQAMQTPFRSYLQEMLTLVKRESAEREALGALPLYQRTLP >Solyc12g006350.2.1 pep chromosome:SL3.0:12:870001:873589:1 gene:Solyc12g006350.2 transcript:Solyc12g006350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTSGFNSQPEEAGEKKSLNSELWHACAGPLVSLPHVGTRVVYFPQGHSEQVAASTNKEINGHIPSYPGLPPQLICQLHNVTMDADVETDEVYAQMTLQPLTPQEQKDVCLLPAELGTLSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELIGKDLHGNEWKFRHIFRG >Solyc06g009240.3.1 pep chromosome:SL3.0:6:3201033:3203736:-1 gene:Solyc06g009240.3 transcript:Solyc06g009240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMMEPDDLVTYGKIFRHGQNDSSICMSISKIQSNGGSILFHNTNPLDYSVPLLLSQLSLASLFILFTSTLLKPLGQPSNGGLLLGPSFLGRIDGFIQLFYPYRSLIVIDAVALFGYMFFFFLIGVQIDPWILKRVEKKEFIIGVSTVATALVLSISSSFVLIACRIQIDPSVAESLPVLATMSSVLGFPVIAHYLTELRMVNSDFGRMALSCSLVSNMFGFVIIAITSLSSQPTVEKFMFLQSITSGIGFTMFVFLVVRPLIIWNTRRNPQGEPLKQSFICMVFVGVLLSGFCSKALGLNLFYGPLVYGLAIPAGPPLGSALVEKLQFIVSWLFMPIYFVKTGLVTDIFSVKLKNYLVLQSIILVACFGKFLGAFISSMYNQVSLRDAISVGLVSNVQGVLELGMFKMMKQNEAIADEAFVVLCISLLIATAIVTPILKSLYNPHKRYAAHKNRNIQHVKPHSELRVLTCIHDQENVPSTINLLEALHPSNQSHMDIAMLHLIEMVGRAHPLLINHKLPLMMEHSNEASASKRIINAFKVFEKNFYETVTMHPFTAISPYVMMHDEVCTMALQRRASLVMIPFHKRLTSSTASSVNQKRASKIGIKTMNDKILQTTPCSVAIIVDRSLVNTSRPILDAWSLYRVGVLFLGGPDDREALALGERMAGKQNISLTIVRLVLLHESGNHSNNSSNNERIQKMMDNEMLSEARRDMAGNYRVKYVEKLIRDGTGTASVMRSMEDEYELIIVGRRHDSQSPLLLGLTDWVEESELGPVGDMFALEDSQSNSTILVVQQHNGG >Solyc01g098370.1.1.1 pep chromosome:SL3.0:1:88774939:88777752:-1 gene:Solyc01g098370.1 transcript:Solyc01g098370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERILLSLVILQFVYAGEVVCFSFGDPLCSSHDSLSLLQFKHSLSLTDYHDCGSPYSKTTSWNSTSMDCCKWDGVTCNLLTGHVIGLDLSCSRLGGTLHPNSSLFQLRHLQTLDLSLNGFSGSQFPQGIGQLVSLAHLNLSYCWFKGRIPLEMSHLTNLVSVDLSNNINVQFSQEGFNKLFHNLTKLELLSLSEVNISSSIPMNVSFSSSLRYLNLATTNLQGDLPKNIFLLPKLETLRLSRNHLTVSLPEYSNKTHSLTELDLSYNNVSGRIPNSLGTHKSLKLLLLPGCNLIGPFPEFIRNFSQITQLDLSYNNLQGRIPDIFSNLQMLTHLLLHNNNFTGPFPSSHVNLTNLQVLTLTNNSLSGPLPEFKANSLERLDLSHNQFSGPIPQSLTHLLNLADVFFGHNKLSGEIGAETFSSMKNLQYLDLSHSGLSWSSNIIINNTAFPLLYSLGLSSCRVKDFPNFLLNSKEIRILDLSENEIHGQLPKWFGGFSALYVLNISHNYLTSLDHIPWEKMMILDLQSNSLTGPLPSPICTATSLYIMNLSCNNLSAEIPNCLLTLSWLMVLDLGANNFHGPIPNKFPKNSTLVHVDLSKNQLEGPLPTSLVNCTSLRILDLENNKIRDTFPTWLEALQELEVLMLKTNRFYGPMVGFKKKSPFPCMRIFDLSDNSITGSLPTEVLKGFKAMMNMDAHKSGLEYLGETFSILGNIYEVLYSGWYAESILLVMKNQEIESKKILRMFTTIDLSRNKLEGEIPKFIGNLNSLLLLNLSHNSLTGHIPVEMRNMSTLEALDLSFNQLTGKIPEELASLTFLAVLNLSHNHLVGRIPQSNQFNTFQNDSYFGNSDLCGLPLSNECGKHKSASAPVEDEPSILSEMTWQSVLMGYGCGLTFGLGIVYLIYRFERPRWFINSLEIIINEMIYIVQRACKRCRRN >Solyc05g005385.1.1 pep chromosome:SL3.0:5:301787:310867:1 gene:Solyc05g005385.1 transcript:Solyc05g005385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNPDKCDAVLKDVDYVAFLLIDYEGSFHFITQLFLLKPDLISIERQEEILIFQLRQRNKIDASIREKHWSVDVPDRSTIKRLCLQIDETLSQRTELLSYLTMEKDRVNEAMRFVRLRKFKGPSTGIRMAEN >Solyc07g043270.3.1 pep chromosome:SL3.0:7:57056552:57067623:-1 gene:Solyc07g043270.3 transcript:Solyc07g043270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDLRLPSQDHDKEEEEEEQNGIINMLDNEEKIHGDDGMHGMLVIEEKMHAEDRGDMNTPVGTMIDFKEDVNLEPLAGMEFESHGEAYAFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYEKSANRPRSRQGNKQDPENATGRRACAKTDCKASMHVKRRPDGKWIIHRFEKEHNHELLPAQAVSEQTRRMYAAMARQFAEYKNVVGLKSDTKVLFDKGRNSAIEGGDISVLLEFFIQMQNLNSNFFYAVDVGEDQRVKNLFWVDAKARHDYVNFSDVVSFDTTYVRNKYKMPLALFVGVNQHFQFMLLGCALVSEESASTFSWVMRTWLKAMGGQAPKTVITDHDLVLKSVISEALPLSLHYFCLWHILGKVSDTLNHVIKQNEKFMPKFEKCLNRSWTDEEFEKRWRKLVDKFDLREVELVHSLYEDRVKWAPTFIRDVVLAGMSTVQRSESVNSFFDKYVHKKTTIQEFVKQYESILQDRYEEEAKADSDTWNKQPALRSPSPFEKHLAGLYTHAVFKKFQSEVVGATACGPKREKQDEIVLTYRVQDFEKTQEFIVTLDEMKSEISCICHLFEYKGYLCRHALIVLQICAVSSIPPQYILKRWTKDAKSKYSMTDGSEDVQSRFQRYNELCHRAMKLSEEGSLSQESYSFALRALDDAFGSCVTFNNSNKNMLEAGTSSASGLLCIEDDNQSRSMSKTNKKKNNFTKKRKVNSEPDVMAVGAADSLQQMDKLNSRPVTLDGYFGPQQSVQGMVQLNLMAPTRDNYYANQQTIQGLGQLNSIAPTHDGYYGAQPTMHGLGQMDFFRSPSFSYGIRDEPTVRSSQLHDDASRHP >Solyc05g047480.2.1 pep chromosome:SL3.0:5:59806628:59807369:-1 gene:Solyc05g047480.2 transcript:Solyc05g047480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVMPSKYGSDLPLPKDSSVTIKKVPRKTVAVVAFSGFVNDEEVKARESRLRTALNGDAEFQVKDGVLVEVAQYNPPFTLPFTRRN >Solyc12g095870.2.1 pep chromosome:SL3.0:12:65962825:65966295:1 gene:Solyc12g095870.2 transcript:Solyc12g095870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPETQPQQQPKDSSEGAAVDQKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKNQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDANVDIWSLGILCYEFLYGVPPFEAKEHSDTYRRIIQVDLKFPSRPVVSSAAKDLITQQMLVKDSSQRLPLKKLLEHPWIVQNADPSGVYKG >Solyc07g062540.3.1 pep chromosome:SL3.0:7:65364811:65369956:1 gene:Solyc07g062540.3 transcript:Solyc07g062540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CGT7] MATRQRRALTSDPPETASSPAYTKVDKPERSDGENEGVDRGLGWLLPLLCLGMLRHMSATSNIIHDCDEVFNYWEPLHYLLYKSGFQTWEYSSQFALRSYLYILLHKLVGWPAAWWFGEEKVKVFYAVRIFLAGLSVISDAALVVALSRKYGKRLASYALAMLCLASGCFFASTSFLPSSFSMYAMSLSSALFLFNKPAMAVSVAATGVILGWPFSILAFLPLTIYSLVKSFKPVFLTGVVTSIVLMVLSVLVDYDYYKKWTSSVINLLVYNVLGGGESHLYGTEGASFYLRNGFNNFNLCFVFALLFLAILPVAKKKYAPELLIVVSPVYLWIAFMSLQPHKEERFLYPIYPLICVAASAVIESFPDLFRDKYNPNGTSTLVKIAKILRPLALGLILCVSHARTFSLINGYSAPIEIYKHLDYHDDAGPGSVLCVGSEWHRFPSSFFIPDYVSQVRWLDDGFTGLLPIPFNSSLGGTSAAPSYFNNKNKASERQYLKDPEQCTLLIELQLQRPYPTRGSDMSTWEIVAALPYLDRELSPPLHRSFFIPNQWQQKNVFGQYRLLRRIQK >Solyc06g066410.3.1 pep chromosome:SL3.0:6:41805895:41807660:1 gene:Solyc06g066410.3 transcript:Solyc06g066410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:K4C7R7] MSWQSYVDDHLMCDLEGHRLTSAAILGFDGSVWAQSSAFPKFKQEEIINIMKDFDEPGFLAPTGLFLGGAKYMVIQGEPGAVIRGKKGAGGITIKKTVQALIFGIYEEPVTPGQCNMVVEKIGDYLIDQGY >Solyc11g062290.2.1.1 pep chromosome:SL3.0:11:49477396:49501061:-1 gene:Solyc11g062290.2 transcript:Solyc11g062290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTCDIPRWPHHKTRKVDGHVNQGISLPVVADHLVHNMKNMSTVVDGRLLDNNDQVPIAPPRATDLVASLLNDLHIAREQNDALHTEIETMRTNLAESLGEVARLKEQLLQQQQE >Solyc03g045020.3.1 pep chromosome:SL3.0:3:11314518:11316309:-1 gene:Solyc03g045020.3 transcript:Solyc03g045020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTRKLILEHDDGECSSSNTSSSTLLDPEEFRRQGHMMVDFLADYFHNIQNYPVRSQVEPGYLTKILPDSAPYHPESIEEILEDVKRNIFPGLTHWQSPFFFAYFPCISSSAGILGEMLSVGLNVVGFSWITSPAATELENIVMDWLGKLINLPNTYLFSGGGGGVIQGTTCEAMLSTIVAARDQMLEKIGRDNIGKLFIHLIKLIHVSKNLSRYLGLDQKIFALSQQQKATEFALCPKSLREAIQKDIKVGLVPLFLCATIGTTSTTTIDPLHPLCQIAKEYGIWVHVDAAYAGSACICPEFQHFLNGVENANSFSLNAHKWLFCTLDCCCIWVKDPNSLTKALSTTPEYLRNKASESQQVVDYKNWQITLSRRFRALKLWFVL >Solyc04g072130.2.1 pep chromosome:SL3.0:4:59244704:59249505:-1 gene:Solyc04g072130.2 transcript:Solyc04g072130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITAGKLSNEHKEQFRSASESADPLTVSALQISPKSPRSPKSPKSPKSPRSPGDRHSKHGSDRGSPLKHKKNSHSPRDGRPKKGGCGGKGTWGGLMDTDDVHAIDPNDPNYTSSEDTERTSTKDMVAAFEEYKKKAIILVEEYFQNDDITSTANELRELGMSCYDFYFIKKLVSMAMDRHDKEKEMAAVLLSALYAEVIKPQQVYKGFSKLLESADDFIVDIPDAIDILALFIARAVVDDILPPAFLAKANSTLPKDSKGIEVIKRAEKSYLSAPLHAEIIERRWGGSKNKTVEGVKDKINNLLIEYVVSGEKNEACRCINDLNMRFFHHEIVKRAIIMAMEKQQAESRLLDLLKKTTEEGLINSSQLSKGFNRIIDNIDDLSLDIPNARMIFQSIISKGASEGWLCISSLKSFSTQLEKQEIDEKLVKEFKLKAQSMIQEYFLSGDIEEVSRILESENSSCLAELNAIFVKKLITLAMDRKNREKEMASVLLSSVCFPADDVVNGFVMLIEAADDTALDIPIVVEDLAMFLARAEVDEVLTPQHMEEIGSQFFEPNSIGNKVVLMAKSLLKGRLSGERILRCWGGGGSSTNGWAIEDVKDKIRKLLEEFESGGDAKEAYRCIKELGMPFFHHEVVKKSLVIIIEKKSERLWGFLKECFSMGLITMYQMTKGFARVAESLDDLALDVPDAEKQFKVYVERAEAEGWLDSTFSFNRLGHNSMENGFC >Solyc08g076395.1.1 pep chromosome:SL3.0:8:60531403:60531942:1 gene:Solyc08g076395.1 transcript:Solyc08g076395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKYALEIISDLGLGGSKPIATPVELNGKLTTVVFDKYVGVTSDPVLSDIGEYQRLVGRLIYLTITRPDLSYAVQNLSQFMNAPKQSHMNAAIRVHFGSLQAFCDADWGSCPDTRRSITGYMVKFGESLLSWKSKKQSTVSRSSAEAEYRSLASTVAEVT >Solyc04g050868.1.1 pep chromosome:SL3.0:4:48772026:48779692:1 gene:Solyc04g050868.1 transcript:Solyc04g050868.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQDQAPNLSGFIDNKKGMDVIMNNIIRFYICFGNQTCRKAQIWVLVPRVQVQAVLTLFRVPRLQTLQSYLESGLRSNQPMTYNSSVLEQLKIVSPNTPNQAKPLLLPTQGPVTSQNDNLLHIDVWVYSNFLHMMGTVPPSTTWKLFPTTLAPPDYETDHMADVISSPTPPVITSPTSVQYGNRRSQRTSNAPIWMKDYAVVVQNIPSTSKPLSKNGIVIPQRNYTLEIISKVGAAKPATTPLDPYLTTKEFDEVSEKGNTDSLLKDPSVYKRLIRKFLYLIVTRPDISFAIQILSLFLQKPKKSHLNVALRVVKLKDDSFIYLVLYVDDMLIAAKKKYGIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRIYTQKGRDDTQCLVTGYSDSDYAGDVNTRRSVTGYVFTLGGSVVSLKATLQPTVTLSTMEAEYMALTEAAKEGIWMKGLFSDLDSAACPHTRRPITGFLFNLGDSSSDGIPRSMELYQEAQLKLSIGAWLIQ >Solyc02g023950.3.1 pep chromosome:SL3.0:2:25060404:25065270:-1 gene:Solyc02g023950.3 transcript:Solyc02g023950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFKFLIIFVLYFGSVIGQLPSPDILALLEFKKGVEHDPTGFLLESWNEESIDFNGCPSSWNGIMCNGGNVAGVVLDNLGLVAHVDLSVFANLTKLVKLSMANNSIVGKMPNNIGRLKSLQYLDLSGNLFNSSIPPEVGELGKLKNLSLAGNNFSGMIPETISGLVSMQSLDLSHNSFSGALPSSLMKLAGLVYLNLSVNGFTKEITKGFELMENLEVLDLHGNMFDGNLDVEMLMLTTATHVDLSGNLLVNTASQQEKFLPGLSESIKYLNLSHNQLKGSLVSGNEAQIFGNLKVLDLSYNQLSGELPSFNFVYDLQVLKLANNLFSGFIPNDLLKGDALLLTELDLSGNNLTGSISMITSTTLRTLNLSSNALSGELPFVTGTSAVLDLSKNQFKGNLTRMLKWGNVEFLDLSQNHLTGNIPEVTAQFLRLYYLNLSGNTLTGSLPKVITQFPKITVLDLSFNQLDGDLLTSLLTLPTIEELHLQNNAFVGSIDFPPPASTPKLRVLDLCHNQLAGYFPDGFGSLIALQVLDISGNNFSGSLPTSMGNVSSLTSLDVSENHFSGELPKNLPNSIQSFNASLNDFSGVVPENLRKFPLSSFYPGNSQLQFPNPPSGSGQASAEKHKSRQLKTIIKVVIIVVCVVVLVVLILLAIFVFYLRASRNSQAHVTDKDIRRQTQSNPSGFSSREGAVVSAQNVAAASRKASSSEVISADEKTTGFSPSKTSHFTWSPESGESYSAESLADNLAGELFFLDDTISFTAEELSRAPAEVLGRSSHGTSYRATLDNGLLLTVKWLREGVAKQRKDFTKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLSSFLYDRPGRKGPPLTWPQRIKISVDIARGLNYLHFDREVPHGNLKATNVLLDGPDLNARVGDYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPVPSFKSDVYAFGVILLEVLTGKCAGDVVSGEDGGVDLTDWVRLKVAEVGGFDCFDNALSSDMGNQAMEKQMKEVLAIALRCIRSVSERPGIKTVYEDLSSI >Solyc11g005460.2.1 pep chromosome:SL3.0:11:367074:378635:1 gene:Solyc11g005460.2 transcript:Solyc11g005460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELVNSATSDKLTEIDWTKNIEICELVARDHKQARDVVKAIKKRLGSKSPNSQLFSVNLLEMLINNIGEPVHKQVIDTGILPILVKIVKKKSDLPVREKIFLLLDAAQTSLGGASGRFPQYYSAYYELVSAGVEFPQRSLVSAEPPAPSNENRNNQRDNDHVSSRCEMKFPQAAPQKVPDNSILQKAATALEVLREVLDAVDPQHPEGAKDEFTLDLVEQCSFQKQRVMHLAISSRDEKLVSQAAELNEQLDRVLKRHDALLTVRPTSTSNPHDHGQSSEEEEPEQLFRRIRKGKARLQPEDEGSKVERPFGLLGSAVPGDMLHRPLIRPVVTEQKQENNIEKTAAVAIPPPPAKHAEREKFFQDNKSDGSAPSSHMRGLSLHSRNASSSRSGSIDFSE >Solyc07g032780.1.1.1 pep chromosome:SL3.0:7:41120255:41120413:1 gene:Solyc07g032780.1 transcript:Solyc07g032780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGHLRIEHLRIAKKDFGLPNDFDFSVVLKYPKYFRFLMLKETRIKYIEVVE >Solyc03g078100.3.1 pep chromosome:SL3.0:3:51479997:51482211:-1 gene:Solyc03g078100.3 transcript:Solyc03g078100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4BHP0] MASFYSVTAPLLSFLNFLFLFSTSAGNHYSHSPISKPNSFSSSSIPVEIQEACKASRDPPTCQAVLVLVQPKQLTIPQIIQSALEVSSQNLNQAQSMVKNILDVSTGNINRTNAAKVCIEVLCYSEHRLNLTAEALPRGAIKDARAWMSAAMVYQYDCWSALKYVNGTFQVNETMAFLNSLIGYSSNALGMMVNYDNLGNETGSWSPPKTERDGFWELGAGGGFTVKEFNDGVPRGLKPDVTVCKAAAGGCDYETVQQAVNSAPENGVVKRFVIWIKAGLYNEIVRVPLEKRNLVFLGDGMGKTVITGSRNVGQLGVSTYDSATVGVVGDGFMASGITIQNTAGPDTHQAVAFRSDSDLSIIENCEFLGNQDTLYAHSMRQYYKSCRIQGNVDFIFGNSATIFQDCDILVAPRQLNPEKGENNAVTAHGRIDPAQSTGFVFQNCLINGTADYMTLYYSKPKVHKNFLGRPWKEYSRTVFIHCTLEALVSTDGWMPWSDNFALSTLYYGEFKSTGPGANTTGRVPWSSQIPAEHVGSYSLQNFIQGDHWIPPSC >Solyc01g087300.3.1 pep chromosome:SL3.0:1:82105368:82116836:-1 gene:Solyc01g087300.3 transcript:Solyc01g087300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine synthase [Source:UniProtKB/TrEMBL;Acc:K4AYC0] MGAEHYPPPWFSVAPMMEWTDNHYRTLARLISKKAWLYSEMLAAETIVYQTGNLDRFLAYGPEQHPIVLQIGGNNLENLAKATQLATPYGYDEINFNCGCPSPRVAGHGCFGVRLMLDPKFVAEAMCVIAANTNVPVSVKCRIGLDDHDSYNELCDFIYKVSSQSPTRHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLQFTINGGINSIDEVNAARLEGAHGVMLGRAAYSNPWQILGLVDSAVYGAPLRSITRRQVLEQYQVYGDSVVRMYGLKPHIRDVMKPLLGLFHAEPRNVVWKRKVDAALLRCTTIKSILEETLGEIPDRVLDAPLTEVPSGSTDTFIKAKSLLPPPYTVSEEELL >Solyc08g006900.3.1 pep chromosome:SL3.0:8:1461168:1463812:-1 gene:Solyc08g006900.3 transcript:Solyc08g006900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLTKKVVKKRVKQFKRPQCDRRITVKPSWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNASELEILMMHNRTYCAEIAHNVSTKKRKEIVERAAQLDVVITNKLARLRSQEDE >Solyc04g079375.1.1.1 pep chromosome:SL3.0:4:63981644:63981985:1 gene:Solyc04g079375.1 transcript:Solyc04g079375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMGLTLPFDKHCMELTEVVKPEGPFFVNRIIQKAFIEVNEKGTEAAVVTVVSDDDMGCSLYEAPSPRFVADHPFLFMVREEASRLVLLTGAVLNPSIDHSDANSSSDSDDC >Solyc12g055730.2.1 pep chromosome:SL3.0:12:62671949:62676626:1 gene:Solyc12g055730.2 transcript:Solyc12g055730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIKSIYYIFYVYLYLAQENINMATSNIDSFLIVKPENGGTWDLVGPLLGGLGEINAKFLEYSCADDGNGDCDGGEEVDDHRWVIVVSILVRKILKVFKKPMEWSGYFLEFFINIFSLNGNFRGLFHNILHGRLVIPQRGTANFISIIGHIDGRIKLPYNTEILTKESSRLIEKNEQLGMRHRDLMDLCMMSSKLVYENEIFVEDVVNHQWKMHFVDFYHCWNDYQKQNSTEVFILCDKSKDANLILISFRGTAPFSADDWITDFDYSWYEIPKIGKLHMGFLEALGLGSRVNVSTFHEHLVDKNVDVTIAHPERITAYYTVRSKLKSLLKEHENARFVVTGHSLGGALAILFPTMLVVHEEMDVMKRLSRVYTYGQPRVGDRQLGRFMEEHLEHPVPKYFRIVYNNDIVPRLPYDDKTFLYKHFGVCMYYNSSYVEEEVHEEPNMNFFGLRYVLPMYLNAVWEFIRSLFMCYIYGPEYKESWESIVFRMVGMFLPGISAHSPVNYVNSVRLGKKKSSDVSESVD >Solyc12g077420.2.1 pep chromosome:SL3.0:12:20739699:20753664:-1 gene:Solyc12g077420.2 transcript:Solyc12g077420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKRRSTDKVWCYNCDREFDDEKILVHHFWLNTSNAMFSRHSHSRSPGSQRNRQPVRYQMPNPLSTDIEVYGMQGIPSDVLAAHYGEEELNFHRLPDSRLQYVFLNCN >Solyc02g083375.1.1.1 pep chromosome:SL3.0:2:47363951:47364229:1 gene:Solyc02g083375.1 transcript:Solyc02g083375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQREGKSVADGKKSKKIAPAPPPPPPTSRFLLSRKVTTPSHTKQEIAKYWKQKRKTEEDHFLDAIKAAARIRARNLSVKFSNQFITVLFYI >Solyc03g095710.3.1 pep chromosome:SL3.0:3:58318835:58321688:-1 gene:Solyc03g095710.3 transcript:Solyc03g095710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding STVLFQGFNWESSNKQSGWYNSLINLVPDLAHAGVTHVWLPPSSHSVSPQGYMPGRLYDLDASKFGNQQELKALIKALRDYGIKSVADIVINHRCADKKDSRGIYCIFEGGTTDDRLDWGPSFICKDDIQYSDGTGNLDTGLDFEPAPDIDHLNIRVQKELSDWMNWLKSEIGFDGWRFDFVRGYAPNITKIYMGNTSPDFAVGEFWNSLAYGQDGKPDYNQDNHRNELVGWVQNGGGAVTAFDFTTKGILQAAVEGELWRLKDSHGKPPGMIGVLPQNAVTFIDNHDTGSTQNMWPFPSDKVMQGYAYILTHPGIPLVFYDHFFDWGLKDGISALISIRKRSGICATSNVQILASDSDLYIAMIDQKIIVKIGPKLDLGNLIPPSYQVATSGQDYAVWEKKAFIYHTKCHHVQNGSQCLMSFD >Solyc01g068560.3.1.1 pep chromosome:SL3.0:1:77582465:77589204:-1 gene:Solyc01g068560.3 transcript:Solyc01g068560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMLNCEREAIGSPSFPSRFSQQNSETNSTTALQGPKASTSHNEVDIMRSSPCTETSENASNNEGADGSVIGVEATS >Solyc01g060312.1.1 pep chromosome:SL3.0:1:70092936:70101534:-1 gene:Solyc01g060312.1 transcript:Solyc01g060312.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNGRKKIEIAKIENQTNLQVTFSKRRAGLFKKASELSNLCGANVAIVAFSPSNKVYACGHPSLESIVDKFIGENPPPETDDPNPIIVAHQNANIDEINKKLNKLERSLERERKHGQALQALRTEPSNEKLTFFDLKILCESLEAADKKVEKLASQLIECGIEFPYKTIGSALAPLRARESTSSVSGEGSSGSDAKTVVGGGEREDRISKLPGNIMHHIVELHPIEDTAKTSILSKKWRYFRARLPNLWLSRAFWMYLTTQQIFRERVNTILLQHLGDIEKFHLVKSIYSSLYAHTDG >Solyc03g007100.3.1 pep chromosome:SL3.0:3:1661977:1701715:-1 gene:Solyc03g007100.3 transcript:Solyc03g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFAACKTMHCPTGIENCASGFITHSAADITPQIQTADVDSDWPATKPIGPVPNLVVSAGNVLDVYLIRVEQASSRDAAEVVKRGGLVAGISAASLELVCTYRLHGNIYSMGVITAGGADGGKRRDSIILSFEDAKMSVLEFDDATHGLRTSSMHFFEGPDWFHLKRGRESFDKGPIIKVDPQGRCAGVFAFEQQMIVLKAAEVNSSLAGEDSAFSAGGASARIESSYIITLRDLDVRHVKDFTFLHGYIEPVMVILHERELTWSGRVSWKHHTCMVSAFSISTTLKQHPLIWSATNLPHDAYKLLAVPSPIGGVLVIGANTIHYHSQSSSCSLALNNFVFFGDNSDVAMLSTKTGELLLLTIIYDGRIVQKLDLSKSRASVLTSGITTIGDSLFFLGSRLGDSLLVQFSSGLGGSNLPPGVQEEVGDIESDAPSAKRLRMSSSDALQDMINGEELSLYGTAPNNAQSAQKTFSFAVRDSLINVGPLKDFSYGMRINADLNATGIAKQSNYELVCCSGHGKNGSLSVLQQSIRPETITQEALPGCKGIWTVYHKNTRIHLSESSRMADEEDEYHAYLIISLEARTMVLQTANNLEEVTENVDYYVQGTTLAAGNLFGRRRVIQVFAHGARILDGAFMTQELSFKASNVESGSSSDTSIVASVSIADPYVLLRMTNGSLQLLVGDPSSCSVSLTVPSVFESSKKSISACTLYHDKGPEPWLRKTSTDAWLSSGMGEAIDGADGVIQDQGDVYCVVCYENGTLEIFDVPSFTCVFSVDKFISGRTYLVDTFMQDSVNGLHAHSKNTEDVIRPGQKENSKDVKINVVELMMHRWIGKHSRPFLFGILADGTILSYHAYVFEGSENSSKVDGSVSSQNSISLSSTNASRLRNLRFVRVPVDNYAREEMPSGSQLQRMNVYKNIGGSQGIFLTGSRPSWFMVFRERLRIHPQLCDGPIVAFTVLHNVNCNHGLIYVTALGTLKICQLPSFLSYDNYWPVQKIPLKGTPHQVAYFAEKNVYSVIVSVPVLKPLNQVLSSIADQEVGQQFDPDNLNYEGSYPIEEFEVRILEPEKSGGPWKTRASIPMQSSENALTVRMVTLFNTKTKENETLLAVGTAYVQGEDVAARGRVLLFSIDRTADNSRTLVSEVYSKELKGAIPALASLQGHLLIASGPKIILHKWTGSELNGVAFCDYPPLHAVSLNIVKNFILLGDIHKSISFVSWKEPQLSLLAKDFSPLDCLATEFLIDGSTLSLVVSDDQKNVQIFYYAPKVSESWKGQKLLSRAEFHVGSRITKFLRLQLLPTTSERTATTPGSDKTNRFATVFGTLEGSLGCIAPLDELTFRRLQSLQKKLVTAVTHVAGLNPRSFRQFRSNGKAHRPGPDNIVDCELLSHYEMLPLEEQLEIAQQIGTTRMQIMSNLNDMILGTSFL >Solyc03g013300.1.1.1 pep chromosome:SL3.0:3:47704466:47705041:-1 gene:Solyc03g013300.1 transcript:Solyc03g013300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVGTSLLVLLVFATLTYCLDARLQACQPSGKIRDIKPPAGQCNPENDSDCCKKSKMYTTYKCSPPVTRNTKAILTRNSFEKGGDGGDGGAPSECDNQYHSDDTPVVALSTRWYSGGDTCLNYITMSANGRSVKAKVVDECDSTMGCDDEHDYQPPCPNNIVDASKAVWEALGIPKGDWGDYDITWSDA >Solyc09g020073.1.1 pep chromosome:SL3.0:9:18381324:18383645:1 gene:Solyc09g020073.1 transcript:Solyc09g020073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRERQVHSAVLRSMQLICVGEYH >Solyc08g077790.3.1 pep chromosome:SL3.0:8:61822770:61828100:1 gene:Solyc08g077790.3 transcript:Solyc08g077790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPNDSEPTRCASMILLLMGLFSCTVVYIFMSAVMKPTGNSAVERLAADDGEFGSGGGVGGVEGGGCCNGIESFELWGAAVKWGSDFKVKNSKECCEACKDMCTGNHGPCLCDTWVFCGDEKACGDKFGECWLKKQKDTLAPDKKDDGDNSIWTSGIVFGKGEGIVALETEFGAIHVKLLPECSPRSVFNILELLRFRHCAGCQFFRAETRGQVWDARGDHIKDASFGPPYGLLQGTLGAQGIPVETVPSEFCPEIRRGSVAWVGSGPEFFISLANHQEWKNAYTVFGYVLPEDMEIVEKIAQLPTKSDIWTGVNVTVLEKPVPLNVRRIKSSNDDLNLSS >Solyc10g050905.1.1 pep chromosome:SL3.0:10:51088694:51092934:-1 gene:Solyc10g050905.1 transcript:Solyc10g050905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRKKPRRVSNEGKRNGKARKIKPRSLSSDIAARKNRPWNNGKVKVVLCISSSCPVAAHNKLLLKSLWLVRLLEDLSVHPSLPVSPNSGPAHRNILGTLGLLSAPSTPKGGIEQQYDNDKADTNKVIL >Solyc06g063400.3.1 pep chromosome:SL3.0:6:40176054:40177784:-1 gene:Solyc06g063400.3 transcript:Solyc06g063400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEVNSPLFRSFLSQKGGTSDKRKTTEEQKPKDQRPKASDNKPVMNE >Solyc06g016695.1.1.1 pep chromosome:SL3.0:6:12796940:12808486:1 gene:Solyc06g016695.1 transcript:Solyc06g016695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTYHARRLPTVCATTGRLWYATPDVTRPCVLHKGHAGMPFPTSSDRVCSPRAMITCHARCRSIVYAFQGLYYQATPKVIRPCVLPKGDDGMPCPTSSYNELPKGDDSMGCSTSSNHV >Solyc06g082710.3.1 pep chromosome:SL3.0:6:48477295:48481837:-1 gene:Solyc06g082710.3 transcript:Solyc06g082710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNFSFNSLTEILRLSGMIPNQVLSDYDRLQRGSPSPVGSIDMMQHVGGKGLGGWNGNGWNAFQEQRLGGPQGRPIDWQASPGSPSSFVVKRVLRLDIPVDRYPNVGFTFEVSGCIIWCKYNDDVHVALNSAFQLPEFNFVGRLLGPRGNSLKRVEASTGCRVFIRGKGSIKDAEKADLPINIVDVRMKQASQIIEELLRPVDESQDLYKRQQLRELALLNNNFREESPQPRGSLSPFSSSGMKRAKTGW >Solyc01g106870.3.1 pep chromosome:SL3.0:1:94484351:94488131:1 gene:Solyc01g106870.3 transcript:Solyc01g106870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRCFAGCINLPCIRKKGPVDEEEEEDFPVKDELHKLTSQDLSRFTAQFSPENLVGVTNLGKLYRGKMVIDDISKDVAVKIIGIDKWIFRYTGDDRLERFEYELKFLQAPRIKGNPNLVKVIGYCEEEETLGIVYDLNPHDILENLITRADFNWMLRVRTALTLARLLNYLHDRKYLIRNFAPYHIVLDQDFTPIMFELGLIVGGVLGNTINESDMRCAPYGYIDSYIFQCGTGTTRSATRYSVSMRGIAGREVSDGSVEESINTFSVKSDVFAFGVLLLNFISKKVVEKGNPVDNEVLDLWALKEFKPGCSLVHQSFVGDPGFGHLDAVAITELAKRCVEDRPKKRPNMKEVVACLENLHVV >Solyc03g121110.3.1 pep chromosome:SL3.0:3:70813054:70816802:-1 gene:Solyc03g121110.3 transcript:Solyc03g121110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRHPCHRLRLIYRQQFRYLATKYSGRVVVEAEDGRSFAVEVDAPTLHTDSRGYALPRRDLICKVSQILKSRPSPSSDPFLDLSDYLDTLTITLTPSEASEVLKSLKSPNLALRFFRFCPSEIPKFRHDCFTYNRILLILSKSSLPNRLDCVREIVDEMERTGIRGNISTVNLLIGTFGDGQGNGVNELTRCLGLVQKWDLKLNCYSYKCLLQAYIRLCNPDKALEVYQQMRRRGYRLDIFAYNMLLDALARDQKVDQVHKVFEDMKKWHCEPDEYTYTILIRMTGKLGKPGESLSFFLEMLSNGISPNLIAYNTMIEALAKGHMVDKSIFVFSKMVENSCRPNEFTYSIILNVLAAEGQLGRLDEVVEISKRYMNKSIYAYLVRTLSKIGHASEAHRLFCNMWSFHDRGDRDAYLSMLESLCNSKKVTEAIDLLNKMNEKGVQADSFMYNTVFSALGKLKQIPHLHDLYEKMKRDGPTPDIFTYNILISSFGRAGEVGEALKIFEELENSNCKPDIVSYNSLINCLGKNGDIDEAHMKFIEMQEKGLNPDVVTYSTLIECFGKTEKVEMARRLFDEMLAAGCYPNIVTYNILLDCLERSGRTSEAVSLYAKLKEQGLAADSITYTILERLQSGSHRTSRVRRKNPITGWVVSPLRWHVNKD >Solyc12g070158.1.1 pep chromosome:SL3.0:12:29748802:29751515:-1 gene:Solyc12g070158.1 transcript:Solyc12g070158.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSELQSPNSDTHHSDETCEHSKDAPSAQTPHHLFEGTMNEDTSDSTPSGSISPDTREAMNTLIAELRRLPTNANQQEFIKNQTT >Solyc11g073290.1.1.1 pep chromosome:SL3.0:11:56586042:56586332:-1 gene:Solyc11g073290.1 transcript:Solyc11g073290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSHMKICHFGKRHLRLKSTQSIRKPKQFYGTRHKLCHFSQRSSCSQNEDKASFSVRICVPRNTSSQLTPPIYLKQDIIHSDIQLSHPVMIPLPIS >Solyc04g009250.1.1.1 pep chromosome:SL3.0:4:2720029:2722551:-1 gene:Solyc04g009250.1 transcript:Solyc04g009250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFVSFALKKLGDFLIQQVSLRKNLRKEIEWLRNELLFIQSFLKDAELKQCGDHRVQQWVFEINGIANDVVAILETYAFEADKGDDDGFHSRLKACACICRKEKKFYNVAEEIQSLKQRIIDISRKRDTYGITNINSGDEGPSDQVTTLRRTTSYVDDHDYIFVGLQDVVQTLLAQLLKPEPSRTVLSIYGMGGLGKTTLARKLYNCPNIASSFPTRAWICVSQEYNTMDLLKTIIKSIQGRTMGTLDLLERMTEGDLEIYLRDLLKERKFLVVVDDVWQKEAWESLKRAFPNSKNGSRVIITTRKEDVAERADERGFVHKLRFLSQQESWDLFCRKLLDVRAMIPEMESLATDMVEKCRGLPLAVVVLSGLLSHKKGLNEWQKVKDHLWKNIKEDKSIEISCILSLSFNDLSTALKQCFLYFGIFPEDQVVTADDMIWLWMAEGFIPRREGRMEDVAEGFLNELIRRSLVQVANTFWERVTSCRVHDLLHDLAIQKALEVNFFDIYDPKGHSISSSCVRHAIHSRGERYLSLNLSNLKLRSIMFFDPDFCNLSRHIVGFRHIYVLYLDIIEGGVIPDAIGSLYHLKFLRLKGIRRLPSSIGNLKNLQTLRVLKTQGLCQLPSETTELIDLRHLVVRYPEPLVGICKLTSLQVVDGICCDQWKDVDPVDLVNLRELSMFEINNSYSLHNISSLKNLSTLTLCCGTYESPFPSLEFVNCCEKLQKLFLRGKIEKLPPFPNSITMVALYFSRLKEDPMPILGMLPNLRNLILEEEAYEGKEIMCSDNSFSQLQFLHLYMLYHLETWHLGTNAMPLIKDLVLDRCPKLKEIPERMKDVKRI >Solyc01g105550.1.1.1 pep chromosome:SL3.0:1:93583033:93584391:1 gene:Solyc01g105550.1 transcript:Solyc01g105550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT1 [Source:UniProtKB/TrEMBL;Acc:I6TSB7] MACRLDIEIQSRKLLKPSASTPDNLRRLKLSLFDQLALRTYIPVLFNYLPSSSSTSYDDELEKSLAETLTKFYPFAGRFAKDIDPFSIDCNDEGVEYVQTKVNADDLAQFLRGQAHNDSESSLIDLLPIKDVEPSSPSSPLFGVQVNVFNNGGVTIGIQISHIVADAFTMATFVNEWAHTCLTGRTVSNNPGFGQLSLLFPAKVLQFPSPSPDLNTNTTTTGPNYKIVTRRFVFDALAIENLRKTIKDNDMMMKQPSRVVVIMSLMWKVLTHISSAKNNGNSRDSSLGFPINMRGKLSCTAPSLEHALGNYGMMGIADRKARRKDDELNDFVKLVGNTIWNTCEAIGKAESVDDISSLAFNNHIKGVEKLLQEDKMDVYGTTSWCKLPWYEADFGWGKPFWVSPVGLNLIEGAILMDTKDGNGVQLTICLKEKNMTEFEKHLHIFSSTPILG >Solyc10g052870.1.1.1 pep chromosome:SL3.0:10:53915599:53915922:-1 gene:Solyc10g052870.1 transcript:Solyc10g052870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEDPSLRTVELVENFCGPQTRSHVFGFEGGVKAKDLKGGTSSKSELLYVLRSTRENIKSFNEENNSLNDRLSTIEDVMKEIMKIKEFFTSQQSYVPPTTSSSSTE >Solyc04g083140.2.1 pep chromosome:SL3.0:4:54592891:54594652:1 gene:Solyc04g083140.2 transcript:Solyc04g083140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLSLASVFLFLSFLFLLRKWKNSNSQSKKLPPGPWKLPLLGSMLHMVGGLPHHVLRDLAKKYGPLMHLQLGEVSAVVVTSPDMAKEVLKTHDIAFASRPKLLAPEIVCYSRSDIAFCPYGDYWRQMRKICVLELLSAKNVRSYSSIRRDEVDRLVNFIRSSSSFREPVNFTERLFLFTSSMTCRSAFGKVFKEQDKFIQLIKEVIGLAGGFDVADIFPSLKFLHVLSGMKGKIVNAHHKVDAIVEDVINEHKKNIAMGKTNGALGGEDLIDVLLRLMNDGGLQFPITNDNIKAIIFDMFAAGTETSSSTLVWAMVQLMKNPSVFAKAQAEVREAFKDRETFDENDVEELKYLKLVIKETLRLHPPVPLLVPRECREETDINGYTVPVKTKVMVNVWALGRDPKYWDDAESFKPERFEQSSVDFIGNNFEYLPFGGGRRICPGISFGLANVYLPLAQLLYHFDWKLPNGMEPKDLNLTELVGVTAARKDDLILVATPYQQ >Solyc08g066790.3.1 pep chromosome:SL3.0:8:55703399:55706930:1 gene:Solyc08g066790.3 transcript:Solyc08g066790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFLLHGTLHVTIFEVDRLHTNFGRDFFNKVVQGIEGAIGFNKAASRLYATIDLGKARVGRTRLLDDHKNPRWYESFHIYCAHMAANVIITVKFDNPIGAEVIGRAYFPVQQLLDGEEVDEWLEILNTERKPLHGHSKIHVKLQYFDVTREYNWNRGIKVTRFPGVPYTFFRQRQGCRVTLYQDCHVPDNFIPKIPLSDGKFYKPQRCWEDIFDAVTNAKHLIYITGWSIYTEVTLIRDRRRPKPGGDISLGELLKRKANEGVRVLMLVWDDRTSIPVLQQDGLMATHDEETANYFRGTQVSCVLCPRNPDDGRSIIQNIEIGTMFTHHQKIVIVDGEMPNGDRERRRIVSYIGGLDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFTGTSIHKGGPREPWHDIHCRIEGPAAWDVLYNFEQRWRKQGVRDLLIDLRDIDNIIIPPSPVMYPDDHDTWNVQVFRSIDGGAAFGFPSAPEEAAKSGLISGKENIIDRSIQDAYINAIRRAKHFIYIENQYFLGSCFSWYSNDIKDEAINSLQLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGLPESASVQAILDWQRRTMQMMYTDIIQALKVKGIVANPKEYLSFFCLGNRETKKRGEYEPCETPEPNSGYHKAQEARRFMIYVHSKMMIGKFNYKYILDDEYIIIGSANINQRSMDGARDSEIAMGAYQPFHLCVKEPARGQVHGFRMALWYEHLGMLDDRFLQPESVECIRKVNKIGDKYWDMYSSERLIHDLPGHLLTYPIGITENGEITELPGVGCFPDTMAPVLGTISNFLPPILTT >Solyc06g051745.1.1 pep chromosome:SL3.0:6:35382080:35386095:-1 gene:Solyc06g051745.1 transcript:Solyc06g051745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSLEDFLVRARVLKLYRHALRISRRAPPHAKADLRQIIRQEMENNRNCNDKQRIRFLISDGIERVKRLDETLDMQGH >Solyc05g025737.1.1 pep chromosome:SL3.0:5:36128530:36129176:-1 gene:Solyc05g025737.1 transcript:Solyc05g025737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLIAGSSMRGIYNLKTRLSAAFEMKDLGPAKQILGMKISRDRSASTLNLSQEFSWEFDVCYGLHYTYIAHAVGVVSSKGKVTLLGFMDADLVGDVDSRKRTSGRVHLHHRWNNNELDVKASKVCFSFIYLS >Solyc01g104790.3.1.1 pep chromosome:SL3.0:1:93049857:93053366:1 gene:Solyc01g104790.3 transcript:Solyc01g104790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPKHPLKNMTLPLLQENGQSFRHPPPRPPPPATATSSKTPLNCVPIQSTNSTSSSSSAFDQFSKRVTRDLPNLSDCHGCGVRINHTDPDDRLLTLDSFWRIVLLCKNCIRCVDSGQTCPYCFKNTDDTDCSKCRSCKRQVHKDCVSRYGNSAPWSFCSREEGGLFVCIDCWVPNFFKKSIGDCRKIQKDVLNIQHCSSDFKSSEKIAKHANLEGLRKEVVVGLKAKNSTLQKAVVAKNPMGLAKSALESVVKKGKSKGKVVSKDVNDAQLAFQLHRSMNSSPRISKTLGPKNSSYVGGPEIQTLPSSTGERLKVYFRTKYRGKVGPTSPETPPSVMVYSRARLKEKVDQTTSETSPRVTVYSRRRLKEEVGKASSDASPCLLVYSRTRFKEKVCQTDSEAPPCVTTNECGSCVDSACSKAELLTYKRNKLKRKTCDEKVVFTEDRYLLKYSRRKRCWKPGSDVHEDTFPQPTPDRSMPSNCCHSI >Solyc02g049090.1.1 pep chromosome:SL3.0:2:3882931:3884962:-1 gene:Solyc02g049090.1 transcript:Solyc02g049090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVMHGLSVLRRWASTKTCYHFKGIYAIFNYFQAVTKRSIVFLYLRHDILTSLVHVKFFLRLFVFIDDVFFLIFLSFWGINVIFDHFQAVQKEVMFCYLILHDIQRSLVQVEIF >Solyc07g063190.3.1 pep chromosome:SL3.0:7:65861881:65865275:1 gene:Solyc07g063190.3 transcript:Solyc07g063190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ITKLFSPQPQTSLSLCKYPLALALLPISPTIHTHSLSLSSLSMAAVLETITVPRASALPSSSLAQVAGYSFSGPRFSVSFSQFSGLKIQPIRSSVSTSSCSKIIPRGGRVVCEAQDTAVEVATVSDKTWKTLVVESTVPVLVEFWAPWCGPCRMIHPVIDELAKEYVGKLTCLKLNTDESPSIATEFGIRSIPTVMIFKNGEKKDAIIGAVPKSTLTTSIEKFL >Solyc01g087410.3.1 pep chromosome:SL3.0:1:82233867:82245870:-1 gene:Solyc01g087410.3 transcript:Solyc01g087410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSCNCCGKKYHLSCLKTWGQHRDLFHWSSWTCPSCRVCEGCQTTGDPNKFMFCKRCDAAYHCYCMQPPLKKVSSGPYLCPKHTKCHSCCSNVPGNGLRASWYLGYTCCDACGRLFVKGNYCQVCLKVYRDSDTTPMVCCDICERWVHTQCDDISFQCFLWCSCSRFCFVKMWEVLPRIVSSFSFDFDC >Solyc09g074570.1.1.1 pep chromosome:SL3.0:9:66810787:66811107:-1 gene:Solyc09g074570.1 transcript:Solyc09g074570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVQRMARDHGVVIFSKSTCCLSYAVSVLFKDLGVVPYVCQIDHEPDGKEMEKALIRMGVNSSFLFPAVFIGGSLLGSTNEVMSLHLQGSLNQLIQPYISILKSN >Solyc12g096660.2.1 pep chromosome:SL3.0:12:66460535:66469089:1 gene:Solyc12g096660.2 transcript:Solyc12g096660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKDYKVHGLDHNPSCTQPAREKVYHKGTTHNVIQNNNDGSLREEEGLYNDGEGSHLVNRKVEGVGVVADGLDDNRHHQSPLAEFEGTGGGVGSFKVPARAPLHPARPTYLEIRPHPLKETQVGKFLRTIVCSETQLWAGQESGVRVWNFSDQYECGIGIGGRARRGDEDAAPFYESADISPTLCLMFDSGNKLIWSGHKDGKIRSWRTDQPNSDDSPFKEGLSWNAHRGPVLSLMLSSYGDIWSGFEGGIIKVWPWEAVQKSLSLSSDETHIASLLVERSLVNLRSQVTVNGACSISSSDVKCLLSDHVRAKVWASGSLSFSLWDARTRELLKVYNVDGQIENGVDMSSVPDQAVEDESNVKSVTKSKKEKSQGGNFFQRSRNAIMGAAGAVRRVATKGSGASSEDSKKTEALVLSSDGMIWSGCSNGLLVQWDGNGNRLQDFHHHPCAVLCLCAHGSRIWVGYISGMVQVLDLEGNLVANWVAHTDPVIKMAIGGDYVFSLANHGGIRGWTLATPGPIDQILQSERAQKRHLYTRQENFKILVGTWNVAEGKTSQEALATWLSSAILDVEIVVIGLQEVEMGAGFLAMSAAKETVGLEGTSSGQWWLDAIGKTLDEGSSFEKVGSRQLAGLLIAIWVRKTLRVHVGDLDVAAVACGFGHAIGNKLPQFHGCTIIMQGGVGLRLRVFDRIMCFVNCHFAAHLEAVNRRNADFDHIYRTMAFTRSFNLLDNVAGMLRYLFLTCSLVFSTYLLWLLYSSGLPWILSLAVGVSSAAQMLRGTDAAAISPGKGKPDLAEADMVIFCGDLNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKAGKVFQGMREAIIKFPPTYKFDKGKPGLGGYDSGEKKRIPAWCDRVLYRDSRAAPSMPCSLGSPVAASILQYDACMEVTDSDHKPVRCKFSVEVSRVDRSVRRREFGKIFQYNDKIRSTRQDNVFFQITCQYQSTVDKNKQTSGYRPRDSFCFPRWLKVTPAAGMIKSGEDEEILVHQEEFRNLENIPEVMSPSKRSEETREEEVFLMINVKSSGSTKVRTHKVQLSCRFSTDAVCILSRNSSTRNEGGSHHRSNSSRRNEGSTNQRSNSSIKNEGSSHHRSALQQESVSNGSRRNEGSSHPRSSLQQESTSDMNKYK >Solyc02g067550.1.1 pep chromosome:SL3.0:2:38275105:38275776:1 gene:Solyc02g067550.1 transcript:Solyc02g067550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDGAGRGSSLLMALLLLIFLCIVSAEILVKPAAAKTVTGTTSNQHLDTGRIIETERHSLQRTNLNYVSKRRVPSEPDPIHNREAGEHMITRIRA >Solyc09g020140.3.1 pep chromosome:SL3.0:9:18653785:18658902:-1 gene:Solyc09g020140.3 transcript:Solyc09g020140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase 3 [Source:UniProtKB/TrEMBL;Acc:K4CSH5] MGYAQLVIGPAGSGKSTYCSSLYQHCETTRRTIHIVNLDPAAENFDYPVAMDIRELISLDDVMEELGLGPNGGLMYCMEHLEENLDEWLTEELENYLDDDYLVFDCPGQIELFSHVPVLKNFVEHLRRTNFNVCVVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKKEVENYLNPEPHLLLAELNQRMAPQFQKLNKSLIEVVDQYSMVNFIPLDLRKESSIQYILSQIDNCIQYGEDADVKVKDFDQEEDD >Solyc02g068770.3.1 pep chromosome:SL3.0:2:39254745:39258382:1 gene:Solyc02g068770.3 transcript:Solyc02g068770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQPNWAKLLKPQGICWKKNPEEQTSHFREQFAKKAEAVKQEDMSAYNAFKSCAPVAWSPNLYITLVRGIPGTRRLHRRTLEALRLGKCNRTVMRWNTPTVRGMIQQVKRLVVVETEEMYNARKEKLANQKALRPPLVVNHHAAPAGDPVQ >Solyc08g016448.1.1 pep chromosome:SL3.0:8:7697554:7702340:1 gene:Solyc08g016448.1 transcript:Solyc08g016448.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDGYAQARSQILLMHQLPSINHVYAMISQNESQKLAENLSRSMLESSNPTAMYTSRSNSRNRKPYNPNVFCEYCHMKGHMRSDCNKLLKCDHCHKTGHVKLDCFKLIGYPSEFKGKRDSVVAGNSVYEESSIHHHAPQPTQKESHPAAASEMMPMPMFTPQQHQKLIQMLNQTTVGDTHCAANMAGNSYLSKDTSIQWVVDTGATHHMINDAKHLHCERLIENAGSVQLPTGESAKRKYALELISDMGLAGAKPVSTPMELNQKLTTVEFDTNIPSTCPDETLKDPTGYQRLIGRLLYLTTTRPDISFVVQCLSPFMHSPKTSHMEAAMRLVRYVKSEPGLGILMASTGGSELKVFCDVDWGACINSRRSITGYLVQYGGSPISWKSKKQVTVSRSSAEAEYRAMASTVSE >Solyc04g010250.3.1 pep chromosome:SL3.0:4:3577749:3582061:1 gene:Solyc04g010250.3 transcript:Solyc04g010250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEYETVRYEEEFILNSRGMKLFTCSWIPKDCEPKALIFLCHGYAMECSISMKDAGTRLAKSGFGVYGIDYEGHGKSDGLQGYVNSFDALVDDCFDHYSKISERKENKKKMRILMGESMGGAVALLLHRKKPEFWDGAVLIAPMCKIADDLRPHPMVISVLTKLCNFIPTWRIVPTQDIVDSAFRDPEVRKEIRNNPHCYKGKPRLQTAHQLMTVSMDLEQRLEEVTLPFLIVHGEEDTVTDPSVSKLLHEKASSIDKSFKLYPGMWHSLSYGEFPENRDIVFSDIVVWLKERISMGNSRLERQQKLANDQILPKMTT >Solyc12g044560.1.1 pep chromosome:SL3.0:12:60519051:60519477:-1 gene:Solyc12g044560.1 transcript:Solyc12g044560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVAITPNHHVALIFAYGNVEDAIKVPGISIDPKIKEYIIDQFGYNPNFMGLVATMLGRFCSLFCICVFLQH >Solyc09g010820.3.1 pep chromosome:SL3.0:9:4107732:4116142:1 gene:Solyc09g010820.3 transcript:Solyc09g010820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMKVEECCTENKQSAAASSSSVSENSGSVTLRSPAVSSPTPASPTHRRTTGPIRRAKGGWTPEEDDTLKQAVSVYRGKCWKKIAEFFPDRSEVQCLHRWQKVINPELVKGPWTREEDDKIIELVAKYGPIKWSVIAKSLTGRIGKQCRERWHNHLNPNIKKDAWTLEEERALIDAHRIHGNKWAEIAKVLPGRTDNGIKNHWNSSLKKKLDFYVATGNLPPATGTAKAEELPVWSNKTSEGAEVASSGTTDTCKIENRWQVAEISASNGGPQTESTDSEVVRLESQSPEEDAIQHIKPSTQSENRYEGWNMDSAVGRLQVFEAPFPCEIPTCGMLCYEPPRSESCIPLDSDLLNICRAQCESDASPSPSPDGFFTPPSTKGRNLCAQTPESVLRIAARSFPNTPSILRKRKIQGELSTPTNKMGKSDGDLRMEKPIDARDEIQYDSSHKSSMHNGVSSRNSFNGGLYNSQAFNASPPYRLRSKRTSIFKSVEKQLRFAVNKEKHDTSNGFSGSTVNEISHAAKDCSQDTDKKVE >Solyc05g005740.3.1 pep chromosome:SL3.0:5:553401:558834:1 gene:Solyc05g005740.3 transcript:Solyc05g005740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4BWA1] MDLETGVNQNRVKKESWKTILTLAYQSLGVVYGDLSTSPLYVYKSTFAEDITHSESNEEIYGVLSFVFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHAKVNSLPSCQLADEDLSSYKKDNIISPAPTTFGATLKSTLERHRVLQRFLLVLALIGACMVIGDGILTPALSVFSAVSGIELAIGKEHHKYVEVPLTCVILIALFALQHYGTHRVGFLFAPVVITWLMCISAIGVYNIITWDRHVYRALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTFMVYPSLILAYMGQAAYLSQHHIIESESYGIGFYVSVPEILRLPVLVIAILAAVVGSQAIITGTFSIIKQCSSLGCFPRVKIVNTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWRKSVLLALCFVIFFGTIEALYFSASLIKFLEGAWVPIVMAFAFMIVMCIWHYGSLKKYEFDVQNKVSVEWLLGLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVKHEERFLVGHIGPREYRIYRCIVRYGYRDAHKDDSEFENDLVCSIAEFIRTGKMGLNVNGEDLRKDFEDLTVVGTPSTHLSGVQLHEDEDVSAELVGTSERKEILSPRVTKPKKRVRFVIPESPKIDRGAQEELRELMEAREAGIAYILGHSYVRAKQGSSLFKKIAINFGYDFLRRNSRPPTYTLSVPHASTLEVGMVYNV >Solyc08g078660.3.1 pep chromosome:SL3.0:8:62546000:62561298:1 gene:Solyc08g078660.3 transcript:Solyc08g078660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEETGAQNRELYALLHISPEASDEEIRKAYRQWAQIYHPDKYQALEMKEIATENFQRICEAYEILSDETKRQIYDIYGMEGINSGLELGTKLNKAEEIKEELERLRRQKELQKVAAHLRPSGSIMANLSLPQFLEGDGIMKGMAMASEVQSQISKNNAVAIGGNLAVNGNAGGGAASVVFRHQMSSVSSIEFMGSMGLRALVGVQTTRHISVHSTATMGLAMSLRDGSVNLSNTWTRQLSDTAHGNIHLSLGPESSIAVGWQRKEQKRSASGEIKLGTGSFGATANYTHRFSTKSHGRIGARIGSTALELELGGGRKVSEFSTIRMLYSIGIQGIFWKFELHRGGQKLIVPVLLSRHLNPIFATGAFLVPTSLYFVLKRFVVKPFYLQREKQKASENMDKTLGQVREARTAAKKAQQLLQNVANRKRSRQLEAGGLVVTKALYGSHKALKNRNQIEEVKDEVASQIIDVTLPLNFLVSDSGQLKLHEGVKKSGIMGFCDPCPGEPKQLYVEYTFDGNNFEVIVDDLDELLIPQESHRI >Solyc10g050560.1.1.1 pep chromosome:SL3.0:10:49959262:49959474:-1 gene:Solyc10g050560.1 transcript:Solyc10g050560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLEKYLASEIEEKCERLNEITAPNREEAVIDNEDVVIDNSKKKVYQIRIVIAMLWCCFAAFITFWVMK >Solyc12g005050.2.1 pep chromosome:SL3.0:12:19700:28173:-1 gene:Solyc12g005050.2 transcript:Solyc12g005050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLGSQNLPADVAQLIDQLDRHCLAPDGSLISKSAHYDLQLAREEMSKERQRYLESLAIYIEATAMVEDYQQAISVANLGGMRDVQGLYSQLGLKNPPQVYEALEHRMVVAEAAQRLRLPLISKDGEIHEEEIEKWSTMSRSSFDSTSTSITLSSSSNSAYQTNASAIGAVPTISTTDSTEPDVGGVPNRFLGITPAYLWQTQLHQMSSVDVAEYQRLLSREIGGRLDAKCDKLADAVAIDDIDISAASQNSAARLPERVKLITEEIEREEEAWREDLYSSNRKFAEYYNVLEQILGVLIKLVKDIKLDHQHKYDELQKTWLCKRCETMRAKLRVLEHVLLLDTYTPETIPALHKIRKYLIEATEEASLAYEKAASRLREYQGVDPHFDEIARQYHDIVKKLESMQWTINQVEMDLNPLPAHSST >Solyc04g039800.2.1 pep chromosome:SL3.0:4:12541682:12542897:1 gene:Solyc04g039800.2 transcript:Solyc04g039800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWVTNWWNTRIKDYSETHLEEFHIPIEDCPFFIIGLTSPSGNFQTNNFNKIFQFLILLCSTLCIPLSVEYIKCTNMAIIEFLIFLITIFVSPECFSLCSYLLSGYTKKDVQSNEATMKYLLMGGQALLFWFMVSFGYMVHPREILSFKKYLIALIFITLGIGLKLSPAPSHQWTPDIYEGVRFVREIPTYLSISKIFGFFKTPWTCRREILSPHGPIQNFYFLQVLYYG >Solyc01g017360.2.1.1 pep chromosome:SL3.0:1:23859491:23859766:1 gene:Solyc01g017360.2 transcript:Solyc01g017360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFDGRTGNPFEQPVIIAKPYILKLIHQVDDKIHGCSSRHYELVTQRPLRGRAKQDGQQVGEMEVWVLEGFGVAHILQEMLTYKSDHIRARK >Solyc05g050020.3.1 pep chromosome:SL3.0:5:60773636:60780125:-1 gene:Solyc05g050020.3 transcript:Solyc05g050020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVNSFGKTICSICYEDLNPIIEDLQAVTICGHVFHELCLQQWFEYCAKGKKKNCPVCKQACSEENANRLYFQSIGDPNVTSLTQKPPDYEEDHWELQNEVKRLEMKVLGLTSTLEKQLKDLKEVNAELFTCKEELKMEMTLKIEAVKQEAAIQQLLHLKSKELDQSTLECIKLQDRNMALAKELATLKLVCDFNLEEEEVLKRASLRDDVNRLETIDVLKKSLVIRNKNYEELMTKCNTLDRREVRYLRKLQKTKEKKNNLKARVQELEIALEGKDNEILRISKASKKNYHGRKEPEVDRCSYENQNKEPAETEVDLCIVTGSCDDLSTPKRKRKYRSKDKSIPNMAEDIIASSLHKNNHEKESCKRNKDGGTSDTSSYVHEGSYQNLHQPFDHKKAVHDSFLSRTEAVFGATGGSLGHGSGNKDGMEASSNCSKNSKENMPPVIILDDDDDFPPVDDITQHQPAFHIRKETFPPVVLAKPGDRCFSGGLLGSDGNYRHLGKWCKRK >Solyc08g061033.1.1 pep chromosome:SL3.0:8:46498775:46499425:1 gene:Solyc08g061033.1 transcript:Solyc08g061033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRSVMKAQMMEARKFFKYDHSIFENSNLGDIPDIVVGVDKQVDCDNSPLRNLINVDAGFSSSKSIIPSIPQPSFVFDKSQKIRPLVFERQHDFTYQDDNDEEDQFIFPTPIQSIVPIEGSPQSQFELDDSLMPSLVT >Solyc10g008950.3.1 pep chromosome:SL3.0:10:2969959:2980345:1 gene:Solyc10g008950.3 transcript:Solyc10g008950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLFGRGSAGESPQQSSPSPSPSPPQTSPPSSVNIAAGPARPIRFVYCDEKGKFQIDPEALAILQLVKEPVGIVSVCGRARQGKSFILNQLLGRSSGFQVAATHRPCTKGIWLWSSPLRRTALDGTEYNLLLLDTEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEAALDRLSLVTEMTRHIRVRASGGRASSSELGQFSPVFVWLLRDFYLDLVEDNRKITPRDYLELALRPVQGGGKDVAAKNEIRDSIRALFPDRECFPLVRPLSNENELQRLDQIPLENLRPEFKAGLDALTRFVFERTRPKQVGATIMTGPLFARITQSFLDALNNGAVPTITSSWQSVEEAECQRAYDSAAERYMSSFDRSKPPEEGALREAHEDASQKAMAEFNSTAVGAGSIRMKYEKRLQNFIKKAFEELKKDAFREAYLQCSNAIQDMEKELRLACHAPDANIDSVLKVLDRLVSKYEATCQGPEKWRKLIVFLQQSLEGPLFDLIKKQTDKLGSEKTSLALKCRAIEDKMNLLNKQLEASEKYKSEYLKRYEDAINDKKQLADDYTSRITNLQSKYSSLEERYSSLSKTFTSAKHESSEWKRKYEQLLLKQKANEDQSSAEVSVLKSRTAAAEARLAAAKEQAESAQEEAEEWKRKYDIAVKEVKNALEKAASVQERANKETQLREDALRDEFSSTLADKEEEIKDKAYKLEHAEQRLATLTLELRTADSKVRNYGLEVSALKVEIKELGERIENIKDTAQSFEREAKILEQEKVHLEQKYRSEFDRFEDVQDRCKSAEREAKRATELADKARAEAAAALKEKNEIQRLAMERLAQIEKADRAIEKLEREREDLTDEVGRYHRAEKDARSKVAMLEARVEEREKEIEMLLKSNNEQRASTVQVLESLLETERAARSEATNRAEALSLQLQATQGKLDLLQQQLTAVRLNETALDSKLRTASHGKRARIDEYEAGIESVHDMDTNDRPIRGNKRSKSTTSPLKYTSPEDGGSVFRGEDDGHSQQTNGEDYTKFTVQKLKQELTKHNFGAELLQLKNANKKDILALYEKCVLQKS >Solyc11g067330.1.1.1 pep chromosome:SL3.0:11:53360820:53362121:1 gene:Solyc11g067330.1 transcript:Solyc11g067330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTILSRKFIKPSPPTPSSHRHYNLSFRDQTANNLYLPIAALYSKPENHTITQISNILENSLSKILFFYYPFGGRIKDNKYVDCNDIGAEYFNVHINCQMSEILSNPYNDAIEIVFPQNLAWGNSLSEERSSLLVVQLSHFDCGGVGISICLSHKVADGYSGCKFLSDWVSMARDDHKLNFQLSCQFDGASFFPPIDNPPPMPNVVPDPERCVSRMYNLSSSTLLKLKDIVVSTNPQIQNPSRIEVATALFHKCGVDVSMAKSGVFRRTVLFHVMNLRPPIPFNTIGNATCYFSTRAMSIDKTTLPNYVGELQKAKQQIRYELKDMNTKELALHAIEKIKEIVNIAKDDCFDMYFCTSLCTFGSRKIDFGWGSPLRVTHVKDPMKNKFIFMDDPSGEGINVLITLTEADMLLFESNKELLEFASPVVQSLE >Solyc11g010370.1.1.1 pep chromosome:SL3.0:11:3448165:3449214:1 gene:Solyc11g010370.1 transcript:Solyc11g010370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKITTLRDLIGAIKDKASQSKAAFISKPSSFSLHLAVLRATTHAPSSPPDDHHISSLLTLGDSSRATASSLIVIIMDRLHRTGDSTVALKCLLIIHQIIKRGPFILLDQLSVFPSTGGRNYLKLSAFRDGATASRFTISAWIRFYSRYIESLLYTSRILGYFLSSSSTYNTDEKISTFLNSDLIRDVDSLVQLIEETVKLPDSLLLDGNKLLYEVIGLLNSDYLSTVNELLIRLSEFNERLSCLSFGDSVELSFILKRLEECKERLSILFSIKKPSTELLWCSVSELSLKINDLKVDFSKRKLITFEKSSESARFNNRVMKFGDTVQFSSGRYEMNSLPLMIVKGRN >Solyc02g094460.2.1 pep chromosome:SL3.0:2:55612026:55614058:1 gene:Solyc02g094460.2 transcript:Solyc02g094460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITEAYGVMADGNNNNSNSMMNPPTPTKRSRSQRRRRSSLNFTSLDFLSHVPPPLPPLPPARVLEPTGFRFLFDKQLQNSDVSSLRRIVVPKKAAERYLPALEIKEGFPITMDDMDGIHVWSFRYRYWPNNSSRMYVLENTGDFVQTHELRQGDYFALHYNDQKQIYGIEARKAGSGAVFRGYEAEDVILTDYAQAADDGNGALMNEAEMDMSSFYFPAMDNEMGMSFIYDTSFWNEPAFDFVGGPMTYYSTNVYPMPSFGSIEDSFSVDDFY >Solyc06g073990.2.1.1 pep chromosome:SL3.0:6:45877267:45878596:1 gene:Solyc06g073990.2 transcript:Solyc06g073990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRRESIHDPKLDLKLNLSPPRENNNMFPILSPSRSSSSYTSSMERSPASSCVSREPTPDHQEFFGSPEATTTSMMLVGCPRCLMYVMLSAEDPKCPKCKSSVLLDFFREDRINNPKN >Solyc12g013900.2.1 pep chromosome:SL3.0:12:4704861:4706760:-1 gene:Solyc12g013900.2 transcript:Solyc12g013900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQIHVVVLFMLACLFTTSYSYQFTVGGKDGWVLNPSVDYNTWSQHMRFIINDSVLFKYKQGADSVLEVSKDDYDKCNTGNPIKKMEDGNSIFTLDRSGPFYFISGNKDNCDKGQKLQIVVISARNQGKPPQTPAPAVAPPTPSGGTRNQDFYFIPRSTPAAAPSKGSGTPSAPSANAPAGSSKPGASSPNGAPVSTPAGKSPTSSPTPSGSTASPPSPATVTPAMSPVANGPSTSTPGSSSPVAGGPSSGSGIAPSAGGPSGSAIAPAADGPTVSMSPGPSAGGPLAGGPSEGAPGSSALGPGGSNTPADINTPAGAPENPNSFAVKAFTPSVVLVSAVSLVLTVTLAEFIILP >Solyc03g059020.2.1 pep chromosome:SL3.0:3:29504724:29516212:1 gene:Solyc03g059020.2 transcript:Solyc03g059020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKQRLVVFAILLFQAVAITDVEEESSAIEKYPSHESGDNRKKRPGSGGIVIMVVGAVMTVIGAAVFVAVRTHRSTKQTLDSIGGSLSSLQSLPISASQGSLVAEYDRPDSSSSDSPPLISSWKLPPAPTMTIKMSNRRSFSKKCKIPICAKLYAVADLQLATSNFSPNNLLGEGTLGSVYRADFPDGQILAVKNIKTVALSITEEEQFMEVIRTVSHLRHPNIVALVGYSVGNGNHLLLYEYIRKVTLDDALHNVLCMPLTWSLRLRIAIGVSRALNYLHTSCVPSITHNNLKATNILLDEDLNPRLCDCGLAVLKPLASNNVKIKASEIAIADSGYVAPEHVKRGSGNPKADIFSFGVLLLELLTGRRPFDSSRPKGEQSLVEWASSKLHDSESLLEMVDPTIMRIISTRALSTYADIISQCIQPQKEFRPQMAEVVQSLVSLLQMSGQEKAKSGKDKETAERPQEQGILLPLRVNKVS >Solyc09g076050.3.1 pep chromosome:SL3.0:9:68163035:68166665:1 gene:Solyc09g076050.3 transcript:Solyc09g076050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:K4CVI2] MGSLADPGELDSVEPPPQQPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQDILKKSEALKAKIQTLDSETKASLEVLEQRETSMNVSLSIALQKVVENKRAAILALDEGVEQPEVDDSTGLLLKLKSFCVKMDSRSFWNFLTAKKKDLDSLRLEIPKALEECVDPPRFVLESISEVFPEDKREERNERNNDLGWACVLMLESLIPVMMDPVLGNERKLVTPSVKDKANEIAEIWKRSLDERGGIENVKTPDVHTFLQHLVTFGVVKDEDFDLYRKLVVGSAWRKQMPKLAISLGLGDKMPEMIEELISRGQQVDAVHFTYEVGLADKFPPVPLLKAFLKDAKKAAAAILEDPNNFGRAAHFASKKEQSAIRSVLKCIEEYKLEAEFPPENLKKQLEQLEKPKNEKKRPAAVPANKRTRANNGGPMPPAKAGRSTNAYVSSFPVAPAFVRSPPHTQYPQAVPAYASPPTIYGNRSPPYPYSPEAAPYPGTPVNYSPYGGYCNGMAPAYQQAYYR >Solyc09g059473.1.1 pep chromosome:SL3.0:9:54768021:54769538:-1 gene:Solyc09g059473.1 transcript:Solyc09g059473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLVDSWCFCNGGGKSERMKASIFSTKGPAMVHIAGAATGFLIHRNLLLTTHAILPSVAAAEAAEIRLQNGVAACLFPHSEAWKVYGTLQLANIVVKELPHVLHKVDVIEGDGGTGTVLKLTFPPGTPLFTYSKERFTVVDDEKKVKVALVVEGGILDHGFTFFQVRFDVIEKSETTCITKATIEYEVKEEAAANVSFVSIQTFVTIMEAVAMYLTQQKDGQ >Solyc04g051880.2.1 pep chromosome:SL3.0:4:51114907:51120535:-1 gene:Solyc04g051880.2 transcript:Solyc04g051880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNILFFLNHGCSSLDLEKLILRNPIIFVQKLEWLEDIVQRVEKEFCICRDSRMFYYGVEMSLWVVIQPWSGYSVASKGQSSRG >Solyc02g091130.3.1 pep chromosome:SL3.0:2:53181781:53185472:1 gene:Solyc02g091130.3 transcript:Solyc02g091130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP synthase subunit beta [Source:UniProtKB/TrEMBL;Acc:K4BCR2] MASRRFFSSMLRSSIRHSSTKSSLTNSIHRSSPVGHLLHRAVKYATAAAAKEAPAPQKKPTTIKGTGGKITDEYTGAGALGSVCQVIGAVVDVRFDEGLPPILTALEVLDHDIRVVLEVAQHMGENMVRTIAMDGTEGLVRGQRVLNTGSPIKVPVGRATLGRIINVIGEPIDERGDLKTEHYLPIHREAPSFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQGESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKESIQSFQGVLDGKYDDLSEQSFYLVGGIEEVIAKAEKIAKESAS >Solyc04g016050.3.1 pep chromosome:SL3.0:4:6723255:6728997:-1 gene:Solyc04g016050.3 transcript:Solyc04g016050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNKNGGNINWFPGHIDAANRAIRRRLKLSDFVIELRDARIPLSSANEDLQPMLCEKRRVIALNKKDLANPNIMHRWIRYFNSCKQECFPINAHSRSSVQKLLDIVEFKLKEVITREPTLLVMVVGVPNVGKSVLINSIHQIASSRFPVQEKMRRSTVGPLPGVTQDIAGYKIAHQPSIYVLDTPGVLVPSIPDIETGLKLALAGSIKDSVVGEDRIVQYLLAVLNTRGTPLHWRHLISRETEGLHHELDDKPEYNLKDLLPKRRKPPNKSDIYYIEDMVSEVQRTLCTTLSEFNGNLDDEGELEILIDQQFEALQTALKIPYKASEARMMVSKKFLTLFRTGKLGPFILDDVPDASDSAS >Solyc04g049872.1.1 pep chromosome:SL3.0:4:44249155:44249721:1 gene:Solyc04g049872.1 transcript:Solyc04g049872.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLDPSHFFLGIEVNCFKGGIHLNQSKYGTEMLTETEETFANSVATPLSRKYVCMRLWDVLMIVGSLQYLTFRRCDITHAMNLASKFMQSPNVEHFQGVKIILKYIKGTLHFGLRIISQSPRRLYDYSNADWRGFRKTRISTTCFSIYIGANCILRPRRNKAQ >Solyc10g037860.1.1.1 pep chromosome:SL3.0:10:17773779:17774108:1 gene:Solyc10g037860.1 transcript:Solyc10g037860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGDDPCQLNVVFKPMAMWLVHTKNLPSDVHKPYPMPAIHDQCRLHDEHKIRSIQAVHDQCCLADATCYCPRYFPEVQKSRLILLVVSKRRYYLADSHTPCLMRACLA >Solyc04g014800.3.1 pep chromosome:SL3.0:4:5038882:5043003:1 gene:Solyc04g014800.3 transcript:Solyc04g014800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1-monophosphatase [Source:UniProtKB/TrEMBL;Acc:A0A140TAT3] MARNGSLEEFLAVAVDAAKRAGEIIRKGFHETKHVVHKGQVDLVTETDKACEDLIFNHLKQHFPSHKFIGEETSAATGDFDLTDEPTWIVDPVDGTTNFVHGFPSVCVSIGLTIGKIPTVGVVYDPIIDELFTGINGKGAYLNGKPIKVSSQSELVKSLLGTEVGTTRDNLTVETTTRRINNLLFKVRSLRMCGSCALDLCWVACGRLELFYLIGYGGPWDVAGGTVIVKEAGGVLFDPSGSEFDITSQRVAATNPHLKEAFVEALQLSEYVS >Solyc04g080760.3.1 pep chromosome:SL3.0:4:64943256:64945269:-1 gene:Solyc04g080760.3 transcript:Solyc04g080760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BVF5] MASLGLVMFILSCAFFQAFAVSSSNDYGGLFPELYQFSCPQANEIVMSVLEEAIAKDPRMAASLLRLHFHDCFVQGCDASILLDKNSAFKSEKEAGPNKNSLRGYEVIDEIKAKLEQVCPHTVSCADILALAARDSVVLSGGPYWEVPLGRRDSKTASFNKANVNIPAPNSTIQNLINLFNKQGLNVQDLVALSGGHTIGMARCVSFKQRLYNQKGDNLPDVTLEKTYYNGLKSICPTSGGDNNISPLDVASPIRFDNTYFKLLLWGKGLLNSDEVLLTGNVKKTKELVKSYAENEAIFFRHFAKSMVKMGNINPLTELKGEIRKNCRRIN >Solyc02g085810.3.1 pep chromosome:SL3.0:2:49219816:49251358:1 gene:Solyc02g085810.3 transcript:Solyc02g085810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFVRDDVPLSRFGVLVAQLESIVASASHKSPDALLCFDLLSDLISAIAEESKDSILLCQRKCEDALYSLLVLGARKPVRHLASEAMARVIQKGDSISIYSRASSLQGFLSDGKKSEPQRIAGAAECLGELYRYFGRRITSGLLETTTIVTKLLKFNEDFVREEALQMLQNALEGSGGGAAASAYTDAFRIIMRTGVVDKSSIVRVAAARCLKALASIGGPGLGVGELDNACSSCVKALEDPISSIRDAFAEALGALLGLGLNPDAQVQPRGKSHFTPKKLDGGLERHLTFPFVKASGPRAKVLRVGLTLSWVSFLQAIRLKYLHPDTELEKYIFLVMDMLRADSSFDAQALACILYILRVGITDQMSEPTQRGLLVILGKQLQSPDATPSMRVAALRTMSYALKTLGEVPAEFKDVLDNTVVSAVSHHAPLVRVEAALTLRALTEVDPTCIGGLISYAITMLGAVRDNISFEKGANLKYELECLDGQAAVLAALVSISPSLPLGYPSRLPRSVLELSKKMIMESSRNPMAAAVEKEAGWMLLSSLLACMPKEELEDQVFDILSLWASAFQGSPERHISETKDLQSNISVWSAAVDALTAFIKSFVSAGAVNKGILLEPVLLYLSRALSYILLLAAKDQMTVKQASDIFIIKTLIAYQSISDPTIYRRDHARLIQICGTPYREASKCEESSCLRMLLDKRDAWLGPWNPGRDLFEDELRSFQGGKDGLVPCVWANELPSFPKPETISKMLVNQKLLCFGNIFASEDVGGMLSLLEMVEQCLRAGKKQAWHGTSVTNICVGLPCLLYVLNPYHWKYLGWHSLSFRASSEGLGLLARLGNDVFTARLTRVLLADINSAVDSYYAGSVALSLGCIHRSAGGIALSSLVPATVNSFPSLAKSSNTGLQIWSLHGLLLTVEAAGLSYVSHVQATLSLAMDILLSNEIGSTNLQQAVGRLINAIVAVLGPELSPGSIFFTRCKSVIAEVSSRQETATLYENVRFTQQLVLFAPQAVTVHHNVQTLLPTLSSRQPTLRRLALSTLRHLIEKDPGSIMNEHIEDTLFHMLDEETDAEIGSLARTTVMRLLYASCPSQPSQWLSICRNMILSSSSRVISTSDSSQNDSSSGLDGNTRLNTGDDDENMVSSSQNRNFQGYGNNHSIVYPPRDKHLRYRTRVFAAECLSHLPAAVGKNPVHFDIALARQQPASGSSSGDWLVLQLQELVSLAYQISTIQFENMRPVGVTLLSTIIDKFGTLDPELPGHLLLEQYQAQLVSAVRTALDSSSGPVLLEAGLQLATKILTCKIVSRDQLAVKRIFSLISRPLNEFNDLYYPSFAEWVSCKIKVRLLTAHASLKCYTFAFLKNQQKEITDEYLALLPLFSESSKILGIYWLCLLKDYSYIRTQSFPKENWKPFLDGIQSTLVSTKLMACLEEAWPLIVQAVALDAVPLNTYIKGSSETEEQSITDLISGYNMVELGSEEFQFLWGFALLLLFQGQDSVLDESRLHIGSVNTILSGRCVSDEVKSIALELCEVALPVFQVLLAERFFSAGFLTMDSCQEVLQVCFFSIFVEDTWDNFAISILSQIAQKCPLDFLKTESFVYLVSELYLALLFKSFSSATSQYHLSWDDTVSALLTTAPTLLKQYEPKMGLKSILAFLLVGYKCIERASTEISLSRVHDFVQCLTSVMKTYVTDISELGNDSIGYLMTITRTCLTTSVILAENCTKGIHQLENKRSNLHKLLLLKLALSLEQTTSFAKLAFEIQLLKENQGCKPVFYAMICNATRCFRSALTDPDIQVQAIGLQILKGVLTRKINSESYSFFIFFVGELVEDLGSVIQKLFKTPMSREVVAIAGECLKVSMLLQTLSRTNECQKCLMNLFLEAVLLFTTSENSSQEARDLKITAIKLVTQLAQLPDSSACIKEVLLTMPMIRRQQLQDIIRASVMQDQNQKQVNSTGPSFIIKLPAKIEENRKEEIIVSAPCSEEVEDNSEEEEEDDWDTFQSFPSTDEVDHTKTEFQDSRSIENTISDGGFKGESISVPQDEVEETTDTISDGGLKGETISIPEDEVGEITAKNQMASDDETLSGNADSSNQTQDLNGSKDGFCDDKLSDAHHMEKDRAVLRHSDVILPDSQSEVGEGPETCENLQVQKRTGGNLSSEVGEHAEDVKAHGSFYEDHQRSREESSETNKGTLPNLQPSEIQSMPLDDRNEDMKEQTTLDDHHEDEEMRDTTSIKDHQEGKDLKDTTSLEDHHEEKDLKDTTSLEDHHEEKDLKDTTSLKNHHEERKTDEEDQCSNIDLSEQSPKKLEQTTLDDHHEDKDMRDITSVKDQHAEKDMKDPASPKDYHEERKTENEDLCSDTDLSERLPKDFEQTTLDHHKERNAENKDQRCNIELAKQSPKNLEGDEDEK >Solyc04g009240.2.1.1 pep chromosome:SL3.0:4:2713609:2716146:-1 gene:Solyc04g009240.2 transcript:Solyc04g009240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFVSFAVQKLGDFLIQEINLRLSLRDDIQWLRNELLFMQSFLRDAELKQCGDQRVQQWVFEINSIANDAVAILETYSFEAGKRRPSRLKACACICSKEKKFYNVAKEIKSLKKKIMDISRKRETYGITNIISGDEGPSNQVTTLRRTTSYVDDHDYIFVGLQDVVETLLAQLLKEEPCRTVLSIYGMGGLGKTTLARNLYRSPRIVNTFPTRAWICVSQEYNTMDLLKTIIKSIQGCTMGTLGLLEKMDERDLENHLRDLLKERKYLVVVDDIWQREAWESLKRAFPDGEKGSRVIITTRKEDVAERADDRGFVHKLRFLSQEESWDLFCRKLLDVRAMVPEMESLAEDMVEKCRGLPLAIVVLSGLLSHKKGLNEWKKVKDHLWKNIKEDKFIEISNILSLSYNDLSTALKQCFLYFGIFPEDKVVKVDNIIWLWMAEGFIPRRGVERMEDVAEGFLNELIRRSLVQVANTFWEKVTECRVHDLLHDLAIQKALEVNFFDIYDPTSHSISSSCIRHGIHNQGERYLSLDLSNLKLRSIMFYDPGFHKMSLINFRSVFQYLYVLYLDILGATIPDVIGSLYHLKLLSLSCIHYLPSSIGNLKNLQTLVVVAGAYSFQLPPETTDLINLRHLVVRCIKPLVHINKLTNLQVLQGIQCDQWKDIDPVDLVNLRELSMSGIDKSYSLNNINSLKNLDTLKLFCGFDESFPSLEFVIHCEKLQKLYLNGSIEKLPNLFSNSITMMTLTDSKLTQDPMLILGMLPNLRNLQLKEAYFGKEIICSDNSFCQLQFLQLEYLRNLETWRLGTNAMPLIKGLCIDHCPNLKEIPERMKRVELLKRTSKWSS >Solyc09g065360.3.1 pep chromosome:SL3.0:9:63688106:63693646:-1 gene:Solyc09g065360.3 transcript:Solyc09g065360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILPLRSLMRMSHNEDKPITYGDVFFVSSEVSSETISAEDASIMQSVESVALGHVPRGGPASLMQSAASQNERMGVVDTKDFATERGVTVSQVEVGGTRLVSESVGGEVVAQYIYPSGAADEERSTRYPTTGVQEDAVTVGEALEAVALKPEGNKPIEQSDAAAIQAAEARATGRSEVVPGGVGAEAQCAASINAQTDDKTTLRDVLKDATSKLIEDKAAKREDAEGVVGAEIRNTLDLATHPGGVAASITTASDLNEF >Solyc05g010560.1.1.1 pep chromosome:SL3.0:5:4773300:4773605:1 gene:Solyc05g010560.1 transcript:Solyc05g010560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAETQCTNTKNYTVKKQFVQDLRPANPFVKVRALFPPWLMPLEIYFFNGTPTFQREAKASPCKGTPHESFRPRFTSSSLRLSARKVADYYKSERMENKG >Solyc01g080400.3.1 pep chromosome:SL3.0:1:79501504:79508467:1 gene:Solyc01g080400.3 transcript:Solyc01g080400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVHGKCCCRYPRSSDGDNREDLGRYAQVQTHILAGRSVESAQVPSHNFRLEYSVLTQRGFYPESPEKENQDSYCIRTQLQGNPNVHFFGVFDGHGQFGTECSVFVRDRLVEILSNDSTLLDDPVKAYNSAFSITNEELHDSEIDDSMSGTTAITALLVGDMLYVANVGDSRAVMAVKEGNRVVAKDLSSDQTPFRKDECERVKSCGARVLSVDQVEGLKDPDIQSWGDEETEGGDPPRLWVQNGMYPGTAFTRSVGDSTAESIGVVAVPEVTTVHLTAHHPFFVVASDGVFEFLSSQTVVDMVNRAADPRDASSAIAGESYKLWLDHENRTDDITIIIVHIKALSNSGGGATAKRNGGRTTNTEKETSEIYFTPSASEGYRSVRSELSEVSCCQTVPSSDQSSRVVVSSSLD >Solyc04g078560.1.1.1 pep chromosome:SL3.0:4:63350750:63351904:1 gene:Solyc04g078560.1 transcript:Solyc04g078560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTIKFLIFFFSVTTAQPLKGQNQLIFSDQRLAVVYPIIQKFKNIISADPLGITKTWVGPNICNYTGFYCESPPDNSSAIALASIDFNGFQLSALTLDGFLDQLPDIAIFHANSNNFGGTLSPKIANLFYLYELDISNNQFSGPFPSPILGMNSLNILDIRFNSFTGSIPPQLFTKDQLDALFINNNNFMQMLPDNIAISHVTYLTLANNRFFGPIPHSISKILSSLSEILLLNNLLTGCLPYEIGFLNEAVVFDAGNNRLTGPLPFSLGCLENIEMLNFAGNQMYGMVPDVICALENLANLSLSDNYFTGFGPICLRLIENGVLDLRNNCIPGFPFQRSIAECVAFFAYPRYCPHMASYTYIPCWLSNFKTPSLDLPELAP >Solyc08g061950.1.1.1 pep chromosome:SL3.0:8:50193085:50193249:1 gene:Solyc08g061950.1 transcript:Solyc08g061950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFELWLSWRRNLLFVLQLSLLFIVILVIEWFSRICPLYVFSGLNDGKGSMPLCA >Solyc07g007250.3.1 pep chromosome:SL3.0:7:1988957:1990456:1 gene:Solyc07g007250.3 transcript:Solyc07g007250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metallocarboxypeptidase inhibitor [Source:UniProtKB/Swiss-Prot;Acc:P01076] MAQKFTILFTILLVVIAAQDVMAQDATLTKLFQQYDPVCHKPCSTQDDCSGGTFCQACWRFAGTCGPYVGRAMAIGV >Solyc01g096590.3.1 pep chromosome:SL3.0:1:87532948:87534986:-1 gene:Solyc01g096590.3 transcript:Solyc01g096590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAAMKPTKPGLEEPAEMIHKIRITLSSKNVKNLEKVCADLVRGAKDKRLRVKGPVRMPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSPDVVKQITSITIEPGVEVEVTIAES >Solyc11g044335.1.1 pep chromosome:SL3.0:11:33187215:33198070:1 gene:Solyc11g044335.1 transcript:Solyc11g044335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVCVLPYSFPSNPSFIEYIQQTYNPAFRGFARNIVKYDIFEYQEALEIKDDNEDLLGWWRRRSVAFPILSEMVRYVVIQASSLTSEPHWALQYLAITHPDIQFAVNRVAQCMHQPSEHDYHCLKSILRYIFGTLGRGLLIRPGDLELRGF >Solyc03g113700.3.1 pep chromosome:SL3.0:3:65252534:65254326:1 gene:Solyc03g113700.3 transcript:Solyc03g113700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSIPNVSIPIASSNPKDFAKKKRVNRLAKLKQCKLDARREQWLSQVKNKVLKEEQNTGGTHGSAKNVANERERLIKKLQIKPIGEEDNEGSLNHYSDFDSPTSHTSSVLGGNDSGTNFTGSSRGSSSSSSSGGCCSGSVSEEDEEVEDDCLDDWEAVADALAAIDEKQEQQNPSLDSHFERNENMTHMSSRQEVSERQGSGIDRSKQECNARGLTPRPPLSCRAWRPDDTFRPSGLPNLSKQHSFPMNSERRCRRDSVWGCKTLATPTLCPICCEDLDYTDTSFLPCSCGFRLCLFCHKKILEEDGRCPGCRKQYNQEAVEREATIDGGRLTIRLARSCSMISRS >Solyc08g005960.2.1.1 pep chromosome:SL3.0:8:706535:726220:-1 gene:Solyc08g005960.2 transcript:Solyc08g005960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKFFSTLALLLSLNLIFFTFVSSCNTCPKPKPKPKPSCPPPPYVPKYKTCPIDTLKLGVCANVLGLVNVVVGSPPVTPCCSLISGLADVEAALCLCTALKANVLGINLNVPISLSLLLNVCSKKVPNGFQCPN >Solyc10g075063.1.1 pep chromosome:SL3.0:10:58824921:58828250:-1 gene:Solyc10g075063.1 transcript:Solyc10g075063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENLISTAMYTSRSNSRNKKPYNPNAFCEYCHMKGHMRNDCNKLLKCDHCHKTGHVKLDCFKLIGYPSEFKGKRDTLVAGNSIYEASPIHHQAPQQFSSQQPTQKESYPAAESGMMPMPMFTPQQHQKLIQMLNQTTVGDTHCVANMAVMIHQTKEMLQQAFKIKDLGELRYFLGLEFARSDAGILIHQRKYALEFISDMGLAGAKPVSTPMELNQKLTTVEFDTNIPSTYPDETLKDPTGYLRLIGRLLYLTTTRPDISFVVQCLNQFMHSPKTSHMEVAIRLVRYSKTEPGLGILMTSKGGSELKVFFDADWGACINSRRSITGYLVQYGGSPISWKSKKQVTVSRSSAEAKYSNGIYSC >Solyc06g082680.3.1 pep chromosome:SL3.0:6:48468535:48476548:-1 gene:Solyc06g082680.3 transcript:Solyc06g082680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVKLKAVVYALSPFQQKIMPGLWKDLPGKIHHKVSENWISATLLLGPLIGTYSVGVGKDMVYVRAAFPGEGEVRTQILSSVRHLSGDQRINEVLFVTCLGRTAISISV >Solyc03g007580.2.1.1 pep chromosome:SL3.0:3:2124077:2128864:-1 gene:Solyc03g007580.2 transcript:Solyc03g007580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDVPCCESMFWVYLIICVSLVCFAGLMSGLTLGLMSLSLMDLEVLIKAGQPNDRKNAGSPCFDLIIIFYLLVLT >Solyc12g044870.1.1.1 pep chromosome:SL3.0:12:61154100:61154600:-1 gene:Solyc12g044870.1 transcript:Solyc12g044870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVVDQVIVALSHATVLEELMREKLEARNGLQQQAKENVVKASHTRYSFQNLMNNGMKRPMHSILGLLSILQDENTSTNQKIIIDTTVRMSTVLLNLINDAMDIPDKDEGRFPVKMMSFQLHSLIREASCLVNCLCVYKGFRFSMDVPNSLTNLVMGDEKRR >Solyc09g014380.3.1 pep chromosome:SL3.0:9:6008065:6012406:1 gene:Solyc09g014380.3 transcript:Solyc09g014380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX1 protein [Source:UniProtKB/TrEMBL;Acc:F8SS62] MLGQKQAEEAIVPNFSDNDGNCSKEEVEKLDDDQSLFNVKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMVSGIVLQVFYGLIGSWTAYLISVLYIEYRSRKEKEGASFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTVAALVHGQVENVQHTAPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLIATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKNGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRKSSARQNAAEKPPFFMPSWTAMYVINTFIVVWVFVVGFGFGGWASMTNFVKQVDTFGLFAKCYQCKPSLPPAASIPPHTAPIHH >Solyc11g011180.2.1 pep chromosome:SL3.0:11:4245193:4249160:-1 gene:Solyc11g011180.2 transcript:Solyc11g011180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSKIVYWWLLILFMANGWLCCYCCLNEEKTALLQLKANINYSTHDDYLSSWEANETSDCCQWEGIVCSNSTRRVVELSVIAKQISQEEQLGNFTTRDDMLRNWLFNASLFIPFKNLKALNIPGHSLAGWVKNEGFEKLRPLRKLERLDLSGNQFNRSIFQSLSQLSSLKSLNLSSNNFAPRSEMWFTDNKIGSGSERLSGLDKLEILDLSYNDLEDKKFLSALELNTSRPTLKKLNIRYSRFQSFIPNEELGALRNIEYLLLDGITLDKNFLRSSGVMSSLKVLSVAQCSLNGTLPRQGLCDLKYLEELSLSRNSFTGKLPACLRNLTFLRVIDLTQNQFTGNIALSPLSSLLSLEYLLITNNNFEVPISFESFANHSKLKFVFADYNSVIVQTTSKIWIPKFQLEALSLFNSSQMPNFLHSQHHLRLIRLSKCNIGGDFPNWLLENNPKLGEVYLDGNAFTGSLQLPFLPNLKAFDISNNKIRGQLPPNIGSIFPNLVISTMSSNMLEGLLPSSFADMQSLECLDLSYNKLKGELPTRLARKGSKLYLLRLSNNMLKGEIFPASANINNFNYLYLDGNNFSGPIPQTLSTAPLRTLDLSYNNLSGNIPSWLGNISSLTFLALSKNHLNGHIPPDFCRLEGLEVLDISENNLVGVIPSCFSSFRDLKHVYLSKNKLQGEFNMFSNSGLRVLDLGDNNFSGSIPKWLGSSGIITLLLKGNNLQGTIPTELCHASYLRIMDLSHNNLSGPIPRCFGNIMQQEDIIELYPYSSSFGSSVFETFGGDTAIEVESSIMSSSTLLLLDNYVWVGAEFMTKHNTYSYEGSIVDYMSGIDLSCNQLSGHIPKELSNLTQIRALNLSHNHITGTILSAFSKLLNIESLDLSYNNLTGNIPTQLLDLTTLAVFSVAHNNLTGTTPQPIAQFGTFNESSYEGNPFLCGPPLPISCTEPKEIPKSPLEPECCEDDTGFLDIELFYISFLVAFANVVLATVVVLWVNPYWRNVWFYYVEYYMYSCYYFFASKM >Solyc02g011690.1.1 pep chromosome:SL3.0:2:13860478:13862272:-1 gene:Solyc02g011690.1 transcript:Solyc02g011690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIIYYTALYRQNKIRKEIWDLGHRSSNSLGGALRATHLKILERGWRAQVSRLKLWEPRWRAEGVVTQAPLPLVAHISVGRAHRAVCLKLQELGCRASGYVILGGAQGIEPQARGSWVALKALHPKLKDHGLRSKRCASSSRSLGDTLKTSCLKPWVPWWDAQSIAPQALDTGWCLRVSRLKFLLPGRRIVPQALGFWLALKKPRASCLKLWGRVACLGRCASSSEGPSGAFRASCLTLKDLGWRAQGVAPQAPRGWVALSWHHPSRLVGADGAGIEPQPPRALVETSGHCASRSSSLGDALKAPSLKFVEPCWPAQGVSFKASGSWLSLNALHLKLNGFHISSCGFYLLGKDVPKG >Solyc01g006650.2.1 pep chromosome:SL3.0:1:1244769:1254447:1 gene:Solyc01g006650.2 transcript:Solyc01g006650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMDEIGIDVSSDIEIDDIKCDIAEKDVSDEEIEPKELERRMWKDRVKLKRLKEKQKLAARLAAEKQDNKQVTDQATRKKMSRAQDGILKYMLKLMEVCNARGFVYGIIPDKGKPVSGSSDNIRAWWKEKVKFDKNGLAAIAKYEAECLARGDGVGSQNGNPQSVLEDLQDATLGSLLSSLMQHCDPPQRKYPLEKGISPPWWPTGDEGWWAKMGLPKGQKPPYRKPHDLKKMWKVGVLTAVIKHMSPDIAKIRRLIRKSKSLQDKMTAKESSIWLAVLSREEATLQQAGSENGSSSVEEPVRNRGEKKKPLVSSDSDYDVDITDDGIGSVSYRDERRNHVHPLKAIPQSHQSTEKGDGHHRRRKRARSNPTEKQIQPSQLHGDEHSNTLPDINSSKMLLAGRITSNSLQGNDKSETTNSVENDLEIQSELPLQDSNLSLVPSAHVVRKEDTYIGAGPSFYPMSQNSAVVPYESEMHLENQRSIVQHQFQDTQFHGLQKISGINDGPQISLSHYGPPNNGLQYGPPSSIAHTELQVSEFAQGSQFSNFSQPPVYHSYSSPEFGATHAEPQSHLAHNELHVTPRHSGVGPSLHGSGNDISKVNHHDGKDMFQNNHDRHIDMPFASPLGSPFDLGLDTESHFDNSDYDLDFDKELMSFFAS >Solyc06g036505.1.1 pep chromosome:SL3.0:6:26338896:26339334:1 gene:Solyc06g036505.1 transcript:Solyc06g036505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVSFKFDSLVKNKTWILLSSPPNENIVGNKWVFQIKFWANGPVERFESRLVTQPPTDYFETFDPAIKPSTMRLILYLALKRVGICTNLMSQMLSCMLSYPRLFICSNRRDLNTQIIPIMCISCSLLYMTLRNLQGFGFM >Solyc02g085450.2.1.1 pep chromosome:SL3.0:2:48981976:48985733:-1 gene:Solyc02g085450.2 transcript:Solyc02g085450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAC19.2 [Source:UniProtKB/TrEMBL;Acc:Q9FYX4] MDRGKIPDLAARSNRIYLDLEDIIKENALPFLPAKSAVKFQAVCRDWRLQISAPLFAHKQSLSCNSTSGIFSQLNRGSPFLIPIDANSCGVPDPFLNFLPEPVDIKSSSNGLLCCRGREGDKVYYICNPFTKQWKELPKSNAYHGSDPAIVLLFEPSLLNFVAEYKIICAFPSTDFDKATEFDIYYSREGCWKIAEEMCFGSRTIFPKSGIHVNGVVYWMTSKNILAFDLTKGRTQLLESYGTRGFLGTFSGKLCKVDVSGDIISLNVLANTHSNTMQIGSQIKMWSEKEIVVLDSEIVGDGAARNHTVLHVDSDIMVVLCGRRTCSYDFKSRLTKFLSSKVGILDRCFPYVNSLVSL >Solyc03g121080.3.1 pep chromosome:SL3.0:3:70793560:70797612:1 gene:Solyc03g121080.3 transcript:Solyc03g121080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRTTPTPSLSSPKSSAVPDRSLSGSRESNENEQPLNQKPMTKNFMSPTISAASKASIPMRKKILAERNELSTTCESLPHKASNIGSKTNSLNSTSHCSGKLPISTYSYASESDNDQENNSVVDSSYKPYDPHTNDLGPRPKYLRYQPNRRRGTFLDPKKSDEDQGSLQQTQDPSNLPEISNLELGNDEDVVEDSVEDDEEEMEEDVDREWSLKGVFKILLLLAVFFLSGSNLSTMDSVVSSDSGVMIRKNIFEAVFHEIYGSDSAYVDQPEYSQSGFLELCQRETTNELKAVEFVEDTVELLEVGSAEMEETTQPQEKGVSADVDGDEEEETMSTDADEVTIFNEVDEAEVDGFDEQLQRDQVDENNPELENLEVLLDDISSSKFKLAEVDNNDEQLEITSQEQTGELEIQETVPGGAEEIKTEVEISNFDTEIESENAEVKEIGPSNAVIVVGVSAASALLAMAIIYVARKPNASIETPQAEILNNSAIASVGGELLEKKTETSVKVSFLQEPLNNPSENRRNSHLERIPAIESITRASSLCGPTKEASKEISHFSAPKIELLGEMMVGEVSSSLRSCVRKNNMIEAEGSNTNISKGVVLPPAQPSVVETDSPSYGSFTAEKKILKKKVEKDREEIKKVVLTTPVRRSSRIRDRIGMSP >Solyc09g074410.3.1 pep chromosome:SL3.0:9:66635723:66638494:1 gene:Solyc09g074410.3 transcript:Solyc09g074410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLASRGGIKSRGLLQTFNKDFSTHIERKLEGKVALITGAASGIGKETAAKFINNGAKVVIADVQNQVGQETASELGPNASFVVCDVTKESDVSNAVDFTISSHGKLDIMYNNAGIPCCTPRSIADLDLDAFDRVMAINVRGVIAGIKHAARVMIPRKTGSILCTASVTGVIGGLAQPTYSTTKSCVIGIMRSVTAELSQNGIRINCVSPFAIPTPFYMDEIKLHFPKLEPEVLVKMLHRTSELKGAYCEPIDVANAALFLASDDAKYVSGHNLMIDGGFTSYKSLNFPMPDQV >Solyc05g005730.3.1 pep chromosome:SL3.0:5:536957:540418:1 gene:Solyc05g005730.3 transcript:Solyc05g005730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESVSEATKCFRKRKMEFRKKGGKGKKKQKGAPFSEKRVKIDNKMKKLFEKRARDYNSDNEDDNDGEPSPVTRAKRTSYGKDKPKSYGKDKPKSYGKEKPTSYGRDKSTSYRKEEEDFDEEWADDGEEDLNEEIEISEDEDGEVQPGITKFIDGCNAFRLAFKKILKKSASDDILGPVLSAHKKLVAEKLAEEDVERKVKGEAKKEKHLIREKGHEKPANFLDTYEKSLIAVATKGDPPNFVLNFFWGTMVLSVVKLFNAVNKAQHAQKGLNPSRAKDEKVIKKRRREVFFSELGKAPSQTTVSKAGASNSLEDEGPAWAPLRDTYMLTNPKLKDWDKNPDTTVDDDVRMPADSDSSDDE >Solyc05g011930.3.1 pep chromosome:SL3.0:5:5165275:5172637:1 gene:Solyc05g011930.3 transcript:Solyc05g011930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVSLGESHGRPWTGKKTSRRCNDGTGADHVVLIDVECETFGNVIFIDVPESTPKKFRGKTAAKKDKGRSPLRNIIFIDDDESNGNEYPKFGLEDDHHFFHDPSPSMRPCSSSRSAKEPLDEIDDDCQFVRENISPVKLSKCKRTYSGKSPVQNRYGLMSDSESSSSDDDEVVMGDYSGLLREEWQKAFLKRKNDNSGQSGVRDINSASTVGIQPEPGESKEHPVCPGNSKSSTEKENLSPRSTRESHCNETGSFNGKEDLLPGGSRWWTTGSSVKHKSDCNYSNGSVCLRESSTCRGASSGIRISGKRCVDQENGKWIPDRTSELSSNHNETQPRNSGSLLEEDLSESVSMSQHKDERHDDVNGEDGENVERCVENCITTERERFKETSEYKKALEEELASRQRALAIQAQEAKKMKLLLKRKKAESMRLLEMEKRQKQRVEEIRETQKKDVENMNLKEQIRAEVRMELSKLEITCHDMASVLSGLGITVGAGTSHEVRAAYKKALLKFHPDRASRSDLQQQVEAEEKFKLISRMKDKYLPTL >Solyc08g065670.3.1 pep chromosome:SL3.0:8:53962124:53964466:1 gene:Solyc08g065670.3 transcript:Solyc08g065670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICGLVSCISSTSFEIQPVDFGNENVVHYDDNNINENQCVIGSVFSQQGNKGINQDSAILYQGYGVENGVFGGVFDGHGKNGQVVSKFVMNKLPSLLLKYILSLPKITSPKQNVKLVDEESVKSKNFNKWKDACLSSFKVMDRDIKSLEKLDCSCSGTTAVVAIRQDDDLIIANLGDSRAVLGRKTEEGVIEAVQLTTDLKPGLPSEAERIRNCDGRVLALKEEPHIQRVWLPHEDVPGLAMSRAFGDFMLKNYGVISKPDVSYHHISQNDQFVVLATDGVWDVLSNDQVVSIVCAANNAASAAEAVVQASLDAWEQKFPNSKRDDSTVICLFLQ >Solyc12g062900.1.1 pep chromosome:SL3.0:12:35663899:35665057:-1 gene:Solyc12g062900.1 transcript:Solyc12g062900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLLLLWLLVKMRIVPLKDHLNIGIMAHIDAGKTTTIERVFYYTGRNYKIDEVLQWTGWSKRANRGGSQLLLLLIPRYWVLDGAICLFDNVAGVEPQSEIIPLLTCEPDAGAPQVNYRESISRNTEVKYLHKKQSGDSGQFVDITARFESMEAGDGYEFKSETNGGMALTMMWIIFKCFGNPLLEPIMKVEVVTPEQHLGDVISDLNSRRRQINSFGDNGPCIVC >Solyc10g078380.2.1 pep chromosome:SL3.0:10:60333742:60336343:1 gene:Solyc10g078380.2 transcript:Solyc10g078380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQEDFQENLESCNYFSKNKSSSSDNNMMGEYYGFDIEAIKNFCSSSPFYPQHNHVEFQETIESNNNSPESRAKNHKEAERRRRERINSHLHSLRTLLSCNSKLDKASLLAKVVQRVRELKEQTSQIMQSETNLLFPSETDEITVLSSNDCLADGRLLIKASLCCEDRFDLIPDLIETLKSLGLSPIRAEMVTLGGRIHNVIVLAVDHKKESNNNNTDHDESSLLFLRDALRSIVQRSSYGTGERGKRRRVLGQGTRNY >Solyc08g016620.3.1 pep chromosome:SL3.0:8:8077080:8080611:-1 gene:Solyc08g016620.3 transcript:Solyc08g016620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRATSSKPLFCYSKLLCISVIYLFTSLFLVVYTSFISPTNCLFRYSPNDPIQKPLFIYNSSYGEHKHALPTFRSSCDSPVYFSDYWNALKEMNEFSENSTSLGSRNLRYIQGNADSFGGNFSIRKRFSYFDHHDGIQVPCGFFKRFPISKFDRISMENCKGIVVISAIFNDHDKIRQPKGLGKDTPYSVCFYMFFDDVTLKGLQYHNLISTISSEENLVGVWRIVKVEKEHLYENSAMNGVIPKYLVHRLFPNSKYSIWIDAKIQLVVDPFLLIHSLVVMEDVDMAISRHPFYVHTIEEAMATARWKKWWDVDGLMKQMETYCDNGLQPWSPEKPYPSDVPDSAIILRKHSVATNLFSCLLFNELEGFNPRDQLAFAYVRDLMDPKLKLNMFDVEVFEQVAAEYRHSLKNDGVSNLKVMPKKTKRASSRFLVNGTSSKCDGYLLKMWGESQD >Solyc08g069210.1.1 pep chromosome:SL3.0:8:58326255:58330053:1 gene:Solyc08g069210.1 transcript:Solyc08g069210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDGTLGTNVKELNIASEISSPDHDSTLRNVHKVFVVTQVIKKPEGSGVLPFYLFNCDTKEGHDQRSVGLADVAMSHPRRVHNGPVNSAANMRICPGSSLCLNCLLKPLTFQEATIIEFSAAKILANLIVMGSSILARAFVQAYRQALSNASKNGVAQEAVQNIKAASKTMTEAEARQILGVTEDSSWKKIVQNYESLFERNAKNESFYLQSNVLLQT >Solyc07g052100.3.1 pep chromosome:SL3.0:7:60768873:60778941:1 gene:Solyc07g052100.3 transcript:Solyc07g052100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADSMHNPSLNEEVVHTAEVFNAVKQEQMDVVTEKEWEYRALKARIEERKKDRKKKQEDRPTLMWETWEETYDKWLVKHFADDLDNQNELLCETVEPSSDMIVPLFRYQKEWLFWALKQEESSSKGGILADEMGMGKTIQAIALVLAKRELGKTISKPSLLSSSSSTNKQEPSAVKGTLILCPMVAVLQWVTEINRCTIEGSNKILVYHGSNRRKLSRDIEEYDFVITTYSTVEAEYRKFVMQPKQKCEWCGKAYYEEKLPIHQKSFCGPDGVKTAKQSKKQRKKLKLDEELLMQKTDSTESETYLQITDFMESETNVQEEGSTAETDMKKTGRRKYMKRSSMAEEGKNDGSMDTSSSVNQDLPQRKSILHSVKWDRIILDEAHYVKDRRCNTTKATLSLKSSYKWALSGTPIQNLVGELYSLVRFLQIVPYSFYFCKDCDCRTLDYSSTSECPQCPHKSVRHFCFWNRYIATPIKREGSYGSGRDAMFLLKHKILKSILLRRSKKGRAADLALPLKIVTLRKDSLDVIEEDYYTSLYNKSQAQFNTYVKGGTVMNNYAHIFELLTRLRQAVDHPYLVVYSSTALAKMANSGNVEQPCGLCHDAVEDPAKPLEVHEYLGEHFPGAPKTFFPVTSCMHVFCKTCLIDFAASARQVPCPLCSELLTIDFTVNTDKVDQNSKQTLKGFRSSSILNRIQLDDFQSSTKIDALHAGTSSCKGSEPLLIIVGLTVILITESAYDAREEIRFMIERDGSAKGIVFSQFTSFLDLIHYSLQKSGINCVQLVGSMSIDARAAAVTKFTEDSDCRIFLMSLKAGSVALNLTVASQVFMMDPWWNPAVERQAQDRIHRIGQYKPVRIVRFVIENTVEEKILKLQEKKELVFEGTIGGSSEAFAKLTEADLKFLKCRFCITIIAYDLCITIIAYDLGRVSV >Solyc05g053890.2.1.1 pep chromosome:SL3.0:5:64765664:64767343:-1 gene:Solyc05g053890.2 transcript:Solyc05g053890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDHQEHIVILPFLALGHMIPFIALAKKIQEKTNYKITIVSTPLNVKYLSSTIAKDSINSNNISLVSLPYNSIEHGLPPNTENTEALPLKHMVTIFNSTLSLKEPLKRLILEIIEKDGKPPLCIVSDTFMGFASEVARSCGTFNVSFTTSGAYGTAAYVSIWLNLPHLLAIDGVFKMPGFDDSCCFFSVEQLHTFMKLANGDDPWSKVLKSLLLPSFDSIGFLCNSVEDIEPMGVKAIKNLTELPVWCIGPLLPLCMLKKCEKDSIFESRSGKDSIFESRNGKDSRFESRSGKDPIFESRNGKDSIFESRNGKDSIFESRSDKDSIFESRIGKEHGLSPEECISWLNEHPERSVLYISFGSQNTISTSQMMALAMGLEESERPFIWVIRPPIGFDIKGEFRSEWLPKGFQERVSKSQKGLLVKSWAPQLQILSHSSTGAFLTHCGWNSALESLSQGVPIISWPLAGEQAFNSKMLMEEMGVCVELTKWYSSDVDKEDVKKVVEIVLGESGKGREMKEKANKIGMCIRDAVKEEGDFKGSSVKALDDFISTLLYRRNMSS >Solyc05g008120.3.1 pep chromosome:SL3.0:5:2531609:2535997:-1 gene:Solyc05g008120.3 transcript:Solyc05g008120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFKESLPSYYSVLGVNVGSSDEEIRRAYRKLAMQWHPDKWTRTPSLLGEAKRKFQQIQEAYSVLSDRKKRMMYDAGMYDPDEDEDEGFDDFLQEMVSLMEESRKEEKSYSMDELQTMFWEMAQGFETCNWTSFSQQKQNFESPQWFCGSSMAYDGSRTAQLNANFADIDPFFGSSTMQMQGANRFCR >Solyc01g058270.3.1 pep chromosome:SL3.0:1:65876604:65884627:1 gene:Solyc01g058270.3 transcript:Solyc01g058270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYGPLSPGQVSFFLGIVPICAAWLYSEYLEYKKNSASSKVRHSDINLVELGDEAVKEDDRAVLLEGGGLQSTSPRIRSSSVTSQFTRFFLMDETFLLENRLTLRAISEFGTLLIYFYISDRTNLFGESKKSYNRDLFIFLYFLLIIVSAITSFKIHHDKSPFSGKSIMYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSIARFAQMMWRLNFLVFFSCVILNNNYMLYYICPMHTLFTLMVYGALGIFNKYNENGTIIAVKFIVCFLFVILMWEVPGVFEVVWSPFTFFLGYADPDPSKPKQSLLHEWEFRSGLDRYIWIIGMIYAYYHPTVEKWMEKLEETEVKRRISIKAAVAIMSLTMGYLWYEYIYKLPKETYNKYHPYTSWIPITVYISLRNVTQYFRSYTLTLFAWLGKITLETYISQIHIWLRSGVPDGQPKKLLCLIPGYPLMNFMLTTAIYVAVSHRLFELTNTLKSTFIPMKEDKRLGYNIVAALVVSGLLYVLSSVFLRVPQMLV >Solyc01g079470.3.1.1 pep chromosome:SL3.0:1:78377114:78377488:1 gene:Solyc01g079470.3 transcript:Solyc01g079470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIAGVSLTSPKFLAKNSNSPNFKPFKLPCLNNPWKKSSTKFGLVCSAAPDNKLSDLVADSVKGAEETCNENPASGECAAAWDVVEEASAAASHARDKKKQEDVLENYCKENPETDECRTYDN >Solyc09g061395.1.1 pep chromosome:SL3.0:9:59295831:59301811:-1 gene:Solyc09g061395.1 transcript:Solyc09g061395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLRRSPHLVRASNPKGKETLYRCSYVINPAFELGVCTHIDVIFYYLRKKGKYETNCNVRFTTTDCVFKTKITNSFFKLCDAHEDKKNFKVLDSDDIARYISGRRLLASTLWDKVNFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARSGVNLENKNKTLILKFSQVN >Solyc04g080480.1.1.1 pep chromosome:SL3.0:4:64733270:64735207:1 gene:Solyc04g080480.1 transcript:Solyc04g080480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYENYDPFFPDQPVVDLYLPIWAKLSSFKSKPAFIWAEDGPLDQFSSITYEELNSSAQCISCELLLSLRKNDVVVVLCSPGLEFVEVIFGCQRAGVLAVPISPPHPSFSSGNWHHLLRVVSQTRPKVAIAQREYIKHVEKYVATSSNKKLSDALKKIRWISTEDFKGKKMKMQVENVNVGYNGCNVDDVYLIQYTSGTTAIPKPVLVTAGSAAHNVRVARRAYDLQPNTVVVSWLPQYHDCGLMFLLLTIVSGATCVLTSPSTFVNRPRIWLELISEFKATCTPVPSFALPLVLKRGHTEATVTGTVSNPISMLSLMNLIIVNEPIYNDSIEEFVEVFRPFGLNPLAISPSYGLAENGTFVSTSWRSSKFNKFSTYKKLLPSARLDEDQVDIEILVVNEDTNEIVEDGIEGEIWISSPSNAIGYLAHPSLTQQVFNATLKNKAGKCYVRTGDRGVIKGEERFLYVTGRCSDAIKLSNGQEIHPHYLETLAYNSCPNFLRGGCVAAFEMSESEYTSIVAVVVEVQSKCEIESDMFLKQICEGIRKTMLKEEGVGIGLVVLVKFGNVPKTTSGKIQRWLAKYKFEKSQMNIIMQMKFDNNGYIQSTMQKMIQIGKKEAKKGKKVLVVEEEEGLFFAPTNKTLKSSL >Solyc01g007740.3.1 pep chromosome:SL3.0:1:1839751:1843784:-1 gene:Solyc01g007740.3 transcript:Solyc01g007740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVTSTALLSSSNPRVFASASASSALPKLPSFSQSTIVPSSSSFNGLRSCNTSVSRINKSRSFVVRASSEVPLVGNKAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFKNVNTEILGVSIDSVFSHLAWVQTDRKTGGLGDLNYPLISDVTKSISKSYNVLIPDQGIALRGLFIIDKEGVIQHSTINNLAIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGDKSMKPDPKGSKEYFASI >Solyc04g051610.3.1 pep chromosome:SL3.0:4:50843792:50846379:-1 gene:Solyc04g051610.3 transcript:Solyc04g051610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNVALSSSTINSPATFPINGRFSVAGFPNDFVLHSRKIMFPYFLWRKITGGGRIVGAVEMDKAEEKRGPVRIVGIVGEGSVSPLKSTPWLDVMLHTAERLKWVDEEFEMIVFSDNFVKSEDETANHVSNELDHADILVVVAVTKEGSVNWIQSNSLNVPNIICFDSSMELRNKLGGSFVETKKRGDIFSSLLPFSQSKKLDESVEITRTVFDAWERHNSDDIRFCLLVIINAYITPVSTLKNLRAKGFSTLNCMVTNCGPQILNCLLDPNCRKALQCLNNCSPVDQVCNYRCIASYESKYLEEFSLCVLQKNNCLELDAKIPEKPYVTPMAEFRGEKLSSEIAEDLLVGWLGTLEWSWRVVAGQNPAYDQFPCQYQLFYRGKARGSFWYEPVFQVRTLEGDLVWRRRKYRVKRGKVTGTFHFSVLDNGVVSNEFWTIVDVSDDLSWGLFHYHGAARVAGQSYTGAVLVSPDGQYPAEKEKQRLISALDSCGIKEWELFNVDNCSCENAPLGIPDGSSLHSKIQVHGQTHSSV >Solyc09g074580.2.1 pep chromosome:SL3.0:9:66848414:66853846:-1 gene:Solyc09g074580.2 transcript:Solyc09g074580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVKKMVAEKPVVMFSKSKCCMCHTIKTLISSFGANLTVYELDELPNGLQVERALMMLGLRPSVPAVFIGQELIGGANEIMSLHLEGNLRAAVIFTKSSCCMCHSIKALFYELGASPAIHELDQDSRGNEMALALRSLGCNPCVPAIFIGGNFIGSTKDVISLHVNGSLKQMLINAKAIWL >Solyc07g005440.1.1.1 pep chromosome:SL3.0:7:339060:340334:-1 gene:Solyc07g005440.1 transcript:Solyc07g005440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CB16] MAPEEKCGALNGKYELGRLLGHGTFAKVYHARNVKNGKNVAMKVVGKEKVIKVGMMDQIKREISVMKMVKNPNIVELHEVMASKTKIYFAMEFVKGGELFAKIAKGKVREDVARGYFQQLISAIDFCHSRGVFHRDLKPENLLLDEEGNLKITDFGLSAFTEHQRQDGLLHTTCGTPAYVAPEIIGKKGYDGSKADIWSCGVILYVLLAGFLPFQDENIMAMYKKIYRGDFKCPPWFSSEARRLITKMLDPNPNSRITTSKIMDSSWFKKSIPKTLRNKDEEEFAFAFASDKSSKQVETMNAFHIISLSEGFDLSPLFEENKRNEKEQMRFATTMSASSVISKLEEVAKTTNFIVKKSDSCVKLQGQVVGRKGKLGIAADIFAVTNSFLVVEVNKASGDTLEYNQFCSKELRPALKDIVWTSAT >Solyc09g098170.3.1 pep chromosome:SL3.0:9:72545119:72548722:1 gene:Solyc09g098170.3 transcript:Solyc09g098170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDDEGEEYLFKIVIIGDSAVGKSNLLTRYARNEFNLHSKATIGVEFQTQTLEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAFGALVVYDISRRTTFDSIPRWLDELKTHSDTTVARILVGNKCDLENIRAVSVEEGKSLAESEGMFFMETSALDSTNVNKAFEIVIREIYNSVSRKVLNSDSYKAELSVNRVNLVNDGTDGSKQKQGYSCCSR >Solyc07g008475.1.1 pep chromosome:SL3.0:7:3384997:3388283:-1 gene:Solyc07g008475.1 transcript:Solyc07g008475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPEALTERRFLQYLSGKPRKCMSSFCFSVKPMSICMVGALKIARVIYVFLQINFLLENEKYVFFTFRAYHFAASAITKGVFNATKDYASPFDVDGHGMCESRTLFLDDTNWYCGHALIFFLLEGYRLAAAFFPSFRAQGPVL >Solyc01g020372.1.1 pep chromosome:SL3.0:1:29360532:29366379:-1 gene:Solyc01g020372.1 transcript:Solyc01g020372.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVDLLFYYGGKWVLTPNVIYIKKFTHVWKEYDPDLLSYIDICEEFHEKLGFSKVQQLLLKGPSETYYLIEGDSGIRTIQTALSIKSGVLELFAVDEGDDVVPAIDISHNDEPYLVTVDVATEGESSEEEDDETEPNLVTLNEPNLVTVNVGIDVATEGESSEEENNENEPYPSDYNSEELESFRLEKKREINDQLDNFKELEKVKKGQGFEIKTLQTKHTCPEAFKNRRATQQALAHYFKNRVQNDPNCKVTEMRKIVDDNFNLNISYSKMKRVKRLVLEKLDGSYVDDFNKLEGYAQELRDSNPGTDALKSGWRAGLRPFIGWCAKHIEANWSKSWSGVQMKKMFWWYAWSTYGEEFEDQLKSMGSVSKKAVEGLLWYPPQHWCRAFFDTVCKNYSCENNFTESFNKWILEARAKPIIKMLEDIRIKVMKRLKKLEEEEPLNEMHWWYSKEAYLLVYQPKMQPVRGEKFWKIDLSQSMQPPQIHKLVGRPKLKRVREKDEARKREGLWSKSRKGLQMTCGNCSAVGHNRRRCPLLQEGRQVLPDEPILMPTLEFVASSSRQTSHQSSEEFNEVAGPSKSKRKNVSKDKVDALPKRSKNDGKEKVIAPLIAIVDRDEVEDSIESEDENTILAPRVISEEKTRLQMKKMLQQPIGSRMISYKGDENGVVVPTNLPYSPKKLTWKGKACVTSSQLNKDKEKKIGKLKAKRGKH >Solyc01g108500.3.1 pep chromosome:SL3.0:1:95699301:95704702:-1 gene:Solyc01g108500.3 transcript:Solyc01g108500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYGQQYMPYYHQQKMQQPPTQIQNSSEDNKTIWIGDLQQWMDESYLHSCFSHAGEVISVKIIRNKQTGQSERYGFVEFNSHAAAEKVLQSYNGTMMPNAEQPFRLNWAAFSAGEKRAETGSDFSIFVGDLASDVTDTMLRDTFASRYPSVKGAKVVVDANTGHSKGYGFVRFGDESERSRAMTEMNGIYCSSRPMRVGVATPKKPSAQQQFSSQAVILSGGYASNGAATHGSQSDGDSSNTTIFVGGLDSDVTDEELRQSFTQFGEVVSVKIPAGKGCGFVQFSDRSSAQEAIQKLSGAVIGKQAVRLSWGRSPSNKQMRSDSGNGGGYYGRQNYGGYGYGASQNQDSGMYAAGAASNGYGNQQPVS >Solyc10g046860.1.1.1 pep chromosome:SL3.0:10:37867563:37867733:1 gene:Solyc10g046860.1 transcript:Solyc10g046860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGEVWYVTINVACPTQTFILWIALLGRTRTKELLLKWNMTVNIKCVFVNHSETL >Solyc02g087705.1.1 pep chromosome:SL3.0:2:50665740:50666328:1 gene:Solyc02g087705.1 transcript:Solyc02g087705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPDPINVTLAFAKQTGQYKKSLLNELVPSTINFRADHVPMWSCYDGLDDAVEGSAVVTVSHSYSTDHNQVVHFGAS >Solyc06g005240.3.1 pep chromosome:SL3.0:6:259149:261555:-1 gene:Solyc06g005240.3 transcript:Solyc06g005240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQLTGKRDDSSLHAAARSGNLVAIREILDETGEEDLAEMLIKQNSAGETALYVAAEYGYYQLVRDMIHYYDLVAAGIKARNGYDALHIAAKQGDLDMVKVLMEAHPELSMTVDISNTTALHTAANQGHIEVVNYLLEAESSLATIAKSNGKTALHSAARNGHLQVLKALLNKEPGIATRMDKKGQTALHMAVKGQSLEVVEELTRADPSLINMVDNKGNTALHIAARKGRDQIVKLLLVQNETDTRVVNRSNESALDTAEKLGQPELVTVLQEHGVQSARSIKPQTTNPARELKKTVSDIKHGVHYQLETTALTRRRIQEIAKRVYKMHAQGLNNAINSTTVVAVLIATVAFGGIFQINGQWVDDPDDIPPDHTLGEANIGPKPAFVVFFIFNSLSLFISLAVVVVQTTIVVIESRAKKKMMSIINKLMWVACLFISIAYLSLSYIVVGNHNLWMPVLISVLGAVIMSTTFGTLCYWIVMNRVRSSNKRSLRKNSMNNRSRSWSASVLSDSDALNNEYKKIYAI >Solyc06g073860.2.1 pep chromosome:SL3.0:6:45748408:45752119:1 gene:Solyc06g073860.2 transcript:Solyc06g073860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKMSEDILTSAAAFVEGGIQDACDDACSICLDEFSDSDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQPLSLKDPNSQELLDAVEHERNIRMNPPRNTTIFHHPTLGDFELQHLPVSATDSELEERIIQHLAAAAAMGRARHIARREGQRGRSSAQVRPQYLVFSTHPNAPAAAAVPASSSNQRSGGEPTPEVSVSGQDSPVIAVGEGSGQLLSQPPFQADEVSASGSGSNTAVNQLGTSSHNRRNPSQSSTNNQDRAGPSDFQSFSDSMKSRFSAMSMRCKESLTKSSRGWKERFFSRNSSTSDNVPEPRNEVRAAVATVSHMMDHLETTDSRTSSAESNILDTTLPIRDAEQHIPESDGIHSLNEDSRQSPCAASGSN >Solyc01g107962.1.1 pep chromosome:SL3.0:1:95244441:95245345:1 gene:Solyc01g107962.1 transcript:Solyc01g107962.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGKNNHDHLCGDIRETECNKLLNPTRSDTCQPLTVTNEVVKVSVDVAKKRSPPNRKKNGKGIAIQISGVDGVEARKASKHETLHALVPNLPAKVDKSKIVYEAVNCIRKLQNTFNKLENEKLKSLEENNIMLVGSQKVGNKLEKYAGDLDQPVILQLLQKLIMVLVPLFQ >Solyc12g055770.1.1.1 pep chromosome:SL3.0:12:62706922:62708892:-1 gene:Solyc12g055770.1 transcript:Solyc12g055770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4DFQ8] MYDIEKIKATRELLKCSVEKSRKLGVEISERSWRLESCEQRLDFLSVALRDLSCKCTLYKMSTHDIDRVIGPASSVVNIFDVICGFETSLSNDDVSEDVFAYVTTVKRMEEALGLLTDNCKLVVSWLDTGSCCDSSLYVRKVNKCLSIVRGLQGTEERFRSKGGVLMIALDKVEVEYASMLRGIAPFSVALPDVIRNVQRVVERLGVNGRVEKCMSVYVEVRGLNVRKALEGFDLDYLEEISLTEFDSVLSVEHYIDQWDKQLEFVVKYLLDTEHRLCVEVFRKATFKDRCMECFARVAVQSGIHSFIKFGNTITKGKKEAIKLLKLLDMFGSLNKLRSDFNRLFSGRACGEIQTQTRDLIKKVVNGICEIFWELSLQVELQRPTSPPVDGSVPRLVNFVVEYCNQLLEDEYWSTLIQVVEIHQGWNHESFEKGLLLNEMQNIVTALGLNIGTWAKRHEDASLSYFFLMNNHWYLCKYTRGTKLGELMGNEWLTGHEEFMEYYETLYLKESWEKLPALLNEEGLVLFPGGRAIDRQVVKKKLKEFTEAFDEIYKKQSNWELCDKGLRWRIRQLVLRVVLPPYSSYLEKYASSIEFEVTTTADQRIKYTAKTLGNMITCLFEPKVGKYGNSTKCTELSDMVNNSFVTKQLSSTPAAA >Solyc01g106260.3.1 pep chromosome:SL3.0:1:94110267:94115884:1 gene:Solyc01g106260.3 transcript:Solyc01g106260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGLLLRRSAHKIFASNTKPSWCTSHFGAKWAGLARPFSSKPAGNEIIGIDLGTTNSCVAVMEGKNPKVIENAEGARTTPSVVAFNQKGERLVGSPAKRQAVTNPANTLSGTKRLIGRRFDDPQTQKEMKMVPYKIVRGSNGDAWLEANGQQYSPSQIGAFVLTKMKETAEAYLGKSISKAVVTVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLVAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKRTEGIDLSKDKLALQRLREAAEKAKIELSSTSQTDINLPFITADASGAKHLNITLTRSKFETLVNHLIERTRNPCKNCLKDAGVSLKDVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAALQGGILGGNVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKVLGEFELVGLPPAPRGMPQIEVMFDIDANGMVTVSAKDKATGKEQQISIRSSGGLSEDEINKMVREAEMHAQKDQERKTLIDIRNSADTTIYSIEKSLNEYRDKVPAEVVTEIETAVSDLRAAMGAENIDDIKLKLDAANKAVSKIGEHMTGGGSGGASSGSQGGDQSPPEAEYEEVKK >Solyc01g014075.1.1.1 pep chromosome:SL3.0:1:11130579:11163804:-1 gene:Solyc01g014075.1 transcript:Solyc01g014075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PFLARVWPYPSLLEPFLSSASRTRALENRTRWRNLLSPSLFA >Solyc09g059080.1.1.1 pep chromosome:SL3.0:9:53432841:53433095:-1 gene:Solyc09g059080.1 transcript:Solyc09g059080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKNVHIRLDKLNIEHQYKHITQLKHVSISIAKEATKNRFRIFANKKFYPISSLPLKQHFLIMMRVISHNICWQVNYQYIYQT >Solyc04g054374.1.1 pep chromosome:SL3.0:4:52343831:52344175:-1 gene:Solyc04g054374.1 transcript:Solyc04g054374.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTRPDIAHAVGVVSRFLDNPGKRALRCEVDTHKSKLQKYVELSTIEAEYTAATEASKEMNG >Solyc07g017805.1.1 pep chromosome:SL3.0:7:8129244:8133914:-1 gene:Solyc07g017805.1 transcript:Solyc07g017805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNSAWFSISGRGVKAIREDCPTDKEEWKNVLSFILISFLRRWNYSHYLTLHSANKEDSLISEKGPHRDHIELLSSEMVEVSKRLQDNFKKIKIAKHRKWKNTDRRWIKTKADFTLNDDKKKDEIIELEWPITSSMKLVLFYCDWFDTSKNGMKVDIDFGIIEVRKRRRYSKFDPFNFPLTTTQVYCASHPENKGDKAD >Solyc02g090570.3.1 pep chromosome:SL3.0:2:52740535:52768819:1 gene:Solyc02g090570.3 transcript:Solyc02g090570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWATLQHLDLRHVGRSSKSLQPHAAAFHPTQALLAVAVGSQIIEFDAYTGSKIASIDIGSPVVRMAYSPTSGHCVIAILEDCTMRSCDFDAEQTCVLHSPEKRTERISSDTEVHLALTPLQPVVFFGFHRRMSVTVVGTVEGGKAPTKIKTDLKKPIVNLACHPRLPVLYVAYAEGLIRAYNIHTYAVHYTLQLDNTIKLVGAGAFAFHPTLEWLFIGDRRGTLLAWDVSTERPMMIGITQVGSQPITSVSWLPMLRLLVTLSKDGNIQVWKTRVVLNPNKPSMQTNFFEPAAIESIDIPRILSQQGGEAVYPLPRIRALEVHPKLNLSALLFMNLTGADNRKNRAAFTRDGRKQLFAVLQGARGSSASVLKEKLSALGSSGILADHQLKAQLQEHYLKGQSQLTISDIARKAFLYSHFMEGHAKTVPISRLPLITILDTKHYLRDVPVCQPFHLDLNFFNKENRVLHYPVRTFYVEGSNLMAYNLSSGVENVYKKLYPSIPGNVEFHPKYIIYGKKQHLFLIVYEFSGATNEVVLYWENTDTQLANSKGTTIKGLDAAFIGPNENHYAILDEDKTGLSLYILPGTALQVLDEKNGAIDQNQSTDTDGTSKGPMQFMFETEVHRIFSTPIESTLVFASHGDQIGLVKLVQNYRLSNADGHYISTKAEGRKFIKLKVNEIVLQVQWQETLRGYVAGVLTTHRVLIVSADLDILACSSTKFDKGLPSISLDAFLLLSILWLGPALLFSTATAVSVLGWDGKVRTILSISMPNAVLLGALNDRLLLANPTDINPRQKKGVEIKNCLVGLLEPLLVGFSTMQQHFEQKLDLSEILYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQSGPQFTQVLRGTYAIKALRFSTALSVLKDEFLRSRDYPRCPPTSHLFQRFRQLGYACIKYAQFDNAKETFEVISDYESLLDLFICHLNPSAMRRLAQKLEDESADSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGSEWGGGNWEIKTPTNLKSIPQWELAAEVMPYMRTDDGTIPSIVTDHIGVYLGLIKGRGNIVEVREDSLVKAFKAENAKDKANEPQKSLAASAANQVKGLPEGEMLMGLESLGKIVASSGVVDEQTKAEEEFKKSLYGSAADGTSSDEEETSKTKKLHIRIRDKPVTSATVDVNKIKEATKQLGLPISRTKSLTSSSPELSLLVPPPSSATNGSVTAPVVSTSADPFGTNSLTQSASMPNLAPKAVGAGVAAGPIPEDFFQNTISSVHVAASLPPPGTYLSKLDQNSQGAEATKMQPSQGGASAVDVGLPDGGVPPQATQRPVSLDVVGLPDGGVPPQQFAQPSGLQPHVQMSNPPVSNQPLDLSSLEAPGSGQPSARSSSPPKAVRPGQVPRGAVAPLCFKTGLAHLEQNQLPDALSCFDEAFLALAKDQSRGADIKAQATICAQYKIAVTLLQEISRLQRVQGPSAISAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMDVQNYGYSKQMLELLLSKAPPGKQDELRSLVDICVQRGLSNKSIDPQEDPSQFCAATLSRLSTIGYDVCDLCGAKFSALSSPGCIICGMGSIKRSDALVVPVPSPFG >Solyc04g058065.1.1 pep chromosome:SL3.0:4:55120061:55130551:1 gene:Solyc04g058065.1 transcript:Solyc04g058065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKMGHESQHYHCSWHFRCPSHYSGFPFSKPHADIPIILGLHSCRLLRKVIGDGNMTSTKLNITADSASIPPNLHKNLSHLSSQQVELAKVLIEMGQTHLFQHWAEPGVDDDEKRAFFDQIAKLNSSYPGGLAAYINTARKLLADSKTGKNPYDGFTPSVPSGEVLKYGDDNFVQFEEAGIKDARKAAFVLVAGGLGERLGWPFLEKQLQGHASYNIISNLFLLCKKPVAALPERVKNGLPWPSKDSTSLKGLAEKNIFMHRGDCRAEIPLAIMTSDDTHLRTLELLEKNSYFGMKPTQVKLLKQEKVACLDDNEAHLALDPRNKYRIQTKPHGHGDVHSLLYSSGLLKVWHDAGLRWVLFFQDTNGLLFKAIPAALGVSTTKQYHVNSLAVPRKAKEAIGGITKLTHQDGA >Solyc05g048790.1.1.1 pep chromosome:SL3.0:5:60296665:60297243:1 gene:Solyc05g048790.1 transcript:Solyc05g048790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIRLCTKSLIVLLILPTICSFSESRLQVCKPNSKIKAKNPPNGHCNIEEDDICCIKDKIYTTYKCSPQVSSKTKAILTLNSFEKGGDGDGDGPSKCDNKYHFDDTHVVALSTGWYNGEGRCLRNITINGNGRSVNAMVVDECDSTMGCDEKHDYQPPCRNNLVIASQGVWRALRVPINEWGELDITWFDE >Solyc10g081710.2.1 pep chromosome:SL3.0:10:62850344:62856571:1 gene:Solyc10g081710.2 transcript:Solyc10g081710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVKKSEGHMTSPAAFVEGGIQDACDDACSICLEAFCESDPPSVTSCKHEFHLQCVLEWCQRSSNCPMCWQSISLKDQMSQELFEAVEQERNFRVNAERNATVFQFPALGDFELQHMALQLPVDIDDPELEERILQHLAVAASMGRAHHAGRMEGSRNRSSTNNRPQLLVFPTHHNSSPTASVSPYPTGSLSDPAAITTVDSPLPISSGSSDSPRQMPYLPSVQSDQLSALSSGSVLTPATAQGLSSGDRSSSSSSFTPIHRRAGPSELQSFSESWRSRFSSMSMKYKESISKNTREWKERLFSRSSSMPDAGPVRRESNVGIASLSHLMEHLETRENSRAGSVSAVTNIVDSSHTLQRDHGNMGTHIGNGLNRNSTASAASSAQN >Solyc03g098100.3.1 pep chromosome:SL3.0:3:61875698:61878738:1 gene:Solyc03g098100.3 transcript:Solyc03g098100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETMHVPEVVLNCGYKMPLLGMGTAAYPMPIDDLTSILVEAIETGYRHFDTAALYGSEEAVGRAVAEAIDRGIIKSRQQVFITSKLWCTQAHPQFVLPALKNTLGKLGLEYVDLYLIHWPVSMKPGPVDMLKFKKEDIVPFDMKGVWKAMEECCRLGLAKSIGVSNFSCTKLSQLLQIANIPPAVNQVEINVAWQQQKLLEYCKEKGVHVSAYSPLGSKGASWNSPIINDIATAKQKSIPQVALRWIYEQGASVIVKSFNKERMKQNLEIFDWELSNEDNFKIQDIPQKKGYNGEDFTHPNGPYKSVDEIWDGEI >Solyc01g103868.1.1 pep chromosome:SL3.0:1:92279954:92283937:-1 gene:Solyc01g103868.1 transcript:Solyc01g103868.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYNGKSSLLPPKSPFPSMAPSYVDYVPSSAFNQKGIQKPREGNSHHQRTSSESCIIEEQPSWLDDLLNEPETPVRRGSHRRSSSDSFAYFDSVNAANLDYTAQVDNKFRNMPPIPSWGSQDFDYYKDARQAASFVDQNSSIRRKNRENDVSSTTVSHLRSLSSPGEDLRIQRSGSPCLLQDGERPRSAGSDKQDVAESGPPYPKGSAEKKDSSQSKSSSSETDTKRAKQQFAQRSRVRKLQYIAELERNAEGSEVSAELEFLNQQNLILSMENKALKQRLENLAQEQLIKVKFQQRQSSW >Solyc01g066150.1.1 pep chromosome:SL3.0:1:72982511:72983022:-1 gene:Solyc01g066150.1 transcript:Solyc01g066150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSSVLFRGSKFIFNLIQSIAKNGAPFSHVMYTIIMELVGLVDKIIELPGLPPLSPIDFPSFAFDKVESSNWAVKSIKRQIEMLISEENPRVLVNTFDALESDALRILRHVIMVEIGPSIPSIFIEDNTF >Solyc10g076280.2.1 pep chromosome:SL3.0:10:59293660:59298506:1 gene:Solyc10g076280.2 transcript:Solyc10g076280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLNEALISQENQNPQFPKALLNNLYVGHFLSRWSARMWEFSVGLYMINVWPDSLLLTAAYGVVESASTALFGPLVGQWVDRLTYVKVLQLWLLSQNISFIVAGGAVIALLVRADLILVNLTAFISLVSLIYISGAVGVLSSLAGTILIEREWVVVISEGHPPGLLTKMNSIIRRIDLICKLFAPVVTGFIISFVSLTASAMTLALWNVISVCLEYWLLTSAYNGIPALSESSLRRVSRSLPEHSDLSPSISHEQKSSLHSDGIGLEQSENLWRKIVGSISRLPCLSAWKVYLQQDVVLPGLALALLFFTVLSFGTLMTATLEWEGIPAYVIGIARGVSATIGIAATFLYPILESHISTLRTGLWSIWSQWTFLLICVASIWVHKKFLSAFMLMAGVAASRLGLWMFDLAVIQQMQDHVPESDRCVVGGVQNSLQSVLELMTYLSGIIISNPQVMIIVDFH >Solyc11g022427.1.1 pep chromosome:SL3.0:11:13887427:13887882:1 gene:Solyc11g022427.1 transcript:Solyc11g022427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQPNNMHKEMLVLEETSIDEMGAFLVYAPIDLRAITSIINGGDATKVPIFPSGIIISPDGRLSSNRDNTANAQNGSILTVTFQIMICGDNNPTSRQQKMEVVASVHGVLSATILKIKEALDFKTNTIDGFEG >Solyc03g058913.1.1 pep chromosome:SL3.0:3:28901980:28906773:1 gene:Solyc03g058913.1 transcript:Solyc03g058913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVVQRMHQPSEHDYHCLKRILRYIFGTLGHGLLIRPGDLELRGLSYSDWANDKNDRKSTSGLLICLGPNLISWCTKKQPKVSRSSTEAEYHSLALLAAETISISLLNIISLFTSLMWFTEGILQFLDDNKFPLTTVLTELDAARVYTNKNKLQITFIFADIKEKNLANPYLSMVGLEKSKDSVLRRPSLFLENTKSWVVFPARQTGAFHEIVVREDCF >Solyc06g071800.3.1 pep chromosome:SL3.0:6:44356008:44357300:-1 gene:Solyc06g071800.3 transcript:Solyc06g071800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIKPASLAKVKDPRVKAFIEKCIAKASERLPAKKLLVDPFLLSDEDSGNVGRSLSINSRHADMSDDQSDSERSTKDPLPEGSRDFTVQGQRKDLNTIFLKLRITDSTGHIRNIHFPFDIEVDTANAVASEMVAELDLTDQDVSAIAEMIDSEIRSYIPDWAPRENSSNHITNEVASDNFTSGVGDDAPPFTIDPAYSGSLVLERLPSGRKYWSVSPKTTSNGSSPRRQGPSYTSLTDSPTHEDSWTEEFEESPVILREGGSSHVAALLGHEDYKSETHVDDDASVHRDFDFGDNAHSADFSYASRPHSSEERNNMISNNYSADIRQITKELEKLRGLQQKELNDLKKKHDSAISDLLSKLPPEIRGIYGHKVSSDNLHC >Solyc04g051060.2.1.1 pep chromosome:SL3.0:4:49350084:49350353:-1 gene:Solyc04g051060.2 transcript:Solyc04g051060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTRLHRYRRILNGPPVSLSRPSHCISDEQTGRNEKQENKPHFSMVVSVLVDGDGVIGPKSVSQIFVVVHIDSVKYVTYSCMLPFKGSAL >Solyc02g064890.1.1.1 pep chromosome:SL3.0:2:36564460:36564642:1 gene:Solyc02g064890.1 transcript:Solyc02g064890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNHSFLKSAEDVQPPNAEKRQCADMSLFCKKRAKTTFTTQPHIPDLVIQVDSDSTDEN >Solyc07g007630.3.1 pep chromosome:SL3.0:7:2283556:2288551:1 gene:Solyc07g007630.3 transcript:Solyc07g007630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:K4CBN3] MVYHSSFVDEEGISKACGCPLLPLKSHIRGPAPVSEQDTTDIVDEAITFFRANVFFKNFDIKSSSDKLLIYLTLYINIALKRLEGCRTLAEGTKAIINLGLENVPVPGEAGFPFPSLFSPAQSKKEAELFRNYLKQIREETSGRLLSVAYRPNGTPNKWWSAFSKRKFMNMVLP >Solyc02g072080.1.1.1 pep chromosome:SL3.0:2:41919820:41921994:-1 gene:Solyc02g072080.1 transcript:Solyc02g072080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B8I3] MASAAIFSSLRRQRSPTLEAFLAPVDLTDVGLLQTLTALSSELISAYSGKRLPFYQRKNCKSLLRKIQVFSVLLECLLENKKNRSSGSSDLPFTAFLCFKELYLLLYRSKILLDYCSYSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPLKDLKNLSEDVREQVELLKKQARKSQLFVDKYDEMLRLKLFSFLNEFENGGVPDYAQLYSFFVEKLGICNPRSCRVEIEFLEEQIVNHEGDIEPTSSVLNGFVALMRYCRFLLFGFEEDDMGLRLGKHKKPKRGLISQEIAETFISVPKDFCCPISLDLMRDPVIVATGQTYDRASISRWMEEGHCTCPKTGQLLDHTRLVPNRALRNLIMHWCAARKIPYDPLESGDPCVECFPSASPSRAALEANKATAALLIKQLESGTQIAKTIAAQEIRLLAKTGKENRAYIAEAGAIPHLKNLLSSPDAVAQENSVTAMLNLSIFDKNKGRIIDEVGCLALIVGVLRFGHTTEARENAAATLFSLSAVHDYKRQIAKEDGAVEALAGLLREGSPRGKKDAVTALFNLSTHTDNCARMIESGAVTALVGALGSEGVAEEAAGALALIVRQQVGATAVGNEEMAVAGLIAMMRCGTPRGKENAVAALLELCRGGGAAATERVLKAPSLASLLQTLLFTGTKRARRKAASLARVFQRCEHAAVHYSGFGVGYAFAGNSAAARDSTFPGDVSVSMSISVPVL >Solyc09g009600.2.1 pep chromosome:SL3.0:9:3016402:3020692:-1 gene:Solyc09g009600.2 transcript:Solyc09g009600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTWITSHKHEKSIVEYGTSPGNYDKSSTTGERMSYRYFFYNSGVIHHITIGPLGPNKTYYYRCGGNGPEFSFKTPPSTFPIEFAIVGDLGQTEWTASTLEHIGAKDYDVLLLPGDLSYADTQQPLWDSFGRLVEPYASKRPWMVTHGNHEIETFPIIYRHGFRAYNSRWLMPYQQSGSTSNLYYSFDVAGCHVIMLGSYVDFDAQSDQYTWLQGDLAKIDRGVTPWIFVLVHVPWYNTNLAHKGEGESMRKSMEELLYNARVDVVFAGHVHAYERFTRVYDNKADQCGPIYMTIGDGGNREGLAMIFENPSPSISMFREASFGHGRLKVYNETHAHWSWHRNNEANNTFMADELWLKSFASSKSCLPHHEKFQEMKDEL >Solyc07g066550.3.1 pep chromosome:SL3.0:7:68066175:68073738:-1 gene:Solyc07g066550.3 transcript:Solyc07g066550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSPRCNYILFVHLASASLSLVLLRKLNMKKAAVPGAWASTTLILMYMFEIAVAQTIATTDPSEARILNSMFQNWGISATERWNISGELCSGAAIDSTSILDFNPSIKCDCSANNTTPCHITGLRVYALDIIGEIPEELWSLTFLDDLNLGQNYLTGTLSPSIGNLTRMKWLTFGVNALSGEIPKELGLLTELQSLSLGTNNFSGPLPSELGNLTKLTQIYLNSAGVSGPIPLTFARLQELEQVWTSDNAFTGRIPDFIGNNWTKLTVLRFEGNAFEGTIPASFSNLTTLTDLRISDLSNGSSSLDFLRNMKSLSKLVLRNNNISGSIPSNIGEYQSLTLLDLSFNNLTGRIPDALFNLTSLTHLFLGDNKLTGALPAQKIRSLQTIDLSYNELSGNFPSWINENLQLNLVANNFTTEQIDQSDSSSLPSGLNCLQRSFPCNRGRPIYSDFAIKCGGGRAIRSSDQISYESENETLGPATYFMTNTGRWAVSNAGLHSDRPNQSFTSFTSSQFTNTLDSELYQTARISAGSLRYYGLGLENGNYTVTLHFAESEILNPPTWRSVGRRVFDIYVQGVRQLKDFDIKREAGGRSLAAVQRQFTAQVSDNHLEIHLHWAGKGTCCVPIQSTYGPSISAISATPDFEPSVSNQPPTTKKNRTGLIVGIVVGVGVISLISLFAAYYLIQKRKQQKALEDEEFMGIDTRPYTFSYSELRAATGDFSSSNKLGEGGFGPVYKGTLEDERVVAVKQLSVASHQGKSQFVAEIATISAVQHRNLVKLYGCCIEGDRRLLVYEYLENKSLDQALFEKGSLYLDWPTRFQICLGVAKGLAYLHEESRVRIVHRDVKASNILLDADLNPKISDFGLAKLYDDKQTHINTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEIVSGRTNSDESLEEDKIYLLEWAWQLHENKRETELVDANLSEFDVEEVKKVIGIALLCTQTSPGLRPSMSRAIAMLTGDAEVAAVTSRPGYLTDWKFKDTTTFMSGHSSQMPDLSVGTSRAPTTGYSPSGQDTPMLSDIIGEGR >Solyc11g042430.2.1 pep chromosome:SL3.0:11:36836081:36845310:1 gene:Solyc11g042430.2 transcript:Solyc11g042430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein phosphatase methylesterase 1 [Source:UniProtKB/TrEMBL;Acc:K4D815] MASKQKGIILPNTSCGNLHNSKASNRRKSEEKFKNNIHQKLRNLKQTLSIMDSSNLTSLPEEGSEETHHPSAFSSAPTRPPIQTSSQKYAPLDWSHYFDQEDDIQIPDSDDVFHVYMAGTEGPVIFCLHGGGYSGLSFALAASKIKEKARIVAMDLRGHGKTSTQNDVDLSIERLCSDVFSVLKTMYGDAPPAIVLVGHSMGGAVAVHVAAKKSLPSLAGLVVVDVVEGTAMASLIHMQKILSNRMQHFLTPEKAIEWSIKSGSLRNIDSACVSIPSTLKYDDSKKCYTHRARLEETEQFWRGWYEGLSEKFLSSPVPKILLLAGTDRLDRTLTIGQMQGKFQMVVVRHTGHAIQEDVPDEFVSLMLNFISHNRIGPNGIEIPGLRRPPQSKP >Solyc03g111470.2.1 pep chromosome:SL3.0:3:63556412:63559134:-1 gene:Solyc03g111470.2 transcript:Solyc03g111470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETEEEAPTYVPGMLGNIPNHIPYCYSPMGPPAPPMAPLAPSMGPPAPSMEPPAPSMPAPPRGIMGRRAMPWVTTSMHVPPPLYPRQFGWYAAGDNPYATRGSSGQGSGDPQSGMMEPPRLIGYRMISKPSGAIWRSGH >Solyc03g082730.1.1.1 pep chromosome:SL3.0:3:54061146:54061325:-1 gene:Solyc03g082730.1 transcript:Solyc03g082730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLMIFLHLYIHSSLDFYCMYHQLYLIYSCMFENRNKYIDDFGPLMNIVHPKFSLFQ >Solyc12g009600.2.1 pep chromosome:SL3.0:12:2860377:2862629:-1 gene:Solyc12g009600.2 transcript:Solyc12g009600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATVCLSNPKSFLPSSSFSTRKQIILCKAENNVCNFSSIISKRSLSISLASSLLLSLTGNGTFNANAAILEADEDDELMEKVKKDRKKRLERQGIVNSSSKEKGYLQDLVYKLSKVGQAIEKNDLSAASSVLGQNTDADWLQKVNSALNKFSGSDEEKSEIDSFNSSLSSLVSSVTKNDIEGSKTAFVASASAFEKWTTLTGLIEELKGL >Solyc08g074893.1.1 pep chromosome:SL3.0:8:59172397:59172894:-1 gene:Solyc08g074893.1 transcript:Solyc08g074893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPLLSLTNIFQSCLQTCVLNHGECYNEQFVVCNVLDKILGSLSFERYSEDQYLVKKLEIFQQQSRNQDNHLPSPTTISRTNTKVYSPVS >Solyc02g080950.2.1 pep chromosome:SL3.0:2:45583054:45590043:1 gene:Solyc02g080950.2 transcript:Solyc02g080950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNKFEVTNLEKSVDEETTKGEKKDSLSAVLETEPSDSGMAAASATDGVAATAVRSVIQRIEHVAERCGRRSDQVRLVAVSKTKPVSLLKQVYDAGHRCFGENYVKELIEKAPQLPDDIEWHFIGNLQSNKVKPLLTGVPNLAMVETVDDEKIANQLDRVAANIGRKPLKVFIQVNTSGEETKSGVEPDGCLELVKHVTSNCPNLEFCGLMTIGMPDYTSTPENFKVETLAKCRSEVCEALGISEDQCELSMGMSGDFELASRLLYQVEMGSTNVRVGSTIFGAREYPTKSLSVNVKSYLISVMSLHPPFLHSAAAAATTKSFNATLHRLSSEGAHHHALLTYDSMLKSSVRPDPFTFPTLLKACISLNLLPHGLLLHQHVVVNGFSSDPYIGSSLISFYSSFGLTEHAHKMFDTMPERNIVPWTTLIGCYSRIGDFEHAFYLYNSMLHEGIKPTSVTVLTLLSGVSESIHVECLHTCIVKYACALVGNTEELLTLMYRMSSENSWPDHQTYGALVSAIAKDGSAEFGKVVHGQIVAAGFELDVHLQTSLMFMYLKCRNMDYTFKIFERAKDKDVVLWTTIISGLVQNERADRALEVFQSMLCSRTEPSTTTIASALAACAQLGSLKVGTSIHGYMFRQRMAIDTAAQNSLITMYSKCGYLKQALIVFHMIKNRDVVSWNAIVAGNAQNGHLSMALHLFNEMRIAHQRPDSVTVVCLLQICASIGAYQQGKWIHNLVVRSYLEPCVKIGTALVDMYCKCGDLDSARKCFDRVRERDLILWSTIISGYGSHGEGEAALALYTELVQSGLTPNRVIFLSVLYACSHNGLVDHGLNLFDTMERDFKIEPELEHCACIVDLLCRAGKVKDGYNFYKMKFPEPMANALGIILDACKTKALEELRDVVAKEISELDHEDAGRYVQLAHSYASMAQWEGVGKTWVQLRELGLKKLPGWSFIDLHGVITTFFMGQTSHPQQEDIMLVLKNLSEEISERVIMSNTEDIS >Solyc08g008485.1.1 pep chromosome:SL3.0:8:2867480:2869157:-1 gene:Solyc08g008485.1 transcript:Solyc08g008485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCAKEGLRKGPWSTNEDLLLTNYIKENGEGQWRNLPNKAGLLRCGKSCRLRWMNYLRPGIKRGNFSQDEEDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHVIKKLKIAGIQPKLHKVHKYSDLASASI >Solyc03g118290.3.1 pep chromosome:SL3.0:3:68699930:68706881:1 gene:Solyc03g118290.3 transcript:Solyc03g118290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ARF2A description:Auxin response factor 2A [Source:UniProtKB/Swiss-Prot;Acc:Q2LAJ3] MAASEVSIQGYSEPSDGSRPVSETGRSSSGVGIVDADTALYTELWRSCAGPLVTVPREGELVYYFPQGHIEQVEASTNQVADQQMPLYNLPSKILCRVVNVLLKAEPDTDEVYAQVTLMPEPNQDENAVKKEPMRPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPQLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTKTMFTVYYKPRTSPAEFIVPYDHYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPQRWLESKWRCLKVRWDENSSIPRPDRVSPWKIEPALSPPALNVPPVARPKRPRSSILPTSPDSSVLTREGSSRATADHSQASGFPRVLQGQELSTFRGGFAEINETDLSEKPMIWQTSVNDEKNDIHSASKRYLPDKWLPLGRPESSLTDLLSGFGSSHGFCLPSADQAAFGARLVKQQTQDQEKDFSLLGKPWSLLSSGLSLNLMDSGSKAPGIGGDTPYQMRGDARYSGYGEFSVLPGHRVANQQGSWIMPQPVSPYMQLSSHSREMMHKPSVVKQPEAVKPKEGNYKLFGIPLTSNVCTDAVMMRKSSLIDPASDMNIGIHPHQSLATDSDQRSEQSKGSKVDDGVAANDHDKQFHTFHLAARDKDGKGHSSSTRSCTKVHKQGTALGRSVDLAKFNNYDELIAELDQLFDFNGELKARSKSWLVVYTDDEGDMMLVGDDPWQEFCGMVRKIFIYTKEEVQRMNPGTLNSKGEDTSSVAEGSDAKEVKNLQLPSESGQAES >Solyc06g063100.3.1 pep chromosome:SL3.0:6:39972514:39979132:1 gene:Solyc06g063100.3 transcript:Solyc06g063100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC34 [Source:UniProtKB/TrEMBL;Acc:K4C741] MAEKACVKRLQKEYRALCKEPVSHVVARPSPNDILEWHYVLEGSEGTPFAGGLYYGKIKFPPEYPFKPPGISMVTPNGRFMTHKKICLSMSDFHPESWNPMWSVSSILTGLLSFMMDTSPTTGSVTTTVAEKQKLAKTSLAFNCKNPTFRKLFPEYVEKYEEQQLLVHPDQEQVSSMPTQAEISSPLLDGLNSVEPHKDMENQRRKSLPTWLLLLLVSIFGVVMALPLLQL >Solyc03g118910.1.1.1 pep chromosome:SL3.0:3:69168215:69169834:-1 gene:Solyc03g118910.1 transcript:Solyc03g118910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit delta [Source:UniProtKB/TrEMBL;Acc:K4BM40] MASPAVVSAPRSAASSSKTETFVDNKRKDDIRMANIAAAQAVANAVRTSLGPKGMDKMISTANGEVIITNDGATILNKMEVLQPAAKFLVELSKSQDVVAGDGTTTVVVIAGALLKQCLSLLSSGIHPTVVSDSLHKASTKAVEVLTAMALPVELTDRDSLVKSASTALNSKVVSQYSTLLAPLAVDSVLSVVDPEKPEIVDLRDIRIVKKLGGTVDDTELVKGLVFDKKVSHASGGLTRVEKAKIGVIQFQISPPKTDIEQSIVVSDYTQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTELSLHYLSKAKIMVIKDVERDEIEFITKTLNCLPIANIDHFRAEKLGHADLVEEISLGDGGKIVKITGIQDMGRTTSVLVRGSNQLVLDEAERSLHDALCVVRCLVNKRFLIAGGGAPEIELSRQLGAWAKVLQGMEGYCVRSFAEALEVVPYTLAENAGLNPIAIVTELRNRHAQGEINTGINVRKGQITNILEENVVQPLLVSTSAITLATECVRMILKIDDIVTVR >Solyc10g005100.3.1 pep chromosome:SL3.0:10:91506:94186:1 gene:Solyc10g005100.3 transcript:Solyc10g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYWKAKVLPKIKQIFDKNGPKKTAAAEACKTFDQAKEEYSKEFEEKKTELQPKVVEIYEAAAVEIKSLVKEPKGAGLKKNSDGVQKFLDDLVKIEFPGSKAVSEASSNFGPSYVSGPIIFVFEKVSTFIVTEDKKEEEPAAADDVHAPAATSTEEVEVKVKEKEKEIVIESGEPNKEEAPATVVADVAPATKVEEAPKVV >Solyc09g005700.3.1 pep chromosome:SL3.0:9:487959:495847:-1 gene:Solyc09g005700.3 transcript:Solyc09g005700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAITVPLTTPTRRSFSSLSANRNRLSSPFALQSNKLKFVAIQCPSFRVSAVSSMKIEVPENSSSASFLDRKESDFVRFVKYHGLGNDFILVDNRDTTEPKVSPDQAVKLCDRNFGIGADGVIFAMPGVNGTDYTMRIFNSDGSEPEMCGNGIRCLAKFIAELENFHGKKSFTIHTGAGLIVPEIQDDGKVRVDMGEPILKAADVPTKLPPNRDQSVVKSSLDVDGSTWNVTCVSMGNPHCVTFGTAQQDLQVDELKLADIGPKFEHHEMFPARTNTEFVQVFSPTHLKMRVWERGAGETLACGTGACAVVVAAVLEGRAARRCTVDLPGGPLDIEWSEKDNHIYMTGPAEVVFYGSAPL >Solyc05g039950.2.1 pep chromosome:SL3.0:5:49186116:49188597:-1 gene:Solyc05g039950.2 transcript:Solyc05g039950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFSWITSPAATELEMIVLDWLTKALKLLDEFLQQIYKSGKGRLKDNTTVDCNDAGAEFIQVQIDCLISETLNWHNTAIEDLLFPQGLPWSNCASRGLVVVQLTYFNCGGIAISMCISHKIGDGCSGYNLFRDWSHITSHPNNFSIPSLHYVEQSVFPPPSSGPFLSPLFMSNKHDCVQRRYIFSNQKLLNLKNTVASESEVQNPTRTEVVSALIFKCAVRAAKANSGIFLPSSMVQAVDLRAQVGLPPNAIGNLLTICPTSIITNNEESMTISKLVSEMRKSKELVYKRDNVNDNMFVALLLELAKSKREYHDNGPNAYQITSLVKFALHEIDFGWGKPTKVSIANGLNNKLAILMGNQTGGIDAFVTLTEQDMSVLQYDTELLEFASLVPSC >Solyc01g008476.1.1 pep chromosome:SL3.0:1:2498060:2499007:1 gene:Solyc01g008476.1 transcript:Solyc01g008476.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVCQDLVYAYGWPSRLVRPIWKVKRAVKRAYPSFQRFRVLLHTIFWVIRVKRAPKRAYPSFRRFSCAIAHHFLGDPDSDVKNAKFFRGRPSRPCLCIRLAITACPTHLEAHHFLGDPDFDVKNAKFFRGRPSRPCLCTRLAIMACPTHLERQTSPEASIPLFRRFSCAIAHHFLDYPDSDVKNAKFFVDVRQDFVYAYG >Solyc10g052605.1.1 pep chromosome:SL3.0:10:52913175:52914748:-1 gene:Solyc10g052605.1 transcript:Solyc10g052605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVVGNCNVEESVRGSNMVQSELNTSNPSGPLTHRTYNKDRDRENFAKMVVVCGLPFSFGEHPGFIAYIRETYNPSFQGLSRSMVKRDIFEFQEKHCQYLRAYFELMDCRVAITTDMGRSPNGFDYLTVTEYWIDYNWNLQKRIIGYKICQKKKTEIYIATTVLEILDFFGLCDKVVSITLDNASANLNAINLLEPRLCPISKYAFHVRCAAHILNLVVSDGVKLFENSCDKIDNACFYIFHMNSSSRINQFKELCNAFKLPFRKVPKHVKTRWNSFYDMLEVAYAYRQPITTLFNNHNAYPEFKINDSDWDEVNELRIFLKSFYDATKIFFEIYYPTISEILIHICEISSIFSEYKTNTLFTSAIEVMITKFKKYNLDIQPEEEPDLGTNDITNDNGIPELLNWWRNLGAQYPKLSRMVKDVLAIQGSS >Solyc03g007370.3.1 pep chromosome:SL3.0:3:1914764:1920347:-1 gene:Solyc03g007370.3 transcript:Solyc03g007370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVTVSSSASRSPLGLSSRFSARLCPLKRPVIVSFKKDITKNTTLVSPKESISSPIETSKENEKRSRRVSKRTERVHAVTIEAPPSTLELDYSEAAAKLESIYKRSLETASPNTEVKDHLVKRRPRTRKRIVESDEEAKKEVVDNVVKNRRKKSKRLNLDQRIALLKNKEGKMLASSERRKLNEDTEDEKIDKLVREYSGATDLASLDWKKMKIPPVLPSSEHTWLFKLMQPMKAILQVKENLQNDLGREPTDGEVAEATNMDASELRKKLEAGRAARNKLIKHNLRLVLFVMNKYFQDFANASRFQDLCQAGVKGLITAIDRFEPNRKFRLSTYGLFWIRHAIIRYMTTSSFTKVPFGLESIRVEIQKAKLELLFELQRIPTDDEIIKRVGFSPERYHEVMKVSKPIFSLHARNKTTQEELINGITDVDGVDGDKRKQPALLRLALDDVLDSLKPKESLVIRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPTRVDYVRRYIF >Solyc04g070960.2.1 pep chromosome:SL3.0:4:57826194:57840023:-1 gene:Solyc04g070960.2 transcript:Solyc04g070960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPYHPRPMIMMERTRKSNIEQAPNCPRCASTNTKFCYYNNYSLSQPRYFCKACRRYWTKGGSLRNVPVGGGCRKSRRSRSTRKDDNTLQTSSPALEGAPGAHDIDLADVFAKYLNQGTNNDHDDNIIIQESQDYSSIGASLSNSPSSDSLVNNPTSFENESLLDNFQDYPCGNFLQEEQGAQINQDFLDFNASFLEMQAILGQEEDQFDHYNTSNFEWQPMMQFQDFGSILELDDHQLTKNSTTNLANLSYAAGWPSWQIRPISKHTIVFGDPDFRRSKCQNFSWTFVQTLDTANLTHFQAHHFFGDSDSDVKNAESFCRHPSRSWLCSRLSLTANPTHFKAQYIFGGPDFDVQNVKFFLEARQDHGYAAGWLSRPTLAMHPIHFQSQTSLEARIPPISRIGDSDFDVNNTEFFRGRPRFAIMASPTYFLGQTSSEARIPPSFRQFSSQTSPEVRIPSISTIFVCYSTPFFGDPDSDVNNIKSFCVGPSRPWRCGWLALMVSQTHFQGQTSPVAPICPISMIFMCYNAPFFCNPDSNVSNAGFFCGRPSRPCLCIRLALMASPTHFQGENSPKARISSISTIFIDPNFDVKNAEFFLDVFQDLPHVAAWPSQPVRPIFKVKRAPKRAYPPFR >Solyc11g010710.2.1 pep chromosome:SL3.0:11:3758222:3764650:1 gene:Solyc11g010710.2 transcript:Solyc11g010710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDNNNWLSFSLSSMEMLNSTSSHHHQNNSNNNNSSLLHHHHQSNMKFDLASSSADSHQYYFADNFFPHGWSNPKPQVMYSEAEKEVIGLNINTDSSIFQNFVENAPPKLEDFFGGDSSSLTQDSSSLTHIYENPNGSAVYDNNNYNEHQDFKNITGFQAFSTNSGSEVDNSVGTEFGTNESCNELSYSQCVAAAVAAAAPPLLPTGGGGGALSLAVNAAAATTTNQCSENEKAMVAVADSQSCKKISDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEDKAARAYDLAALKYWGPTATTNFPVTNYTKELEEMKHMTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGVNAVTNFEMNRYDVEAIMKSSLPVGGTAKRLKLSLESEQKSSSSNNNNQQQQMTQCNSSNNINFGAMPPVSAIPCGVPFDNTAPAFYHHNFFQYLHPGNASVPDASNATTTMAAAMPLLPSAADLFIWPHQSY >Solyc08g068280.1.1.1 pep chromosome:SL3.0:8:57433897:57434631:1 gene:Solyc08g068280.1 transcript:Solyc08g068280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSTSSLINSFEPKQKHTTLFNHQISARIRLATELDIPNLHKLVTQMAEYHGLSQIFTTTEASLYNNLFKSQNPPFHSPTALILEISPNPFPHTTTTTTNFVPIIKNNYNFNTLETLDHELESYRSKIIGHDDVYVAGHVLVYPSYNGFFEKPGLFLDQMFVRKCYRGMKFGKLLFSTVAMQAEKMGMGMVDWLVADWNEETINFYEKMGAHYIPDYRLCKLYGDQLQAFGKKSDYEPRIYRE >Solyc12g040537.1.1 pep chromosome:SL3.0:12:55333496:55333684:1 gene:Solyc12g040537.1 transcript:Solyc12g040537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMLDRLARKGWYYFFYGYNQIFIAPEDQQKTSFTFPYGTFSLKKMPFRLCNTPATFQ >Solyc02g077980.2.1 pep chromosome:SL3.0:2:43362750:43363611:-1 gene:Solyc02g077980.2 transcript:Solyc02g077980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSKKSIFNLSKAFPHRASTVFIGRQIWKGGYVAATEEPSEKQSRKLYGTDSDETGGKVSEMADKAKEVKDKATKTTQDAWKAIEDTAEELKEKVVGNIDPENVQEETVVEDEKELKKKLAKEAGGKPVDEDKGLNVNWRPIVEKKPQPS >Solyc02g069010.3.1 pep chromosome:SL3.0:2:39527979:39543123:1 gene:Solyc02g069010.3 transcript:Solyc02g069010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCLLSSTISSLRICQLPRIRLPVFPKSRSTNSQYGFCTSLSTGGGFCTKAALSEVGIKKEYSKVAADSTGSIPSSELLKVVEAAAKTGAQVVMDAVNKPRNVTYKGLTDLVTDTDKNSEFAILEVVRKNFPDHLILGEEGGIIGDSSSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGKPAAAAVVYAEIVSDVEFVGGPMCWNTRIFSAAAGKGAFANGEKIHVSRTDKVEQSLLVTGFGYEHDDPWATNMELFKEFTDVSRGVRRLGAAAVDMCHVALGIAEAYWEYRLKPWDMAAGVLIVEEAGGTVSCMDGGSFSVFDRSVLVSNGLLHAKLLEKIGPATENLKSKGIDFSVWFKPENYHTEV >Solyc10g081150.2.1 pep chromosome:SL3.0:10:62411570:62419902:1 gene:Solyc10g081150.2 transcript:Solyc10g081150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIWRITDLSILTRIRRSRMLILRISIIIQKVWLKRIQRVHLRDSQRWYEWNLRRQNGVLKLLSRQSKFIIV >Solyc11g040080.1.1.1 pep chromosome:SL3.0:11:40177543:40177704:-1 gene:Solyc11g040080.1 transcript:Solyc11g040080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWIIWIPNIHDLDVNESNDLSLGLLVNHFSRDCERCSTRNILVIATRFIRI >Solyc06g071320.3.1 pep chromosome:SL3.0:6:44027842:44029028:-1 gene:Solyc06g071320.3 transcript:Solyc06g071320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T10O24.15, related [Source:UniProtKB/TrEMBL;Acc:Q53J17] MGNCQAIDNAALLLQHPNGKVEKLYSSVTAHQIMKINSGHYVSLLLTTTTTATNNKTPVRVRRIKLLKPNDSLVLGQIYRLVTAQEVMKGLCAKKYAKLKQLEYSCEKLTQKSNWNPGSVLENSKQVKQEKHRNSTGARCRAWHPSLQSISEAAC >Solyc03g116760.3.1 pep chromosome:SL3.0:3:67530733:67535659:-1 gene:Solyc03g116760.3 transcript:Solyc03g116760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSIFCCVKGSDRKGQAKKQPTWRVFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKAEMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAESLLDWKRRMNVAIGSAEGIAYLHHHATPHIIHRDIKASNVLLDSDFQAQVADFGFAKFIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELASGKKPIEKVNLTVKRTITDWALPLVCEGKLSELADPRLSGNYVEEEFKRVVLVALVCAQNRPEKRPTMLDVIELLKGEAKEKFTALENDDMFKIPPVVDDDVLSGTEGNADSTASEEKEPKPEIEKVEVQA >Solyc06g064930.2.1 pep chromosome:SL3.0:6:40598735:40599972:1 gene:Solyc06g064930.2 transcript:Solyc06g064930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSNDWEVTNNEKRTLVLLGRTGNGKSATGNSILGSKEFNSKCSSNGVTSACELKTTRLDNGLIIDVIDTPGLFDFTGEPDVIGKEIVKCMELAHYS >Solyc01g008880.2.1.1 pep chromosome:SL3.0:1:2834955:2835494:-1 gene:Solyc01g008880.2 transcript:Solyc01g008880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKDELKKNEALEKSSKVVRVKKFTKQLGKSSIIMPHVIRIYMQDNDATDSSSDDEENVQGGKSKRNKIICNEIIIEKKNTKVVSKRMSSKKKRDKKLLLENVEKYRGVRRRESGRWAAEIRYGRKEARRRWLGTFDTSREAALAYDKAAIEIKGANALTNILDPPPKESTPSTPCHQ >Solyc10g051010.2.1 pep chromosome:SL3.0:10:51281956:51298989:-1 gene:Solyc10g051010.2 transcript:Solyc10g051010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLDIQPEEEPDLVTCQNSIKYFAKEMYDKYSFLDNVEDSQTSMNQGTNDITNDNGIPELLNWWRNCGAQYPKLSRMVKDVLAIQGSSVASELIFELMGLHKSYEDLKTQLPGAGTELHNSAHHEDLIKEVALLELEIMYLEKYLLSMYRKTFAKRLQSLNDTTKTKEVKKHDNIIIYENKITNTSPSMPPVEGSGDPSLVDTSIVRCHSSLSHTAVAASFKPSPLVGVLADALDSYHSLPLSMLEHAQVSTSNWTAADHFVNGSSNHFHHAPNQLSEEMIKCISAIYVQIADPPLYLVSKLKEVDPRKMRHDEKLAFWINVHNALVMHAFLVYGIPRSTLKRISQLLKAAYNIGGNTVSVEMIQSSILGCRLPRPGQWIQSLFFPKQKFKTGDARKGYAIEHPDPRLRFALCSGSHSDALLRLYTPKKVFQELEVAKEEYLQTNTSVHKEQKLVLPKNVESYVKEVNLCPSGLKEMIELALPEHFTRKYQGKLLKKIEWTPHNFTFRYLISHELLESVLNMIEVRGREEEEAGEKKRMEGVGGNIIGRDGKNCFVWFGPRPAVVIMDPEVIKEVMMKNYVFQKPGGNPLTKLLATGIADYEADKWAVHRRLLNPAFHLDKLKHMLPAFKLTGNEMLSKWEKIVSREGSEIDVLPYLQTLTSDAISRTAFGSSYEEGIKIFELQKEQIQLILEVSRTIYIPGWRFLPTKRNKRMKQIFNEVRTLILEIINKRMRMIEAGESHDDLLGILLSSNLKEIQQHGNKKFGMSIDEVIEECKLFYFAGQETTSTLLVWTMILLSQHPNWQDRAREEVLQVFGSNEVDYDKLNQLKVVTMILNEVLRLYPAGYMMTRMVKTKTKLGNLCLPGGVQLLLPTILLQHDTKIWGDDAMEFNPERFSDGILKATKGQLVFFPFGWGPRICIGQNFAMLEAKMAMAMILKHYAFELSSSYSHAPHPLMLQPQFDERLVSAATTILKHHRSKSRWSEILSLAPPTSGFTPSQVSKIILQLRNTPHLALRFFNFTVHRSICCHSLSSYATIIHILSRSRLKPHALELIKCAIRKFPDTHQPDLSNPPRFFEILVKTYRSCDSAPFVFDLLMKAYLDSKKIDVSVQLVRILASKNIFPHIVVCNSLIELIAKSRGPFAAYDMYVEIFRCEKEEWSGREVKGVTANAYTFNVLMVAFHREGVVEKVEEVWKEMMANNCTPNVYSYSILMAAYCEDGRMEYAMKVWKEMGDEDVKHDIVAYNTIIEGFCKVGKVERAEEVFREMVFNEVECTCVTLEHLINGHCMSGNIHAALVLYKDMCRKGFKPESSTIDVVAKVLCDKSGVFDALEFVRAVIKKHDIVPRKTTYELLIQSLCKEGWMEEALKLQVEMVGKGYEPNFEIYSAFIDGYIKQGDEEKAETLRNEVLRNTIPCKDS >Solyc08g014140.1.1.1 pep chromosome:SL3.0:8:3840370:3840552:-1 gene:Solyc08g014140.1 transcript:Solyc08g014140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKNGKVWTKPGVLRDDSGHLIMAFSVATQCRSNNQAEAMPALYVIECCNRALDKTNTI >Solyc11g010480.2.1 pep chromosome:SL3.0:11:3541894:3547944:1 gene:Solyc11g010480.2 transcript:Solyc11g010480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCVPQTFSNLPNYRISNRAQLQWRPSLLLKKPSKSRFNSGSFYRKGSAVRTKAETEAYELYVPPTVEEKDDESVRSKAETEAYELYVPPPTVEEKDDGAVRSKSEEEAYELYVPATVEEKDDGAVRSKSEEEAYELYVPTTVEEKDDGAVKNGAPVEDSMTDKDTDAYASLISEPPKVEEKDDGAVQNGAPIEDSSKDKETDAYASLIYEPPKVEEKDDGAVQNEAPIEDSSKDKETDAYASLIYEPPKVEEKDDGAIQNGAPIEDSSKDKETDSSADFIYEPPKVEEKDDGAVQAEAPIEDSGNDKETDAYAALIYESPKVEEKDDGVIQDEAPIEDTREDKETDSYASLTYEPPTVEEKDDATIQNEAPIDYSSKDKETDAYVSLTYEPPKEDSAVQVEAPIEDSAVQAEAPIEDSALEFESQLSKFFDTLNIKYDPKDPSSIILFGAVALTALWLTTSIVGAIDSVPLVPKLMELVGLGYALWFTARYLLFKKNRDEFAAKIEDLKQKTLGSRDD >Solyc09g075360.3.1 pep chromosome:SL3.0:9:67512132:67515675:1 gene:Solyc09g075360.3 transcript:Solyc09g075360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:Q42875] MASCSSSTAAMAMAITIFLFLLSFVTPVFLAKPVHHAHHPRFASHNYRDALAKSIIYFEGQRSGKLPSSQRITWRKDSGLSDGKAMGVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGGLMKGELLNAKQAIGWATEYLLKATAHPDTIYVQVGDAGSDHSCWERPEDMDTPRSVYKIDKNTPGTEVAAETAAALAAASLVFRKCNPSYSKILIKRAIRVFAFADKYRGSYSNGLRKVVCPYYCSVSGYEDELLWGAAWLHRATKNPTYLNYIQRNGQTLGAAETDNTFGWDNKHVGARILLSKSFLVQKLQTLHDYKSHADNYICSLIPGTPASQAQYTPGGLLFKMDDSNMQYVTSTSFLLVTYAKYLTSARMVVKCGGVVITPKRLRNVAKKQVDYLLGDNPLKMSYMVGYGARYPQRIHHRGSSLPSVANHPAKIQCRDGFSVMNSQSPNPNVLVGAVVGGPDEHDRFPDERSDYEQSEPATYINAPLVGTLTYLAHSFGQL >Solyc01g096950.3.1 pep chromosome:SL3.0:1:87829123:87836623:1 gene:Solyc01g096950.3 transcript:Solyc01g096950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFPSIYYEIIPFNKCFKNPPTSVVVFLSLLRFWRSSLYEQFCLIKPQKTEFFYHSSNLSILGFGLHDGCSYYWSLYMGVSNIDPLQGVFPEIIEEYLESGIMKCIAFNRRGTLLAAGCADGTCIIWDFGTRGIAREFKDDDSVASITSVCWSKYGHRILVSAADKSLSLWDVVRGEKITRITLQQTPLQARLYPRSTTPSICLVCPLSSAPMIVDLETGSVTVLPVLPAEGGNGVAPPSKNKFSDGSAPFTPTSACFNKYGDLVYVGNSKGEILIVDYRSVQVRGIVLVPGNAVIKNIVFSRNGQYLLINSTDRTIRIYENLLPVKNALAGLDEATKDLNDLEGVEKLKAVGALCLTLFREFQDSVTRVHWKAPCFSGDGEWVVGGSANKGEHKIYIWDRAGHLVKILEGPKEAIVDLAWHPVNPVVVSVSLSGLVYIWAKDFTENWSAFAPDFKELEENEEYVEREDEFDLNPEIEKVKGSDVNEDEDVDIDGLENDSAFSDSDLSEDEICFLPADPIPDAPEQQDKCVGSSSKLGESGSPFSEEAGQNGPANHESSPFEGEDTGTTGLKRRRKPSEKVLEQQAEKVKKPPQKTKPSG >Solyc04g014320.1.1.1 pep chromosome:SL3.0:4:4606055:4606381:-1 gene:Solyc04g014320.1 transcript:Solyc04g014320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSNCSILFAIIALLSLSSITTSHAARSLLQLPNLPTIPSLPKPTVPQLPNIPNFPAALPPLPTLPTATPLPSLPTLPSVPKMTLPPMPSLPNMPAIPTLSPPPSN >Solyc05g047460.3.1 pep chromosome:SL3.0:5:59755089:59761857:-1 gene:Solyc05g047460.3 transcript:Solyc05g047460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4C0Y0] MKTPGNGAGGGNVNPAEGEKKNLNPELWQACAGPLVNLPVAGTHVVYFPQGHSEQVAASIKKDVEAQIPNYPNLPAKLICLLHNVTLHADPETDEVYAQMTLQPVPSFDKEALLRSDLSMKANKPQPEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDYSLQPPAQELVARDLHDNIWTFRHVYRGQPKRHLLTTGWSLVVSGKRLFAGDSVLFIRDEKHQFLLGIRKANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRAGPSEFVIPLAKYYKATYSSQISLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERINRVSIWEIEPITAPFLICSSPFFSSKRPRQPGMPDGDYSDMDGMFKRTMPWLGDDFGMADPQGLPGLSLIQWMNMQKNPSLANPMIPNYMNSLSGSALQNLAGADLSRQLGMAAPQFQQQQQMQHNLQFNNAHRPNQQLDQLQKLPAAALNSLDSIMQSQQQLSDVSQQPRQNLTTQSLPTTQVHTQHIQAQSLGQSQNVLPPQQSVQNQNQLQRNLPQSLSQQHPQQQILGQTQQQSFMSSQAPDPVNQQQHFSDNQAQLQMLQKLHQQQKSLLAQQSGLQQPSQLGSIQDHQKQLMDASQNFSRSLATNQMLDASQTTSTSLPHSQVVQQQMTRINSPSNLRFSQPTQQPKLQQQQSGNLSDLSGPVNYPLPRTSYQLSANGSNLTGTAGGGQSVVIDDVPSWSTSVSTNNCHSVVQPNMNGRITGARDEMTHCSGPLEVMSANNNLQPKSDVKPSVNVVSKSQNHGFLAPQTLNTSGIQFDYLDSSSSATSACLSQNDVQLQQTATDPLSGSSQPLIFRDSPDGGEVQGDPRNNVAFGAANMENNQLGLPMIPDPLITKSSMGSRKDFSDNLSSGGGMLSSYENPKEAQPELLASMASDYVTFNSIDSTINDGSFMDRGAWEPPPQLPRLRTYTKVYKRGAVGRSIDIARYSGYEELKLDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVSCVRCIKILSPQEVQQMSLDGDFGGSVLQNQDCSSSDAGDV >Solyc03g078070.2.1 pep chromosome:SL3.0:3:51278834:51279915:-1 gene:Solyc03g078070.2 transcript:Solyc03g078070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDEWKNYKGDKRIEAKTRGDRFDIDETTNDLTELSIDDPQIEGVIFEEEFEDLEEVEEDVEEIANLIK >Solyc11g010990.2.1 pep chromosome:SL3.0:11:4003992:4029678:-1 gene:Solyc11g010990.2 transcript:Solyc11g010990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSHEIEHPIKAFGWAARDTSGVLSPFNFSRRATGENDVQFKVLYCGICHTDLHMLKNEWDNSKYPIVPGHEIVGVVTEVGSKVKKVKVGDNVGVGVIVGSCRKCDSCTSDLEQYCSSSINTYSTTYYDGTTTYGGYSDLMVANEHFVLRWPENLPMEAAPLLCAGITTYSPLKYFGLDKPGLNIGVVGLGGLGHMAVKFAKAFGCNVTVISTSINKKDEAIKHLGANSFLISHDQEQIEGATSTLDGIIDTVSAEHPIAPLLSILKPHGKLVMVGLPPKPLELPVFPLLMGRKIMGGSIIGGMKETQEMLDFAAKHNITPQVEVVSMDCVNIAMERLLKSDVKATGENDVQFKVLYCGICHSDLHMLKNEWDNSKYPIVPGHEIVGVVTEVGGKVEKVKVGDNVGVGVLVGSCRKCDSCTNDLEQYCPSHIGTYSTTYYDGTTTYGGYSDLMVANEHFVLRWPENLPMEAAPLLCAGITTYSPLKYFGLDKPGLNIGVVGLGGLGHMAVKFAKAFGCNVTVISTSINKKDEAIKHLGANSFLISHDQEQIKGATGTLDGIIDTVSAEHPIAPLLSILKPHGKLVMVGLPPKPLELPVFPLLMGRKIMGGSIIGGMKETQEMLDFAAKHNITPQVEVISMDYVNIAMGRLSKSDVKATGEKDVQFKILYCGVCHTDLHFLKNEWGVTRYPVVPGHEIVGVVTEVGNKVGKFKIGDKVGVGCLVGSCKKCDNCSNDLENYCPQQIQAYGQMYIDGTMTYGGYSDIMVVDEHFAVRWPENLPMEAAPLLCAGITTYSPMKYYGLDKPGLNIGVVGLGGLGHMAVKFAKAFGANVTVISTSPSKKEEALQHLGADKFLLSNDPQQIQGAMCSLDGIIDTVSAVHPLLPYLGMLKPHGKHIIVGAIPQPLEMPVFPLILGRKTIAGSAMGGMKETQEMLDFAAKHNITPDVEVVAMDYVNTALERLVKNDVKYRFVLDMDKKMNNDKILFVIILINVCILSVFKLCGCRATGDKDVKLQVLYCGICHSDIHQLKNEWGR >Solyc01g044550.2.1.1 pep chromosome:SL3.0:1:44576909:44577412:1 gene:Solyc01g044550.2 transcript:Solyc01g044550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLSKIHSNSEEVFDFVVNKGYEVKGLCDIGIKALPKQYIQPLEERITTSIVRTDDSIPIIEASNWDDPKVGYQIREAAQNWGLYQVINHGVPIEVLDNIKESTHRFFNLPTNEKKKYTKENSISSNVRYGTSFTPEAERTLGWRDYHSLVYISDDEATSFWPSSC >Solyc12g027880.2.1 pep chromosome:SL3.0:12:27987039:27989042:-1 gene:Solyc12g027880.2 transcript:Solyc12g027880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTYSTVAWAASVGRGIEGRVVSYELRGEKTSDNVFMFLSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWKGVWVAYLIVAICYLPVAFIGYWAFGNQVEDNILLSLEKPMWLVAAANMFVVVHVIGSYQVFAMPMFDMIETYAVKSIKLKPSTFLRFAVRTTYVAMTLFVGMTIPFFGGLMGFFGGFALAPTSYYLPCIIWLIIVKPKRFGFSWWMNWFCIIIGVLLTVLSPIGGMWTLIKQAKNYRFYQ >Solyc03g118890.3.1 pep chromosome:SL3.0:3:69157863:69163033:1 gene:Solyc03g118890.3 transcript:Solyc03g118890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDSTSLDKESVSTTANNKREAHPDSSDSVTKKLRSSDTHDEPKTEITVPKNGCELYFEIEADAAEDKGSRHTMEDASVVLPDAGLEFPGKLRCAHFAIYDGHGGRLAAEYARKHLHDNVLSAGLPRELLDIKAAKKAILEGMVLGFFARYENLIFLLLRLFSIDPTIDITHGGFMYSHFHLSGFRRTDESLLQESTKGGWQDGAAAVCVWVLGSKVFVANIGDAKAILARSSPSDGSNNTSDGSTPIKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQARLEVSRAFGDRQFKKVGVIATPDVHSFDLTERDHFIILGCDGLWGVFGPSDAVDFVQKLLKEGLSVKAASRHLVREAVRERQCKDNCSAVVIAFRKKQ >Solyc01g057535.1.1 pep chromosome:SL3.0:1:61446090:61448212:1 gene:Solyc01g057535.1 transcript:Solyc01g057535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIKPVEDLPLEQHDKDFPFEDQVKKNYVTPRTNDQPEEQAGDVSSPNKRGRTQMHDVHARKERKLIILNSQNQPVGPTDDVVIELSSFLGTLATNVTLCPFDILDWRSMDTKKDLWDYTKICSLQ >Solyc12g070100.2.1 pep chromosome:SL3.0:12:29256249:29278223:-1 gene:Solyc12g070100.2 transcript:Solyc12g070100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLIVAVEGTAVLGPYWKIIVSDYLDKIIRCFFGVDSTSQKSSAADVEVSMVMFNTHGPYSACLVQRSGWTKDMDTFLQWLSAIPFSGGGFNDAAVAEGLAEALVMFSVPNGNQTQQKMEGKKHCILISGSNPYPLPTPVYRPQMQKLEQNENIEAQTDSRLADAETVAKTFPQCSISLSVICPKKLPKLRAIYDAGKHNPRAADPPIDTAKNPNFLVLISENFIEARAAFSRSGLTNLASNHSPVKMDVSSVLPVSGTQSISNSAANVSVISRPPISAGNIPPATVKIEPNTVTPMTGPGFSHIPSVRPALQPVPSLQASSPLSVSQEMVSHTENVQEMKPIVSGMTQSLRPVAAAAANVKILNGVAQAHQVLGGGTSIGLQSMGGTPMLSSMISSGMASSVPASQAVLSSGQSGVTTMTGAVPLAGSAQNTQNSAPSSFTSTAPSMSGQTVPAMSQGNIPGTQMMPSGTGMNQNMLTGLGATGLPSGTGTMMPTPGMSQQGQPGMQPVGVNSTSANMPLSQQQTSGALPSAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASESLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLSQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQIPSQQQQQQQQQQLQAQHPQLQQQQQQQQQHLTQLQQQPLQQLQQQQQQQPLMQLQQQQQIPLQQSQVPQMQQQQIHQMQQQQQIPQMQQQQQIPQMQQQQQQQPMVGTGMNQTYMQGPARSQLMSQSQGSSQGLPITPGGGFMN >Solyc09g092370.1.1.1 pep chromosome:SL3.0:9:71958516:71958947:1 gene:Solyc09g092370.1 transcript:Solyc09g092370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSHFKTILLLCIFFFFCFPQAKGNHRWNTYTVVIYNGLPFDSPKLSIHCYSADDDLGYHDLAAYENFSWKFKFNQWLWARTRFHCQFWWDKKSKSFVVFNDYDHCILYSPIPTTNYCQWTVQEDGFWLTNYRGDTYRVFEW >Solyc06g083810.3.1 pep chromosome:SL3.0:6:49161637:49166600:-1 gene:Solyc06g083810.3 transcript:Solyc06g083810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTVFAPALEGIKHVKSEEGVMLTTPFLDVCKHILPIIEKFGAAMALVKSDIGGNIMRLENKYLSNPTKYTNLYSMVQEEVEAKTAKGSSSCTNGLLWLTRAMDFLVALFRNLLEHQDWAMSQACSDSYSKTLKKWHGWLASSSFTVAMKLAPDRKKFMDVICGTGDINSDIEKFCTTFSPLLEENHKFLASVGMDELKAS >Solyc04g080240.3.1 pep chromosome:SL3.0:4:64565815:64575886:-1 gene:Solyc04g080240.3 transcript:Solyc04g080240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKTQFDSRHSQISKDVQGPNDSIPLSPQWLLPKPGESKAGMVTGDNHLNAHPGYPIRSELAKFPGMSEDMHDNQKKKDVFRPSVLDMESGRRDRWRDEERDTNSAVRRDRWREGDKEIGDGRKVERWSDSSGRHHGEVRRGPGERWTDSGNRDSNHDQRRESKWNTRWGPDEKEADAVREKWSNPSKDAEMHLEKGSPGLAYHGKDDREGDHYRPWRSTSHGRGRSEPTHQTFTPNKQVPTFSHGRGREDGATPTFSLGRGRAVSGGSPMIKGSLHVQSVGAFSEKAESVSSPIRYSRLKMLDVYRGTDMQSCSNFSDVIVQVPSLTQDEPLEPLALCAPSQEELGIDKGDVLSSGAPQTTKDGTLARNSTEHTQPRRGKLGSREDLSFDDSREESTDNAKGGYLNHPEGSFFEKLHSYGSSSKSETKQSLERFSDPKLGAVVSVEDSILHREWESVNRDPSTPGHSPVPHGGGLWRSSSIGARSHLPANDARDLPTDIRSRTSDIGWLQSQKDKNTDRERDLTDPSYTKNEGSKWQFGDDPILKRQLSAAMDKELEMRKISQSSPEDLVLYYKDPQGAIQGPFSGSDIIGWFEAGYFGIDLLVRLAAAPHDSPFYLLGDVMPHLRAKARPPPGFGAPKPNADAPGGLNASSFTKLHAGSSEIDTVNSEMNYKHNSTEAENRFLESLMAGKVGHAPLDKFSQSEGIPAYGANSIGAVPPMGAESGENLFLLAKKMALERQKSLPKPFPLWPGRDASPVVPNADIVQDPLPHSQRPSMAENIRQQSHNQNVDLMSLLQGIPDRSAGISSGISGWSNFSVQGGLEPLQERMEMHQGQSMPPQSAFGMQQQRLHPQNPPMTNLLGAMDNTSSILATEKLLSSGVQDPQLLNLLQQQYLLQLQSQAGQGPQQLSHPHQRFGEQPYGKLPNPGISAGNASMDPNHFPPSHNLFPVNTQIQLPVMEEAHPLNFALPSSISQDVCQIGSSETSKVHLPHQMFGDSSSQRSWGLVEQIDDIQLKVPGMATAMIDPSSHTEFTSKHHLEKGSENNEPPATTSEIASHFPHVELLEKAAMPPPPAVDNDLHQKNRVESPPAAAPSEPQIEGDLHDGLSDTKELKSVETREVKKSSEKKSRKQKSTKGQTSDLAKGASKSQPSKPLQSDAPIVSDSPSVSVDKATAVGPGRRESRPEVAIADVVDEYPGQNPPISQSNTQVQSGQRAWKPAPGFKPKSLLEIQEEEQRRAQAEITTTEVATSLSSLSVSTPWAGFVTNSDHKLVRDTQQDAASTDLNMNNSDVSLDQKTKKSQLHDVLAENTLAKSSDRERDFPDMTSVQPSVSVNDDDNFIEAKETKKSRKRSAKSKGAGAKASMPTAASEVSVASSPIDKVKSLRQVQPDQEVLPAIPSGPSLGDFVVWKGESASSATIPVPAWSTDSGKPSKPTSLRDILKEQEKKVTSGQQHIPVPTQKSVPNPPARVGGSSWSSSSPAKAASPIQINSQAGAYSKNKVEDDLFWGPIDHPKQESKQSEYPQLGSQGSWGSKTTPVKGSPGGSLSRQKSVSSKPAERLLSSSPASGHSSLKGKKDALTKHSEAMDFREWCENECDRLIGTRDTSFLDFCFKQSKSEAEMLLIENLGSYDPDHEFIDKFLNYKDFLPADVFDMAFQGRNDRKVTGASAKNVTSNSVGFDQGNSSVQDWASKGGKKKGKKGKKVNLSELGFNVVSNRIMMGEIQTVED >Solyc01g107150.3.1 pep chromosome:SL3.0:1:94654059:94663782:-1 gene:Solyc01g107150.3 transcript:Solyc01g107150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCKCKKATKLYCFVHKIAVCGECICFPEHQICVIRAYSEWVIDGEYDWPPKCCYCKSELEEGTDAGITRLGCLHTIHTSCLVSHLKSFPPHTAPAGYVCPIPECSTSIWPPKSVKESGSRFHTKLKEAIMQTGLEKKLFGNHPVTLPGTEPRGPPPAFASDPLKHISTGGKDSEGLAAIATGSSKPASLDIVEIDGSTSAPSSMSNHETNFMKSTSPSGPGATTRKNTVQVERQNSEVSYFADDEDGKRKKYTKRGSFRHKFMRSLLPFWSSSLPTLPVTAPPRKDASNADEVTEGRQRHLKSSRMDPRKLLLIIAIMACMATMGILYYRIAQSGLDEELPDNE >Solyc04g024560.2.1 pep chromosome:SL3.0:4:30073782:30074540:1 gene:Solyc04g024560.2 transcript:Solyc04g024560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECIHKNIWKRKGYWVELKALAISLSTGNSKSFFVCQTKIATPQNLITSPPLTTTPIPSHYGRIFPIDTSEGINVGDSSRIVIRKCECILLSRNSYGSTINRYFTIKGVILFVVAVLIYRINNRNMVKIKNLYLIGLLPIPMNSIGHRNHTLEESVRFSNINRFIISLFYLPKGKKISETCLNLTGVRVGGGTVSEKRGIIVVRYLMNRHWN >Solyc05g005220.1.1.1 pep chromosome:SL3.0:5:187570:188346:-1 gene:Solyc05g005220.1 transcript:Solyc05g005220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASRIPSNPSYERLPTSFYTSTPAETNNPNWGDPNNSLEFEHLSASQKIGSVMFVGTMVFVLIVGLFAMIDFSTSRTVSFSNSQIIGMHGVHLQSMSISNLQSTVNSTFVAGCNVMFNITNKRDVEYFYDKGVIWVIFDEKIMWTLQTKEFYQAIGETTLVNASASPAKLETDLYVPRALASDRKLHEWEMFKVRFDIYYRGGVGRNGFNLGGLKYDCDVNMTFVDETTLVGGPSKCIGSVEDVTSCDNLFSCSNNN >Solyc08g007545.1.1 pep chromosome:SL3.0:8:2095566:2096744:1 gene:Solyc08g007545.1 transcript:Solyc08g007545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRKSGKKKWELNQTLSIQSQRRWRLIQMQFQPLELEQVKRSKKCGVSEFSGSIGVSHG >Solyc10g046920.1.1.1 pep chromosome:SL3.0:10:38068565:38069368:1 gene:Solyc10g046920.1 transcript:Solyc10g046920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVQLNTCISSENTTKLLLCYCVCNISKEDQMAANNDLITRFPFLQLVIGRTCMICLENFNLRDYSENGTKRAITFIQGCHGHPAHMRCFLDWIIHAEQEYDVACQHLIDTVVLPNNMSGQEAANLFQERLSNLRHVNPICSTCATPLVVNEYIHLTAMAPPPPHLIPSAQHLPLAPYDIPMDLHVTLPNYRSGCCNYPHLPREWFVITQPCRHTLHTRCYLNLLMGNMSWRNVRRLLNPDNIVRCPTCDVVVEEVHPNCVRAYD >Solyc07g032070.2.1.1 pep chromosome:SL3.0:7:34268761:34268826:1 gene:Solyc07g032070.2 transcript:Solyc07g032070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIVIYQGSAALSLFPIKVSLK >Solyc01g080540.3.1 pep chromosome:SL3.0:1:79642917:79645627:1 gene:Solyc01g080540.3 transcript:Solyc01g080540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQLQRSFVEYMASLFREGFLDGQFSQLQQLQDDSNPEFVVEVVSLFFEDSERLLNDLTMALDQPNVDFKQVDAHVHQLKGSSSSVGAQRVKNCCVLFRNCCEEQNTEACLRCLQQIKQEYLLVKNKLQTLFELEQQIVAAGGAIPMVQ >Solyc12g035820.2.1 pep chromosome:SL3.0:12:44493493:44494271:1 gene:Solyc12g035820.2 transcript:Solyc12g035820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFDSIVVLNHFMAPGVAEPSTIGGANAQVRSLNERILRKSVWPKKMRLTPFGSQANDLRFAGTRKTTISLFPFFAATFFFKGWCWSVAREELLGQLMRKCWNIMGKDKVMELKEKFIDLNRIGELIRRIEMMIEIILRNRRIFYRFNYYLNEVQKMRSLLYNKKTLIPIIESDKIKSVYHSASPIAQDINEKGVEWIRICCLDQLEGEAIAITE >Solyc03g007085.1.1 pep chromosome:SL3.0:3:1638621:1639031:1 gene:Solyc03g007085.1 transcript:Solyc03g007085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPIPAQKSSPPSCTALTVEGKPTIFSCGDVCEKKGSGVPGFSSHSKGTEAMSLTGGVNVEFTEGLFSAVGATYIVIAIFSFLAEGTTHTTHTYI >Solyc12g027560.1.1 pep chromosome:SL3.0:12:24524837:24526186:-1 gene:Solyc12g027560.1 transcript:Solyc12g027560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTPEASSHYLLERLQGGASLTEPRTQRAIYGMGYSVLNPLLMFTEVYKHESTVVYVLLRFVVDWVDGQIIYLEAHEIDIVVGFCMHVL >Solyc03g006080.3.1 pep chromosome:SL3.0:3:758962:763436:1 gene:Solyc03g006080.3 transcript:Solyc03g006080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAFTLFLLTILLLLHYVMTQTNITTDQLALLSLKSQIISDPFHFLNESWTPAISVCRWVGVTCGSRHQRVKSLNLSNMALTGRIPRNFGNLTFLGSLDLGSNNFQGYLPQEMAYLHRLKFLDLSFNNFRGEIPCWFGFLHQLQVVNLGNNSFIGSIPSSFSNISTLETLNLNFNSIEGEIPEVIGSLINLRVLSLYGNNVIGSIPSSLSNASRLEALDLSRNLLQGNIPEGIGNLHKMKLLSIQHNKLTGSIPFTIFNISRIEVIAFTDNSLSGNLPNGLCNSLSILNGLYLSTNKLRGHMPTSFSNCSQLQVLDLSGNEFDGRIHSEIGRLSNLQILYLGANHFTGIIPQEFGNLANLVDLGMEDNKISGSIPINLFNISSLQRFGLWRNNLNGSLPREIGNLTKIQILDLRENTFTGEIPKEISNMMELEVLSLGLNSFSGSLQIEMFNSTSRLRIMALTNNNLSGTLPSNIDSVLPNIEELYLGKLTNLVGTIPHSISNCSKLTNLELSNNKLTGLIPNSLGYLANLQFLNLASNNLTSDSSFSFLTSLTNCRKLTILFLSSNPINGMLPVSAGNLSTSLTMFYASSCNIKGRIPNEVGNLRNLLALDLSGNNLVGSIPASIGNLRNLQRFNLSDNKLTGFIGDNICKLQNLGAIYLGQNQLLGSLPNCLGNVTSLRLIHLGSNKLISNIPPSLGNLKDLMELDLSSNNMVGSLPPEIGNLKAVTHIDLSMNQFSKGIPREIGALQNLEYLSLRHNKLQGSIPDSFSNMVSLGYLDISHNNVSGTIPMSLEKLQYLKYFNVSVNKLYGEIPSGGPFKNLSSQFFIDNEALCGSSRFSVPPCPTSSKHRSNRKKMLVLFLVLGIALVLVPIIFLFVWIRYTRVKSDPQQADSLSTATTERISYYELLQATESLSESNLIGSGSFGSVYKGVLRSGTAIAAKVFNLQLEAAFKSFNTECEVLRSLRHRNLVKVITSCSNLDFKALVLQYMPNGSLDKYLYSHNYFLDISQRLSIMIDVACALEYLHHGCSSPVIHCDLKPSNVLLDEDMVAHLSDFGISKLLGEDENDLYTKTLATFGYIAPEYGMDGLVSIKCDVYSYGIMLLETFTRRKPSEFEGDLSLKQWVSYSLPEAVMNVVDANLHVSVSLVPNR >Solyc04g047830.1.1 pep chromosome:SL3.0:4:37755589:37756690:1 gene:Solyc04g047830.1 transcript:Solyc04g047830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCCNNCSLTFVFPTHYSYELPDSHPLLEGDIHSELTLLYRTGNVASIEEKYESCNCNETQSKVDASNIGVGSNIETVSGTLLITCRTTNKEKFPLNGTYFQVNEGCQQKKYKILMLLTENRKCTKRYEFQ >Solyc03g115370.3.1 pep chromosome:SL3.0:3:66578213:66586276:1 gene:Solyc03g115370.3 transcript:Solyc03g115370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:K4BL39] MEDYRESEFPLLSWISKNPSEMAESPLFILSCLIAGLVGILTIFYTAFQWRRNINGSWMKAIARSKKNPKTRNKVPAAPHTWALETVSRGKSLKCCVCLKSISPSQTLGPIVASERFFNRCSICGAAAHLSCSSSAHKDCKCASMFGYPHVVHQWAVRWTEVADQPDESYFCSYCEEPSSFLGGSPIWCCLWCQRLVHVDCHANMFNETGDICDLGPFRRLILSPLYVKELNRGGLLSSITQGANEIASSVRASIISQSKKYKHSKEKHENLTSAETSNGGGVGDTTTECTADSHQVNGNGNIDENCNSGVNIEGADQQQDGGVKKLISIPSFKRSSSINQKDESQLIGQKYELTDLPPDARPLLVFINKKSGAQRGDSLRQRLNLLLNPVQVFELSSTEGPEVGLHLFRKVPHFRILVCGGDGTVGWVLNTIDKQNYVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLHDIEQAAVTILDRWKVSIVNQQGKLLEPSKFLNNYLGVGCDAKVALEIHNMREENPEKFYNQFMNKVLYAREGARSIMDWTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDEHYDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSKARRLAQGQLIKIHLFAAFPVQIDGEPWYQQPCTLTITHHGQVFMLKRAAEEPLGHAAAIIADVLENAESNQVIDASQKRALLQEMAIRLS >Solyc10g005580.3.1 pep chromosome:SL3.0:10:462440:467521:-1 gene:Solyc10g005580.3 transcript:Solyc10g005580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDSSSDSSRSPGPDFHLSDEILSVIPTDPYDQLDLARKITSMAIASRVTKLESETGRLHQKLNDKDRVIVELQDKVSQLEKACHENELRLQFTCEDNMKLTKERDSLAMTVKKMARDLAKLETFKRQLVQSLSDDNSSPAETVDIGTYDQSTHKAYSLKEEVNSYAVHHSSNGSMDSTVINDDASKRALQSYSMSPYITPRFTPTGTPKIISTSVSPRRYSVAGSPQRMSGANSPTNSQYEGRGSMSSFFPSSQQSSAANSPPKSRPLPGQTPRIDGKEFFRQARSRLSYEQFSAFLTNIKELNAQKQSREETLKKSEEIFGTENKDLYLSFQGLLNRSAR >Solyc04g079840.3.1 pep chromosome:SL3.0:4:64242201:64249794:1 gene:Solyc04g079840.3 transcript:Solyc04g079840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLNKIFRGSSHKISEGQYDWRCEGHTEEDDPSTAEDSWSEIEEIDRAIAISLSEEEQKGKIVIDSESQLKEDEQLARALQESLNVESPPQHVSRNDHGGGNVYGNGNFYHPVPFPYSASFRVCAGCSTEIGHGRFLSCMGAVWHPECFRCHACNQPISDYEFSMSGNYPYHKTCYKEHYHPKCDVCKHFIPTNAAGLIEYRAHPFWSQKYCPFHEHDGTPRCCSCERMEPRDTRYIALDDGRKLCLECLDSAIMDTSQCQPLYYDIQEFYEGLNMKVEQKVPLLLVERQALNEAMDGERHGYHHMPETRGLCLSEEQTISTVRTSSDIHFFSLFMILETEKLNIFYSNGKRKLLLTTNCQIQRRPRIGAGNRVMDMRTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLRGARSFLLLPS >Solyc04g024920.1.1.1 pep chromosome:SL3.0:4:27396505:27396741:-1 gene:Solyc04g024920.1 transcript:Solyc04g024920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFQVVHCVVDEGLRTYNLPFIFISALKFLTPASGFQGYWDLYPIDMKFIPPCMILSTIVISKPDKFSGQSIKQCLT >Solyc11g011320.1.1 pep chromosome:SL3.0:11:4363934:4369570:1 gene:Solyc11g011320.1 transcript:Solyc11g011320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKLQNKIVLYCFAIIFQFFLLVNGSRVHHHDNRNTSAKENSKLQQVSPPVTLTVTSRYVVIDNGIVQLSLTNPTGSIFGVKYNGIDNLLEPLPETQRGYWDTMWNGKFDTLLASKFSVIAQDANKVEVSFQKLYNPLNGNNPPLNIDKRYVMLRGSSGFYSYGIFQHLKGWPAVNLDEARIAIKLSKSLFHFMAISDDRQRIMPTEEDRASGQTLDYREAVKITNPSNPRLKNEVDDKYQYTDEIRNIKVHGWISDNPHMGFWVISPSYEYCNGGPMKQDLTSHVGPTSMAIFFSGHYAGPQLGVSLTNGEAWTKVFGPVFFYVNSDSSNDHTILWEDAKRQMNEETNKWPYDFPASKYYLHANQRGSVSGQLMVHDWYINVDVFPALNAYIGLAEPGLVGSWQSETKGYQFWTQTDDSGNFKIKNVRPGIYGVYSWVPGVMGDYKFSSYITVTQGKVTNLGQIIFEVPRNGPPLWEIGFPDRTANEFFIPDPLPGLQNYLYTNTTIHKFRQYGLWNRYTDLYPNGDLVYKVGVSDFRKDWFFAHVNRRNKDKSFSATTWQIVFDVKNVDSSGTYHLHIALASASYAHLLVWINTPSKPRPWFDSSPIGMSNAIARHGIHGLYMTLDIEFPGTQLHIGENIIYLKQASVYGPFTGLMYDYIRLEGPSTK >Solyc08g067650.1.1.1 pep chromosome:SL3.0:8:56716593:56717063:-1 gene:Solyc08g067650.1 transcript:Solyc08g067650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKIWKARNSKSFNGEVVGPKDIVNKDLFYFHEYESNLIPPSPPRVIHSCNDDRNLTVAQDGIVMFVDASVHKEKKTASIDVAATDSYGNLLHAFGTPIQYVGKVITAEAIAIRMAMENAREKGWSKVQISSDAKKKCGGYGTTMNYSLMGDRDYL >Solyc08g080220.2.1 pep chromosome:SL3.0:8:63655423:63668783:-1 gene:Solyc08g080220.2 transcript:Solyc08g080220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRTAIIAEVNENLLKKVQKTTGVSLASDYWDFIPYDAQQEASVNSSNYKRVLSHAQVYSILKDIDPGFLEGLLRRKNSIFLKSCLLTPNSHRVTEFGQHMIFDESNRLYRKLIDFRGTANDLSMCVLDRIKVSKIRADRSVINDPNAPATGLKYVKELVLAKRTNHAFRMVVVGDPNIELGEIGIPCHVAENLHMAETLSLRNWERMTDLCDLMILQRGGILVRRNGVLVRISVMDGLQKGDIIHRPLVDGDVVMINRPPSIHQHSLIALSVRILPINSVLSINPLVCSPFRGDFDGDCLHGYIPQSIDSTIELSELVALKQQLLDGQNGQNLLSLSHDSLTAAHLILEPGVFLDRFQMQQLQMFCPRQLGMTAIVKAPPGNICYWTGKQLFSLLLPSDLEYVFPSNGVCISEGEIVTSSGGSSWLRDASDNLFYSLVKHNGGDTLDLLYAAQTVLCEWLSMRGLSVSLSDLYISADSYSRENMIDEVCSGLQEAERLSYIQLLMIKYNKDFLSGNLEESKNSMGFDFEFMSIMQQKSASLSQASASAFKKVFRDIQNLVYNYASNDNSLLAMLKAGSKGNLLKLVQHNMCLGLQQSLVPVSFRMPRQLSCDAWNNHKSHLVIEKPHKVPECPGSYIPSAVVKSSFLAGLNPLECFVHSLTTRDSSFSGHADVSGTLNRKLMFFMRDLYVGYDGTVRNAYGNQIVQFSYYEAEQIASTKVTGEALESHNHAIGGHPVGSLAACAISEAAYCALDQPVSALESSPLLNLKKILESGAGSRTGEKTASMFLSKRLGRWAHGFEYGALEVKGHLERLLLSEVVSTVMICFSPETRKSTHNCPWVCHFHIDKENVKTRRLKLRSVLDALNMRYRAATTKAGNDLPNLHITCKDCSVAEVQKEKSEICITVSVVETSKDPSSLLDTLRDVVIPFLLETVIKGFSAFKKVDILWKELPSPSKSSRGPTGELYLQVFMSESCDRIKFWNALVDSCLQIRDLIDWERSYPDDVHDLTVAYGIDVAWEYFLCKLHSAVSETGKKILPEHLVLAADSLTTTGEFVPLSAKGLTLQRKAAGVVSPFMQACFTNPGDSFVRAAKMGLSDDLQGSLESLAWGKTPSIGTGSSFDIMYSGKGYELAEQINVYTLLRNLVTVDTPNVKVTLGKDGGMDGMSLVRRLDRLDDLDKKSCKSELSFTKLRSYFSFNDIKKLSQSLKQMLSKYDIGRELNEADKCLAMMALQFHPRRNEKIGKGAPKEIKIGYHQEFEGSRCFMVVRSDDTVEDFSYRKCMQHALELIAPQKAKTSRWLNGASA >Solyc04g079850.2.1 pep chromosome:SL3.0:4:64251516:64256806:1 gene:Solyc04g079850.2 transcript:Solyc04g079850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATIKVAKPIFCTCHLQLRYATHSPATNRPHELADRICRLLILQRYAAVDSLKFDFSNNLVDSVLVKLKLHPDASLHFFKLASGRQFFRPHVVSYCRIVHILSRGRMFDEARFYLSELLELSRNKKPVSFVWDELVTVYREFKFSPTVFDMVLKIYAKKGLVKNALYVFDNMPKCGRVPSLGSCNSLLNSLVKKGDFFTVFSVYDQMIKMGFSPDIYTCTIMVNAYCKDGKVDKAETFVEEIEKMGLELSIATYHSLINGYVEKKDLKGVERVLRVIDKRGISRNIVTFTLLIKVYCRLCKMEEAEKVFREMKEVDEQVYVVLIDGFCQMGKMDDALRIQDELLRSGFNMNLFICNSLINGYCKAGKISNAEQVVRSMIDWTLKPDSYSYHTLLDGYCREGLMQNAFNLCDEMIQSGIDPTVVTYNTLLKGLSREGAIADALHLWNLMLKRGVIPDAVGYSTLLDLFLNMGEFEKALVLWKHILARGHHTKSRILLNTMLKGFCKMGKMVEAELLFNKMEEFGCSPDGVTYRTLSDGYCKAGEIEKALKLKDVMELQNIPASVENFNSLISGVIKAGMFSKVKDLLSEMHDRELAPNVVTYGALIAGWFKEGLPEKVFKTYFDMRENGLNPNVIIVSSIVNGLYKLGRTDDANMLLQKILDVKLYPDLKHIYGFSNVKTGLPDTQKIADSFDENATKCVVPNNVLYNIVVAGLCKSGKIDDARDVMNHFSLKGFTPDEFTYCTLVHGMSSVGKVNEAFNLRDEMITKDLVPNIAVYNALINGLCKAGNIERALSLFNKLHSKGLSPNVITFNTLIDGCYKIGKTSEAVSIVLFMMEHPVTVAVSEWRFLTGSIFAHEMMHAWLRLRGYRTLSQDVEEDICRYSRVIIIKAGIGSPFERKLGDSFKHQNESDTSPIYGYRFRAGNQAVLKY >Solyc02g093500.3.1 pep chromosome:SL3.0:2:54988235:54990072:-1 gene:Solyc02g093500.3 transcript:Solyc02g093500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISPAYFKNIPNIFNFSVHLSNNGMCNSNSITIVTVSPLEGYCNSTLQFTISGTQIRTPEVSLLHLNPYKHLMVRVSSGDLPEGFQMVDFSLTSSITLLGHGANFATAGSTIRRQNETIFQSGISPFSLDVQIVHFHRFQSRTEELYRQADLTLHVSVKNKLPRPREFSKSLYTIDIGQTDLAVGFRQMSNMQLRTAIADIINQFSAAVTRLYQQGARAFWIHNTGPIGCLPVSTLYLRNPKQGVLDNYGCLKRHNELALEFNRQLKARIRTLRAELEHAAITYVDVYAAKYELISNAKSQDFMEPQKICCGLHEGNRHVWCGQKGIVRGAEVFGGACVNPSDYISWDGIHYSQAANQWIANHILNGNFSDPPIPITHACHKHLHY >Solyc03g118333.1.1 pep chromosome:SL3.0:3:68723627:68724264:-1 gene:Solyc03g118333.1 transcript:Solyc03g118333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNRIRGNIPKKIADLVSLNILHLGILSNLGTLNLDSNRFSCEIPSSIGNITRLLYLYLSGNTLNGTVPPSLAHANNSFMGSLPMEIGDITNLAGFDLSYNNFSGMIPSTIGKCLALELLYMQEGEVPVQRVFSNSTALQVEGNPKLCGGVQEFDLPP >Solyc03g033520.2.1 pep chromosome:SL3.0:3:5089992:5092593:1 gene:Solyc03g033520.2 transcript:Solyc03g033520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRTTLYFTSKITLFSLPKLHLSTLSPSSSPFYQNPTKILSQSDIKNLVLSQYHHGKFHNLLQNVVALPSFLLTACHNLKPNDKNTLTLDFVSTHFFSLQELSYHLSTNQFDVKVCGFTIPPSSVKGKPLVFDKEIQELRLKTSRENPRVDANDFAEGYQGNAFYKPLKIYAVRCLDEILVITSGTKMMTLDLKSRLVQILERDMEFGIDKVKTVIHSATSEKIEFLGMELQAVKPSVLHPPMSQKAIRARKKYLRQKEVRALELRNAKESNRKKLGMKIFSHVFKKMKRANGFKTDFQIESEVNQIFDSWAEEVMQDFLESVDDRWEWHRMLSSGDFLSLKRIRDQLPRELVDAYDNFQEQVDRYINPIKAKRMLEEQAKIAEEEEERKYSDQTVADLTKLCIKVEAPLEIVKKAVKLIGFTNHMGRPRPISLLMVLEDADIIKWYAGIGRRWLDFFCCCHNFRKLKIIVSYHLRFSCILTLAEKHESSKKEAIRHYTKDLKVSNVDGVEKMYFPTEREVKMMGDNVLIDPNPVDGSLGMTLIRLASDEPSYCCAAHFCDRRDTIVYRIRLLQNVLNLDPWVPGMGAIHENLNKRCIPLCSDHISELYLGRLTLQDTDFASLLHVD >Solyc01g107120.3.1 pep chromosome:SL3.0:1:94636755:94639528:1 gene:Solyc01g107120.3 transcript:Solyc01g107120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKSGVLVKLLEEMKMVENMIVEDRKPILLQIRSIIPVLEEGNLLLPNRGFYLKISDVSHAIYVTLPQNQNELILGNKLKLGQFIYVQKLEDAEPFPLLKDFTPLPGRRLCDGTPEEIGKVPKLEKNILDGSNSDFIVEKGVISEENIMDISSCLRRLSLESSDVDEVRKKSSNTNVIDGSSNTKRKFRSLSASKTRPGVKRSGDIDSMRLDGRCRRMSIDNDSDTDSTISSVSSSTWTSKRKSWNELKSLGAEEIFDSSVVKHNIRPPRCRSATVSPVRSTKYDSSDDNSSSLSRRKVVNVEKKTVKASAKSKNSVSKINSEQINRPIKGLVFDRQGAESGISWDSLSSSLVELGKEVVKKRDIALFAAAEALQEACVAERLLNSLSKFSEFHLPEDDLQPCIDTFLDLQDDMAQTRLIIKCLTNISPSITEETDSSSTSADTEASSITVQRKKNATTWIKSAVALDLSLSSAALNPIPNSRNITNTLKKSITSSDSTKQKGAIIVKTDHNKNTDDISPVLTSNNDTQPEWTRGSTIDAATHLGSSLLDDCRKRFLGYIEKYINELERKMSLMVSDNQVAAMMYKIKRVNDWLDLIINKEGSNLDDSEIETCHRVRNKIYGILLKHVERTAMAFESSKVLRYIVDN >Solyc06g082070.3.1 pep chromosome:SL3.0:6:48040529:48058726:1 gene:Solyc06g082070.3 transcript:Solyc06g082070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPGRVLRKRKLKVDRGAHRKLGVDQKVEVKSLEDGFLGSWHLGTVISCSDLIREVQYDFIWSDQGSDKLVEVVNVSPIIEGLLPVDERPAHQCGMIRPSPPPCELDSWPYGQCVDCFYQDAWWEGVLFDREEGHEERKVFFPELGDEMKAKLGELRISQDWDELTEEWKIRGSWSFLQVVEEIEKLNPLLVSVKQIWYEVQLKDDFDEHLKQWTSSSKDIWRKLVKEVVHDSTKLTVKHYLSELNSLQIIGEGCQLLEFSESAFIAELNPEVYFAPFTEAAYTLDSSATLPMDQDLSNLQPVEKLLVSEESAPAAEDVQMTGILSCTESELPTLAKAKRVRGRPRKVKKIFKGRTQVTEPDSCREANSTKTIKEKIFDDVSPLQQPVDQDVPNLHQPLNQDISDLQPLEKQLVPEESAPATEDDLLSGIDIYSSFPPRIFLCITTHNVYVLLLPIDDFAGILSNTKSQPLTIANSKACRGRSRTKRKIYDRQTVVGEPASCREANLTKTIKEKIFEDDSPLQQPMDQDVPNLQQPLDQDISDLQPLEKQLVSEESAPAAEDVQMTGILSSTESELPTLAKAKRGRGRPRKVKKIFKGRTRVGEPDSCREANSTKTIKEKIFEDVSPLQQPVDQDVPNLQQPLDQDISDLQPLEKQLVSEESAPAAEDDLLSGILSNTKSRPLTIVNSKACRGRPRTKRNIYDEQTVVGEPASCLDTTSTETFNKLPSDETAGILSSTTQTLENSKPRRGRPPKRMKTLGKPDSCPEATLIKELKKPTSHQIAGILSNGKCVNSKSRRGRLAIKKKFVRQLLFGEPDPHLKVITKCMPSMSSIQEFKDHLLWTGWKFDVNKVGGETKNSYVAPNGTVLEASKICEVVPPVEQSSLHGDTDNSTQSPCMERPTCRESPCMERPTSREVPELHKETISETEERGIQLEKELEEAEIPQQETGNHNEETNTEPEEPMIEPEICRQAVIDYCLPKSHTSAYQKSYRNGVKIRDTALKAKTYLVANGWKLIPLGNNDQRIRYLPPEGEKPFLSFRGACNWCVQKWKAESHLPSSQSAVVDKPLISILRESRKKRKHADVKSKSSLKKGDGDMRSSKRARKVAPSSSNQIPRTVLSWLIDKNVVLPRAKVKYCELKNGNPMAQGRITREGIKCNCCQKIFGLRNFEAHAGSICNRPSANIYLEDGRSLLECQMQMKRKHSTENKESSHFTENDYICSVCHYGGDLILCDGCPSSFHPDCLGIKEVPNGDWFCPSCCCKVCDHARCVRNNGLEKLDDFPVGNWLCNKSCKLICLGMRQLLKKSVIVGNDDLTWRLLKYTEPDDESSVEIYSRLCVALNVMHDSFEPVKERLTGRDIAEDVIFSRWSELNRLNFQGFYTVLLERNDELISVGTVRVYGEKVAEIPLVATQFKHRRLGMCRILFNELEKTLAGLGVQRLVLPAVPGVLNTWTKKFGFSVVGQSERANFFDYTFLDFQGTIMCQKVLERTPAEDNVVVSEVFQATQVEGCATVDQGSWVYDETYPFYQSASCPAVDPQFNCQLYGRPDTEYLKYRWKPANCELPRFNGLEFLLKMKGKTVMFVGDSLGRDQWESLICMISADVPKAQTQMSRLYPISTFKFLDYGVAISYYKAPYLVDIDTVQGKRVLKLDDIRGNANAWHDVDVLSFNTGHWWTHKGPLQGWDNVEAGGTMYEDMDPLIAMEKGLRTWARWVDTNIDRSRTRLFFQGISPTHYNPSEWNAGASTGSCYGETIPVTTTPMTSTYPGPDLDQSNVIQKVIREMDNPPFLLDITLLSTMRKDAHPSIYSGDLNSQQRINPNKPDCSHWCLPGLPDTWNQLFYTVLFY >Solyc03g078425.1.1 pep chromosome:SL3.0:3:52327981:52342155:1 gene:Solyc03g078425.1 transcript:Solyc03g078425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELHSIRTKEILSLIPEEEEEYNLGQLLVKVTLGKGILGMATTTLGRATHAMPQALALNTRKIILDKIQRSLTPTQLHHQDMAMLLTLLVNMILHQTWMVMQHSHPSAPYFTPEQYNQILQMIGQNSKTSGTALSARMTQEQPVIWIVILVYYPTLDIFLIQVKTRNDLKLKFKMKDLCQLKFFLGMDFARSQEGTHIRQRKYALELISEMGLGGAKPANTPLEANVKLTSFEYDS >Solyc10g050067.1.1 pep chromosome:SL3.0:10:47624689:47629779:-1 gene:Solyc10g050067.1 transcript:Solyc10g050067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQETSKRTKKAKKKTFAKPGSLSFLANKKRLLLTTNEIIKEIECEKGHLLKLIGAEQSVAPQSEAKRQSVEKLPFENQPIEELPVVQPVEELPLEQHGKDFTFEDQVQMNSFTPRTNDQPKEQDVTTPNKIGRTQMHDVHARKERKLIILNSQNQPVGPTDDVVIELSSFLGTLARNATLCPFDILDWRSMDTKKDLWYHTKGKYIIPEVAYHWAMVKIRDAWRRHRSNLKLNYYDPYDNDTIRMAKKPGHIPECQFRELLKYWNSEKFKKMSETNAMNRKKLMNPHTAGKKSFALVRNKLPEMEEIQKQISINDEYVDAFSSVMGHEHPGRLTLYGAGVTKTTLKKKVGNLESILSATTDGMQQMQEKMQKMEKQMEEQKKIVRQEVIVDVIAQLKHAGLIYPNILEALSTPSPRESTFVQGAKQGDEIEEGDESSSEDLT >Solyc10g018813.1.1 pep chromosome:SL3.0:10:10348142:10349845:-1 gene:Solyc10g018813.1 transcript:Solyc10g018813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSAAFEMKNLGPAKQILGMKISRDRSTGTLNLSQELYIEKVLSRLRVNDAKPRTTPLANHFKLSKEQSPRLPHIAHAVGVVRRYVANPGKEHWEAVKWLLRYLRGTSSTSLCFGKGNVTLQGFVDADLGGDVDSSKSTSRYIYTIGGTAVSSMSRLQKCISLSSTEAEYLAIAEAGKEMIWMADYLEELGKKQSEKIQIARL >Solyc03g115770.3.1 pep chromosome:SL3.0:3:66861942:66868697:-1 gene:Solyc03g115770.3 transcript:Solyc03g115770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKNKIIKSGDGFIDRSKVRILLCDKDLSSSQEVLTLLCKCSYQVTLVRSPRQVIDALNAEGPDIDIILSEVDLPITKGYKMLKYIMKDKELRRIPVIMMSSQDEVSIVVKCLKFGAADYLVKPLRTNELLNLWTHMWRRRQMLGLAEKNILSYDFDLIISDPSDHNTNSTTLFSDDTDDKSRKSVNLEVCPSIQFEDEINAATTTTAVETLVVVPFECQYNVPGTNNRQTGRISSFPKKSELKIGESSAFFTYVKSGMPKSNDQGMISTNENVAHQPRMEGKTNAAVGHLEIETQMQVNGDAVENHSHVDDYPSSNSLPDSYSMERSSTPPLSLELPQQRNSKMEEFSQGYMSLHNKAQRDTVNFHVQNAYPYFMPGAMNQVMMPPSAQMYQKNLQDFHNHANPAASPQYNHMPPCPPHMHGMSSFPYYPMGLCLRPGEMPTPHQWPTTGNSPSAEGKWSKVDRRKAALLKFRQKRKERCFDKKIRYVNRKKLAERRPRVRGQFVRKVNGVNVDLNGHPASADYDVDEEEEDEEEQTGNFDSPEDDPSMCL >Solyc01g091385.1.1 pep chromosome:SL3.0:1:84891853:84901424:1 gene:Solyc01g091385.1 transcript:Solyc01g091385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRSSSNAGRPPKKAATAARSSSSAGRPPEEAAAPPPRRQAASSNRAAPSSSQQQPRRAVRHQHSTSQQPRRTAVLHVLPYIFEFQ >Solyc08g079317.1.1 pep chromosome:SL3.0:8:63025270:63026451:1 gene:Solyc08g079317.1 transcript:Solyc08g079317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGVGQESKEFLQVLLKLKDESDAKMPLTMIEIKALLMKRYGGWAKIMNKPYVLRKLQQELEVVVGKDNIVKESHIQQLPYRSLCSCERSLAFTSNSSTLDTVPNGSRVFVNVWAIQRDPSIWKNPREFCPERFFDNKWDDNGNDVNYFPFGSGRRICAGIVMAERIFMYSLASLIHSFDWKLPEGETLDLTEKFGIVLKKKVPLVAIPTPKIFQSEHCMSKINMCL >Solyc11g043110.2.1 pep chromosome:SL3.0:11:33799868:33800930:-1 gene:Solyc11g043110.2 transcript:Solyc11g043110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYTLSQQVINFESTLSQLRTMMSPGDLNTYLSRSIAVMVFGSNDYINNYLLPSLYSTSINYNPQQFSNLLLNHYARQLVALYSVGLRKFLIAGVGPLGCIPNQLATGQAPPGRCVDYVNQILGSFNEGLRSLVTRLNNGSHPGAVFVYGNTYAAIGDILNNPARYGFNVWDRACCGVGRNQGQITCLPYQFPCLDRSKYIFWDAFHPTQAVDAILAQRAYYGPPSDCYPMNVQQMAALNY >Solyc10g078920.2.1 pep chromosome:SL3.0:10:60698476:60700721:1 gene:Solyc10g078920.2 transcript:Solyc10g078920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILAPNSQILYREIYNRENQHLFLNSGGNVNIVKSYGFCFVDKRRGDWKKKMKRELRIHASWPDLSRPAAVEMQPIESSEQLDQILDSAKELSQPVIIDWMAAWCRKCIYLKPKLEKLAAEFDTKVKFYYVDVNKVPQTLVKRGNISKMPTIQLWKYGEMKAEVIGGHKAWLVIEEVREMIKNFV >Solyc03g007515.1.1 pep chromosome:SL3.0:3:2088427:2089406:1 gene:Solyc03g007515.1 transcript:Solyc03g007515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILPRNTYISLPERLNIRGSIQVGRTSQIYEKRIAPESWKTTLMIGIWWEQYGDAAPTLQRVAIKILSQVCSTFTCERHWSTFQQIHSEKRNKIDKETLLDLVYINYNLKLARYLVSKPPEEDPLQLDDIDMTSEWVEEAENPSPTQWLDRFGSGLDGNDLNTRQFTAAIFGPGDNIFGL >Solyc07g052410.3.1 pep chromosome:SL3.0:7:61054448:61056635:1 gene:Solyc07g052410.3 transcript:Solyc07g052410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCILLCYRRMFKLNKKRKIICRVASLQKYVKSFWNKIVGCCIGYKSIKYRKLSHRHHSSSINTTTTATPSPSGRAVSATLEDLVALKICLLGDNQIGKTSFLTKYVGKESTSTTGLNQMDKILCVKGARISYTLWEVQGILYVLKV >Solyc03g046545.1.1 pep chromosome:SL3.0:3:13098914:13100357:1 gene:Solyc03g046545.1 transcript:Solyc03g046545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTQSSDTSHSTDGTITLPTGILCPVAPPLQKMVRRTQTVSLKPKKPFSMATTTPTSVLVEPSCHSQSIKDVYWRRAMSEEYNALIQNVYVDDILVMVSNLGHITNLITKLKTQFAVRDLGKSSYFLGIQANWKPGRFYLSQSNFISTPASSSSNISNTGGCPFLDQTLYRSTVGALQYLTFTRPDITYAVNKVSQFMHCPMDSHWVSVKRILHYAKATTSHGLSFSRGSSTLLYGSSDSDWGGVDDRKSTTRLTIFLRSHLISWASRKQRVVSRSRTEVEYCALAAAIFEVTWVEHLLREI >Solyc09g014780.3.1 pep chromosome:SL3.0:9:6917977:6935854:1 gene:Solyc09g014780.3 transcript:Solyc09g014780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLMASRLSQSSAALDEFGNEDGERSNVRDLDTASSSYVGGGVADNAMTTTSMAYFPQTIVLCELRHDRFEDSVPSGPSDTGLVSKWRPRDRMKTGCVALVLCLNISVDPPDVIKISPCARMECWVDPFSMAPQKALETIGRTLNQQYERWQPRAKYKISLDPTVDEIKKLCTTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLKTPSIYVFDCSAAGMIVNAFIELQDWTASGSSATSTRDCILLAACEAHETLPQSSEFPADVFTSCLTTPIKMALRWFCTRSLLHESLDYSLIDRIPGRQTDRKTLLGELNWIFTAVTDTIAWNVLPHDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMAAEICLSQLPTLVEDPNAEFQPSPFFTEQLTAFEVWLDHGSKDKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIKFLDSVEAYPEQRAMAAFVLAVIVDGHRRGQEACTEAGLIHVCLKHLQGSTPNEAQTEPLFLQWLCLCLGKLWEDFTEAQVLGLQADAPAIFAPLLSEPQPEVRAAATFALGTLLDVGFDSARDGVGGDEDCDDEEKVRTEVSIIKSLLSVASDGSPLVRVEVAVALARFAFGHNKHLKSVAAAYWKPQANSLLTSLPSFAVKSSGSGYTTPTHSISHGSRVPSPIAPLLRVGGDSQSIARDGRVSTSSPLATPGVIHGSPLSDDSSQLSDPGTLNDAVTNGVVNHTRSRPLDNALYSQCVLAMCALAKDPSPRIAGLGRRVLSIIGIEQVVAKSVKSTGESTTVPNTGYAGLARSSSWFDMNGGHLPLTFRTPPVSPPRPSYLTGMRRVCSLEFRPHLMHSQDSGLADPLLGSAGSSGPSERSFLPQSTIYNWSCGHFSKPLLTAADDSEEMVDRREKKEKMALDLIAKCQHSSVSKLHNQIASWDTKFETGTKTALLQPFSPIVIAADESERIRIWNYEEATLLNSFDNHSYPDKGISKLCLVNELDESLLLVASSDGNIRIWKDYTVRGRQRLVSAFSSIQGHRPGVRSVSAVVDWQQQSGYLFSSAEVSSIMAWDLDKEQLVNTIPTSSDCSISALSASQVHAGHFAAGFVDGCVKLFDIRMPELLVCASRPHTQRVERVVGIGFQPGLEPAKIVSASQAGDIQFLDMRNLKEAYLTIDAHRGSLTALAVHRHAPLIASGSAKQLIKVFNLEGEQLGTIRYLSTFMAQKIGSVRCLTFHPYQVLLAAGAADSCVSIYADEITPTR >Solyc10g074450.1.1 pep chromosome:SL3.0:10:58012048:58012430:1 gene:Solyc10g074450.1 transcript:Solyc10g074450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSEYVMYLWHETEMRRKEKEHRKKLMQKQVDVDSDQKKGQNQHDSIEKTMSHKKVSAI >Solyc01g010960.2.1 pep chromosome:SL3.0:1:6546664:6556039:1 gene:Solyc01g010960.2 transcript:Solyc01g010960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKIHELRNKSKIDLLAQLKDLKVTGGAPNKLSKIKVERLSIAQVFTVISQKQESALREVYKKKKVSIDDGVFGGKNLPRGEKQSHKQGTDEEFKGTIPGTE >Solyc01g009030.3.1 pep chromosome:SL3.0:1:2960106:2973502:1 gene:Solyc01g009030.3 transcript:Solyc01g009030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPPENAGKGLKPTISIYTEYLSNRISSYFPAASYSNFLSRISNFYRGSSGTSKRRRRKTSLPLPFPSSAESATVVCEASRTIGVLEDIMECIFLNMHYIQKNLEYWQSKAQGSNAKRAYFMICERGPYAFFNGATQLLSDCVCEGSGMQHTYCLASSYISERINFLSSLRYHLATFLAQIYMKVEKVSEELVKDPEKSLSSLLVDMNDLFLKLEASIGHFHAVRQQSSSVDESYSFPLIFEKLPEVNQEGSQWTDCETRDAINLIYQNVDRLNAYLAAIVTIHRKPRRVTRYWIPYTCGIVGISICSLWLLRHSSLVGSSDIDNWIREAKESTASFWNDHVEQPLISIRDDLFYTFRKRQKGAMEPEEVQLTADSLHRMLQAFTEQTKGEKAPPNATDQEMLEIVMMRYEKELMHPIQNLFSGELSRALLIQVQKLKLDIEEAMLELDQILRANEINFAILAALPAFFLSLIVIMLVRAWLKQDKKAEGRGRVARIQRRLLIVEVERKIMQLESCKEQGQEKDAQCMLGLALYYLDRLYCAVEGHARATGEWISLRQDIIDLAKPDLQTAHKLRITSRMERVYDCLLPLPKTQ >Solyc06g069810.1.1 pep chromosome:SL3.0:6:43586778:43587593:-1 gene:Solyc06g069810.1 transcript:Solyc06g069810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCDSKLSLKLLIDPKAKKVLFAEAEKDCVDFLFHILSLPVSTVIRLLKTKGLNYGCLPNLYDSVENLNETYIQSNQDKNILLKPKSPVGIFSVPFLALSDVPAQKISYGCSRYACGSNSYSIYYVTDVPNSQCPNCEYPMSRKLTYLVPQGVNKPVGTTGTGFVKEAVKYMVMDDLVVKPISVVSSITALNSYFNVKDVSSLQEKVVNLGMKEALKLLKASFESKTVLTSVFMTSAKRKRAL >Solyc02g079410.2.1 pep chromosome:SL3.0:2:44535396:44538337:1 gene:Solyc02g079410.2 transcript:Solyc02g079410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPKKPPPESDRPLTAIMHQPASPRFHLGTPNSGANRKVAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRPTSVLYGADWGAIDVSVDTANEESQRKLEDDFDNFTTTKSNDLAQPLVEANIPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGASRRSPKGGMLGSVSDYCVHHCVCPVVVVRYPDDKDATTGNDSVTDVLHPVPEEEPIYHDASDKTADSEKAS >Solyc03g118700.3.1 pep chromosome:SL3.0:3:69035607:69039341:-1 gene:Solyc03g118700.3 transcript:Solyc03g118700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWEGLDVDDSDLHSLLRPCKRLHQNPNPSTSTSTSSSPALLTPPSRTPESSQLQSSHNQLQSPPQRLIPGPAGAVQSAMLQRTQDHQCHSSISSQRDNPIPTQEYIRRAVENSTEFDYDFQSNPWLSALEFVGAENGKIRCTPLSSVNKCLETARVDQVVAVVKSCTQNGLGGLMVTLKDPTGSIGASIHHKVLEESQHGKDICIGAVLILQKVAVFCPSSSARYLNITLANLTKVFCKESGPPLVNSLAAYELNYSDRENASASAREASALQIMDNVSVEDILDDRTIECSAGGNFRSEIQLEKENQLPDRSYICNNSSGFKKTSVDDKEYLQQIQSSAKGSEGTVRNRGTFNEDNNQQMGENSQGILTPRNKRESPTKNYIDPVIPITNEVNNHRQPIAPKVSLPEWTDDQLDELFACEDDDE >Solyc07g044900.1.1.1 pep chromosome:SL3.0:7:58091257:58091409:1 gene:Solyc07g044900.1 transcript:Solyc07g044900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVRGNTTPFLPGRIEARPVINFGLNREFEADDDDDDDDDDGKKVAPAA >Solyc04g049360.3.1 pep chromosome:SL3.0:4:41559061:41571149:-1 gene:Solyc04g049360.3 transcript:Solyc04g049360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ADCS description:Aminodeoxychorismate synthase, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q6TAS3] MNSAMSSSSSFMVASSCCQNLQTRKYFLLAPEPFEKIGMIDALQKYNRKERKVFISSHLVPGHLDASGTRKKFLHEPVPKLEFVRTLLIDNYDSYTYNIFQELSIINGMPPVVIRNDEWTWKEVYHYLYEERTFDNIVISPGPGSPTCPSDIGICLRLLLECIDIPILGVCLGHQALGYVHGAEVVHAPEPFHGRLSDIEHNGCQLFHEIPSGRSSGFKVVRYHSLVIDPKSLPKELIPIAWTSTAETLPFQGVKRSNSFLNASKENKDIFNGMSELSDDSKDVKGGKVLMGIMHSSRPHYGLQFHPESVATCYGRQLFKNFRKITEDYWLLLMSTSFNERRAHYAACMQVPNLDPLSRSVAKRGHLVNKLIERRTAEVDGTLNLSHPGHSVKFLKMTWKKLDCSASQVGGADNIFCELFGDQEAKNSFWLDSSSIEKERARFSFMGGKGGSLWKQLSFRLSNRSDRMCKGGGHLSVEDANGHVISKFLEDGFFDYLDKELLSFCFDEKDYEGLPFDFYGGYIGYIGYDLKAECGVASNRHRSKTPDACLFFTDNVIVIDHQYDDIYTLSLHDGSTSTTSRLEDLEQRLLNLRAFTPRRLQSQASRGFSVVELKSGFSAEKSREQYIKDVENCQEFIKEGESYELCLTTQMRMKLGGIDSLELYRNLRIRNPAPYAAWLNFSRENLSICCSSPERFLRLDRNAILEAKPIKGTIARGSTPKEDEFLKLQLECSEKDQAENLMIVDLLRNDLGRVCETGSVHVPHLMEIESYATVHTMVSTIRGKKRSDASAIDCVRAAFPGGSMTGAPKLRSMELLDHLENCSRGIYSGCIGFFSYNQAFDLNIVIRTVVIHEGEASVGAGGAITALSDPNDEYEEMLLKTRAPIKAVLEHQSSIFSSDAQK >Solyc01g011097.1.1 pep chromosome:SL3.0:1:7924734:7925456:-1 gene:Solyc01g011097.1 transcript:Solyc01g011097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSSNKNIEHLKCINKMICGGSENGLRIAPLTICYNGSIAKFNVSNDKANEILKFAKMSITNDISSPNSGDLPLPKITPLLVWERLHNLSIHFIISFTFKISKRY >Solyc06g060530.1.1.1 pep chromosome:SL3.0:6:38667424:38668887:1 gene:Solyc06g060530.1 transcript:Solyc06g060530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4C6N3] MPESMTKKWPQNLIQNALLEMKLQGDIVLPLMAMNFTWFAKIAITTAFLGRLGELSLAGATLGFTFANVTGFSVLNGLSGAMEPICGQAFGAKNFKLLHKTLVMFVSLLLVVSIPISCLWLNVDKILIKFGQQEEISMVAKKYLVYLLPDLVITSFLCPLKAYLSTQNVTIPIMLTSALGVALHIPINVLLSMNKGLEGVSMAYWITDLLIMIPLVIFIVISEKRKGGKWNEGGWWEQGILDWIRLIKLCGPCCLTTCLEWWCYEILVLLTGRLPNAKQAVGVIAIVLNFDYLIYSVMLSLATCASIRVSNELGADSSRLAYRAAYVSLGLSIVSGLFGGSVMAAARGIWGPLFSHDKGVIRGVKRIMLLMALIEVVNFPLAVCGGIVRGTARPWLGTYAYISGFYLLALPLGVILAFKVQLGLAGLLIGFMVGVVACLALLLGLIARIDWVGEANKAHMLACNQEEGGRDDDDDRKTSSVVKENGS >Solyc12g017700.2.1 pep chromosome:SL3.0:12:7242731:7246988:-1 gene:Solyc12g017700.2 transcript:Solyc12g017700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSPTLESKKRNTAGEDEDEDVKLKGKHTEQIENLREVSRQEYLKNREKKKLKQLRDDIEDGQFLFEKIEKLSEPERHEHLYKQKIVELVSKEQQCKDAGEEQEIHPQQAWEENQINNAKLNFGSRDRKQKDYQFVFEDQIEFIKSTIIDSDSDVDVDNEKLQCTRNSKLNEDRKALPIYPYKDALLQAVHDHQVLIIVGETGSGKTTQIPQYLHEAGYTRSGGKMIGCTQPRRVAAMRVAARVSHEMGVKLGHEVGYSIRFEDCTCEKTVLKYMTDGMLLREFLTEPDLASYSVIMIDEAHERTLSTDILFGLIKDVASARPHLKLLISSATLDAEKFSDYFDRAPIFKIPGRRFTVEIHHLKAPISDYLDAAVVTSLQIHATQPQGDGDILIFLTGQEEIETAENIINRRIRALGTKMAELITCAIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDTGFCKINSYNPRTGTESLMVAPISKASANQRAGRSGRIGPGKCFRLYTEHSYMNDLEDNTIPEIQRTNLTNVVLLLKSLGITDLLNFDFMDPPPAEALLKALELLFALGSLDKDGKLTKVGERMSEFPLDPMLSKMIVASDKYKCSAEIISIAAMLSVGNSIFYRPKDRQVQADNAWMNFHVGNVGDHIALLKVYNSWKETKFSSQWCYENYIQVRSMKKARDIRDQLEGRLERVGIDLTSNVNDLKAIKKSIISGFFPHSARLQKNGSYRTVKHPQTGHVHPSSGLSQVLPRWVVYHELVLTTKEYMRQVTELKPEWLVEVAPHYYQLKDVEDSNAKKMPRGIFSNAEYFSSFLCVCHFNILLDILYADARRSTRDIFGVDRVPKAAGGTGLYLKMELVDYLVEELRNVAI >Solyc09g009080.3.1 pep chromosome:SL3.0:9:2408257:2422357:1 gene:Solyc09g009080.3 transcript:Solyc09g009080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGREGSLIPQADDFQIGTSWFPMTPAKPSLPPIYGNRQQNQPGQIDGLESQRISQGQDLSQVNQIELRDFLQEPQAQRAATCCGSTNSATVTEYFDAWEAAAGAESKMYGENNIKTCNDVSTDDIDEWSNVSFGHLLALAHAAGSTAVTENANEEINLALNGSFNSLISSQDADGSSTCSRFPFNLNSPTRMTDEDSSSNNAFPFEPITPYQIKKKGPASDAPGLDINATPIPRHVQSSKDTLKRAEANDLQQNTEKSGLVLNISELSDNMIDKVVDQDAEQNNTPQQKRRKKHRPKVVIEGEHKRTPKPKIPQQHSSMGTKKEKGKYVQRNKIEDPPGTPSDEVNDMTKHEGHLPSSAKIQRARRTYIRRNQVKKFAPKPAEEGSIDPPNVSRPRRYPRRSLNFDSENILSDENSLRWPSSTVEDLHENQSNSSVHPGKGIEASTAKTRLGSVYDLKCSNQELKNCQTHHEMSHTDPFTLKKIGLNHSKFTMNKENGISRGKCKIVFSDETHDKQASILEMTPKSPNSSNCSSSACLIPETPERALKRRRSLRTDQAKLYSTNVRGAYFNSMQAYQAILPATEPYAQSTQGMHFPIIFKKKRTEKGHPSATSYSKPFTCEINYLSLSQSNIGLSQASTSATDNANNLMPNRELVPAFVEAEGLRRKRSKSISKVRDLASLLEICKHFPTSSVKETMVSGFGERYENSDQPNTCMEALVADTRTIMKTKKRSKRSIPVSSTASHMYARSQFPTNARGSIPAITWRSPVDEIAERLQHLNLNRESIHPYQYEENALVIYQRDGSIVPFAGPFVRKRRPRPKVDLDDETTRVWKLLLQDINSEGIDGTDEDKAKWWESEREVFHGRVDSFVARMRLVQGDRRFSPWKGSVVDSVVGVFLTQNVSDHLSSSAFMTLAARFPLKSDISVKKNEERTGIIIEEPEVSTLEPDDTNGWHDYQSTQTTLGQKFFTISSTESDDEKTAVHSSESSENSTNCTSSTENSILQQPGSSRESSCVHHESTTYGSATANAATSFLGDQVEPDDLLSSQNSILSSQDSANFSVVQTSEGTESSNFSGSASFLKLLQIAGTSKSHGVQDQRSENILLEKNINVQLKHVACCSHIQKDGENHRGSIGNDCPCSYLGPCTMSNSGAQQAKFKSDLEEAAKFSDPSGELGDPEQSKSSAEPANQALYGEISEAFISRDNHQNKLYTATIDDPAINFELQVQIEESNYNMQRVAEAPKAPTFSEAIDVREEVSVVVDSSKSEHTVLRSNSNNGKIHAGSTLDGANHNTKAKKEGPGKEKQNVDWDSLRLQAESNGKKREKTANTMDSLDWDAVRCADVNEISHTIRERGMNNMLAERIKDFLNRIFREHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYHMITFGKVFCSKSKPNCNACPMRGECRHFASAFASARLALPAPEEKSIVSATENNAADQNPFQNFNQQPLTLPQANQTPLEHPKLINSAPIIEVPATPQPIVEEPASPEPEQDAPEIDIEDVCFEDPDEIPTIELNMAQFTQNVKNFVQNNMELQQVEMSKALVALTPAAASIPTPKLKHISRLRTEHQVYELPDSHPLLEGFEKREPDDPSSYLLAIWTPGETSDSIQPPGRQCNSQETGRLCDDETCFACNSIREAHAQTVRGTILIPCRTAMRGSFPLNGTYFQVNEVFADHESSLKPIDVPRNWLWNLPRRTVYFGTSIPSIFKGLTTESIQHCFWRGFVCVRGFDKKLRAPRPLMARLHFPASKLTRTKGKPDEN >Solyc01g065550.3.1 pep chromosome:SL3.0:1:71700718:71707087:1 gene:Solyc01g065550.3 transcript:Solyc01g065550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWNRIFTSSSRRYQPRSEIRNLGEEYDGEDETRPEFLCPFCAEDFDIVGLCCHIDDEHAVEAKNGICPVCAKRVGTDLVGHITQQHGNILKVQRRRRFRRGGTSALSILRRELREGSLQSILGGSSRLVSPSTTDPDPLLSSFIHNTTLANEIPDVQPLSSIKQSSKKESTLENSSERTVERSPLSDKDQEEKARKSKFVQGLLLSTFLEDDI >Solyc08g041810.1.1.1 pep chromosome:SL3.0:8:25781625:25782143:-1 gene:Solyc08g041810.1 transcript:Solyc08g041810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEHDTSCLRTRRKTSSSLSCCFMNSPRRSDLFESSPSPTRFSSSWFRNKIKHDHNSNSPEIRGKCKSFIHRMGRHRRHVSADFSYDPLSYAMNFDDDEEEEEEFPERNFAARLPLSPPSKDKIAITNMQSKSFKLPDQRSRAIQDVRRSLEMPIAQVNNAILTEDAKHAF >Solyc06g011630.1.1.1 pep chromosome:SL3.0:6:8504825:8504992:1 gene:Solyc06g011630.1 transcript:Solyc06g011630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKFVAERTGDGAGQPFLDTDNGEELMHVQPGTAIVLGSRPPESPGTLYITSK >Solyc06g064480.3.1 pep chromosome:SL3.0:6:40271368:40275557:-1 gene:Solyc06g064480.3 transcript:Solyc06g064480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIELQFQRLCCVHSVKKLLSIFGIVIAVVAVISQLVALPYENYISLLSNADGVGFKAETDLATDEKRSNVEVDLVLGFQKLGNSTYVEKRLNANSTTGFYLNVSIYSSLGRMRNGSGQILQLKLPAARPNGWENVIADTGNKSVSLSENEATSSNSNMLRAGINSKSRRPASISYMNSLVQQNSSISLVRSQWRSAREGELRYAKVQIENAPIINTIPEFHSSVFRNYSKFRRSYELMERVLKVYVYKEGEKPIFHHPYMRGIYASEGWFMKLMEKNKQFLVKDPKRAHLFYLPFSSLKLREALSKQNFTHQKDLENHLSNYIGRISRKYHFWNRSRGADHFFVACHDWALRLTRKNMETCVRVLCNSNIAGGFKIGKDVSLPVTYVRSAEDPLKDFGGNPPSARPVLAFFAGGIHGYLRPILLQHWSEKEPDMKIFGPMPRDPEGKAKYRDLMKSSKYCICARGYEVHTPRVVESIHSECVPVIISDNYVPPFFEVFDWESFSVFVLEKDVTDLRNILLSIPEEKYMKMQRRLKIVQQYFLWHRSPVRIQMYYNVLRVAALPWTPKPHL >Solyc05g005590.3.1 pep chromosome:SL3.0:5:419738:425300:1 gene:Solyc05g005590.3 transcript:Solyc05g005590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIRFSGGSSSNCALLGTTFPFSNYTNFPFKTKSFLKLLPSRTSLIFTTPHYRLVTAAQHSSTPQTNLSVDSGGSGRNGYPLKDSKVVLKGMRYTDLEKWVQSYGYRPAQALMLWKRLYGDNIWAQCSEELEGLNKDFRKMLGEHAEFKTLNLKDILTASDGTKKMLFKLEDGLVIETVLIPCERGRNTVCISSQVGCAMNCQFCYTGRMGLKRNLSTSEIVEQAVLARRLLSSEVGPISNVVFMGMGEPLHNIENVLKAADILVDEQGLHFSPRKVTVSTSGLVPQLKRFLRESNCALAVSLNATTDEVRSWIMPINRKFNLNLLLGTLREELQSKHKYKVLFEYVMLAGVNDSVEDAKRLIDLVQGIPCKINLITFNPHSGSFFKPTTREKIIEFRDILAEAGCVVLFRWSRGDDQMAACGQLGKPGEIQAPVLRVPSQFQAVLEAAA >Solyc01g096470.3.1 pep chromosome:SL3.0:1:87432420:87434885:1 gene:Solyc01g096470.3 transcript:Solyc01g096470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEAANHHQNHEISNKDEFSPRIKPLQNYHVPTGNSDRLKRDEWSEGAVSCLLEAYEAKWILRNRAKLKGQDWEDVAKHVSARVYSTKSPKTQTQCKNKIESMKKRYRSESATAADASSWPLYPRLDLLLRGNNASAASSSLIPPPPLPPNTNCVIDAVEPPPQQPILLPPPPATLPPPPPLPPSPALPFGNGDQNSHGSNGLDRGIKEDILMDAKLSDNAAAPDQKNATATNSSSTPALYSDNKSKSKLRSKNKRKRAVEGWEIGESIRLLAEVVVRSEQARMETMRDIERMRAEAEAKRGEMDLKRTEIIANTQLEIAKLFASITKGSVDSSLRIGRSSS >Solyc09g059820.1.1 pep chromosome:SL3.0:9:56340452:56341179:1 gene:Solyc09g059820.1 transcript:Solyc09g059820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDRSMLLVEDPAFLRGNLLMQFKNEEDAWPGVLREWFFLVDQVHLEYFTFCGRMIALALMIKIQIGVVFYHLFSSCNWLESLFHWRIFLDVDPTLYSSCKKILEMDPKTVDQDILSLTFVNDVEEMGSITTIELCPNGKDIFVNSKNRKQYVNLLIQHLFVMSIASQLARFSDGFSDVTTSSI >Solyc09g083170.3.1 pep chromosome:SL3.0:9:69273892:69274491:-1 gene:Solyc09g083170.3 transcript:Solyc09g083170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAAFLKVFLIFVLLILLGQGSHAKRACSNDADCAKFIRCIDSKPTCDLKKHRCFCPPPPNYETKRVQKSHQN >Solyc08g078490.3.1 pep chromosome:SL3.0:8:62395030:62400210:1 gene:Solyc08g078490.3 transcript:Solyc08g078490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEKSDKLQNIYIYILVLKAERFGWKTKNKKEVKHRKQLGERKMNFLRRVISPGSRSQVSQFANRSFKITQKHYGSIAQPTSSSLVHGIHQFHCPDVVGILAKLSDCIASREGNILSADIFVPDDNHVFYSRSEFLFDPAKWPREQMDADFSNLAKKFNAMKSVVRVPDTDPKYKISILASKQEHCLVDLLHGWQDGRFPIHITSVISNHERGPNTHVIRFLERHGIPYYYLPMTKENKREKEILDIVGDTDFLVLARYIDFMQVLSGDFLKSYKKDIINIHHGLLPSFKGSNPSKQAFDAGVKLIGATTHFVSEELDSGPIIEQLVERVSHRDNLRTFIQKSEDVEKRCLAKAIKSYCELRIFPYEANKTVVFRS >Solyc10g083280.2.1 pep chromosome:SL3.0:10:63226125:63234837:1 gene:Solyc10g083280.2 transcript:Solyc10g083280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICRSKPVEGLRLLNRVLTTSTPYIHRSDRSIATVAFEEVRSSPDKPYDFTAFIFHGLLGSGRNWRSFSRSLGSSLSADGVNWRMVLVDLRNHGNSAKIEGFVPPHDMENAAKDVANLVISKGWDWPDVVIGHSMGGKVALQYVESCSRGVYGQSARLPKQLWVLDSVPGKVNPDDSNREVEKVMQTLQSLPSPIPSRKWLVDHLLKLGFSKALSEWLGSNLEKSGDSMTWNFNIEAAAEMFDSYREKDYWPLLEHPPKGTEIAIVRAERSDRWDPETVQKLESIASNRTGGSEGKMSYHLLPNSGHWVHVENPKGLLEIITPKLASISRRKTREPKEETVTLGPATREGELVFGVAHIFASFNDTFIHVTDLSGRETMVRITGMYPNIIKSSLIGKLVRMSGLFELSFHLELVYSIGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Solyc05g026045.1.1.1 pep chromosome:SL3.0:5:39632525:39633102:-1 gene:Solyc05g026045.1 transcript:Solyc05g026045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITKHAIVIKIMVRTRSKRQMTHVRVKFLDDQNRFIMRNVKEQVREGDILTLLESERDVRRLS >Solyc03g095500.3.1 pep chromosome:SL3.0:3:58048724:58050167:1 gene:Solyc03g095500.3 transcript:Solyc03g095500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4BIX4] MGQCWTKVSSQENNDVPPTFDLAGGNICLVTSMDKWEEKMSEANHTGKIAVVNFSASWCNPCRAAAPGYHELADKYTSMIFLTVDVDELPELSTSWDIKATPTFIFFRDGRQVDKLVGVKNQELQQKLMNLAESTRSPG >Solyc08g075070.3.1 pep chromosome:SL3.0:8:59334681:59351562:-1 gene:Solyc08g075070.3 transcript:Solyc08g075070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWAFVHKVWEKSISSNVGSGQPLKAALLLNYDPNAPSRLMSTIAEQEGIKADPTEMCELISFIKRNKLQKESFVIGQNQYLVTSIHESWFCARSMNTSKPAGEGAILMQTGAFFLIGLYDGSIGSASRAMVVLDQFSWQLNRRNH >Solyc01g105300.3.1 pep chromosome:SL3.0:1:93410841:93412388:-1 gene:Solyc01g105300.3 transcript:Solyc01g105300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRRCKCQRCGMNIAAPIGAQTIICPRCQFVTQLQPPRHNGFANNNMRPEFPAYPARPRRMNPNVNNNFKPQQFNRPMSPQINNIRPPPVHGRKRAVLCGINYRGHAKSLKGSINDALSMRYFLVEKLGFPIASVIVLTEGRILDDEINSTIVRPLPPGAILHGIIDTCFSGTFLDLPFLCRINRAGYFKWEDHRMRAYKGTSGGTAFSISACDDHQNSGDTTAFTGVPMGALTYSFIQTLEQETKLTYGRLIMSMQNKIQETQKALGLNGANETQEPQLSSSEPFDIHSKLVAI >Solyc09g007130.3.1 pep chromosome:SL3.0:9:764054:768084:-1 gene:Solyc09g007130.3 transcript:Solyc09g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-methyl-2-oxobutanoate hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CQA3] MVFFRSISRGISSSLCKTGYTHYRKLRFLSNIPENTVYGGPKPQNPNQRVTLMTLRQKYKKGEAITMVTGYDYPSGVHIDMAGIDICLVGDSASMVVHGHDTTLPITIDEMLVHCRAVARGAKRPLLVGDLPFGTYESSTKQAVDTAVRVLKEGGMDAIKLEGGAPSRITAAKAIVEAGIAVIGHVGLTPQAISVLGGFRPQGRNIDSAVKVVETAMALQEAGCFSVVLECVPAPVAAATTSALRIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQFGQVGDVINKALLEYKEEVTNGSFPGSAHSPYKIGAADMDGFLNELQKLGFNDAASAAAAAAEKI >Solyc07g043280.1.1 pep chromosome:SL3.0:7:57077364:57078338:-1 gene:Solyc07g043280.1 transcript:Solyc07g043280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAENLLEQIAPQGSPNPGINVKIFDAESAKCPCNPPCNILLKISRFLKKIALPIQIPLYLFEKDSPSFFFDQNILHNPLYNHQHVEAILKSEYPLASSSTPSDFQCNEQFNSTSSIEPSSTPTVVSQPVHPQHLPQSLVELALECHALIFSMDIYGVETVIMIKKTRTS >Solyc12g096150.2.1 pep chromosome:SL3.0:12:66164180:66167024:1 gene:Solyc12g096150.2 transcript:Solyc12g096150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:K4DH88] MKHNNVIPNGHFKKHWQNYVRTWFNQPARKTRRRAARQKKAATIFPRPTAGSLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQTNVQRLKTYKAKLVIFPRHAKKVKAGDSSAEELANATQVQGPYLPIVRDQPAIELVKITDEMKSFKAYGKLRIERTNARHFGARLKRAAEAEKEEKK >Solyc06g061000.3.1 pep chromosome:SL3.0:6:39086307:39093548:1 gene:Solyc06g061000.3 transcript:Solyc06g061000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Casein kinase II subunit beta [Source:UniProtKB/TrEMBL;Acc:K4C6T0] MYKERRVGGGSKPEMGRVGDRKRLNEALDKHLERSSPSTSTTTVRGTNGKDHRFALSKSKDQLRNSAPPPPPDNKCSDAESETDSEASDISGSDGEDTSWISWYCGLRGNEFFCEVDDDYIQDDFNLCGLSSLVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHARYILTTKGLAAMLEKYKNAEFGRCPRVYCCGQPCLPVGQSDIPRQSRVNIYCPRCEDAYTPRSRYHENIDGAYFGTTFPHLFLMTYGHLKPQKISQSYVPRVFGFKVHKP >Solyc05g007570.3.1 pep chromosome:SL3.0:5:2106597:2110885:-1 gene:Solyc05g007570.3 transcript:Solyc05g007570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSQFMCRTMTWFFTSIWGFLCWFVSKICFFLENRRVVDENDEMDCKNRKRLDFDEKGVEKESSFLVQSKYEYISGKDSFGFIEKSEAKSFLVEEMFVNFNEGEGVVEKEIDKNSDDKLFYFSFFKNGSVYQEKSELFDEKNANDQEKLEFLDEKGDNLCFEEILRKNDEVFSDIETRDSEDMCYEIQLLPENESLIRNSIRGSEGLGDELCSNRHLNGFLKNDQFMDGDREENDDKGFIELETSLHNLSFSIGKDHNSSRKSWDIDDFASQNVDRVEETDVNGEEMKLMDDIQESPDHNSSRNSLYMDGFASQNVDGVEETNVNYEDLKLMDDFQDSPNHNSSRKSWDTDGFASQNVDRVEETDVNYEEMDDFQDSPNHHSNRKSWEMDGFASQNVDIVEETDVNYEEMDDFQESPDHNSSRKLWDTDSDSDDDDDILLEHQNLVRQMKMEMKNSRITGLPTISEDYESPKVVEDMKPLKIDEKIGYKYCIEEIQKFYKSYAEKMKKLDILNYQTLNAISFLQLKDSEGFMSSKKTSMSIAKAFALPSFLANKQRKIFADPAQKSICEMNRDLEIVYVGQICLSWEILYWQYGKAKDLLEHDPHEYHTYNQVAGEYQQFQVLLQRFVEDEPFQGPRVQYYVRKRCILRSFLQVPSIRDDRFKGKKGGREEEKDVISIMKLGDVIKETMQVFWEFLRADKREANLALKGVQGTQMDNAEIELFMNVKLDLQKKERKLKDVQRSGNCIVKKFQKQQERRLSPSLFASLVELKLVSRVLSLPRLRRDHLVWCQRKLSNINVAGRKVSMEQSFSLFPC >Solyc02g082190.3.1 pep chromosome:SL3.0:2:46445748:46451454:1 gene:Solyc02g082190.3 transcript:Solyc02g082190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:K4BA89] MKYVLVTGGVVSGLGKGVTASSIGLILKCCGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLDKERKGDYLGKTVQVVPHITDAIQEWIERVAVIPVDGEDSPADVCVIELGGTIGDIESMPFIEALGQFSYRVGAGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRSLGLTPNILACRSTTALDDNVKEKLSRFCHVPVDSIISLYDASNIWRVPLILRDQKAHEAILKVLNLKGVAREPVFGEWTSRTELCDRLHEPVRVAMVGKYTGLSDSYLSVLKALLHSSVACRRKLFVDWVPASDLENETAKENPENYRNSWNLLKGADAVLVPGGFGDRGVEGKILAAKYARENRIPYLGICLGMQIAVIEYARSILGLQDANSTEFDPNTPNPCVIFMPEGSKTHMGGTMRLGSRRTYFQVRDSKSTKLFGNQSFVDERHRHRYEVNPDMVQQFEDAGLSFTGKDETGRRMEIVELPNHPYFIGVQFHPEFKSRPGKPSAVFLGLIAAACGQLDCLLKKGGTPTHGLSNGTASGEKLHRNGNGTTLANGSLDGIYRNGNGVHV >Solyc01g008497.1.1 pep chromosome:SL3.0:1:2543379:2548843:-1 gene:Solyc01g008497.1 transcript:Solyc01g008497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFFFLYLLFITMVLFAMVGDGAYDCSESRCGIDGPSIHFPFRLRHQPEYCGYPGFELSCDRKNQTILTLPNSLILSVGEIDYMSQQISLYDPEDCLILNILHLNLSASPFSFPVHEPYFTLIDFSVFKCSGMPADYQNQYPDCASDKAIFAVSYNLETLPPTTCKKIHEIPSIPYYTTTSLIRLSWFTPMCIYCEGLEMDCGFKNYPKQLTTQCFDRPFTTKGGVKEPLIAGGVIGLILMGIIMMALYECYSSSKIERENQARVEKFLEDYRDRRPTRYTYADIRKMTNQFQEVLGEGAYGIVYKGTLSNEIHVAVKVLDDSEGNGEEFINEVAAMGKIHHVNVVRLVGFCADGVRRALVFEYLPNQSLDKLIFPISIKDNITLTWKKLHDIAIGIAKGLEYLHQGCDQQILHFDIKPQNILLDHNLNPKISDFGLAKLCSKEKSVVTMTEARGTMGYIAPEVLSSNFGKASHKSDVYSFGMMLLEMVGGRKNFDAKADTSQMNFPEWIHEWLNQGDELKIRIEKDDDVIIVRKLAVIGLWCIQWNAIDRPSIKVVTQMLEGDGSNLTVPSPYTTRNTTQVGPSMPELTVISELE >Solyc01g022760.1.1 pep chromosome:SL3.0:1:32847012:32847802:-1 gene:Solyc01g022760.1 transcript:Solyc01g022760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALRMGMPRVVMHRCVEGIKVGGGLGKYVRIETSFGYGLGALRMGVRGGVMRRYDVRIETSLGYGMGALRMSVTGGVMHRCVEGFKVWGRLGRRDK >Solyc12g009775.1.1 pep chromosome:SL3.0:12:2992446:2994136:-1 gene:Solyc12g009775.1 transcript:Solyc12g009775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVLCAQNGGRLPMKLFLLKSSTDSSTMLPKQCVIEPLKLLCDKSKRLRLLMLQMDEGSGPSFNVGFALITSSVSPLNRLCWSDTEDSKGRLNTQDGMVPFSELYEKSSSVRFGSMPALLGSGPDRELLDNPKYSRCVKLKIEEGNGPMNLNLTGDASVCNTQLQQSSAWVDLWKNSFEKIIVTQIEHFQVWQKEKVLRESTLQISIVKINMMKLEETAIGMKIANKLTTTKVQANNMTG >Solyc02g036470.3.1 pep chromosome:SL3.0:2:31283289:31291077:-1 gene:Solyc02g036470.3 transcript:Solyc02g036470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYSFVFLFVHSGETIKPEKLNYSNKVKLERGRRIMAWSPFSSSSTPLCSSLTRNPTNSLCKTSSLCHHYSLNHSRGEFLQYPNTISFKNLHFRTKKFTACSRTESGVEQNSPPSSSELDCVGTGLDVECVVNPSEEFPKDSNLRFQDEGVSSMELLQTILEWVLLISPFFFWGTAMVAMKEVLPKTGPFFVSSFRLIPAGLMLVGFAASRGRNLPSGFNAWLSITLFAVVDATCFQGFLAEGLQRTSAGLGSVIIDSQPLTVAVLAALLFGESIGSVGAAGLVLGVIGLLLLEVPALSFDNSNFSIWGSGEWWMFLAAQSMAVGTVMVRWVSKYSDPVMATGWHMVIGGLPLVAISILNHEPVISGSLMELTTNDLLALLYTSIFGSAISYGVYFYNATRGSLTKLSSLTFLTPMFASIFGFLYLDEIFTPVQLIGAFVTVAAIYMVNYKSDTK >Solyc01g110360.3.1 pep chromosome:SL3.0:1:96998163:97000838:1 gene:Solyc01g110360.3 transcript:Solyc01g110360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:K4B3P9] MASASLLKSSPVLDKSEFLKGQSLRQPSVSVVRCHPTNATSLTVRAASSYADELIKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVSAPGLGQYISGAILFEETLYQSTVDGRKIVDVLIEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLKRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGQPENVKAAQDTLLVRAKANSLAQLGKYTGEGESDEAKQGMFVKGYVY >Solyc04g071180.3.1 pep chromosome:SL3.0:4:58204274:58209547:1 gene:Solyc04g071180.3 transcript:Solyc04g071180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQTALPPELANNAIRLYRECLRRAKYIGSKQHNTQLLVGMVRQQFKKHMHETDPDKIQKLKDDAARGLINHMLHESEKMTGRKFSQGS >Solyc10g049210.2.1 pep chromosome:SL3.0:10:44531602:44536523:1 gene:Solyc10g049210.2 transcript:Solyc10g049210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVTLFLLLLLVYGVVSDHFIVETLPGFHGKLPFTLETGYISVGEEEKVQLFYFFVQSERDPRNDPLMIWLTGGPGCSGLSSFVYEIGPLTFDYANSSGNFPKLELNSYSWTKVANIIFIDQPAGTGYSYANTSEAYNCNDTLSVTLTYDFLRKFPNWLQRLSKCHRSRAWRSGDWLMDHPEYLNNPLYVGGDSYSGIFVALLTRKIYDGIEVGDRPRVNIKGYIQGNALTDRSIDFNGRVKYANHMGLISDKIYQSAKANCNGNYIDVDPNNILCLNDLQKATRCLKNIRRAQILEPYCDLPYLMGILQETPTNGQSVFPIAGPWCREKNYIYSYVWANDKAVQKALNVREGTTLEWVRCNESMHYRGKERTESYVYDVPSVIDDHQHLTSKSCRALIYSGDHDMVVPHLSTKEWIETLKLPIADDWEPWFVDGQVAGYKVKYLQNDYEMTYATVKGAGHTAPEYKPEQCLPMVDRWFSGDPL >Solyc05g055600.3.1 pep chromosome:SL3.0:5:66013230:66018300:1 gene:Solyc05g055600.3 transcript:Solyc05g055600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVPNLDNAPINLKSLRDQSQKELITILKNIRGKKCLVIDPKLGGSLSLIVQSSLLKEHGAELRHLNAEPVQTDCTKVVYLVRAQLDLMKFICSHIHHDISKGIQREYFVYFVPRRAVVCEKILEEEKIHHLLTIGEYPLYLIPLDEDVLSFELDVAYKEHLVDGDTTSLWHIAKAIHKLEFSFGLIPNVRAKGKASVRVADILNRMQSEEPVNTSEQTGVPEINTIILLDREVDMITPMCSQLTYEGLLDEFLGINNGAVELDSSIMGVQQEGKKIKVPLNSSDKLFKEIRDQNFEVVVQVLRQKATSMKQDYTEMQTTNQTVSELKDFVKKLNSLPEMTRHINLGQHLTMFTSKPSFLARLDMEQTLVEAESYDICFEYIEEMIHKQEPLINVLRLLILFSITNSGLPKKNFDYLRQVQLFYLCFDMASML >Solyc11g006740.2.1 pep chromosome:SL3.0:11:1345577:1348343:1 gene:Solyc11g006740.2 transcript:Solyc11g006740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICDSKTHSMEKKKIENESNDRLSMLPEECIVTIFTFTSPSDVCRFSLISKFLHLTADSDSVWAKFLPSDYLSIIAKSETPIPNFQSLKDVYVYLVDHPLLIDEGRKSFSLDKWTGKKCYFLGARDLIISWGDPLEHWKWISVPESRFPEVAKLELLWWFQILGTIRAGVLSPLTSYTAYLVYKLEDYYSYGFDDRKVEISVEFIGDKSANVRFASLNPDHPSNMELDHKPVPKLRDGGWFELELGNFSTENEDDCIEFTIEDVIPDFHAKGGLIVEGFEIRPTMPTIA >Solyc08g016677.1.1 pep chromosome:SL3.0:8:8715021:8718047:-1 gene:Solyc08g016677.1 transcript:Solyc08g016677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFMEHKIVIDNHRRANAWIGAQNHSQKFGNRFKKKIRIVLILETNFGEPDNNVGTSNRISDVDLRWSREDVPGDVIDMPTHDQHSENMEEDYMDTLEEDEEFDDVDSDWMLVVMKQRVSSRIRNRKARATVPAGTLTSLSPPLKKDKEVKQRCYLYMEGISAVGPSNDVVIELSSFLGTLARNETLCPLNIEKWKLMDTIDDMWDYTKKKYDILEISVRWTLKTGQESWRRSKFDLKEHHFDAYANDQIRMENKPADVPTSQFKELLKYWNLEKFQKMSKTNSENRKKLKNPHTVGKKSFALVLNDLAEMKNIEMQQKEDGNETIDAFSFVMGSEHPGRLRLY >Solyc11g068355.1.1.1 pep chromosome:SL3.0:11:53395775:53397046:1 gene:Solyc11g068355.1 transcript:Solyc11g068355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLPVEVVGNILSHLGAARDVIVASATCRKWREACQKHLHTLSFNSHDWPLYRDLSTSRLEILITQTLFQTTHLQGLSILMDDVDEFSASTVVAWLMYTRESLQWLFYNVRTNPNINILDICGRQKLEMLVLAHNSVSGVEPNYQRFLCLKSLSLSYVSISALDLNLLLTACPKIESLALVNPEIAMSDAQVTVELNSTTLKSIYIEAISLDKFILEADSLENLHLKDCALELFELVGKGTLKYFKIDDVSIIHLDVGESVDNLETVDVSNFTINWSKFYQMISKSSTMTSLRLWDVVFDEEDEIVDVETIALCFPQLNHLAVSYDLRDDLREGILHYGLQGLSLLENVNVLELGSTVINDVFTQWVTALLQRCPNLTKLVIHGVISETKTPEECQMLANFTSSIVQLMRRYLHVDIQFEFE >Solyc01g100330.3.1 pep chromosome:SL3.0:1:90272216:90279032:1 gene:Solyc01g100330.3 transcript:Solyc01g100330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSNHVVGVDNTSRKKFDREEILQRARERDEEEADGRKSKSKGPPVQRKPLKPRDYQVDLESRLGKTQVVTPIAPLSQQAGYYCPVCECVVKDSANYLDHINGKKHQRALGMSMRVERSSLEQVQQRFESLKKRKDPGSFTVQDFDERMLKQQQEEEERKRLRREKKKEKKKEKVSEEEPEIDPDVAAMMGFGGFKTSKK >Solyc10g050590.1.1.1 pep chromosome:SL3.0:10:50086720:50086875:-1 gene:Solyc10g050590.1 transcript:Solyc10g050590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERECVICMHEHISVVFLPCAHQVLNEYFNVLHQKKGMDKFPSCKTQKLRS >Solyc09g055350.3.1 pep chromosome:SL3.0:9:40270113:40306402:1 gene:Solyc09g055350.3 transcript:Solyc09g055350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSIYSRCFRGRELLLHKAQKMILNYIPSRPLPSQLLNPPNYRTHLSTIKRYPYPWDSSRTGFKQIKAFISEFPAKNPDGKSNGHAMFSTSSAGEKKPLLNKEQTQPATEADQISNARIISTLAKYLWMKDNFEFRFRVIAALTLLVGAKVVNVQVPFLFKLAVDWLSTATGNASALAEFTTANSTVLALFVSPAAVLIGYGIARSGASAFNELRTAIFSKVALRTIRSVSRKVFSHLHELDLQYHLSRETGGLNRVIDRGSRAINFILSSMVFNVFPTILEISMVSGILAYRFGASFAWITSLSVAAYIAFTLSITQWRTKFRKEMNKADNDASTRAIDSLINYETVKYFNNEVYETEKYDHFLKRYEDAALKTQRSLAILNFGQSAIFSIALSAAMVLCSNGIMNGVMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFQLLEEKAQIGDAADAKSLNLSGGSIQFENVHFSYLTERKILDGISFIVPAGKSVAIVGTSGSGKSTILRLLFRFFDTHSGNIKIDGQDIREVTLESLRKSIGVVPQDTVLFNDTIFHNIRYGRLSASEEEVYNAARQAAIHDTIIKFPQKYATVVGERGLKLSGGEKQRVALARAFLKAPAILLCDEATSALDSTTESEILSALKSLAINRTAVFIAHRLTTAMQCDEIIVLENGKVVEQGPHEFLLSRPGRYAQLWSQQNTVDGLDVAIKLNP >Solyc09g074210.3.1 pep chromosome:SL3.0:9:66421379:66424600:1 gene:Solyc09g074210.3 transcript:Solyc09g074210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLNEKLKRLCFSLRWPIRCRSKNKIKITVKKLGKSNSSSHSQIDPSNSNGSAAIHPSNGELNRSKTGRTIRVATFNAALFSMAPALPRSSSDRSASFDFENDEFSNSKASKMSDYYNLRVKSANDRPKSILKQSPLHPTKETDTLLLKRQNFSKSKLRVSINLPDNEISLKKSGQLRILGCDSERFSGSGICRGKSPLRSTVSMPRIDCQSYRITRSVLEVLRELNADILALQDVKAEEEKGMKPLSDLADALGMNYVFAESWAPEYGNAIMSKWPIKSWNIQKIFDDSDFRNVLKATIDVPRVGELNFFCTHLDHLDENWRMKQINAIIQSSDKPHILAGGLNSLDETDYSTERWTEIVKYYEEMGKPIPKFEVMKYLKSKEYTDAKDFAGECESVVMIAKGQSVQGTCKYGTRVDYILSSSDSPYKFVPGSYSVFSSKGTSDHHIVKVDLVKVDTVPHEYVNKKRRDSKHKVVRITHSNPNKGIWKIDT >Solyc01g090810.3.1 pep chromosome:SL3.0:1:84396522:84403070:1 gene:Solyc01g090810.3 transcript:Solyc01g090810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTFMALNPFIVFTYFTTFSLLTISCSCFHPKRFNVSKVQSNSDWSPAGATWYGSPSGAGSDGGACGYTNAVDQPPFSSLIAAAGPSLFKSGKGCGACYQVKCTGNKACSGKPVRVVITDSCPGGPCLSESAHFDLSGTSFGAMAISGQADQLRNAGVIQIQYKRVECNYPGVKLTFHVDSGSNSNYFAALVEYEDGDGDLNSVDLKQALDSESWQPMQQSWGAVWKLDSGSSLVAPFSLKLTGESGQTVVANGVIPAGWQPGKTYRSVVNFKVLCKLAPCTGRPVTVTITDECPGCSGSIHFDLSGTAMGALAKPGQANALRNIGNIPISYQRVPCHYQNWKIAFKVDQGSSPNFLSVNVEFQNGDGDLSLVEFLPARSNQAIRANHVFGATWSSNINPAAQPAPYSIRVTTGSNKKLTATNVIPVGWQPGRTYRSNVNF >Solyc03g116060.3.1 pep chromosome:SL3.0:3:67043180:67058370:-1 gene:Solyc03g116060.3 transcript:Solyc03g116060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSIPDKSMLPSRFLFCLIVISVFLLIVSSLSLFQFADNSFMPKSVFSLVLVNDTSVYLTSSSGESKDAFLPAETSHEHILKTQDLASETSSFSNDSSGLGIGRSCGPEQALLRVYMYDLPPAFHFGLLGWKGSKDETWPSVSNLSQIPSYPGGLNLQHSIEYWLTLDLLSSNTPTINRPCTAVRVPNLSEADVIFVPFFSSLSYNRHSKVQGKKKISLNRLLQDKLVEFLKGRDEWKQRGGKDHLIMAHHPNSMLDAREKLGSAMFVLADFGRYGAEIANIEKDVIAPYKHMVQTIGADSSPSFRQRDTLVYFQGAIFRKDGGEIRLELYYLLKDEKDVHFTFGSIQAHGVRDAGKGMSSSKFCLNIAGDTPSSNRLFDAIARHCVPVIISDDIELPFEDVLDYSEFCVFVHSSDAVKKGYLLNLLRGMKENQWTKMWERLKAVSRKVSHIQLKDNRNNRYHRSSKVKVLY >Solyc03g119580.1.1.1 pep chromosome:SL3.0:3:69621468:69622625:-1 gene:Solyc03g119580.1 transcript:Solyc03g119580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCISSVRKVRIVYDDPDATDSESDDDQNAARFDKNVNRIKRVVKEIVIPVVSWENDFKKCSKLDNIRIKDSKKIHENKKVQLKSTALPKGVRMRKWGKYAAEIRDPSQGKRIWLGTFETVEAASQAYEAKRAEFDRIISLGKGKNLSPGPAECSMACTSHPTNGKNRVYSHPSPSSVLDVPTSSAAAPVESNENLTRDMARMPDSGSEDFSLSFEDQMLHEFIKQRQGISELIEHPLIEQGSISNSVMEMTEVNIRKKTKARQPTIASCKILTKGTEDYSNDKSIFSVLNEPTIMSPIHTELLHLNIEETAVTGNSLKLLGFDDNALFDKDISQLFDPYADAICLDNTFQCCDGWNECVYCKIFKDEVDLDEVDLRWLDAVLV >Solyc07g006100.3.1 pep chromosome:SL3.0:7:946415:955934:-1 gene:Solyc07g006100.3 transcript:Solyc07g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKFKKKMHSICCDTDVADRNTASALPVTNNIPLSKFLDMHPPRSPPQSKSRATAINNGEADYSGDEFHSARMNDVAGNDISGILYKWVNYGKGWRPRWFALRDGVLSYYKVNGRHKIVTNSETEKGSMIIGEKSFRRINTSSSTSSKSHRRKPCGVIHLKVSSIESRSDDRRFSINTGTKRLHLRAETKEDRRIWLEALTAAIKLFPTCDPVLEAQMNENVSVSTEKLRQRLLEEGVSEVVIRDSEQIMRNEFAALHSHLQQVKRKQMLLLDKLGQLETEKVDLENTLIDEGHRQARDRQTYSEASLDKFRVFLGGSVSGSDNEEDDSDDEVDADSLFHDAKDALSSSSARSTDSDDERSSFDSTVEFHPAEDGLSSGTRFATSDYPFVKRRKKLPEPHEKEKGVGLWSMIKDNIGKDLTKVCLPVFFNEPLSSLQRCFEEFEYSYLLDQAYEWGKTGNSVMRILSVAAFAVSGYASTDGRMFKPFNPLLGETYEADYPDKGLHFISEKVVSHHPMILACHCQGRGWKFWGDTNLKSKFWGRSIQLDPVGALTVEFDDGEVYQWGKVTTSIYNIIIGKLYCDHYGTMYIRGNRDYSCKLKFKEQSIVERNPHQVHGVIHDKNGKRVATINGKWDESLHYSMGDNPSDDVGQDSDSKSHLIWKRTKPSDFQTKYNLTQFAITLNELSPDLKKKLPPTDSRLRPDQRFLENGEYDMADSEKLRLEQRQREATKMQERGWQPRWFAKEKGSDTYKYKGGYWEARQTGKWISCPHIFGEISQHT >Solyc08g077660.1.1.1 pep chromosome:SL3.0:8:61699661:61699915:-1 gene:Solyc08g077660.1 transcript:Solyc08g077660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLISVQPSLVANKKEFSDLESQLSERRYRLDPDIGVKTKFVVELIKLCDGPKDRVIIFSQLLEPLKLIKEQLISLFGWTLDR >Solyc10g081130.2.1 pep chromosome:SL3.0:10:62399725:62404172:1 gene:Solyc10g081130.2 transcript:Solyc10g081130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4D300] MSSIGTGYDLSVTTFSPDGRVFQIEYAGKAVDNSGTVVGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATSFESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGSGVILGGYDRDGPQLYMVEPSGVSHRYFGAAIGKGRQAAKTEIEKLKLSEMTCRQGVIEVAKIIYGVHDEAKDKAFELEMSWVCDESNRQHQKVPADLLEEAKDAAKAALEEMDAD >Solyc04g053085.1.1 pep chromosome:SL3.0:4:51436256:51443154:1 gene:Solyc04g053085.1 transcript:Solyc04g053085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLSPVDVNAAQISLNHGAVGTKLIRVNVGEDIVYKIMDFSQQEEMKISIQLTGEVCSVTLQEESEMDRNIVTHEGRFLIMSLSLSFMVSESSRICGLHMVLSRPDRIVFCGYVFGRLIAATPLEVVVSSFIPKKEESEFGGYDDN >Solyc07g065340.1.1.1 pep chromosome:SL3.0:7:67274181:67275176:-1 gene:Solyc07g065340.1 transcript:Solyc07g065340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACIHASRTKNPPKNPLSRDPNKPQIDNHVYNYVKYCRPTFPDLVSCKPFSEKSSKIEELGDELWLKMKEEARSDIDQEPILSSYYVSSILAHESMERALANHLSMKLGNPNLPSTTLFDLFIGVLTEETDIIKSVKADLRAVKERDPACISYVHCFLNFKGFLACQAHRIAHKLWSKGRQILALLIQNRVSEVFAVDIHPGAKIGKGILLDHATGVVVGETAVIGNNVSILHNVTLGGTGKACGDRHPKIGDGVLIGAGTCVLGNVRIGDGAKIGAGSVVLKEVPARTTAVGNPARLLGGKENPKRLDKIPSFTMDHTSHISEWSDYVI >Solyc11g032200.2.1 pep chromosome:SL3.0:11:25929092:25949702:1 gene:Solyc11g032200.2 transcript:Solyc11g032200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:K4D7Q5] MNHPRAVAGLIIFLLFSVGNFTAVPQLRRKPQVTGGLCAHLIQSAGFPCTEHQTKTKDGFLLGLQRVSSRSTIVRGETGHPVLLIHGLFMAGDAWFMNSASQSLGFILADQGFDVWVGNVRGTRWSHGHVSLSVKNKEFWDWSWQELALYDLAEMIRYVNKITKAKIFVVGHSQGTIMSLAVFTKPDIVDMVKAAALLCPISYLDHITSDFVLRLVKIRLDEVILALGIHQLNFKSNMGTQIMDMMCDGHIHCDIWLSAITGKNCCFNDSRIDFYLEYEPNPSSSKNLHHLFQMIRKGSFAMYDYGMWKNLMRYKQPKPPVFDISQIPTSLPLWMGYGGNDALADVIDVQHTLKGLKSKPNLLYIEEYGHIDFLLSTRVKTDVYNDMIRFFNSVQKVSSFK >Solyc05g005560.3.1 pep chromosome:SL3.0:5:403117:410780:1 gene:Solyc05g005560.3 transcript:Solyc05g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVVGGDGESGNPFTPKGYLIRYWKKQISNDLPKPWFLLNKASPLNAAQYATYTKLVADQNALTTQLHTFCSSANLMCAPDLSPSLEKHSGDIHFATYSDKNFTNYGTNEPGIGVNTFKNYSEGENIPVNSFRRYGRGSPRDNKFDNYASDGNVIDQSFNSYSTSTAGGSGKFTNYAANANDPNLHFTSYSDQGTGGVQKFTIYSQEANAGDQYFKSYGKNGNGANGEFVSYGNDTNVIGSTFTNYGQTANGGDQKFTSYGFNGNVPENHFTNYGAGGNGPSETFNSYRDQSNVGDDTFTTYVKDANGGEANFTNYGQSFNEGTDVFTTYGKGGNDPHINFKTYGVNNTFKDYVKDTATFSNYHNKTSQVLASLMEVNGGKKVNNRWVEPGKFFREKMLKSGTIMPMPDIKDKMPKRSFLPRVIASKLPFSTSKIAELKKIFHAGDESQVEKMIGDALSECERAPSAGETKRCVNSAEDMIDFATSVLGRNVVVRTTEDTKGSNGNIMIGSVKGINGGKVTKSVSCHQTLYPYLLYYCHSVPKVRVYEADILDPNSKVKINHGVAICHVDTSSWGPSHGAFVALGSGPGKIEVIVAGNRENSGDSVNPFTPKAYSIRYWNKRISNKLPKPWFLLNKASPLNAAQFAKYSKLATGDQESLSNEIQSFCSSANLLCFPDISTSLDKHGQDTQFSSYMSKNFTNYGNKLPRGFDSFKKYAENDNLPVNSFRQYSRGGAGHDDIFSTYAPNGNVIDQSFNTYGTGLAAFGVGQFKIYGANVNVPNLRFTTYSTEGVGRKQSFTSYSKDTNSGTQSFTSYARNANGADSDFTSYANNSNVIGSTFTNYGESENGGGYTFNSYGSNANVPKNTFKSYGLSGNAPFETFINYRDKSNVGHDNFVSYVDDPNSGRAHFQNYGQSFGEGSDDFSKYGSKITDAQTIGFETYGVNSTFNEYGRSIPTFADYKNTTISSSLMGKNNKWMVEPGKFFREKMLKIGTIMPMPDIQDKMPKRSFLPRVISSKLPFSTSKIGDLKKIFHAGNDSQMEKMIDDALLECERSPSTGETKKCVSSIEDMIDFSTSVLGQNVVLRTTENIKGSKGDILIGSVKRTNDGKVTKSVSCHQSLFPYLVYYCHSVPKVRVYEADILDPNSKAKINHGVAICHVDTSAWGANHGAFTALGSGPGKIEVCHWIFENDMNWAVAD >Solyc08g013750.3.1 pep chromosome:SL3.0:8:3209355:3215482:1 gene:Solyc08g013750.3 transcript:Solyc08g013750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKVVKKPPSMELPEGIWANILHKLGVVEILETTEKVCSKWRRLCKNPSTWRVIDMWNLRDLSEMDYDLEVMCRHAVDRSQGEAVDINLQYFATAQLLEYIAERLMQLFLRGSACCWSKYLS >Solyc08g066495.1.1 pep chromosome:SL3.0:8:55245909:55250014:-1 gene:Solyc08g066495.1 transcript:Solyc08g066495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLALADSTAQATHTALYAAGQRGGGRPGKHGAHRGGGRGRQQSLDHSSSSGRSRPDQENRHGGDIFSSSRNLPELLTRAGMAESKTAPTPMAVRPPSTSDNRLFDNPTLYRSIVGGLHYLTVTRPDIQYAVNRVSQSMHAPTEQNFQALKWILCYLKGSSRRGLLFQKGNLELSVYSDSNWANDKDDRLSTTGYLLFLGPNLISWCTKKQTRVSRSSTEAKYRAMAAGVAEAM >Solyc07g005100.3.1 pep chromosome:SL3.0:7:123931:126332:1 gene:Solyc07g005100.3 transcript:Solyc07g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFIKLFFLFFFFQQLIFSNGQNNVVKGGYWFRDSGLSLNNIDSTLFTHLFCAFANLNPQSNQLVISPEDQDSFRQFTSIVMRKNPSVKTLLSIGGGRANRTAYGIMARTTNSRKSFIDSSIKLARQLGFHGLDLDWEYPESTIDMTNLGILLDDFRAAINTEARNSGKASLFLTSAVSNTPRVNGLNYPVQSVARNLDWLNVMSYDFYGPNWSPSQTNSHAQLFDPVSHVSGSDGTASWIQAGVSAQKLVLGIPFYGYAWQLVNANNHGLRAPANGKSNAGSIDDGSMTYNQIKNYIVQNRATTVYNATIVGDYCYSGNTWISYDDTQSVRNKVTYVKGRKLRGYFAWHVAADQNWLLSKTASQTWGASPQAVK >Solyc09g063010.3.1 pep chromosome:SL3.0:9:61160222:61162761:-1 gene:Solyc09g063010.3 transcript:Solyc09g063010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSMGCGMVPMMYPGMQPYMPPMGMGMGMGMGMDIGMNRPMVPYPPLLPGTAMQNAAAAAQMGPRFSIPQFHLPPVPVPDPSRMQASSQPDPMLNSLVSHNSNQPRLPNFSDPYQQFFGLQQAQVALPQNQAVEQPSNSKSGSSKEVGNPGNHQSG >Solyc06g083465.1.1 pep chromosome:SL3.0:6:48902800:48908116:-1 gene:Solyc06g083465.1 transcript:Solyc06g083465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIFVESAMLDLIFIIGKMLLDDNLFGSGRLKVMAQSNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEQMKAREHQQQLQMQQLQLMQQRNAQLQRRDQNPSLGGPISAINSEGGMMGQPSASVLAMKMYEEQMKHPHSLDSETSSPLIDPNRMALLKSASNHQRQLVQGNSGSMSAALQQMQGRPQMAADIKTEVNLSGTQKSLPMDPSSIYGQAILQSKSGLSGAGLNQGMTGLPLKGWPLTGIDHLRPSVGLQVQKPNIQNQNQYLLASQQQQQALAQAQAQGNLNSPSYGYGGLPRGNFNAKDGQPPRNDGSICSPVQSNSPKDQLQQQLPQNGRKRKQHSSSGPANSTGTGNTVGPSPSSPASTHTPGDGMTSASSLQHVGSVSKSMMMYGGDGTGGIASSTNQLVKLVVFDLHSLYFALWSMLYL >Solyc10g018840.2.1.1 pep chromosome:SL3.0:10:10681072:10681314:1 gene:Solyc10g018840.2 transcript:Solyc10g018840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAGRDQESTGFAWWAGNARQLNLSGKLLGAHVAHAGLIVFWARAMNLFEVAYEVTRLKGEEEKPTFWILEFSPQPRLGGR >Solyc05g026130.3.1 pep chromosome:SL3.0:5:39792404:39798317:1 gene:Solyc05g026130.3 transcript:Solyc05g026130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:UniProtKB/TrEMBL;Acc:K4C000] MGIRFILMVNKQGQTRLAQYYEYLTLEERRALEGEIVRKCLARNEQQCSFVEHRTYKIVYRRYASLFFLVGVDNEENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKANILTPIQLMDKAS >Solyc01g096540.3.1 pep chromosome:SL3.0:1:87484446:87505027:-1 gene:Solyc01g096540.3 transcript:Solyc01g096540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEGLINHPAVGFGESGRKANELRVLLAKIEESESFPPPAAEMQRTECLKSLREIAMPLAERPARGDLTGEVCHWADGYHLNVKLYEKLLLSIFDVLDEGKLTEEVEEILELLKSTWRILGITETIHYTCYAWVLFRQFVITGEQRILQYVIEQLKKIPLKEQRGPQERMHLKSLHSRVEMEKGFQELTFLQSFLLPISKWADKQLGDYHLNYAEGLMMMENTVAVAMLVRRLLLEEPETAMESATISDKEQIEFYVTSSIKNAFTRIIQDVEAISQATNEHPLALLAEHTKKLLQRDNTIYMPILSQRHQNAAAVSASTLHKLYGIKLRPFLDNAEHLTEDTIAVFPAAYSLEHDIMQVIVSSCADGTSDAYCRKLNLFKIETASGTLVLRWVNSQLARILNWVDRAIQQERWVPVSPQQRHGSSIVEVYRIVEETVDQFFSLEVPMRPGELGSLFRGIDNAFQVYAKTILDKIANKEDIVPPVPILTRYSRESGIKAFVKKELKDTRIPDVLKSIEIDVAATSTLCVQLNSLHYAISQLNKLEDSIWARWTRKKHHDKLTKNPAEETAKGFQKKDSFDGSRKDINAAIDRMCEFTGTKIIFCDLREPFIENLYKPSVSQSRLESVMEPLDMVLNQLCDVIMEPLRDRVVTGLLQASLDGLVRVILDGGPSRVFSLGDAKLLEEDLEILKEFFISGGDGLPRGVVENQVARVRQVIKLQGYETREIIEDLRSASELEMQGGRGKLGADTKTLLRILCHRGESEASQFVKKQFKIPKSGETVYV >Solyc03g083570.3.1 pep chromosome:SL3.0:3:54953773:54956539:-1 gene:Solyc03g083570.3 transcript:Solyc03g083570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLNSPHTKRPHLHLLLPQPHQHSNPRNFSIKFQNSSSDNSSEDTASSPVAKKAASSTSLGFGSSVSTTVKKKQQKGKRERDTIIRREPIQKPSLATQPAEESGSKEFQKNESAFLLAWLGLGGIILVEGILLAASGLLPEAWDNFFVKYLYPSFTPTVFLFVAGTVGYGVLKYLQNEKFNSEN >Solyc08g078930.1.1.1 pep chromosome:SL3.0:8:62706657:62707064:-1 gene:Solyc08g078930.1 transcript:Solyc08g078930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSLALFLLFNILFFTVVSACNTCHKPKPKPKPKPTPKPCPPPPYSKEGKCPKDTLKLGVCANVLSGLLNVTLGTPPVKPCCSLIGNLVDLEAAACLCTALKANVLGINLNIPISLSLLLNVCSKDVPKGFICA >Solyc03g118870.3.1 pep chromosome:SL3.0:3:69138706:69141691:-1 gene:Solyc03g118870.3 transcript:Solyc03g118870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPIPSHITATPIGTIAQQNYSDSASSSPRSRQNDTWEQESLALVSGAKLRLICSYGGHIIPRPHDKSLCYVGGDTRIVVAERQSSLADLQSRLSHTLLNGRRFSLKYQLPNEELDSLVSVTTDEDLDNMIEEYDRAMSASPLKPSRLRLFLFLAKPETAASMGCLLADSKSETWFVDALNNASMLSRGLSDSAAEGNFLELERIPKSDSGVNLDQAQNESLAANNRQMAKNVIQEVQSTMPDSPMVETTSSFESSVSSPSMPNLPQIKVRAENGQMNARFHDQMPGLDEQFSNMNVASNAQNLEDAYLHLAAQAATPPLPTVIGGAAVTSSATLVNAAPATGEHHGRVISDDEKSDHGAPSGRRKPPLPLQPIQRKVGDAYSLPSPDSKHAGGYNLQSPDSVASDSSIASGTSFSKHTVYQDAPPATGRETRMHPAVMDYNSQIQMQQVQDSVVMQVPQQNQQQFVPANAHYIQHTATGPVAAPSYYQMYAPPTQQPLHQQMDQQYQMYYVPVPQTQQYNLTVQSNVADASAVASNQQLTPPNPTTVSSSAVFKEALPPIYPARTIQSAKPEMPANVYITSTPANQTVVQVPSSQYHQQYYSLSQVPPPSHQMATVPNGAANYGYEYSHPVHDQVFYAQQTAPTLPSQYQTMTPNTAVLLSQATAQLAAENTTTQNRPS >Solyc07g055050.3.1 pep chromosome:SL3.0:7:63308996:63313746:1 gene:Solyc07g055050.3 transcript:Solyc07g055050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLSYCYLPITSTATPISQDSSNNPTPLSIPTQSKQKSVKLSSGVAANGDYAADPPTTTTKLRKYWGEDVDPLTSDDFIWNKEFMGRMKKYIQDPQENAPAAAVKEEISGFLSLNRVMNLDSLEVDLTKELTAPSQPVLEPEVENTQAGFTASQKWRPAPTRREQEKWGKAAKAATGGSDVMLREIKRPQGDPKVMAAQSREQYLKLKNKLQLLTVGIGGVGVISAYISYSPEITVSYTAGLIGSLMYMRMLGNSVDSMQSDGPRALIKGAVGQPRLLVPVTLVMIFNRWNGILVPEYGFMHLELIPMLVGFFTYKIATFVQAIEEGVSIIGNKSQA >Solyc09g074930.3.1 pep chromosome:SL3.0:9:67153760:67155785:1 gene:Solyc09g074930.3 transcript:Solyc09g074930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAATPPTDPASTAPPATTDPASTTPPASTDPANTTPPTSTDPADPVAEDERKLKYLDFVQVAAIYVIVCFSTLYEYGKENSGPLKPGVQAVEATVKTVIGPVYEKFHNVPFNLLKFIDLKVADLMTEVESHVPSLLKQTSSKALLIAQKAPELARDLAGEVQHDGLVDTASNVAKTLYTKYEPTVKELYTKYEPVIEKNAVLAWRSLNKLPLFPQVAQILVPTAAYWSEKYNQAVTYASENGYTAAHYLPIIPVERIAKVFEGGATAENEQSVPLTDGTVAPAQ >Solyc09g010740.3.1 pep chromosome:SL3.0:9:4049605:4051913:-1 gene:Solyc09g010740.3 transcript:Solyc09g010740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPPPQSPLPCQYGGSSSESDSSSESDYPSSLKKQKVDEEDGESSDCESEQPLSYSLSDTSENDLDIEEAIEELYCPVGPGEKKADKAVWDRYFDQIRESEGFDIKDYPGSCPLTSIYPMTNYLDTPANVEMLKDYCAKALEHYNTDNGTKYEVDRIVKVNEGGCQGFVYYITFTVKNGDSEYFQAKVVEHINKNKPLEFPIVRPRVKGGLDI >Solyc02g021765.1.1.1 pep chromosome:SL3.0:2:24137506:24137757:-1 gene:Solyc02g021765.1 transcript:Solyc02g021765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTGWKVCMDYWKLNVGTEKDHFPMPFMDQMLDRLTIKGWYCFLDGYSSYNQISIAHRIKIRPLYLPLWDVRLQKDDIWVM >Solyc10g076600.2.1 pep chromosome:SL3.0:10:59658629:59668921:-1 gene:Solyc10g076600.2 transcript:Solyc10g076600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVSTEPLSKNALKREKKAKEKEQLEQEKKAAAVAKRQMEQHNLPENDDLDPTQYLANRLRNIESLRESGINPYPHKFFITMSIPEFISRYAHLNTGEFPEDIDMSLAGRVISKRASSSKLYFYELLGGGARVQVLASARDSDVDAVQFSNYQSGVKRGDIIGVRGYPGKSKRGELSIFAKSFIVLAPCLHMLPRRLTSSIVDETRTQNFQGTTAYDTWTPGDLRNPESYVLRDQETRYRQRYLDLMMNPEVRALFRTRARIISYIRSFLDNLEFLEVETPSMNLTAGGASARPFITHHNELDTELFMRVSPELYLKKLVVGGFDRVYELGKQFRNEGMDLTHSPEFTMCMVKELTGSYKIRYHANGLDNEPIEIDFTPPFRKIDMLSELEKVANISIPRDLSSESANKYLVDVCEKFDVKCPPPHTTTRLLDKLVGHFIEVNCINPTFIINHPEIMSPLAKSHRSEPGLTERFNLFVNRRELCDAYTELNDPTAQRERFAEQLKDRQLGDDEAMDLDESFITALEYGLPPTGGLGMGIDRLTMLLTDSQNVKKKCIKRRKVPILIYQLWMFLLHFLKQHQRPSFLIAGQFDIRADQLLLDDLLTSEEKAVRLKVRECMEKEIAPIMTKYWEKAEFPFEVIPKLGALNISGGTIKGYGCPGLSVTGSAIALAEIARVDASCCTFILVHSSLAMLTIGLLGSEMQRQKYLPSLADLSSISCWGLTEPDYGSDASALRTTATKVEGGWILEGQKRWIGNSTFADVLVIFARNAATNQINGFIVQKDAPGLQCTKIENKIGLRMVQNGDILFKKVFVPDEDRLPGVNSFNDTSKVLAVSRVMVAWLPIGIAMGVYDMCHRYLKERKQFGAPLAAFQLNQQKLVQMLSNIQAMLLVGWRLCKLYESGKMTPGQASLGKSWNTLRARETVSLGRELLGGNGILTDFLVAKAFCDLEPIYTFEGTYDINTLVTGREITGLPSFKPAPLRPQSRL >Solyc08g015694.1.1 pep chromosome:SL3.0:8:5463305:5472783:-1 gene:Solyc08g015694.1 transcript:Solyc08g015694.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIGGGDRLTNLPEEILLQILSVLPNSRQVVQMSVLSSQWRFLWKSIPASLYFNSEDYLNPDDEEYTMAYAACVNRELHYWRSCEKIKSFRVFPQSYEELIKHDVDLWVNFAVNVGYCRLRPSGNVKWSNLVSLSIGDAVMTEGVMEKVLSGCPNLECLELDKVVGVRRLDITSVKLRKLIVTIYETESDDDDEDHCLKIHAPHILHLELLGLCYDKIHFQLRNVKYTDSYVFLLLCVDDMLIAGSSMMEINNLKTRLSAAFEMKDLGLAKQILGTKISWDRSAGTLNQSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSNEQSPKTAEERDHMALGFVDADLGGDVDSSKSTSGYIYIIGGTAVSWMSRLQKCVSLSSTEAELS >Solyc10g050880.1.1.1 pep chromosome:SL3.0:10:51041384:51042322:1 gene:Solyc10g050880.1 transcript:Solyc10g050880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLPTDEIAHDFPPFFRVYKDGRVERFHNYVYVHPSDNFNTGVRSKDVNNNSARLYLPKITEKEQKFPLLIYIHGGGFSIESAFSSGHDKYLHSIVTKANVVAISIDYRLAPEHLLPTCYDDSWSVIRWTSLHVEMCDHLILTEETVMEPWLKDHVDFSRVFLAGESAGANIVHDMVVRASASEKPLGDGFKIAGIALIHPFFGNDEVDEYCSFIFPECEGLDDPRLNPAAHLRLLSSLICRKVMVFTAEKDFLRERSLTYYDALKKSGWNGEVEIMETQGEDHVFHLLEPNCEKAALLMKRLVEFFNEKI >Solyc09g059260.3.1 pep chromosome:SL3.0:9:54261971:54272809:-1 gene:Solyc09g059260.3 transcript:Solyc09g059260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSTHRYSFIVQHIGTSKLESYKSLHMMRSTNRLDWRVTDDGKIHISCKSPKFATQAVSDASISGKKTHIGVIWNLGWVGVGVVDILEKGQQIQWNLL >Solyc05g008770.3.1 pep chromosome:SL3.0:5:2991936:3001770:-1 gene:Solyc05g008770.3 transcript:Solyc05g008770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYMEAESSTGSYHLLGENENKISSSNDDSRLRELGYKQELYRGLTLISNFSLTFCIVSVLTGISTLYNQALTFGGPVTIVCGWPIIGLMTLIVGLSMAEICSAYPTSAGLYYWSAKLAGNKIGPFAAWITGWDFEEGKVAEEKKSRMGYGEEVDSGHARLHELGYKQELKRDLSVLSNFAFSFSVVSVLTGLNTLMGTGLNFGGPVSYVYGWLIAGAFTLFVGMSMAEICSSYPTSGGLYYWSAKLAGPSWAPFASWITGWFNIVGQWAVTTSVDYSLAQLVQSSDFMAVLHWTTSRCMECFRCLSSYDFDPNGCNRKS >Solyc05g023775.1.1.1 pep chromosome:SL3.0:5:29355279:29355407:1 gene:Solyc05g023775.1 transcript:Solyc05g023775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERPVGSPNPDPASHILQVRRDPVPNYRLLPELRYRSRARNGH >Solyc01g098700.3.1 pep chromosome:SL3.0:1:88994535:89006516:1 gene:Solyc01g098700.3 transcript:Solyc01g098700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEIEVIHSWSAPRSLSTSLMYSFAQYFLVLQRNDIEVLDEPLYANFLRVTGVDRPYKEKLRSELENDGNKVVKEVIFGAGVKKYRYCKHIAKQRVPGLTNELMKRGKHFILIRNPLDILPSFDKIVPPSFLELGLAELVSVYSELSESGRPPPVIDAADLRENPEATLRGLCEDLDIPFQDSMLRWEAGPKPFDGIWAPWWYKSVHKSTGFAPAKKYPTPFPTSLYDLLEQSLPFYNMLKRHARRSSSNYLNSTLPHPSLPVPANEKLLAWVGDEIVPRETAKVSVFDSIVQGGDGVWEGLRVYGGKVFKLEEHLDRMFDSAKALAFSNVPTREEVKEAIFRTLLRNGMFDNAHIRLTLTRGKKVTSGMSPAFNRYGCTLIVLAEWKPPVYDNEKGLMLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNAGADDAIMLDKDGYVSETNATNIFLVKKGRVVTPHADYCLPGITRATVMELVLKESLALEERRISLSEFHTADEVWTTGTMGELSPVVKIDGRIVGDGRVGPITLRLQNAYKNLSKDSGVPIPTYEKS >Solyc10g007790.3.1 pep chromosome:SL3.0:10:2007407:2012022:1 gene:Solyc10g007790.3 transcript:Solyc10g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMLLHFSGRWFLTQERLDREVGVELASEVSTCSTVKPG >Solyc09g009250.3.1 pep chromosome:SL3.0:9:2634810:2637853:1 gene:Solyc09g009250.3 transcript:Solyc09g009250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQYGMADLRQYMNGGRSIFGSIQQVPPELLPATSHQQQQHGNLGPGHHHYDMVMGLAQVPSSSSGHGLTTPHHHHHHQHHQHEFLTDSSTPVAVAATATGATTTSAGFSGMDQMETGGGGGGDGSGRWPRQETLTLLEIRSRLDPKFKEANQKGPLWDEVSRIMSEEHGYQRTGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGETSNNISSTSTDILHQGSHFPYNSVNNMSQDPHNFHHHQASKLSDSMSLSNSSELNTSSSDDSDHHDKKKRRGKRSLKAKIKDFIDGQMRKLMEKQEEWMEKMMKMIEHKEQERILREEEWRKQETIRIEKEHNFWANERAWIETRDAALMEAVNKLSGKDLKSTSSNPRSLDEEMVEIHNRNGDVTDSLKDDVDQHWPDSEITRLIQLRTSMESRFQQLGISSSINDHDHDHDHDNDHSNNHDHVLWEEISAKMSILGYDKSATMCKKRWGSINSYLMKCNKKRKDQNSTSLLCYNSNVQINNQYYEADGSSCFRYLMGDHHQNL >Solyc01g073780.2.1.1 pep chromosome:SL3.0:1:81036851:81038206:-1 gene:Solyc01g073780.2 transcript:Solyc01g073780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQDNQHFSHHHILRKLVLDENEELQCQACENSIFVPFHGCISCNFYLHDNCLNAPRSLIHPSHPSHPLTLLPTPTYSTRSFTCNACGSEGRSCSLSCAHCEFDLHMQCALLPQTVLLPQHHYHELKLIFDSSFGDEDESCIFVCDLCNGKVEHNSWLYYCADCDFGTHIECSSISKYVNKPKEDAVITKSNEELVISKSTKIPVGMTDKKTSQNPKMKVVVESPVEKIAEELSEAEENALIMEPNLEEPINKEITEQVFNTSTKDPVRKTEKKFAKSVRVLNPKMKTVEKPADKSEEENEEILKPKEVPTRRKTKKKTDKNPGENPKRKPVSESSQDENSADNLRGGGEEEESSELTYYEAQRRLKEQHMKNMIILQAMDNAASYVGPSGGYYYY >Solyc02g055370.3.1 pep chromosome:SL3.0:2:3330616:3331454:-1 gene:Solyc02g055370.3 transcript:Solyc02g055370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPPKKPHFFKPILPGFKNGLKIPLGFLKYLKRHDQHEHAILTTDDKKWLVKVNGRRLEEGSWIEFVEELNLKVGDVLVFKHEGDMEFDVFKFDLSHHCDKEYAMYQEEDEDEDEEDEDKL >Solyc04g077850.3.1 pep chromosome:SL3.0:4:62812904:62819279:1 gene:Solyc04g077850.3 transcript:Solyc04g077850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEIQSNFTYMGRTFTNLSINGSSSAFSDCNSDVSGEFPTASSQSRRLFLACASENSDELIEQLVSDLESSSIDEQKQAAIEIRLLAKNKPENRIKIARAGAIKPLISLISSTDPQLQENGVTAILNLSLCDENKELIAASGAIKPLVRALKVGTSTARENAACALLRLSQVEENKIAIGRSGAIPPLVNLLETGNFRGKKDASTALYSLCSVKENKVRAVQAGVMKPLVELMADFSSNMVDKSAFVVSELISVPEARPALVDEGGIPVLVEIVEVGSQRQKEIAVSILLQICEDSVAYRTMVAREGAIPPLVALSQSGTSRAKRKAEKLIDLLRQPRSGNGAATAVARTSGVPV >Solyc04g078070.3.1 pep chromosome:SL3.0:4:63000792:63003980:-1 gene:Solyc04g078070.3 transcript:Solyc04g078070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMAREKNMEKMKGQKGSQLEANKKAMNIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVYACFPHLKK >Solyc07g064310.2.1.1 pep chromosome:SL3.0:7:66644876:66646879:-1 gene:Solyc07g064310.2 transcript:Solyc07g064310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCGSRTHIGHFVGNMTARRLQCSLSVKGCTVSYNKRGLQNVSKANINLGNKGRPNNFMLYQYLTTNVAKRRTNLNPHKGFGLEGFRNLSHECFYSGTASASNVSSDNSKGTEQVVDVVNSSEAQIPLQLNSGSFYLPHPAKAKTGGEDAHFICTLTPAIGVADGVGGWADLGIDAGLYARELMSHSLSAIQDEPKGSVDLIRVLEKAYVRTKAKGSCTACIVALTEGGLYAVNLGDSGFMLVRNGCAAFKSPSQQHGFNFPYQLDCNNAGDSPSSAMVFKITALPGDVLIVGTDGLFDNLYDEDISGVVFQAMEDGLAPQMTAQRIAELAQLRAMDHIKSSPFSDGAREAGFDYHGGKLDDITVVVSYITE >Solyc01g087520.3.1.1 pep chromosome:SL3.0:1:82336214:82336729:1 gene:Solyc01g087520.3 transcript:Solyc01g087520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASTSAFFSSSVLNIPNSKNQSLIIPSHKNILNPNPFPQIKIHKSRNPQKPNGYFISKSVIVDNPSTVSSTSSLSVDAGVDEKDAAAMGKVGSKVRVTVPLKVYHVPKVPELDLDGRTGTVKQYVAVHKGKQISANLPYKVEFVVDDLEGRSTPVKFSAHLKEDEFEFLD >Solyc03g119260.3.1 pep chromosome:SL3.0:3:69386850:69387396:-1 gene:Solyc03g119260.3 transcript:Solyc03g119260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPKFSTPKHVMKDEDLIWRAMMVPSVSDLPFNRKPKIAFMFLARGSLPLAPLWERFFQGHEGLYSIYIHSQPSFNGSAPQEGPIFHDRRVANSNFTDMGFTMNYLKYSTSSFA >Solyc08g015696.1.1 pep chromosome:SL3.0:8:5521514:5525412:-1 gene:Solyc08g015696.1 transcript:Solyc08g015696.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAAAGGGDRLTDLPEEILLHILSMLPNSRQVVQMSVLSSEWRFLWKSVQASLYYNSEVYLKPYKKENILDYAASVNRELHYWRFCDKIKSFRVFPNSYEDFIAHDVDLWVHFAFNVGKVEEFTLKFCYFKSLGFAYNFPEYAYTNTVLRNLVLGYCWLRPSGNVKWSNLVSLSIGDAVMTEGVMEKVLSGCPNLECLELDKVVACKCAMFHIIQSKDIGSWEPFDPGD >Solyc09g097870.3.1 pep chromosome:SL3.0:9:72361083:72364671:-1 gene:Solyc09g097870.3 transcript:Solyc09g097870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGNLFINDDNTTYEHLQNCFFNPNLDNNNSDPFESALSSMVSSPISIPNNNSGSDNFVLRELIGRLGSNLPPTQFTTDPGFAERAARFSCFATNLESNHSIKIQDVNLVQRNSEFGDSRENSSLSEQMIGQNDTNSRKRKSISKGKSSKIVNDKNESNAKRSKSEENENKVTKKEENAVLEENKDNQKATEPPKDYIHVRARRGQATDAHSLAERVRREKISERMKLLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMDFNMEALLSKDMFQSRGSLGHNMYQSETSTQAFPYGFQSQPNQNYHKGTEFPFQINSLNPNLIRNSSMQLPPLDGFVEPTPQVPTFFEDDLNSVVQMGFGQNQNQSFPGVAGNVPNSQMKVEL >Solyc11g020710.2.1.1 pep chromosome:SL3.0:11:11951587:11952043:1 gene:Solyc11g020710.2 transcript:Solyc11g020710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREPSVGGDCNRLYTALTGCHRRFAAGRERDLACRHINRSLAECMVAIICPAESDAVRSLCSSGGTALKRRQCQEAQLSLSVCLASHQDRS >Solyc03g032100.2.1.1 pep chromosome:SL3.0:3:4639132:4642312:-1 gene:Solyc03g032100.2 transcript:Solyc03g032100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNTCDINHLDSDVLLPPRKRLLVGLKKQNFDDNPCSPSSSTDDVTEFEFDMRLNNLLKFHSDDCNRSIEEIVEASKVAALKAVELAKAARAVAEEKAVKASEAMAAAKSAMELVATVSGEVTDRDKYSKKKKKKNKYKGTENCTTDEELARMLSRTINSSPRISKNSTSNLRNQKHKRLKRSSLSENAKHQNGRTSWEANRPSTSNGIDIAGNKASNGPSKEKELIRIDSSVAKFNKADLRKMENGKGEPMNSKEEFGESPNDICNVDKKKGRMKQKMLPLSNCSLRDKVNPKRT >Solyc03g083880.3.1 pep chromosome:SL3.0:3:55241450:55245878:1 gene:Solyc03g083880.3 transcript:Solyc03g083880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQVIYIYICRMNSFSQIPPGFRFHPTDEELVDYYLKKKITSTRIDLDVIKEVNLYNIEPWDLQELCRIGREEEDEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAVYSNHDLIGMRKTLVFYKGRAPHGLKSDWIMHEYRLHTDPNGAHQEEGWVVCRVFKKKMASTRKESEKESPNNNDQVPFMPNHIVSQHNYPCKKELIDNLNYQIQIPSHHHHHQQSPKLVPSTSISVYNNLQQSVSLLTREQVVDQVTDWRVLHKFVASQLSQEEENDYYSNTLHGVTDESNLNKQETVTENNSTASSCFQIDLGKYTSLFVD >Solyc12g038130.1.1.1 pep chromosome:SL3.0:12:49727426:49728244:1 gene:Solyc12g038130.1 transcript:Solyc12g038130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGHFPTIVWKLYSLLIHDLQCDPFESMKVLALWIWLEHTCLLSDVIRKIASFTQDFINQLADEAVTCLRCIDDTEYLLSTNANDILLTKKVTRNDLSVLFFCQNRNGTTSGIRKIVIEVCLKIMPDIVRKFLNRSSEQTWMQSNMSMGTPLHEEYLINRVSQLGLEGDMKGHNISGEELTMFVTFAKDYPVTELEIREFIAPVFGEYIEYILMQEVKSNEQALYAQIVFSIPGIIEFILQNESKAKFIINGKEVWMQKFVPENGNSSFP >Solyc02g078840.3.1 pep chromosome:SL3.0:2:44056823:44062584:1 gene:Solyc02g078840.3 transcript:Solyc02g078840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRYDHNPFEEEEEVNPFADGGGRGKSSGQSKFSGGAFYTTSGSVPPATNSRLSPLPPEPADFYDRNASIDIPLDSASDLKKKEKELQSKENELRRREQDLKRREDAAARAGIVLEEKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTFLGLIACLLWNIVATTTAWIKEGDVKIWFLSIIYFISGVPGAYFMWYRPLYRAFRTEGAMKFAWFFLFYLVHIVFCVFAAVAPPVVFRGKSLTGILPAVDLIGKNVLVGVSYDYFHHNP >Solyc05g052970.3.1 pep chromosome:SL3.0:5:63990456:63996244:1 gene:Solyc05g052970.3 transcript:Solyc05g052970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDALKSATVIKVLAFTLLSIAFFYFGKHWSDGSQQLVFFNSRQDSASLTNPTNPSSQFVSISPNFNKSFDLSSVINDTTVSDKPQEKTPSVEVVLSPPPPPPSPPPAVQKMGVLDENGVMNDNFEVGEFDPEVVDNWGVGNETEVEDGDGVKRFRVKKFGLCPDSMREYIPCLDNVEAISKLKSTERGEKFERHCPEKDKGLNCLVPPPRGYRAPITWPKSRDEVWFSNVPHARLAEDKGGQNWILIDKDKFKFPGGGTQFIHGADQYLDQIQKMLPEIAFGHHVRVALDIGCGVASFGAYLLSRNVLTLSVAPKDVHENQIQFALERGVPAMVAAIATHRLRHPSQAFELIHCSRCRINWTRDDGILLLEVNRLLRAGGYFVWAAQPVYKHEAALEEQWEEMVNLTTRLCWNLVKKEGYIAIWQKPLNNSCYLSREEGTQPPLCDPHDDPDNVWYVDLKACITRLPEEGFGANITTWPSRLQYPPDRLQSIQVDSFLSRKELFNAESKFWNEIIGSYVRAWHWKKLKLRNVMDMRAGFGGGKNNVPSIALFNRFAAAMIENQLDCWVLNVVPVSGKNTLPVIFDRGLLGVKHDWCEPFDTYPRTYDLLHANGLFSIEQKRCNMSTIMLEMDRILRPGGRAYIRDSVAIMDELQDIGKAMGWRVTVRDTSEGPHASYKILTGDKHLLRA >Solyc09g007710.3.1 pep chromosome:SL3.0:9:1257305:1262883:1 gene:Solyc09g007710.3 transcript:Solyc09g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHAFSSKICKYDVFLSFRGEDTRRTFVSHLYKALEQSGIRIFKDDERLERGKPIFDELLKAIEESKIAIVIFSKSYASSRWCLEELAHIIKCRNELELIVIPVFYDVTPSDVRHQNPPFADSFLQYMKDDMEKVQRWRAAFVEAGKISGYHLLNFKHEAKFNKKLVEEVLELVKPTCMHLPGLVIGPNSHAAGVISLCEFYSSAGVCMFGIYGMGGIGKTTVAKAVYNQIHRRYEGFSFVAHVRERSENNMLHNLQKQLLSDVLKRDKFKVQYNVDKGKCLIQDRLGQRKVLIVLDDVDDMSQIKALAEERSWFGSGSTIIITTRSESLLDDVGVDYKYEVTRLDDFSSKRLFFCFHAFKNTTVPENLDHELVNNIASLGGGVPLALEVLGSLLHKKDDQTWRSTLESLKNLAHHTSIHKALKVSYDSLDDNSKEIFLDIACFFIEAQQCFASLVLTACGHSFNLGKGILIGRCLMKIEQNQLWMHDLVRDMAREIVRQESVKEPHMRSRLWFHEDVRYVLEKNKGSDQIEGISAIHPRVKDLTVGTKSFARMDRLKIFQAKGMNLTGSFKNLFEELRWLYWQNFPLKCLPTDIHPTKLVALDMQYSKYHGSLPLENLAYLNLSHCQRLKRTPDFSRAISLETILFTGCSELGEIDSSIKYLVKLVYLNLEDCVSLKNLPNSICKLESLQHLDMSGCSGLQQLPADFGNLTNLRSLSLEGCNRSLKAQSWRTCILSHFPWAGSSSSRPEWLLPHSLSRLSHLTELNLKDCRLSEADIPTNLGSLTSLEYLDLGGNDFYTLPSSLFCDLYELQCLVLDDCKNLQMLSLLPCNLLELHANDCSSIESLDMSNYRIMPQLHVSNCDRLSEIKGMETMENVEYVCMEHSRKMARRFFDESFFQLTGECDKDLPYPSSYYIAGSEVPEWFSNLNIGSNITLTMPPNIEHNFQGMILWSIYKCKYNGVFQYGPIIEVGDQTNKVTWVLGFPEITVTADNCSWVSFIPQDYFCPALEGGEQITFSFSIREQGFTGLSVTKCGVHPVYATAGRALPKLQFRSNFEERRSICGDNHPLLK >Solyc02g081900.3.1.1 pep chromosome:SL3.0:2:46221575:46224244:1 gene:Solyc02g081900.3 transcript:Solyc02g081900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVWTCTLSASPVSVPLQQQSNSFTQNPPRKLLSTSSPTSTLIFKKFQQEHTSETPSSASWIDTLRSQVRLNCFKEAIFTYIQMTSEGVRPDNFVFPAVLKAATGLQDLNLGKQIYGAVVKFGYDTISVTVSNSVIHLLGRCGGSIDDVYKLFDRITQRDQVSWNSLINALCKFEKWELALEAFRLMGFDGFEASSFTLVSIALACSNLPRTDGLRLGKQVHGYSLRIDDRRTYTNNALMSMYAKLGRVDDSRAVFELFADRDIVSWNTIISSFSQNDQFREALDSFRVMIQEEIKPDGVTISSVVPACSHLTLLDVGKQIHCYVLKNDDLIGNSFVDSSLVDMYCNCQQVESGRRVFDSALKRSIGIWNAMLAGYTQNGFFTEALMLFIEMLEFSGLSPNPTTVASVFPACVHCEAFTLKEVIHGYVIKLGFADEKYVQNALMDLYSRMGKINISKYIFDNMESKDIVSWNTMITGFVVCGYHEDALIMLHEMQTTKRHNDSENNVEFRLKPNSITLITVLPGCASLVALAKGKEIHAYAIRNALAMDIAVGSALVDMYAKCGCLDIARRVFNSMTTKNVITWNVLIMAYGMHGKGEEALQLFRMMVLERKVKPNNVTFIAIFAGCSHSGMVDQGRELFREMKNAYGIEPTADHYACIVDLLGRSGHLEEAYQLVNEMPSKYNKIGAWSSLLGACRIHGNIELGEISARNLFELDPHVASHYVLLSNIYSSAGIWEKANMVRRNMKKVGVRKEPGCSWIEFGDEVHKFVAGDASHPQSEQLYGYLETLSEKMKKEGYVPDTSCVLHNVNEDEKENLLCGHSEKLAIAFGILNTPPGTPIRIAKNLRVCNDCHEASKYISNIVNREIIVRDVRRFHHFRNGACSCGDYW >Solyc12g038490.2.1 pep chromosome:SL3.0:12:51309495:51320290:-1 gene:Solyc12g038490.2 transcript:Solyc12g038490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGNDVGDRVHNFFAQDSLSQEQHNSAVVDGNWPAHTNNLWVGSQRQIGALTSNTKNYNLQNSDSVKGLSSYPFTRQHGLNYMQSTQSPEFGNGQSQNQQTNLNDYMYGNQLYQTRQDESKFLAVDTDYDQRSLASGGLSPYASHQGVGPEQQTRVLVRSGPSESPASFDLFGGQQMNRQQSNMMQSLQRQQSGHSEMHQAQIMLKMQELQRQHQLQQLDTRQQDTLDQVSTLSKVASGNHPPTLSHDTTNSGALNFSWSSDLGNTNWLQHGSPIIQGCPNGLNLTNIGQAQHIIPLSADQSLYGVPVSGSRGSVNPFSQGIADKTTKQPMPNIDSSFPVNQYAGLQDQATMQDGTFIPRQRSLDGNFFGHAPSQSLTNAINMENPQQTNTMQRNSVFQDFSGRQGLAVPSENSQEKAGTHASSSQNEVGLDPAEERILFGSEDNIWSAFAKSPNMNGEGGNPFEGEGLMNGLSSIQSGTWSALMNSAVAETSSSDLGVQEEWSGLNFHSTEIPPGTQNLMYNTGRHERSSAEENLPPNSSLNSVSLRHSDGTNMNNNYSNVQGHMLPYEPGQSLHAKSFQRLVQSSEEGNKRSNSGAQQKSAAEVNQVMSGSSSHPINREVNMRKSSGTLTSEHGGARQLWDKTAGWSAVGFAVPSGDASLRVSSENSSNCSLDDKRKKSIQAEVVHRGVMWNSNSAVDMEHVGSSIANHQVNSEVFNLQSSACVPNSSTIRGEETSQLQNNYHSDYRKNTDPFVKSTVSEGLGVLQRHVTKDNQVLHRAISNVEAKIHDMQNSDNKNSNNSYRSNLFPHSPASNMRENILSDAGDSRSLPTGKQKSSDQVGQKASWHRKFQYHPMGNMDEGLDPPYDRKDPSHSQSMLLQNANHGQSEVFGQVPKSREELEEGKRYDVVRDGKGFTEVHLQSSFHSGGSSMPGPFNKSDLNAPNKAAQTSPNMLQLLQKVDQSSVHGSMTQLSNSEQKVSSEMPEAENSDGSVGHLQQSQSSASQGFGLQLGPPSQRISIPNHSLSSLSTHTVRSSHSHATEETGEKSRGQMCPPHQGQSLPPAEHSMEELKNNRSGVPGSTYNEASLYTIPGKFSSAFDSGFPYLGSPLQNPPVVRATGQLSTNHSINVSFDRHGPSSAEKGDSHRGPGSGQPVQSSIPKGTGDDKQDNPSISAGKSHLSNVNGPHQRISANQVSSKEPRSVSQPISTSGTTQQGAYSKMFSNMWTNFPPRQPPFVAQSTKEPSHIHQSHQLNNMESSLSAAERQGDVDANKGWKFTSEVGTSTVNILGSVEGEEERVIESASRQVELVQMNDTQDKEPVTNLSEGSPANSTSMQRDIEAFGRTLKPNSFPQPSYSLLNQMQVMKDVETDPSERSLKRMRVSDSHTGVQQILSADSRILSFSGRENLQGSVSLQLGGNVTPQDVLASHHDDAQSSFQNNSTNSFKPEHTQISPQMAPSWFNQYGTFKNAQMLQMYEANRAASKKTTDQPFTPGKSFNVLQTFDSIQRVIPTNADRSNLGQSSSAGSAAIEDFSSPQTLPLNVGQHHQLLKPMKRKRLTSELTPWCKEVSLDSRGKQTISLAETEWAKSTNRLVEKVEEDIDLIEHGPLRLKVKRRLILTTQLMQQLFRPPPSTILFSDANSEYENVAYSTSRLALGDACSMVSCSYVDSDSPRTSNELFHDKQNKSERYDNHMFAKAVEELMVRARRLESDFLRLDKRASILDVMVEGQEIEKFSVMSRLAKFHGRVQSDGVDTSYSLDARSHKPLTRYVTALPMPKNIPNMVQCLSL >Solyc09g065883.1.1 pep chromosome:SL3.0:9:64390991:64392181:-1 gene:Solyc09g065883.1 transcript:Solyc09g065883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGYNQKQQQRRPSARRSSSAVRPPEEVAAPSVRQKKQQQPREAVAAPAVRQKRKQRRHAVKQPAAAAPHLTSITLV >Solyc01g107720.3.1 pep chromosome:SL3.0:1:95061930:95065111:1 gene:Solyc01g107720.3 transcript:Solyc01g107720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLFSHIVVVLLVMTITVSKVEATPPGIANNPSHSHCSDDEIKQCKNLPHVCPKFCPNGCITECRSCKPICIDGASPSPPPPYYPPPSTSPKKVKCKSKDKKYVKCYDQEHTCPSTCPGTCQVDCVSCKPVCSCDKPGSVCQDPRFIGADGITFYFHGKKDKDFCLVSDSNLHINGHFIGKRNENMKRDFTWVQAIGILYGTHNISIEAQKTATWDDAIDRLYLNIDGESILIPNKEGERWMSEYGPTTYVTRTSNTNEIVIEVENILKITAKVVPITEKESRVHNYGITEDDCFAHLELGFKFFALSDEVSGVLGQTYRRNYVSRVKMGASMPVMGGDKEFSASGLFNADCSVAKFQAVNEINEGSLNNLEMPSLRCNSGIYGRGVVCKR >Solyc02g077220.3.1 pep chromosome:SL3.0:2:42784514:42789589:-1 gene:Solyc02g077220.3 transcript:Solyc02g077220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPKIYLFGDSITEMSFDEGGWGASLVKHFNRTADVVLRGYSGYNTRWALKVLEKVFPATEKSESESPLAVTVFFGANDASLADRCSAFQHVPLEEFKHNLHSIVAFLKGRWPTTEVILISPPPIDEPSRVLCPFAENKLGLPERTNEAAGNYAKACLAVAAECGVSAIDLWTKMQQIPGWQTACLSDGLHLTKTGNKIVFEEVIGALKKKGLSLKTLAVDLPQINEVDPNDPLKSFEGI >Solyc10g076180.1.1.1 pep chromosome:SL3.0:10:59102199:59103164:1 gene:Solyc10g076180.1 transcript:Solyc10g076180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYRFRLSDMMPNAWFYKLKDMAKSSSRRHSHTTSSSNLQLDKKRQPHNNLGCQRKSYYISRNLTITSPISSNSPKLDHNVHITEPSRKSYKKRRSTNFRRRNSPKPVNSSASVESVWTKPDSTPEQYPNSSSSSSSSSPSSILPHKSNPIASISPSCDCRTDYTNQNSANLDPGVHSVSKIDLPRIITKPEKFNEKIQEKQRIVKQEQRIVRRVSTNGVKLRTNSPRITTTTTNSRKSVSSKRTSVTTDSFAVVKSSRNPQKDFRESMVEMIIENNITTSKDLEELLACYLSLNSDEYHDIIIKVFKQIWFEITEIRLK >Solyc09g009990.3.1 pep chromosome:SL3.0:9:3437223:3443390:-1 gene:Solyc09g009990.3 transcript:Solyc09g009990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CINKWLGFICTFHSHLANLSVMAEQGSAAAAPSSELKSRPAGSTEHSWCKAVPGGTGITVLALLLSKPPDISLLQNALHKLQNSNPILKSQLHYESSTNSYSYIIPSTSHLQIQPFDLSSTVQILRRLKTSDLTSVSDFHLILEHEINHNSWMNTGTSSDSDTDIFFASIYQLENEKSVFALRIHTSVCDRAAALAVLKKLLKLVSCEKEDEEGTELEILKKMEVGLGIEEYIPDGKASKPFWARGIDMVGYGLNSLRFCNLKFMDSESTRGSQVVKLQLNKQETDHILDGCKTRGIKLCGLLAAAGLIAAHSLKGLKENQWEKYAIVTLINCRSILDPVLSPDFPGFYHSAILNTHDVKGGDDLWELAKRSYTSFINAKNNNKHFTDMGDLNFLMCRAIDNPGLTPSSSLRTSLISVFEDTVIDTSSTMHQEIGLEDFIGCASVHGVGPSIAIFDTIRDGHLDCACVYPFPLHTREQIQELIGEMKKILIDC >Solyc07g039420.1.1.1 pep chromosome:SL3.0:7:47549991:47550962:-1 gene:Solyc07g039420.1 transcript:Solyc07g039420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAFVSFAVQNLGDFLIQEINLRLSLREDIQWLRNELLFMQSFLRDAELKQSGDHRIQQWVFEINSIANDGVAILETYSSEAGKHASRLKAYAFRCRKEKQFHKVAKEIQSLKQRIMNISRKRDTYDITNINTTNSGEETSNQVTTLRRSTSFVDDHNYIFVGLKDVVQTLLDELLKAEPCRTVLSIYGMGGLGKTTFARNLYNSPNMVDHFPTRAWICVSQEYNTMDLLKTIIKSLQGCTKETLDLLEKMAETDLENHLHDKLKGRKCLMVVDDVWQKEAWESLKRAFPDRNNGSRVIITTRKEDLLKEQTTEVLSVNFVS >Solyc09g090050.3.1 pep chromosome:SL3.0:9:70075120:70079578:-1 gene:Solyc09g090050.3 transcript:Solyc09g090050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNRGDVNYRNPCLTMHQPWASLLVYGIKRIEGRSWPAPITGRLWIHAASKIPEPETIKAMEDFYRELYAVNGVTDLKFPEHYPVSRLLGCVEVVGCVTCDELVNWDQIPEGVRLEGQTKFCWLCEQPQKLIVPFEMRGFQGVYNLERKIYEAAIRGISPVVPPLPVKFPLPNPRDPFSLKPRSLVSSPSSSSTSEVNNRSENLVAAIAGARAAATQFSKNGNPLSNTFEVGDDSRTGKRYRRAQ >Solyc10g078480.2.1 pep chromosome:SL3.0:10:60421317:60427960:-1 gene:Solyc10g078480.2 transcript:Solyc10g078480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGQNEPRIRPSGRAAVVVLGDIGRSPRMQYHALSLARQAHLEVDIVAYGGSDPHSAVKEHKSIHINEMTQWPSNPRSFPKILHPLLLILKPLVQFFMLLWYLCVKIPKPDVFIVQNPPSVPTLVAVKLASWIRRSAFIIDWHNFGYTLLALSLGRNSRFVALYHWIEKHFGKMANGSLCVTKAMQHELSQNWGISATVLYDQPPDFFQPASLEEKHKLFCGIDKSLRTPYSLQDCLSNEELTPDDDNPNVTLFTTQTGTDISLKWNRPALIVSSTSWTPDEDFSILLEAALMYDRRVSALLNEDDLKREDVFWQEIKGGKQYPRLLFIITGKGPEKEKYEQKMAKLNLKRVAFRTMWLEPEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVSYSCINELVEVDKNGLLFSSSSELADQFVTLFKGFPGECDELKSLRQGVLASRSSVNWATEWEANAKPLISKVIFDNSS >Solyc02g071080.2.1 pep chromosome:SL3.0:2:41141123:41142703:-1 gene:Solyc02g071080.2 transcript:Solyc02g071080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGAHENLLHHLAYEEAEETESSENAKNSKFQSSKFILWLQIFIFTFFTIGGQAAGTLLGRVYYEQGGKIRWIATLAQTAGFPILLPFIFYSATRNQNEPPVNASVFVRASVYIFLGLFQVVNSMSFTVGVQYLPASTYSLISGTQLAFNAITSFFLNGHKITAIILNSVVLLSFSSSVVIFQNETGDSGEISQKSLLIGFAVTTFGSLGYALQFSLTELAFQKVFKSNTLKQVMKMSFFIGFYVTIASLIGLFASGNWNDLEKEIGEYRTGKSSYVINLICTAISWQLYAVGSVGLVYKASALFSNVIINLGSSTVPIFAMVFLKDRMNGLKVFSLLLGLWGYASYIYQHYLDDLEAKTSEVKSSDDQADNL >Solyc03g058570.1.1.1 pep chromosome:SL3.0:3:27086028:27086318:-1 gene:Solyc03g058570.1 transcript:Solyc03g058570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVNINVNVSVNMNVNVNVNVNISMNVNVNVKVNVNVNVNLNMNIIVNINLNVSVNNLNVSGNVNVNISVNTNMNVNVNVNIKVNINVNLNVNVNV >Solyc06g008715.1.1 pep chromosome:SL3.0:6:2622186:2623932:1 gene:Solyc06g008715.1 transcript:Solyc06g008715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGKSTAQVVTDPHILFLGVNFEVTVKDNSGFATAIVSDEIAEKMLHLTSAEIYEICFVKKGTLSLQNVEDQLNGKIFNNKMKKLFTKKLDATQKLSILAYLEKKDVVHEPMASTTVNVTEGKKRTIEHLFLSLKAIVFIFSS >Solyc10g083675.1.1 pep chromosome:SL3.0:10:63574657:63576431:-1 gene:Solyc10g083675.1 transcript:Solyc10g083675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFSSTTLFPDTLAGTRDDLTEQWTIIWEQIKAPLIVPLLRIAVFLCLLMSILLFIERVYMGIVITLVKMFGRKPDKRYKWESLKDDVEIGNSCYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPIIKNLVSMECQRWASKGIDIKYEIRDNRNGYKAGALKEGLKHPYVKQCDYVAIFDADFQPEPDFLWRTIPFLVHNPQLGLVQARWKFDLCDFPRIPA >Solyc06g075350.2.1 pep chromosome:SL3.0:6:46916541:46917334:1 gene:Solyc06g075350.2 transcript:Solyc06g075350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRWKGKGAEVKALADPISEIISQLQSSLISSNSKGLLSGTGVLLKADAELTDLLNRACFGRPRVTSEKNEQWFQLSTEEAFYLQYSLNCIKIVDHDDTELNSDELWKHMISRRENFPILFKAFSHLRSKNWVVRSGSQYGVDFVAYRHHPALVHSQYAVLVLSAQDGNANGRLKVWSDFHCTLRLCGSVAKTLLILNIEEQQSCATSPSCLDNYVVEERTITRWSPEQVKINSYLILY >Solyc07g021140.1.1.1 pep chromosome:SL3.0:7:16329223:16329618:1 gene:Solyc07g021140.1 transcript:Solyc07g021140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKAMFEKVNSIFQKGIADHTIAAQQQFESVHSPLASALRYAINSASLMTQTLSREFADSHRQLLALGVSGENSQSTNPLSNINNGSLLHEKIESLPNPTKDISKQLGEHKYKEAFTEALQMNDVSIFSW >Solyc01g059900.3.1.1 pep chromosome:SL3.0:1:69161464:69161988:1 gene:Solyc01g059900.3 transcript:Solyc01g059900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4AW97] MHIPNSSFFPLIIVALPFLYWTFNFKKQTKLAFYVHDYLSGHDTSAITVAGKEGPQTSVLSFGTIIAVDDPVTEGPDLNSKLIGRAQGMYINSQLDGKGLHLMFSIIFTDGIYKGSTLEIQGADLFAMKEREFSIVSGTGYFRFVRGYGIMTTHFIDIPNLKAILKLDVTVKHY >Solyc11g030770.1.1 pep chromosome:SL3.0:11:23360934:23361299:-1 gene:Solyc11g030770.1 transcript:Solyc11g030770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYNTMNFIFPTYSLTFVFLSKLHVTHTKAIHKLEFSFGLIPNVRVKWKASVSVGDILNQMQSEDPMKTYEVTQNIKS >Solyc11g005540.1.1.1 pep chromosome:SL3.0:11:401870:402280:-1 gene:Solyc11g005540.1 transcript:Solyc11g005540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNCYTFFLIMLTYSTITNLSHVHSSRDIPRHDESTTQGSIMDCFNALAEIKSCTNEIVAYFTIGTIDIDLPCCQAIFVITHHCWPSMLTTLGFTLEETNMLRGYCDATHHNVSPNSFGPAPSPLVLPNLDIINE >Solyc01g102285.1.1 pep chromosome:SL3.0:1:90975231:90976560:-1 gene:Solyc01g102285.1 transcript:Solyc01g102285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNTMTCIDILLAIILPPLGVFLKFNCQILCSFNVYFVFWENKTGGVLDLCFADSLWVATWYHLCYLGPHQVIIIWWIFEDHIITTSLMDGVILSLFTKKNHKWGQNSCHISIIIKVASDKRWCYV >Solyc03g007450.1.1.1 pep chromosome:SL3.0:3:2004697:2004870:1 gene:Solyc03g007450.1 transcript:Solyc03g007450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFFFLVKNIDKIYSKTLKEKYIYGIIDLSFLVLKIFCIYITWTNLSVSRFSVDFL >Solyc10g017580.3.1 pep chromosome:SL3.0:10:5529467:5536743:1 gene:Solyc10g017580.3 transcript:Solyc10g017580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHHIAIYTTASIPWLTGTSINPLFRAAYLAKDGEQKVTLVIPWLPLKDQEHVFPSGVKFNSHLEQEKCVRQWLEERTGFASNFSIRFYPGKFSLDKRSILALGDITVIIPDDEADVAVLEEPEHLTWFHHGKRWKKKFRLVVGIVHTNYLEYVKRERNAVEAFFLKQINSWVVDLYCHKVIRLSAATQDLPRSLVCNVHGVNPKFLQIGMKAIEKQQNDNQTFSKGVYYIGKMLWSKGYKELLRLLRDHQKELAGLEIDLYGSGEDSAQIEVAFKKLELTVRVHPARDHADPLFHNYKVLLNPSTTDVVCTTTAEALAMGKIVVCSNHPSNEFFMQFQNCRTYDDGKGFVKATLEALSDEPSPLADKQRHELSWEAATERFLKSAQLDVVPVKKTSKTSSKSFLSTSFSLNRKLEDASASVHFMGTGFLGSQPDEEQCKELGLAIPSKKIGFSSGRWI >Solyc09g098320.1.1 pep chromosome:SL3.0:9:72674663:72675372:1 gene:Solyc09g098320.1 transcript:Solyc09g098320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPMTRNRSYNNTPQPHAIEYYAQRATFNDRSDEYGGSIENRCRFALEVIGAIVNEIGGDRVGRKLGKKDSNSEALLTYLASQLTKLGVLYLHVFEPKDEPCLQFIRRSFKGTLIASCGYNKNEGDVAISENCTCKISPDILLLLSEICGWCYTCIIPVIIYH >Solyc07g049460.3.1 pep chromosome:SL3.0:7:59867252:59871361:1 gene:Solyc07g049460.3 transcript:Solyc07g049460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4CF49] MRHQIFLLFACTIIAIFASCSSYVSAEVVEHSFHVQNRTITRLCRRQVITAVNGSLPGPAIRVNEGDTLVVHVYNLSPYNLTIHWHGVFQLLSGWADGPEFATQCPIRPGHSYTYKFKITGQEGTLWWHAHVSWLRATVHGALIIRPKKGHSYPFPKPYREVPILLGEWWNANVVDVENAALATGSAPNNSDAYTINGWPGDLYPCSVNQTYKLKVKHGKTYLLRIINAALNNQLFFKIANHKMKVVAVDAAYTDPYVTDVVVTGPGQTTDVLLTADQLPASYYMAANPYASAAGVPFDNTTTRGIIVYEEALLASTPIMPILPAFNDTPTAHKFFTNITGLVTSPFWNPPSRKVDEHMFITIGLGLTACGKSRNATCGGPNGQRFSASMNNASFQFPDKISMLEAFFYNVGGVYTTDFPDKPPLKFDYTNPNNSMNPAIIMTKKSTKVKKIKFNSTVEIVFQNTALIGIENHPIHLHGFNFHVLAQGFGNYNPAVDRKKFNLVNPQERNTIGVPVGGWAVIRFRANNPGVWLMHCHLDVHLPWGLATAFVVENGPTLSTTLPPPPPDLPKC >Solyc12g035620.2.1 pep chromosome:SL3.0:12:42757725:42761785:-1 gene:Solyc12g035620.2 transcript:Solyc12g035620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESLEEIPPNAVRRKRDSKWNGCFSLQVDLDLARTGFSLSKGIDIRPIMVKWMSL >Solyc11g030820.1.1 pep chromosome:SL3.0:11:23551530:23552341:-1 gene:Solyc11g030820.1 transcript:Solyc11g030820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSTTLVLTYLLFVSCASSELEIEFEKVNSCMIPLSMMELRKILVSTRHLCHTSKEIYSFFIKRKNKDWIDDKIESCVANSDLIEDEEREFLHLMNSEFKPSCLAERQIFHAHYQTITYSQTSCGENRFHGKPFLLRLALSTL >Solyc08g060860.3.1 pep chromosome:SL3.0:8:45696929:45702340:-1 gene:Solyc08g060860.3 transcript:Solyc08g060860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCRSVTSSISRIFACMGSCLGCCVKPPHVILVDRPSKGQKVQGQRLRKRGSRDDFWSSSACEMENSAFPSQRSVSSISTSNQGLDAHSSSSTTNNNTEFVNHGLILWSKTRQEWIGNRTPQKQTAAQESKLSFDASYETLLGTNKPFPQSIPLSEMVDFLVDVWEQEGLYD >Solyc08g080800.1.1 pep chromosome:SL3.0:8:64123100:64125699:-1 gene:Solyc08g080800.1 transcript:Solyc08g080800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRIVRRILLVLCLLLSYNEVQGEKKLTMLEDMELEKQLKFLNKSTIKTVKTKFGDTYDCVDFYKQHAFDHPLLMDHNFHPKMKPTLSKIKQNSYASTTSRLSTIWSNDGSCPSGTVPIKRTTKDDLIRQRDMPPPEPAYFDHEFVSSDNNSEQIKASYNMPSYGYKRAIAQAPKDPNTKFTGAGMATNVYNPHVEGKFSCFNTLCPGFVHVNNKLPLDVTLEGILSQRGGPKSDLLLYIYKMFKELTNFATNIEWGGVTYNPPGVPEPPMGSSYFPVGNSSFDGFCRSITVSDDKGDSIGIDNIIWHTDNIFAYKVVFKNILEGSDSFTYVFYGGPGNKAQI >Solyc04g050915.1.1 pep chromosome:SL3.0:4:48911213:48913953:-1 gene:Solyc04g050915.1 transcript:Solyc04g050915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLVGSLNYLTITRPNIFFCISQFMQALRHLHLVAVRCIIWYLRGTSTRGLFFPSGSPIRLNAFSDSDWAGCPDTRCLVTGWCMFLGELLISWKSKKQDCVSKSSAEVEYRSMSTACSEVVWLRGLLAEIGFPQSHPTPLHAPNLPNFEIGRELFKVCYMEMTPQRVRLKFSVTVKTKVHYMVSNSLEFSVTAKTKVVRNTK >Solyc06g053385.1.1 pep chromosome:SL3.0:6:36222550:36231692:-1 gene:Solyc06g053385.1 transcript:Solyc06g053385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSANFNSSKSIDRNTLSNQIWKSNNVRLQPDPFLNELTSMFERTTEHASDKSKAQRNKMKTAGETLEFKCLIRATDGKKNISTMVGAKDHQRFQASYAILLKARLTALKKRERKDKRKATDSDKKMDISKKKSAAAKAST >Solyc06g009660.3.1 pep chromosome:SL3.0:6:3606726:3611272:1 gene:Solyc06g009660.3 transcript:Solyc06g009660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLWDWFYGVLSSLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALATVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGKVNLADSTVRPLEVFMCSIVRKMGYGDGFKWVSQYIK >Solyc01g005620.3.1 pep chromosome:SL3.0:1:430476:435080:-1 gene:Solyc01g005620.3 transcript:Solyc01g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPKSGGVWPTVKPFVNGGVSGMLATCVIQPIDMIKVRIQLGQGSAAEVTKTMLKNEGFGAFYKGLSAGLLRQATYTTARLGSFRILTNKAIEANEGKPLPLYQKALCGLTAGAIGATVGSPADLALIRMQADATLPLAQRRNYTNAFHALSRIAADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFFRDNLGMGEAATVVGASSVSGFFAAACSLPFDYVKTQIQKMQPDAEGKLPYTGSFDCAMKTLKTGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKVEKKIGL >Solyc04g071680.2.1 pep chromosome:SL3.0:4:58749973:58752937:-1 gene:Solyc04g071680.2 transcript:Solyc04g071680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLSNTIFITHFLIFITFCFDEHVLAKSYLNASERHSISLEAFSLLQWKTSLENTSQTLLSSWLITSNSTNPCHNWCGISCDNIGRVNQMNLANYGIRGNLHHLNFTSFPHLQLINFTNNSIHGTLPKNMFNLSRLSFLYLSYNQFDGVIPHEIGLLKNLKHLDLSINRFIGFIPSSIGNLIKLELLFLSVNELYGPVPSSFGNLKSLVHLCLLRNKLNGSLPKEFENLTHLQTFQVAENNFSGHLPQNVCFGGSLAKFIAYDNKFIGRVPRTLKNCSTLSRVRLDGNQLSSNISEAFGVYPSLVYMDLSHNKLYGELSSQWGFSHNLTSLKISNNNLVGAIPVEIGNLIKLRMLDLSSNHLTGEIPKSLGRLTLLLELDLHENRISGETPVEVGKLSKLTRLDLGANNMSGTIPAEIGDCRQLWYLNLSKNMLNTTIPSNLGNLHSLAYLDLSYNMLSGEIPWHIGSLRSLERMNLSRNNLSGSIPPSFNERVSLRSIDISCNQLVGPLPKIVAFQNASREELRDNKDLCSNNHTGMRPCSSLRRKERTSRKLILTLTLSLIVVALLLLVITCILFRTKRKRNRSIQPREPSSNSFSVRDFDGKIAYENIIAATENFDGKYCIGKGGHGSVYKVELPCGQVVAVKKVHALEDEESDDNLIKSFSTEIQALVNIRHRNIVKLYGFCSHARHSFLVYELLEGGNLSQNLSNEGKARDLDWLKRVDIVKGVANALCYLHHACSPPIVHRDISSNNVLLDDEGNPHVSDFGTAKLLRPNSTNWTSFAGTLGYVAPELAYTMKVNEKSDVYSFGILSLELIIGHHPGDIIHATLSSSPASGANGTLLKELIDKRTLAPGKQEAEELMKITKLAFACLHQSPLARPSMKQVCASLSKENWPSKGLFSTVTLGQLLESTLLTC >Solyc06g053870.3.1 pep chromosome:SL3.0:6:36851071:36852665:1 gene:Solyc06g053870.3 transcript:Solyc06g053870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4C674] MAQNAPNYAQLHQIKYVKLGYHYLISNAIYLLIVPILVAMLLHLSALTIEDLMNHFTMLKSCSPLVVFLATVYFMSRPRNVYLVDFSCYKPHERFMMSKQLAIENMSNIFDDESLIFQKKILERSGLGDKTYIPIDTIPFKYTFSSYVNEAEEGIFGAIDDLLAKTRVKIRDIGIIIVNSSLFNPSPSLSSMIVNHYKLGVHVITYNLGGMGCSAGLISVDLANRLLQGQANNTYALIVSAEIVSTGFYIGKQRSRLLPNCLFRMGSSAVLLSNRSSDRRCSKYQLMRVVRTHKGADDRAYRCAYIDEDENGKTSVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFASLIARKVFKRKRKPYIPDFKMAFEHFCIHAGGRAVLDELQKNLDLPEFLMEPSRMTLYRFGNTSSSSIWYNLAYSEAKGRIKKGDRVWQIGFGSGFKCNSVVWRALRTVDADKEKNPWTDEIQDFPVHRSHLDA >Solyc03g013306.1.1 pep chromosome:SL3.0:3:47780265:47781669:-1 gene:Solyc03g013306.1 transcript:Solyc03g013306.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALGFGRKLESVTPFDFENGNTRIGIISPPSNLAFTSQIYIVLVHPRYYNNTMTSLEELENQCLPISKLKKYATEWVIKVLVIRRSLTKEYKNTNGEGIRWQLILVDEEGTKIQTTLFNKDVHAWNKSFQLNQSYYIISGKLNRPKPNFLSVHKELELAFMNNTEVVEDKSHFKTDQFSNGFITFDEAEKITNGSLFGKFHKMFIVVCILLTVKALTGEGRSIRREVIVTNER >Solyc12g097035.1.1 pep chromosome:SL3.0:12:66680861:66682169:1 gene:Solyc12g097035.1 transcript:Solyc12g097035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLCRLLNIKLPVDFSQRLTREEEEESTMKPMVVDYLADMEEQGSTMAMDVDDVDTIDMFGEGPLGGGEHLRLADSDFFNLFQDDFDDSDIN >Solyc01g088500.3.1 pep chromosome:SL3.0:1:83150035:83151553:-1 gene:Solyc01g088500.3 transcript:Solyc01g088500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKSSFLTFNHLTIYLILLLLSQSPQIHSTCRNFCNNIPINYPFSIDDGCGAPQYRHMLNCSATDLFFLTPSGNYKVQSIDYEKQTMTIFDPSMSTCSILQPHHDFKMSEIQSAIIPPTPDTVFVLVNCSIDSPVLNHYKSLCFNFSGHSCDELYGSCTSFKLFHLLSNSTPACCFTSYETVKYMSMDILDCTHYTSVYNTDRLEGVGPLDWLYGMKLSFSVPDTGCGRCAKSGGTCGFDVETEMAQCICSITSNSTRDCAGGREISFADSYRASSFPPLQFLYILALVAISYIILLRR >Solyc10g085050.2.1 pep chromosome:SL3.0:10:64482535:64489619:-1 gene:Solyc10g085050.2 transcript:Solyc10g085050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGTATNSASGSPRVAGTGLTIHHRRPVMENDPEKLSDHIQIGFDNYYGDNNNNNANIINAGSGNLPYYHHHHHSRTIVRYLLACKWVPESLIFRVEECLLSFCSIMACLGSRYNMGRTILGILLVLVMISVFFKFSIVMNGDVNGNLMSKDHGLFVVQNFKNDWVNAQKVVSETQSSVSVSSSGGVARKRQMEELPVPEIWMKPPSDTYYKCIAPPRDRIRTGSSTNGYVLVHANGGLNQMRTGICDMVAIAKILNATLVLPSLDHESFWTDPSDFKDIFDWRRFIDVLKDDIKIVESLPPKYAAVTPIQKAPVSWSKASYYRGEVLPLLKKHKVIQFTHTDSRLANNGLASSIQRLRCRANYEALRYTNEIEELGKKLVDRLRDNGEPYIALHLRYEKDMLAFTGCSNNLTAQEAEELRYMRYQVKHWKEKEIDGNEKRLQGGCPMSPREAALFLKAMGYPSTTRIYIVAGEIYGNNSMDAFRNEYPNVFSHSTLTTMEELGTFRHYQNRLAALDYLVALESDVFVYTYDGNMAKAVQGHRRFEGFQKTISPDRLNVVRLIDLLDKGTITREEFTSEVKTLHSNRLGAPYSREAGESPRLEENFYANPFPGCICDRSLMEVRKQQII >Solyc11g006470.2.1 pep chromosome:SL3.0:11:1157255:1163495:1 gene:Solyc11g006470.2 transcript:Solyc11g006470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTPLVLSFLLLLSFPLIFIFAPKILHQKHTEISIPDEVDDIALFRRATLYSVGKRGGDGGIRAVSRLGVTNPRRKIAFLFLTNTDLHFAPLWERFFSGHGDLYNIYIHADPSAKVASPGGVFDGRFIPAKKTERASPSLISATRRLLATAILDDSMNSYFAVVSQHCIPLHSFKFVYKSLFKSTHSPVHRSFIEILSGEPQLWDRYIARGESVMLPEVPFDRFRVGSQFFMLTRSHARLVVRDRKLWRKFKLPCLNKDSCYPEEHYFPTLLSMEDPDRCTHYTLTRVNWTGSVDGHPHTYYPNEISPELIHELRESNNTYSHMFARKFSPDCLKPLMDIADKVIFRKCGLKTGIFCILSY >Solyc08g066630.2.1 pep chromosome:SL3.0:8:55454187:55455192:1 gene:Solyc08g066630.2 transcript:Solyc08g066630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGDDVLASIMIRVHDSASRRSISQVCKQWLRIEGLTRSSVRVFDPNLLLNFLPRFPNLQKFDTSEKITNAHLEILAEKCPRIQILNLNFKKKNRFYDERDESLALDDFDENGLCFIAMGCSHLNTVSLRKRSGVGNAGVVSLVSFLPKLIDLDLSFCDKDYRLWGVEFDAIMLLGGIGFGRMWTECD >Solyc01g020500.2.1 pep chromosome:SL3.0:1:30956015:30957770:1 gene:Solyc01g020500.2 transcript:Solyc01g020500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHWKKISPQTLFLSKRKEMLESSNTLDVMWKASRQVVEGGYRD >Solyc07g063550.3.1 pep chromosome:SL3.0:7:66128606:66140869:1 gene:Solyc07g063550.3 transcript:Solyc07g063550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKANVTKELNAKHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIHCKQWEMRGQIVFGKQSCLQIMIELYLMLIQDISCVLIRYEEKRWVPKDGIQKSPSRVQEERASVQWQQNNDRSGRIHAASSGCASDERKNIQASKVKQDVPAARVSIPVPPRGPEHVTSGQVANQTSQKAEPVAVTEPAKQVPEAASPPKVDYATDLFDMLSMDCPTDNGSEAASTDDNSWAGFQSAQEATKAENTGVTNSVDQKKSQSAAASGIEDLFKDLPSIVPSASSQKPQKDAKNDIMSLFDKSNIVSPFAMHQQQLAMLAQQQSLLMAAAAAGGAVRLPVNAQQSTNGTNMVNQNWPNLGYQFPGVIMPAAGKTELEKYMQVGNMGPAHVVGNSVPIPASSFYSMGQNTSSNGIVPPGPSKQAATPISSSSTQSTKEFDFSSLTQAKTDNNGRSLSPAREKMAILFQSSSSSMLSIKVFLISTTVLSAAIMLKVSAPVVTEFAVSEVPSIWNGVVSWLKPPYLYLVINCIIITIVASSKLQNKLDENSSPVPAVVSPENSSQFHPIKDVRPVTDYYTPVLHDLNGSVLKNQAVEAEARPIVYEYPTAGVYDAKVEKLPVVNPYISEKGTSFNTYPEPNDVVAEKDDFVISKSSWAPVMRQDSIDYSISGNSAEKPPASARFAHRRNVKSTPEGGKGALRVSKPKRQDTLESTWKTITEGRAMPLTRHLRKSDTWETYGGRNPVTPPPQKMKKSETFNDRTTPDSSPLLTPSPGGSGKLKKEPSLSQDELNRRVEAFIKKFNEDMRLQRQQSMQQYTQMINRGSH >Solyc12g038150.1.1 pep chromosome:SL3.0:12:49931516:49932187:-1 gene:Solyc12g038150.1 transcript:Solyc12g038150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNVQKLSEVMQDQIFHICLFQTVRKDLTTQTGDPTRTGLGGDSIYKFLYSNQAYFFDDEIHSDLKHSKRGTIAMASAGTREKKFFSSFISRYLADLISDASPERKPKDEIDDVRQEDDWIQEDEELGVHEEKEAHLREVLLESVGDIPDAEMKPLTMCSLFVI >Solyc09g074420.3.1 pep chromosome:SL3.0:9:66639808:66658203:1 gene:Solyc09g074420.3 transcript:Solyc09g074420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATCCFSAGTGTGNSLSFLTSHRPSTSLRPPSSLFIPKAVHQKSSSPPPHPPSQKAGGKQNFIWRSKDERHLANNDGRSSKNETGRSKSTAFKFSGLQRKGSGKGAPFESKEPQVETGFIEDAPFLNAVVKVFCTHTAPDYSLPWQKQRQFASTGSAFMIGDGKLLTNAHCVEHGTQVKVKRRGDDTKYVAKVLARGVECDIALLSVESKDFWKGAEPLCFGHLPHLQDAVTVVGYPLGGDTISVTKGVVSRVEVTSYAHGSSELLGIQIDAAINPGNSGGPAFNDDGECIGVAFQVYRSDDVENIGYVIPAMVVSHFLEDYERNGKYSGFPCLGVLLQKLENPALRACLRVPSNEGVLVRKIEPTSDVSNVVKEGDVIVSFDGVRVGCEGTVPFRSSERIAFRYLISQKFTGDVAELGIIRAGEFLKVQAVLKPRVHLVPYHIEGGQPSYLIVAGLVFTPLSEPLIEEECEDTIGLKLLIKARYSFAKFEGEQIVILSQVLANEVNIGYEDLSNEQVLKLNGTRIKNIHHLAHLVDSCKDKYLVFEFEDNFLVALEREAASSASSSILIDYGIPAERSSDLLEPYVDSIGPYEATDQHEFGDSPVSNSEFGYDGLLWA >Solyc01g087150.3.1 pep chromosome:SL3.0:1:81959569:81967305:1 gene:Solyc01g087150.3 transcript:Solyc01g087150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4AYA6] MAEVGNVACTQRWKIPIFVFFQDIWNVFKFDNLGMEILRIAFPAALALAADPIASLIDTAFIGHLGAVEIAAVGVSIAIFNQASKVTIFPLVNITTSFVAEEDTVRRMNEKEGIADLEKNDSTKQLVLTDGNNNDKTETKETVLAQECKTTKCDSLELKRVKRHIPSASTAILMGCILGVLQTIFLIFLAKPILSLMGVKSGSAMLSPAKKYLTLRAIGAPAVLLSLAMQGVFRGFKDTKTPLFATVVGDLTNIILDPIFIFVFHWGVSGAAIAHVLSQYLISIILLCKLMTEVHLLPPSTKDLQFSKFLKNGFWLLARVIAVTFCVTLSASLAARLGTTQMAAFQVCLQIWLTSSLLADGLAVAGQAILATSFAEKDFQKAKAAGVRVLQMGLVLGFGLAAVVAIGLYFGSGVFSKDKNVIRLIIIAIPFVAGTQPINSLAFVLDGVNFGASDFAYSAYSMALVGALTITSEFVLSKTNGYIGIWIALTIFMVLRTFAGLWRMGTGTGPWRFLRIPVMSVEAKS >Solyc02g065060.3.1 pep chromosome:SL3.0:2:36797333:36815713:1 gene:Solyc02g065060.3 transcript:Solyc02g065060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMASSVSSKGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSKFADEIAREEKAQVFAIRIDCSDSRSIREAFEGVLSLGFVEVLVYNAHQPTFWHPTDFTDIKIEHFEKSLAVSSVGAFHCAQQVLLGMVERGRGTILFTGCSASLSGIPGYSELCCGKFAMRGLSQCLAKEFQPLGVHVAHVIIHGIVGAPARGAIGSSSQQRLLVGEPEQQQTGVWAGEELMDPDGVAQTYWHLHIQDRSAWTQEIDLHPSTQRYI >Solyc10g054500.1.1.1 pep chromosome:SL3.0:10:55498431:55498592:-1 gene:Solyc10g054500.1 transcript:Solyc10g054500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNANFPELGLKMEDCMEINWIKSVLYFTGYQKVEPLEVLQDRKTQYKRNFKAK >Solyc05g013465.1.1 pep chromosome:SL3.0:5:6669279:6681611:-1 gene:Solyc05g013465.1 transcript:Solyc05g013465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNLATTTNHHEPFSTTAAPTSPHPNLSINSQLNNVCPISPTAAMTAITMILLCACKNICIGKFSAYANNCGIIHTANKDVINKTYHNAKSKASDNRVFNGDSSKLNGTNMTSKYLSYGTNGVLANGSENGWSSKVLEFRNGNCCHILINEKVGVTQEKIRGKENYPRITFVQPRQRRFDRKVSKSFQFVPALSYYQIRGKENYPRITFVQPRQRRFDRKGSTGQGVNGAESLEDEVGRGKI >Solyc03g082740.3.1 pep chromosome:SL3.0:3:54061680:54063771:1 gene:Solyc03g082740.3 transcript:Solyc03g082740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4BI50] MDELVGPRLYSCYKCRNNVSLHDDIISKAFQGRHGRAFLFTHVMNIVVGAKEDRTLMTGLHTVADVLCGDCNEVLGWKYERAYEPTQKYKEGKFILEKSKIVKENW >Solyc08g041970.1.1.1 pep chromosome:SL3.0:8:24544229:24544411:1 gene:Solyc08g041970.1 transcript:Solyc08g041970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLSLFLPRRSPLSPYPLSPLILLLLLYFLVLFQSYLNKSENTGFNFSAQYFFIIQNN >Solyc02g062370.3.1 pep chromosome:SL3.0:2:34513976:34522355:1 gene:Solyc02g062370.3 transcript:Solyc02g062370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNSSPQMCRPCVEEPQSQRLLEDAASSVENCTSIQDMEGKQLVGVPGTMSAVATGNSNSPATLKTPIENGIGFAEKTKRGRPPRGVVVKAPQPKRQREEEEGEDVCFICFDGGSLVLCDRKGCPKAYHPACIKRDEAFFRSKAKWNCGCTKDADFFCVRRSKGFCSTCMRIIMLIENIDQGIKEMVQVDFDDKSSWEYLFKVYWMYLKEKLSLTQSELIQAKKPWKGSDTVHVKQQRLPFCHPVAFDGKGIVGKSFDHLELKKPVQLLEPPCQDPPITEVQTIAEAENLSGPGCTPQLEKTQHIELELRRNDSLKKEKASASTGTSLNGRMEWASKELLEFVAHMKNGDTSALSHFEVQALLLEYIKRNKLRDPHQKSQIICDSRLRSLFGKHRAGHIEMLKLLEFHFLIKEDSQGSAFIPAGIVGNVTSRVEADDNNDISFSMNKTKKRKSRRHTEESSVQINLDEYAAIDAHNINLIYLRRDLMESLIEDMEKFQGRVIGSVVRIRISGNNQKQDMYRLVHVVGTSKAFVPYKIGDKTADVLLEVLNLNKKEIVPIDSISNQDFSESNILWWYAQTGWSNDNIIWVPTMDECRRLRQIIKCGLVKRLTIGEIRKKAMELRAVKLNDTLEEEILRLNNLRDRASEKGRKKEYPFLC >Solyc02g091080.2.1 pep chromosome:SL3.0:2:53127930:53135344:-1 gene:Solyc02g091080.2 transcript:Solyc02g091080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTIDVTPDTKKLLVGIYSSIEITTSAAYSCRAESMEEQLLESEENRKWIITTEWETYCQELKKLSYIAAPMVAVSVLQYLLQVVSMMMVGHLDQISLSSVSVATSITNVTGFSLLSGLVGGLETLSGQAYGANQWQKVGTYTHSAVISLLIVCIPISVVWLFMDKLLILMGQDPVISVEAYKFSLWLIPALFGSAILRPLLRYLLIQSLIFPMVVSAFLALCLHISLSWLFIFHLGLGKSGAAIAFSFSIWTFVASLVVYISRSSSCERTRTPLNRDAFLAIGQFFRYATPSALMVCLKWWSLEVLTLLSGLLPNPKLETSVLSICLTISALHFALPYGLGTGVSTRVSNELGSGNPQKALVAVRVAMFVAVSETVLASIVIFLCRGVLGVARGSGWQIIGAYVNLGAFYLVGIPVAAVMCFLVNLKSKGLWIGLLAGSTIQATSLSLILVFTDWQKQSSSIEVKTSRTIMEEELPQSLKLEKKWQISWDALSQELKKTNRIVAPMVAVTVFQYLLQVVSIIMVGHLGQLALSSVAIATSLTNVTGFSLLSGLVGGLETLCGQAYGAKQYHKLSTYTYTAIISLFLVCLPICVLWFFMDKLLILIGQDHEISIEARKYALWAIPALFGGAISKPLVRYLQTQSLILPMLLSSLAVLCLHLPISWGFIFKLELGNIGAAIAFSVSTWLYVLFLALHVSFSTSCEKTRTPFSMDVFLGINEFFRLAVPSAVMVCLKWWSLEVLTLLSGLLPNPTLEASVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPKRARMAVQVVMFLAVIETVVLSTSMFGSRHILGRGFSNEKQVVEYIASMTPLLCLSIITDSLQAVISGIARGSGWQHIGAYINLGAFYLVAIPLAVVLGFTLHMKAKGLWIGIVVGSTIQSIILSIVTCFTDWDKQAKKARERILEGTS >Solyc03g033720.3.1 pep chromosome:SL3.0:3:5346416:5352144:-1 gene:Solyc03g033720.3 transcript:Solyc03g033720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTIKIMSSSSEPVFPASTVNPNCSKWRFKWEAQSHTSTLRLILFSSNIKPCTEITVNLSVEKSLLTVCFVEGDTIRVPVPRVLIDPEAPVHCRVFDDHVEIKLALLLPVDHPLISGLDLSEPEPEEEKLDSDTCFPFSVNYEIKKLSAMEEVHFYCKSCSTKLTKGIRLFNEMPSVDWQDVADNWFGTCCCSFGGISEQLVMKFAKSYSCTTGVCLITGASVIIFKEDLVVCEFPVLKRDQTYDSQLNSAKMTSLRPCPEEKNNGVKPHNVVVKMMINGDSSTCIHSKLKDEDKMKSLAGISSEANCDIKNHNTGCCSNNLSERFSKDREYEMNTELLDKQKIFLKGCLGDAFMLRHSNLSKDVKWIEFLCPKCSSLIGAYPCSSDKAPLDDGVRLYKFNISTCLPVVGLNDLFREYTLERMFSRQLLEAAQDELTFRTVVRDIHTKRALSQIVLLNPNAWCYSDYCVHNMEPVAKINMYPVVKLLFSANINDTELELRNVEEWVTKNQADEVFMLPSQVKELITNLEMANTMLPPSHMLLQGFYMSSLKR >Solyc12g009430.2.1 pep chromosome:SL3.0:12:2704426:2706888:1 gene:Solyc12g009430.2 transcript:Solyc12g009430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSWRRNQQIKIFTPKNLLLFFFTSLLLLIFLYLTSQTQPHNPKPLKSIKNPIFNLPIKPFDCYNSPQAYPVIASVVEGVKYPFLFSLSDFGNLPEKPHKNIQRVLKGKPFRKPDISVTLQELLEKMKGKNGIFLDVGANVGMATFAAAVMGFKVLAFEPVFENLQKICEGIYFNRVGELVEVYEAAVSDHNGNITFHKLVGRLDNSAVSATGAKLAFKSNEEIEVQVKTIPLDEVIQETERVLLIKIDVQGWEYHVLKGASKLLSRKKGEAPYLIYEEDERLLQASNSSAKEIREFLQSVGYNHCTQHGTDAHCTKTD >Solyc05g008380.3.1 pep chromosome:SL3.0:5:2744673:2753415:1 gene:Solyc05g008380.3 transcript:Solyc05g008380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRGYSFFTATLIFAVSICFSSTPVDSLPRDSFKSILGEGNLESWKDGVLHSTDMAQAPGGHASTLVLAGNRTRRPDFLSGFHKYRGGWDIANKHYWASVGFTGVAGIILALLWFVSFGLALVVHYCCGWNINIRDGDRHFSERICLIVLIILTCAAAIGCILLSVGQDDFHGEALDTLKYVVNQSDYTEQTLRNVTQYLLLAKTVNVAQIFLPSDVKDDIDRLNGDLTSAADKLEEKTNENSGKIRRVFNSVRSALITVAVVMLLISILGLCLSILGYQHTIYIFIISGWLLVAFTFVLYGVFVIINNAISDTCMAMGEWVDNPHAESALSNILPCVDPRTTNQTLFKSKQVTVDLVNIVNGFIDTYANSNPSNHVNSNYYNQSGPVMPRLCYPYDSQLQDLPCLADQVSMANSSMVWQNYTCSISEAGTCTSIGRLTPDMYEQLVATVNISYALEHYAPPLLNLQNCNFVRDTFKNITANHCPPLEHHLRVVNAGLAVISVGVMLSLALWIVYANRPQREEVFAKLSSRIKNSCNGKNVSCSNSNIDLSSRGTTPKIGV >Solyc02g086840.3.1 pep chromosome:SL3.0:2:50082043:50086030:-1 gene:Solyc02g086840.3 transcript:Solyc02g086840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEFAMDGSIVDGNQKEPNGHFLPHREIFDQGSPRSPLSTHSRETESIDLDINGGVDTSIEQLYNNVYEMQSSDYSPSRRSFLSYGEESRIDSELRYLAGGDFGELDSKKGLSEHDKVHNDEKLGKIKTYPASPKSVWSAKGKKYSPSRTDSPIANKPPRSRSKSFNEKPSPKRFGNLKKLNATMSMKNEKNPNANEDSSKAGYLGPYLLKQARDMISTTGENVQKALELALRAMKSFESSSKGNSSLEFVMCLHVVAALHCRLGKYNEAIPLLERSIEIPDLDVGQNHALAKFAGCMQLGDTYAMLGQLENSILCYTAGLEIQRQVLGEKDTRFGETCRYVAEAHVQAMQFDEAEKLCQMALDIHKENNSSASPEEAADRRLLGLIYDSKGDYEAALEHYVLAGMAMAANGQEADVASIDCNIGDAYLSMARYDEAICAYQKALTKFKSTKGENHPSVASVYVRLADLYNKIGKFRESKSYCENALRIYTKAVPGSHPEEIASGLVDVSVIYESMNEPDQALKLLQKAIKVYGNAPGQQSTIAGIEAQIGVLYYILGDYMDSYDSLKTAVSKFREIGEKKSAIFGITLNQMGLACVQLYAINEAGDLFEEARIILETECGPYHADTLGIYSNLAGTYDAMGRTDDAIEILEFVVGMREEKLGTANPDVDDEKRRLTELLRESGRVRSRKSRSLETLLGNMSHIFLQDQEIDILER >Solyc10g019115.1.1 pep chromosome:SL3.0:10:11773379:11775206:-1 gene:Solyc10g019115.1 transcript:Solyc10g019115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGKNTSKIDELKKELCKSFSMKDLGHANKILGMRITRLRDKRKIYLSQKKYIERVLERFNMKNAKPVCTPLAGHMKLSKKMCPTAREEKENMAKVPYSSVVRSIMYLRGSSDVCLCFGASNPILKGYTDADMAGLFNSAMITRMQNLHQSSAPCEVSLVASEITSSR >Solyc11g069210.2.1 pep chromosome:SL3.0:11:54141347:54144199:-1 gene:Solyc11g069210.2 transcript:Solyc11g069210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDMDLHPTPFPGKYQSVKSRFNKNISKAPKIQSKEPSIPRQNRVFGTIRSTNVPTKTVVEKPLAKSSSGVSQRQLKSPKKTQSLTDSAVNSVTKSAKKEENRAKTRKKSVCFRENRDVAVAASAAEPKTPVKSPVLAKPRLSGSTTPFHSAEKCSKCRFDRLETSSYWLSQIKLAENVEKHSVSAAFFQLAFDTKAEPFRNILLELKRYLRRHKHLSEGKEWKEVCFSYGLLKDESNSKDKIGNSSKNKEIEKEKEEEELKWLNLEVDEEYSIIQEGNEVPFSLLC >Solyc01g005865.1.1 pep chromosome:SL3.0:1:581112:583904:-1 gene:Solyc01g005865.1 transcript:Solyc01g005865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTINPDASYDCVFSHPKTRSWNNSIDCCSWNGVHCDETTGQVIELDLRCSQLQGKFHSNSSLFQLSNLKRLDLSYNNFTGSLISPKLGEFLSLTHLDLFGSDFTGFKSSELLECKSMSFKFIYSLCM >Solyc01g009420.3.1 pep chromosome:SL3.0:1:3555650:3560574:1 gene:Solyc01g009420.3 transcript:Solyc01g009420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPINPMTICMIGAGGFIGSHLCEKLMSETQHTVLAVDVYSDKIKHLLEPTSLPWNGRIQFHRINIKNDSRLEGLIKMADLTVNLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGAFLPEDSPLRQDPAYFLLSEDASPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRHEPLKLVDGGHSQRTFIYIKDAIEAVSLMIENPARANGHIFNVGNPNNEVTVKQLAEMMTQVYSKVSGETPLETPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKQAMSKTTTN >Solyc02g083330.1.1 pep chromosome:SL3.0:2:47330232:47330640:-1 gene:Solyc02g083330.1 transcript:Solyc02g083330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVLYMGKLDSIDQESNSGESVKCNSSLKFHHEIHRASMLPFSSRGTNLEVRLGYINVIDGGNEALKNPNFEMKNGYAIRDLCSWGKRKFRKLGMGFRGYG >Solyc10g080740.2.1 pep chromosome:SL3.0:10:62072166:62080474:1 gene:Solyc10g080740.2 transcript:Solyc10g080740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQMDSSLDHSSRERVQRLFNKNVELDNKRRKAAQARVCSDPNAWQQMRENYEAIILENHAFSEQHEIEYALWQLHYRRIEELRARFNAAIASSGSTSQTGKGPPRNGSDNIIKIRTQFKTFLSEATGFYHDLMVKIRAKYGLTVGGFSDDPGDQIPSSNEANKSIEVKKGLVSCHRCLIYLGDLARYRGLYGEGDSKARDLAAASSYYTQASSLWPSSGNPHHQLAILASYSSDELVAIYRYFRSLAVENPFTTARDNLIIAFEKNRQYFSQLPVDAKASSTKVTPSRTTGRGRGKYETRPSLKDGKVEASLPKEKALSTSEIFKTFSTGYVRLNGILFTRTSLETFDEVLLMVKNDLLELLSSGSDEKYNFGSTAADCRLAIVRLVAILIFTIHNVIRENDNQSYAGILQRSVLLQKAFTAAFEFMGHLVERCIQLNDPSSSFLLPGILVFVEWLACHQDIALGNESEENQARARSCFWKNCISFFNKLMSTGSKFVDEDEDETCFFNMSRYDEGETGNRLALPEDFELRGFVPLLPAQLILDFSRKCSFGGDSGSKEKKCRLQRMIAAGKVLATVVRVGEEGIYFDTRGKKFVIGMEPQTSDNYLLNGLNGTKLSGIELESPDAGQLTVGDLLPKQQLYVECEEEDEVIVFKPSVIEKSNDISSSAMTSAVPVAGISVVNASSGASMECVDSCCEMGPFPSALDGLRLQNGWSTTRLPTSISLTNTQYMQAIQPSTSMWSVEQGAFMNGLGGLSLTGNGLMTEAELLNHPEMVSPAAAHSAPLPQSVKFSTANNIHFQVPEAAMSSTFSSLAPSVAFSDSMSMKSLAITQTGMKKNPVCRPGRHLGPPPGFGSVSSKVDDSSFASTLKNENNPIPRMDDYSWLNGYQLPSAHQSIVYNNSDNHSAQPYHSVSNSSLVGISFPFPGKQVPSLHMQSDIQKANNQSVGLPQQYQGQSLWQDRFFV >Solyc08g062540.1.1 pep chromosome:SL3.0:8:51303771:51304308:1 gene:Solyc08g062540.1 transcript:Solyc08g062540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSSSHETSNWTTMESSEKHTKTTIEPPLMAAALVSEQPGSLIPHQQHQGDGSTTCLALWLKLQQHKRYAVSIDSEHRQQPGYCKNGSSCRFLNGGRPCEGDVRSP >Solyc11g006330.1.1 pep chromosome:SL3.0:11:1060050:1060741:1 gene:Solyc11g006330.1 transcript:Solyc11g006330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLDLSRNKFSGLIPKYFETFISLKSLNLSFNNFESEVPRVGVFSNASAAIVNENRILCGGSQMLKLPQYLYQRVNIASDIAFALDYLYNGT >Solyc11g066120.2.1 pep chromosome:SL3.0:11:52089424:52094175:-1 gene:Solyc11g066120.2 transcript:Solyc11g066120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNSSFSIAVKSNKSSVFYTLRSTRGHILANLGKYEKIHKPPSGPNPNGNHRPPSRAKPNWKSSSTIRP >Solyc03g034010.3.1 pep chromosome:SL3.0:3:5750933:5755102:-1 gene:Solyc03g034010.3 transcript:Solyc03g034010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIDAAEVSETPPFHHDIKVHHLMCMELIQFVTRVSVLLPEIEAVRPGCSGTEILCRLNNEIDKAKTLHQHCSESSKLYLAFTGDTILSRCKKSRNMFEQSLNQVQNMVPVSLASEISQLIAELRGAIFSVDPSEEEAGKVIKELLHRYVNTTDSAEEYAFEAIQVAMWKLHITSLKALSIEKRSIKEMMDRVGEGETTKRRILSIFLKLLNKHGKSIVTEQIHKEDSYPFYNQCEVNSH >Solyc03g005300.3.1 pep chromosome:SL3.0:3:168102:173674:-1 gene:Solyc03g005300.3 transcript:Solyc03g005300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFVSRFWFMMFPAKEYKIIVVGLDNAGKTTTLYKLHLGEVVTTNPTVGSNVEELVYKNIRFEVWDLGGQERLRTSWATYYRGTHAVIVVIDSSDRARISTMKDELFRLLPHEDLQNAVVLVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQACSALTGDGLYDGLGWIAQKVPGKTPT >Solyc04g064940.3.1 pep chromosome:SL3.0:4:56101584:56105162:-1 gene:Solyc04g064940.3 transcript:Solyc04g064940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLTVTLFFSFLNISFLFPTSISGLNQEGVSLVSWLSTFNSSSASVVPFSSWNPSHVNPCKWDYIQCTSNGFVSDIKIRSINLPAIFPSQLLSFPFLEVLVLSNCNLTGEIPASIGNLSSSLRILDLSFNALTGSIPPEIGRLSQLKQLLLSSNFFQGQIPKEIGRCSELQQLELFDNQFTGKIPEEIGQLTSLEIFRAGGNVGIQGEIPMQISSCKKLVILGLADTGVTGQIPHSIGELKKLQTLAVYTANLTGEIPPEIGNCTSLQELFVYENQITGEIPRELGLLKNLKKVLLWKNNLTGEIPGNLGNCSSLKVIDFSLNYLYGKIPPSFENLATLEELLLSGNSISGEIPYYIGNFSSLKQLELDNNNISGVIPPTIGKLKELNLFFAWQNQLHGSIPTELADCQKLQSLDLSHNFLTGSIPNTLFNLRNLTNLLLISNVLSGGIPPDIGNCTSLSRLRLGSNRLDGPIPPEIGRLPSLSYLELSQNQFTGSIPPAIGNCPQLEMVDLHGNNLQGTVPSSFVSLTGLNILDLSMNKISGNIPEDIGKLPLLNKLILNGNNIDGTVPKSLGLCQDLQLLDLSSNRLAGLIPEEIGNLQGLDILFNLSRNFLTGQIPESFSNLSKLANMDISHNMLTGSLRVLSNLDNLVSLNVSYNNFSGDLPNTKFFQGLPPSAFIGNQELCTDRAACHLSGDHHGLKSIKKITIAIVLSIFMAMLIVTASIAIFIRTQGEICQKDDEENGLQWEFTPFRKLSFSVTDVVPRLSESNIVGKGCSSFVYRVETPSGQVIAVKKLLAKKIGEAPQRDFFSAEVRTLGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLSGLLHEKRVFLDWDARFSIILGAAQGLAYLHHDCSPPIVHRDIKTNNILVGPQFEAFLADFGLAKLLNTSSDTSKASTIIAGSYGYIAPEYGYSLRITEKSDVYSYGIVLLEILTGMEPTDSRIPEGTHIVTWVNQELRVKHKEFTTILDQQLLLRSGTQIQEMLQVLGVALLCVNPCANERPTMKDVAAMLMEIRHENEDLEKPNRGVVPNPKEAISCPSFSGSSQPLIRSPPQ >Solyc08g061190.1.1.1 pep chromosome:SL3.0:8:47455359:47455526:-1 gene:Solyc08g061190.1 transcript:Solyc08g061190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFWVDFSFLGTSRYRLRGPLHVAPLTRKKDEDGRGNTFINLDDLEIDLGFKKK >Solyc01g017510.1.1 pep chromosome:SL3.0:1:23880626:23881967:1 gene:Solyc01g017510.1 transcript:Solyc01g017510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERIFCQTLIRRVLEDDIYMGSRCIATRYQAIVIGLVNRFITSRAQQISIRTPFTCRRVFTGGNAEHVRAPCNGKIKFNEDLFHPTPTRDGHPAFLCSIDLYVTIESEDILHNVNISPKSLLLVQNDKYVESEQVINEIHARISTLNFKEKVRKHIYSDSDGEMHWSTDVYHEPDFTYGGLCMSSLVYLFIHKDQYQDQMNEHSLSGKWRNKFIIPLHSIQELGNELMSCSDDPRHKRKSSGIIKYGTIETHSIIKKEDLIESQGVKEFRPKYQMKVDRFFSFLKRCISCPDILP >Solyc05g055105.1.1 pep chromosome:SL3.0:5:65749635:65751321:-1 gene:Solyc05g055105.1 transcript:Solyc05g055105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQEEKEERRKAKLEFQVARDEDLGEQIGKDIYFDLVNHDKVRTFRVKKDTPFTQFKVHSSFYYICFQEEVAKAFGIPVQFQRYWLWYKRNNHTYRPYHALTVQEEVHSVSLIILFFYDCTFV >Solyc12g036140.2.1 pep chromosome:SL3.0:12:45100871:45113040:1 gene:Solyc12g036140.2 transcript:Solyc12g036140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDALVWYCKPEANGIWAKETDSAFGAYTPCAIESADCISNVVLFVLCAYRLWLVRMDHEVRRFQLTSKCYNYILILLGSCCAAEPLLRLFMGISIFNLDAETDLAPFEMVSLSIETLAWMSIIVMNLVETKVYIKEFRWYVRFGVIYALVGELVILNFVFSMQSFYSRFTLYIYYSSVICQIVFGALLLVHLPHLNPFPGYIPLRSESVDDKTDETILGEDHICPERYACILSRISFGWITPLLRQGYNRPITEKDVWKLDSWDKTETLSARFQRCWTEESRRKKPWLLRALNCSLGGRFWYGGLFKVGSDLCQFVGPLLLNRLLESLERRDPAWIGHLYAFLIFVGVVRFNLHHIKSIYFIASPIIAPRWFTKLVCV >Solyc09g083105.1.1 pep chromosome:SL3.0:9:69216133:69218471:-1 gene:Solyc09g083105.1 transcript:Solyc09g083105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLQRLNFIRALSQREAASYSIDTAADYLVPVVMCPEGSSLAFNCFNLLSKPWLCDGYTVILSSVWGSTEVIHLVNVLSGKASSRINAGNSSFSYSLLALDGNNAVMHEH >Solyc03g007550.3.1 pep chromosome:SL3.0:3:2115848:2119989:1 gene:Solyc03g007550.3 transcript:Solyc03g007550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIDYSRTQRIVLLIDLNPLLILTNPTHYLNSILATSTRLLNFPSLCNSLFAFNFFFSSLSPLRSSSAIHSLIPNLSSGFNHQSQTLESITETLSSFALPVELEVNCSKACHTACYLLQLVHDYVWESEMESTPGKMTGEIPKASQNLIVLFSPISRSMIDLAQYVHVDVNSEILKDFEGFNFKFSEIFGTVRSAFDNRNIHFSWIDVRDENNDGKVEFVDKGEWSSMLENGIRHFGWGISSTDSIVLGSALIPFGLIYPEIGMSFDFLKSNAFDRGSAQLNLEILDVNGKPLECKLCDLELLNITTLPKLRSEDILNTLGLGDKQNEGCDREETFWSCLGKSSFNMHLKAVQKCNVGERIEGCSSSYVLVQQSARCKNNYRNDTCVDGVLDVLSGVKGQHSQGNSTVLWQILLSFLYEESYWVSVTVSNSNGSTITGVLRPLTAQLALLSRIEGGHNYGSILKQMNDMTCGSSNEINVSLGNGKRKKDKKCSTKNLTWSSFLKEAFECNDFELVDICFARKIEKSKKLKFLKCWMKQIKKSSTCLLKAADSHKRQTQQPFSTQFPSDSNLMLEGDAHLVCSETAEAFFSNLPKKIQHGLQSGRDLQTLAARLLKSSIRALSQKYEIDDNVGGESQIPKTNDSCCKTILPELMKILLRKPKEMKEKLKHDDPSEVSDFSPTSENTVREFEMQILLRMEILGSTFSESIKESSKQKLVKEICSFLEIIQYLVEGGIHGDLSLYDYVERTIRLRYHNIIEDVVNRIYAEMDLLPFGVEDEKQALLFNSEDSNQSWREKQERYETAEVNNMRLSVSAEDELCQPPENIDGSSQAITGEEHARKLSEARDRREKARRFGSFTRMPDLQRVWAPKQLKSVKIKCEDQKELKRKERKKGRHSVVYETPMSGKKWSSSQSDEKLERSSTSVSKALFQDW >Solyc10g051257.1.1.1 pep chromosome:SL3.0:10:51745108:51745644:1 gene:Solyc10g051257.1 transcript:Solyc10g051257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDPALFLIHAQYIDGVFVTDDVASLFPTNPHLSQPHNYRSTNSSHRYFGNHLAPPNNYSVFWDPYTYGHQFNIDDSYVTTEDVNLSIAAMQNLDRNFGTRLSGQPFDYTMLHDHTQVKKRNHCADKVEEICSICLSEYVNDETIGTLHCGHEYHATCIEKWLLRGKKNCPICRSSV >Solyc08g067360.3.1 pep chromosome:SL3.0:8:56470303:56472309:1 gene:Solyc08g067360.3 transcript:Solyc08g067360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNLGDNNFSIDLNTNLSLHNTNTSPSETLDEELIRTREENKKLVTMLTNLCEKYNSLQTHLIELLQKYSSNNEEDNSNLLLSRKRKAEEECCVNNFEEASPKRPREITTNVSTVCIKTNRSDQTSVVKDGYNWRKYGQKVTRDNPYPRAYYKCSFAPTCPVKKKVQRSIEDPSILVGVYEGEHNHPHPSQTEITVPLVNQDVSTFSNKFMEDIETNSLQQHLVQQMATSLTSSPTFTAAVAAAISGKIFEYDLPFN >Solyc08g082670.3.1 pep chromosome:SL3.0:8:65491367:65507134:1 gene:Solyc08g082670.3 transcript:Solyc08g082670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTTTTTSTAATTITTTINNGVLHSIEVNPRHHILNRAFALIYLFAILVLFYNHIIKLLNSTNSFITFSISFSILISDIILAFMWTTIQSFRMHPLTRQQYPEKITKNFSNEINNFPPLDIFICTADPYKEPPLNVVNTALSVMAYDYNPIEKISIYVSDDGGSELTLFAFMEAAKFAVYWLPFCRENKIIQRSPDAYFNSNYLQNFETKKIKLMYENMKARIEEVIERGKVDEDYINNEEELQAFTKYWTTLFSRHNHPSIIQVLLESGKDKDITSHGMPNLIYISREKNKSSPHHFKAGALNALLRVSGIMTNAPIILTLDCDMYSNDPSTPQRALCYFLDPTLRPNLAYVQFPQTFHGLNEADIYASEIKGLFFSNPIGMDGLNGPNYVGTGCFFRRRAFFGPPSSFEQPEIPELFPDHVVNKPIKAQEILLRAHYVASCNYERESNWGAKMGFRYGSLVEDYYTGYRLQCEGWKSVFCNPKRPAFLGDIPISLYDVVSQNKRWSVGLLEVALSKYSPLTFGVQSMGLVMAHCYTHYAFWPIWSIPLTIYAFIPQLTLLNGVTIFPKVSDPCIFLYVFLFLGAYGQDCLVFMSNEGTFKRWWSDQRMWMIRGVTSFLFGTIEYLTKHLGISTQGFNVTSKVVDNDQGKRYHQGTFEFGVVSPMFVILATTSIINLVAFLKSLAQIFKGDKNLDGIFIQMFISGFVVINCLPIYEAMILRNLILAFMWTTSQPFRMRPLTRQQYPEKITKNFSNEINNFPPLDIFICTADPYKEPPLDVVNTALSVMAYEYDPIEKISVYVSDDGGSELTLFAFMEAAKFAVYWLPFCRENKIIQRSPDAYFNSNYTQNSETEKIKRRIEEVIEKGKVDEDYINNEEELQAFTKYWTFGFTRHNHPSIIQVLLESGKDKDITSHGMPNLIYFSREKNKSFPHHFKAGALNALLRISGIMTNAPIILTLDCDMYSNDPSTPQRALCYFLDPTLRPDLAYVQFPQTFHGLNAADIYANEIKPLFITNPMGMDGLNGPNYVGTGCFFRRRAFFGPPSSFEQPEIPELFPDHVVNKPIKAHEILRRAHYVASSNYESGSNWGSKMGFRYGSLVEDYYTGYRLQCEGWKSVFCNPKRPAFLGDKPISLYDVVSQMKRWDVGLLEVALSKYSPLTFGVQSMGLVMAHCYSHYAFSPIWSIPLTLYAFIPQLTLLNGVTIFLKVSDPWFFLYVFMFLGSYGQDCLIFMSNEGTFKRWWSDQRMWMIRGLTSHLFGTIEYLTKYLGISIQGFNVTNKIVDNDQGKRYHQGIFEFGVVSPMFVILATTSIINLVAFLKSLAQIFKSDQNLDGMFIQMFIAGFVVINCLPIYEAMVLRSDKGRMPTRVTIFSTCLACTLYIAFAFLLGNINEINNFPALDIFICTADPYKEPPLNVVNTVLSVMAYDYNPIEKISIYVSDDGGSELTLFAFMEAAKFAVYWLPFCRENKIIQRSPDAYFNSNYLQNFETKKIKLMYENMKTRIEEVIERGKVDEDCINTEEELQAFTKYWTTGFSRHNHASIIQVLLESGKDKDITSHGMPNLIYFSREKNKSSPHHFKAGALNALLRVSGIMTNAPIILTLDCDMYSNDPSTPQRALCYFLDPTLRPDLAYVQFPQTFHGLNEADIYANEIKPLSITNPMGMDGLNGPNYVGTGCFFHRRAFFGPPSSFEQPEIPELFPDHVVNKPIKAHEILRRAHYVASSNYESGSNWGSKMGVRYGSLVEDYYTGYRLQCEGWKSVFCNPKRPAFLGDKPISLYDVVSQMKRWDVGLLEVALSKYSPLTFGVQSMGLVMAHCYSHYAFSPFWSIPLTLYAFIPQLTLLNGVTIFPKVSDPWFFLYVFMFLGSYGQDCLVFMSNEGTFKRWWSDQRMWMIRGLTSHLFGTIEYLTKYLGISTQGFNVTNKIVDNDQGKRYHQGIFEFGVVSPMFVILATTSIINLIAFLKSLAQIFKGDQNLDGIFIQMFISGFVVINCLPIYEAMVLRSDKGRMPTRVTIFSTCLACTLYIAFAFLLGNM >Solyc06g066690.3.1 pep chromosome:SL3.0:6:42022414:42024881:1 gene:Solyc06g066690.3 transcript:Solyc06g066690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHLRNDIADLVKSELVVNAYDRTEGLLVELNLLSCYDFLDQYCEQILSHLDTISTQRECPEDCKEPVGSLMFAAARLADLPELRQLRTMLNERYGNSLESCVNNQFAAKLKPVWHKMDVKLQLMQDIAAEYGLEWNSKALQQRLYEQEHADVASNNKKSKDAHHIESRDDDSVENANSEQKSLRMKHLDQSGHKDSGHKPNGEISSHRNREGPPGRTTSLPIELEQITPEELMKGHSRAKSYTPDMFGHVHPRVPNYEEVVARLTDLGGKSKE >Solyc09g011675.1.1.1 pep chromosome:SL3.0:9:4911445:4911813:1 gene:Solyc09g011675.1 transcript:Solyc09g011675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFWGKDVTILFKGWPNNNLGMYILSLFFVFFMAFGIEIMSMGPIMINKSPIRAIGIIQSGIYYTLRMVLVYFVMLAVMSFNIGIFIVAILGHGLGYIVVKFRELVVDKTTTDSNGTDPKV >Solyc03g013605.1.1 pep chromosome:SL3.0:3:45836537:45836794:1 gene:Solyc03g013605.1 transcript:Solyc03g013605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSRFISEQGKIISTRVNILTLKQQRLITLNEKQFE >Solyc02g089040.3.1.1 pep chromosome:SL3.0:2:51572230:51579303:1 gene:Solyc02g089040.3 transcript:Solyc02g089040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMETESTSLRRPDASSILVMYLWSGL >Solyc05g023850.2.1.1 pep chromosome:SL3.0:5:29608584:29611637:-1 gene:Solyc05g023850.2 transcript:Solyc05g023850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSHLHTLGNQQNLAREFELERLLAESIQGDKNVFCFYFVLFTLWAEQDRVFYGSIPAGGLKDFMTMMKRTRTVQFLMKLRPEFEHLRASILNRERLPALEVVVSEVLREETQMSSQASMKNSLTMDTSLATYKSSSSSVNSNKPFQCYHCKETGQIISHCKKQNYCNYCKKDDHIILECRKKSGPGKGSTPHKDFEEMTRDAGT >Solyc11g021240.2.1 pep chromosome:SL3.0:11:13415513:13416250:-1 gene:Solyc11g021240.2 transcript:Solyc11g021240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKIFNCPILNLEFLFFPEFVLLYNNQSQEEKEPPSQRERGSDIENKGNLSPIFSKHQTDLEKYYVESDTKNNTEAELDLFFKRYLLFQLRWNGALNQRMLENIKVYCLLLRLINPTKITISSIQRREMSLDIMLIQANLPLIDLMKKGILIIELIRLSVKDNRQFIMYQTIGISLVHKSKHQTNQRYREQRY >Solyc03g034400.3.1 pep chromosome:SL3.0:3:6357388:6362278:-1 gene:Solyc03g034400.3 transcript:Solyc03g034400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BFW0] MEEGLLLPEKRESLKTITTWGSLLEAMKKVCYIAIPMIVVNVTQHLIRVLSMMMLGHLSELSLSGASVATSLTNVTGFSLLVGMASGLETLCGQAYGAKQYKKLGIYTTGAIISLLLVCIPISILWLFMDKILIFMHQDPLISREAGKYSIWLLISLFPFAILQAVTRYLQTQSLILPMVVSSIATLCFHVPICWLCVFTLDFGSVGAAFAIGLSYWFNLLILGLYVKYSKSCEKTRLYFSKEEVLASIREFFPLAIPSACMVCLEWWTFEIVILLAGVLPNPQLETSVLSICLLIASTHYFLPFSLGAGASTRVSNELGAGNDEAAKMAVRAALTISMADAIISGTLFYCCRHIVGYAFSNEKEVVDYISEMAPFLCILMVSDCIQGVLSGVARGSGWQHLGAYVNLGSFYLAGIPTAIVCGFLFHLQGKGLWIGLNVGNVLQSLLLSIITSFTDWKKQASIARERIFY >Solyc01g014260.1.1 pep chromosome:SL3.0:1:11873961:11874173:1 gene:Solyc01g014260.1 transcript:Solyc01g014260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLFPHKLKILYLMSSSYVMYISILSLILHLVLDLCQAIINQSFI >Solyc02g083010.1.1.1 pep chromosome:SL3.0:2:47144138:47144995:1 gene:Solyc02g083010.1 transcript:Solyc02g083010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYSSKSNKRSISLPSRLHPATQNIEEELNKLKTWEFSASPTAEAVYSGLIGLGEVHKCMIDLLNLPLTLQSLSQCQNKKWVDEILDKSVRFLDICGTTREITSQFKENVKDIQSTLRRRKGDLSINKYTTFRKKMKKEAKSLITALKRMDHEEIVDVMEVDDQLVSAVTRVLREVATIGIPVLQMLLNYLPASNSKPISKWSLVSRLVNKGGDQDNVNEIESVDAALSSLSKCGPNEVEKIQFVQSKLERVATHFECIENGLDNIYRCLIRSRSTLLNVVSCQ >Solyc01g073720.2.1.1 pep chromosome:SL3.0:1:80962981:80963531:1 gene:Solyc01g073720.2 transcript:Solyc01g073720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDEHMLIKVGLFILVQGLVYLILSKSSNIFSKTQRSYSFKTVRSLSIRRIAAALADLPVGGEPSPSSFKDHQLKSSKSFKLFKDNN >Solyc01g013950.1.1 pep chromosome:SL3.0:1:10436452:10437545:-1 gene:Solyc01g013950.1 transcript:Solyc01g013950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNHHQVKLCIPTAKILEVITTKKCLQNFHFESLENLDKYFLRYAISIQSFKTFMKIIMRVFSTLRKIKSFPMLLFSIKASLHEHILPASPDLQRQICYTVENFEKLDIVSTFVWESETTFPIVVGDVVKSFIGAIFVDSSLEKILNTFLNIRRLLVCASPSFGEINTT >Solyc07g042097.1.1 pep chromosome:SL3.0:7:55185861:55198375:-1 gene:Solyc07g042097.1 transcript:Solyc07g042097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIMIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKTKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVGSLMYAMVCTIPDIAHAVGVVSHFLSNPGREHWNAVKWVMRYLCGTSSLSLCFGTGKPILCGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAELIAVVEACKELLWMKRFLGELGCAQERNGFGGEAEITRRSDNHHPSVWRDHFLDYANRLKYIGRVLERFNMKNTKLVSTPLAGHMKLSKKMYPLTREEKENKVKVSYSSVVGREAISWQSKLQKCVALSTTEA >Solyc07g042600.2.1 pep chromosome:SL3.0:7:56196963:56199522:1 gene:Solyc07g042600.2 transcript:Solyc07g042600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGDKAKQGNNRDKVLVEMPLNKEYPSGIMFENEIGKMVEYEWKPVWCTKCKNYGHELKECRRKQKEGGHSQQISHIIREGEYGWYGNRETFEISNLEEQNAEEIEDSLEDIESNMNVVVPFIPQLGEQELRESYIGMEFQSLDTGFKFYLDYAHRNDFRKEEEKWVIARLVLNHNHELASPNSQKFLRLKRKKSEAQKNLNDLLNNSGSRPSKIASVLTTQAGGIENLNIIGRDIQNYLSTKRQNCLEKGDAQLMLKYFQKRQSDSPGFFYAIQMDVEGHLANCFWVDARYRIAYKNFGDVVLFDTTYLTNEYNMPFVSFTGVNNHHQSILFGCSLLCDETEETFQWLLHTWKEAMFGISPRTIITDQDAAITNAVANVFPNSAHHFCMWHIKKKIPEYLSHVFHEFDDFKNDFSKCLHCTTTPEEFETAWIDIMKMYNLE >Solyc06g011595.1.1 pep chromosome:SL3.0:6:8397010:8397392:-1 gene:Solyc06g011595.1 transcript:Solyc06g011595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDCKSVHTPMNIGYAVNKLSEFMKAPSDLHWKFVKYVLRYLRGTVQLGLRITSIEEFNLHVYSNLDWVRISLTVSSSGYILFLGTNQISWSSKKQNIVSHSSTES >Solyc07g039280.1.1 pep chromosome:SL3.0:7:46956001:46957005:-1 gene:Solyc07g039280.1 transcript:Solyc07g039280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRTDIEGSKSNIAMNALLPQDSYPRARIKFQRSKGSLGLAFTLDFRCPWKAPEGTIPSSSPSHQVATRSRYRSSSSSPPTADELRSGTPVPTSLAYIFPSTIGSSPWTPDLVMSTTGHG >Solyc02g078830.3.1 pep chromosome:SL3.0:2:44043641:44055391:1 gene:Solyc02g078830.3 transcript:Solyc02g078830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLLKKRSVLLDGGTCGVKMRQLPFMWIICIVMLFIVYRTTNYQYQQTEDSSMDMRSLHSLPRGIIQARSDLELKPLWSTTSSKSKAKASNSSSHNLLAVPVGIKQKSNVDALVQKFLSANFTVILFHYDGNVDGWEDLQWSKDAIHIVAHNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVENFHPGRYLEIVKSEGLEISQPALDPNSTGIHHRITIRSRTNRFHRRVYDIRGSTKCSDESEGPPCTGFVEGMAPVFSRSAWLCAWHLIQNDLVHGWGMDMKLGYCAQGDRSKKVGVVDSEYVVHQSIQTLGGQSLKKDSNSEESVKRHVVDVRSEIRRQSTYELQIFKDRWERAVKQDKNWADPFKASQRRRQLYKQRRKSKVPNFRYVKRTLITSLTRFSPPSLTHLSPSLALSNESRGSYERFIWGFKLRSLKNDQNYELTPLFSFPSHLSPIKCTAVAGSAAVSGGADDTIKIYDLSTCSEIGSLHHSATVTSLSFFTPSSFSFPRNLIAASEDGSVSIYDADPFVHLKTVKVHRKAVNSICIHPSGRLALTVGRDECMAMVNLVRGRRSFYCRLGKEASLVNFSDGGEKFFMVMDDKISVHESEDAKAILELDSKKRVLCATYGMHGILFTGGEDRSIKAWDITSGKLAYSIEDAHSTRVKGIVVLHKNSDGDAEDHQHIVASASSDGVIRVWDVRMTNKDKPNPLAEVNTKSRLTCLAGSSIKSMKRPQVGNTTSNGQQLDAGEES >Solyc06g009380.3.1 pep chromosome:SL3.0:6:3294565:3298081:-1 gene:Solyc06g009380.3 transcript:Solyc06g009380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGLDYWLQWQVFVCALIFIIPTTIALRFIINKRRKENEPIIIKSTDLWIPCWRNLHPIWLLSFRASALVAMAFMIYQTVVNLGFFVFLFYTQWTFALVGIYFALGTFISARGCWLYTTNQRGETDKFLRTTAEQSNSEQQLGFLENLMLIIYQICAGAVMLTDIVFWCLLLPFMTGENFKLTLLIGLMHSVNAVFLLLDSVLSNLQFTWFGITYFILWSCSYIVFQWSLHVCCLSWWPYPFLELNTPWAPLWYFGMALVHIPCYGLYELLIKAKDQIFSRLFPQAFLSGVEIAMEKKHT >Solyc07g044793.1.1 pep chromosome:SL3.0:7:57964333:57965201:-1 gene:Solyc07g044793.1 transcript:Solyc07g044793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQKIMEVGTLYEEEAWILFRQKVGNSVDDPSLLCIAKEVAKECKGLPLAIIIVAGALKKHKTKRSWNCALGQLRGAETISILEVPTELYKPLRLSYDYLGSNEAKKIEIYNYFGYGSGFHIFPGIENLEQARDRACLLLERLKDGFLLSQGSDKNYVKMHDVVRAMAISIASEGEHNFMVSHHVNSEEFPRRNSYEHFSHMSIVAKKFNELPRPIVCPKPKLLMLKLCIRSEVVKFEDAVSE >Solyc11g069070.2.1 pep chromosome:SL3.0:11:53953630:53956280:1 gene:Solyc11g069070.2 transcript:Solyc11g069070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKSKKNEGGEKKSNSGEVVQKKEGGEKKNDGNLTVVLKSDFHCEGCVTKVVKAIKSVGGVEKVTCDADSKKLTVIGKVDPVMLKEKVEQKTHKNVELVSPVPKKDGKGKGGGGGDSAGGAGEEKKKQNKEKDSKENKGGEDKKTKEKEPPITTAVLKVHLHCQGCVQKISKIVTKCKGYKEMKIDKQKDLVTVTGSMNTKELVELLKKHLKKEVEIVPQKKEGGGDNNKKEKGGDNNKKEKGGDGGGGENGKGGNGGGKGKGKGGEGKVKDEVGGGGGDGDGGFGGGEMIMNSNVGNGMQMMQQQVQFGYPYPYMYGPVYPAEQFQNPYPVSVHAPQLFSDENPNACSIM >Solyc03g111330.3.1 pep chromosome:SL3.0:3:63424625:63428309:1 gene:Solyc03g111330.3 transcript:Solyc03g111330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRTTHTYSSEDAVTDGTNSDLFVYYCKHCSSHVLISDNQLQKMPKRKTDKAYVLDKKKYLARLSVDDAGKVLLKRGEGKMEKQFRMSCKGCGLFVCYRAEEDLETASFIYVVDGALSTIAAETNPQDAPVPPCISQLEGGLVQVAIEVEDRAQRSAITRVNADDVRVTVTAPAARGEANNELLEFMGRVLGLKLSQMTLQRGWNSKSKLLVVEDLTARQVYEKLLEAAQP >Solyc03g007750.3.1 pep chromosome:SL3.0:3:2273936:2278777:-1 gene:Solyc03g007750.3 transcript:Solyc03g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSMMERYQKLGLKESLNQPYRYPIACDELSLILRNAYSKIPKKLQSLIFRESLTAFGLLPEMQTQAAISAAHGLLQSMEAALPKQKKALAASEFKQAMVTHRRRRKVQPVLEGRSQLPQDVLVHIFGFLDLKSLVTASSVCRSWSVAASDNHLWQSIHISFFGKSNEIPNIIRFTNGVERISFTLGNTGADNVDWKDAFKRAYRGISKKVTSQRGFCKQCNSIVWLNNSKCSNGEISDEHQIMPVSLEQIVDYVIEESIPSSDSDSDSDDSVDGSLFKLWAYPKRVSEPHA >Solyc07g008740.2.1 pep chromosome:SL3.0:7:3713583:3715392:-1 gene:Solyc07g008740.2 transcript:Solyc07g008740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQKEENSSTMRRRLNPRLSRGVPKGLESELVAAGWRNWLVAVCSDNLDRGSFKFMAREIVILLGDHLNVIKLEGVVPSIMSCSGYLVFDCMEYDLKGIQEQKGVKFSEPEIKCYMNQLVKGLDHCHSRGIPLTSKIVTLWYRPPELLLGLNHYGVGVDSWGVGCVLGELFTGKPYCLLCGSPSDDFWLKSDLPNASILKPQMPYRRKIQETFHDLPAAAVGLMDILLSIELQLLLFKRSVNRRRLRNRLGKPNECVSGGVLNEGTNSYTQRSATTYQRDNQRVIWEEPIRDHDIKGKKKWTGPLPDESDKMQDLLRECNDMILKAGLPRENEARILKKAQWLMSDNEKKAEGEGNNSKLEKNQLIEQLLPPAESDGLNFLEDNQCCGPLSSASKPIDVDRILREHDRQIQESVEHAEQQKKLGKAQF >Solyc11g020030.2.1 pep chromosome:SL3.0:11:10028013:10028392:1 gene:Solyc11g020030.2 transcript:Solyc11g020030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDGEGTYRWLYNQYHAKGLESDPIEIDFTPPFRRIDMVEELEKIANLNIPKDLSSDETYKYLIDACANFEIRCAPPLTTTRLLDKVKFLSDI >Solyc01g091450.3.1 pep chromosome:SL3.0:1:84935321:84941274:-1 gene:Solyc01g091450.3 transcript:Solyc01g091450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVASGVLCCSTQTSIRSNTIGRINQYPSKGVFFLQKVTSFENRELGFKPLLAVSNVAVNQKSSAENQVNDKPRYRWVKIGSDVTEEQQRAILKLPPKMINRCKALMQQIICYSPEKGSVSLLLEAWVKSMKPDRADWLAVLKELDRLNHPMYLEVAELSLLAESFEANIRDYTKIIHGYAKQNRLKEAESVFLSMKSRGFTCDQVTLTALVHMYSKASNLKLAEDTFEEMRLLGVPLDKRSFGSIIMAYVRAGKLGQGEALLKEMEEQETYAGPEVYKALLRAYSMSGDSKGAQRVFDTIQLAGVIPDATICGLLMNAYIMAGQLSETCIAFENMRRVGIKPNDKCITLLLTAYETENKLSKALDVLMDLERDGIVLGREASELLARWFKRLGVVGEVELVLRDYASNCTLLN >Solyc10g076865.1.1 pep chromosome:SL3.0:10:59937695:59938794:-1 gene:Solyc10g076865.1 transcript:Solyc10g076865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNNTQMQELRKEVDNLKHSMEGVTSSVAKIRQSVDASVAQAIDKFRRLLATSMNTHGGVRPPAEGIPKGENRPGNYQLPTRSLYARFGAELFDDPMSDFKDLRQVNSVQDYVNLFDELLTRVELSEDQVVSCFVRGLKPEVGLPVKMLAPRSLAKAVNLARIQEQAIFVQQQVSSGSSVLSNPKTTPRFDNPPTFSYGSTYQSSRSHPNPSKNSTTFSNNPKPNLKNVNEMDERRSKGLCLIVMKKVEEDSEGVEEVEQEVVANPAEFLTMIGDSL >Solyc04g045640.1.1.1 pep chromosome:SL3.0:4:35196382:35196558:-1 gene:Solyc04g045640.1 transcript:Solyc04g045640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRHLCLIYLKFTISLSFFSFSLYFSLTISIFNYLPPFLKPKHIIAILHPYLNHCLKY >Solyc07g055930.3.1 pep chromosome:SL3.0:7:63982398:63989079:-1 gene:Solyc07g055930.3 transcript:Solyc07g055930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CGC6] MMKFYISATGIKKLTISSSAAVTSGRGGVGLPVIMKGKGLPSVTRRISHRTLLLPAVLVLGLLLTLLFFRITFIMLESAAFCSSPIGCLGWRIFGGSDSTLIREELMRALLEATNDGDNGKERSSTSLPSSFNDLVKDMTSNGQDIKAFAFKTKAMIIKMEQMVESARQSESIFWHLASHGVPKGIHCLSLKLAEEYAENAAARSRLPSPQYVSHLIDPSFHHVVLLTDNVLAASVVVSSTIKSSSNPERLVFHIVTDKKTYTAMHAWFAVNSVNSAVLEVRGLHQYDWSHEVNIGIKEMIEIHRLICSHKLDSMKRENIPNKYEHEKDLQHLRPSCTSLLNHLRIYIPELFPDLNKIVFLDDDTVVQHDLSSLWELDLNGKVVGAAFDSSCGDDCCPGRKYKDYFNFTSPIISSKLDYDRCGWLYGMNVFDLQAWRKTNITATYHHWLKLNLNSGFELWNPGALPPSLIAFEGHVHRIDASWHIAGLGYRSIIDVTQSALEDGAVVHFSGPAKPWLEIGAPEIRSLWSRHVNMSSEFIRKCGIME >Solyc12g097095.1.1 pep chromosome:SL3.0:12:66708733:66714277:1 gene:Solyc12g097095.1 transcript:Solyc12g097095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4DHI2] MLSPYSIFFAIFLVAITKLSPLVVAIDTQVPEGSILELYMHDILGGNNPTARPITGLLGNIYSGQVPFARPLGFQPPTDGVAIPNSNGAIPTFNINGVPLGTGLAGTIFAGNQNGQASGVTTQLGPDGLGLGFGTITVIDDYLTLSPELGTQNLGKAQGVYVSSSADGSTQMMAFTAMMEGGEYGDSLNFFGVYRIGSTMSRISVTGGTGKFKNACGFAEIRSLIPTGQHVIDGAETLLRITVHLTEGRPR >Solyc12g094710.2.1 pep chromosome:SL3.0:12:65830350:65834878:-1 gene:Solyc12g094710.2 transcript:Solyc12g094710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTEAGSGVMTSRDHFSVAGVQNSPSNVPATTPVVQNMHLGYRDENTAVFAPPPYQSAEGSAGAGTSMLQNLNNNSSEPMKRKRGRPRKYGPDGSMALGLNPAASPVGGGSLGGSLSPRDPGNSAGAQMHSGGPGSPNSSKKGRGRPPGSGKKQQMDNLGSTGFGFTPHIIAVKPGEDVAYKIMSFSQNGPRAVCILSASGAISYVTLKQTATSGGTATYEGRFEILSLSGSFMLSDIGGQQSRTGGLSVSLAGSDGRILGGCVAGVLTAASPVQVIVGSFIADGRKEPKTSNHFEVLPAPLNANLGVGGGLTGANSPPSRGTYSESSGGPGSPINQRGPVCTNDNLQGISSMPWK >Solyc08g081940.3.1 pep chromosome:SL3.0:8:64970921:64976352:1 gene:Solyc08g081940.3 transcript:Solyc08g081940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVLNFREWWGTVSLGFLLGLFLLSQGTVALLENDKQALLDFVNQLPHLHPLNWDANSSVCKNWTGVGCNEDGSRVIALRLPGVGFNGPIPNNTLSRLTALQILSLRSNGINGTFPMDFDNLKNLSYLYLHYNNFSGPLPFDFSVWQNLTSLNLSNNRFNGTISSSISGLSHLTALNLANNLLSGTIPDLHLPNLQLLNLSNNNLIGTVPKSLQKFPKNVFIGNNMSLLDYPVSNSSIISLPQQPNPKLNNGGKLSERALLGIIVASSVIGILGFGFLMVVCCFRRKKEHSSFPGKMEKGDMSPDKAISRSQDANNRLVFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKDVGAGKKEFEQQMEVVGSIKHENVVELRAYYYSKDEKLTVSDYFSEGSVAAMLHGKRGENRIPLDWETRLRIAIGAARGIARIHTENGGKLVHGNVKSSNIFLNSKQYGCVSDVGLSTIMSSLAHPVARAAGFRAPEVTDTRKATQPSDVYSFGVLLLELLTGKSPIHTTNGDEVIHLVRWVHSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIAMSCVVRMSDQRPKMFEVVKMIENVRPTSLENQLSSEGKAETSTPREEATPLPDTQSDSQ >Solyc06g084155.1.1 pep chromosome:SL3.0:6:49412511:49417220:1 gene:Solyc06g084155.1 transcript:Solyc06g084155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVGLSLLRVLLSVARPRTLVLGNIPNSMIYRNVEQYPNTNNVPGVLILDIGAPIFFTNSSYLRERISRWIDDEEDKLKSSGETTLQYVILDMGAVGNIDTSGISMFEEVKKNLDRRDLKLVLANPGAEVMKKLNKSKFIETLGQEWMFLTVGEAVEACNFMLHSCKPISSEDGSQKWSNTV >Solyc10g046990.2.1 pep chromosome:SL3.0:10:38926491:38927105:-1 gene:Solyc10g046990.2 transcript:Solyc10g046990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASVPKGMRAEAMAAPPPEQRKEEETTTSAPEVVEVTQDNDKHQSLGSLLNEIEDEKSSDTKETKGEELPISNAAAEAS >Solyc09g082450.1.1.1 pep chromosome:SL3.0:9:68594762:68597275:-1 gene:Solyc09g082450.1 transcript:Solyc09g082450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATINLSLSPLLSTTQTQSNRISTTTDLIKSSKNLNEIKQLHAHFTKQGFNQDPGFLGKLIAKCSELGSYNSMEYAQIAFDSFCSGNEEGYDNTYKFNSLIKGYSLAGLFHDAVLIYVRMVVECVEPDGYTFPLILSACAKDGRFFTGIQVMGLALKWGFGDDVFVLNSVIHLYGECGEVDKARKVFDKMSERNLVSWTCLICGYAKSENAEEAVALFFEMVEEGVMPNSVTMVCVISACAELGDLRLAERVCDYIGKAGLKVNSVMVNALIDMYMKCGSMDKAKRLFEECVDRNLVLYNTVLSNYVRKRMVREALEVLGEMLSCGGPRPDRVTLLSSISASTEMADVFLGKQCHAYVLRNGLANWDSIGNAIIDMYMKCGSQEWACRVFDQMSNKTVVSWNSLIAGFMRNGDVEAAYRTFNEMPESDLVSWNTMIGGLVQQSMFEDAIHLFRVMQNEGIKADRVTMVSVASACGYLGANDLAKWIYNYIEKYEIHLDMQLSTALVDMFARCGDPSSAMKVFNKMKERDVSAWTAAIGAMAMEGNGKRAVELFYEMLREGVEPDQVVFVAVLTACSHGGLVGEGMEIFTSMKEIHGISPQIVHYGCIVDMLGRAGLLKEAVGIIKSMPMKPNDAVWGAFLAACKMHKNEEIATYAVDMISESSPDKAGIHVLLSNIYALGGKWTDVAKVRMSMKERGIKKNPGSSSIEVNGNVHEFTSGDEFHLEHTNICLMLDEMNCRVREAGHVPDLTNVLLDVDEQEKEFLLNRHSEKIAMAYGLISTSRRHPIRIVKNLRMCSDCHSFAKFVSKVYHRHIVVRDNNRFHFFQGGLCSCGDYW >Solyc04g079980.3.1 pep chromosome:SL3.0:4:64375759:64378051:1 gene:Solyc04g079980.3 transcript:Solyc04g079980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWEGGGLPVEGGGGVGEGGGVGGGGGGGSGRRKPSWRERENNRRRERRRRAIAAKIYSGLRAQGNYNLPKHCDNNEVLKALCVEAGWIVEPDGTTYRKGCRPTPMEIGGTSANITPSSSRNPSPPSSYFASPIPSYQVSPTSSSFPSPSRGDANMSSHPFAFLHSSIPLSLPPLRISNSAPVTPPLSSPTRVPKQIFNLETLARESMSALNIPFFAASAPTSPTRGQRFTPATIPECDESDSSTIDSGQWMSFQKYAANGIPTSPTFNLIKPVAQRIPSNDMIIDKGKSIEFDFENVSVKAAWEGEKIHEVGLDDLELTLGSGTARM >Solyc01g011190.1.1.1 pep chromosome:SL3.0:1:8019284:8019475:-1 gene:Solyc01g011190.1 transcript:Solyc01g011190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLDKMVDWNSTSGPAKKIVVITSRLLSDAHRYILRCLTAFQTLRSCAIYTCISEIEKVHRH >Solyc10g039190.2.1 pep chromosome:SL3.0:10:20750781:20758875:1 gene:Solyc10g039190.2 transcript:Solyc10g039190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAYLRYEPAASFGVIVSGESNIVYDSSGKHLLAAALEKIGIWHVRQGLCTKTLAPLPSSDSKGPSLAVTSMASSSSSQIASGYAEGSIRIWDSEKGICETTLNGHKGAVTALRYNKLGSLLASGSKDNDIILWDAVGETGLFRLRGHRDQVTDLVFLDFDKKLVTASKDKFLRVWDLDTQHCMQIISGHHTEIWSIDIDPEERFLVTGSADPELRFYAIKHELADGQLIANKNETDVKDLPAENKWEVLKSFGEIQRQSKDRVATVRFNKSGNLLACQVAGKTVEIFRVLDESESKRKAKRRISRKKEKKSSKQGLEATEKGETNIGAEGGNNPVVTVLDIFKLHQTLRAGKKISSISFSPVASKNLLATLALSLNNNLLEFHAIESNSTSKLSAIELQGHRADVRSVTLSSDNTLLMSTSHSAIKIWNPTTGSCLRTIDSGYGLCGLFVPGNKYAVVGTKGGTLEFIDVRSGTCVDVVEAHGGSVQSIALTPDGTGFLTGSADHDIKFWEFQMVQKSGEGSKHLTASPTSSLKMNDDVLVVAASPDGKFIAVALLDSTVKVYYMDSLKFFLSLYGHKLPVLCMDISSDGDLLVSGSADKNVKIWGLDFGDCHKSLFAHADSVMGVKFVRNTHYFFTVGKDRLVKYWDADKFELLLTLEGHHAEVWCLAMSNRGDFIVTGSHDRSIRRWDRTDEPFFIEEEKEKRLEEMFESDIDNAFENKYGSKEEIPEEGAVALAGKKTQETLTATDSIIEALDMADAELKRITEHEEDKTKGRVSEFRSNILMLGLSPSDYVLRALSSVHTNDLEQTLMALPFSDALDLLSYLESWASAIDKVELVGRVAVLLVQLHHHQLVSTVSARPLITRLSDSLAAKVKERKDIMGFNLAGMDHSTQFMSSRSDAVFRDKKTKLLEIRAHNDKRTEEREDTKQEKKRKKKQKKSDGGHVWS >Solyc11g011600.2.1 pep chromosome:SL3.0:11:4653039:4653635:-1 gene:Solyc11g011600.2 transcript:Solyc11g011600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSTDCKVLNVSTFNYAVSLSPKLTETVKGKLSLGAKMLQLGGLEKIFKQKCNDEKLLNVCQCYLSTTAGPIAGLLFISTEKIAFCSERSIKFLSPTGKLLRMYYKVSIPISKTMKAKESENREKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLHHAISSTSSS >Solyc10g080260.2.1 pep chromosome:SL3.0:10:61719501:61723115:1 gene:Solyc10g080260.2 transcript:Solyc10g080260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVVFSPTLGGILLELCKSCLCLTIVLGYAQRAWSNHIKTCFLLQLLKPRLSNSTIETEDTFASLLELAANDDIEAFKIWIERDLASIHEVGLWYGRQKGSKQMVLDHRTPLMVAATYGSIDALKQILSLPEVDVNRSCGHDKSTALHCAASGGSLNAAEAVKLLLGAGADPHSKDINGHYPIDVIVVSPNFHPVKSCLESLLMTSTIGDCKLRVSVSTSSSSPPRSPSPGNRSPYSASDSTFSPKSAKSSDLPSYSIPEKKEYPVDPSLPDIKNDIYSSDEFRMFSFKIRPCSRAYSHDWTECPFLHPGENARRRDPRKYHYSCVPCPEFRKGACQRGDMCEYAHGVFECWLHPAQYRTRLCKDGIDCNRRVCFFAHKQDDLRPLYVSTGSAVPSPCGNSAAVNAMDFAAAMGLIPGSPSSVSVMSPSPFTPPVSPSANGISSMGWAQRNAPPLHLPGSFVHSSRLWSSLSARGIPAADLSTCPDFDVQRQQFLNEFSCLSQRNSMSSDSLNHSVRPMTFTRANLEDYFPAESSSPRFSDRTVAQSVYSPTHKSAVCSPFQQQQQNLLSPINTNFSPRNIDNPLLQASFGVPSSGKMSPRAMEPISPRNSRVSILAQRDRQQQFRSLSSRDLGSNVSAIVGSPTDTWLKWGTDMGKPDWAVNTEELGRLRQSSSFKLANNGKEPDLSWVQSLVKESPPEMMKDKCVRHVSEPELTGAGADLVHGWRQKTLIDFFCLPKPCWVPVELAEVCSSCLLILDIFKSKVLVNRARQPWKLINNKHRKEVLNSEEYWPFFVFYLNLILAFLDMKISLYLIPLY >Solyc10g074823.1.1 pep chromosome:SL3.0:10:58596023:58597819:-1 gene:Solyc10g074823.1 transcript:Solyc10g074823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGESLSFPSIAIIEVVFSTSNFIDMQPYSLNDVQFPPLLKLSNEREKRMLKETTYVVIVSSSEKMKGLHVGIAMFTGYLMGYFAFRALFYHSPAMSAAGGILGLVIIMLVETLRV >Solyc06g005520.3.1 pep chromosome:SL3.0:6:546496:559158:-1 gene:Solyc06g005520.3 transcript:Solyc06g005520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDEEEEGGTLSSRESKVSWVRSLSVASSSVDTRKSRSDLDSESRDFTETFEFYEFLTQRRANDLRVFSFSELKMATKGFSRGLMIGEGGFGCVYRGIVSAPCSDLKMEVAVKRLNRHGFQACFRLAMKIGHKEWINEVNFLGVVKHPNLVKLIGYCAEDDERGMQRLLVYELMRNKSLEDHLLARAAAPLSWTLRLKIAQDAARGLAYLHEEMDFQLIFRDFKPSNILLDEDFIAKLSDFGLARQGPTAGLTHVSTSVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVLYELITGRRVLERNLPRAEQKLLEWVRPYVSDTKKFHFILDPRLEGHDCIKSAQRLASLANKCLAKNARSRPRMSEVVDMLENIIIDAEAVPETLKETENVNEEVDDTKEEGREEVELGKPESNSQKWGFDFKEMVSFRNKSIGKLDWRNWTAGLVKTS >Solyc12g007110.2.1 pep chromosome:SL3.0:12:1549549:1559999:1 gene:Solyc12g007110.2 transcript:Solyc12g007110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTPVTALAPSNATNSPPPSTPATTPPPASPTPPATAPPPASPTPPATAPPPSTPAQAPPPARTPTPPAATPPPDASASPPPPTTPAPSSNPPPSTTPAPSGSPSPPSTTPSTPSPPARGNSPPSPSGGSPSPPSGGRPSPPAVSSPPSDEGSSGISTGVVVGIAIGGVLILAILSLLFIFCKKKKRRNHEPVNYYVPPPPPPLGVKADPHGGQMHHWQQNAPPSADHFVAMPPKPSGASSNYSGSEIALPPPSPPMSLGFSQSTFTYEELVRATDGFSDANLLGQGGFGYVHKGILPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCITGAQRLLVYEFVPNNTLEFHLHGKGRPPLDWPIRLKIALGSAKGLAYLHEDCQPKIIHRDIKAANILVDFNFEAKVADFGLAKLTSDVNTHVSTRVMGTFGYLAPEYASSGKLTEKSDVFSFGVMLLELITGRRPVDSTQSYIEDSLVDWARPLLTRALEDEKFDGLVDRRLENDYNHNEMARMVACCAACVRHSAKRRPRMTQVLRALEGDVSLSDLNEGIKPGHSTVYSSYTSSDYDTLQYNEDMKKFRKMALATSQEYASSDQYSNPTSEYGLNPSGSSSEGHQTAEMETGRMRKDSRGFSGKKENGVLPEWVNITSDDAKTVFVAVAISLAFRSFVAEPRFIPSLSMYPTFDVGDRIVAEKVSYYFRKPCPNDIVIFKSPPVLQEVGYTDDDVFIKRIVAKEGDVVEVHEGKLIVNGVPRNEDFINEAPKYEMTPVRVPENSVFVMGDNRNNSYDSHVWGALPAKNIIGRSIFRYWPPKRIGGTVLPEGCAVDKQEKSTSDITVPQ >Solyc01g087700.1.1.1 pep chromosome:SL3.0:1:82494963:82495334:1 gene:Solyc01g087700.1 transcript:Solyc01g087700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVATKLVVFFAILSLFRVSSVQALKECPFKSLYQRFQNSISMNHVNNNNDTTMLVTGPRDPDFFAQHQWIKNQVTIELPKEKRTGNRDYSNRDFCDKLVGSNWNLHYSKAGILLGNISYFKF >Solyc12g038420.2.1 pep chromosome:SL3.0:12:51015907:51018121:-1 gene:Solyc12g038420.2 transcript:Solyc12g038420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHRDKIQPRGLPSPFLQSRVTLFFSLCYEWYDLQDRRPVCEQAKWSNLHNLCVPSLRSNDFPSTSIEIGMLYCGVDISLTLHLGIDMC >Solyc02g085770.3.1 pep chromosome:SL3.0:2:49192885:49194412:1 gene:Solyc02g085770.3 transcript:Solyc02g085770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSSRLMAVGRGGRTLMGPLLVINLVVCLIVLGLAGWSLDKYIDGEQNHPLTPLNQKRLKKCNYSDSNVCHSILAKVWRPPQATSLAHQRPPSALLTSLGGGIFIGTSDG >Solyc12g005785.1.1 pep chromosome:SL3.0:12:436697:436965:-1 gene:Solyc12g005785.1 transcript:Solyc12g005785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKFLSFLILQLFLITNNCEGVEVGFYKKTCPNVEAIVKETTKHYISIAPTLAAPLLRMHFHDCFVRVLTLYK >Solyc01g058260.3.1 pep chromosome:SL3.0:1:65866151:65871282:-1 gene:Solyc01g058260.3 transcript:Solyc01g058260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGYIERQQLVDPRHVYTYLPRWSIGLRPNLNLDYQVPHPGGVLGFGGNQDAILRMEMERSMSLFQIMGAEGLVPSLEEEMKRRNAIHKLKKIVREWVQTVSYHHALPERYLRFASGTILTYGSYGLGVPRRLDLFYSFQVHNSESDIDALCVGPCFATIEEDFFIVLRNILASRPEVSGIHCVKGAKVPLMRFKFEGISIDLPYARLKVISIPEDVDVFNPFFLKNIDDKSWKSLSGVRANRRILQLVPNVEIFQAVLRCVKLWAKKRGVYGNHNLQLLGFFGGVHLAVLSAFICQRHPTASLSALFFIFFKTFAFWPWPTPVILQDGVAWPFIPTDKVLWMPIQLPCSPYQFCHSNTVRSTFFIIKSELLRGHTLTKDILRPDFDWNNLFEPYPYPRKYEKFVKIFLSASDKDELGDWVGCVKSRFRCLIIKLEELLGFCDPNPTEYVDVDASEPNLVFYWGLPPAMTDMINIGHVEVEFLKSANNVYQGPTGKLKLSIVQADQLP >Solyc11g005530.2.1 pep chromosome:SL3.0:11:399293:402898:1 gene:Solyc11g005530.2 transcript:Solyc11g005530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPCYLVDTPRSEKRRSALTAGHISDEVSKNITREDPSAQRSSGSRSVDVSSHSGIRKSIPGNQISKSKPPTYPKLKIKFPSVRPIGIQLVEPQNKLSFEVDDNLEVLCNDSGMRGCWFRCKVLRVSQKRLKVQYDDIQDGDGPEKLEEWIPSYRVAVSDKLGMRSTGRLTVRPRPLVDSSDYSFEVGAAVDAWWSDGWWEGVVAGCDVHGSDQLQVYFPGENILLETERKNVRTSRDWVDDKWVEVEGKKDIKSFINLSSTYVSTRSIKEPCGT >Solyc05g015910.1.1.1 pep chromosome:SL3.0:5:12975074:12975469:1 gene:Solyc05g015910.1 transcript:Solyc05g015910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQAAESSSWGGNYHSIETRCASSSSDPLERVIRLASGSAVVIFSMSTCCMCHAVKRLFCGMGVHPTVYELDQDPKGKDMERALSRLLGNSPAVPVVFIGGKLIGAMDRVMASHINGTLVPLLKEAGALWL >Solyc11g010895.1.1 pep chromosome:SL3.0:11:3945624:3952581:-1 gene:Solyc11g010895.1 transcript:Solyc11g010895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSTKLSQPPASSYCSLQSSLLSLAILTLLSFTYLSLKSFHSPNSPSSETPSLIVQSSQVVREEEEVLSDVYNSPGVFRLNYEEMERKFKVYIYKDGDPKTFYQTPRKLTGKYSSEGYFFQNIRESKFVTEDPNEAHLFFIPISCHKMRGKGTSYENMTIIVQNYVDSLIAKYPYWNRTMGADHFFVTCHDVGVRATEGHPFLVKNAIRVVCSPSYDVGYIPHKDVALPQVLQPFALPAGGNDIENRTTLGFWAGHRNSKIRVILARQWENDTELDISNNRINRATGPLVYQKRFYRTKFCICPGGSQVNSARITDSIHYGCVPVILSDYYDLPFNDIIDWRKFAVVLREKDVYDLKQILKNITQEEFVTLHDNLVKIKKHFQWNAPPIRHDAFHMVMYELWLRHHVIKY >Solyc07g066260.3.1 pep chromosome:SL3.0:7:67874218:67878698:-1 gene:Solyc07g066260.3 transcript:Solyc07g066260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICYSSSKSKSDDGLWDGKNDSRRFDYKLKKNSYSGDFSSFLSKLSGVGGGGGEDKGLHHIPGRILGNGATSRACLHTQQGKKGTNQDAMIIWENFCSRSDTIFCGVFDGHGPYGHMVARKVRDTLPFLLCSEWQVKSGGDQSNTSETGNTNGGSHLDDVLDDDLIEAMEAENNEKFPEIHLPLKRSMLKAFRSMDKELKLHPSIDCFCSGSTAVSLVMQGQDIIVGNVGDSRAVLATRDKDNYLMAVQLTVDLKPNLPREAARIHKYKGRVFALQDEPEVARVWLPNSDSPGLAMARAFGDFCLKDFGLISVPDVYYHRITDRNEFVVLATDGVWDVLSNKEAVDIVASAPSRATAARALVDCATRAWRLKYPTSKTDDCAVVCLFLDRVPAPDSVVTARQHDLTKAPEVEIKTIITDGNLESADSNATHIAVREHSVTTIDASEIVPVDESIEEQLADKGLGHSKRSLAECLSTAEDQEWSALEGVTRVNSLLSLPRFMSGDKKSASRRKWL >Solyc03g117400.3.1 pep chromosome:SL3.0:3:68036694:68039249:1 gene:Solyc03g117400.3 transcript:Solyc03g117400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDVQKPNHEEAVSFFALSKNACYVISTSGGKISLFNMMTFKRVSCLHLQQQLILPSILKITTSSLLVKSKLKGHSKRIPGLAFSHVLNVLVSSGADSQSCVWSTVGRECRGPDPCSYGVDRYLNQIPECSSSGSNSFLCCA >Solyc09g042740.3.1 pep chromosome:SL3.0:9:25520870:25526094:1 gene:Solyc09g042740.3 transcript:Solyc09g042740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIMLPSFFIFLLLFSVAVSAKNRRVLHQPFFPQDSYPPSSSTTPKYPFDSSSTPNNNTPFFPSFPSPPPPSAYASFPANISSLILPHSPKSKNISSKLIATAIASVLAAILLIFSAIFVHRRRGRNHDSNDNKTHRSNSSTADPTNIPKLQRPSQTSSEFLYLGTMVSAHNPPPPTSTKVDSPEIHPLPPLHGHRSAHVTTEDDDEFYSPTQSLDGRGSSIGTGSASRRDFAAVDANNFAASTSSSSCSSSTSDSGVSLSISPPVSLSPKNSQPKSPEVLALPTAPLPHYHSPPLADFVPILVINGESDSPSPPSSSSPERYSSRSIDSSPRISDVWDQNVESPIRISNHIQQNAPVSVPPPPPLPLLISIPARVQPPPPPPPPPPPVKNWDSPKTPTPPISKPLSRPPVLVTPLRPIELDSPVLISPMELPCNKQTIEKNEQSIEGLSSDSGGNNEETPKPKLKTLHWDKVRASSDREMVWDQLKSSSFKLDEEMIESLFIVKNPTLNPKEMTRRPLLPSQSQENRVLDPKKSQNIAILLRALNVTVEEVCEALLEGNADALGTELLESLLKMAPSKEEECKLKDYKDDTPFKLGSAEKFLKAVLDIPFSFKRVDAMLYISNFDSEVDYLKKSFETLEAACEELRSNRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRSEGARLSGGDQDQQSAMNDDFKCRKLGLQVVSNLSSEVVNVKKAAAMDSEVLHSEVLKLSKGIGNIAEVVRSTEAVGLKESSIERFSESMRRFMKMAEDEIIRLQALESVAMSLVKEITEYFHGNSAREEAHPFRIFMVVRDFLMVLDRVCKEVGMINERTVVSSAHKFPVPVNPNLQPTISWLTAKWQHSSSDEDSLSAHSQH >Solyc10g054980.2.1 pep chromosome:SL3.0:10:56140334:56143614:-1 gene:Solyc10g054980.2 transcript:Solyc10g054980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCWQPDAGTTINNQILIEVSKCVEKQANASEFPQHFLGASLQEQPDKFYMALSKKRLIVEAESSMQTIMENLQSYRIKFALNCEGFQYRLGDFRVRVGKVVPMNSENLRGIVMEMEYLPIYSCKTSHLIMSEFFEILKETLGKKSLPGHFVHAEQNFSEFGLSDQYTSWHTVVQYASILAQMSTTMVLKKEDGKIPFASISPCSKMHKNFPQHFLGASLQEQPDKFYMALSRKRRIVEAESSMQTIMANLQSYRIKFALNCENLRGIVMEMEYLPISSWKTSHLIMSEFFKILKETLGKKSLPGHFVHAEQNFSEFGLFDQYTSRHTVVQYSSILAQMSTTAQ >Solyc07g055020.3.1 pep chromosome:SL3.0:7:63293866:63303803:1 gene:Solyc07g055020.3 transcript:Solyc07g055020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLPQELLVDIFTKLPVKSILRCRSVCKSWYSLLTSPSFTFTHLNRKRDDHMLIRNYGRSTRLDMYPLFGNNERLDPDHFLDFSFRRGSVSIVGSVNGILCLADTDTDADTDTDADADTDADADSAILTHLYFCNLSIRKSVKLPEPVRSTYRFTLGFGFDSVTNDYKVVRVVHAMGQSKPHADLYKLSTGVWEDISNVPEPYILISIEPQGPQVYVNGACHWITTKLEFTPARRNRRVILVFNMHDETFSDMILPSSLISESQTHFDEVFLFASEGCLCLVDNNYDKREPIKIWMMKEYGVPDSWEKQFSIQNYHFALHFPLREDIFWTPYLGTAAPTEFEFANDFIKPMAIRKNGEILWKGSQRLLVSVDHTIVNELPLFPAFDKGNPHSIYEKGKLLFNIRSQVL >Solyc10g052825.1.1 pep chromosome:SL3.0:10:53593167:53596019:-1 gene:Solyc10g052825.1 transcript:Solyc10g052825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNILHVYTKRNNYEVFHMQYPNNLVRFRCCSFAINLTSLSNTGVLENGVEITGKKLDMTSRHGHSEFDSEVKLIPNLQHRNLTKMSGYCINGAEKFLVDEFMADNSLGKDPMRISILQALALYELSNYRNSLLVGTFSLLYHKMSPSHLYICDSEKDNVDNL >Solyc02g084760.3.1 pep chromosome:SL3.0:2:48499045:48503735:1 gene:Solyc02g084760.3 transcript:Solyc02g084760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC17 [Source:UniProtKB/TrEMBL;Acc:K4BAZ1] MSASSASSRKTLSKIACNRLQKELAEWQVNPPAGFKHKVTDNLQRWVIEVIGAPGTLYADETYNLQVDFPEHYPMEAPQVIFVPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >Solyc05g017930.2.1.1 pep chromosome:SL3.0:5:19268469:19268897:1 gene:Solyc05g017930.2 transcript:Solyc05g017930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFLVVLVVYTSWVCPFEFGFIGKPVEPLAKRDKLVNGFFFAIDIILTFFVAFLDRTTYLLVDEHKKIAWKYMSTWFLFDVISTIPSELAVKISPKPLWMRPKARDPMTNHPVLVECGTRLANVEAKIIGHHEFSLRRECEED >Solyc02g088570.2.1.1 pep chromosome:SL3.0:2:51261331:51264152:1 gene:Solyc02g088570.2 transcript:Solyc02g088570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFASNCVAGNIGLKNEILRRTQHSADGSDDETSSRTSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPLQLPLFIACPWCNVLSLRLVYRGYLKFPRKNFFLLWMLESMNGDRTKSHNSSCGDHQQTAWSSSTKFLGSTASQSNRQRGQYVHHPESSGSNHDHNHISGTLAIERLHSSLRKSLIFFVHLTAKFPLVVIFLLIIMYAIPASAAVLALYMLITVVFALPSFLILYFAYPSLDWLVREIIT >Solyc07g043715.1.1 pep chromosome:SL3.0:7:57833059:57840388:1 gene:Solyc07g043715.1 transcript:Solyc07g043715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLDPPTQVSNTADVNSETSVSAPSPQSTPMTTTEHPESTSVEVNSESCTVPSDSVSSDNCQYRYELPHRSTRGVPPKRYDPEYEDQRSRYPIERISNENLSNTAVAFTTSLYSTNIPRTEFEMNDLGNLKYFLGIEVLRSTQGIFIHQRKYILDLLTETGMLGGKPADTPIVANHGLQVIEGAKATDKEQYQKIVGKLIYLAHTRPDIAYAVGIVSRFMHLPQIHHMTAVMRILRYLKVSPSMNGADTPFADITHFHSLIGALQYLAIIRPDIQFAFNRVDQCMHQPSEHDYHCLKRILRYIFGRGLLIRPGDLELRGFSDSDWANDKYDRKSTSGFLVFLGPNLISWCTKNNPRSLGPRLKLNTAPLLFLLLRP >Solyc04g024775.1.1 pep chromosome:SL3.0:4:29020631:29033747:1 gene:Solyc04g024775.1 transcript:Solyc04g024775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAFLKVIRNFRDTRLTGIHIFVIVQGIDTGCSNHMCGDKKAFSELDESFRNTVKFGGKMLVVSLYVEDLIYTGNDAAMFDRLKKYMMLEFDMSDLGMMHYFLGIEVVQSAAEILDRFGMNNCNLAETPTEAGLQLVKYPEEGRKVDSRVFKQIVGSLMYLTTTRPDIMYSVSLVSRYMECPQEIHLVAAKRILRYVQGTIDCGVFYKRGNKSDFLGFTGSEYARYKDDRKSTSAYVFMLGSGAVAWSSKKQSIITSSITEAEFVAVTACACQAIWFRRILEELQFKQRGPTAIYCDNTSMIKLSKNPVFHGRSKHIDVRFHFLRDLTKDEKLT >Solyc06g084400.3.1 pep chromosome:SL3.0:6:49574592:49576107:1 gene:Solyc06g084400.3 transcript:Solyc06g084400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDSPKTPKSRSATNPSVGVWAVQCEKCLKWRRIATQEQFEDIRSRFTEEPFNCPNGTCDDPADIEYDASRTWAIDKPNLPKTPSGFKRELYLRRDYSKMDTYYFTPLGKKLRSVTDVTTFLEQNPQLSDVKPSDFSFTSPKVMDDTIPSTALLANSHKKGVASSTK >Solyc05g056150.3.1 pep chromosome:SL3.0:5:66394293:66400244:-1 gene:Solyc05g056150.3 transcript:Solyc05g056150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSASSLHNLFLGKNLSYAPPYTLSRALDFIPAMASSSVGPQRLSVQCGVGFRPCIDIHKGKVKQIVGSTLRDSKEADTSLVTNFESDKSAAEYAKLYRDDGLDGGHVIMLGADPLSIAAATEALHAYPGRVKHLGGLQVGGGIKTENALSYIEEGASHVIVTSYVFNNGQMDLERLKELSSLVGRKRLVLDLSCRKKEGEYVIVTDRWQKFSDVHLNEKVLNFLAEYADEFLVHGVDVEGKKLGIDEELVALLGKYSPIPVTYAGGVTGMADLEKIKVAGMGRVDVTVGSALDIFGGNLAYKDVVAWHALQDSLAV >Solyc11g066170.2.1 pep chromosome:SL3.0:11:52161605:52164547:-1 gene:Solyc11g066170.2 transcript:Solyc11g066170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEIIRNVDIPSAYVGLKVLLVDPDTTCLSNITTMLEQHSFKVTAIEQASTALFILRENIDQFDLIMVDANMLEMNYLEFIKYTQLIKDKPIVCKIISSKS >Solyc04g025880.3.1 pep chromosome:SL3.0:4:20449607:20456060:-1 gene:Solyc04g025880.3 transcript:Solyc04g025880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETGFYQNRLKKESWRTVLTLAYQSLGVVYGDLSTSPLYVYTSTFAEDIKHSETNEEVYGVLSFIFWTLTLIPLLKYVFIVLKADDNGEGGTFALYSLLCRHAKVNSLPNSQLADEKLSTYKKNDINIPAQTTFGAKIKSMLERHRVLQRLLLVLSLGGASMVIGDGVLTPAISVFSAISGAELSLGKTHHLYIEVPVACVVLIALFALQQYGTHRVGFLFAPIVVTWLLCISGIGLYNIIHWNPTVYRALSPYYVYKFLKKTQKGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLVLAYMGQAAYLSRHHVTENDYQIDFYVSVPGMCKLRWPVLVIAVLAAVVASQAAITGTFSIIKQCCALGCFPRVNIVHTSSKIHGQVYIPEINWTLMILCLAVTIGFRDTKRLGNAAGLAVITVMLVTTCLMSLVIVLCWHQNVLLAVCFVIFFGTIEALYFSASLIKFFEGAWVPVAISLVFMIVMCIWHYGSLKKYEFDVQNKVSIDWLLSVGPSLGIVRVRGIGLIYTELVSGIPAIFPHFVTNFPAFHQILVFICVKSVPIPHVTHEERFLVGHIGPRENHLYRCIVRYGYRDARKDDLQFENDLVCSIAEFIRTGKRGLNGNIDEDLSKDLEDMTVLGTPNTHISGVQLYEDNELNSESVGTSKHTTHKTKPKKRVRFFIPESPKIERNAREELCELMEASEAGIAYILGHSYVRAKQGSSFLKRIVINFGYDFLTRSSRPPCYALTVPHASTIEVGMVYHV >Solyc08g074960.3.1 pep chromosome:SL3.0:8:59219057:59223519:1 gene:Solyc08g074960.3 transcript:Solyc08g074960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYESRKWIILVATIWIQAFTGTNFDFSAYSSELKGVLGISQVQLNYLATASDLGKALGWSSGLALMYMPLWSVMFVAAFMGLFGYGIQWLIILHYVSLPYFVVFFLCLLAGSSITWFNTVCFVLCIKNFPSNRPLALALTVSFNGVSAALYNLAAISSNPSSTHIYLLLNAFIPLFTSIASLIPILRQPPVDPLPSDSIKHEQLIFVLLNSLAMITGFYLLFVHSNDISTARVIFGGAILLLVLPLGIPGIIYARDWFQRKVFSSFTLQGSGFLLVDDDDLEFHREFISRENSQINVTSYGDVTLRFIGSSGEGCCGKMIGVDQLFMLGEEHKAGKLVKRVDFWLYYLAYFCGGTIGLVYSNNLGQIAQSLGHSSMTSTLITLYSSFSFFGRLLSAAPDFIRLKFYFARTGWLAIALVPTPIAFFIVAASGNEAALQAGTALIGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAALIYDNNAAAFSIQMVNDSVVCMGRKCYFTTFIWWGCLAIVGLVSSVLLFLRTRPAYERFEQNRRISLLE >Solyc04g025710.2.1 pep chromosome:SL3.0:4:21252170:21252965:-1 gene:Solyc04g025710.2 transcript:Solyc04g025710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSTETREERVHYYQVLDDDYYPITSDFMVTLQTMDTIFYEAQREGQISLYVTTVEEEAINITSAATLKTYNFIFPHRESGVLLRKGFTIQEFVNQLFGNKHDYGKGRKMPIYYGSNKHNYITFASTVASAKNACTIVYFGNGGSSTGDFYATLKFTAVLDGFVTNEQVYGIYSICGW >Solyc08g005430.3.1 pep chromosome:SL3.0:8:316565:320839:1 gene:Solyc08g005430.3 transcript:Solyc08g005430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSTTSVVGVGLVGGGGEVGYNDYGFRPPFTAVQWQELEHQAMIYKYLVAGLPVPPDLVVPIRRSFDAISARFFSHPSLGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAHPDSKYCERHMHRGRNRSRKPVESQTTSQSLSTSKSHTATGSSNRSGSFQSNSSGSFQNMPLYSVANSDGISYGSTTTKLQMEPASYGITNKEYRYGMAPDVDDHNFMPVASTSVRGLGGTGSNTDNMWRLMPSQDHSKANLKNDSQLLGSLPNPFEPVIESKQQPQHCFFSSDIDSPSTVKQEPQHPMRSFFDEWPTSKESWSNLDEGSGKNNFSTTQLSISIPNISSGFSSRSASSPKCADA >Solyc10g081610.2.1 pep chromosome:SL3.0:10:62763239:62786109:-1 gene:Solyc10g081610.2 transcript:Solyc10g081610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLNPQPLDQQEDEEMLVPHSELVEGPQPLVEGPQPMEVAASENATTGENQAVDEPQASRFTWTIDEFSRLSVKKLYSEPFVVGSYKWRVLIFPKGNNVECLSMYLDVADSATLPYGWNRYAQFSLTVVNQINPKYSVKKETQHQFNQRESDWGFTSFMLLSDLYDPNKGYLVNDKVVIEADVAVRKVIDYWTYDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQKLFEGHHMNYIECINVDFKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEAHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLELDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDNKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICDVGEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLREQIGKEIYFDLVDHDKVRSFRIQKQLPFNLFKEEVAKELGIPVQFQRFWIWAKRQNHTYRPNRPLTPHEELQTVCQLREVSNKNNNAELKLFLEVDYGLDFIPGPPPDKSKDDILLFFKLYDPEKEELRYVGRLFVKSTSKPVEILPKLNELAGFAPDQEIELFEEIKFEPSVMCERLDRKASFRFSQIEDGDIICFQKKTSPEVEEQVRFPDVPSYMEYVKNRQLVHFRALEKPKEDDFCLELAKSDTYDEVVDRVAQRLGVDDSSKIRLTPHNCYSQQPKPNPIKYRSVDHLVDMLIHYNQISDILYYEVLDIPLPELQCLKTLKVAFHHSTKDEIEILNVRLPKQSTVGDVLEEIKSKVELSHPNAELRLLEVFYHKIYKIFPLSEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFTKETPQNQMQVQNFGEPFFLVIHEGETLAEIKVRIQKKLQVSDEEFSKWKFAFLSLGRPEYLQDSDIVSNRFQRRDVYGAWEQYLGLEHADNTSKRPYINQNRHTFEKPVKIYN >Solyc01g090200.3.1 pep chromosome:SL3.0:1:83696465:83728651:1 gene:Solyc01g090200.3 transcript:Solyc01g090200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVLPLITSILKPHKTHFSFLPLPIILHRRFFSKSSTVSALSTSSSSSHVSHNSENQKKASVPTFQQAIQRLQEYWGSVGCAVMQCSNTEVGAGTMNPLTYLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGIDVNAHDIRFVEDNWESPVLGAWGLGWEVWMDGMEITQFTYFQQAGSIQLMPVSVEITYGLERILMSLQGVDHFKKIQYADGITYGELFLENEKEMSAYYLEHASVDHIHKHFDLFEAEARRLLDLGLAIPAYDQLLKTSHAFNVLDSRGFVGVTERARYFGRMRSLARQCAQLWLQTRESLGHPLGVVSHSDHLIVQREVSEEATGKVPSEPRLFVLEIGTEELPPNDVSSACKQLKDLIVQLLDKQRLLHGEVQTHGTPRRVVVSVEKLHPKQVEHEVEIRGPPVSKAFDTEGNPTKAAEGFCRRNNVPLDSMYRRAEGKTEYVYVRLVEPARLAFEVLSEELPDIIASISFPKSMRWNSDVAFSRPIRWILALHGGVVLPFMYAGVVSGNVSHGLRNTPSATVKILDAEAYANVMQDAGILADVEHRKKTITEKSNDLAKSVHGHIVMKSDLLDEVVNLVEAPLPVLGKFNESFLELPKELLIMVMQKHQKYFAMTDENGLLLPYFVTVANGSIDNKVVRKGNEAVLRARFEDAKFFYAMDTNRKFSEFRTQLKGILFHEKLGTMLDKMTRVQNLASEVGLSLGISEDKLEVIQDAASLAMADLATAVVTEFTSLSGTMARHYALRDGYSTEIAEALFEILLPRFSGDMLPKTEVGSVLAITDRLDSIVGLFAAGCQPSSSNDPFGLRRISYGLVQLLVEIDRNVDLRQALELAAAVQPIEVDVSTINDVHQFVTRRLEQFLMDKGISPEVVRSVLSERARRPGLATKSVYKMESLSKGELLPKVVEAYSRPTRIVRGKDANVDVEVDDQAFETNEEKALWNTFLSLKSKIHPDMEVDDFVEASSVLVEPLEDFFNEVFVMVDDERVRTNRLALLKKIADLPRGIVDLSVLPGF >Solyc06g083580.3.1 pep chromosome:SL3.0:6:48979930:48994562:1 gene:Solyc06g083580.3 transcript:Solyc06g083580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYILRTLTKKEEVDSIIRDTIDKVLVLRLGRSSDPLCLQLDDILYKSVREVSKFATVALVDIDSEDVRVYVKYFDITLIPSTIFFFNAHHMKMDSGSADHTKWVGAFQRKQDFIDVVEKEKLANSRDNFAMAAALRLSCLCFLQLLIVIVLFINSSADNSAGVNSPFLSTVFRFFDSKMELLFTQFYSLDFEFFIISIEQAEQFQSLSNSTMTNRLSGNDELRHEHAEDDPEAIASMVDMSIRNSTERRKLGYFSCRTGNPIDDCWRCDRNWQRNRRRLADCSIGFGRNAIGGRDGRFYVVTDSGDDDPVNPRPGTLRHAVIQDEPLWIVFKRDMHITLKQELIMNSFKTIDARGVNVHIANGACITIQFVTNIIIHGLHIHDCKPTGNALVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAIMGSTAITISNNYFTHHNEVILLGHSDTYVRDKVMQVTIAFNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRYLAPVNPFAKEVTKRVEPWEGSWRQWNWRSVGDLLLNGAYFTASGRAAPGSYARASSLAAKSSSLVGMITSNAGALS >Solyc03g083130.2.1 pep chromosome:SL3.0:3:54370724:54389471:1 gene:Solyc03g083130.2 transcript:Solyc03g083130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAENSIDIKRNCDFFDNAMRDVNTSIALKNSRKGLIPGKMGASIHRSARDRGIGGKPPYLTPYFGMFGYGGPIASMHLGRRASVSSKTKECKKVYVLHLERDSLLRCSSSQQTWRTDGNVRDPLEDELRDSVDGSFTKVEIFYPKMRSESMQELQYKLKDIYFPYIQCDEVSKTGKTVMPIEFQVNGTNLAEIEGGEVATTNLLSCNGPEFVMQLSFQVKDSSGLKVGSGTKSSFEAHARLRCVYFPVAQGKESIEVILEKLEADGYGITENFETFSHVSVRRLGRLLPDARWSWLPFMEPKLRKSDRAEVLKRCCFRAIASFFQASLSNILLAETDAGFNPTPSKTDLAHHHPFTIALRNFGNKPSNKENDVLIEIAKDGKKLSLLQLEKLYQEWLFQMHDRYDEEIDCGEDQPTFVVVGPLHKKKLGVSADVMRIHKAFQRKGITWKAGQKIKILKGAYRGFHKNNIFATLEFIILEGWQGDSGGEARIICRPLNVPAESGCRLTFDKGCSCFEIRDSKSLPISVIDTGKCLSVDKTEWENQILKHQEKTTPSSIDILDAEQCLELEIEGALPQDVDAGHEPPEEITAVVRPVSFTSATASKNLDQKYIMKENFVMTLEIKFKADENEKEQHIYSGKLNPSSLKGFHGLYMFPLKKKSPNLFQTAGIYLFRFSLIESCTISVKEVRVKALSEPASWELVSDGKSTHSVRVGSCLPEVFSVACRDRFFNRIPFKSQTEIEMKLSSGGRAISSECSYDQYITHDSYTMKFKNVTIESSELDMIRPSYNATLHINSREDPFVVAIPCAVIPGPLQRILLRPVDFGKKLVPGMVLKELALEVDDHGCVNLSGTLKVTAGYGKLVSLSVLSGDEVVFKKEFQTDRRSLRVASKVPKVCAAGSHLEDVVFEVVNSAGEVDEDIDSEIEDGHSHTLQIRQDSLREEDNVRYSFHRGRCIVRSIPLPNNEGLFFFVASHSRFHELQTSIEPHTNYLQVHVEKAVIQPRSPKKEILLLEESNGKGPETVCHDSYDGRIMIFNDSCASMVLEDRQQKLGDDICRYGLCIRQCDANVESLSIKQSNIELEMSNLGGRHAKGSAYIGLDSFHDLFYDKDVIMEKIEGKADSAAAVIHKLLRSPKPEQLYLKYAHDILGVVALLGEVRTHKLSSMLSTYLGEDQMLAIVCKSRAAARALENYQMDGNVNCGSALDILAAKLGISIKGRYLVICLEDIRPYKQGVSSDPQRELAIPQPTLSNRETPPGFLGYAFRTASGYGLRETLFYRLLGKLQVYKSREQLYMASSCIEDGAVSLDGGMMRGNGVISASVGSEEPYILFPVICVERQLLLSPEKVERLKRIEELKLERNQLQDRIQEELRNEAKYKKKLAKKLMDKKQIDDQLEPSPGMYNSDMDISP >Solyc08g065820.2.1 pep chromosome:SL3.0:8:54168992:54200787:-1 gene:Solyc08g065820.2 transcript:Solyc08g065820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFYYILSKMVDNDSVGDAKFAFGMDPGDDGGLLPENSGYPAGKWKCNEDGSIPCPPENFGGCVKGVLELKCLTSKSKSLISELLEEAEDIFKRLELEYKHEMPQESCLCMKSMDENGMQKSKLRKAAFREDSDGNCLYCPAAKDLQQGDLKHFQCHWLKGEPAIIGNVLETTSGLSWEPMVMCRACRQIKSINHPLHLNASAINCLDWCEVEVNIHQFFMGYMEGRFDSAGWPQILQLKNWPSSDFFDERSPRHSAEFVRSLPFKEYMHPQSGYLNLAVKLPPGYLMPGMRPKTYIAYGVPKELGRGDSMTKLHVDMSDSVNVLTHTQGINLTPEQLSWIKKLKRKHAAQDNWELQTTEEEHKCEIETSSKFNEDQSLLDDIDGGALWDIFRRQDIPKLEEYLRKHFKEFRHINCCRIPKVIHPIHDQTFYLTKDHKNKLKEECGIEPWTFVKKLGDAVFIPAGCPYQVRNLKSCINVALCFVSPENVGECIRLTEEFRKLPQDHVAKEDKLMAMPQIRRKSSATKNELVRTVETDCGSLVSSHLLSAEKAMSQARRKSSAIENELVRTTQPDCRSPVSDHLLSAEKAMPQARRKSSAIQNELVRTSQTDCLSPVSSHLLSAEKAMPQARTKTSAIENELVRTTQTDCGKPVLSHLLSAEKAMPRARIKSSTIKKWLVRTAQTGCGKPFSSYLLSAKNAMPQATQQRSLDIVRQSEDIAHARRKSSASENELVRTAETDCGSPVLGHLLSAEKAMPQARRKSSAIEDELARTAQTDCGSPVSNHLFSAEKAMPQATLPTSLDILGQLENMPLGMKISYASDNELVRTPQVDIRGPGLSRLFNARKAMLQETLHASSDIVGPLENSSPGQISSDIENELVRTLQADCRSPVSSLCSVEKAMLQAIPPASSDTAGPLEDMVPGQRKISSDIENVTTPQADCRNTFCSHFLSLEKDRPEESTSVPNLNRTPTIIQDVNSTFHKVESFLKSIPKDHFCSLSGTPNSNLESAKETVKHFVGGPLKMLADPANEKVLKEAISLLNENLSSFTDEQTKLLVKIKHIFPSMVQDLRDASQAESSCQDFFIDLEKHRKTLDDLRNTDMELKLKYDQEEAEEKEMETMLMFLRKRKAEILEKRRELSVEANHTMSSAGKIEDTKILLVKAKEKIDNLKRQRSVLKPMHL >Solyc06g075880.1.1 pep chromosome:SL3.0:6:47273859:47274745:1 gene:Solyc06g075880.1 transcript:Solyc06g075880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDYFHCRCGTRVALVQDYVEIDEELTFVLQGIFTDMFNVVVPENDESYHQVLNGNTVVDTLCVNCRDRLGWKFIAVAQGSPYEAGQFLMMLDKLNYTNGQLLDPYELGGGPNEDNVDQAGGANNEENADNQDGGANNQDGVANNADNQDGGANNQDGGANNADNQDGGGDQLVVSNGHSDRNPNI >Solyc01g100290.3.1 pep chromosome:SL3.0:1:90241977:90248714:1 gene:Solyc01g100290.3 transcript:Solyc01g100290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEKRTSKGGFLQLFDWNIKSRKKLFSNKSELPDNSKQGKENANGSANLRLQQAHDHSLGSNSKQNYDFYSASSVAEDESYGQKAPGVVARLMGLDSLPTSKESDPNFNASSDCHSFRDSPYLSFIADFQNEHHMIVDGNMRNKLDGFKRNPVEVRLQKVQSRPIERFQSEVLPPKSAKPIAVTQPRLLSPIKSPGFIPPKNAAYIIEAAAKIYQQSPRPAAREKVQSSGSSSAPLRIRDLRDQIEAVQRQSSIYEAPHRPKEQNSVKNVRRQPCERGQVQSDNLRQLRVSEVSRRDVSQNKGKEKSVSLAVQAKTNVQKREGKESTSSKNPLNQKEQNESKSGRRRTSVKVGERKNSLNRPSDVLRQNNQKQNSASNKDGESSNTSAPYHKEKKSSSTGNMSRSTKTVSRIVVNTTAATGIASIVETDVGKDLSSSRDSRVRSFTGKKQPVNVDIGSDECGADNMMKNKDERSIKCNLTIEGCSNWETADRKNGSDVVSFTFTSPIKKSMPGPTSSSHVLEKNSSLRTKD >Solyc01g009880.2.1.1 pep chromosome:SL3.0:1:4346967:4349109:1 gene:Solyc01g009880.2 transcript:Solyc01g009880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4ATI3] MVKNHLDKNGSFTGSPKPPHPTTSTDPHSRKGSQEELDHSLNHHNEDVKKLSDDIDRFIDELSTCHDKSNPPKVPEIIETFSKIIESKISKHSTSENSSTRLCKMTEEDNFFVEAVTRLSKLTNSLSEFPLGSTTTRSLNRTSMVLQRAMTLMEEDLRALLEDSSSSHVKVHKNSSFNSNRLLVDGELCSLTLSESSGEEEYPSFPPDVVTRMNRIASTMIMAGYETECCQVYSTTRRNACFEQMKKLEFEKINMEDVQRMQWDSLEGEITRWIRAVKNCSTTLFPGEKRLGDSVFSESPMNSQTLFSNLARAIVIQLLDFAEAVTMTKRSAEKLFKYLDMYEALRDLIPSIKNSCSNECENELNSEIQATIDRLGESAVSIFSDLENSIKNDVARTPVPGGAVHPLTRYVMNYLKYACEYKITLEHIFQQHVKLEESNANVNANSSAKFKPILEVEAENDSPHCNSETTPFSIQQVTIMDLLDTNLEAKSNLYRDPALRHIFLMNNGRYILQKVKGSAEIHQVMGDTWCRRRSTIVRHYHKNYQRETFGKVLQILTHDGMQVHGKVAKTTVKERFKNFNVIFDEIHRSQSTWVVSDEQLQSELRVSISALVIPAYRSFFGRFRQYLDNAKQAEKYIKYQPEDIEILIDDFFDGNSTSMARRKT >Solyc09g058996.1.1 pep chromosome:SL3.0:9:53142971:53144304:1 gene:Solyc09g058996.1 transcript:Solyc09g058996.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFAPQISTLGSSSNSSDGSLLNQDICGSAIRYPRLRKENNHNNSNVHTHSMISPSPQEHDECHHDSRQQTIMFETFVASMNEIVELWKMNDPFSIDSSSDRRCFIYREVYERKFSNQVLPPQKSTGRIESSKVCGIVSMTAIDLTHNFLDPVKWMNLFPTIVTKAKTIEVLDSGTWGGSMQLMYEKLHILSPLVEAREFFFIRCCRQLNETTWIIVDISYDMFNDI >Solyc11g071205.1.1 pep chromosome:SL3.0:11:55026062:55028263:-1 gene:Solyc11g071205.1 transcript:Solyc11g071205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEIEGNHLTSAAIIGQDGSVWAQSANFPQFKPEEITAIMNDFTSWGNKVYGDSRGGRSCYTRQEGTRPWFPTIALQGAGGITVKKTNQALIIGIYDELMTPGQCNMIVERLGDYIIEQVYSYHT >Solyc05g051440.1.1.1 pep chromosome:SL3.0:5:62624487:62625212:1 gene:Solyc05g051440.1 transcript:Solyc05g051440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSYPTTTSPITSVDCQNQVRSWRFLRSLMKLLIPRCNCIFVEEENDDTKHIPYFKHNSSSSSSSSSLTITGTIFGSRKGKVNFCIQSNPNSTNPILLLELALSTSSLAREMQKGIVRIALESKTNDYSSSSSSSASLLSMPIWRMYCNGKKVGFAVKRKPTKVNLQVLRQMQSIIVGAGIIHGKKGVVKKDNDNDDDLMYLRGKFERVHGFNDSESFHLVDPEENMGQELSIIFLRSLN >Solyc12g019920.1.1.1 pep chromosome:SL3.0:12:12397824:12398207:-1 gene:Solyc12g019920.1 transcript:Solyc12g019920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVNITVGFHVWIEDPSIAWIDAQVSEVNGQEVQLQTSDGRTVVANLSKTHPKVGDSPDGRVDDMTELSYFHEPGVLHYLATKYQLNEIYTYTGSILIAINPFQKFPDLYDGRMKAKYKGGFDRL >Solyc02g070430.3.1 pep chromosome:SL3.0:2:40724642:40726767:-1 gene:Solyc02g070430.3 transcript:Solyc02g070430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQNSSYPTKNLLTSFFFQQTTMVVLSQPLVENFSHIKTCKHNNTTSDHVHTGNIIPVIDLLDPEANNLIIKACQEFGFFKVVNHGVSIETITKLENESINFFNLSQIEKDKAGPANPFGYGNKRIGSKGDVGWVEYLLFTTNPELNHNKSITIPGNSLLFWGLVKEYISAMRNMGCMVLEMIAEGLKIEPKNVLSRMLSDEKSDSCFRLNHYPPCPELLQALSGRNLIGFGEHTDPQVISVGRCNNTSGLQISLKDGTWISVPPDPYSFFINVGDSLQAMSNGRFMSVRHRVIADSLKARVSMVYFGGPPLSEKIAPLSCLMEEPNEKILYNEFTWSEYKMSAYKTRLGDNRLSLFEKNAQS >Solyc03g110870.3.1.1 pep chromosome:SL3.0:3:63084139:63084805:-1 gene:Solyc03g110870.3 transcript:Solyc03g110870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFERKARYKAEIGPTFSVEWTRSQLFVWK >Solyc08g065900.3.1 pep chromosome:SL3.0:8:54305039:54311531:1 gene:Solyc08g065900.3 transcript:Solyc08g065900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRMFGKPKQETNALATLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRAKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKLIQEALSTPIGSAADFDEDELEAELEELEGAELEEQLLQPATTAPVAPINLPAGRQQVRPAAQKTEEDELAALQAEMAL >Solyc03g078005.1.1 pep chromosome:SL3.0:3:50328713:50329193:-1 gene:Solyc03g078005.1 transcript:Solyc03g078005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLPPYLLKNRKNLNMLSTFIFYPLMVIERITYLSSSEGPHAGWFHYHKAAPKLAWFQDVESMLNHHLAVFVTLLPSFLA >Solyc11g071240.2.1 pep chromosome:SL3.0:11:55068520:55070465:1 gene:Solyc11g071240.2 transcript:Solyc11g071240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHHHHPKLKTSFSCGFFRRCTQSVLSPTTTTPPTLPIPLSDQTPSPLPAPATAPASSSESSSSSNTNSQSFTQWRFPLPSSPPISHTNIYPRETMQITKIAPPVLYTNLEELFHVAELQLTSGTNLDKVKAMYLLEHSLVPNPVAAVDGGGNLVACPDTVLRGVVGCLKDRRIAKSASKVLLALCLSENNRHVAVEVGAVGAVVDILSDLDVAAAERSLAALELLCTVAEGAEEVRVHALAVPMMVEVMGRMDGSRGKEYAISVLAVIYGGACDGAPLAAPPEEVARAVMLALQGDCSARGRRKGAQLLKILQNYGRPDPTREEEEPEPRVV >Solyc01g088830.2.1 pep chromosome:SL3.0:1:83426251:83427834:1 gene:Solyc01g088830.2 transcript:Solyc01g088830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLREAAQNGDVHHLQSLIKEVPFLLSTVSLAGSNETPLHIACLSVHVEFAKEIIHLRPEFARELNQDGFSPLHIASANGDIEIVKELLNVDRNLCLIKGKDRKIPLHYAVIKGRKHVIKELLVASPNSVAEVTSRGETCLHLAVKNHQFEAFKLLLENLKELNKYGLLNKKDIQGNTVLHLATSTKQFEASTIEVNSLNKGGLTPLEVLVKESGDRDIEEILRTSGAVLAENLQSSPQEGLPQSWVVPVQDPSNEQSSREQTSDTPPRSNSKKLQDFFKYNKTKDCPGKVRDTLLVIAILIATATYQTVLSPPGGVWQDTYWPDDVDHNNSSSSDGIMSLRRVAGQSVMGTNNPISYGLFLVFNSIGFFVSLHTINFLTIGFPLQLELQISLVALIATYDTVMSAITPNRGISLLFTIFSIVFPVFLPHVTKLLRNHCKKPKFIVKICELFR >Solyc09g075650.3.1 pep chromosome:SL3.0:9:67731848:67734655:1 gene:Solyc09g075650.3 transcript:Solyc09g075650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVSHFFFLKKNKLFVIVYLESLLQYLPFHIPKCILEFFYILLASLHFALLYNIYSLRLHMEEFRPSFRCSDDRRLEIISGKGFNINQVNRARSPDLAGVTSKGTWPSQVAAAPSWNKPWGFNDPEMKRRKRIAKYKVYTIEGKVKTSIRNGLRWFKNKCSEIIHGY >Solyc09g031655.1.1 pep chromosome:SL3.0:9:28880646:28881276:-1 gene:Solyc09g031655.1 transcript:Solyc09g031655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLHSAEFEMKDLGAARKILGMEIIRERERRKFFLSQRSYFQKVLARRRSMTGYVFTVGGSVVSWKATLQPMVTLSTTEAEYMALTEAPKKGFG >Solyc02g037500.1.1.1 pep chromosome:SL3.0:2:31443657:31443839:-1 gene:Solyc02g037500.1 transcript:Solyc02g037500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNHTFRSGSSSRSVIHRNRIKFTSRTNPMKTRIPSFNQFLISTDKFISFLNWEFVVLD >Solyc01g067650.2.1 pep chromosome:SL3.0:1:76331773:76333171:1 gene:Solyc01g067650.2 transcript:Solyc01g067650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAPNPDIPSMSGPHYHRGPPFGFGFVRRYFSNMCLALSSCFYFLCCCWILEDGVGRSGWEVGPRDPYPRPSQGPLGPDPPNIPITFSGPPGPPQIGSSNF >Solyc07g049290.3.1 pep chromosome:SL3.0:7:59670823:59680020:-1 gene:Solyc07g049290.3 transcript:Solyc07g049290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFYLHYFSLLLSFSTIFSSSAIAFDYADALSKSLLYFEAQRSGRLPYNQRVTWRDHSGLTDGLEEGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWSVIEYGEEISYSGEIEHAFEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRRSFKIDENNPGSDLAGETAAAMAAASIVFRKSNPHYSHLLLHHAQQLFEFGDKYRGKYDKSVGVVKNYYASVSGYEDELLWAALWLYKATDKEDYLEYVINKANSFGGIGWAITEFSWDVKFAGLQIIASKILQEKKYNKHIHIQEQYRSKAEHYICSCLNKNNGTTNVNRTPGGLLYVRQWNNMQYVSNAAFLLTFYSDFLRKIDKKIVCHGGIVDHEELFSFAKSQVDYILGSNPKNMSYLVGFGPNYPKRVHHRGASIVSYRENKGFIGCTQGYDYWYNKNGPNPNVLIGAIVGGPDNQDEFNDDRANYVQTEACTYNTAPLVLVDNHAGKDGAEDGNMVDFRGNPVDKSRTGGWLAAGLILGTELSERVCVMGISMNLVTYLVGDLHLPSSKSANIVTNFMGTLNLLGLLGGFLADAKLGRYLTVGIFASIAAVGVTLLTLATSIPGMKPPECNPRKSGHCIEASGQQLALLYTALYILALGGGGIKSNVSGFGSDQFDSSDPKENKSMIYFFNRFYFCISLGSLFAVTVLVYLQDNVGRGWGYGISAGTMVLGVAVLIGGTTLYRFKKPQGSPLTIIWRVLLLAWRKRKLSYPSDTGFLNEYHNAKVPHTHMLRCLDKAAILDDSAAANENSKNRWIVSTVTEVEEVKMVLKLIPIWSTCILFWTVYSQMNTFTIEQATFMNRNVGNFAVPAGSLSVFLFISILLFTSINERVTVRIARKITHNSQGITSLQRVGIGLLLSIVGMVASALVEKRRREHAIHHNFKISAFWLVPQFFIVGAGEAFAYVGQLEFFIREAPEGMKSMSTGLFLSTLSMGYFVSSLLVFVVQKATKGRWLKSNLNKGKLDLFYWLLAVLGVINFLIFIAFSMKHQYKVQKLSSIEDSAEELGSWKDLTLDNKEKKLEADEKVEA >Solyc11g021190.1.1 pep chromosome:SL3.0:11:13404676:13405420:-1 gene:Solyc11g021190.1 transcript:Solyc11g021190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDQGYLRFFAYMSFFSTSKLGLVTSSNLIQIYIFWELVGLCSYLLIGFWFTRPVAANACQKASFEFRDLFKIFNNLIYNNELNFLFVTLCAVLLFAGAVAKSAQFSLLVLLPNAMKGPTPISALIHAATMEAAGIFLVARLLPLFRVIPYIMMLALGMGSYRSALFHLITHAYSKTLLILGSGSIIHSMETIVGYSPAKSQNMGLMGGL >Solyc01g090465.1.1 pep chromosome:SL3.0:1:84018207:84018941:-1 gene:Solyc01g090465.1 transcript:Solyc01g090465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYARDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKERIWLKGL >Solyc08g007718.1.1 pep chromosome:SL3.0:8:2228588:2229521:-1 gene:Solyc08g007718.1 transcript:Solyc08g007718.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPDSDVKNAKFFRGRPSRPCICIRLAITACPTHLEDSDVKNTKFFRGRPSRPCLCIRLAITACLTHLDGQTSPEVSIPLISTIFVCYSTPFFG >Solyc03g098325.1.1 pep chromosome:SL3.0:3:62109014:62111623:1 gene:Solyc03g098325.1 transcript:Solyc03g098325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDKQFEFCVVCKLNHNQGRRHNFIPNHKKSLAAVLSRFQSKLSDIRFFLKNPIPLRPEHASLNRLWCIFCDRDILELDSFYASDNAIRHLSCADHMKKVKGFLWKYGGGMDKVDTLRITETDFSKWEKKCNLSKKGALDGGSRAMSIGPSNDIQNKTNSDYLNCFEKDNVHALNVDVSNSVVPLQNYTDERSQIFENKMHGVTNGPNMRSTSMGVQLGEDACSSSGLSNAQKILGFHAAASHECLNGDSLSAPTRQALVFLAHFSLSTIIFER >Solyc12g042460.2.1 pep chromosome:SL3.0:12:58684171:58690307:1 gene:Solyc12g042460.2 transcript:Solyc12g042460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNQVGDIIFKSKLPDIIIPNHLPLHTYCFQNISQFNNRPCLINGSNDQIYTYADVELTSRKVAIGLNKLGVQQKDTIMILLPNSPEFVFTFLGASYIGAISTMANPLFTQVEVMKQAKASNAKLIITQECNFNKVKHYAFENDVKVICIDGHSSSEGYLHFSDLISNSGFTSKHDHLPEMEIHPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPTLFFHSEDVILCISPLFHIYALDSVLLCGLRVGATILLMKKFDVVTCLELVQKYKVTIGPFVPPIILAIAKSQVVDNYDLSSVRIVISGAAPLGKKLEEVVGIKFPNAKLGQGYGMTEAGAPITMCLAFAKEPFEIKSGACGTVIRNAEMKIVDPDTGISLPRNKPGEICIRGDQIMKGYLNDPEATKRTIDKEGWLHSGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLNLSNISDAAVVSMKDEQTGEVPVAFVVRSNGSIITEDEIKDFISKQVIFYKRIKRIFFVDVIPKSPSGKILRKDLRARLAAELPN >Solyc02g064806.1.1 pep chromosome:SL3.0:2:36522695:36523985:1 gene:Solyc02g064806.1 transcript:Solyc02g064806.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFVLLLQKWDPISRNTPDLETRPRGPHKSGENGEAVNIGRGTFSTSLNLLSNTNISKDLTDPFSDSAKELVWNIMVEVGKPNLIDYFPYGGTSWLRLMHIHLMRGLVDHQLKKREIRNRSNIDVLDALLNICPEEIDRSHIEVQRSDTTSNTLEWAMAELFKNPHTLEKSQEELAHVIGRGKLIDETDVGKLP >Solyc02g063548.1.1 pep chromosome:SL3.0:2:36225097:36225343:-1 gene:Solyc02g063548.1 transcript:Solyc02g063548.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIIVVVKLVISKGASGQSQFGPECLNFQRQENNGKSNRRKIFVGGLPLNLSEEDFKIYFEKFEIILQVNLIFDKEIKTP >Solyc08g077840.3.1 pep chromosome:SL3.0:8:61862352:61868188:-1 gene:Solyc08g077840.3 transcript:Solyc08g077840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFSPFSYLSLFSLFTTLSFVKIVLTQDGFCSAPLRIDADSNSQPLYWKVTNPTLSPSHLQDLPGFTRSVYKKDHAFITPESQVFSPLPDWTNTLGAYLITPAMGSHFVMYLAKMQENSKSGLPPSDVERFIFVVQGSEVLTNISGSVHKMKVDSYAYLPPNLDHSVETDAAATLIVFERRYSGLENHVPEQIVGSTDKQPLLETPGEIFELRKLLPTSLAYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLSDSWYPIQTGDAIWMAPFVPQWYAALGKTRSRYLIYKDVNRNPL >Solyc08g028703.1.1 pep chromosome:SL3.0:8:42453416:42454061:1 gene:Solyc08g028703.1 transcript:Solyc08g028703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTARLVEKAFKQCPILKFHETFSLVVKPTTIKIVLSIAIQNKCKIHQLIVNIAFFQVYVDDIIITRNYATLVTHVINSLAYKFYLKNLGELNYFLGIKVTHFPNGILLSQYKYILEII >Solyc06g009600.1.1 pep chromosome:SL3.0:6:3567666:3572031:1 gene:Solyc06g009600.1 transcript:Solyc06g009600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETMSIFIVFTTTTTELSSISMDDSDDSRYKGLISTVRKKRSQMLRRPRTKNLTVPVYHVHSSQTLLSDSVDSASRDEYTDDTNAGGRNYNLNYSISRYSSAYRFKGDYSSKKNKGDGGSRETNDNACAGDDTNPRHGASVRKLGNAGDNVANDSKLKIGGAAGIIQTKKSSYGSSGSSLSSKSGQPSDASQPKHNLTPQDNSSEYGPLADKKSRSKGIACKGFPGGTFGASKSNIKKMPMKNVFKKPGDKPHKNRKVHRRRRLILDDLDEEDEIHSLEERNVGEELTKTTSLSGGLKVDKYEMLEDAGRSDKEVNRKSNRGSEDPDYEEEELLSDGEAKRTNKKDKEMESYETLVETKNEISLTTRPQQQALLSGKEVNRKSNRGSEDPDYEEEELLSDGDAKRAKNKEKQIKESYETSVETKSEISLATSPRQQALLSGKEVNRKSNRGSEVTDYEEEELLSDGEAERTKKKESLETSVETKSEIRLTTRQRALLSRRDSSATSVNQIGYPYGCPPAPPQKQKEKFSDVEQQLKRAKAAQKRKIRYEEAARESEAEAIRKIRGQDSNKKKQEKIKKQQEELAQEKAANELAPNTIRTVMGPTGTVVTFSHDMGLPSIFDSKPCSYPPPPEKCAGPSCVNPSKYRDPKSNLRLCSLECYKAIHEKMKD >Solyc01g106050.3.1 pep chromosome:SL3.0:1:93916190:93927865:-1 gene:Solyc01g106050.3 transcript:Solyc01g106050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEISPSNSPDAASAASLGHSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLLKHNSDEEYGEFLHLPEKRFYDFNEIRWEIQAETEREAGGNKGVSDKQIRLKIYSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKVPSCLILAVTPANSDLANSDALQIAGNADPDGYRTIGVITKVSVKGHQFHPYVDNIISPNCFEVVVFPLFSQLDIMDRGTDARNFLLGKVIPLRLGYVGIVNRNQEDITMNRSIKDALVAEEKFFRNRPVYSDLADRCGVPQLAKKLNQILVQHIKKLLPGLKSRISAGLVSVAKEHASYGEITESKAGMGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQNIFVKSLEEVDPCEDLSDDDIRTAIQNATGAKSALFVPEVPFEVLIRRQIARLMDPSFQCARFIYDELMSHRCMVNELQRFPILRKRMDEVIGNFLREGLGPSEVMIGHLIEMEMDYINTSHPNFIGGTKAHEMALQQVKSSRIAAPNPRQKDGVDLEKAPTSERSLKSRAILARSVGGFVPDQVVRPAPEVEKTTASGSNVGSSWGISSIFGGSDNRASVKDNFINKPFSDTALSMDHAFSMIHLREVSVLKALSAPPSVLRPSETHSDQETIEIAITKLLLRSYYDVVRKNIEDSVPKAIMHFLVNHTKRDLHNVFIKKLYRENLLEEMLQEPDEIAMKRKRTRETLRVLQQAFKTLEELPLDAETVERGYSLGTDLTGLPKIHGLPSSSMYTTSSGSTGSHTSSPKSSRLRNASHSGELQLPMYGGVDSNGGGHNAGIYPTVGV >Solyc03g046470.3.1 pep chromosome:SL3.0:3:12914401:12924972:-1 gene:Solyc03g046470.3 transcript:Solyc03g046470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVSVKNEGKMLFKSKLKLVALAGLVLSILSLFTHFLLAKHTYGIVYEYHSSVTIMSWMPKFKKSDLSISNHPSYRRLWAPVRHFESLHPLANQSGDYAAPEMQSIGFIFVKIRGGFHEIRNAISDVVVVTRLLNATLVIPEIQSTTSSKGISTQFKSFPYLYNEDQFIAALAKDVKIVKTLPKDLKGARRKKEIPSFKVPHGASPYFYLHHVLPMLTKHSVVELLVHSGGCLQSILPPPLVEYQKLRCRVAFHALRFREEVQNLAIKILNRIKASGQPFIAYDPGMTRDALAYYGCAELFQDVHTELIMHRRAWMIKRGILKGNLSSDSAEQYRNGLCPLMPEEIGILLRAYGYSSDTIIYISGGEVFGGVKKLIPLHAMFENVVDRTSLSSPLEWDKVYGQEANLVDQYSIPPPSDNVVRRSQAWKNSGPRPRPLPPPPARPKMYNVEGWWGWVAESDDEPESTIMELRTNAHRLLWEAIDYMVCTEADVFITGFDRDGKGNPNLASLVVGHRLYQFPASRTYGLDRKEIAKLFEEIRDTLYQPNHTWITSLRKQLRRSLIDGLLEESKISKSQSFLAFPVPECSCIANDSMKRSINTSSPMPKYDIPVFSGQIDQCPSWMNAPTSSQSREETEEENDKDDSASSNLFLWLGYGDQEGDSTDISNKEEAFVEDQEELEGGER >Solyc05g055585.1.1 pep chromosome:SL3.0:5:66004130:66005115:-1 gene:Solyc05g055585.1 transcript:Solyc05g055585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIVCDTLSTSLRIPRKANKVGKGNESTLSIGNIRTNAHFRPMHQLIFHFHRARSGDPLPYHRSHLGPKMLRKALKANLHLHILDLPNAS >Solyc09g083080.3.1 pep chromosome:SL3.0:9:69169445:69173371:-1 gene:Solyc09g083080.3 transcript:Solyc09g083080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLWKDFSSTDSARKVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLKAHCDGETLGVADSKLGNAIKEKLQIDCVHNNAVMELMRGVRSQLTELISGLASQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIVQAISLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGDRTNAAKLDFSEILPEEVETALKEASMISMGTEVSDLDLENIKDLCTQVLSFSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLMNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKISRSLAAKTALAIRYDALADSQDNSMGLENRAKLEARLRALEGKELSKSAGSAKGKPKIEVYDKDRKKGGLITPAKVYNPSADSVMGHTGNEEKKMSIDDQTEEAAGDESPVTKGEKKKKKKKSAEAEDGAEPEETGKKEKKKKKKHADNEVENVELEQSKKKKRKHADVDEDEPETTEKKKDKKKKKKAEE >Solyc05g024210.1.1 pep chromosome:SL3.0:5:30846569:30848350:-1 gene:Solyc05g024210.1 transcript:Solyc05g024210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNKVEENPACLDILSSQNLHLTEEMEVNKVEENPATLNILSSQIEENKVEENVASLNIPLSQNLHLTQENSRNHLTHDDDDDKQWRRLVEELEETIRYKFKNPNLLHEAFTHPSFQQNNKSYERLELLGDSILNMLITKKQFFDYPNLPPGMLTKLRSANVDNEKLARAASKYNLHNYLHHKMSLFEGQVKEFKDAILEYPLHSLGLVDPPKTLADIVESLIGAISIDSDCMNTTWQVVNFLLEPLITPEKLELNPITKMYELCQKNGLKTRFVDKWAECGEFEVYVDEQLVGRGKSSGKKITAKNRAAHNAYFKILQILGEKATIANRDGIET >Solyc10g081510.2.1 pep chromosome:SL3.0:10:62690175:62695058:1 gene:Solyc10g081510.2 transcript:Solyc10g081510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHVVGYPRMGPKRELKFALESFWDGKSSAEDLKKVSADLRSSIWKQMCDTGIKYIPSNTFSYYDQVLDTTAMLGAVPSRYNWTGGEIEFATYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVNEYKEAKAQGVDTVPVLVGPVSYLLLSKPAKGVDKSFPLLSLLDKILPIYKEVIAELKAAGASWIQLDEPTLVLDLESHKLEAFTKAYADLESSLSGLNVLVETYFADVPAEAFKTLTALKGVTAFGFDLVRGTQTLELIKSSFPSGKYLFAGVVDGRNIWANDLAASLALLQTLEGVVGKDKLVASTSCSLLHTAVDLINETKLDDEIKSWLAFAAQKVVEVNALAKALSGAKDEAFFSANAAAQASRKSSPRVTNEAVQKASAALQGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTVELRRVRREYKAKKISEEEYVKAITEEIKKVVDLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSKAQEMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKAEHAFYLNWAVHSFRITNVGIQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRVNKMLAVLDTNILWVNPDCGLKTRKYTEVKPALQNMVSAAKTIRTQLASAK >Solyc06g050250.1.1.1 pep chromosome:SL3.0:6:32884376:32886109:-1 gene:Solyc06g050250.1 transcript:Solyc06g050250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVESGIPPSSHGGKSPVTRLNDYVAESRVGKRFKLKERNTNFTTELRAGTTTFLTMAYILAVNASILSDSGGTCSVSDCIPLCSDPTVSTANCTNHPSLRLINPDVSCKFEPVNPGYAACLDKTRKDLIVATVASSLIGCVIMGVLANLPLALAPGMGTNAYFAYTVVGFHGSGNVSYESALAAVFIEGLLFLLISAIGLRAKLAKLIPKPVRISSGAGIGLFLSFIGLQNNQGIGLVGYSPSTLVTLAGCPRSSRVGVAPVMAAVNGTMTLIPGGTVSGDILCLHDRMESPTLWLGIVGFVIIAYCLSKNIKGAMIYGIIFVTAISWFRNTSVTAFPDTPAGDSAFDYFKKVVDVHTIKTTAGALSFKNIGKGYFWEALITFLYVDILDTTGTLYSMARFAGFTDEQGNFEGQYFAFMSDASAIVVGSLLGTSPVTAFVESSTGIKEGGRTGMTALTAAGYFFLAFFFTPILASIPAWAVGPPLILVGVMMMKAVVEVEWDDMRQAIPAFVTLILTPLTYSIAYGLIGGIGTYIVLHLGDWSLGWLRKLGIIKGSKTSNIAVLDNGVKENKNGSI >Solyc07g045460.3.1 pep chromosome:SL3.0:7:58725045:58729393:1 gene:Solyc07g045460.3 transcript:Solyc07g045460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGNVSGKKKEGESAESSGIKNQEHGEEEYMEYGLFPDSMVQSPPHSPKAYHHSPLDFTPQVPIFPLQRPDEILMQNQSGNIVQKTMEYGDMPCENGIPTMITWSHGGHEVAIEGSWDGWKTKDFLQRTDKDFTVMKVFPSGVYHYRFIVDGQWRYAPDYPYERDDTGNVFNVLDLQDIIPEVLNNTNWSDAPPSPESSYSNAPFSSEDFSEKLPDLPPLLQQTPLDQPSSSAGSVETFRKPLPAVLNHLYIQKTRSSQSMVVLSSTHRFRTKYVTAVLFKSLKK >Solyc07g062640.3.1 pep chromosome:SL3.0:7:65461857:65467507:1 gene:Solyc07g062640.3 transcript:Solyc07g062640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRSKSWVGSIYHKFEVVCQEVDEFVTKDTVKYVENQVQTVGANMKKFYSGVVPEFLIPVDSHVKKEAQAVNEKPKHASDTHTSSVANTTQNPIQEKSCQNQNSLESHAASTSTELDGVASEEAEIDLSVGNECATAICKNSCNVFKENMTREEALVVDKPSTSEDRVSSESCSDKDSKDTMCYSFSDDGKCFSDVSSSQIIRQDDMVGEEQPLAKGSSSFLDNLSTSQLTASINEKMFDTSPDAINCISNVSSSQMVLQRNITREVEPVTDQSISFGDMALSKSLSFSMEESEGNPTMTKLQRPTSVYDTELRTNPEDESISNASITLTNLQDNIISEDRLASKESSFVKDKSLPLTPAFASVIPPSRNDAGFGASIAASKSFLGDVTFVSEESSTTLALEDAPSALQSSELVLSRVMENKSMDVDTGISNCSLLKESSSLSTSSIGNCMQEAESDLSIFTGHSSALPTSLVSANNVVSANPVLSSTLLTDFSEVNASSSHELVGNGRSVQNDLECCPSPQLIYPAEIGCMNDSSIDLPGMETIDLTDKELPKESCAFIDYKLHHLVSSRPRKYKSYKELIQEAFAPRKRRIKEYEQLAVLYADVDAETSQHVELSQLPSLPSPCLHSTESSTCNICEPEWELL >Solyc01g011353.1.1 pep chromosome:SL3.0:1:8679714:8688573:-1 gene:Solyc01g011353.1 transcript:Solyc01g011353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNPSLLSSSSPYSGLSQVMVDNGNPGKRSLAASVRRGFINYSDMHDSRQDVSPSYNLLSSPSLDPNHSDNRAHVMVTRSMNGSLKSRVFPSLDVSVSIAPVETTCFTQESKDSRWLTTISEEFNALVQNHTWTLVPPPPNVNIVDDMLIAAKKKYDIQKLKGLLSAKFEMKDLGAARKILGMEIIRDGERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYEGDTQFLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLPKGFQHSDYPQHG >Solyc11g040260.2.1 pep chromosome:SL3.0:11:38302649:38329346:-1 gene:Solyc11g040260.2 transcript:Solyc11g040260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPSFFCGLATLSNRNRRSHKFRCSTSVVTTNCCKQGEFQYVLTDYVSPNQFPASRTDRQSTILHIQDSSDLASALARHGDTLKVQDMNVILRYFGKLNRRPELCQVFEWMQQNQKINVASYSSYVKFMGKSLSCVDAVEMYRDIKDRSIKFNVSVCNAFLSSLIKNGKSESSLKLFTQMKRDGLVPDVFTYSTLLAGCAKVNGGYYKALELVQEMMSNGLEMDSVTYGSLLSVCASHKECNEAAKYFQKMKDEGHSPNVYHYSSLLNAYSADRNYEKAEALIEEMRSAGLVLNKVIYTTLLKVYVKGGLFEKSKELLKELEALGYAKDEMPFCLLMDGLAKSGHLLEAKSVFDEMMEKQVKTDGYSYSIMISAFCRRGLLEDAKKLASEFEEKYDKYDIVILNAMLSAYCRAGKMENVMSMMKKMDDSAISPDWNTFNILIRYFCKEKLYLLAYRTMEDMHSKGHQPEEGLCSSLIYHLGKTGAHSEAFSVYNMLRYSKRTISNALHENILHILIAGRLLKDAYVVVKDNAGFISQPAIKKFSVNFMRSGNVNLINDVMNAMHSSGHKIDQELFDLAIARYIAKPEKKELLLWLLKWMPVKGYAIDSSTRNLILKNSHLFGHQLIAESLSKHLVMSKR >Solyc04g015130.3.1 pep chromosome:SL3.0:4:5292672:5298113:-1 gene:Solyc04g015130.3 transcript:Solyc04g015130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFGASAPLEPKQKPVGARQTAETNDSSSFLTQVSKMNELSLALSGKLPESGKITTHDVDGVRNNKKCQKGSNDLSIDKSKNAPTDIQNVSTPGNHEVNGTRSSLESSTDQEKKTSNQTSGKNSSVSAKVSDGTSSLAKNSGSGKISDHADLVESGKSSMCRGSTSTDVSDESTFSSFSSSVNKPHKTNDVRWEAIQAVRSKDGSLGLSHFRLLKRLGCGDIGSVYLAELSGTKCYFAMKVMDKASLASRKKLLRAQTEREILSSLDHPFLPSLYSHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLCCSFSPTLVKTSSLELEPLKKNSGYCVQPACIEPSCIQPSCVVPTTCFSRRIFSSKSKKDRKPKNDVGNQVRPLPELMAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLIKEPQNRLAYKRGATEIKQHPFFEGVNWALIRCASPPEVPKPVEFERLPVPAPSTNQKTVPAAVAPSQKGSDNYLEFDFF >Solyc03g119460.3.1 pep chromosome:SL3.0:3:69551240:69557441:-1 gene:Solyc03g119460.3 transcript:Solyc03g119460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQLSSNRFLLLKKNEHSLSVLRLRVRWKRLRAMAGDGLPSNMTGMSKVELYDVMSQMKVLVEQNQQQARQILIQNPSLTKTLFQAQIMLGMVQPPQVIPTIQPAGALNIQPSVSQLPQPIVQATPSLPGHIGIQEQTRKQQQNQAAPTVPSASLPPSNLQSTSVPSHTLQTVQQQKGLIGAQATPISLPQTSQVPNMSQLPRHSAPPLPSHLQQQMHPASPKLEQPMQTSVNQHLAMQPQLPPQVRPSMQPFPHQVHPHMGPNAGYPQSGATQHHHSQPAYHPAMRPPASMGPSFLPGQPPGQSQLPPQPLYQMGGSHLRPEFNQVGNSMQADRGSPWIPSLPENTSGTQLPGPPSFPAQMGPGSQPPRQGALSPEMEQALLQQVRSLTPEQINMLPPEQRNQVLQLQQMLR >Solyc05g013310.1.1.1 pep chromosome:SL3.0:5:6403433:6403888:-1 gene:Solyc05g013310.1 transcript:Solyc05g013310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVRSSYCLMRILWQKLLILDDSRKLDQTHVTTIEKGTFGYLDPEYYQTSLLTEKSDVYSFGVVLLEVLCARPALDSPRSREMVSLVKWAKECQTNGQSKRIIDPNLVGKIRPDSLGRFGETAVKCLAETGVDWPSMGEVLQKLDYALHL >Solyc03g120120.3.1 pep chromosome:SL3.0:3:70093152:70098032:1 gene:Solyc03g120120.3 transcript:Solyc03g120120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDAWLKSKFYAKSKSTVKLTKTRIEMVKRKRNAMLKYLKNDIADLLKTGLDVNAYSRAEGLLVEMNLSRCYDFLEQYCEHILNNLSVMYKQRECPEECREAVASLIFAAARFADVPELRELRSLFTERYGNFLECHVNKEFHQNLKSVPPTMEMKIQLMKDIASESGIEWNAKALEQKLYNPLVSEQDSSKSQNDHQYNLPRKMEESPRKKDSEVAKFDRENARQLSKDKLEHNSSYGRKVVPDDEHSSSRRRSERSNRRRRDSLSRNSDDLPPVKDIEVIERQQNKPEIEIAPEEESDDKKPLHYRSILPPYIKPSLTKTTSDTVSPTSSTGEENCKDDAAEKAQDKPRSARTRGGTKVTTADDKETQDEEDKLIDRLLIYLSRKKYQKSVYTPKEAAVDSPSKVSRWRSMGNRALSLPAEREQTSPRDQRRGHTRTNSFQPDMLGSNRHVHPKLPDYDDFVARLASLREQSRE >Solyc07g015820.1.1 pep chromosome:SL3.0:7:5512155:5513020:-1 gene:Solyc07g015820.1 transcript:Solyc07g015820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGNSRPQATRPRTKASAQRQNPPNVGKYDELQRLSINPDGLGFYPSIQLVKAMVQSMCSCYDEPWRYWKDNPLHIRERMFADFKMKCTWSVEHENKIREIFFRKCSRRLSDLLWHARKHDQRPSWISEDIWKTLNEHWTCQKIKKNTVKKEAQTSVLPRSPQSSDKEE >Solyc05g024330.1.1 pep chromosome:SL3.0:5:31181949:31182982:1 gene:Solyc05g024330.1 transcript:Solyc05g024330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLSSTTPVTPFSVTAIPGSSFPFFGLPPPVSIDSPTLPNFSSVATLGSPNVTNLVIIKLGSVQDYLTWRTQFTLYYFPQAYRDFIDHILLGLQKKYETLVGIITHSPGHLSLEELHRKLLLHEQRLQRFKELDSPIMHQAFAAHSVSTPTQDSGSQFDCGRGRGQSSSRSRGRGGKGRDFGGRG >Solyc01g104444.1.1 pep chromosome:SL3.0:1:92793442:92812192:-1 gene:Solyc01g104444.1 transcript:Solyc01g104444.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAAIDLTQATISVSRGGFLIVLFGLLVAIQVVVEFLEMEPPLRLTFLQEENLLLFPRLIHVLGKLSFPQTPPALKHHQFVWLLQIVVKLPMESFDRILCTAGLLFGKLTEDDPAETKLGVLFISSSRQAEDPSCPETSTPTSSLWLSRAKRPGFISADAPFREIRRFGFSTGTFIEQQALSSAIGDRDVQRSVVVVGDTSSIPPRPISLGGTIPDRIFVCFSQQKMHHFMEIWVEIWVRVEVHTGGSVTDKWINFLLDILLLS >Solyc05g050630.3.1 pep chromosome:SL3.0:5:61614107:61633245:-1 gene:Solyc05g050630.3 transcript:Solyc05g050630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVIPVAMDITVNLKDETHIILKGISTDRIIDVRRLLSVNTATCNITNFSLSHEVRGPRLKDTVDISALKPCVLTLIEEDYDEESATSHVKRLLDIVACTTCFGPSVRDSGGNSEATNESKSVKKSSKQRRNGKDKRSPSPPEGAAATVTAMVVDEDGEMSNSCPKLGSFYEFFSLSHLTPPLQFIRRETRKVDEVLPNDYLFSLEVKLFNGKLVLVEACRKGFHNTGKHGILCHNLVDLLRQLSRAFDNAYNELMKGFLERNKFGNLPYGLRANTWLVPPVAAQMPSIFPSLPVEDEKWGGNGGGLGRDGKSDLLPYASELLFIAYMPCKTTEERQVRDRRAFLLHSLFVDVAISRAISAVRHVMEKVKPAHSDANREIIYNERVGDLSIFVTKDVADGSCKIDTKIDGCQTTGIAKKNLIERHLLKGITADENTAAHDIASLGVLNVRHCGYIATVKVQGKESDKVGFPSESIELADQPDGGANALNINSLRYLLHAKDDNKVMHSKPSKSEEISSSWAFVKRILKESLMKLQEQNIEGDSFIRWELGACWIQHLRDLKKSEKDKKPHTMKTKDEIKVEGLGIHLKSLKNRKQNELQSESFKPVADSVDGRSEEDVIPSEDSQRETDANQNQLILKSLLSDDGFTRLKESETGLHVKSVEELIDMSQKYYNEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGHIIKLSDKLSHVQSLCIHEMIIRAFKHILQAVIASVVEIEDLAAVIAATLNMMLGFPENDEQNEPHGIDPLVWRWLELFLKNRYEWETGILNYKDVRKITILRGLCLKVGIELVPRDYDVNSPNPFRKEDIVSLVPVHKQAVCSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATVYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALNYVKRALYQLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQRLLGPDHIQTAASYHAIAIALSLMEAYALSVQHEQTTLQILKKKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTRKPDASIASKGHLSVSDLLDYINPGSDAKGRNAILAKRKGFASKVKGKSDQTNCASANSDTPKDVLEVKQDDQKLICKDDNDSQKNEEPFDIVVMSNLNADRRISENNKPIEPRPLVKDTSLEKCVNGAVLSEPYAEADDGWQPVQKPRSAGIYGQKLRQRWQTISKVTDFQNEDSISKVGHARLKNNYQAGRYFVLKKKTSDGNNADYYVAKGPSPSTKLGRRVAKAVMYRVKSVTSSVRDVVALTSNTGGELLSSSVEQIQVCAVKEAGPMPKRSSIVSLGISPSYKDVAVAPPGTIYMLQKSFSQDEVPDSPKVLELGEEANGEEQDFELMKSNAESIKLGDVQHLIADDAGNINDETVATDNKEGISLSYLGGGEISDVTCPVMPSVQSSHVDVSPMEEEGVNTRNMCISDNFDSNGNSNVTLQEMEYPEVKASVSYSSDISRDMSNKQLSASAAPFSPFPAVARIVPLPMNINHPSGPGRLPPVGLWPMNMSVHPGTPTILPNPMCSSPHHSYHSPPPTPNMMHCLPFMYPPYSQPQMLPPTTFPVNSSAFHPNHYAWQCNMTPKASDYVPGSVWSGCHPIEFSVSLPVVEPITESTLVSVTKESSDNSERSSPVPSFPVDLISGDEVKAEANLPAPDAVETLNDIAEVGSEKVRAMNTLASEYITLSDNQSQKGDAPNENAGSCDNYIQRHPCKTDDEKTFNILIRGRRNRKQILRMPMSLLKRPYTSQPFKAVCCRVIRD >Solyc12g055720.2.1 pep chromosome:SL3.0:12:62635480:62645995:-1 gene:Solyc12g055720.2 transcript:Solyc12g055720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLLLAVVLLVAILVNFSSFVNGDTDPSDASVLRVLYTTLNSPGQLTKWSANGGDPCGESWTGITCSGNNVTEIKLSGLGLSGSLGSQLDSLKSVTNFDISNNNMGSQIPYQLPPNVQRLNLASSGFSGGLPYSISQMTSLQYLNVSHNQIQGSVIVSFDLLSALNTLDFSFNSMAGNLPQSFRSLTSMNKMYLQNNQFSGTIDVLANLPLGNLNVENNQFTGWIPDQLKGIVQSNGNSWISGPAPPPPPGTPPANNPNRDHKSRENSSNPGSKKSGIGGGGVAGIVISILVVGAIVAFFIIKKRSRRSSSDIEKLDKQPLAPLTSQKVEELKPYQISSTVSVGTFETPTAVTLRPPPIDRLKSFDEVDISPKPIVPPKKINTAQISATQFSIADLQIATDSFNVENLIGEGSIGRVYCAQFDDGKVLAVKKINSSALQNHEDFLNIVSEISQLHHPNIIELVGYCSEHGQYLLVHEFHKNGSLHDFLHLSDEDSKPLAWNSRVKIALGAARALEYLHEVHSPSMVHKNIKSANILLDLELNPHLSDSGLASLINDADQALNHNTGSGYGAPEVAMYGQCTIKSDVYSFGVVMLELLTGRTPFDSERPRSEQSLVRWATPQLHDIDALAKMVDPALKGLYPVKSISRFADVIALCVQSEPEFRPPMSEVVEALVRLVQRANMSKRTYSIDRSSRGETDSQDNQP >Solyc08g005470.3.1 pep chromosome:SL3.0:8:348002:351724:-1 gene:Solyc08g005470.3 transcript:Solyc08g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYKVIKEVGNGTFGSVWRALNKQSGEVVAIKKMKKKYYSWEECINLREVKSLRKMSHPNIVKLKEVIRENDILYFVFEYMECNLYHLMKDRPKLFLESEVRNWCFQIFQGLANMHQRGYFHRDLKPENLLVSKDTIKIADFGLAREINSKPPYTEYVSTRWYRAPEVLLQSPIYGPAVDMWAMGAIMAELLTLRPLFPGSSEADEIYKICSVIGTPSKSEWAHGHELAGAINYQFPQMPGVNLSVLLPSASEDAINLITSLCSWDPCKRPTAVEVLQHRFFQSCFYVPPSLRSKTAVSKTPPSAGMKGSSEQKTNRWSSSTLTNPKASSSFSPVKSQFSPAGVQRKLQMNYQCLIIAQIVVIQDATRNDKPLKGSSIKQQPAKYLVGSTVKTRVSDAAEKLGNMSIGSGRASIKQPFPQPMKAGGLHGQHDLFLGRSQDILPGRSFSRKVAGDHIRAVM >Solyc03g079960.3.1 pep chromosome:SL3.0:3:53297323:53299678:-1 gene:Solyc03g079960.3 transcript:Solyc03g079960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTITSWKELSITLLFCVLISHSFASTFTITNNCPYTIWPGTLSGSSSPQLSTTGFQLNAGQSVRIPSVAGWSGRIWARTGCIFDESGVGSCQTGDCGGKLECDGLGATPPASLFEITLGTGDTKDFYDVSIVDGYNLPMVATPQGVPGGCNATGCVSNLNMGCPKELQVVGGDGGGNVVACKSACEAFGLDQYCCAGEFANPTTCRPSFYSSVFKRACPRAYSYAYDDGTSTFTCKASDYAIIFCPMNGVKRPNGGETTPPIEESRMEKFPAMVSSSNILLPFPMLIGLLFQFISL >Solyc10g009560.1.1.1 pep chromosome:SL3.0:10:3666577:3666804:-1 gene:Solyc10g009560.1 transcript:Solyc10g009560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCGKDFVLRSAHNSVTFDIFHTMWSIKGSYACRSPGGSVGFCAPPLEDGGVEDFGKGGGFGCCLSGGVDGRKQS >Solyc07g044760.3.1 pep chromosome:SL3.0:7:57933909:57940769:1 gene:Solyc07g044760.3 transcript:Solyc07g044760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFVLLKKSSSLHASKRVALNALTHLFFSPSTSGEDKFISGNTQFNSFTTVSTANTSTNGNWVDRKFGVSVRGFHSGRYLRAGYAVANLPEDDEGLEISKLGISQEIVSALEKKGITKLFPIQRAVLEPAMQGSDMIGRARTGTGKTLAFGIPIMDKIIRFNEKHGRGRNPLALILAPTRELAKQVDKEFFESAPGLDTLCVYGGVPISRQMSSLDRGVDVVVGTPGRIIDLLKRGALNLAEIQFVVLDEADQMLNVGFAEDVETILENVPQKHQTLMFSATMPSWILKITNKFLKNPVHIDLVGDSDQKLADGISLFSIACDMHQKPAILGPLITEHAKGGKCIVFTQTKRDADKLSYVMQKSFNCEALHGDISQTQRERTLSGFRQGQFNVLVATDVAARGLDVPNVDLVVHYELPNSSEIFVHRSGRTGRAGKKGSAILIHSSGQHRDVKGIERDVGCRFIELPRIEVEAGATDMFSDMGRGGGRFGSYGGMGGGRFGDSGSGRSGGYGNSGGRFGGQGGYSGRTGGFGGSGFGRSGGSFGGSSSGRSGNFGGSGDMRDSNRQGGFGNFGGSDRSGGFGNSNRTGGFGNFESSNRSSGFGGFGSGRSSGFGDSRSDNENRSGKKFF >Solyc07g043230.3.1 pep chromosome:SL3.0:7:56951288:56954125:-1 gene:Solyc07g043230.3 transcript:Solyc07g043230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFKKTIFWYILLVLPAIVLGDLGDCTCDPEDEYRNKKEALKYKMAALASILVASSIGVIIPVLGKAIPALSPERNLFFIIKAFAAGVILSTGFIHVLPDAYGSLTSPCLAKHPWGDFPFSGFIAMVSALATLMVDTYANSYYSKKNLENGVAVAQSGDEGGVVHPHSHGSGSMMVDSKSELLRYRVISQVLELGIIVHSVIIGIALGASETPKNIKPLVAALTFHQFFEGLGLGGCIAQAKLKSRTIAIMTLLFSLTTPIGIGIGLGITNVYDENSPTALIVEGVFNSASAGILIYMALVDFLAADFMHPRMQSNGKLQLGANFSLLLGAGLMSMLAIWA >Solyc11g056410.2.1 pep chromosome:SL3.0:11:45646941:45653759:1 gene:Solyc11g056410.2 transcript:Solyc11g056410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSRFFGPYFLVSSLCSLLYHHLLCYTQWTSSDEQSLTFDSYTIPEDDLELGQSRLLEVDNRVVLPAKSPIRFIVTSADVPHSWAVPSLGVKCDAVPGRLNQTSISVQREGVYYGQCSEICGTNHAFMPIVVEAVPTKDYGSRVSNQLIPQSPNKEPYGHGLRKRMTRVQVVRLSRQERWKERIDLLLPECLDLLKTGMNHEIDKE >Solyc07g045140.3.1 pep chromosome:SL3.0:7:58373784:58375683:1 gene:Solyc07g045140.3 transcript:Solyc07g045140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TGLVIGPIVTVIISVGNSAVVIGLWPAHFIWTYYCVAKSKRLGWVLKIALLVSLPVPLTLWPIVAIIGSLIGGIGYGFLAPLIATFEAIGESITSKIYHCFADGCISTLKGSCTVVRDFTDFCFHSYFSYMDELSEEIYPDEKPIEVKLSKLPSCILVSLLAIPVDVPIITALALWKSPFMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIFLWPLAVVGSVVASFFSSFALALYSGIVVHQEDSFRMGLAYILAAVSIFDEYTNDLLDMREGSCFMRY >Solyc09g090635.1.1.1 pep chromosome:SL3.0:9:70562138:70562296:-1 gene:Solyc09g090635.1 transcript:Solyc09g090635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAIRWSMVHMSVS >Solyc12g096460.2.1 pep chromosome:SL3.0:12:66354172:66358161:1 gene:Solyc12g096460.2 transcript:Solyc12g096460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFSTEEVELYTNWDDVFCPICLDFPHNGVLLQCSSYDKGCRPFLCDTDHLHSNCLERFKQAHRVIAGSPSLSISNEREDVIKSEANSWSACPLCRGKVTGWVVVEKARIHLDVKERCCEEDKCKFKGTYVELQKHAKLDHPHARPSKIDPARQIDWENFQQSSEIIDVLSTIHAEVPRGVVLGDYVIEYGNDNSDDEFENFPSAEGNWWRSCILYQVFNNFRTSRNRRRSRVSNARRGNRHLGYDASNSDESSVTSVEYVDYGVEELDDGFVRIAGVSRRRADSRRIKLTAPHVISFLILGEDVPASMTIR >Solyc03g063390.1.1.1 pep chromosome:SL3.0:3:36756400:36758097:-1 gene:Solyc03g063390.1 transcript:Solyc03g063390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLQKLTTPLILKWGYVFNNSRPIFGSFQKVRFYATAKKSKLKQEEEECHIPRAVKKEAQAALLDYLHSTRSLQFMDAEHMSKNSPFFLSNLLRRIDNENDIRRSLTRFLRYHPINEFEPFFESIGLKPCQYLPFLPRDLIFLNDDYRLLDNYHVLCNYGISRNKIGRIFAEAPEVFRYDSGVLDLKLASFHGVGIDQCTVVKLVCASPHLLIGNVHKEFFQVFEELKKTGVEYSWIEEQLNGNFVDWNHLFKLICFLNGLGLTDQQLGKLICQVPGLLFDCSGRITFSLIGFWLKFGIQIPELLGVFRHLPQIPISTFVFNMRQGYQFLIEIEMPVLEIGNIIHSYPTLLGSCVLKKATSLLTTLNTGKKRLCSVIKENPEFLRNLVRGAKVERLPIAEEELRSKMMKTKFLLDLGFAENSSEMEKALKLFRGKGVELQERFDCLVNAGLNRKHVASVLKIYPQILNQRKEVLEAKIDFLLNKLGLPLSTLVSFPSYLNYTIPRTTLRVSMYNWLKDQGKVDPTLSLSTIIACSEKLFMKTYVNPHPKGLEVWQDLKREIYPD >Solyc01g094200.3.1 pep chromosome:SL3.0:1:85635526:85646319:1 gene:Solyc01g094200.3 transcript:Solyc01g094200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVARSAASTFRRTRRLSTAISAPCIVHKRGADILHDPWFNKDTGFPMTERDRLGLRGLLPPRVISFEQQYDRFMESFRSLEKNTEGQPESVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIFNWPSTQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRVLPVMLDVGTNNQKLLEDPLSLVTPPHSVLQVGRRGFCFLQDFDFTDLGLRQPRLEGEEYLSIVDEFVEAVHARWPKAVVQFEDFQAKWAFETLDRYRKKFCMFNDDIQGTAGVALAGLLGTVRAQGRPLTDFANQKIVVVGAGSAGLGVLKMALQAVSRMAGPSADPHFFLLDKNGLITKDRKDIDPAALPFAKAHHEIEGLGLQEGAGLAEVVKKVKPHVLLGLSGVGGIFHEEVLRAMKESDSVRPAIFAMSNPTNNAECCPVDAFKLAGEDIVFASGSPFANVDLGNGKIGHVNQANNMYLFPGIGLGALLSGARNISDTMLEAAAECLASYMSDDEINRGILYPSIDDIRDITAEVGAAVLRAAVAEDLAEGHGDVGVRELQHMSKEETIEHVRENMWYPVYGPLVHE >Solyc01g057773.1.1 pep chromosome:SL3.0:1:63678143:63680243:1 gene:Solyc01g057773.1 transcript:Solyc01g057773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGGKISSLEKLDNAMMELRNQISAENCRGTVPLVQEGVSDGNGGLGVPRERERSPRTCVQECKNAGSISAGGRGGGSKIFSKIDLRSEYHQLRMANEDVPKTAFRTHSGHFEYLVMSFGLSNAPATF >Solyc01g007100.3.1 pep chromosome:SL3.0:1:1652593:1657440:-1 gene:Solyc01g007100.3 transcript:Solyc01g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNFKPPETKTTPSSSSYSSTAEKAFSLISKGWKEVRSSADADLQLIRNRAKELENFLSSVPTITATATATATATTPGEIDFVKKLRPKLSEIRRAYSSPDFNWAPKGKLRIDLSGITNAIVTESEDEKLGKWRREKRFKDEKQFGELSNWEPIRAFRNRLREMEVEIKSSNASSPVEIFEGIKNSEFMEKVKSSFKSICKEPEESKGVPPLDVPELLAYLVRQSSPFLDQIGIRKDISDKIVESLCSKRRNKLLPEGDCSLIEGDNMIDELELRIASVLQSTGHHYEGGFWSNSTKQNVSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSEKQNVTLLVPWLCKSDQELVYPNNLTFSSPEEQELYIRNWLEERVGFKTDFKISFYPGKFSKERRSILPAGDTTQFIPSRDADIAILEEPEHLNWYHHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFLVKHVNNWVTRAHCDKVLRLSAATQDLPRSVVCNVHGVNPKFLKIGEKMAAERQNGQQVFSKGAYFLGKMVWAKGYRELIDLLAKHKSDLDGFNMDLFGNGEDAHEVQTTARTLNLNVNFMKGRDHADDSLHSYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFQAFPNCLTYKTSEDFVTKVKEAMTGEPQPLTPEEQYKLSWEAATQRFIEYSDLDKVLTSEKGGDRRKTRIKGIGKSVSMPNLGGMVDGSLAFAQYCLTGNEFVRWCSGATPGTRDFDQQHCEDLNLLPPQVENPIYGW >Solyc08g048010.1.1.1 pep chromosome:SL3.0:8:15355071:15355223:-1 gene:Solyc08g048010.1 transcript:Solyc08g048010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGCVRLHQAPLLGLARRSRLYRRRLKSLVSQAELAVGACYLVNFTEHLF >Solyc09g011450.3.1 pep chromosome:SL3.0:9:4762185:4769783:1 gene:Solyc09g011450.3 transcript:Solyc09g011450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQSVMPVIRAARPTFRNPHDKVAFAVHAAFLASGFVLHATGPAASTDDALSSTSTVDEVGIDHWNEFEDCYAFVYSKPEKSSKKVLVKCLAMNNKLLIDAFRDGDNEPLHLELRQLLVNQGLHSVCSDESILVICSVEDYIVEDGGTNYNTQFKNLGKLVGELNKAILTKYTGSSMSNSSTQTSSSEKGEINNPPNVERQPDYPYQPAGSFPSGYVVPPIPGIGGGDLFPGPGAGVYPTRGEPGAGGSMLVGPNDPRFFGGMGGDPRLPGGLPGVPPGARFDPYGPPDVPGFEPGRFIRNPRRPGGGGKPHPDLPHFGGDSDFI >Solyc10g024380.1.1.1 pep chromosome:SL3.0:10:13741176:13741331:-1 gene:Solyc10g024380.1 transcript:Solyc10g024380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNKATIGDVGGSVLTGTLLHILDRQWSYAHHKVRDQCSSYHDDRKPIN >Solyc02g084960.1.1.1 pep chromosome:SL3.0:2:48631805:48632554:-1 gene:Solyc02g084960.1 transcript:Solyc02g084960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFDFGTEKMENRVILIVHSFKSVAKLFRIVELCVGVFVLLWSSTRLPFVVKISGEYFRQLISMILSPLFIFLISNVIVLTLFFKSGRFSVDSSTISNNTETELYDSFIEKETCSGNFTAENSSPAPAREQTVYQDKQTILEVNAQTITESDGSEETETETEKDFCSQIPRRTKSAKFNRGSNKEIYGKLRRSETEMYRKIDNSVDPSETVYPVDELSNEEFQKAIEDFIARQIKFHQEEKLAIVLHS >Solyc07g025220.1.1.1 pep chromosome:SL3.0:7:26780785:26781087:-1 gene:Solyc07g025220.1 transcript:Solyc07g025220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRRSSLRLPWSLDESPIQPSTQSNAKTTTTTTTLTGETQISSQPSNINSISRNSPYQVTTRPKIGQRPSFRPALSPKNRESRKKSQSPSPSQPLVSTA >Solyc12g008510.2.1 pep chromosome:SL3.0:12:1919097:1924154:-1 gene:Solyc12g008510.2 transcript:Solyc12g008510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:K4DBT9] MGKLVVGATVVCTAAVVCGVTVLLMKHRVKNSGEWGKVEALLKDFEEKCATPVEKLKQVADAMTVEMQAGLASEGGSKLKMLISYVDNLPTGDEKGLFYALDLGGTNFRVMRVQLGGKEKRIVKHEVKEVSIPQNVMTGSSSEVLFDFIATALAEFVATEGDDFHLPPGRQRELGFTFSFPVKQLSIASGTLIKWTKGFSIEDVVGQDVVGELAKAMERAGLDVRVAALVNDTVGTLAGGRYNNPDVIAAVILGTGTNAAYVERAHAIPKWHGLLPKSGEMVINMEWGNFRSSHLPVTEYDQNLDIESLNPGEQIYEKIISGMYLGEILRRVLCRMAEEASLFGDYVPSKLKVPFVLRTPDMAAMHHDESADLKVVGNKLKDILEVPNSTLKMRKIVVELCDIITSRGARLSAAGIVGILKKLGRDTFKDGEKQRSVIAVDGALFEHYTKFRNCLKETMKELLGDAADSTVIELSNDGSGVGAALLAASHSQYTDLEES >Solyc10g039430.2.1 pep chromosome:SL3.0:10:21833966:21857010:-1 gene:Solyc10g039430.2 transcript:Solyc10g039430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLPKILKGNDTINDSQDPEVMELYSRAKAQQEEILYLREQIALASIRESQLLNEKYGLEKKFSELRMALDEKQNEAIISASNELTRRKGDLEENLRLVNELKDTEDDKYIFMSSMIGLLAEYGVFPRVASASNLTNNVKHLHDQLEMKIRTSHAKIAQLNSMVTNHARGGSFDMESPHSSSINNQLPSGSMGMNEYPAFKQYIDGQHNEAAATGSGDVQASKHLPAESLLFNREMHQQANIGSHLEISSNTERDVSGPAKDNLFAINGVNERFEESNNENRHNPPTVGNDIGGSFSSEGESPGIEVFQIIGEAKPGCKLLGCGFPVRGTSLCMFQWVRHYPDGTRQYIEGATNPEYVVTADDIDKLIAVECIPMDDQGHQGELVRLFANDQNNITCDPDMQSEIDTHISEGQATFNVLMLVG >Solyc02g021100.3.1 pep chromosome:SL3.0:2:22057616:22061855:-1 gene:Solyc02g021100.3 transcript:Solyc02g021100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRQDNKGKKRRKKHREKYYEEEGKIVKSSPYFKKVPDHEDIGSSKSIEAFSDLYMKPIKSECMVTVETHQMSNKRKRKKNSERVDDEKSGNDNREMDAEPVLIGPPVTNLEVINEKENKQFGDLDEGCSSVASISVVRGDNAVAISLDDLFSQFAYKDGNFSSSKRRTEDEKIVVKSHVCGPLSRTLISMQKSSETGSMIGNESHLSHWEGGCGPKAGKTVFEPCLSQNQINEKMIEQKARVVSPYFLNSRNGETEGCGLKAGKTVFEPCLSQNQINEKMIEQKARAVCPYFLNSRNGETEMKKGRSVECVKKRNDKKLRTKVRVVSPYFANLKVGEEIKVGKDSSNASKNCLNGRKVSPYFQNAYREKKKSTIGSKRQKPCLSASQKRDEAYLRRSEDNMWVPPRSHFNLLQENHAHDPWRVLVICMLLNCTTGVQVRRVVDEFFTLCPNAVAATEVAVEDIEKLLRPLGLYTKRSLSIPRLSQEYLGKNWTHVTQLHGIGKYAADAYAIFCTGNWDQVHPNDHMLTKYWEFLHANGSAST >Solyc06g008650.3.1 pep chromosome:SL3.0:6:2537280:2537960:-1 gene:Solyc06g008650.3 transcript:Solyc06g008650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNIIKSSKTETQATSTNFIKDIRKMEASKKLVAMFLLCIVMISSSVHVSMADGESTTEKFKKAFTVVATEYAVCYNTCQKVCADAGFGYTHCEMKCDEDCTATLLKEKLQNMKN >Solyc08g074495.1.1 pep chromosome:SL3.0:8:58718793:58720389:1 gene:Solyc08g074495.1 transcript:Solyc08g074495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKTVIITTLNAAWTEPNSIFDVFLKSFRVGNQTTSLLKHVLVACLDQTAYSRCLEKKQVHCYALTTKDVDFSGEAHFMSDDYLKMMWRRIDFLRHVLEMGYNFIFTDADILWFRQPFAHFYPDADFQIACDHYWFDSTNLDNSPNGGFNYVKSNERTIQFYKFWYKAREAYPGKHDQDVLNMIKHNPFIKDIGLKIRFLDTAFFGGFCEPSKDLNLVCTMHANCCIGIGNKMHDLTMALDDWEKYVTLNGDEKMLRPQTWTVPRICG >Solyc10g045420.2.1 pep chromosome:SL3.0:10:33322744:33326726:1 gene:Solyc10g045420.2 transcript:Solyc10g045420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSKNHQCYIAVPSHIVNSHLQNMLSSEKKITPLSRKFKLLLTNPRFWIFLVFLFGFVGLWLDFLPFSQNPCSISRELFTESEFWKQPDGLGYRPCLNFSKTGREILKDKTRYLMVVVSGGMNQQRNQIVDAVVIARILEAALVVPIFQVNPIWADESEFSDIFNLDHFKNVLGNDVRIVSSLPSTHVMTRPVEEKRTPLHASPQWIRSHYTRKLRRDGVLLLRGLDSRLSKDLPSDLQKLRCKVTFHALRFNPSILELGNKLTERMRSKGPFLALHLRMEKDVWVRTGCLPGLSHEYDEMINNERKRRPDLLTSRSNITYHERKLAGLCPLNALEVTRQGVILPEEVLIKALGAPKGARIYWAGGTPFGGKETLQPLTKEFPHFYNKEDLALPGELETFSKKASLMAAIDYIVCENSDVFMPSHGGNMGHAIQGHRAYAGHKKTITPNKRQMLPHFLNSSLSESEFNKIILDLHKDSLGQPDLRKRGRDVTKYPVPECMCNSTTIHSSM >Solyc11g068450.2.1 pep chromosome:SL3.0:11:53471388:53474176:1 gene:Solyc11g068450.2 transcript:Solyc11g068450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSKQVDPHGLEASLIRIINSHHSSSLKLRESTEKAKKDGIRNARRVSELLVDSVNREVQEAFVMEKRIEMEIRALTASIFRFGKQTDQWLAASHSINTAIKEIGDFENWMKTMEFDCKSINAAICNIHQE >Solyc11g068610.2.1 pep chromosome:SL3.0:11:53550140:53558242:1 gene:Solyc11g068610.2 transcript:Solyc11g068610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arp2/3 complex 34 kDa subunit [Source:UniProtKB/TrEMBL;Acc:K4DA18] MILLQSPSRYLLQILYNRVQSLEKGVELDSQWVEFDDIRYHIQGSVKNPNVLLLSVSLPIPPPETVLFGGLPLGALEAIKAAYGAVAQILDPPRDGFNLTLKLNLSKLPPDEEQKHALLTKIASVREVVMGAPLRAVLKQLVTRTVPSDLGKPVALVHRPNESFFLVPQADKVTVIFPMRFNDSIDTVLATSFLQEFVEARRTAGLNNAPPCLWSPSPPQELTEAFTEALSANAGFVSFVIFSRHVEGRKLDRTVWNLSTFHAYVNYHVKCSECFMHTRMRRQVESLIQALDRAKPDPEKAKKNSPNRSFKRMSLKDGNNSLGSRSWK >Solyc07g062290.3.1 pep chromosome:SL3.0:7:65189868:65192133:-1 gene:Solyc07g062290.3 transcript:Solyc07g062290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACGSSHVKPNRIGKRIIRKPKPWKHPQPITRSQLMQLREEFWDTAPHYGGKKEIWDALRGAAEADLELAQAIVDSAGIIAQNTNMTICYDESGTKYELPKYVLSEPTNMIRFG >Solyc02g084670.3.1 pep chromosome:SL3.0:2:48389821:48394289:-1 gene:Solyc02g084670.3 transcript:Solyc02g084670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHKTISNAVKPTPVFKDSQGDLPDDALKTGDANRPQDTSEIDKLQTDVSSDITKDGGGDALNVNVDQSLQGNENVKPEMEKKNVNPVVAENAQGLHGTESVDEREKQKQLETQTSEESSITHKQEAEQITAATGKTTTGKEKAEEDVSLDSGNDAHGVATDNTKNLLSDHEQQIRLEQHQQQEDDQMQQKPKQPEEHTQGVTSKDQIKHDEAPLLKDVKIQNDHKAPVNLTNNPPVLKNENNKTEMIVPKESKESKKAWSTQADQSVNQKERRTVGKNNQDSSVPEQNWQLCEVEALADYIPCLDNEDAIKKLKSTKHYEHRERHCPQEPPTCLVPLPEGYKPSIEWPTSRDKIWYHNVPHTLLAEVKGHQNWVKVSGEFLTFPGGGTQFIHGALHYLDFVQEAVPDIAWGKRTRTVLDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAISAVMGTQRLPFPSGVFDLVHCARCRVPWHEEGGALLLELNRVLRPGGYFAWSATPVYQTLEEDVEIWKEMSNLTVAMCWELITIKKDKLNSAGVAIYHKSDTNECYDQRKQNKPPMCKQDDDPNAAWYVPFNSCMHRVPSKETERGYRWPVEWPERLQTPPYWLNRSQIGIYGKPAPDDFKADLEHWKRLISKVYMKGLGISWSNVRNAMDMRAVYGGFAAALRDLKLWVVNVVNVNAPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSQLKKRCKIVAVMAEVDRIVRPGGKLIVRDDSATTKEVENLLKSLHWEIRKTIFQNQVGILSAQKTFWRPNATAAPT >Solyc01g102435.1.1 pep chromosome:SL3.0:1:91129599:91132825:-1 gene:Solyc01g102435.1 transcript:Solyc01g102435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAFAHFYAFPYKEYAGTNIGPPVGFTASLGHVLMLNNFYHDTVHQASKQGNRRQELLFAYGCIN >Solyc06g068880.3.1 pep chromosome:SL3.0:6:42820469:42823958:-1 gene:Solyc06g068880.3 transcript:Solyc06g068880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTHKFHDFLFVPTSILASALSSIVTQNQKLLFFFNKNLKKAMALSLFFFLLCASVRIGYAFPPHHPDKIILLPGQPQVGFQQFSGYVTVDDKKQRALFYYFVEAETDPASKPLVLWLNGGPGCSSVGVGAFSENGPFRPRGQVLVKNEHSWNKEANMLYLESPVGVGFSYSTNTSSYETVNDEITARDNVVFLQRWFNKFPQYRENNLFLTGESYAGHYVPQLAKLMIELNNKKKLFNLKGVALGNPVLEFATDFNSRAEYFWSHGLISDSTYRMFTSVCNYSRYVSEYYRDGVSPMCSRVMSLVSRETSKFVDKYDVTLDVCMSSVLSQSNIISPQENGEKIDVCIDDETVNYLNRQDVRTALHARLVGVHSWDVCSTILDYQLLDIEIPTISIVGLLVKERIPVLIYSGDQDSVVPLTGSRSVVHQLANQMGLNTTVPYRVWFSGQQVGGWTQVYDNILSFATIRGAAHEAPFSQPERSLVLFRSFLQGKALPEVF >Solyc03g025700.1.1.1 pep chromosome:SL3.0:3:3143858:3145477:1 gene:Solyc03g025700.1 transcript:Solyc03g025700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLQQNNTISMNFRSPHHHSAKSTHPNFSFTNENTKKQKLHMENPKSRRISLQRSGQNQLVQNQKLPPKTKLQALETVIRNLEMTVKNGTDIYDPQIFASLLETCFQLQAIDHGVRVHELIPEKLLRKNVGISSKLIRLYACSGQTQKAHQLFDKMPKRNTSAFPWNSIISGYAEKGLFEDALAMYFQMVEEGVEPDCYTFPRALKACGGVGLIHVGEEVHRHVIRRGFGSNGFILNALVDMYSKCGDIVKAQKLFDQIGTKDLVSWNSMLIGYMRHELVTKALNLFRLMIRDGIEPDSVSISALLVARIPFSIGKQIHGWVHRRGTNQELSIVNSLVDFYADQKKLKQVRWLFENMHERDVVSWNSVISAHSKHCEALLYFEKMVKSGDLPDSVTFVSLLSACAHLGKLEDAERLFRAMQERYDISPRMEHYSCMVNLYGRLGLIDKAFDFVMKRMEFEAGPTVWGALLYACYRHGNVKIGELAAQHLFELEPDNDHNFELLMKIYRNAGLTENVQRIKLMMIERGLDSPIPYERH >Solyc06g069520.3.1 pep chromosome:SL3.0:6:43408822:43411499:1 gene:Solyc06g069520.3 transcript:Solyc06g069520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFIFGAETTAAMSSPANDPRQPSTAKPYKPSPVAPQDLPIDYSGFIAVICGVFGAMFRYKICSWLAIIFSAQSLANMRNMENDLKQISMAMMFGIMGLMTNYLGVGPRGSKKI >Solyc06g083700.3.1 pep chromosome:SL3.0:6:49078924:49081848:-1 gene:Solyc06g083700.3 transcript:Solyc06g083700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFSSEQDSVSRRCVWVNGPVIVGAGPSGLAVGACLREQGVPFVVIERSDCIASLWQKRTYDRLKLHLPKKFCQLPKFPFPNHFPEYPTKRQFIEYLELYAKHFDINPQFNECVQSAKYDETCGVWRVKTSSPNGFEVEYISQWLVVATGENAERVVPEIEGLKEFGGEVIHACDYKSGKNYKGKKVVVVGCGNSGMEVSLDLSNHGAQPSIVCRSSVHVLPREICGKSTFELAMLMMKWLPLWLVDKILLILAWFILGNIENYGLKRPSIGPLELKNKHGKTPVLDIGALEKIRSGKVKVVPGIKKFSCGTVELVTGEKLEIDSVVLATGYCSNVPYWLQVRQCHIPSGTFFNNESEFFSNNGFPKAQIPNNWKGKSGLYAIGFTRKGLAGASADAINIAQDIGKVYKEDLKQKKQKVPTHRRCISTF >Solyc11g008725.1.1.1 pep chromosome:SL3.0:11:2902855:2903307:1 gene:Solyc11g008725.1 transcript:Solyc11g008725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKWMRIALLISLLTPIALAIQEEEFYNPDHGGDFNSYDSSLYYTFPNEYYRDRKSSAPEPYPEQVEECLQNISDDCGIIIFNKIMDNGKYSDVGECCTQLITLGIKCHNAIMESTLLSPEMKGVNITQIWKNSDKLWEECNSISPSSC >Solyc12g100353.1.1 pep chromosome:SL3.0:12:68100700:68123499:1 gene:Solyc12g100353.1 transcript:Solyc12g100353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSAGAVAVLLLLAVGLLCAYELCAVYVTAGVRASERYSPSGFFFGVSAISLAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPVACLQEPPDPNELYPRQSRRALHLGLLYVGSLVVLLVYSILYGLTAKESNWLGATTSAAVIILGSSFQAYISFSDLYLEIISDFPCCRVVVLFVAGTSRVFLICFGVHYWYFGHCISYAVVASVLLGAAVSRHLSVTDPLAARRDALQSTVIRLREGFRRKDQNSSASSSEGCGSSVKRSSSADAGHLGNATVPCTGDGSTWNNIEGINSDKSVDSGRPSLALCSSSCRSVVQEPEVGSSYVDRNLEHNSSLVVCSSSGLDSQGGDSSTSTSANQQILDLNLALAFQEKLSDPRITSMLKRKGRHTDRELANLLQDKGLDPNFAVMLKENGLDPMILALLQRSSLDADREHRDNNPPVTDSNGVDDVLHNQISFSEELRLQGLGRWLQRFRVMLHHIAGTPERAWLLFSLIFILETVIVAIFRPKTIKLLNATHQQFEFGIAVLLMSPVVCSILAFLRSLQAEDLSMTSKPRKTKARQKVFVPANLLNPVNMVCFACSAMLDLLSNLIMASSKIDVQGQLMLFLKEYGFIAWMLSTCVGLLLSFLSKSSVLLGLSLTVPLMVACLSIAIPIWIRNGYQFWSSRAENASRAGNHLTLGMKEGAVLFISISLFAGSILALGAIVSAKPLDDLDYKGWTGGRNSVTSPYASSVFLGWAMASAIALVVTGVLPIISWFATYRFSLSSAICIGLFAVHFILFEKPFTHNLFSFIPEAVIVAFCSVSYFEVVGSRTDQIPTKADFLASLLPLICIPAVLSLGAGLFKWKDDNWKLSRGAYMFIIIGLLLLLGAISAIIVTIKPWAIGAAFLLVLLLLVLAIGVIHYWASNNFYLTRIQMLLVCFLAFLLALAAFLVGWFQDKAFVGASVGYFSFLFLVAGRALTVLLSPPIVVYSPRVLPVYVYDAHADSGKNVSAAFLVLYVIALAIEGWGVVASLKIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMVEDAVHFLSKETMVQAIARSATKTRNALSGTYSAPQRSASSAALLVGDPTMMRDRGGNFVLPRADVMKLRDRLINEELAAGSIFCRLRNRTLRHEATSDVGHRREMCAHARILALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDNIGFSDLSAKDIKKWLPEDRRRFEIIQESYMREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISSIPNAGNREAAAMAAAVRAVGGDSVLDDSFARERVSSIARRIRAAQLSRRALQTGLAGAVCILDDEPTTSGRLCGQIDPSVCQCQKISCSLAVMVQPESGPVCLFGTEFQKNICWEFLVAGSEQGIEAGQVGLRLITKTDKQTTVKEWSISATSIADGRWHIITLTIDADLGEATCYLDGYFDGYQTGLPLRVASCIWDLGTDVWVGIRPPIDVDSFGRSDSEGVESKVHIMDVFLWGRCLTEDEIAALPAAMGSAEYSMIDLPDDNWQWADSPTRVDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDRDGVVLDVDSFTRRLRKTRVDSQKEINQHMLSVEIAVKEALLARGESHFTDQEFPPNDRSLFVDPDHPPSKLQVVSEWMRPTDIVKEKHMDSHPCLFSGVASSSDVCQGRLGDCWFLSAVAVLTDVSRISEVIITPEYNQEGIYTVRFCIQGEWVPVVVDDWIPCESPGKPAFATSRKGNEMWVSLLEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSSEAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSILQVREVDGHKLVQIRNPWANEVEWNGPWSDPSPEWTDRMKHKLKHVPQANDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSIHGQWRGYSAGGCQDYDTWHQNPQYRLRASGPDASLPIHVFITLTQGVSFSRTTAGFRNYQSSHDSMMFYIGMRILKTRGRRAAYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASISLETL >Solyc11g011300.2.1 pep chromosome:SL3.0:11:4340492:4348994:1 gene:Solyc11g011300.2 transcript:Solyc11g011300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEETKKQKIHKENMGKNMQLISLLYVVEFTMVAFTLLVVDGQRLIESPIVRLDIQTNQVTMSNGIVNVTLSTPYGFVTSISYGGVNNLLATQNVEKDRGYWDIFWNRTTSKRARKKFLENNFEVIMDTENQAEISFKSTWNASQSDELPLNSDLRFVMLRDTPGFYTYAIVERLEGWPLVYIENLRVVFKLQQDMFHYMAISDERQRIMPMPVDRETGKVLDYKEAVLLTNPTNPNLKGEVDDKYFYASDNKDDRVHGWVSSTNPPVGFWMIIPNDEFRTGGPYKQDLTSHVGPTVLSIFVSRHFAGDDLVIKFQQGEPWKKVIGPVFLYLNSNSSAMDNPIILWEDAKRRMNQEVASWPYDFPVSEEYIKSNQRGMVRGQLLINDSSMTLVSASNGYIGLAPPGDVGSWQRENKGYQFWTKTDAMGNFTIENVISGTYNLYATVPGIMGDYKYTFDVQVTPGSSIDLGSLVYNPPRNGATLWEIGVPDRTAAEFFVPAPPPEYNVHVYQNNVESIFRQYGLWEQYSILYPENDLVYNVGTSNYSKDWFFAHVTRNIGNKTYISTTWKIIFDLEIVDNASNYTLQIALAAAQGAKLQVRFNDEKVVEPHFTTGKIGGDNAIARHGIHGLYFLYSIEVKGNLLVNGTNTIFLTQTIATNPFQGVMYDYLRLEGPHQ >Solyc07g048020.2.1 pep chromosome:SL3.0:7:59342195:59343474:-1 gene:Solyc07g048020.2 transcript:Solyc07g048020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQTMELKFTSKSLQRKAWKSEKNKKSEKLKVKKAIEKDSFERQFVNMEVQAEFMESFMAGSTFLWTVEDHVGNLIQQVVDDYGLEVSTNTDHAISSKDELSRRLA >Solyc05g052750.3.1 pep chromosome:SL3.0:5:63787356:63791182:-1 gene:Solyc05g052750.3 transcript:Solyc05g052750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIFQFIFSIFSLSQIIKHLAFVLKILHFPTIFSAPKPQEISLLEMGFLKEDRKTKVLRWVKTLFFLISMLISLLLFSAPILIVIADTLLPSLLLSASISLSSLSIQSLSSHLSNYDFRYSLVDIPLISIVRSVIILCVYSLCDGPRLSRGPYLGIATICSVSSLVFVSLKASYVFAHSSSMDRGGYVRVMEMALFVCSLALAVAHVVVAYRISCRERRKLLVYKIDIEAVSALKTGSFYRYLKVTPFRKTEVKLKSTESIST >Solyc09g064160.3.1 pep chromosome:SL3.0:9:61484589:61487483:-1 gene:Solyc09g064160.3 transcript:Solyc09g064160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CU47] MFSFSDNDMFSRRCVWVNGPVIVGAGPSGLAVGACLKEEGVPCVILERSDCIASLWQKRTYDRLKLHLPKQFCQLPKFPFPEHYPEYPSKRQFIEYLESYAKQFDLTPQFNECVQSAKYDEFCRLWRVKTVSADGAEVEYICQWLVVATGENAERVVPEIDGLKEFGGEVVHACDYKSGEKFRGKKVVVVGCGNSGMEVSLDLCNHDAQPSMVCRSAVHVLPREIFGKSTFELAMLLMTWLPLWLVDKILLILTWFILGDIEKYGLKRPSIGPLQLKNSQGKTPVLDIGALEKIRAGKVKVIPGIKKFSCGTVELVTGEKLEVDSVVLATGYCSNVPYWLQETEFFSKNGYPKAQFPNNWKGKSGLYAVGFTKRGLAGASADAIRIAKDIGKVHKEDLKQKKQKVPTHRRCISTF >Solyc10g038035.1.1 pep chromosome:SL3.0:10:19172221:19173685:1 gene:Solyc10g038035.1 transcript:Solyc10g038035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKYALELISDLGLGSSKPMSTPVESNLKLTTLEFDDLFGDESDSLLLDPGEYQRLVGRLYLILTRPDLSYAVQSLSQFMQAPKVSHMNAAIRVVKYVKQSPGFGILLTTQSTESLQAYCDADWGSCINSRRSITGYLIKYGDSPIYWKSKKQSTISKSSAEAEYRSLPPLLQRLLGLLILMRQEPENLL >Solyc04g051513.1.1 pep chromosome:SL3.0:4:50707461:50711212:1 gene:Solyc04g051513.1 transcript:Solyc04g051513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSRTNTYVLHGFRTHCSSRQAFVMRVNGSMLRFTLREFALISGLNCVNEETDFIFDQSEPNSFMEKYFEGVKLIRKIDIMRSFHRKLWGENDQDVMKFAILYFIQTVIFSNERATKKVPRLYFYLVESEGTVSFHGEKSFLFADEEPEQEVECSTIDFQVAQKVDDHIPRLLNWQTTKESRRYKKVMNTIFSDVNNKIKFRNITPSQRELAVLQLPPEGIKNQAPPQYSDSSDDDLDDEINDTNDDPREGSCDDKDSEDDFQAPPPQAVKTEHSDDHIVPPNLNDKDGYTPPYTSNKESPSNQVLVAQCDKLESGNSEVNQPSFVFDIPSQKVLGVSKNSHEDDVEQMPCPVPIRILDQMKVTTDSQFELDDQFMSSLNSIKSSIAPHSTVIK >Solyc06g068130.3.1 pep chromosome:SL3.0:6:42345696:42348541:1 gene:Solyc06g068130.3 transcript:Solyc06g068130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSSSNCILNSWISNFRTPLSTSIQLNYDENYCYCNRREMDGPDQKKNNIPMNKISKRNSRSNIELSSSTYNDEVKTTKFGSSEDYCAAPEDGGGGKVYSGGRWTGGGRGGDGSGSGSGSDNSNGWHGNENTEAYYKKMIETYPTNALLLANYARFLKEVKGDLVKAEEYCGRAVVLNPMDGNVLSLYADLIWLTQKNASRANAYFDQAVKFDPHDCYVVASYARFLWDAEEELKK >Solyc11g032070.2.1 pep chromosome:SL3.0:11:24631778:24644186:1 gene:Solyc11g032070.2 transcript:Solyc11g032070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGTDTPSADITHFRSLIGALHYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFGTLGCGLLIRPGDLELRGFSYSDWANDKNDRKSTSGSESFEDLSSKPLHDSIVMTNLSSSKISSSKNRHASTLKDQKTPKKRGRKAAPPIIRPTLPTNSPSPLSPSSSPSPDVPPSPNVPPSPTSSSSDEKVNRQLHLCASFAVGPQQVEVAPPPSPPGRAATAVVGVPNPLTP >Solyc12g017840.2.1 pep chromosome:SL3.0:12:7545601:7551376:1 gene:Solyc12g017840.2 transcript:Solyc12g017840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLHGLHEERLVAVAIDKNKGSQYALRWATENVLTKGQKVTLLHVPQTQTTSAPQSPTYNNGGNDPSTAQDSNSQCTDLFLPFRVFCSFKEIDYDLIILEGQDIAKSLIDYVSLYRVQNLILGCPSKNGISRLFTKSDVANTVMKKAPSFCNVYIVSKGKISSTRMASHPLKRKSSNPSICIPPQRNSNFDATNLMSPFPRVSISTNKTSDEVYVAKADNSFSGSDRMSTDTTLFLDCYDNLGSEVHSHRPSVSMNFMDSKHVGEPTRLSGFGPTRLVDHMHSANEFLLSEQNCEENSLSADKIKEMEEKLRRLELQTIQTMEMYHAACKEALREKQKLKELENLRKEDVKKLEEARREKEEALDHVAKEKALRIASKEEAKAAQKKAEKEAEKRKRSERKALKKSEAKKSTIKSLVYSQKAIKYQSLLRILVVLIIFYLYFFEL >Solyc04g054370.1.1.1 pep chromosome:SL3.0:4:52306068:52306565:-1 gene:Solyc04g054370.1 transcript:Solyc04g054370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSQLLAHIYTMTLVFVTILILELVILVRSITDTISDSGRGRPITTKQYLKLIDEKNPVSRFKTPSINPAGFSDTCAVCLSAFEDGEQVRKLKCKHIFHKDCLDTWLQQDSATCPLCRNKVLPEEIVVKFRQHRNLQTEYEGSDEELIFLLSALHGNYIGRFL >Solyc04g078520.3.1 pep chromosome:SL3.0:4:63322828:63324604:1 gene:Solyc04g078520.3 transcript:Solyc04g078520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLLQHTLRSVCTCSDSSSNASEWVYAVFWRIVPRNYPPPKWDHGGGLLDRAKGNKRNWILVWEDGFCDFYECERSKREHVTINFGPEIFFKMSHEVYSFGEGLVGKVAADNSHRWVSKDAPNEKDSNFTCSWNMSIEAQPRAWGVQFNSGIQTIAIISVREGIIQLGSFNKAFEDHNLVLNIQRKFSYLQSIPGIYAIQRPFLPIQHPYTYKPNNVTLVNETDNQMDDKNQIIGSKRVHEFPFKSINFGYNSPQTMASLPLWSMPIAAPSCYANAAHEMSSLHDRVTRNTTSKDVKVVDELGHLKFETDEENDQFSLNQNLGLENKVVEVGFRQLGNGGAAPNPN >Solyc03g117890.3.1 pep chromosome:SL3.0:3:68380030:68384233:-1 gene:Solyc03g117890.3 transcript:Solyc03g117890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAMASCGSISGVYTNLNAIEKIPISSSVLFRGSFGLDPVQRICLVPKRLAFSESTIIPKASSTAAVEGGSSQDTAVPTPKVIIDLDSDPETTVVEVTFGDRLGALLDTMTALKNLGLNVVKANVYLDSSGKHNKLCITHASTGRKVEDPELLEAIRLTIINNLMEFHPESSSQLAMGEAFGAFQPSQKLDVDIATHIHVYDDGPERSLLCVEAADRPGLIVDLVKIITEINIDVISGEFDTEGLLAKAKFHVSYKNKALIKPLQQVLANSLRYYLRRPTTNESSF >Solyc02g065250.2.1 pep chromosome:SL3.0:2:36984052:36990886:1 gene:Solyc02g065250.2 transcript:Solyc02g065250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKKQGKHFVLVHGAGHGAWCWYKLKPLLEAAGHKVTALDLAASGIDLRKIEQLHTLHDYTLPLLELMESLPQEEKAILVGHSLGGMNLALAMEKYPKKIYAAVFLAAFMPDSIHISSYVMDQYNERTPAENWLDTQFLPYGTPEEPLTSMTFGPKFLADKLYRLSPPEDVALGLSLVRTSSLFLEDLSKAKYLTDEGYGSVKRVYVVCTEDKGISKEFQQWQIDNIGVTEAKEIKGADHMAMLCMPKKLCDTLMEGMKKHFVLVHGGWCWYKLKPLLEAAGHKVTTFDLAASGIDLRKIEQLHTLHDYTLPLFKLMESLPQEEKVILVGHSFGGMNLGLVMEKYPEKIYVAVFLAAFMPDSNHISSYVLDEDVALGLSLVRSSSLFRKDLSKAKYLTDEGYGSVN >Solyc05g009850.3.1 pep chromosome:SL3.0:5:4065942:4072956:1 gene:Solyc05g009850.3 transcript:Solyc05g009850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSRNFRRRGGDDGDDDETATKSTNGTAAKPTTTASASAAKPKKKSLLSFADDEESDDTPFVRPSSKPSSASSRITKPSSSSSAHKLTSGKDRITPKPTSFTSNVQPQAGTYTKEALLELQKNTRTLVGSRSSQPKPEPRPGPVEPVIVLKGLVKPPFSVSAQTQQNGKESEDDEMDVDQFGGTVNRLGSMALEKDSRKKDDVGSVIPDKMTIDAIRAKRERLRQARPAAQDFIALDEGGNHGEAEGLSDEEPEFQQRIGFYGEKIGSGRKGVFEDFDDKALQKDGGFRSDDDEEDEEDKMWEEEQVRKGLGKRLDDGSNRGVMSSVVSSAAAVQNAQKANFGSSAVGASVYSSVQSIDVSDGPTIGGGVVGGLPSLDALSISMKAEVAKKALYESMGRLKESHGRTVTSLHKTEENLSASLSKVTTLENSLSAAGEKYMFMQKLRDFVSVICALLQDKGPYIEELEDQMQKLHEERAAAILERRAADNDDEMKELEAAVSAARQVLSRGGSNAATIEAATAAAQTSTAAMRKGGDLPVELDEFGRDKNLQKRMDTTRRAEARKRRRMKNDVKRMSAIKCDSSYQKIEGESSTDESDSESTAYQSNRDQLLQVSEQIFGDAHEEYSQLSVVVEKFDRWKKDYASSYRDAYMSLSIPVIFSPYVRLELLKWDPLHENTDFMDMNWHNSLFSYGISPEGETEISADDTDVNLIPQLVEKLAIPILHNQLANCWDMLSTSETVCAVSAMRLVLRYGPFSGSALSNLIAVLRDRLADAVANLKVPTWDTLVMRAVPDAARVAAYRFGMSIRLIRNICLFHEIFAMPVLEELVLDQLLSGKIVPHLRSIQSNIHDAVTRTERVVTSLHGVWAGPKATGDCSPKLRPLVDYLLSLARVLEKKHSSSSGEIETSKFARRLKKMLVELNQYDYARDISRTFNIKEAL >Solyc09g059310.3.1 pep chromosome:SL3.0:9:54444161:54452614:1 gene:Solyc09g059310.3 transcript:Solyc09g059310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAQPPVSAQVVGNAFVQQYYHILHHSPGLVFRFYQDISKLGRPEDDGSMSITSTMQAINDKILSLNYADFRAEIKSVDSQESFNGGVHVLVTGYLTGKDNLIRNFSQTFFLAPQDRGYFVLNDMFRYVEIANQQDAVQVPETDVLAPVTPEQIVVDPSPAQENHISDQSTPSAEEANGGEVYNPPQNDNVPDMVEEVPVAEVVDEVQGDSTMVAETNIIIEEVPKKSYASIVMHLKESAATFSPPPAPAPRKIVPKNVEHVRQLLAPAPADSPALSSDFVDNVNNQEGEADGHSIYIKGLPMSATTAMLEDEFKKFGPIKNGGIQVRSNRQQGFCFGFVEFEVETAVQKAIEASPILIGGRQAAVEEKKSTSSRGNTRGRYPSGRGNGVRNDGVRGRGNYGGSGRGYNRGDFNGRSEFNNRGGNRGGSSNRGGGDGFQRSDNMGGNNWRMNRGGGMANGTAKNMTPRISATA >Solyc11g022395.1.1 pep chromosome:SL3.0:11:13753593:13755558:-1 gene:Solyc11g022395.1 transcript:Solyc11g022395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKVLALLNRHKLLLKGRMGSTFHQNSCVRGHPCHFGRGSQSLLQRLTIYLQIGGTQHAFWTNHEDLNVSLSVSNLNHWGKTELLMGMKIFASNMQHQAMGNLLACHTQMEVRVGDLRHSGSRDTGNLFSFIFQNDRKSKRASLTQMSGTNSINVSTTFLNSPTVYSRFIA >Solyc12g038847.1.1 pep chromosome:SL3.0:12:52285807:52286558:-1 gene:Solyc12g038847.1 transcript:Solyc12g038847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRNTMSIRIEKGLKLVKEPEGRKVDNTLYEQIVGSLIRKSTPGYVFMLGSGVISWSSKKQPIVTLSINEVEYVAATSCACQAVWLRNILEELHFKQDRPMPIYSYNTSSINLSKNPVEHGRSKHIDVKYHFLRDLTKDAKIGLTYCRSEDQIEDMFKKPLKPVSFLSYTINLVFAN >Solyc04g071750.3.1 pep chromosome:SL3.0:4:58795475:58798766:1 gene:Solyc04g071750.3 transcript:Solyc04g071750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELKEMKNGIGLSRRGEVEGRHWRNRTRSHIAPDVVPFDPNQQGQWANIPPELLLDIVHRVEESETSFPARTAVVYCASVCKSWREVTKEIVKTPEECGRLTFPISLKQPGPRESPIHCFIKRDRANSVFRLYFGLTPSEDMSDKLLLAAKRIRRATSTDFVISLVSDDFSRASSTYVGKLRQVPVNYLFFYS >Solyc04g018143.1.1 pep chromosome:SL3.0:4:9709219:9710272:1 gene:Solyc04g018143.1 transcript:Solyc04g018143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCREYRELNKPTVKNKYPVPLVKDLMDRLSKACWFTNLDLRAGYWTVRIAEGDEPKTTCVTIYGSYEFLCEFAEQEIKFLGHLLSKNQVRMDPKKVQAIVDWKAPRHVNDLRSFLDLANYYRKFIAGYSQRAAALKDLLKKDMEWVWFERCDEAFQNLKNAIASEPILKLPDFELPFEVHTDASDKAIGGVLVQEGHHVAFECRKLNDAEQSYSTHEKKMVAVVHCLQKKLSPKQARWQEFLVEYDFVWEHKPGKHNQ >Solyc01g097600.2.1 pep chromosome:SL3.0:1:88250470:88265282:-1 gene:Solyc01g097600.2 transcript:Solyc01g097600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTLCSKLRRHHHLLLRKTLLPNILKPYYYRYLENTLLPDTMKTMDESDVGISCYISNLPGFRGILKQRYSDFIVNEVDLDENVVHLNSLEAPKECSENKDVNISDQLNRSYVTEIESFRSLAGNSDADKLKAFIDELNSGADVSDESIVLSPSSDKSHRTEIHNFFKERLKFLVTDTIDGVEDQSKCVRVRLNNDRNNGRGRYSRKRKDRNDKRYDSRGSDSWPGHLGKFLRFHLFKENKDTQEALNVIAKMLGVQLRSFGFAGTKDKRAVSTQRVTVFKQQASKLAALNKRLIGIKVGDFCHVKEGLLLGQLYGNRFTITLRGVSAESEDIIKASAVALGKHGFINYFGLQRFGSSSVPTHLIGAALLRGEWKAAVSLILDPREGDILCYFTLSIILLFFSTLSQLLNMKVLFPNIFNKNILYYVCL >Solyc06g065550.2.1 pep chromosome:SL3.0:6:41057435:41064486:1 gene:Solyc06g065550.2 transcript:Solyc06g065550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKLILELPASISHHIHQRSVLGMIQHSSHQATSLQQQGKEYGTMEQHVEDNI >Solyc04g051065.1.1 pep chromosome:SL3.0:4:49351379:49352004:-1 gene:Solyc04g051065.1 transcript:Solyc04g051065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPLEEANFIGCSTDLQLYLLTLTRMRMKRRYLEKLGTWKVLSFQGKQNKLRIFKSTIQHLNTKSSYAVEENVTLKAQIGCTSVPSQVPPSPENNPYPPP >Solyc03g020060.3.1 pep chromosome:SL3.0:3:62635272:62636217:1 gene:Solyc03g020060.3 transcript:Solyc03g020060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHKQVSFLAYLLLVVGLLLLVSAVEHVDAKPCTMECGHLGFGICPRSQGSPQNPICTNCCSGFKGCNYYSVNGTFICEGQSDPRKPKPCPLNCDPHIAYSKCPRSGGKTFIYPTGCTTCCTGYKGCYYFGKDGKFVCEGESDEPKACTMECDPRVAYMICPSSGLAKLSQVCVNCCTAGDGCKLYGYDGSLICTGEPQSYISTA >Solyc05g012740.2.1 pep chromosome:SL3.0:5:5910535:5914513:-1 gene:Solyc05g012740.2 transcript:Solyc05g012740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLCRGRYFDISPLEKIVHEWDLGSSMEHKMDCLNIDFQFLNMLLSLQSFTRRDCLDTTVEKVEALWDAAEFERINCIDDVKLVLDKMEEEIWETKIHIEAKYSFPIALISKIATLDPEFVKRFIDTVIMNITDLPKINRLYTWSRLKQIQEAVKELKLLRNFVYFISDRCTNPQSQYTFFSHVLVVAGHVAMISWFSINADMNFFFDPLKLDALFSDLQMRIQPIQPGIHEIYIDVLQALKSGSHPNIDVHAADYKAGFVETLVHHMEVLRPIIHKHEKGVAFKDQITMLNFLRDNLINLPREAVEDFDTGIINVGLLVYSVFKSVALGKSKRLVPVLDFSGDIQSIQALVYFLTRNSFCSNLPKIDGLGSIDIILDQLEEFLSCYSELTSSIRSQIQKIQQQLEHFQKQNDGFGSFGMQVITKAYEVDHVVVGYINKDIPEWCLFLWTRDIIEEITQLIEAGEIHGKEVSDSVLHNTTDVANADTSQLAQMTSMSEEMVGFQDVMYTLRGKLIRGSSKLDVISIVGMPGLGKTTIANKLFFDQLVVSHFDVRAQCCVSQVYTRKDLLLTILHSVKKDTVVSDKLPEDVLADKLRKLLMVQRYLILIDDVWETVAWDDLKPSFYDANNGSRIILTTRLGDVANDAKFFSDPHFLRLFTQEESWMLLKTKVFNTKSCPLVLEDVGQRIAKRCGGLPLSVVLVAGILETTEKETNCWEQVAINLGSHIQAKSEDIINLSYQALPFHLKPCFLYFGVFLEDEEIRVSKLTWLWIAEGLVRSHKEKPSEDIAEDHLKNLIGRNLVMVSKMSSDGKSKSCRIHDLLLDFCKKKAKVENFLQCIKGDNDMNPSSVSCQKHNISRRLCLDVQADNLAEWSSICSDVQSFHLMKGRQIGSSSVSYASHIFNSFKFLWVLDLEFTVIDSFPKELTCLRYVAVKVAEDSSLSFSDNLWNLETLIVKGLGGRVTLPDTLWKMVKLRHLHIYNRAVFNINNELQEMDGLTTLSSPWFSCAEDADRVFAEMPNLQKLRCEVLSCNSSSPSFNNLTKLEMLKFSWGRRALAPTLKLPPSLKTLTLSSGCISSLDEVATLPRLVVLKLLNISLTFDVWEVTDEQFPHLKFLKLQDLSFLEWNVSDDAFPCLERLVLTKLRHIEQIPSRFEDMMTLKSIEVRECKESLVESAKNIRETQVEERQNSDFKLFVHK >Solyc01g066560.3.1 pep chromosome:SL3.0:1:74424358:74426401:1 gene:Solyc01g066560.3 transcript:Solyc01g066560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4AWQ7] MAAEQTQKHPTLPEVKEELKKIIDRGFPILAMGLATYLKNMILVMCMGRLGSLELAGGALAIGFTNITGYSVLSGLALGMEPLSSQAFGSRNLTLVSLALQRTIFMLLTASIPIAFLWFNFESLMLKLHQDSQIIHVASVFCRFAVPDLIANSFLHPLRIYLRCKGTTWPLLWCTSLATMLHFPITVYLTFTLCLGIQGIAISTFVSNFVTLFFLLGYIFFTYDQDGSPSKPLAENVKLLPSISVGEQWIMLLQLAIPSCLAVCLEWWWYEFMTVLAGYLDRPEVPLAASAIVIQTTSLMYTLPSALSASVSSRVGNELGAGRPAKARLATGIAIGLAFLTSTFGFILTTIGREAWGRVFTKDREVLELTATVLPIIGLCELANCPQTTCCGVLRGSARPGVGAGINFYSFYLMGTPVAIILAFVWKLGFLGLCYGLLAAQVACVISMLIVTYSTDWEGASLKAKQLVGRTNELPYEDQLVKIEEGNGFLKRFSF >Solyc09g063157.1.1 pep chromosome:SL3.0:9:61457254:61459112:-1 gene:Solyc09g063157.1 transcript:Solyc09g063157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLFAVFGESIMAENVITDKVVGESGASNSNAIDQSQIVESQVKKGRKKRSRAWDHFSRKTDSDGNEKGVCNYCKKEYFVDTKEHGTTSMLNHTSKCPKMPYNIDIRQSRLAFQPMIGGNKGDVVVVPWKFDQEECRKALCRMVIIDELPFRFVEKEGFKQLMKVAQPCFHIPSRTTVTCDCFNLFDEEKHKLMVIFKGREQRVSLTTDTWTSIQRINYMVITSHWIDKNWTLHKRIINFCPITSHRGEDLEKSISKCLNEWGLHHIFTVTVDNAGSNSVGITELSKQLTKWGTNLMGGSHLHISCMAHIVNLIVQDGTKEANVSIERVRQAVRYIRQSPARWKKFQEYCEDENLAKKSLCSDVPTRWNSTYMMLKRVIEYEGTLLSSYWEGVKRITKFLDFNLTLKISGSRYVISNLHFLEICQVGVYLNQLISNEDHVLAKMAENMKEKFDKYWGDTEKMNKMVFIPCVLDPRHKFITLGFALRKMFGEKGAAFEIGVRTYMESLFNEYIKPVDSDKNGQFSSTEVDTSDSRSVDSRSGGEFGNFFEELQKHTSEKGGASSKSELVKYLDEEIEVGKSDFDVIALVES >Solyc02g080450.1.1.1 pep chromosome:SL3.0:2:45217437:45217784:1 gene:Solyc02g080450.1 transcript:Solyc02g080450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFMSKQQYYGYSKMEIDDPEEMQHRKAQFLIYKSLKKSDSIRKQPWLKVRVCKLKIKIGRRLKRFRKSILLTFSVTRGGVYKQFMSQLKSWKGLLKSRDTMVSTTATLQPIFT >Solyc11g040228.1.1 pep chromosome:SL3.0:11:38909005:38915263:-1 gene:Solyc11g040228.1 transcript:Solyc11g040228.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTSTNGADTPFADITHFRSLIGALQYLDITRPDIQFAVNRVAQRMHQPSEHDYHCLKCILRYIFGTLSRGLLIRPGDLDLPGFSNSDWANDKNDRKSTSGFLVFLGPNLISWCTKKQPKVSPSSTETEYRALSLLAAETIIPIDHCVFVQKFSDNDFIILLLYVDDMLIVGKNTSKIHELNKELCKSFSMKDLGHAKQILCMRITRLRDKGRFICPRRSTLNVYWSASI >Solyc06g007630.1.1.1 pep chromosome:SL3.0:6:1642654:1643139:1 gene:Solyc06g007630.1 transcript:Solyc06g007630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAYLRRFASRIARNTSLSSSFGSSIARSSASSSSPSASAKVADRIVKLSAVDPDGNKRDVVGLSGQTLLKALTNQGLIDPDSHRLEDIDACSAECEVHIAQEWLEKLPPASYDEKYVLKRNSRARVLNKHSRLGCQVVLSQELQGMVVALPEPKPWDIP >Solyc05g053720.3.1 pep chromosome:SL3.0:5:64603902:64610797:-1 gene:Solyc05g053720.3 transcript:Solyc05g053720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGYRQPLKQQLGGVKAMFLRLSVAVIVVVICMFLLMSAMSSSNSNSSSAEINAEALWGTAASDGWRASSAPRSDWPPPASESNGYLRVRCNGGLNQQRSAVQHHLPEVGHKVGLQHQLRKVELDRKVSLSYWKDGSKKLVNKKDLDRSSLSVLVKFLAARIMNATLVLPELDANSFWHDDSGFQGIYDVEHFIKTLKYDVRIVESIPEIRKNGKVKKIKAKQIRPPRDAPISWYTTEALKTMKEHGAIYLTPFSHRLAEEIDYPEYQRLRCRVNYHALRFKPHIMDLSQSIVTKLRSQGHFMTIHLRFEMDMLAFAGCFDIFSPEEQKILKKYRRENFAEKRLIYDERRAIGKCPLTPEEVGLILRAMGFDNSTRIYLAAGELFGGERFMKPFRSLFPRLENHSTVDTTGELGKNTMGLLGSAVDYMVCLLSDIFMPTYDGPSNFANNLLGHRLYYGFRTTIRPDRKALAPIFIDREKGHTAGFDEAVRRAMLKTNFGGPHKRISPESFYTNSWPECFCKKSAVNPADKCPPHDVVEVLNSQMEREGISDFEASGSNLTLAMDK >Solyc04g015720.2.1.1 pep chromosome:SL3.0:4:6014288:6017285:-1 gene:Solyc04g015720.2 transcript:Solyc04g015720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQTWFLGLWGTCSVGLLGIRSFGF >Solyc03g093410.3.1 pep chromosome:SL3.0:3:56123218:56131528:1 gene:Solyc03g093410.3 transcript:Solyc03g093410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGLVVAANDGEEYHGKLTCFVILASMMAAMGGGVTSMEPFLKKFFHDVYIKMKEDKQLSNYCKFDSELLTSFTSSLYVAGLFATFVASSVTRAYGRKASILLGGANFLAGAALGGAASNIYMLIIGRVLLGVGVGFANQAVPLYLSEMAPSKYRGGFNNSFQLSVGIGVLIANLINYGTEKVKGGWGWRVSLAMAAVPASILTLGALFLPDTPNSLIQRTNDQEKVKRLLQRVRGTDDVQAELDDIMVASEISKTIKHPFKNILQRKYRPQLVMSVAIPFFQQVTGINVIAFYAPILFRTIGLGVSASLLSSVITGAVGIVTTGLSILIVDKVGRRGLLIFGGIQMFVTQMIVGGLMGAKLGDHGGLSKGWAFVILVLICIYVAGFGLSWGPLGWLIPSEIFPLEIRSAGQSISVSVNFLFTFLVGQTFLAMLCHFKFGIFFFFGVWVAIMTAFVYLFLPETKNLPIEKMDRVWREHWFWNRFVSSKKIKVKQFKTKMAIGIVPTSEGSADYNGRITWFVVLSSIVAATGGIIFGYDIGISGGVISMAPFLKKFFPEVFTKMTNVTETSNYCVFDSQLLTSFTSSLYIAGLIASFFASPVTRTYGRRPSIIIGGIAFLIGSALGGAASNIYMLLLGRILLGVGVGFANQAVPLYLSEMAPAKYRGSFNIAFQLCVGIGVLFASLLNYGVQKIKGGWGWRISLAMAAAPATFLTVGAFFLPETANSLIQHGNDHQKAKKILQRVRGTTDVQAELDDLIKASDNSKAVKHPFKQIIKRKYRPQLVMSVVIPFFQQVTGINVISFYAPILFQTIGLGASASLMSAVVTGVVGTSATFLALLIVDRVGRRAMFSFGGIQMFVSQMLIGIIMAVKLGDHGVLSKGYGLLVLVLICIYVAGFSFSWGPLGWLVPSEIFPLEIRSAGQSITVAVGLTFTFIIAQTFLAMLCHLKSGIFFFFGAWVAIMTAFVYLFLPETRNLPIENMESIWRDHWFWKRFVCDEQDYDKGDTTYTSIS >Solyc01g067900.3.1.1 pep chromosome:SL3.0:1:76734169:76734576:1 gene:Solyc01g067900.3 transcript:Solyc01g067900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVKSLSIFFISSIFSVVIVALLSPAAAGSAAAVAATGSHQLSYFPMTLSSSSSPICDGSIGDCLAEEDENEFGMESESSRRMLAYRRRYISYGALSSNRVPCSRRGASYYNCRPGAQANPYQRGCSAITRCRH >Solyc01g007900.3.1 pep chromosome:SL3.0:1:2030843:2038291:-1 gene:Solyc01g007900.3 transcript:Solyc01g007900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEMVVGPSEKVAVVAYHLNDAINWWDDVNRSLDWQNRIFHVLAVLYGVVAVVALVQLIRIQMRVPEYGWTTQKVFHFLNFFVNGVRSLVFTFRRDVQKLHPEIVQHIMLDMPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINGVVYAIQIILWLIMWWKPIRVLFILSKMFFAGVSLFAALGFLLYGGRLFLMLQRFPVESRGRRKKLQEVGYVTTICFSCFLIRCVMMCFNAFDKAADLDVLYHPILNLIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIH >Solyc01g060270.1.1 pep chromosome:SL3.0:1:69915211:69917012:1 gene:Solyc01g060270.1 transcript:Solyc01g060270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKELCIFTRNVTIFLSKSRTQVSVFFTLFFSTLTLLIFIIFFSSPSVITTKILASRLHSHSFSSIHEFDLVPVSDTHTTSSTVSAPTNFPTKSWIHDVTIQEEDKCCDIFDGEWVQDNDLHPLYKLGSCPFIDNSFNCFKNGRRDTDYLRLKWKPHGCEIPRFDGLKMLKMLKGKRLVFVGDSLNRNMWESLVCALRNSLIDKNRVNEVSGYRQFRSQGFYSFKFKDFKCSIDFIKSPFLVQEWRFSDKAGARRETLRLDTIHGSLTKYHDADIIIFNTGHWWTHQKTHKVSNYFQEGNHVYNRLEVADAYTKALKTWAHWVDTTINSTKTRVFFRGYSASHFKGGQWNSGGNCDGETKPITNETQLGPYPWMMRVLESVISEMKTPVVYLNITKMTDYRKEGHPSIFRGAKSKRRPGMFQDCSHWCLPGIPDSWNQLLYATLLQSHQKSSHPKSFSLGLFH >Solyc08g066870.3.1 pep chromosome:SL3.0:8:55805199:55807764:-1 gene:Solyc08g066870.3 transcript:Solyc08g066870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKISSSIVWLRYKMDYVFDWTILKYQQTQRSKSQLELPVSTFITSFFGRSIVFLGSGALPKVLNKQGGNNATLLAEATKQKVSSNFVRGDGSAANLKSDYRTGKNVTFNTSGPSTSLADAFKRSFPKNEDSIDVGNVGHAHSGKTGASSSKLHFLSRISSK >Solyc11g043040.1.1.1 pep chromosome:SL3.0:11:33922406:33922684:-1 gene:Solyc11g043040.1 transcript:Solyc11g043040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLKRIHSHFIKSGLIKNKIASSHVLAFSAKSPPNGDINYANLVFTHIENPTLCNWNTIIRGFLESSTLKYVIHIFIEMLNNSQVQPHMLN >Solyc06g063320.3.1 pep chromosome:SL3.0:6:40131991:40134304:1 gene:Solyc06g063320.3 transcript:Solyc06g063320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSIAQALNLIRTTTVATSYTTRNALLLVASRSESTQADRSTGSDTPEAEAEALAVQRIEEAIHRIIVRRSAPDWLPFRPGASYWVPPRRSSYGVADLVHKLANTLTEEEIMSLATFRGWPSSNFYLDNDECNVAKKEVQSEDEEV >Solyc08g036660.3.1 pep chromosome:SL3.0:8:10494205:10495853:1 gene:Solyc08g036660.3 transcript:Solyc08g036660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPLAYFISQSKKMRRNCNLEFRLMPPSLSTFSPNICSNNNTSSYFSMEEDKESTELEQKSEPLTIFYNGKLVVSHVTDLQAKAIIYLASRETEEKTNKSLSPISEPSSPLLQPQTVKKSLQRFLQKRKSRTQTTSPYHH >Solyc10g047550.1.1 pep chromosome:SL3.0:10:41101442:41102579:1 gene:Solyc10g047550.1 transcript:Solyc10g047550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMMPYERKILKWFGGMCLIIAKKHNLFFDSAIIKEKSISIENLYPLAIGPVVCGRTQTICIVNGVNFQVQRRNELRKSQNYGIVVPSYHENEMCSNFKRHLYDVPKKENSEGESDELHVTNEKVYQDISLENNSIVNDAVEILNQLHRDDVDSIILDANVIEFETQIEQENEIDHNEDDSDQDHETMIEYISDHEGTEGNNRTDDDEVDSTCDGVDIDL >Solyc06g016780.1.1.1 pep chromosome:SL3.0:6:13627655:13627996:1 gene:Solyc06g016780.1 transcript:Solyc06g016780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKINSLDLEDNIKDYLYEILLNSSSENSSPNYSDGKESSTSEDLKALNEENYMSSSEEECRSCPNGQPYDKDRDEFCQLYSKFKDLNINVKSNDNWVEILRMIDDPTLRS >Solyc01g014967.1.1 pep chromosome:SL3.0:1:16233244:16236487:1 gene:Solyc01g014967.1 transcript:Solyc01g014967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNDEGDSDCVDFDDTKCLNSDCDSEFEDSNLPKHNPKIGAFSPKLELGMVFCNKKEFKEVVVANQAKIGKSIWWSKDDRERARAKCRTNAYKDAYAWLSAKVPSEWSRSHFSTLPKCDILLNNQCEVFSKFILDSREKPIVKPLETIRNLLMTRINPNREKAGKWNLNDICPTIKKKLAKTMKKAANYIPKRSNMWNYEAIGIIRSFLLTCYILDLVENDEVLNYGDDFYKEMKLSICGLDFVSFVGLSLFLYTG >Solyc10g007640.3.1 pep chromosome:SL3.0:10:1912135:1915365:-1 gene:Solyc10g007640.3 transcript:Solyc10g007640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDEVILLDFWPSMFGMRVRVALAEKAIEYEYKEEDLFTSKSPLLVKMNPIHKKIPVLIHNGKPVCESFVVVEYIDEVWKDKAPLLPSHPYDRSQARFWASYTDKLYDFGRRIWTVKREEFAEGKKDFIDPLKLLEEAALGDKPYFGGESFGFVDIALIGFYSWFYTYETICNFSIEAECPKIAAWGKRCMKRESVSKSLADSRKIYEVVIEFRKKNGLE >Solyc02g032420.1.1.1 pep chromosome:SL3.0:2:28930418:28930669:1 gene:Solyc02g032420.1 transcript:Solyc02g032420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLDGVLMGFSGGFLWSLWLFSVSLELLFSAVSAAAGWVAEVVWLKKNENGVWGFGVYLDWEKTAEQGWFNGWFLVVVLEEE >Solyc10g054080.2.1 pep chromosome:SL3.0:10:54498061:54516541:-1 gene:Solyc10g054080.2 transcript:Solyc10g054080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSKGRSSSPSHYRKPSTPYSSSSSSSSSIMNGRMLPRSYSSSTTSFYGSGNSYNSRSMTPSHSRSDSVYSQGYENRTPVSYPSEEELIDEPADESRSGDSISVTVRFRPMSEREYHKGDEIAWYADGGKTVRNEYNPATAYAFDRVFGPQTNTQDVYEVAAQPVVKAAMEGIHGTVFAYGVTSSGKTHTMHGDHNTPGIIPLAIKDVFSIIQDTPGREFLLRVSYIEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFSLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKACHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNQIIDEKSLIKKYQREISCLKQELDQLRRGMLVGVNHEEVLNLRQQLEEGQVKMQSRLEEEEEEKAALLSRIQRLTKLILVSSKNSTPGYLGDVASQQRSLSASEDDKMDSSVLADSENQKDPSPDSSDLKHQRSSSKWNDDISQAGSTIEGISMSDEMDLLAEQVKMLSGEIAFSSSTLKRLMEQSVNDPESSRNQIENLEREIQEKRNQMRMLEQRIVENGEASVSKASLVEMQQQTLMKLMTQYSQTGFELEIKSADNRILQEELQNKCSENKELQEKIYHLEQQLLSVKAEKSFPSVEQRVSAEYVDELRKKIQSQDIENGKLRLEHVQIVEENSGLHVQNQKLSEEALYAKELASAAAVELKNLAGEVTKLSLQNGKLEKELLAARDMLNSRSSIALTGNVGNRKHGENLRTGRRGRITGRGSEIPGAIHDDFNTWDLDPEDLKMELQARKQREAALEAVLSEKEVVEDEYRKKVEEGKKREAALENDLANMWVLVAQLKKEAGSRQDSKLAAERQNVEDRLNDVKINDINQKEPNLADSLSVNHTTDIAEGPKEEPLVARLKARMQEMKEKEHRHLGNGDANSHGLVVEQLSWDDDVLNAW >Solyc04g051764.1.1 pep chromosome:SL3.0:4:51004279:51006934:1 gene:Solyc04g051764.1 transcript:Solyc04g051764.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNYNSQFNNPGSSTDSNVVASPISQMQQFMGGSSHQMPQQHGLNSGSGAVLAPHFTPNQYQQVLQMMNKSLIHEGNTIPTNSNANTTGTFAGHYQFTPSTSSFNWIVDSGATDHMVGTKGLLTHGSTVKSSGQVQHPNGDSTKVTHSGCSQLQGVLPVRSVDLDCIDDPIDNVGHAFDIDLEDHHQQDQPTVEEPILSSNRRQSTRTFRPPLWQKDFVTAFKSSSKSNCLYSLGNSIDYSSLSRSYQCCIANLSADIEPKFYHQAAKDKRWKSNEGIVIVLIYVDDLLVTGSSITLINDTKQVLKDNFKIKDLGPLRYFLGIEFARNNEGILMHQRKYALEIISD >Solyc01g088260.3.1.1 pep chromosome:SL3.0:1:82943774:82944190:-1 gene:Solyc01g088260.3 transcript:Solyc01g088260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLNTLGISLARADLEPQSIVPLHTHPRATEMITILEGTVYAGFLLPDPANFFESRLFSKILNPGDVFVFPIGLIHFLYNVGHKKAVAFGTFNSQNPGFVIIPNSIFASNPPISDDILAQGFQLNKTQIAELRKKFS >Solyc12g036793.1.1 pep chromosome:SL3.0:12:48339612:48343782:1 gene:Solyc12g036793.1 transcript:Solyc12g036793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSLTSAVAVLLGPLLPIAVYSNSHLQRLDLSSNNFSNSHIPPEFSKFSRLTHLDLSASYFSDSIPHQTVLTIDLRSNLLQGSLHVPPNSIKYFFISHNNLTEEISSSICNLTSLVMLDLSRNNLCGQIPQCLGNITALQVLDMSHNNFSWNIPTTFSNGSSLSSLNFHGNKFEGKIPQSLTNCKQVEVLDLGENHLNDTFSVWLGTLPKLKILSLRSNKLHGSIRSLTTQNLFSRLRILVLSSNAFTKSLLTSLFQHLKAMRTIDKTMNAPSREGERYSQDLIVVVSKGFERENENLVLLPHLHLLISGPNKGCIPQGNQFQTFENNSYEGNDGLRGFPVTKSCGDHRISGTYYVVSEQLDDEENNSEFMSDFWKAAFMGYGNGLCIEISWYIS >Solyc08g007714.1.1 pep chromosome:SL3.0:8:2218905:2220130:-1 gene:Solyc08g007714.1 transcript:Solyc08g007714.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVHQDLVYAYSLSSRLVRPIWKVKRAPKRAHPSFDDFLIRIPTSKMPNFFVDVSQDLFYAYSFPSRIVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLSDSDFDVKNAKFFRGRPSRPFLCIQLAITACLTHLEAHHFLGDPDSDVKNDKFFRGHPSRPFLCIRLAITACLTHLEGHLFLGDPDFDVKNAKFFCGHPSRPCLCIRLAITACTTHLEDLVYAYGCQSRLVRLIWKVKQAPKRAYPSFRRFSCAIAHHLLGDPDSDVKNAKVKRASKRAYPSFDDFRLL >Solyc09g014760.3.1 pep chromosome:SL3.0:9:6840884:6847516:-1 gene:Solyc09g014760.3 transcript:Solyc09g014760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLNTVPSIKLSNFNYNNPLRSSQISFSLSRRRLVVRATETEKEAKAEAPDKAPAAGGSSINQILGIKGAKQETDKWKIRVQLTKPVTWPPLIWGVVCGAAASGNFHWTPEDVAKSIVCMLMSGPFLTGYTQTINDWYDREIDAINEPYRPIPSGAVSEQEVITQIWVLLLGGLGLAGILDVWAGHDFPVIFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTLDVIVLTLLYSIAGLGIAIVNDFKSIEGDRAMGLQSLPVAFGSEAAKWICVGAIDITQISVAGYLLGAGKPYYAFALLGLIAPQVFFQFKYFLKDPVKYDVKYQASAQPFLILGLLVTALATSH >Solyc06g071030.3.1 pep chromosome:SL3.0:6:43768943:43777052:-1 gene:Solyc06g071030.3 transcript:Solyc06g071030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isochorismate synthase [Source:UniProtKB/TrEMBL;Acc:B0CN79] MAVGVRHCTIRFMELESSSLMKCLLLSSPLYRKQSVHFSNSSTQRYNQCCSLSMNGCQGDSRAPIGIVETRTLPAVSSPALAMERLNSAISDMIKSDPPPYDSGIIRLEVPIEEQIESLEWLHAQNHLLLPRCFFSGRRVASEMCINGASSHSKLVSVAGVGSAVFFTHLRPFSLDDWRAIRRFLSKKCPLIRAYGAIRFDATANIASEWSAFGSFYFMVPQVEFDELEGSSIIAATIAWDNAASWTYQRAIDALQATIWQLSSVLITVQKKNPHSHILANTHVPGKASWDHAVNRALQIISRNDPVLIKVVLARSTRVVTAADIDPLTWLACLKVEGENAYQFCLQPPHSPAFIGNTPEQLFHRDRLSICSEALAGTRARGGSELLDLNIEQDLLSSAKDHNEFAIVRECIRRRLEAVCSSVIIEPKKAIRKFTRVQHLYARLRGRLQAEDDEFKILSSVHPTPAVCGYPTEDARAFISETEMFDRGMYAGPVGWFGGEESEFAVGIRSALVEKGLGALIYAGTGIVEGSDSSLEWEELELKTSQFTKLMKLEAPLLTRGQSRIINQNQKGLSCLPHRI >Solyc01g111960.3.1 pep chromosome:SL3.0:1:98019324:98021365:-1 gene:Solyc01g111960.3 transcript:Solyc01g111960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMCPFSLLILLALSIFGPNLVNADTVHPTPPPIFILGDSTADVGTNSYIPDCKAAANFPHNGIDFVNSRPTGRFSNGLNSADHLARLFGYNSSPPPFLFLHSLKYGLQLGIYRGVSFASGGSGLLDDTGFQLNVLNLPQQINQFVTVRENLIASLGHESTKALLGKSLFCISTGSNDIFVYFKTRSTMPKEEFINYLMEAYENHIKTLYSLGARKFGIISVPPVGCCPANRLLNGTKCFEPMNDFARCFHSALQKLMCNLTSELRGMKYSLGDTYKMTIDVIDNPQPFNFKNVDTACCGHGALKAEGICNATASLCSDRRQYIFWDLFHPTDAAARLAANTLYGGPTLYVSPINFAQLAAEN >Solyc03g121340.1.1.1 pep chromosome:SL3.0:3:70948952:70949212:1 gene:Solyc03g121340.1 transcript:Solyc03g121340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSVPIEAAASCSKGKQIMKSNEAAAKRRRFNSCFSFMEISIDPGMKSLKHLDSKKFKEEIKKWAKSVVSYARQVSDRFGSSRR >Solyc03g121470.3.1 pep chromosome:SL3.0:3:71047525:71057125:1 gene:Solyc03g121470.3 transcript:Solyc03g121470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHKFFHGTLEVSIFRATSRKSSLPFKCISSNGKPAFVTIKIDNKTVAKTTQESDRVWNQTFQILCAHSPDTTVTITLKTKCSILGKFTIQANKLLNETSLIEGFFPLSIENKKPKKKLKLQFIVWFKPAENEPSWGRILENGAFTGLKNSTFPQRSNCSVTLYQDAHHQHTFQPPFQTRPKNLWEDIYRAIEDAKHLVYIAGWSFSPKMVLVKLDLRPNVDFLDMVRDPSAEITHAKGVKLGELLKRKAEEGVAVMIMLWDDETSLPIIKNKGVMRTHDEDSLAYFRDTKVVCKLVPRLHHKLPSFFAHHQKMIAVDSRSHLSSTSREITSFLGGLDLCDGRYDTEEHSLFRTLNTESHCYDFYQTSLSGASLHKGGPREPWHDAHARVTGQAAMDVLNNFEQRWNKQIGPSLLIPIRSIPELSNQPNMASTDRDWNVQVFRSIDHVSACPLPRNMTVERSIHEAYVEAIRRADRFIYIENQYFIGGCHLWEQDQHCGCRNLIPIEIALKIVNKIRAKERFSVYIVIPMWPEGLPESDSVQDILYWTRETMKMMYKFIGEAIKESGEQGHPRDYLNFFCLANREEKIKGEFAPPYSPHPESQYWRAQKNRRFMVYVHSKIMIVDDTYLLIGSANINQRSMDGKRDTEIAIGCYQSKTEEDIDQRDIHAYRMSLWYEHTGQAEQEFQHPQSLECVNRIRSIGDKMWKIYDQDKLEDMKGVHLVTYPVNVTAEGHVEDLMERNGHFPDTEAPIKGKRSKVLAPTITT >Solyc06g007660.2.1 pep chromosome:SL3.0:6:1662627:1666828:-1 gene:Solyc06g007660.2 transcript:Solyc06g007660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSKKFGRGPRELAGAVDLINHYKLLPHHEYFCKKPLPLSISDTRYLHNVVGDREIRKGEGMQLDQLIHDTSFLKETNSRIQPFELDALNEAFQLREAAPIVLPPSEKGIPTVAGKSKSESKDKEKKHKKHKDKDKEKDKEHKKHKHRHKDRSKDKDKEKKKDKTGRHDSGADLSKKHHEKRKKHEGKEDLNDVNKHKRNKHTS >Solyc06g060230.3.1 pep chromosome:SL3.0:6:38303221:38305529:-1 gene:Solyc06g060230.3 transcript:Solyc06g060230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nam-like protein 1 [Source:UniProtKB/TrEMBL;Acc:B6D1Q3] MTAAELQLPPGFRFHPTDEELVTHYLCRKCTSQPIAVPIVAEIDLYKFDPWDLPDLALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGNPKAVGIKKALVFYSGKAPKGEKTNWIMHEYRLADVDRSARKNNNSLRLDDWVLCRIYNKKGSIEKNRKMNTTCYMDKVGSPEDRKPEILPPLPPHPQPQQLHNDFFYLPSDSVPKMHSDSSCSEHVLSPEFTCEREVQSEPKLTDWEKATLDLPFNYMDATTGATTVDNSLLGSQFQSSYQMSPLQDMFMHLHKPF >Solyc07g052255.1.1 pep chromosome:SL3.0:7:60880259:60881305:-1 gene:Solyc07g052255.1 transcript:Solyc07g052255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKSKTHKINIQVILIFHIYSHNSTINEEKEVLIRHNFITSQYFFLKKMRKFSVSKYLYAILFIAFLLSGIEAKECSEGLPWVTIDCEGSEPGVQCWNECQNRHGLSVKALCWSDPSLPTQFCRCIWSC >Solyc04g015690.3.1 pep chromosome:SL3.0:4:5978556:6006255:1 gene:Solyc04g015690.3 transcript:Solyc04g015690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKADEPVEIFKARSDKREYRRIVLQNSLEVLLITDPETDKCAASMEVSVGYYSDPKGLEGLAHFLEHMLFYASEKYPVENSYSKYITQHGGSTNAFTSSERTNFYFDINADCFEEALDRFAQFFVKPLMSPDATTREIKAVDSENQNNLLSDGHRLYQLQKHLSSKDHPYHKFGTGNWDTLEVQPKARGLNTRQELLKFYKENYSANLMHLVVYAKDCLDKTQTLVQGIFQEVPNTNRSYSPVTDQPCKSEHLQILVRAVPIKQGHSLRLEWPIIPDLAHYKEGPSLYLSHLIGHEGEGSLFYVLKKLGWATSLSAGESGGGHHFSFFEVYISLTDAGHDHFEDVVALVFKYIHLLQQAGARKWIFDELSAISETNFHYQDKSSPIGYVVYVASNMQLYPPIDWLVGSSLPSKFSPDIIEAVLTDLTPQNVRIFWVSTNFDGNTDSMEPWYETAYSLEKITSSVIEQWMEKAPDGNLHLPVPNMFIPTDLSIKTVSNKMNFPVLLRKSPYSRLWYKPDTLFSMPKGYSYDAEIAGLGYWISGHCSGFEVTVSGYNHKMRILLEKVIDRITNFKVEPDRFFVIKELFSKQYQNIKFQQPYEQALYYCSLILREHSWSWDDECEALTNLEIDDLVKFYPLLLSRTFLECYLAGNIDSKEAVSMIQHVEDALYKGSQPLSRALFASEHSSSRIINLEESKNYFYAAEGLNTSDENSALVHYIQVHSDEYIKNVKLQLFALIAKQPAFDQLRSVEQLGYITSLSRTSDAGVRGLQLIVQSSVKDPRYIESRFQAFLKTLETQLHDMSDDEFKKKVNALIDIKLEKFKNLPEETNFFWWEISSGTLKFDRIENEVAALKQITKTDLIDFFNEYVNVGAPKRKSLSLQVFGSSHFSEFKSEKVDPAEPNVVQIEDIFCFRRSRPLHHSLKGDLVHLKAHDIDHQ >Solyc05g014190.3.1 pep chromosome:SL3.0:5:7962144:7965152:1 gene:Solyc05g014190.3 transcript:Solyc05g014190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTNNFLKFTTIITPCRKFGDPNCSPRSVSPILCYGSKANVRVFASQKSVKKSRKTEKTDTAIPDKYMLSDDVNPDYVVDNKNASPLDTSEAGTSVPMIPSRGSVLKACIITSGLIGLLGVVIREGSHVASTGGLPIVDCSVEIPLTFQMWHLELITGLVILVSSCRYLLLKTWPDFAESSEAANSQVLSSLEPLDYLVVSLLPGISEEVLFRGALLPLFGIHWQSVVATASIFGILHLGSGRRYSFAGHICWDSVWLCYNFIINCCGANGCSCCK >Solyc05g050570.3.1 pep chromosome:SL3.0:5:61581354:61584531:1 gene:Solyc05g050570.3 transcript:Solyc05g050570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPRGVGLRTALPLSRRLFSNSGSSHDMSKAISELNQEMESVFGEPPPSSLSGSIDNQSMTQDLKLSTVEMDDKKSFAGLTHIGSKGEAQMVDVSLKDISKRVAVARGKVILGQKVFDLVSANQMGKGDVLSVAKLAGICGAKQTSNLIPLCHNINLTHVRVDLALNPEEFSVEIEGEAASDGKTGVEMEALTAVTVASLTVYDMCKAASKNIQITDIRLERKTGGKSGDWSRDK >Solyc06g072250.3.1 pep chromosome:SL3.0:6:44653683:44658561:1 gene:Solyc06g072250.3 transcript:Solyc06g072250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPELLAPPEIFYNDDEARKYTSSSRIIDIQTQLTERALELLALPDDGVPRLLLDIGCGSGLSGETLTEQGHQWLGLDISESMLDVALEREVEGDLMLGDMGQGLGLRSGILDGAISISAVQWLCNADKSCHEPRIRLKAFFSSLYRCLGRGARAVLQIYPENLAQRELILGFAMRAGFSGGIVVDYPHSSKRRKEYLVLTCGPPSLSTTTPEGKGEDGESCSDEDSSEDEENQTVCISDRRRPRKKQKVNKKGKGRDWVLRKKEQMRKKGNAVPADSKYTARKRKDRF >Solyc10g009140.3.1 pep chromosome:SL3.0:10:3130426:3136343:-1 gene:Solyc10g009140.3 transcript:Solyc10g009140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIIFILLNCLNFLYYSAKSWFLFVKTKKAEFFLIRNCYFYWLQMQSGECSFVSPHSPPWNFSTFEEDEMHETEMTSSIVVSKSFNASATKGSRVHNSCGVAGNFVIMTALRSKILTLRDLLDLSPCFGSASLNELLILTLKDLHKMYPSVNTNVPLSSIDGVGMDQALQCFCDTLKFIGAIWTGDDEFIMKLDEEYSNLHNDLRRYGLVLLDEMIKLATERVFDMMDEDDQTRDESPSSDAFRRALPESHSDNESCLSSPPPTPTSVLSSAISASLKSELKAQETEKPNPIDVKRLYFDLLPYATDQDPNYVVQIATNFSDQNSELQVKVGSEAKAGDACEFGQDFEMLDSADILLTDMDDVSENGGTRRTGSTNYQLLSSRVSLDVVLPPSTLSELRSNVEEQAAATLAPFTLSSNVIKSPSLTLRNIVTSPSPPPPPPLPPNTTTKTLPPPPPPPPLTSGNVIAPTPPPPPSPPKITTHVITLPPPPLPPPMTSRNVISPPPPPPPPIGSKGMAPQPPPMPSNGAVPAPPPPMPKGKGPAPPPPLGFIGVLRVKRAATKLKRSTQMGNLYRLLKLQVEGSSLDSTSHHKMGKVGASAGGKQGMADALAEMTKRSAYFHQIEEDVKIYAKTIKEINTAITSFKTSDMSELIKFHEYVESHLEKLIDESQVLARFEDFPTKKLEELRMGAALYSKLDTIATTLRNWSIVSPVGEHLDKAESYFNKIKVEIDTLERIKDEEAKKFISHNIHFDFGILIRIKELMIDVSSNCMELALKESREAKTKENRRGGSKNGSRELLWKAFQFAFRVYSFAGGQDDRADKLTRELAQEIQTDPNQ >Solyc06g075600.3.1 pep chromosome:SL3.0:6:47131264:47134159:-1 gene:Solyc06g075600.3 transcript:Solyc06g075600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPMPPKRMKKQLTGKREDSVLHRLARAGNLVEIKGIIDYTGEKELTELLVKQNSAGETSLYVAAEYGYCDLVKEMIKYYDLVAAGIKARNGFDALHIAAKQGDLDVVKVLMMVHPELSMTVDVANTTALHSAANQGHIQMVNYLLEAESSLATIAKSNGKTALHSAARNGHVEVVKALLNKEARMASRTDKKGQTALHMAVKGQNLEVVEELIRADAKLINIVDTKGNTALHIASRKGRAQIVKFLLGQNETDTKAVNRSKETALDTAEKMGQANIAVILQEAGVQSARAIKPQTTNPARQLKQTVSDIKHEVHYQMEHTRQTRKRIHGIAKRLDKMHAEGLNNAINSTTVVAVLIATVAFAAIFSVPGQYIEYPEDVPPGHSLGEANIAPSPSFLIFLVFDSFALFISLAVVVVQTSIVVIESKAKKQMMAIINKLMWLACVLISVAFLALSYVVVGKNEKWLAIVVTIIGTAILVTTLGTMCYWVIMHRIESSNKRNIRKNSLASRSLSWSASVLSNSDVLNNKNNKMYAI >Solyc07g054320.3.1 pep chromosome:SL3.0:7:62801397:62814646:1 gene:Solyc07g054320.3 transcript:Solyc07g054320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVISLSSEHLNRVIHDLFSSYSPPVWATLIAGVFIVISLTLSMYLLFEHLSSYKNPEEQMFLIGVILMVPCYAVESFVSLVHPAISVDIGILRDCYESFAMYCFGRYLIACLGGEERAILFMEREGRAASKMPLLEHDSEKGVVKHLFPMNYFLKPWKLGQWVFQVIKFGIVQYMIIKAFTAILAVILEAFGVYCEGDFKLNCGYPYVAVVLNFSQSWALYCLVQFYAITKDELSHIKPLYKFLTFKSIVFLTWWQGVAIALLSTLGLFRSPIAGALQFESSIQDFIICIEMGVASVVHLYVFPAKPYELMGERYTGAVAVLGDYVSADLPVDPEEVRDSERPTKLRLPQPDVDTKGGMTIRESVKDVFIGGREYIVNDLKFTVTQAVEPVEKGIQKLHKISQNIKKHEKEKRTKDDSCIATSSPTRRVIRGIDDPLLHGSISDSGASRKKKHRRKSGYTSAESGGESSSDQSYGGIQVRGRRWLTKD >Solyc05g008840.2.1 pep chromosome:SL3.0:5:3066575:3071642:-1 gene:Solyc05g008840.2 transcript:Solyc05g008840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSFRGLGCKGKLNSPDASTPEVIRSAAQWESSSKQMRKNRRKKMTTLSTSTRNSTNVVCCAPPGIGSAYDVAPRPKTRSNNREHPRIARRATNGEAISRSHTSNTRHHCPRSHHRPHIMILRHNLQYARDVEGDDQYGSWRLDVDDMSYEQLVELSDKIGYVGTGLEEEKIVEYIRKF >Solyc08g069170.2.1 pep chromosome:SL3.0:8:58287374:58293676:1 gene:Solyc08g069170.2 transcript:Solyc08g069170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSARNHRCLLLFCLLTTMMITSSYGQESEPALLIKFKSSLENATSSSSLGNWNSSVPLCTGNDSNWNGLLCLNGQFYGLRLESMGLSGNLDVDTLSQLTNLLTMSIMNNNFEGPLPNVKKIGGRLRGLFLSNNRFSGELPDDAFAGMKSIRRILMANNEFTGKIPTSLLGIPKLVELQIQNNKFNGTIPAFNQQDFQINAANNRLEGPIPSQLSTQSASSFAGNLELCGKPMAACATSKKKKKIPIVAIILASIGGLIILAIILFALFLVHKQRKKPTQYEKSSVKNLNKKPSVLGEEKYTKSRHHHHHHHDKAGKLYFVRRDREKFDLEDLLRAPAEVLGSGSFGSSYKADVPIGKPIVVRRFRQMSNMGKEDFHEHMRSLGKLSHPNVLPLVAFYYRREEKLLVTDFVDNGSLASHLHGKRNFNQPSLDWPSRLKIIKGVTRGLAYLYKELPTLTLPHGHLKSSNVLLDYKFEPLVADYALVPVINKDHAKQFMVAYKSPEYMQNERLTRKTDVWSLGILILELLTGRFPANYLKQGKGANADLAMWVNSVVREEWTGEVFDKDMNTTKHNCEGEMLKLLKIGMCCCEMDVAKRCDLMEALHKIEELKESDEEDYSSNSYSYASHQGEMYSSKAITDDDFSFSRNA >Solyc03g058470.2.1 pep chromosome:SL3.0:3:26931491:26984690:-1 gene:Solyc03g058470.2 transcript:Solyc03g058470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDGAERPWKCGKGGTVNLQKVTSIVRDIGEPCLHQSLIKMSKMLKPDRWQTIFEKDGKVHGFRKVLKLIILGGVDPAIRPEVWEFLLGCYALGSSAEYRKQLRTARRERYRDLIKQCQAMHSSVGTGSLAYVVGSKVMDMRTSSKDDCQREADVKISQASDVNTDNLYSYSDFDNNCIDTPHTHQKESSTDSGDLISARGSTDGAALDSFSSVPTLGPYYCRSNELGGEACQSESVNENYFDFPALPVTDLFGKSIKNKKGHRSYGKRNLARRKLKYGEDKMHSFRINNNADLVAEANVSSSYDVSHSLNSEIERVHPNGPDSVSWSGKFQERETEILTKLRISDAPDTPNMNARTPPRGGFSDERVSEWLWTLHQIVVDVVRTDSHLEFYEDRKNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLEALWHIVELTDREMFSHLSSIGAESLHFAFRMLLVLFRRELSFNEVLCMWEMMWAADFDESVAFRLEENCPEILVIQIPKESEAESGEEIVENNNSDSKDDSPSKHGSGERTVSANNGMKLSLAHPFCGLTKNFWSKSSGMHSVNIVSSTRSTVDELPVFCVAAILVMNHQKIIKQTHSIDDLIKIFNDNMLKIRVKRCVRTAIKLRRKYFYKLIKGRNPAAQNGD >Solyc04g015160.3.1 pep chromosome:SL3.0:4:5339506:5347909:1 gene:Solyc04g015160.3 transcript:Solyc04g015160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVRVIEARNIPAMDPNGFSDPYVKLSLGKQKFKSKVVKKCLNPSWCEEFAFRVDDLKEELTISVLDEDKYFNDDFVGQIKFPVSQVFDTNDKSLGTAWYTLQPKQKKGKNKDCGQILLTICFSQGNTLADLQSVGDHGSLSKKLFDVVSESPSLSSNDPLRSSSPMRSEEAASSKEEKPHAQTFAGRIAQIFNKNGDAVSTTNLKAPDVTVPPETVSSTASENAQEEQSTSGNFQELLKSIEAREQPSDVPNLPGGVVVDQLYAIAPHELNLFLFSPDSAFFKSLVDIQGSTELRVGPWKLENGGESLKRGVNFIKAASRLVKALKTTEEQTYLKADGKSFSLLAIVSTPDAPYGSTFKVEVLYSITPGPELPSGEQSSRLVVSWRMNFLQSTMMKGMIENGARQGIKESFDQYANLLSQNVKPVDAKDLGSEKEQILASIEVEHQSDWKLAFQYFANFTVISTFFIGLYVFVHVLLAMPSTIQGLEFVGLDLPDSIGEIIVCGVLVLQGKRVLELISRFMRARVQKGSDHGIKAQGDGWLLTVALIEGNNLAAVDASGFSDPYVVFTCNGKTRTSSIKFQKSSPKWNEIFEFDAMDDPPSVLDVEVFDFDGPFSEATSLGHAEINFVKTNISDLSDVVVPLQGKLAQACQSKLHLRVFLNNTKGSNVVKDYLSKMEKEVGKKIKVRSPQTNSAFQKLFGLPPEEFLINDFACHLKRKMPLQGRLFLSARIIGFHSDLFGHKTKFFLLWEDIEDIQVESPTLASMGSPNVIMTLKPGRGFDARHGAKTQDEEGRLKFHFHSFVSFNVAHRTFMALWKARALSPEQKVQIVEAEAEAKNLQMAEEDSIGSDFQAADDDSEGKSLQSEESGSFVGMEDTNMSIVYSSVLSVPTDFFMELFSGGELDRKVMERVGCLNYSFSPWEESEKPDVHQRQLYYKFDKCISRYRGEVTSTQQRSRLSDKNDWLIEEVMTLHGVPLGDYFNLRLGYQVENVPSRSTRCSVQVQLGIAWLKYSRHQKRITKNIISNLQERLLVMCSGVEKEYLSKSDPLVI >Solyc03g118300.1.1.1 pep chromosome:SL3.0:3:68707047:68707316:1 gene:Solyc03g118300.1 transcript:Solyc03g118300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIHLGVTFLGIFEYNLQYQLPLTCGWGWSLHINAASVFAVLSLYLEPISGPLSIYIHSICKLSALVINVASANLTFVHTNFSSCSCN >Solyc03g091010.1.1.1 pep chromosome:SL3.0:3:55549953:55550282:1 gene:Solyc03g091010.1 transcript:Solyc03g091010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQASITLFLSLNLLFFALVSAECSTDILKFGACTNILNDLVGVIIGTTPTSSCCSLIGGLVDLEAAVCLCTAIKADILGIHLDIPISLNILLNVCGKNYPTGYTC >Solyc02g091655.1.1 pep chromosome:SL3.0:2:53536036:53540962:1 gene:Solyc02g091655.1 transcript:Solyc02g091655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKFPTLSWRFIWNFPYSVKFKCLVKISGRSNGLGRIFLLPSKCIAYAFLGLSDSIDLCFLLALNEEDYMWDFCEYIFGTVILKAKRERDFKGPVIPVFEKLKFVQHDIEKSSSYYSNKHENYGFASNVKSTVQLAFIRKGICSIECSTEGGMSLSSNSDPNKTLIQEVPLKFRLWTIEPPYNLILSVDLHEVKSILPYLYFIFSKLLQICADCLFILTQNKVEVVFTSAELGNSNNELLLLLQHKVTVHYPHQNHSQKNFLNLNNHGKSKYSITLVNQNELQKEKVIPPEEESLPELEPLELLGSLSICTGGKFSPGISSPISTSSSTTSTSLISGFACSGVGAFSGDLFNKSNMVISTHGTI >Solyc12g088000.2.1 pep chromosome:SL3.0:12:64474064:64480019:1 gene:Solyc12g088000.2 transcript:Solyc12g088000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIGSQTNISFQTKQVESFTNITIKGILGLLMDTIEEGKDKKEVISLGIGDPTAHSCFHTTDAAKEAVVESLLLDKFNGYSPSNGLAIARKAIANYLSRDLPYDLSAEDVYVTSGCTQAIEIAVSILAKPNSNILLPRPGFPTYVLCAAFRNVQVRYYDLLPQNNWQVDLKSIEDLADQNTIGIVVINPGNPCGNVYSYDHLEKIAETAKKVKTLIIADEVYGHLAFGENPFISMGLFSSITPILTLGSLSKRWLIPGWRLGWFVINDPNCIFKSPKIIERIKKYCDIGGGPATFIQAAVPKIIESTKEDFFKNTLKMLKKNSDICYEKIQEISCINCPYRSQGSMVLMVKLNLTLLKDISDDIDFCFKLAKEESVLLLPGTAVGLKNWLRITFAVDVSFLEEGLSRLKSFCLRHSNTKIMI >Solyc07g055830.3.1 pep chromosome:SL3.0:7:63882109:63887720:1 gene:Solyc07g055830.3 transcript:Solyc07g055830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKVPSLVDLCVRTAVDNLRYLGDVGETDTYLLERILPHCSLEQLTHVENSTEGRDLSQVTDRLWKRFYQIEFGDKSINQVVERMKQVKVTFKWKQLYEAKTQEMEETQQRSFERIKELYQNENAKRQSRQVQVCTKVPPSSNKRSFYGSGLGSNFGNTKSPLMKKAKIEFVNSREVKNLAAMKNKSVQRNHSQVSSIKKPGSFVSSQERGRGYEPDTTARRGSSTYPDTAAKRGSSTYPDSAARRGSSTYHDTAARHGSSTYPDAAARRGSSTYPDTAARRVPSTYPDTTTRLGSSPMASSARSKFTSR >Solyc10g044997.1.1 pep chromosome:SL3.0:10:29936107:29936756:1 gene:Solyc10g044997.1 transcript:Solyc10g044997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLLEQNQCKPDTIFSGEKSKAKALTKEVADKPSLSSSLLVECLCDDLISSPNINDLPSLLIDNNVDNLRNNTAHEKQNNKEFTFWCETCKISTFSEKTIEAHRVGKKHVWNLQQLTGKDKQC >Solyc02g032983.1.1 pep chromosome:SL3.0:2:30064615:30067863:-1 gene:Solyc02g032983.1 transcript:Solyc02g032983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGLGKLALLPFDIDTPPRTDISRDVEFRSSAYKRWQNVVNLDMLHQDAANLDMPHQDAANLDMPHQDAATLAGNVAGQTVCHPVWACHAQSPIKTSV >Solyc04g014720.3.1 pep chromosome:SL3.0:4:4983078:4985269:1 gene:Solyc04g014720.3 transcript:Solyc04g014720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKTLRNPELIRGVGKFSRSKMYHKKGLWAIKKKNGGKFPQHQKKPISAPPAEKSPKFYPADDVKKPLVNKHKPKPTKLRASISPGTVLIILAGRFKGKRVVFLKQLLSGLLLVTGPFKLNGVPLRRVNQAYVIGTSTKVDVSGVNVEKIDDKYFAKEAEKKQKKGEGEFFEEKKEEKNELPQEKKDAQKAVDASLIKAIEAVPDLKGYLSARFSLKSGMKPHELVF >Solyc12g088500.2.1 pep chromosome:SL3.0:12:64818702:64820946:-1 gene:Solyc12g088500.2 transcript:Solyc12g088500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQENFVRVTRLTKKRAAEAMVQQLQQPNKKRVVLSEIQDLCNVGINQIEDKVFVSEPLRPKCEQIIKRELKTSDDDPQMCSAYASDIYDYLHQMEIEKKRRPLPDYLEKVQKDVSANMRGVLVDWLVEVTDEYKLLSDTLYLAVSYIDRFLSVNVIPRKKLQLLGVSSMLIAAKYEEINPPDVGEFCYITDNSYSKKEMVKMEADVLKCLKFEMGNPTIKTFLEQFTKIAKQDHKKNNLQLEFLGHYLAELSLLDYNCVKFLPSLVAAAVLFLSRFTLQPKSHPWSLALQRCSGYRPGDLKECVLIIHDLQLSRRGRTLTALRDKYKLHKFKCVSTLSSPLEIPDSFFKDTR >Solyc08g068510.2.1 pep chromosome:SL3.0:8:57714856:57717673:1 gene:Solyc08g068510.2 transcript:Solyc08g068510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPIEIIREIQSRLPRIYAVKTSILSKKWQRIWASHPKILLDEIDFGADYSRYRVKDKPKRDAFLTYLIKSLEIRERHKNCEVDELFLRMIVYSDADELLVNKWISFALENSIKRLCLSLQTIRRDHYYLYGVAFCSHKLVDLITSDCKITNCSFKLPALKLLFLFVEDDDFKDLIAGCPLIEQLRIQDTKKLRTINLVSNPNLQFFGVHLPCPHGGGKIRIESPNLHSLEFISFNIHLCELEIMSTTTVRKLTLLHANDPETFIEKFPLLDKLVLDTCGWSQKLHISQPNLVSLVLKDSTVRREVRIDSPKLKSLEYKGGLTDFTGIQYLQELEFVLLYLDPIELPDNLYSWFRDILESCARSKTLSLICDIQEVVLIPVKVTDILPVNDIKNLELEIKTRHGTFEVVIDELISILPDLKTLPLTLGSTTKFFKFS >Solyc03g120720.3.1 pep chromosome:SL3.0:3:70493489:70503935:1 gene:Solyc03g120720.3 transcript:Solyc03g120720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTKSESDITSLAPSSPSRSPKRPVYYVQSPSRDSQDGDKSASMQPTPSFNSPMESPSHPSFGRHSRNSSASRFSGIFRSSSGRKNGRKRNDKGWPECNVILEEGKYDEFDEEKGLTRRCQALLALLGFVVLFSIFCLIIWGAGRPFKAEITVRSMVVNNFYVGEGSDFTGVVTKMMTVNASLRISVYNPATFYGIHVTSTPINLIYSDIVVASGQLRKYFQPRKSRRTELVSIEATKVPLYGAGSSLDAATNGGFKVPLKLEFEINFLAPSISSELDLDDEEGDDMEGLEELMALDEEDDLQQKPQGGHHEKPSEAEFVSKAQRIVLELNNDNTKRAIDGNEYVLVLGYTPWCARSAELMPKFAEAATALKELGSPLLMAKIDAERYPKVASTLEIRGFPTLLLFVNGTSQPYTGGFSAEELVIWARKKTGVPIVRISSDAEARHFLKKHSIFVVGLFEKFEGPDYDAFTKAAEMDNEIQFVETNNAETAKHLYPDFKPTSLFLGLVKSEPEKYTEYEGIFSTDGILQFLDDNKFPLTTVLTELNAAKVYSNINKLQVLIIAETDDFKKLVEPLQDVARKFKSKIMFIFVDIREENLAKPFLSMVGLEESKDSVVVSFNYSSSLKYLLESDTTPTSIEEFCSGLLSGTVSPYYKSQPIPDNKNMSILTVVGKTFDELILNSPENILLEIYTPWCITCETTSKQMEKLAKHFKGLDNLIFARIDASLNEHPKLQIYDIRSSFPPWRSGLSSEEGINRQFPERDVKLQTFSSTTNKKLDGCSVIHNSGNEVDDYPTLLFYLADDKTNPIPLPTKSSTKELAALINKNLKEHSREIRDEL >Solyc05g009560.3.1 pep chromosome:SL3.0:5:3765190:3767252:-1 gene:Solyc05g009560.3 transcript:Solyc05g009560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADNTEGIVLNFVNESVFPLLPNIASSCAFLSVFFWIAQNRPLNVQNAADALQKFNLKKAAVQKALDNLCDSGKISFKEYGKQKIYLARQDQFDIPDTEELNRMKEENANLQEKLNEQKKAISEVEAEMKSLQSNLTMEEIHAKESKLRKEVDEMEKKLIKLREGVTLVSPEERKAIEGLYSESLNQWRRRKRMFRDVWDAITENSPKNPKEFKEELGVEYDEDVGVNFQSFADLIQHGKKRR >Solyc09g005950.3.1 pep chromosome:SL3.0:9:657113:658472:-1 gene:Solyc09g005950.3 transcript:Solyc09g005950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLTPTFLVLSILLIFITFSQATPPTFDWVQQEYLKAHNDLRSSVGVPPLEWDEKLAAYAYDWAVQRKEDCNYRQHSTGPYGENIFWQLYAETPATGIVKKWFDEKKKFDEVNNVCKCKPEKEGCECGHYLNIVWKTTTKVGCSGNVYCKNQKGAYVVCSYDPIGNYKGLNPLNPGNNSTSS >Solyc07g045185.1.1 pep chromosome:SL3.0:7:58423970:58425380:1 gene:Solyc07g045185.1 transcript:Solyc07g045185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHKSIIVYQGSAVGKVNAVKSACSNAASAESMMSCKTEPPTLCFAMQQSSFSFSNLTGESNAGDCQDCGASSMLRMGEPSWHPPCPESSMPSTSRSDAVSRYKEKKKTRQFDKRVRYVSRKARAEVRRRVKGRFVKDGDAYDYDPLHQTRSY >Solyc06g084580.1.1.1 pep chromosome:SL3.0:6:49693548:49695845:-1 gene:Solyc06g084580.1 transcript:Solyc06g084580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARINTAYLVNLLQTSIDTKAYSAGKLLHAHILRIGLSADTFLLNRLIELYSKSGHIHTARHLFDQMLEPNVYSWHSLLTAYCKQGQLDNAHELFSNMPERNTVSWNTLISAFARNHHETKALKVYSQMNAHGFSPTHITFASVLSACGGLAELEYGRVSHASAVKYGLHKNVYVGNALLSLYVKCSCPRDALIAFRELDEPNEVSFTAMMCGLVDNHQVEEAFEMFRLIQRSGIRIDSVTLSSVLRGCAKRRGLNFGWNGETDSDLPNTHGKQVHCFTIKLGFQGDLHVCNSLLDMYAKNGDMESAVVLFGNLSETSTVSWNVMISGFGQNHDKERAKEYMERMRGMGVEPDDVTYINMLAAYVKSGDVENGRLIFESMACPSLISWNAILSGYSQNEEHLKALKLFREMQFQNLRPDRTTLAIILSSCSEIGFLESGVQVHATSLKCVFPGDIYIASGLIGMYLKCGRVEAAVRIFDGLTQADIVCWNSLITGLSYNSLDKEAFTFFKRMLQMGMLPNEFSFATTLSCCTKLSSLSQGRQLHGLIIKDGYGNDVVVGSTLIDMYSKCGDVDEARVHFDMMPYKNIITWNEMIHGYAQNGCGDEAIFLYEDMICSGGIPDVITFIAALTACSHSGLVNLGLKIFNSMQQQYGLMPLADHYTCMIDCLGRAARFSEIQELIDKMSCKDDSVVWEVLLSSCRLHGNVTLARRAAEELIRLNPQNSAPYVLLANMYTSLGRWDDTEEIRAAMLERQVTKDPGFSWG >Solyc12g096190.2.1 pep chromosome:SL3.0:12:66193301:66196737:1 gene:Solyc12g096190.2 transcript:Solyc12g096190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLPNLFPKGDAYGTKYFEIKTKPSQLKLSFSYRARAKAALNPRSSSVEIPRQWYNLVADLPIKPPPPLHPKTFQPIKPEDLSPLFCDELIKQEASIDQFIDIPEEVLDVYSLWRPTPLIRAKRLEKLLDTPARIYYKYEGGSPAGSHKPNSAVPQAWYNKMGNVKNVVTETGAGQWGSALSFACSLFGLNCEVWQVRASFDQKPYRKMMMQTWGAKVHPSPSDLTEAGRAILQMDPSSPGSLGIAISEAVEVAAKNADTKYCLGSVLNHVLLHQTVIGEECIKQMEDFGETPDVIIGCTGGGSNFAGLAFPFIREKLKGRINPLIRAVEPTACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHDFIPDPIHSGGLRYHGMAPLISHVYELGFMEAISIPQIECFQGAIQFARSEGLIPAPEPTHAIAATIREALRCKETGESKVILMAMCGHGHFDLSSYDKYLQGSMVDLSFSEEKIKASLAKIPQPVS >Solyc01g096210.3.1 pep chromosome:SL3.0:1:87181019:87182989:-1 gene:Solyc01g096210.3 transcript:Solyc01g096210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISPKFAGHRRWVYTTINFTHLFSTTAASAPSAAADDDDPFKTHPSYQHLATIKSKSELLQSYTVTPPIKPWPRYLSHKNLISLVKSQHDVNLSLQIFHHAGNFHPGFFHNYETYHSILNKLCRARAFDKVETLLTELRNSGIKCGEVLFVNVIRNYGIAGKPKLALKTFLRIEKFGVQRSVRSFNALLNALVQNKEYDFVYALFKNCQKKLHITPSVFTCNILLNALCKKDDINSATKVLDEMPVMGIVPNVVSYTTILGCYVSLGDLLGAKRMFDEIVDRGWLPDATTYTILMHGYVKQGKFIDAAKIMDEMDDNGIGPNEVTYGIMIEAFCKEKKSGEAVNLLNDMLDKRYIPSPTLCSKVIDVLCEEGKVEEACDLWKKLLVKNCTPDNTISSTLVHWLCKKGQIREARKLFDEFEKSSSPSVLTYNMLIAGMCEKGELHEAGRLWDDMVDKGCIPNAFTYNMLIKGFCKVGNAKEGIRVLEEMLDKGCHPNKSTYSILIKGLLDSELNAEILRVLALAASGDVDPETWGVLVAKFVTDIQNVCANNVKHGICCLMTT >Solyc02g079740.1.1.1 pep chromosome:SL3.0:2:44741285:44742400:1 gene:Solyc02g079740.1 transcript:Solyc02g079740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B9J5] MATSTQFPDDFRCPISLEIMSDPVILSSGHTFDRSSIQRWVDSGHRTCPITKLPISDPVSLIPNHALRSLISNYTLVSFPKPLTYPEPQSLIQTLIASSSSNLDSKLHSLDQLSKLSKRDLAIRRKLTESGAVSALLNCVNSDDDSGGLQEKALHLLLNLSLDDDNKVGLVAEGVVGKVVSSLRRGAGDSRAVAATVLTSLAVVEVNKATIGAYPDAIPGLISLLWGGSGRERKEAATALYTLCSFPDNRVRAVQNQAVPVLIKNANLGLERSVEVLGLLAKCKEGRYEMFKYCGLLDILIKFLKNGSSRAVQYGLLTISLLCSYSERMRVLAVREGVFEISVGLLQDDNEKVRRNANSLIQVLQGQTLSL >Solyc06g054220.2.1 pep chromosome:SL3.0:6:37156363:37157108:1 gene:Solyc06g054220.2 transcript:Solyc06g054220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPISRRLQQRRGSYSSTKKTSARREAAKGLQPAVTDYNHNASQPNDTKFLFFFYLFTDRPISSQKKYIQINCFSFSSNSMTSSEELCAPMNRSTQTSSLTPSTLQILLVL >Solyc02g093850.3.1 pep chromosome:SL3.0:2:55188843:55192148:1 gene:Solyc02g093850.3 transcript:Solyc02g093850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYFPLRWESTGDQWWYASPIDYAAANGHYDLVRELLRLDGNHLIKLTSLRRIRRLESVWDDEEQFDDVARCRSKVARKLMLQCDTKKGKNSLLKAGYGGWLLYTAASAGDLSFVQELLERNPLLVFGEGEYGVTDILYAAARSKSCDLFKVLFDFAITPRFLLRDEHIGGEIPSAYKWEMMNRAIHAAARGGNLQVLKELLAECCDDILAYRDIQGATPLHAAAGKGQVEVVQHLIKSFDIINSTDNQGNTALHIAASRGQLAVVEALIVASSSLVYLRNNAGETFLHVAITGFQTPCFRRLDHQVQLMKQLVCGKFNIEEIVNAENKDGRTALHLAVIGNIHSELVELLMTVRSVNVNTRDKDGMTPLDILRQRPRSASSDLLTKQLISAGGIFSQHDHSARRVVASHLKMQHVGSSPGTSFRLSDTEIFLYTGIEHDGNESAELSTSTELSQHNLNTENDCSRNEGKTNSANNAAQRLKRFFYWPKIRKGDTQRLKKLADQSCARNSEAAPVPLRQRFSKPSSLPNNKRTLSVRSNLPSPTAKKKLASGLVNGVMLAIPHLSVRRRSSCSSSFSISSVSSHTSLDQQKATQIENELARPSSSNHVRAKSSDSIYKGNKSLVNQYLCFGASEQTVKATSSELRPYELYERSVLSTA >Solyc11g068560.2.1 pep chromosome:SL3.0:11:53543685:53544585:-1 gene:Solyc11g068560.2 transcript:Solyc11g068560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILIIVIFLFHINLLHAFSLSSRFLRPRVHLGDEIVTNNKRGGGAASGHASAHGSSSRGRASRGGQSTAGVVPLYTAGAMNHHKVNRSHHHANDGITLNCICFSTLLFIICSIFVNILFIL >Solyc06g069420.3.1 pep chromosome:SL3.0:6:43287141:43288920:-1 gene:Solyc06g069420.3 transcript:Solyc06g069420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFRAKLHGFCMSRAVVRVRARSPCKQYKRTGSIKFNDSDSKYCSSTEMSFNSVESRSDSESIGDNNSNNNRVMVVVDPSLDPNCALQWALSHTVQSQDTIILLYVSKISNKGEKANSEINQRAYELLCSMKNMCQTRKPGVQVEIVMQEGKEKGAVVVEEAKQHKASLLVLGQKKRSIMWRLLRIWTRKRSRSRVVEYCIQKANCMTIAVRRKSSKYGGYLITTKRHKNFWLLA >Solyc07g056070.2.1.1 pep chromosome:SL3.0:7:64092982:64093722:1 gene:Solyc07g056070.2 transcript:Solyc07g056070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGRLKNVVAAAAIEGLAEARARIFGHVLNPTGQRSSHKVLRKKLIGEKVSQWYPHDIMKDDPLVMARQEQERLSKLEMLRRRGKGPPKKGQGKQAKKRNK >Solyc02g070280.3.1 pep chromosome:SL3.0:2:40622668:40628208:-1 gene:Solyc02g070280.3 transcript:Solyc02g070280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEGEVPESGGGIRRRNCVCTKDDFLPEESFKSWGNYTNALRQTPTRLIERALTRSNDEAELEAKSRSHHEMKKTLTWWDLIWFGMGAVIGAGIFVLTGLEASQDAGPAVVLSYAVSGVSALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIGGAAVARSWTSYFATLLNFKPEKFLITAHGLAKGYNQLDPIAVAVCFIICIIAIRSTKGSSRLNYVASIIHIVVIVFIIICGLIKADTKNYTPFTPFGVRGIFKASAVLFFAYVGFDAVSTMAEETKDPARDIPIGLVGSMVITTTVYCLLAITLCLMQPYQSIDPNAPFSVAFKAVGWSWAQYIVAAGALKGMTSVLLVGAVGQARYLTHIARTHMMPPWFSYVDEKTGTPVNATAVMMSATAIIAFFTKLEILSNLLSISTLFIFMLVALALLVRRYYVSGVTTNANRNKLIICILLILGSSIATAAYWGLSEKGWIGYCFTVPIWVSATAGICIFIPQARNPKLWGVPLVPWLPSLSIAINIFLLGSIDKDSFIRFAGWTGFLLAYYFFFGLHASYDTAKEFEKSKGWKNNIEEGKGTSNVVVSKDVPIAPGN >Solyc03g025910.3.1 pep chromosome:SL3.0:3:3347634:3352748:-1 gene:Solyc03g025910.3 transcript:Solyc03g025910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGDHSHGGDFRTKVWSMSGGPYCRPVHWKRNTAIALAGIVLVCIPVALKSAELEQRPHLPVRPIPSQLWCKNFGTKDY >Solyc04g008530.2.1 pep chromosome:SL3.0:4:2154259:2156337:1 gene:Solyc04g008530.2 transcript:Solyc04g008530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENITNSLPTRYLWKIESFSLLSQNGTSKYESNVFESGGFNWTTKFLFDAGMERRFHNIKTVWGFSKCISHETLKDPTNGYVVDDKCVVGVDIYVVKKQGIGECMSLLNGTEPYKHEWKITEFSKLKRKVYYSEQFIRDGYKWKLLLYPTGDNRENGKNISVYLESVDAKGFNRQKRVKAKFSISLKNQNGGEHHKRSGGANWYSAASYSWGWSSFMRCCELNDRFLIEDCCVLEAEVSVVGVVNCLT >Solyc10g080130.2.1 pep chromosome:SL3.0:10:61646121:61650789:1 gene:Solyc10g080130.2 transcript:Solyc10g080130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAYGSSDLSSEMEVDAFRRLFPLPYHEHHLLKSVRPDARKLGSARYTTLALGAVASADGSALAKIGSTTMLAAIKMEVMTPTVECPDEGSIAIEFHMPPICSPLVRHGRPAEAASVISKQLSGMIDLKELCLVGGKAAWMAYLDIYCLDADGASFDTALLSAVAAFLHLNIPVVSLNDDGRIVLVSEDTVRLKLEKEPVNTEKRKLKLNSLPFSLTCILHKNYILADPTAEEESIMETIVTVVLDTSYQLVSLNKPGGPVLSHTSVIQDCVALARRRVKELQSVLNEAISDMEVD >Solyc01g020470.2.1 pep chromosome:SL3.0:1:30836140:30836745:1 gene:Solyc01g020470.2 transcript:Solyc01g020470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQFIFKYSWETLPKKWVKKMERLEHGNKFDTYTDYIFQLLRFMKLHTYTRVQNLLIIRYNSRIRVQTSADEVTRISPIVNLFQSAIRWEREVWDMFGVSSINHPDLRCISTYYDMEVRYDDPKKRVVSEPVEMTQEFRYFDFASFWE >Solyc02g061870.1.1.1 pep chromosome:SL3.0:2:33976709:33977368:-1 gene:Solyc02g061870.1 transcript:Solyc02g061870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNINDEQLIGYLINFVTGVPVGCNEIRIVELYGNKKPSQLFDTTTTDHHYFFTELKKRGKYFNRGIAGRGGSWRKYGTNEEVVDKNRSVIGFKRRFRFEEENHIWIMKEYCLFDSKLKDLRLRGQIRHEGFVVCSIMRKGTCSSQCQVNQDLGLIQCDDLKESTMTAAKDDECSVRQEVVHTRPLLDSMDGNIPGQVGLGSNEHQETPYSVTFYEFL >Solyc04g015340.3.1 pep chromosome:SL3.0:4:5526516:5531994:-1 gene:Solyc04g015340.3 transcript:Solyc04g015340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4BQ37] MENSLCYVMFLSFLFVGSCYSFYNVEQENDRITYLPGQPENVQFSQYSGYVTVNQQAGRALFYWLIESPSTRNPEKRPLVLWLNGGPGCSSVAYGAAEEIGPFHINADGKTLYLNPYSWNNLANLLFLESPAGVGFSYTNTTSDLYDAGDQRTAEDTYQFVIKWFERFPQYKHREFYIAGESYAGHYVPQLSQLVYQRNKGIKNPIINFKGFMVGNAVTDDYHDYVGTFEYWWTHGLISDSTYKLLQITCDTGSSEHPSTDCTKALLLADHEQGNIDPYSIYTRPCASTNALHRNLRGHYPWMSRAYDPCTERYSDVYFNLPEVQKAFHANVTKIAYPWKTCSDIVGDYWDDSPLSMLPIYHELIAAGLRIWVFSGDTDSVVPLTATRYSIDALKLPTITNWYPWYDNKKVGGWSQIYKGLTLVTITGAGHEVPLHRPRQAFILFRSFLENKPMPS >Solyc01g111620.3.1 pep chromosome:SL3.0:1:97753190:97756969:-1 gene:Solyc01g111620.3 transcript:Solyc01g111620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLIYTNSPPSKLHLKTFPRLPKLHFSSLLHVEPRRPNSLSCKCIHQFSSSGSIASSRFGDGHAGNSSESKHLQDGSGSKPHLLNWVAEILSQQRKVVSTSTVVLLSAMLVMLLHPVIVSPAFASFQTAAKTGGPAAAAVGTQLVRNELLTSAWAGFFAGCLHTLSGPDHLAALAPLSIGRTPMESAAVGALWGCGHDAGQLIFGLLFLLLKDRLHIEVIRTWGTRVVGFTLLVIGAMGIKEASEVATPCVALENGDCDVSVYEGIDTPVIGKKKKIGFATFATGIVHGLQPDALMMILPALALPSRVAGAAFLGMFLVGTVIAMGSYTVFIGSCSQALKDRVPRITEKLTWASSLIAIGLGIAIIVSQFFGFSLY >Solyc02g082990.3.1 pep chromosome:SL3.0:2:47137210:47137877:1 gene:Solyc02g082990.3 transcript:Solyc02g082990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQNLSYQAGQAKGQAQEKGNQMMDRAANAAQSARETMQEAGQQMQAKAQDATNAVKNATGLNK >Solyc03g005460.3.1 pep chromosome:SL3.0:3:349695:358106:-1 gene:Solyc03g005460.3 transcript:Solyc03g005460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEANVEEDAKNIKMIRSVIGSEIPENEILEALSQKNNNPEAAINHLLDSSPPLIVQKTVTSTGVRISAPIKQENGEESLGCNTISGLKVKEETDLGVNGKRERKVFDVCGAKGSAGNGLKVKEEYDVGVEEKGQKGFNEWLKNNPQIDIQKPKEGKELEMVKFKEEPVLSVEPLSSRPLLKHEYNRLNSSSTSNRAIGGIKEKIRIEKNSLSTVVIEDGDFPEDSDWLLVGRTVVTGLSTTKGRKLENNEIVHFSFPQLGGSKQSSHWGGSRAAIAAASSIVRFSTKRSGEIGRLPMEWAKCLIPLVNSSKVKVFGRCVAAPVNLFLMQELMLYVSFYIHHSVFTSCEKSSWRLDSPSQIDTTTYPLLTLFKLLRVKPFQNLIVKWLETIQTPSFALMSLFSFTNVMQAEFTPDELDSRKRQLNLDSDSNEAASVLSIAKRRKGCQQLSQPNKDEQEISEASINKLVGSVDMYDLKEMEAPDTLVCSLRPYQKEALYWMSESEKGAGVEEASKTLHPCWAAYRICEERKIYVNIFSGEATTEFPTASNAARGGILADAMGLGKTVMTISLILANLGRGSPDDQEIVLEDTDETECVTKRITYTDTEVSKKAKGGTLIVCPMALLGQWKDELEAHSKPGSVSVFVHYGGDRSNDPRVIAEQDVVLTTYGVLSATYKANNEKSIFHNVDWYRVVLDEAHTIKSWKTLGAQAAFTLSAYCRWCLTGTPLQNNLEDLYSLLCFLHVEPWCNWAWWNKLIQRPYENGDQRALKLIKAILRPLMLRRTKDTKDKDGRAILVLPPTDIQVIECTQSEAERDFYDALFKRSKVQFDQFLAQGKVLHNYANILELLLRLRQCCNHPFLVMSRSDNQEFADLDKLARRFLETNPDSSTQKAPTPAYVEEVVEGIRNGENTECPICLESADDPVLTPCAHRMCRECLLSSWRTPASGLCPICRQMLKKHELFTCPSANRFRVDVQKNWQVSSKVSKLMDCLELVRKSGEKSIVFSQWTSFLDLLEIPLKRKQIGYLRFDGKLSQKQRERILLMSLRAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKKIVRVRRFIVKDTVEERMQQVQARKQRMIAGALTDEEVRSARLEELKMLFR >Solyc09g019970.3.1 pep chromosome:SL3.0:9:17883131:17898659:1 gene:Solyc09g019970.3 transcript:Solyc09g019970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPEEEKLTIRDISIAAEAQTKQGDTFYLITQRWWQEWLEYVNQNQANTVNDGSASEHCTGGSSALKRPSSIDNSDLIYEAASGDSSAGIDLHDTLIEGTDYILLPQEVWNQLYEWYRGGPILPRKVINSGLSQTELAVEVYPLRLQLHLMPKDERSTIRISKKETIRQLHKKACEMFSLIPELVCIWDYFNHQKHALMNDMDKMLDDANIQMDQDILVEVANDNSAGGVNSFHENGTADNGTAALVKPSQPNFSNAEGLSLSKGSTRNGTAELSQSQQLASSGTDKTYGSSGVSTRGSACGLTGLLNLGNTCFMNSAIQCLVHTPEFARYFREDYYQEINRQNPLGMVGELALAFGDLLRKLWAPGRTPVAPRPFKAKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYIKSKDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPVCNKVSVTFDPLMYLSLPLQSATSRTMTVTIFTCDGSALPAACTVTVPKQGRCRDLIQALGNSCSLKQNEKLMLAEIRGHLIHRFLEDSLISLSSIKDDDHLAAYKMPKSIKNSKFLQLIHRREEREIGISQSNVGWKPYGTPLVSPICCDDVTTRGDIQLIVHRMLSPMLRAENPGFNCVSRSKTAAAAAANASRLAASSEACVDSSLANDDPRQKDVPSSKLVNLEKLPLQLVDENNACIDLTVGEDKSVKLSSSSVSILVFADWSQKLLENYDTRYIENLPEVTKYGPATKKARTEPLSLYSCLEAFLREEPLVPEDMWYCPTCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIHDFDLTKYVANKNNSRRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDSHISPINEEDVKSAAAYVLFYRRVKTDHDHSVSNGTVSSAGQQSISSRK >Solyc03g095920.2.1 pep chromosome:SL3.0:3:59019153:59020210:-1 gene:Solyc03g095920.2 transcript:Solyc03g095920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISKVEQSYDRMSELKLFDDTKAGVKGLVDSGIAKGGKCETNFTFLVVDLQGIDEDPIKHKEIVDKVRDASETWGFFQVVNHGIPTSVINKMLQGTRQFFEQDNKVKKQYYTRDIGRKVFYNSNIDLYRPSVPTASWKDAFFCFITPNPPGPQEFPTPCWEILMDYSKDVTKLGFSLLELLNEGFGLVVI >Solyc06g082180.2.1 pep chromosome:SL3.0:6:48130714:48132071:-1 gene:Solyc06g082180.2 transcript:Solyc06g082180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTRTKSKIWNHSTNSPKFSCPSFKDIQTLFSDDSNCNSPTPNKKPNIFHRVRRVSAVLRALSVRPGPDLPLKTLLPQPETNLKETRKSEPLIQIPGAEKKIVIYFTSLRVIRSTFDDCKAVRTILRSFRVSIDERDVSMDSGFMDELKTILGIREKTKPSLPRVFIGGRYIGGAEEIRQLHEAGELKKYVEGLIPADLSTCEVCGGHRFILCDECNGSHKYYSEKGGFRTCTACNENGLISLLDYKYAVPEQI >Solyc02g087380.1.1 pep chromosome:SL3.0:2:50473863:50475225:-1 gene:Solyc02g087380.1 transcript:Solyc02g087380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYDSPSFKRPFESNKGESYSPSQVPASGQSGVGGGSSAGGASDSNSNLRTGDALSYLKEVKDMFRSQRDKYDMFLDVMKDFKAQRIAPLIFLVIDIVGVMARVKDLFKGHPMLILGFNTFLPRGYEITLH >Solyc08g077810.2.1 pep chromosome:SL3.0:8:61844824:61846467:1 gene:Solyc08g077810.2 transcript:Solyc08g077810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQARYLCVIGRARLVPSWFAKVHPTTGTPLNATIVLGICQASIALFTELDIVIEMISIGTLLVFYLVSNALIFRRYVILSKNPPLHTLLFLFLLSSTSFAFSLSWKFKLHWWNLTLFVGLTFFATVIFQYFVPMVVMERQESWLVPFMPWPATISISLNVFLMTTLKMVAYKRFGIWTCVTTIFYVLYGVHSTYHAEEILEMIVVDNVNVNSSTQQIITKVDIQLV >Solyc12g021175.1.1 pep chromosome:SL3.0:12:14533255:14535483:-1 gene:Solyc12g021175.1 transcript:Solyc12g021175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHNQTDNHITPNQIVKSIVSSIPSLVITRVNIKVHYRDQNLFSLPPRVSGSNCYVHNLTPRKGAHSNPGDSLPAPTMSSATVEHLPMIFTLIFVKDLGKFKYFLGIEVAQSGHGIAIIQRKYALDILEDAGMLDCKHVASPVDPNTKLIPGQGETLKDPSKYQRLVDKLNYLTITIVSQFLQSPCYSHWNAVIRILQYIKSYPGQGLLYEDKGHTNIVGYSDADWAGSPSYRRSTLGYCVLIGGNLIS >Solyc03g063640.1.1.1 pep chromosome:SL3.0:3:37476979:37477170:1 gene:Solyc03g063640.1 transcript:Solyc03g063640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSHFGFRSKVERFGVICGADFICELFVIRFTQDHLINLVLVHLKRCDISIPYHYLASFLVY >Solyc04g014997.1.1 pep chromosome:SL3.0:4:5205719:5208200:-1 gene:Solyc04g014997.1 transcript:Solyc04g014997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIFKINGIKQDLEYGQDGIVSTSCDVYSFGIVIMEMFTRRRPSDEIFTGEMNIRCWINDSFPSGIHK >Solyc09g056380.1.1 pep chromosome:SL3.0:9:49132647:49134078:1 gene:Solyc09g056380.1 transcript:Solyc09g056380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L18a [Source:UniProtKB/TrEMBL;Acc:K4CTD3] MCSSVLDFMFMFAPCIFLFNNYVFDIKSSSKRLLGRALPTETDEHPKIYRMKLWATNEVRAKSKLWCFFRKLKKGNSLMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLSGVWTKLCKRESTKQFHDSKIKFPLVFKKVSPPSRKLKTTYKATKTNLFM >Solyc06g072440.3.1 pep chromosome:SL3.0:6:44826109:44828667:-1 gene:Solyc06g072440.3 transcript:Solyc06g072440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQWMILTYVVAAEAAIAILLTLPSPKPLKSRFVSLISLALQPSLFVVPFSVFQLLDIYWKNEHRLMCTGEICTASERDRYEKSIYKAQRNVILCLAACLLYWCIYRVCKYYKEIQSIEEVEKRYKDQ >Solyc11g011880.2.1 pep chromosome:SL3.0:11:4818975:4822464:-1 gene:Solyc11g011880.2 transcript:Solyc11g011880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYVWWWWRLMVVIIGSVLLQNPVFSQPQTNLLGKGCSQYNATNLPDFFRRLNASFVDLRNQLSNQDKRFATTQQAVYAMIECRKYLSRADCVSCYDSAVSLIRTCSGANGARVTYDGCFLRYESNNFYQDTTQPGNAEICGNRTSSQPNALSPVALQLLNDLSTATPRTSDFFAATKREASGVTVYGVAQCAESITESGCRDCLTVAYKNIEGCLPKYAEGRAVDAACFMRYSDRAFFADNTTTDITPFLGGGGSSNKKKAVIIGGVVGGVGLLLIVLAVFLWYRLSRKPKTAERGNILGATELRGPVSYSFKDLKIATKDFNESNKLGEGGFGDVYKGTLKNGNVVAVKKLAIMSSRAKADFETEVRLISNVHHRNLIRLLGCSNKASDLLLVYEYMANGSLERYLYGDRRGMLNWKQRFNIIFGTARGLAYLHEQFHVCIIHRDIKSSNILLDDEFQPKIADFGLVRLLPEDQSHVSTKFAGTMGYTAPEYAIHGHLTEKVDVYSFGVVVLEIISGRRSNDMQIEPVTEYLLEQAWKLHETGTPVKLVDETLDPNEYNEQEVKKVIEIALMCTQSPANLRPSMSEVVVMLLSDRSTESRTPSRPTIISMDKSKAFDASMTTGSSASTATNTFSDFTGR >Solyc10g054320.2.1 pep chromosome:SL3.0:10:55175475:55189816:-1 gene:Solyc10g054320.2 transcript:Solyc10g054320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVARRVVQLGANCGIRLSKSQGINASKVSAALLIDRSQINAGSVNFYSSEPYKSSAANKFDYRQISQLAKPNGKRAFLVDTLALVRRLEARGVPSEQAEAITSAITEVLNDSLENVAHSFVSRAEMQKSEMIQEANLSKFKSEVQSSQEHHFSLLQRELEKLRNDIEKMRSELRYEVDKLTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAQIEAAKYEVIKYCIGTLVSISAVGLAVLRLYT >Solyc01g014060.2.1 pep chromosome:SL3.0:1:11080637:11083011:-1 gene:Solyc01g014060.2 transcript:Solyc01g014060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFGKAMLIVLVVMMATMRFATDTVYQVGDLAGWIFNYNYDEWAFFKQFQAGDTLVFNYDPKLHNVMQVNINDYNSCTASNPIGTFNSGSDSILLDTLDADYFFMSGIPGDCASGLKLHIKECESFGSSNSCINDLSAFTY >Solyc07g009500.2.1 pep chromosome:SL3.0:7:4618758:4625935:1 gene:Solyc07g009500.2 transcript:Solyc07g009500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSTTLVFVILALLLTTTYAEQCGRQNGKRKCPNKLCCSKFGWCGTSCDYCGSGCQSNCRKGCATAMFANETVNNNGEHLDNEQCGRQNGKRKCPNKLCCSKFGWCGTSCDYCGSGCQSNCRKGCATAMFANETVNNNGEHLDNVSSVALFAVELLLESNFGVTFRSKPGSVRGSRVEVHLAPLVVPALLRLESRFRTGYGVKMLNAC >Solyc08g069140.3.1 pep chromosome:SL3.0:8:58272026:58276921:1 gene:Solyc08g069140.3 transcript:Solyc08g069140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFMDPLFFYLPSVVNRGKSSCMTIDLNLGISVTCFRTVADVFYLLHVIFKFRTAYVSRSSRVFGRGELVMDKKLIARKYLKSEFFIDAIAALPLPQIVIWFIIPAIRSSHSDHTNNALVLIVLLQYLPRLYLIFPLSSQIIKAAGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERYATCWKIACEKELSPLQCSSRFLDCGTTDHADRITWVNSTQVFSNCYPSNSTIFDFGIFANAVTNNVVSSKFLEKYLYCLWWGLQNLSSYGQNLTTSTYIWETSFAILIAIFGLVLFAHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQDLQERVRRFVQYKWLATRGVDEESILLALPSDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLSSSLSTQGTYIVREGDPVTEMLFVIRGTLESSTTNGGRTGFFNSITLRPGDFCGEELLAWALLPRSTLNLPSSTRTVRALSEVEAFALHAEDLKFVANQFRRLHSKKLQHTFRYYSHHWRTWAACFVQAAWRRFKRRKLAKELYRGESLSYAPEDDQSAYESECEHEDDQQTKTTPTNSSNVKQNLGVTILASRFAANTRRGVQKMKDMDMPTFQKPEEPDFSAEPDDD >Solyc07g007300.3.1 pep chromosome:SL3.0:7:2023615:2028096:1 gene:Solyc07g007300.3 transcript:Solyc07g007300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASLSLNHHRYLVQIRGGVSLNSRIRVPTRFSSNGFTSRIRATSAVVAEPELRTPAQDSMEAELFACPICYEPLTRKGPSGFNVPAIYRSGFKCRKCNKSYSSKDIYLDLTVTSGTKQYNEVKPARSELFRSPIVSFLYERGWRQNFNLSGFPGPDEEFKMAQEYFKVAEGGVLVDVSCGSGLFSRKFAKSGAYSRVVALDFSENMLRQCYDFIKNDESIIGSNLALVRADVSRLPFPSGSIDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRVNPSAPTIFRALEQSALRTYSYFTQEEIEDLVTSCGLINYTSKVQSSFIMFSAQKQ >Solyc01g104030.3.1 pep chromosome:SL3.0:1:92388487:92394077:-1 gene:Solyc01g104030.3 transcript:Solyc01g104030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSHSCNNFTKNMIVEDSKKEQHVVQDDNSHGSSNSATPSDDLSFRNLSKLILPPLGSNDSNTNQTHEKGFIITPMDSRYRCWESLMVIMVAYSAWVCPFEIAFMHSNPNTALYITDNVVDIFFAADIFLTFFVAYIDATTQLLVRDRRKIAIRYLSTWFIMDVASTIPFDLLALLLTGKHQVGVSYSVLGMLRFWRLRRVKQFFTRLEKDMRFSYFWVRCARLLFVTLLTVHCAGCLYYLLADRYPHQGDTWLGAMNPNYKETSLVIRYIAALYWSITTMTTVGYGDLHAVNTLEMVFIIFYMLFNLGLTAYIIGNMTNLVVEGTRRTMEFRNSIEAASNFVCRNHLPPRLKDQILAYMCLRFRAESLNQQELIEQLPKTICKSIRHHLFLPTVEKVYLFKGVTKEILLLLVADMKAEYIPPREDVIMQNESPDELYIIVSGEVEMIESEMENEPTVWTFKSGDMIGEVGALCCRPQSYTYRTKTLSQLLKIRTSNLIEAMKTRQEDNIIMIKNFLQHHKKLKGLRLGDLLHGVGGDYGDPNMYVNLLTVASTGNATFLEELLKAKLDPDIGDAQGRTPLHIAASKGHEECVMVLLRHGCNINLRDVNGHTALWEAIAAKHHSTFHVLYHWASVSDPYIAGDLLCTAARKNDLTVMKELLKHGLQVDSKDRDGSTAIHVALEENLEDMVKLLLMNGAEINDKLKYKLSSMNLNDMLQKREVGHRIMVSDTMDEVAQKWRQQEKQHNSESTRDQMSFRVSIYRGHPVIRRRTHCSEPGKLITLPNSLAELKIIAGQKFGFDATNASITDQEGSEIDSIEVIRDNDKLFIVEGPNCL >Solyc01g094690.3.1 pep chromosome:SL3.0:1:86011826:86014616:1 gene:Solyc01g094690.3 transcript:Solyc01g094690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmamembrane intrinsic protein 12 [Source:UniProtKB/TrEMBL;Acc:K4AZL0] MEGKEEDVKVGANKYSERQPLGTSAQSKDYKEAPPAPLFEAGELHSWSFWRAGIAEFMATFLFLYITVLTVMGYSRANSKCSTVGVQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFQPSLFETKGGGANVVAHGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIVYNKEHAWDDHWIFWVGPFIGAALAALYHQVIIRAIPFKSGN >Solyc03g119720.3.1 pep chromosome:SL3.0:3:69746588:69753791:1 gene:Solyc03g119720.3 transcript:Solyc03g119720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVDHTLAVTKESVKTLTYESLNNVVRLINGVSALLLTLLPGKSTILEGIHGWELRPTFRGPRLPQWMENGASSFNQFIHEHSFDSDSSSSSVDYSSEEEEQYTDETSCPPSPLSQSSRVSRARSLSRRNRHWIHWFRYIISWLLFPIKFMLGLPLFIYALSRGRRASRTSENFQSSHVQARKRLQTLRDQVIQSTTDRRRGVVEDLHLAIEITIEAVFEFFHKAAHCLLSPIDTLKKVVKWFSSDYSGRVDVPTVGSGVSVPVDTLSESDPTPREQKTGFHSLNTDARTCQDVITELGYPYEALRVVTDDGYILLLERIPRRDARKVVYLQHGIFDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVDKNISSRRYWKYSINEHGTQDIPAMIEKIHQTKVTELKNSQKVVEEESGNDQPYKLCAICHSLGGAAILMYILTRRIEVKPHRLSRLILLSPAGFHHDSNPVFTMMECLFLVLSPLLAPFVSAFYIPTRFFRMLFNKLARDFHNLPAVGGLVQTLISYLVGGDSSNWVGALGLPHYNMNDMPAVSFRVALHLAQIKNTRKFMMFDYGSAAANMKAYGSPHPLDLGEFYSLIDIPVDLVAGRKDKVIRPSMVRKHYKLMKDAGVEVSYNEFEYAHLDFTFSHHEELLAYVMSRLILVDASMKQQPGQKSLKNRRKEGQTSSSR >Solyc12g006500.1.1.1 pep chromosome:SL3.0:12:1010967:1012019:1 gene:Solyc12g006500.1 transcript:Solyc12g006500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGNLSEGVVKKILLSYTYVAIWIFLSFTVIVYNKYILDRKLYNWPYPISLTIIHMAFCSSLAYLLVRVFKLVEPVTMTMDLYCKSVVPIGLLYAFSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLFKKDTFKSDTMGNMVSISIGVAIAAYGEAKFDTWGVMLQLGAVAFEATRLVMIQILLTSKGITFNPITSLYYVAPCCLVFLFIPWIFVEYPLLKDTSSFHFDWVIFGTNSFCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLVGYGLAFLGVAYYNHAKLQALKANEAQKKASQAADEEAGRLLEEREGENGAKKNESQG >Solyc01g005020.3.1 pep chromosome:SL3.0:1:30380:44130:-1 gene:Solyc01g005020.3 transcript:Solyc01g005020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVETRPFRIVEESISAESSDPTNAVIFVGISLLLGIGCRHLLRGTRVPYSVALLVLGIGLGALEYGTHHGLGRIGDGIRIWANIDPDLLLAVFLPALLFESAFSMEIHQIKRCAVQMLLLAGPGVLISTFFLGAALKIAFPYNWSWSTSLLLGGLLSATDPVAVVALLKELGASKKLGTIIEGESLMNDGTAIVVYQLLLRMVTGWTFNWGAVIKFLVQVSLGAVGFGIAFGIASVLWLGFIFNDTVIEISLTLAVSYVAYFTAQQGADVSGVLTVMTLGMFYSAVAKTAFKGESHQSLHHFWEMVSYIANTLIFILSGVVIAEGILAGDNIFKIYDNSWGYLILLYVLILVSRAVVVGVLYPFLRYFGYGLDLKEAFILVWGGLRGAVALSLSLSVKRSSDGSQYISSDTGTLFVFLTGGVVFLTLIINGSTTQFALHYLGMDKLSAAKKRILNYTKYEMLNKALEAFGDLGDDEELGPADWPTVKRYITSLNDVEGEPVHPHTSSGNDDNVDHMHLEDIRIRLLNGVQAAYWEMLNEGRIPQTIANLLMQSVEEANDVVSHEPLCDWKGLKSYVNIPNYYKFLQTSFVHRKLVTYFTVERLESACYICAGFLRAHRTARQQLNEFIGESEIASLVIKESEEEGEDARKFLEEVRVSFPQVLRVVKTRQVTYAVLNHLIDYVHNLEKIGILEEKEMAHLHDAVQTDLKRLVRNPPLVKFPKIRDLISVNPLLGALPPTVRETLIGSTKEIMKLRGATLYEEGSKATRVWLISNGVVKWSSKSTSNIHLLHPTFSHGSTLGLYEVLVGKPYICDIITDSVALCFSVDNERILTALRSDPAVEDFFWQESALVLAKVLLPQMFETTKMQDMRTLVAERSTMSIYIRGESFELPHHSIGFLLEGFVKSHGSHEGLLSAPAPLLPLALEQQSFHNTEASGVHAASFSHQPSQYQVETRARVIMFDIAGFLSGRGLQRRSSSLLSHSIDHPSRSFSRELGGLMSWPENTYKAMQHRQDVERTGQQETNMSTRAMQLNIFGSMISDTRSRSRSFPGISAAKTSHSQSYPEVRSDGVQTLVSVRSEGSTTLRKKAQVQGENKDMSIQLPSAPIEESDTREYSSDDSGGEDEHLIRIDSGRPSFPQWIAEEDKTS >Solyc02g092670.2.1 pep chromosome:SL3.0:2:54315919:54324084:-1 gene:Solyc02g092670.2 transcript:Solyc02g092670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYPLIVVVVVLVCLCHMSVAMEEKKTYIIHMAKSQMPATFDDHTHWYDASLKSVSESAEMIYVYNNVIHGFAARLTAQEAESLKTQPGILSVLSEVIYQLHTTRTPLFLGLDNRPDVFNDSDAMSNVIIGILDSGIWPERRSFDDTGLGPVPESWKGECESGINFSSAMCNRKLIGARYFSSGYEATLGPIDESKESKSPRDNEGHGTHTASTAAGSVVQGASLFGYASGTARGMAYRARVAVYKVCWLGKCFGPDILAGMDKAIDDNVNVLSLSLGGEHFDFYSDDVAIGAFAAMEKGIMVSCSAGNAGPNQFSLANQAPWITTVGAGTVDRDFPAYVSLGNGKNFSGVSLYAGDPLPSGMLPLVYAGNASNATNGNLCIMGTLIPEKVKGKIVLCDGGVNVRAEKGYVVKSAGGAGMIFANTNGLGLLADAHLLPAAAVGQLDGDEIKKYITSDPNPTATILFGGTMVGVQPAPILAAFSSRGPNSITPEILKPDIIAPGVNILAGWSGAVGPTGLPEDDRRVEFNIISGTSMSCPHVSGLAALLKGVHPEWSPAAIRSALMTTAYTTYRNGGALLDVATGKPSTPFGHGAGHVDPVSAVNPGLVYDINADDYLNFLCALKYSPSQINIIARRNFTCDSSKIYSVTDLNYPSFSVAFPADTGSNTIRYSRTLTNVGPSGTYKVAVTLPDSSVEIIVEPETVSFTQINEKISYSVSFTAPSKPPSTNVFGKIEWSDGTHLVTIVVLVCLCHMSVAMVEKKTYIIHMAKSQMPAIFDDHTHWYDASLKSVSESAEMIYVYKNVVHGFAARLTARQAESLETQPGILSVLPELIYQLHTTRTPLFLGLDRSVNIFPESDAMSDVIVGVLDTGVWPERKSFDDTGFGPVPDSWKGECESSNNFSSAMCNRKLVGARYFSRGYETTLGPIDESKESKSPRDDDGHGTHTASTAAGSVVQGASLFGYASGTARGMAYRARVAMYKVCWLGGCFNSDILAGMDKAIDDKVDVLSLSLGGSTPDYYKDSIAIGAFAAMEKGILVSCSAGNAGPNQFSLANQAPWITTVGAGTIDRDFPAYVSLGNGKNFSGVSLYAGDSLLNKMLPLVYAGNASNVTSGNLCMMGTLIPEKVKGKIVLCDRGISARVQKGFVVKEAGGAGMVLANTAANGEELVADAHLLPAAAVGQKAGDVIKKYLFSDPNPTAEILFGGTKVDIEPSPVVAAFSSRGPNSITPEILKPDIIAPGVNILAGWTGAVGPTGMAEDDRRVEFNIISGTSMSCPHVSGLAALIKGVHPEWSPAAIRSALMTSAYTVYKNGGALVDVATGKPSTPFDHGAGHVDPVSAVNPGLVYDINADDYLNFMCALKYTPSQINSLARRNFTCDSSKTYSVTDLNYPSFAVSFVAGSDGSNTIKYSRTLTNVGPAGTYKVTVSSPNSSVKIIVEPETLSFTQINEKKSYTVSFTAPSKSSATDVFGRIEWSDGKHVVSSPVAISWS >Solyc02g024047.1.1 pep chromosome:SL3.0:2:25338967:25343205:-1 gene:Solyc02g024047.1 transcript:Solyc02g024047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEPMECDSCRSGGSVSNGSGGNGSQGYRSQGNVKSHKPQRSGYLYCDHCEMRGHSRADCNKLKYCTHCHKHGHLKDSQQQYGSGSGSGSVPQFTPDQYKQVLQMLNKPLIHEGNAASTNINANAAGNFAEHSKFDSPSFDWIVDSGATDHMVGTKNVLTNESTVMSSGHVQLPNGDSSRVTHSDMQAIVSDDETQVSAPVLNDQDDDHEPTMSTTIERRQSTRTSRPPLWQKDFVTTTKSRSRSNCLYSLGDSVDYSSSSNPLLSDIGAYQRLVGRLIYLTITRPDLSYAVQSLSQFMNAPKRSHMDAALRVVRYIKQNPGSGILLAAQSSDSLQAYCDADWGSCLDTRKSITGYMVKFGDSLLSWKSKKQSTVSRSSAEAEYRSMASTVAEVT >Solyc02g091390.3.1 pep chromosome:SL3.0:2:53322436:53326575:-1 gene:Solyc02g091390.3 transcript:Solyc02g091390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVGVPICVQCGNASNPCRCKVVGPTLGFLAFAAAAIVEWPVGALVYVFRHSKGRRIMGHPATVIYPSVTNSIPI >Solyc07g043710.3.1 pep chromosome:SL3.0:7:57801353:57802893:1 gene:Solyc07g043710.3 transcript:Solyc07g043710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISRIVSMSRKIIKPSSATPPSHKRHNLSLLDCYANNEYASSVMFYPKPTIPSNNISQLLQKSLSKALTYYYPFAGMLKDNTYVDCNDRGAEFLNVRVDGRMSDVINSCDNDRSGKNCVFPQGLCFGNTSDSFDGRLAMTQLTHFDCGGIAVSFCFSHKIVDGYSAGKFMSDWAAIAKDPNHHAITYPQFDGASFFPPGIHDAGTSEVNSLPEEGLVQQRFVTRRYLFSASKLNTLKAKIVDSGSGIVGNPSRIESVSALLYKSAAANRNNTSFRPSTFTLFANMRPPLPLNTIGNAPGYISTSIEEEVDMQLPRIVAELRKGKEKLRNRFNTVDPNKLVFESFELMKEVGQVYNREGFDIYRCSSLCNFPFYGIDFGWGTPQRVAFLIPPCNFFVLLDNQNRDGGVEALVTLEESEMTVFQQDQQILQFARPI >Solyc12g006920.2.1 pep chromosome:SL3.0:12:1338809:1343438:-1 gene:Solyc12g006920.2 transcript:Solyc12g006920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4DBM9] MLKQILAKLPRKSSKCDTFDSSGSNSSNNTSNLGNGIQFTNSCTVIANRLNVVKRMSTAIFPASIVAGGESVAPHVPFKDVSNAEKQSLFVSKLNLCCTIYDFNDPDKDSAEKDLKRQVLLELNEFVASGSARFTESAIAAVCKMCGVNMFRTFPPKYFSARGESEDEEPMFDPAWCHLQLVYDLFLSIIGQGSLDAKVAKKYIDHSFILKLLDLFDSEDPRERECLKSVLHRLYGKFMMHRPAIRKAVSNIFYRFAFETERHNGIAELLEVFGSVISGFALPLKEEHRLFFSRALLPLHKPKSLGIYHQQLAYCVVQFIEKEQNLASIVITRLLRYWPVTSSQKQLMFISELEEILEMISIPEFEKIMVPLFRRIGYCLNSSHFQVAERAHFLWNNDNVLNLVMHNRHVIMPIIISALEWNSQNHWNKAVLNLSQNVRKVFSEMDEELVLECQHKLEEENAKSNVAAERRRLTWERLEIAAKYEPVTVPSNIPGLVKPPTCLVSC >Solyc07g041640.3.1 pep chromosome:SL3.0:7:53942230:53944209:-1 gene:Solyc07g041640.3 transcript:Solyc07g041640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSTGRSRFPFTANQWQELEHQALIYKYMVSGMPVPADLLYTIRRSLDSSLSSKLLIHQPHHIGWNCFQMGFGKKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRNRSRKPVEIMTNNNTSSSTTTRPIIPPTAIPISSINKNTNTCSPTSFSYLTSSSSSTHEPHHNYPFHYRPPQDHTPKFLFESPTTYSRSGMKEEVGEHVFFSSEASGTMRSTCGSNSIESDTWQLSPLTMSSSPMKQRTTYSPSQSTAHSYLQLQSLNESSRQNYYYHNDVEKEDHQPKKVMHHFFDEWPPKDNKHSWLDSDDKFSGLSRTQLSISIPNPSQDLFITTN >Solyc12g036410.2.1 pep chromosome:SL3.0:12:46615802:46628361:1 gene:Solyc12g036410.2 transcript:Solyc12g036410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIMRKTFITIGLSSSLCPLHTKSLILIGRVLETNTKQELVKVDIWRCSICTFDNRASMNVCDVCGNPKQELVKAGVWCCPICTFDNEDTTNLCDMCGVLRNPLIKGGGGKASAATSDKVEQSNVSKKEIAGEVKKELVSEEPTSSSASTAKVRRDNMKDRGSSIKSRGYHGVMSNVGNMSVSSNPRNAESRIAPSRSQTKPQKIVCTDQLEDKLNQLNLAIVGHVDSGKSTLSGRLLHLLGQISQKEMHKYEKEAKQQGKGSFAYAWALDESAEERERGITMTVAVAYFNTKSYRVVLLDSPGHRDFVPNMISGATQADAAILVVDASIGAFEAGIDVSGGQTREHAQLIKSFGVDQIIIAINKMDVVGYSKERFDTIKNQLGTFLRACKFKDSLVLWIPLSAMENQNLVTSPSDARLLSWLVYWQLLALLGSIVFQGPCLLDAIDSLQPPQRDYSKPILMPICDLVKLPSQGQVSVCGKLETGALQTGDKVLVIPSREMATVRSLEHNSQVCNSAKAGDNVTVNLQGIDVNRVMAGDVLCHPEYPIAVTNHLELKILLLDIAVPILIGSQLEFHVHHVKEAARVVRILSLLDPKTGKETKKSPRCLLAKQNAIIEVVLQGIICVEEHSKCKGLGRVSLRASGRTIALGLVTRVLEKKE >Solyc11g006030.1.1.1 pep chromosome:SL3.0:11:840437:841729:-1 gene:Solyc11g006030.1 transcript:Solyc11g006030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4D4L6] MIFSWIRKKKVTKLNSCKELTIPSHFLCPISLDLMKDPVTLCTGISYDRENIEKWIEARNSTCPVTNQHLRNFNLIPNHAIRKTIQDWCVENKNYGIERVPTPRIPIDSSQVTEICSRLMIEARRGNEMKCRELVGRVRILAKESDRNKKCIVECGVGYVFATCFEIFSMGIQDELLKDLLSAMTWMFPIGQEGISKLVSSTSLRCMARFMKGEDLSARQNVVIVMKELLYYDQSCTNVLIDIEDLVESLYQMVKVPICPSTTKASLTVIYSIISYTSSENNKKIVSKFVNMGIVCLVVEMLVDCEKSIIEKGLAILDIICNFEEGKQKAYEHGLTMAIIAKKIMRVTEMATECSTSILWKLCKSGNNENNSVIEALELGVFQKLLVVLQVGCGEKTKEKATELLKLMNLYKDRVDCFDGSTFKYLKKSY >Solyc10g050776.1.1 pep chromosome:SL3.0:10:50629677:50630169:-1 gene:Solyc10g050776.1 transcript:Solyc10g050776.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IKQGFCVNQRKYALELIAEVGLGNAKPSLTPLEYNIKLTNVSCFILQHKDGHSFFSTDHKSVYETTKNFGDSPIIGNPKSGILSIGVLQRLSVG >Solyc07g032050.1.1 pep chromosome:SL3.0:7:33803729:33806246:-1 gene:Solyc07g032050.1 transcript:Solyc07g032050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFFNYPNVTGSFEAVDSSFMKRPFPSINIKSFLGPHGDSEGIKFVSLEVWFQCLSFCTLLLCHLVLALQFGFHQALKEVQFSSLFMEIPYLVVKSVVIQKFSTTTILSTSAFSAFSPDAYVCVIQLMTSLMGVPCGHLFCTVPSFCVWSCGLEEKFYFLWGCFCLLIYVEVSFGSCPLVPNFQYNSLLVVSLTENFAY >Solyc03g114860.3.1 pep chromosome:SL3.0:3:66233972:66235616:-1 gene:Solyc03g114860.3 transcript:Solyc03g114860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASPLLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKVIKVPEGFDYELYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKEINALEQHIKNLLCPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGMGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSVALPKDCNSVQKCYLQLSKQVKAKLGKVDDYFNKLADAMITWIEAWDELNPSTTPNGTSK >Solyc07g039283.1.1 pep chromosome:SL3.0:7:46967159:46972250:-1 gene:Solyc07g039283.1 transcript:Solyc07g039283.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWKGYCQVRIAEGDEPKTTCVTKYGSYKWLVMPFSSTNATATFCMLMNKIFHPYLDNIVVVHLDDIVIKGEQRKDQVKIPTIQEWEAPTKVTELRSFLRHGNYFCSMTQEPRVVQGLPEGSCLKKEVMPAEQGATGSPLLVTKRCYSVLRGPAYPKY >Solyc04g076870.3.1 pep chromosome:SL3.0:4:61881796:61885827:-1 gene:Solyc04g076870.3 transcript:Solyc04g076870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPTAFSGPKLESLSSSAAAASPASIGLFCNPGRVRRLSIQKGLFNSGIRCEVAASDVVDQSQSSGNVTSSSSLSALEQLKSSAADRYTKERSSIVVIGLSIHTTPVEVREKLAIPEAEWPRAIGELCNLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGVPVTEICKHRFLLYNNDATQHIFEVSAGLDSLVLGEGQILAQVKQVVKVGQGVTGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESCHTTARMLVIGAGKMGKLVIKHLVAKGCTTMVVVNRSEDRVSAIREEMKDVEIIYKPLNEMLKCAAKADVIFTSTASETPLFMKEHVVDLPPVSASVGSLRLFIDISVPRNVGACVNELEDARVYNVDDLKEVVAANKEDRLRKAMEAQAIISEESKQFEAWRDSLETVPTIKKLRAYAERIRVAELDKCMSKMGDDISKKTKKAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETDISVLEQKIRAKVEQTQK >Solyc11g039913.1.1 pep chromosome:SL3.0:11:41339046:41339699:-1 gene:Solyc11g039913.1 transcript:Solyc11g039913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRDEGKTTSKLSMDFMWLVMTFDSTNVPSIFMSLMNHIFKDFHVKFVVVYFDDILIFSSSLEEHVELLRLVFEGEQLYVNLAKYKFCVYKVIFLGFIVTSNGVECVTEVRSFHGLDSFHKRFVKNFSKIVVPLPEVIKRIRNPLPHVRVYCYQLIGSCKDH >Solyc10g052500.2.1 pep chromosome:SL3.0:10:52789874:52795570:1 gene:Solyc10g052500.2 transcript:Solyc10g052500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKILFIGGTGYIGKFIVEASAKAGHNTFVFVRESTLFDPTKTKLIDTFKSFGVTFLHGDLYDHESLVKAIKQVDVVISTVGHALLADQVKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAFNTKAQIRRVVEAEGIPFTYVATFFFAGNSIPNLAQPGAAGPPNDKVVILGDGNTKAVFNKEEEIATYTVKAVDDPKTLNKILYIKPPQNIITLNELVSSWEKKTGKNLERIYVPEEQVLKNIQEASVPLNVGLSIYHTAFVKGDNTNFEIEPSFGVEASEVYPDVKYTPIDEILNQYKSMAGKSKILFIGGTGYIGKFIVEASAKAGHNTFVFVRKSTLSDPTKTKLIDTFKSLGVTFLHGDLYDHESLVKAIKQVDVVISTVGHALLADQVKIIAAIKEAGNVKRFFPSEFGNDVDRVHAVEPAKTAYNVKAQLRRLVEAEGIPFTFVVNFFFSGYFLPNLAQSGPVGPPKDEVVILGDGNTKAVFTKEEDIATYTIKTVDDPKTLNKFLYIKPPHNIITLNELVSLWEKKTGKNLERIYVPEEQVLKNIQEAPVPLKVLLSICHTAFVKGDHTNFEIDSSFGVEASEVYPDVKYTPVDEILNQYV >Solyc03g007200.2.1 pep chromosome:SL3.0:3:1773908:1782318:1 gene:Solyc03g007200.2 transcript:Solyc03g007200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEVKNKQIILKHHVTGNVKECDMELKTTTIKLNVPEGSNALLLKNLYLSCDPYMRPRMSKTEGSYVAPFTPDAPIMGIGVAKVMESGDSNFQKGDLVWGMTGWEEYSVVTTSQSFFKIHDKDVPLSYYTGILGMPGMTAYAGFYEVCSPKKGETVFVSAASGAVGQLVGQFAKMMGCYVVGSAGSQEKVDLLKNKFGFDEAFNYKEEQDLVATLKRYFPDGIDIYFENVGGKMLDAVLVNMKVHGRISVCGMISQYNLEQTEGVHNLFWLIAKRIRMEGFVVIDYYHLYPKYLEMIIPQIKDDNMFVRISVVVLLVFCTNTSEAQNSSVCSSSCGDIHNINFPFRLKSDPGNCGDSRFELDCQNNRTVITFDSRKYNVLEINYDEFLIRAIDPGLEHKNSNCTSSPNYHTTSYPFSSTFDFGISIIPIIYVNCSATANSSRYVETTFCGSQSQSHTYVAVGEGMLISDLVASCRLEMVGWVSARGLSGDNTSLSSIEGALSYGFELSWKRTFLCRECEASQGNCFAVGDRYTCSHRCYDDTGFDLPLPFSILGLRLLFGLVFLTALIVYKLRRRHLSMYQSIEDFLQVQSNLLPIKYSYSEIKKMTSKFKEKLGEGAYGTVFKGKLRSGPFVAVKMMHQSMASGGEFISEVSTIGRIHHVNIVQLVGFCVEGSKRALVYEFMLNGSLDKYISPQEGTVSLSYKQMFDISLGVASGIDYLHRGCDMQILHFDIKPHNILLDENFNPKISDFGLAKLYSSDDSIVSLTAARGTMGYMAPELFYKNIGGVSYKADVYSYGMLMMEMAGRRKSMNPFEDHLSQIYFPTWIYDQFNEGNDIEIQDASEEDRRLVKKMMIVALWCIQMKPADRPAMNKVVEMLEGDVGLLQMPPRPFIAPRDQFAGDSDETIGVSSDV >Solyc01g091410.3.1 pep chromosome:SL3.0:1:84913195:84914774:-1 gene:Solyc01g091410.3 transcript:Solyc01g091410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDPYEHLNVVLNQDGSITRLLDFPTTQATGDQHHLPGQAVVSKDITLNEEKKTWMRLYRPSKLPSNDKSVAKLPIIVYLHTGGWIHFSVASTLIHESCNQLCSEVPSIVVALDYGLAPENKLPSQYHDTVEAVVWIKNQALDRVNGEKWLREYGDFSRCYLYGVSCGGNIAFNSALKLLDNKLEPLRINGVILNQPLFGGKMRTKSEMRLATDPYFPLPVIDALWDFALPKGTDRDHRFCNPMMNEPCKDKIKRLGRCLVIGFGGDPLVDRQQEFVQMLVKQGVMVEARFDDVGFHGIEVVDSRRAAAIINFVKEFV >Solyc04g077615.1.1 pep chromosome:SL3.0:4:62662321:62696277:1 gene:Solyc04g077615.1 transcript:Solyc04g077615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGSHGQNRFIRILALPWKALIKARDCYVGTMTNYAVVNPRSLPKSYSVTSSTRSDNSEDFRELVRAASARSMGENFELNLLIQQQIRQQLQQQTPSRRTVPRSVSVGMGRIDEDKPFVLGGQEDDVSVMLMKNDLRYPRSRSHAVSKTTDHAAASTQVKFLPGFEGPLPFQLETGYVGVGDSEIVQLFYYFIESESDPDSDPLMLWITGGPGCSALSGLIYEIGPITFEPVEYNGSFPKMILNPYSWTKVSSIIFLDLSVGTGFSYATTLAARQSSDLQASDHAYQFLRKWFVDHPEFLKNPFYVGGDSYSGIVVPIITQIIAIKNEMEVELFINLKGYLLGNPVTFKDHEDDYKIPFAYGMGLISEELYESLKSSCKGEYFNINASNAPCLQDVQTFNELLKGIYKAHILEPKCKRFSPRPHQLFGERRSLHQLNNLPGLIKCRENWYKHSYHWADDDQVRDALNIRKRSTGKWKRCESNLQYNRMVMSSIPYHKNLSSKGYRSLIYSGDHDMIVTFPSTQAWIKSLNYSIVDDWRAWTVDNQVARLVSDERPELAAATGSTVKFLPGFQGQLPFELETGYVGVGDSENVQLFYYFIESESEPDSDPLMLWITGGPGCSALSGLVYEMGPITFEAVEYNGSFPTMILNPYSWTKVSSIIFLDLPVGTGFSYATTPAALQSSDLQASEHAYQFLRKWFVDHQEFLENPFYVGGDSCSGMIVPVITQIVAISKTTHICPLTITVTCFGLCFAGLVLCFHILLASCDYAENEIMEVELFINLKGYLLGNPTTFEGETNYRIPFAYGMGLISDELYESLKSNCKGEYFNMNASNVPCLQDVQTFNELLKGINNPHILEPKCKRFSPRPHTLFGERRSLHQLNNLRGLIKCRENWYKHSYHWADDDQVRDALNIRKGTIGKWERCASNLQYQMMITNSLPYHKNLSNKGYRSLIYSGDHDKLVTFQSTQAWIKSLNYSVVDDWRAWTVDNEVAGLVFDERQPAIAGSPVKFLPGFKGPLPFQLETGYVGVGDSEDVQLFYYFIESESGYPDSDPLMLWITGGPGCSALSGLIYEIGPITFGAVEYNGSLPTMILNPYSWTKVSSIIFLDLPVGTGFSYATTPAALQTSDLQTSDHAYQFLRKSAYIGGLRPLLVLNNFCISIATHYRCWISWFVDHPTFLKNPLYIGGDSYSGMVVPIISQIIASNNEMEIKPFINLKGYLLGNPSTFEGENNYEIPFAYGMGLISDELYENNWYKHSYHWADDDQVRDALNIRKGTIGKWERCATLKFQKIVTNSIPYHENLSSKGYRSLIYSGDHDKIVTFRSTQAWIKSLNYSIVDDWRAWTVDNQVAGPYKAQPTSNDFVVTGSYCHCKRTVCSTCSSVGFHRRTISDLRPPANAQAFIDEDDELEEEPGEEIDSAPPLNVGEEREINSNGLKKKLLKHGHGWETPEFGDEVTVHYVGILRDGTKSVSTWDKGEPFTFKLGQANGIVKSKYHCFNPDSGVSVGSGIKGLYMYDLMSITLVEKVSAGLDRGIVTMRKGEGALFTLPLETAFGLSDSDAVSSNRVVQFEVELVSWITVVDVCKDGGIIKKILEKGEMIGPPGDLDEVLVRYVVRLLDGITVAKTPEHGVEFYVKDDASVDRDKDSESSVPSIPPSSILSIDLELVSFKPVINVTCDLGVLKKILKEGEGTLTADEGAAVTIRYTAKLVDGTLLEKRGFDGENALKFITDEEQVVAGLDQAVTTMKKGEHAIVTVKPDYGFGNTEVKRDLAMVPPCSTIIFEVEMLEFTKEKDPREMNKHERIQMAQRKKEEGNLLFKNGKYQRAMKKYEKATDCINEDEHFEDDDQKIVKSLRVSCWLNGAAACLKQSYFQEAIKQCCKVLEVESCNVKALYRRAQAFMETADLHLAELDIKKALAIEPQNREVKLIQKTLKQLQSESNKRDAKLYTTMFARLSNENSSAAKVANIIFLEQPVNTGFSYATTPEAMHVIDVDACTHVYQFLLKWLVDHPEFSSNNFYLGGDSYSGIVVPRVVQLISDGIEAGNKPLVNLKGYLLGNPLTFPEEKNFMIPFLVGMAIIPIELYQSMMQNCKGEYRKEFAPTNAQCSQDLNIVDELSNNINDQHVLDPLCGSETELKSPFPSKFRGSRRSIQENAISPSCYGKLVDRHELSNYWANDPRVQKALHVRKGTIDHWARCKQNGIKKYYTFTSMDSISYHRNHSAKGYRSLIYSGDHDMGVPFQSTEAWIKSLNYSIVDNWRQWIVNGQVAGYTRSYANKMTYATGAGHVAPEYKREECFNMFKRWISHNPL >Solyc03g070410.2.1 pep chromosome:SL3.0:3:18359566:18361144:-1 gene:Solyc03g070410.2 transcript:Solyc03g070410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGADGLTLSVCLTVKIFQHQVNKRDMSISILRTFISQRKLKHKAMLARQAKALSAYGLRALRYAHEIEGIGQVVALDNDKGDSNSKL >Solyc01g110520.3.1.1 pep chromosome:SL3.0:1:97107416:97109149:-1 gene:Solyc01g110520.3 transcript:Solyc01g110520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amidophosphoribosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B3R5] MAAAVSTAVTNKSHLSSALDKPFTTPSQKLLSLAVKTLPKPYHHHHRTLITAASKNPLTDVVSSKPIPDGRSFDSYFHDDDDKPREECGVVGIYGDSEASRLCYLALHALQHRGQEGAGIVCVNDNVLKSITGVGLVSDVFSESKLDQLPGDLAIGHVRYSTAGSSMLKNVQPFVASYRFGSVGVAHNGNLVNYKQLRGELEENGSIFNTSSDTEVVLHLIAISKARPFLLRIVEACEKIEGAYSMVFATEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVLPGEVVVVDKEGVQSICLMPHPEPKSCIFEHIYFALPNSVVFGRSVYESRRAFGEILATEAPVECDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRAVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMSVEEIREYIGSDSLAFLPIESLNKLLGSDSKSYCYACFSGNYPVEPTGKVKRIGDFMDDGLSGDMDSIDGGWLPGSSKVQKKIILNEVRTS >Solyc11g071460.2.1 pep chromosome:SL3.0:11:55213492:55216420:-1 gene:Solyc11g071460.2 transcript:Solyc11g071460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVCRYAVVTGANKGIGFETVKQLAKSGVTVILTARNEKRGMGATSLLNEQGLSNVVFHQLDVQDAKSIESLAKFIQTQYGRLDILVNNAGASGVVVDEDVLRALNVDPEDWLAGKAVNVIQVAMKTTYESAKLCLDTNYYGVKNVTEALLPLLQNSPSARIVNVSSLRSELKRVPNEERRKELGDVENLTEDKLDKILQNFLHDLKQDALEVNGWQMMLPAYSISKVSLNAYTRILARKYPKMCINCVHPGYVNTDINWHTGTMPVEEGAEGSVMLALLPDGGPTGCYFDRTVVDEF >Solyc06g050837.1.1 pep chromosome:SL3.0:6:33734594:33735147:1 gene:Solyc06g050837.1 transcript:Solyc06g050837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYQHDQVMQMLDGNICTAHVMANMADTGELQDVLFVPEFHYNLLSISKVTKDFNCFVSFYPGFCLFQDLSTGELKGIGKEDDGLYCLVHSQKPSVHDKVTSFAAHDDKKGDFAVA >Solyc01g106740.3.1 pep chromosome:SL3.0:1:94372352:94384033:-1 gene:Solyc01g106740.3 transcript:Solyc01g106740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFFRSLPTIAIRSKPSPLTSLPFFFINFHNPITHNPTSLINRTLSSTSVSASPTTPETVVVPNPKVSPSPNALQWVSRNQYCGELSEEDVGKRVRLCGWVALHRIHGGLTFVNLRDQTGIVQITTLPDDFPDAHSTVRDLRLEYVVAIEGVVRPRPAESVNKKMKTGTIEVAAEDVQVLNAVRLKLPFLVTTADDAKDSSKEEIRLRYRCLDLRRPQMSSNIILRHRVVKLLRRYLEDVHDFVEIETPILSRSTPEGARDYLVPSRVQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMEMAFTSMEDMLKLNEDLIRKVFQEIQGVQLPNPFPRLTYAEAMSRYGSDRPDTRFDVELRDVSDIFSNTTFKVFSDALESGGIIKGLCVPCGTKTYSNTALKKGDIYKEAIKSGAKGLPFLKVLNDGSIEGIPALVSSLDTSKKEELLSQFSALPDDLILFAVGHHAEVNKTLDRLRIYVAHELGLIDNSRHSILWVTDFPMFEWNDSEQRFEALHHPFTAPNPEDINDLSSARALAYDMVYNGVEIGGGSLRIYKREVQEKVLDIVGISREQAEAKFGYLLEALDMGAPPHGGIAYGLDRLVMLLAGSQSIRDVIAFPKTTTAQCALTHSPSEVDPQQLKDLSLQKI >Solyc02g089800.3.1 pep chromosome:SL3.0:2:52134187:52141765:-1 gene:Solyc02g089800.3 transcript:Solyc02g089800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEELIEKVSKLENELFDYQYNMGLILLEKKEWSSKFEEIKQTLEESNEAYRREQAAHLIAISEVEKREENLRKALGVEKQFARELEKELREMRLEYAEIKYTADSKLAEANALATSVEEKSLEVEAKLRAADAKLAEVNRRSSEVERKLNEVYAQENSLRRERSSFNAEREAYETNLSRQREDSQEWERKLQAAEEKLADGQRLLNQREKRANDTDRILRQKQNDLEDEQRKIVTANSVLRKKEDDMGSKIEDLTHKEKELEDARKSLGIKERELLDLQEKLNIKERDGIQNLMDEHRSVLRSKEKEFELELWQRRASLDEELKGKVLELEKKEAEVNHMEEKIKKREQVVEKKTEKVKEKEKDHELKLKALKEKEKSLKNEEKILGTERKQLDSEKGNLLALKAELENVRAELEKQQIKISEGTEQLKITEDERMEHSRLQSELKQEIVKCRLLREDLLKEAEDLKQEKERFEREWEELDEKRSEIKIDLQELNERRENLEKLKRSEEERISKEKLETDNYVQMELEALRVARETFEATMDHEKSILAEETRSEKSQMLHAYEQQKRELESDMQRKQEEMESALRVQEKLFEEESQKELSNIEYIKEITHREMEEMKLERVSLEKEKQEISANKGILEVQQLEMKKDIDVLVGLSRKLKDQRLAYIKERERFIDFVKQQKSCSSCGEGIHVIEFSDLQALAEAETFEAPPLPSVAQEYLKDGLQGSPGRASDELSPGALDTASMVSAGTMSWFRKCTSKILKFSPSKNIGNVASDCLVDESSLSQKCAGISPNKQSKEGNPMDLSISMNVLDDQRVQQDDGVREVKVGQDNVEDSHHSDMKAGQRRTVKKGRGRTSKTEKAANMTVLGKISKEGENITNGSLETSVNMNEESQRGSGLLGGAPRNSRKRSHTSQGTACEIDGNNSEGQSDSVASIRGKRRQQAAPSVQAHAERRYNLRRPRSAAPAASYGSLPEPVVKSQEENQNSKASLQTPQVNNSEDVIDHPTVSESPFNDAVDNLESSANKVNELLDDTGLSEEVNVTPKRPSASSDEEGSDDSDDEEEEIEHPGEVSVGKKIWTFITT >Solyc11g010180.2.1 pep chromosome:SL3.0:11:3264828:3269713:-1 gene:Solyc11g010180.2 transcript:Solyc11g010180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVLCVLLVLVFSILYLSREPTGRARLHIIYHHFQTGPNLLQSGRFCLAQFQIIFYSPSSEVPKIFSFSFLPAAKQNSGGARIMALTYIRSLRNVNFHEAIQIGGCRTFAVGGKKKGGKGGAAGDGPKASTISKEVKASTVVGANILKDGADPKVLPDSEYPDWLWHLLDKRPALSELRRKNLESLPYDDLKRFVKLDTRARIKENNSVRAKN >Solyc02g071340.2.1 pep chromosome:SL3.0:2:41388052:41393837:1 gene:Solyc02g071340.2 transcript:Solyc02g071340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSSIVKDEKVPFYKLFLFADRVDIALMTIGTFGAIGEGLTQPLMTLIFGQIINSFGGASSSNEVFHLVSEAAVYYVYLAIGSGIASFLRMSCWMVTGERQAIRIRGLYLKTILRQDIAFFDTETTTGQVIGTMSGDTFLIQDALGDKVGKFIQYLSAFVGGFIIAFTKGWLLSLVLVSCIPALVIAGGAMASIMSKMSSRGQMTYAQAGDIVEQTVGAMRTVAAFNGEKLAMIKYDNTLKIAYAFTVQQGLVSGVGFGTFLLVLFSTYGLAIWYGSKLIIEKGYRGGYVVNVLMAIMIGGMSLGQTTPSLNAFAAAQVAALKIFETISRKPLIDTSDMSGVVLEDIEGEIELKDVYFRYPSRPDVQIFSGFSLVVPSGKTVALVGQSGSGKSTIISLLERFYDPESGEVLLDGVNLKKYQLKWLRQQMGLVSQEPILFATTIRENISYGKDNATEEEISAAIELANAANFIDKLPQGLDTMVGEHGTQLSGGQKQRLAIARAIVKNPKVLLLDEATSALDAESERIVQEALEQVMAKRTTMLVAHRLTTIRNAGLIAVLHDGKLLEQGNHDKLVQDPNGAYSQLMRMQEDKGGDEEENLIMKNMDSDKVNITMKLDNISWSSNPPLSAAKRSTNQGSPRNSFSPSYPVRGMIDIHEATIGDVDEKEDDEQSSENRKKIPIRRLAELNKPELPYILLGSLAAIMHGLVMPLFGLLLSEAIKSFFNPPHKLRNESQFWGLMYVGLGVVIWLVIPFQNYLFGVAGGKLIERIRSLTFKKVVHQEISWFDDPVNSSGALCARLSIDASTVRTVVGDALALIVQNMATALGGLAIAFTANWILSFIILVVLPLICAPGLFQTKFHKGYSADAKVMYEEASQIANDAVGGIRTVASFCAEDKVMDMYQKKCEGPIKKGVKIGIVSGASLGFGSFTLYSSLGFCFFIGSVLIDHRLATVDQVFKVFFALILAAVGITQSTTMAPNFNKAKDSITSIFDILDRKSIIDSSSDVGTTLAVVHGDIEFRLVSYRYATRPDVQIFKDLCLIIPSGKTVALVGESGSGKSTVISLIERFYDPESGEIYLDGVEIKQFNLSWLRQQMGLVSQEPILFNETIRDNIAYSRQGNATEEEIIEAAKSANAHNFISSLPQGYDTSVGERGIQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLATIKGADIIAVMKNGVIVEKGRHDVLMNIKDGAYASLVALHMTSAY >Solyc03g121580.3.1 pep chromosome:SL3.0:3:71140338:71151686:-1 gene:Solyc03g121580.3 transcript:Solyc03g121580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKTEKAGEQPPTVDERYTQWKSLVPVLYDWLANHNLLWPSLSCRWGPQFEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAQHISQFNEEARSPFVKKFKTIIHPGEVNRIRELPQDSKIVATHTDSPDVLIWDVESQPNRHATLGVNNSRPDLILTGHQDNAEFALAMCPSEPFVLSGGKDKSVVLWSIHDHVSTLAAADQGETKSPGSGAGNSKPSAEGPTVQARGIFQGHDDTVEDVQFCPSSAQEFCSVGDDSCLILWDARAGSSPAVKVEKAHNADLHCVDWNPHDVNYILTGSADNTVRMFDRRNLTSGGVGSPVHIFEGHTAAVLCVQWSPDKSSVFGSSAEDGILNIWDHERIGNWEDGASREDPTSPPGLFFRHAGHRDKVVDFHWNVADPWTIVSVSDDGESTGGGGTLQIWRMIDLIYRPEDEVLDELDKFKSHLLTCS >Solyc04g053098.1.1 pep chromosome:SL3.0:4:51538253:51540369:1 gene:Solyc04g053098.1 transcript:Solyc04g053098.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLSFKQYIVSKTLNLSQQGPMKICVHSVTGGIRNVTLQESITGGGIVTYEGQFFIISLSGSVKWSKISRTCDLSVMLSRLDHIVLGGCVAGKLMAVTPVHVLF >Solyc07g064940.3.1 pep chromosome:SL3.0:7:67028939:67031934:-1 gene:Solyc07g064940.3 transcript:Solyc07g064940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVASSSIGLHRPKPFHFRPYQTLHSVKPFNYQLNNLQKYQRIYCQTEPKTGDCCQPSSDPYESAVKEKSSIDPGAGAESSKAEVSPPSTGPEYPTRDFNRRVALVSVIAALGLFSSQRLDLGVSLKDITAAALPYEEALSNGKPTVVEFYADWCEVCRELASDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDKDGNEEGNVVGRLPKQYLLENVDALAKGKESIPYSRAVGQYTSAESRKVHQISDPRSHG >Solyc02g088690.3.1.1 pep chromosome:SL3.0:2:51317208:51319965:-1 gene:Solyc02g088690.3 transcript:Solyc02g088690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPKIEVVVVDISVPRITAWNSDTLPIYEPGLDDVVKQCRGKNLFFSTDVEKHVHEADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIDDLFKPDRVLIGGRETPGGQKAIQALKDVYAHWVPEANIITTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSQVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVASMFNTVSNKKVAILGFAFKKDTGDTRETPAIDVCKGLVGDNAKLSIYDPQVQEDQIQRDLSMKKFDWDHPLHLQPMSPTSVKQQVSVTMDAYGATKDAHAVCILTEWDEFKTLDFQKIYDNMQKPAFVFDGRNVVNAEKLREIGFIVYSIGKPLDAWLKDMPAVA >Solyc06g068220.3.1 pep chromosome:SL3.0:6:42377752:42384392:1 gene:Solyc06g068220.3 transcript:Solyc06g068220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPSTHHAHTGEMALSLLSPSPSTLCFLASTSSPSAEFTGQFPRLWTNRSRTGPALPVLTRASSEKDDNKPAFNPFGFVTDNPSSRSAIQMPESPAEDGNVGQMLYRTEDKGKEYGSYVKSGKFRWFVRETGSPESRRGTIVFLHGAPTQSYSYRVVMSQLSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEEFEKLLEVLGVTSPFFLVVQGFLVGSYGLTWTLKNPSKILKLAILNTPLTVSSPIPGLFQQLRIPFFGEFTCQNAVMAERFIEAGSAYVLKLEKADVYRLPYLSSSGPGFALLEAAKRANFSETASQISSGFAAGSWDKPILVAWGISDKYLPQSIAEEFQKANPRSVELKLIEGAGHMPQEDWPEKVVDALRMFFRV >Solyc10g052520.1.1 pep chromosome:SL3.0:10:52864304:52865306:1 gene:Solyc10g052520.1 transcript:Solyc10g052520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIPIQLKNLQVFTVIRVIFQLTEEIPCISAVVVALLSEPKPRIDYVLKAFGGSLTTIPGLLDMIEDTVNTIVTDIDLELKPQGKLTVTIVKVNGLKNHKMIGKSDPYVVIQIRSLFKVKTKTIDNNLNHVWDQTFELIAEEKETQYLFIEVFHKDNIRQDQRMGVAMLTLNELVADAAKEIELILLPKHDMCRYGGSGIGAGVRLIGTGLGSGIGVVGSCFRAVGSGLRKAGRFIGRTFTRSSKKNGSSTRERF >Solyc04g050860.2.1 pep chromosome:SL3.0:4:48694082:48697540:1 gene:Solyc04g050860.2 transcript:Solyc04g050860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLIHPDDVVFGEWDISNMNLADDMARANVFDVDLQKQLRPYMESMVPFPGIYDPDFIAVNHSLVSMTLISLLQTKAHVLTLSSKEPRKIKLIKLLSILGYIREFKENNKVDKVVVLWTANTKRYSSVVVGFNDTMENLFASVDRNEAEISPSTLYAIAGILENVPFINGSPQNTFVPGLIDLAIKRNT >Solyc06g072695.1.1 pep chromosome:SL3.0:6:44967470:44971639:-1 gene:Solyc06g072695.1 transcript:Solyc06g072695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESATPVAQSSASIPAAETLEMATTLYANRGGYGYRNTDRGDGDKSEYNRSGYGYRNTGRGDGDKGYNGKKKEDVFGVVNEGDKNDQGSHDLNSVRTNLQALAMKSTYTPYQYRKIMKLLNEERQVEVNMAGISSVFDSLLECDRRRSYDDAMNASNEHNMFSKKGHWIVDSGATCHMTSKSENLDKISRNNKNTGRKPFCDYDIDGFHTPLDVETSDEHAEQTLVPTEHVSENITEEHDDDNIVVLDPRKKSALVIVLVYVDDLLITENDLAPIQETKQVLHLHFKIKDLGELRYFLGIEFCRSEQGIVMNQRKYALELISETGLSGARPSLTPLETNMKLTSADYMQDVHDELFADINKYQRLIGKLLYLTNTRPDIAFSVQCLSQFMQKPTLSHWNAALKVVRYVKTAPGLGILMSSDKQAQLTGFCDADWAACPNTRRSVTGYLLKYGKSLIA >Solyc11g066110.2.1 pep chromosome:SL3.0:11:52085039:52091703:1 gene:Solyc11g066110.2 transcript:Solyc11g066110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLISSPSSFIGTPLPSLSRHVFHRRRLISTRVKFSFHDLPPIHSLHSNFDFEAVVSRAEGLLYTLADAAVAADPGVAPDVTAAGTAQKSGGWFAFISDAMEVVLKVMKDGLQAVHVPYSYGFAIILLTLLVKAATFPLTKQQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYKQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGLLTEGFFWIPSLGGPTTIAARQSGSGVSWLFPFVDGHPPLGWHDTVAYLILPVLLIVSQYVSMEIMKPPQTDDPSQKNTLLVFKFLPLMIGYFSLSVPSGLTIYWFTNNVLTTAQQVWLRKLGGAKPAVSGDAGGIISAGRAKRTTSQPEQSGERFRQLKEDEKKKKSTKALPTDKVELSASISDSEDEQDDDTKPKDEEVLEEAYASSSSKEVPNYSGPRKSKRSKRKRAV >Solyc02g014135.1.1 pep chromosome:SL3.0:2:15564769:15566217:-1 gene:Solyc02g014135.1 transcript:Solyc02g014135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTGATHNFMTEKKAKDLCLSYVASNIILKTFNALPTTVHHFAPKVPIDLGGWMGCTNFTIAPMDIVKGFKRGEPTFLAALVGGVESSSEAVAFPPHIERFLCDNKDMMPQELPQRLPHRREIDSWAKATCHDALSYVAPGIGGNKEANQGAIDFGTYQAVQGDIWYACFIPKEGGDTALCIDYRDLNKGIVKNKYPIPLVVNLFDRLGQAKVFTKISLRKGYYQVQIVEDDEPKITCVTRYGSFEWLVMPFGLTNAPATFCTLMNKLFHLIWINIVVYNNSMEEHVFKVLRDNHLCVKLDKCSFAQPTVEFLGHTISHGEIRMDKDKVEAIRGWEAPTNVPELRSFLGLANYYRCFIFSYSAIATPLTDLLKKNHEWKWTDSCKTAFEKLKAAITNESVMALPDFTKAFQ >Solyc01g094850.2.1.1 pep chromosome:SL3.0:1:86131368:86133014:1 gene:Solyc01g094850.2 transcript:Solyc01g094850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLDEGKCIHGNVIKLGMTDVLSLGNQLLRVYVKCMKFVDACKVFDEMCVRNIVTWNTLICGLRYSAETNGYLGFCYFKKMLMESVSPDCITFCGLLRLCVELKGGVWLVKELHSAIVKLGYDQSCFLGGTLVDVYGKFGLIGEARCVLDGVLARDLVLWNVMISCYVVNGLGEEAFWLFSLMRSEGFEGDEFTFASLLNSCASLGFYDSGRQIQGLVIKACFNKDVVVESALVDMYAKNEHITDARKAFDAMAFRNVVSWTTIIVGYGRRGDGKEAMELLKRMVREDFVPDELTLASVLSSCGNLSMATETVQVHAYAVKYVFSNSISIGNALINAYSKCGSIAHAYQSFSSIKAPDLFSWTSMIGAYASHGFSKEAIQLFEEMLENSVMPDSIVFLEVLSACSHGGLFSKGLQYFSLMTNNYQIVPSSEHYTCLIDLFGRLGLLDEAYEVLNSMPVESQPDALKAFVGACRIHGDVKLAKWAAEKLFVIDPTDTATYVQMSNLCASDSNWPDAALIWEMARERLHNKSPGCSMEIITHGINTLSN >Solyc10g037897.1.1 pep chromosome:SL3.0:10:18000402:18002895:1 gene:Solyc10g037897.1 transcript:Solyc10g037897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKRHVQHACFIGLRQIQGSGTTYTRFELRCIFILWVVCTEGPERIREPIIMGASFDHGEDVNLHLAREAGHSYRQIVRAANMRWSVNGMIKEKSKLNFSAGSSPLI >Solyc05g007410.1.1.1 pep chromosome:SL3.0:5:1996774:1997010:-1 gene:Solyc05g007410.1 transcript:Solyc05g007410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSWGPAPAPTTSCIKVDGCASDLITSVFKCRISLSTQCCQVLSTISDDCFYREYIHSKRVPFFLGKVKNYCSHHHA >Solyc08g065540.3.1 pep chromosome:SL3.0:8:53741314:53742975:-1 gene:Solyc08g065540.3 transcript:Solyc08g065540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICISSESFEIQPVGFGNENVVHYDDNNINENQCVIGSVFSQQGNKGINQDSAILYQRYGVENGVFGGVFDGHGENGHIVSKFVMTKLPSLLLKLTFSLPKIFSVKQNVMRRNFNKWKKVCWSSFMVMDKDIKSLENLDCSFSGTTAVVAIRQDDDLVIANLGDSRAVLGRKTEEGVIEAVQLTTDLKPSLTSEVERIRRCGGRVFARKEEPHIQRVYLPNEDVPGLAMTRSYGDLMLKYFGIISEPDVSYHHITPNDQFVVLATDGVWDVLSNDQVVSIVCATNNAAAAAETVVQASLDAWKQRFPNSKRDDSTVICLYLQQGASLKNGT >Solyc02g014690.1.1.1 pep chromosome:SL3.0:2:18159505:18159663:1 gene:Solyc02g014690.1 transcript:Solyc02g014690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNRVARKGYRCKTREASLIQGVSITNSFQRFQVKSNIVEFNYVMITDLL >Solyc07g007550.3.1 pep chromosome:SL3.0:7:2221208:2227956:1 gene:Solyc07g007550.3 transcript:Solyc07g007550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLFLQKGVLVWLFLFSLRFICGTKAQGTVFIDGKIAIGRIDRHFICATLDWWPPEKCDYGTCAWDYSSFLNLDLNNIIFLNAIKAFSPLKIRLGGTLQDKVIYQTEDHQQPCVSFVRNTTEMFGFTPGCLPLSRWDELNAFFNKSGASIIFGLNALYGRSVHPDSLSVGAWDPSNAESLIRYTVKKGYDIHGWELGNELSGSGVGTRVAADQYASDTIALHKIVKDAYENSETKPLVLAPGGFFDEGWFRELVNKAGASFDVATHHIYNLGPGRDEHLLEKILDPSYLDGEADTFSKLQNILKTSGSSVVAWVGEAGGAYNSGRNHVTNAFAFSFWYLDQLGMSAAYDTKTYCRQTLIGGNYGLLNTTTFEPNPDYYSALLWHRLMGRNVLATSFSGTKKLRAYAHCAKQSQGITLLLINLDGNTTIHPRVDFNGTMLHQQKHRHHHNHRKSSIKLPRSNKVASNTREEYHLTAKDGNLQSQTMLLNGKALIVDSSGNIPTFEPIYVNSTEAITVAPLSIVFVHIPYVLLPACS >Solyc01g087450.3.1 pep chromosome:SL3.0:1:82283932:82300259:1 gene:Solyc01g087450.3 transcript:Solyc01g087450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISHQIMPACDQLCVCCPAMRTRSRQPVKRYKKLISDSFPRSPITTVLEQRCYRELRNENLGSVKVVMCIYRKLLTSCKQQMCHSLLSRFFNIPSLLPMEAIIWVLKEKNIGHKADGWHELLGEYYEVEAFDLLRPELVQMHCRPLFAGSFLSIIHILLDQMRHDEMRTVGCQALFDFIINQRDSTYMFNFEGLIPKICLLAQEMGEDERVIKMRCAGLQALSAMKSWYGKTYGIEFEGLGVKWIDDLGIIWLIWFMGEFCHMPAEFDSVTAAVLENCEGPKEKLDLNNDQDKQTNGVQPVSSGGNQMPSSANELTRATSWRNIVTDRGLNVTAEDSRNPMFWSKVCLHNMAKLAKEATTVRRVLESLFRYFDNADLWSPEHGVALGVLLDMQYIMENSGQNIHFLLSTLIKHLDHKNVLKNPNMQIEIVEVASSLAKATKAQSSVTIVGAFSDMMRHLRKSILCSLDDSELGEEVIQWNRKLYTAVDECLVQLSLKVGDAGPILDVMAVMLESISNVTVMAFPEALFHQILLAMVSPDHETRLVAHRVFSVVLVPSSVCPRPKSVQSRSTKATGIQRTLSRTVSVFSSSAALFDKLKKEQTPAQDNMAGKEKTFNAKSLVKNQSMLKRLTSSYSRAYTVKRNSLPGTDEGKENGNTEEEQDGIFLKLKIRQISLLLSSLWVQAISTTNTPENYEAIAHTYSLVKSSHEALIRSFQLAFSLRNISIAGKGSLPSSRRRSLFMLATSMIIFLSKAYSFIPVVACAKAALTDKTVDPFLQLVDDCKLEAVTGKTEHAVKVYGSKEDDEDALKSLSAIQLSSNQTTEYFASIIVESLRNSYKNKTAAIKDQLLKDFLPDDVCPLGAQLVSETSGKIYGFGSVDDNSPDEVGDLTMPILEDGLTTENQNLSDSHLTLQIPDLITVTQFLDSVSDTTIQGGRLSVSTSDMTFKDMAGHCEALQAGKQQKMSHLMIAQAPQENSFDFFLSNLKPANNCDNIISPVEPSHVKHPSESNLSNPFITTIPPMPCAAESNFFALPASSPYDNFLKAAGS >Solyc09g005780.2.1 pep chromosome:SL3.0:9:540104:546853:-1 gene:Solyc09g005780.2 transcript:Solyc09g005780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DERKKEIFQEPSFSVFNNPLLFFNHAITNRKILNLKSRVEIKTSSSVFGQMLPKGVPIPPSAPSCRSSPGTPPSCPMAQPEIVDIVASFTP >Solyc08g080310.1.1.1 pep chromosome:SL3.0:8:63741721:63743205:1 gene:Solyc08g080310.1 transcript:Solyc08g080310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4CP31] MLSPLIDQKEENNIPKQKSHLTLAILEAKCIGKIAFPMIFTGLILYSRSIISMIFLGHLGELSLAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAKRFNILGLTLQRTILLLLLTSIPISILWLFMQKLLILCGQNHEIAKMAQNYILFSLPDLFAQSLLHPLRIYLRSQSITLPLTFCAIFAIILHIPINYFLIKILNLGIKGVALSGVWTNFNLVGSLIIYIISSKIYKKTWCGISLECFKGWRSLLNLAIPSCISVCLEWWWYEIMILLCGILINPQSTVASMGILIQTTSLIYIFPSSLSFGVSTRVGNELGANRPNRAKLAAIIGLCTSFVLGISSLFFAILVRNIWAKMFTHDQEIMKLTAMVLPIIGLCELGNCPQTTGCGVLRGTARPKLGANINLGCFYLIGMPIAIWLSFYMGFDFKGLWLGLLGAQGSCAVTMMLIILVKTNWEDQARRAKELINGDYDNQGEKIEDYLDYHKLENSNV >Solyc06g068310.1.1.1 pep chromosome:SL3.0:6:42435547:42436128:1 gene:Solyc06g068310.1 transcript:Solyc06g068310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTELEPSLVYEYGLAFAHNELEQDDIAYFNHEFLQSMGISIAKHRLEILKLAKKERGNVPNSMSKFLLVMKRTKKRFSKYFRTWIHRDESALALVSRRSYSSRIWKRTKMMKRNKSVVAPAKHTSSTTLLLTNGSPIFMSSSLRMDSFSSAMVHEKMEVDCGDYWGSSVVEEIKWDSMFQNMKPT >Solyc08g005560.3.1 pep chromosome:SL3.0:8:408462:420927:1 gene:Solyc08g005560.3 transcript:Solyc08g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRGALRLARSMMSHIGPRYYSTIRPVAGNEAKNGVITRASFNFLHENSIKGFEKIMMTRVSYWGIQPPKVTKEDGTPWKWNCFMPWETYKADLSIDMKKHHEPITLLDKLAYWTVKALRVPTDLFFQKRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVSKPKWYERGLVLMVQGIFFNVYFMTYILSPKLAHRIVGYLEEEAIHSYTQFLKELDEGNIENVAAPAIAIDYWRLTQDATLKDVVMVVRADEAHHRDVNHFASFSWTRGMVISGVKASSVAMVSLDICSRCNFDGRVGCWIGNEKGKWNKLAAASASTKAPKLGMCISPLASSAALAETRWSSQAKFYKEVLKDAREKFTQEISFQSKDKDISLAKALLYVASEDEAFMDFNRELDFYSLQNERTSTSLPSDTLDWKCVDAMPLAGKNMSEWMAELDSIAREVEAELVPRDIGCHLVEVLDAVNVVLFKSRGFKRSSVTVDSKCSYLHSVLSSGHCSAILLSVIYIEVCRRLNLTIVGSRIGEEFLIWPQTGNPEELFKVTSGHSLFGIVNGKCVDDPRSMASDINSNSLSELEIATNRDIIGIALANLMVPTQRIHWKRASRAIHGLMLASPLRSVDKSDEKFKKTDASTVPLLRPQDLRLAIMASQRLLILQPHNWVLRRDHGMMLYYSREYEEAVQELSICMVFAPEEEAQVLEAFVEKLHLLQLESSWKNLERKGPLRVT >Solyc12g044650.2.1 pep chromosome:SL3.0:12:60720868:60721718:-1 gene:Solyc12g044650.2 transcript:Solyc12g044650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIISCRETKSIFIYENPRETVIPPTHAVEIFFASMMRVESIIGMLMYKSPNIISIIACTDGKALQVMSTLKGCIQKENCGKECPFKTKWHRYLKEVISCRGTSEEGMAASTWYLWLKSTSLIDQVNNFVLKWKDDEA >Solyc10g083350.2.1 pep chromosome:SL3.0:10:63313374:63318772:-1 gene:Solyc10g083350.2 transcript:Solyc10g083350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLSPSSIFAPLSTSRIRPSPIKSMALNRSSSAPPQRRNGMSALEARISLVIALASQTSSLSQKLLTELASETAKYVLPKRIFESRNLEEALMSVPDLETVKFKVLKLTDQYEIREVEPYFVAEATMPGKSGFDFNGASQSFNTLAEYLFGKNTKKESMAMTTPVITRRTQSDGEKMEMTTPVITKRAEDQEKWRMSFVMPSKYGSDLPLPKDSSVTIKEVPRKTVAVVAFSGFVNDEEVKARESRLRTALKGDAEFQVKDGALVEVAQYNPPFTLPFTRRNEISLEVEMKQE >Solyc01g081535.1.1 pep chromosome:SL3.0:1:80581813:80583488:1 gene:Solyc01g081535.1 transcript:Solyc01g081535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHDSKPINRKLKINQSNRESKVKTIDFCNEMEKMILSFLHLIIVEDRHSEIEWNFILVPESFELWEYSIVGMKQIFNLESTTGRQQQFLGFLLMENVFKQGAVSFLYRMVKSENSNGKLKLLISGISVWDEI >Solyc06g065430.3.1 pep chromosome:SL3.0:6:40959088:40962274:1 gene:Solyc06g065430.3 transcript:Solyc06g065430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFILYSLAFALVYISLYFIFKGNYSNNKHTNLPLGSNGWPILGENIDMAYSSSPEKFIHERMEKHSSQVFKTSLLGQKIAIFCGTSGNKFLFSNENKLLTTWWPPSLTKPLMCPTQSQSQNSVKEIALLNRGFLREILKPENLKQYIPFMDSMARDHLKQEWIPFKEVKIYPLVKKYTFSLACKLFLSIDDFRHVKKLSDPFVLVTSGMFTVPINLPGTPYNRAIKGGKMVHEELMKIIKERKINEKNNHSNDLLSQLISFSDENGQFMNDAEIYNNIIGLLVASYDTTSAAITFVLKYLAELPNIFNEVYKEQMEIAKSKGEGELLNWDDIQKMKYSWNVACEAIRLMPPAQGAFREAITDFTFGGFTVPKGWKTFWSVYSTHKNPKYFPEPEKFDPCRFEGSGPEPYTFVPFGGGPRMCPGKEYARLEILVFMYNIVTNFKLEKLVPHEKIIYKSSPVPLNGLPVRIQPIA >Solyc10g084750.1.1 pep chromosome:SL3.0:10:64287528:64290992:1 gene:Solyc10g084750.1 transcript:Solyc10g084750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGLRSRRECNPPGCMFGILHHLNQQHRWHQVRKRLPYIKQGGAKHIVAAGDRGSNATATDSSNTPEKINVKSEDFPIVVKAEAKTVATQQKSSIKSRLKTLITKELLSSKRVQHRRTLSCPITMPLEQTAPIRYLGPANVEHSPKIRLNDEILQQPQNKNLSVASLLDPPLPEKRKDAVTNNKKCELCASMLDMNHLKQCDTKKNGKQPSTNFSFRRTQSLYLREQTKNVSVEESKLFLDALDLLNMREELFLKILQDPNSSLARQLQGTRASKGLTKSVSFPSRLSLEKIAARSSNDKSSQDKSQIRGKLLGSAGFESAEKLNRHLVARNKEEVTKGVLTRLESFDKLPPSSPAALKHKRHNSKLVLARFRNLKEKITHALKESRKEKHRIAMDAVLHKVPHGHMSLKNVKPDGSDGSFSESTGNTSRCHSPFSKSQMKSFKRTSSLNDSLDSYSRLLETCFSRDEKQNSSERSSLRASRSPSPARSRTIALERILSLPDLRHYSSFRIEETPEASYSETLDTAASTASSSNLYSGATRSNEQKSLDIPLGSEKKTQQDSCSDSKILENSLDVSENSDDIGGLKAEENSFPVEYNMDDNLSTNSTLDKPISTTLPDMIIQEASTIPADLSAIEGIAENAFDSNEEEILDHEQMTSLLQIQVDEKNKAEFNYVKDVLNLSGFSGNEFMNLSVFEELGGSFLHQPECSGYAEERGNYDQLLLFDLINEVLLQLYERSSLYWPKALTSRSYIHPMLHVGYYLLEEVWKDVSWWLSYKLENDQSLLDDAASRDLDKRDNWMNLQFDAECVGLELEELIFDDLLDELIFIDVY >Solyc11g010380.2.1 pep chromosome:SL3.0:11:3451553:3457602:-1 gene:Solyc11g010380.2 transcript:Solyc11g010380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4D5J9] MSSNGKLIDENKVPLLDYVSTNNINYLEQNDQSFGLRFWIETKKLWHIVGPAIFSRIVSYSMFVITQAFAGHLGDLELAAMSIASNVVLGFDFGLMLGMASALETLCGQAFGAKKYYMLGVYLQRSWIVLFLCCIIMLPIFFFATPVLIFLGQPKDVAQLSGVVVLAFIPLHFCFAFQFPLQRFLQSQLKNNVIAWANFVAFVVHVLISWLIVYKFQLGIIGTTFTLNLSWWLVFLVLFCYTTCGGCPHSWNGFSMEAFSGLWDFFKLSASSGVMLCLENWYYKVLIVMTGNLENAKLALDALSICMSINAWELMIPLGFFAGTGVRVANELGSGNGRGAKFATAVAVIQSTVIGLFFWILIIFFHNEFALIFSTSKPVLEAVHKLAILLAFTVLLNSVQPILSGVAVGSGWQAYVAYINLGCYYLIGVPLGFIMGWGFHYGVMGIWAGMIFGGTAIQTLILAIITIRCDWTKEAEKASMHVRKWDNVDHLTS >Solyc08g066335.1.1 pep chromosome:SL3.0:8:54954182:54957076:1 gene:Solyc08g066335.1 transcript:Solyc08g066335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNATHKNITRDSSDETTPTSNLPEIITASSHPEIPCSPQIPTTTTSSSHHLPAPRRTSRIHTVPDYLKEYNYILPKLQPQQSPYHPPDINGQQHVSLTSFNSESQQLVRNIIHDRTDLAEITSLKSFLHDQFKIKNLGKLHYFLGLEILYKQDGVLITQRKCTTDLIKEFDCHHYSPTSSPLDVVVKLRLDIAFSVQHLSQFLQAPRELHLKAALHVLRKSLSGYIGLIGNCPISWKSKKQTTVSLSSAEAEYRAIRKHSGMGESLRALAK >Solyc10g054310.1.1 pep chromosome:SL3.0:10:55177844:55178684:1 gene:Solyc10g054310.1 transcript:Solyc10g054310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLMVCQLYHVRHDTEKIPSLEVLVPGYLDPEYFFTHKLTEKSDVYSLGIVFLELLTGMRPISWKEHRPGGTRFFPP >Solyc02g070935.1.1 pep chromosome:SL3.0:2:41088138:41090899:-1 gene:Solyc02g070935.1 transcript:Solyc02g070935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTFREQSLWRRPRRCCLLGLQGDQQEWVDNLTKRSTSNTVTFNSSHQHNLASPNGQDALCMNQAWVAQVVKSTLAEDLGSGLEPHSLTKLYTVAGQELRKDTSKSTKHSPSTVDHLEFTVLGKGLRLGDSPENGPKYLTRSGPYHGLLDGADLATAFLIVILPFPEISEAEGESFTACPAKEGEERAIVAAAIVFISREKFLFSGDKVCGVGPGVVVYWVCKVISKVLQWSLSSNNGLNKESKHREHSKSTVLNLLYLELSKCLRVFSKA >Solyc02g067970.3.1 pep chromosome:SL3.0:2:38604858:38607135:-1 gene:Solyc02g067970.3 transcript:Solyc02g067970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEELKKSPHNPKTFNGEKREELFSPRFKSVAAMAGWDEEALVIASLVVEETPDRQHKQKKLTGLQHFVTPPTNSRRKRRAQKTSPASIRIALIDLDDDDSAKTETEKKKLGQKFTGKGDKEEVEASEEHGSCVFSSGSAIVCTDLLREELSCAICLEICFEPSTTPCGHSFCRKCLQSSADKCGTRCPKCRQLISSGRSCTVNTVLWNTIQLLFPKEVEARKAAGALNSREVQHRNPARIVASHPNVSRSSTDRALASLRNPDSGSRNPQQRNSHSISRSRIDRVLALHSTDSGSRNPQQMNFHPISRSRIDRILALHCPDSGSQNAQQRNSQSTRRPNVRPSGTSSRNGDIRKSTRRESPPNQDEDAALALQLQREEHKETFRTRRTDEQYKNALALARANLRAMASTAINNIRVRGRGT >Solyc01g095630.3.1 pep chromosome:SL3.0:1:86714902:86717110:-1 gene:Solyc01g095630.3 transcript:Solyc01g095630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY transcription factor [Source:UniProtKB/TrEMBL;Acc:D3YEX5] MEKVKSMEKKKLINELTQGKEFVNQLKNQIIGPLASPEECDLLIGKILSTLEKSLSILNLKALLLEGGINNINNSTSSCSSISFLGSSNNNISPKSEVFDSSREKLDKNMVSKKRKKTQQWINQTSISVNGLEGPCEDGFSWRKYGQKDILGASHPRAYYRCTHRNTQGCLATKQVQKSDANSTIFEVTYKGRHSCKVAQSEIFSLNNQKRQKCNKKQQEEMVIFNSATPNHNAENFNIITAKDEEVFTAFPFPPAPLHIENIIEENKFFPDSSELPLYLASPNDEFGMEHMILQSSDSDLTELISTPTSISNSSFGGEGVDYEPEITFDIEEFFI >Solyc12g098620.2.1 pep chromosome:SL3.0:12:67046435:67049301:1 gene:Solyc12g098620.2 transcript:Solyc12g098620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKNLFLNNVNTMNELNCTSTSFYNPNWENSSMDYQNDNILSSNSNNFGEISPNSFVGSNNNSCYTTPLNSPPRLNLSNFDHQIKGNFPNTSNNLPHFSTNLGNFSCFGGNDSQFVQNLESCKLSRNKSMKESEFGDSRENSSISQQIQLEEVGIKCQNDANSKKGKSIPKRKAKEITPKNDNVSTQNNESSSKRVKSDEKNEENQKPQDSLKDYIHVRARRGQATDAHSLAERVRREKIGERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQCQVEFLSMKLSNLNSTTDFNAESLTSKNMFQSVGSLHHNMNSSESSVQEFPYGFQSQQGSNIQSFLTKETEFPFKINPHLDGFVEQTPQVPTFFEDHDLHSFIHMGFSQIQAQNYPGNVSTAQMKAEL >Solyc06g073210.3.1 pep chromosome:SL3.0:6:45247744:45255700:1 gene:Solyc06g073210.3 transcript:Solyc06g073210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEIIPMCITWTMWAKSTSVLRLKAVDFVVDDHISADEEEGSVVELRTVDENVIGGSSSEEALHQVHDVKVDEDLPEREDLILEEAQSLDNSTSDEPFVGLEFESEAAAHAFYNAYATRVGFIIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMILVRKISSGKWVVTKFVKEHSHPLCPGKGRRDLIYDQYPNEHDKIRELSQQLAAEKKRSATYKRHLEMIFEHIEEHNQSLSKKIQDIVHNVRELEAKVLLQKQSKVFPPKHSIFAQGMTMDVHNLEDNGAGRVESSAEGQVSTSEADRTQEPNEGMTFESEEAARAYYDEYAGMTGFITRVLSSRKSERDGSIISRGLGCRGIPDNQRPVSLVNQKRDRRRDGCTAMILVKREKPGTWVVRKFVRDHNHPLVIPPSKRRPTSDEKDKRIQELTAELRTKKRLTAAYREQLLSLMKDVESHSEHLSAKVQAVQSILKELEAKRQELSNHSGHHK >Solyc05g018180.3.1 pep chromosome:SL3.0:5:20185182:20190604:-1 gene:Solyc05g018180.3 transcript:Solyc05g018180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVSYAYRDAAAQTVSTKKVAISPSDELTYPFGELAVDFTQSELREAAYEILVGACRSFNSGKTLKYVSSSVKSSTSSSSSKAASKVKKALGLKKNLESVSGKKASTVGVAELMRVQMGISEPTDTRVRRAFLRVAAGQLGRRLESMVLPLELLQQFKISDFPNPQEYEVWQRRNLKLLEAGLVLHPCLPLDEIDTRPKQLQQIIRGALVKPMETTKHSESMLELRNLSTSLACRSFDGSSPEICHWADGTPLNLRLYQILLEACFDVNDKISVIEEVDEVLEIIKKTWVVLGIDQMFHNICFSWVLFHRYVATSQVQKELLFASDNLLSDVAKDSKTVKYPTCSQTLSSLLGLIVGWAEKRLLVYHDSFYRDNIDSMQSLLSLSLSATEILVEHSSGNYHKRSKEVDVAFSRVDSYIRASMLHTFSQGKERLISSRKSSKQQHNPLPILSILAQNVSDLAFNEKEIYSAVLKRWHPLATGVAVATLHACYGNELKKFVSGISELTPDAVQVLIAADKLEKDLVQMAVVDAVDSEDGGKSLMTEMIPYEAEAVIANLVKSWISTRLDRLKEWVTRNLQQEIWNPRANKERVAPSGVEVLRSIDETFEAFFLLPIPMHPDLLPELMNGLDRCLQNYILKAISGCGSRSAFVPTMPALTRCSTGSKFRVFRKKERSPMVPYRKSQSGTTNGDDSFSIPQLCVRINTLHSIRKELDVLEKRTISQLRDNTRVHDDNIVDGLGKCFELSVASCLEGIQQLSEAISYKIIFHELRHIFWDYLYVADVSSSRIEPFLQELENNLEIISATVHDRVRTRAITNVMKASFDGFLLILLAGGPCRAFSLADAAIIDEDLKFLMDLFWSDGDGLPTDLIDKYSATLKGILPLFHTDTAILIEQLEHATEDNFGTSAKSRLPLPPTSGNWSPTELSTIMRVLCYRNDKIASKFLKKKYNLPKKL >Solyc02g065290.1.1.1 pep chromosome:SL3.0:2:37017264:37017875:-1 gene:Solyc02g065290.1 transcript:Solyc02g065290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLESSEKLVTKQQTGGVQAPPTQEPDHHLPCPRCDSINTKFCYYNNYNLSQPRHFCKSCRRYWTQGGTLRDIPIGGGSRKNAKRSRIYTNTPFSSTIASVSSHAVPGNSPFMLPLPAANQLLFGTDVKPINNFTSLLSSHGPGVLALGGIEDMGFGIGRGNVWPFTGAPDSYSRNYNNGGGAGMWQFSGGEGGFVGSGDYFN >Solyc11g011050.2.1 pep chromosome:SL3.0:11:4106625:4108837:1 gene:Solyc11g011050.2 transcript:Solyc11g011050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKVGLKKGPWTAEEDKKLINFILNNGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPITHKPLSTITIDHTNTLEQENQPIEEEKNQDILLAQMDIESSSIDQSTIAKIKSEDDNNNNNNNTMATNCANNFDSTIVEVNNNGFCIDEVPLIEPHEILVQESTPSTSSSSFSSSSSSNILEDLKFLSSFDEWPLMENNNNNNVGFGWEINNDFSSTLDFLLEDDHSDMMNNVTFDESWKFEQLL >Solyc03g077925.1.1 pep chromosome:SL3.0:3:49981354:49983064:1 gene:Solyc03g077925.1 transcript:Solyc03g077925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSKISQYTGITLSEQQPEYCLWKKKVSMLLRLIKYDRIILVYVTIVLIIIFSEVLEHIGHDFIRKFISCFEFTLADDGFLVFQVMNIFNAKRIQSSDFMKEYIFLVSSLTALSRVTSVMTSAYMFFLYNCKKTLKKLQRPYEKEQFDAKLKLVGEYGLSCKRELLTLDEKDPRRILKVLALNVENFLECRLETLMFKTYMVKSIHHARVLISEFSLTSPFGDGRPKRVK >Solyc03g122190.3.1 pep chromosome:SL3.0:3:71585569:71589220:-1 gene:Solyc03g122190.3 transcript:Solyc03g122190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Salt responsive protein 1 [Source:UniProtKB/TrEMBL;Acc:B6D1Q5] MSNLCDARRRNGNGKAPERSSFVQTCNLLSQFIKGKATIRDLNLGIAGQPEAAGKTETATMDLLTVMEKPSIDLTKEEHKSVDLVTTESSREKEAAVNEPSTSKEAPKEPKAAQLTMFYDGKVIVFDDFPADKARAVMLLASKGCPQSSFGTFQAINIDKINTCSPAPASLTSNRTDSVAPQQQHLQIKPDSCSAAPQQHKHNSPPLHVCSSTKTDQLKLGSVSSAPLVEQEQHKQIQSQAAEISSSSELPIARRSSLHRFLEKRKDRATVRAPYQVVRNNPLLPSSSNTNGESSSKDSEDQLDLNFKL >Solyc06g082660.3.1 pep chromosome:SL3.0:6:48455874:48461249:-1 gene:Solyc06g082660.3 transcript:Solyc06g082660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDPKPSPELPATRPDLSSHDVPSENGEDDLYARLKSLQRQLEFIEIQEEYVKDELKNLRREHLRAQEEVKRIQSVPLVIGQFMEMIDQNNAIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >Solyc02g061600.1.1.1 pep chromosome:SL3.0:2:33635655:33636092:-1 gene:Solyc02g061600.1 transcript:Solyc02g061600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGGEGTSEDRAKRANVEPPVPAPAPAPEPPVLERTRFACDMVFDTMILFLRHMQSHQVLETSYVPDLNQDKVPNWTPPYEPIASPDDDNSSDISVDDETADADAGADADVPAAPREPVYLLPDLNLPAPEEDEDDDEDDDSDE >Solyc12g044270.2.1 pep chromosome:SL3.0:12:60098855:60101663:1 gene:Solyc12g044270.2 transcript:Solyc12g044270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAALEEAKEMCKAKLLHFKGKSEDVIIETSNTSDSGDELATSKSEDQPVFSRSESVMMRPNETPVVSSPPAKQGPPSDMELMREKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQKRLEPMPADRKAKWRREIDWLLSVTDHVVEFVPSKQISKDGTSMEVMVTKQRNDLQMNVPALRKLDAMLLDCLDSFKDQNEFSYVSKDDESQEGRNDDKWWIPTPKVPKNGLSDVTRKWLQFQKDSVNQVLKAAMAINAQILLEMEIPESYIDSLPKNGRASLGDTIYRSITDEYFDPDYFLTTMDLSSEHKILDLKNKLEASVIIWRRKMTSKDGKSAWGSAVSVEKREIFEDRAETILLILKQRFPGIPQSSLDISKIQYNEDVGQAILESYSRIIESRAHTVMSRIEDVLQVDATAQNPSCADLKRSPLRDSLRVSPSGSFPNAREEVEKLNAAENPTSMTLLDFMGWTVEQGDNDTKKDVKEDIDVDAKKPPSIVTNKKLSYLDNIVGARSPTARH >Solyc05g055300.1.1.1 pep chromosome:SL3.0:5:65841916:65842671:-1 gene:Solyc05g055300.1 transcript:Solyc05g055300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:K4C2K6] MAKQFNVPPVIFPSDGNPSVQQRRPPANPPTAPFQPPRSSNPGIPFMSFDIGSAAASTSFSTPQFASATIGGGGGSIGFEDEPPLLEELGINTKQIYQKTLSILNPFRIKPDLHEDGDLSGPFIFLMAFGLFQLLAGKIHFGIILGWVVMASMFLYVVFNMLAGRNGNLNLYRCVSLIGYCMLPIVILSALSLFLPGGLVIKVLTGVFVVWSTRVCTRLLVELASYGDEHRGLIAYACFLIYMLFSLLVIF >Solyc02g078480.3.1 pep chromosome:SL3.0:2:43711963:43713982:-1 gene:Solyc02g078480.3 transcript:Solyc02g078480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSFLNREVSDLCLGKPALKPIPAEATVSEALTLLKKSGETHVSVWSCDHIRKVVEEEEGGGGYSVCRCIGKICMVDVICFLCTEENLADPSKALQTPVEQILPKGNSIVRHLDPNSSLLEAIDYILEGAQNLVIPIQNSKSTPSRKKLSSKASFLTPTNHNGVEYCWLTQEDIVRFLLNSIGVFSPMPTFSIESLNIINHDMMTVSYHDPAISSLDAIIRAYIEQSSVAVVDEDNRLIGEISPFTLAYCDETVAAAIATLSAGDLMTYIDYGGPPEDLIELVKMRLQEKKLGAMVELIDEEFSLSSSSSSASSCSSDDESGSSKVTVLGRYSSARRSEAITCYPWSSLVAVMIQALAHRANSIWVMDEDHNLIGVVTFKGILEVFRSIANAKRKPERENTSKQ >Solyc02g031980.3.1 pep chromosome:SL3.0:2:27718569:27727561:1 gene:Solyc02g031980.3 transcript:Solyc02g031980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative urease accessory protein D [Source:UniProtKB/TrEMBL;Acc:Q7Y0R8] METGKVIVEKVRGKSTLTKCFSKYPLKFINPKNVAPSQTDVVWIYAITYGGGIVSGDSIVCDYTIGDGCTTVLTTQASTKVYKAVGTKISEQVLEARIGSNAFLAVIPDPVTCFSTAKYSQKQVFKVMSDSSLLLVDWITSGRHETGEKWNFDLYRSMNNIFHNDDEPLFLDTALLEQGTCSDIAERMQDYQVIAMVILLGPKLKHVQNQIQEDVKKIMSQSLHMPTIGSRQSTSRHNDHHLTKPSFLASCSIFGPKGIGVVTRIAAMTTESVYNFLQHQLSSMEPLLGVKPYSYAS >Solyc03g065060.1.1.1 pep chromosome:SL3.0:3:41412905:41413141:1 gene:Solyc03g065060.1 transcript:Solyc03g065060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLMKAIRNAEEVEKGRRNMKAIRPSYYCKNVYQGKRKGRRSYMVLYKAIKIHQEIRCETLVVEDEVDASSNGKKQAT >Solyc03g113640.3.1 pep chromosome:SL3.0:3:65196006:65209200:-1 gene:Solyc03g113640.3 transcript:Solyc03g113640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVILRRLRWMIAGLNKGTPVTLKRLHSADVRPVPLSPLSKQQQLQLLKSYYESKSEHRKPKQPMLEAVHEISIYIHRFHNLDLFQQGWYQIKITMRWENGDDGVLGTPSRVIQYEAPDLGSEDVYGVWRIDDTDHSFSTQPFRIKYARQDILLSMMVSFNLSLNKHEGPSTSGVILKFELFYAPILENGTSLQDSSDASPAAVHEFRLPPKALLGLHSYCPVHFDVFHAVLVDVSVHISLLKSGVHTSSKKVPSDPPVDKDNDNEDYNPGKQEMLIKALSSARDILLEELEKISKSINQSIDLTDFTSKFDDKQASQFPASADTDLMNDEAAREVPSKILNGTKKLEDGVLQSQSKDELLQLYHSLGDQVFYLWSMFMRFHRTHKTSIMDFLREQWAIDRRAEWSIWMVHSKVEMPHQYISSDIDSSSYHGSRGRAPVLRKISEDPAQTAAMRADLHRKSIAQMRINSRSIQDMHIFGDPSRIPIVIVERVVNAPLRSTSGNSYFIHREPKDANSLLIETHSKGSKKIHGATPCQNGRVLKIVVFVHGFQAS >Solyc03g117000.3.1 pep chromosome:SL3.0:3:67742831:67754006:1 gene:Solyc03g117000.3 transcript:Solyc03g117000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vesicle transport protein [Source:UniProtKB/TrEMBL;Acc:K4BLK2] MWRLSESILGENEEQQDNLFGDQEALCSVSPLQRVYGFAACLLAGFICMFLSMIVFIKPIKFALLFTFGNMLAIGSTAFLIGPMQQLSMMMDPVRAYATSMYVGCVVLALICALWIHSKILTLLAIICEICALVWYSLSYIPFARRMVSNVTIRLFDTEI >Solyc03g083830.1.1.1 pep chromosome:SL3.0:3:55155090:55155605:-1 gene:Solyc03g083830.1 transcript:Solyc03g083830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPQIAFNEFEVWTDDFIIPKYLNLKSIINSSTSPLFLIEIRVQEFVQYLSKKGDPIVEEPRGPFITESYYLPSNAMIRDNAAPDDSLCEILSSVGLPLHTMLPIIWELSSFARTMMSLPANLGCPLLPIVLGIAINHWDPRGSTITHQRIKHERVCLTPFLGIRYKIEA >Solyc10g007520.2.1 pep chromosome:SL3.0:10:1836368:1837891:1 gene:Solyc10g007520.2 transcript:Solyc10g007520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCRYRQLIHKLYFPFSLQRSNLGTPSSPFSSSQIQNSDWLSSNEVIKIFQNLKNPNSALTLLNQISNRKDYRPNEAIYSVVVKNLAIAKNFDAIETLMEKIKIERKCRLSDEFFYNVIKIYGHLAGRINRSIDTLFDMPNYKCFPSVKTFNFVLNLLVNTKQFDVVHKVYVRGSELGVEIDACCLNIIIKGLCRCGEIDAAYKVFDEFPKQNCSPNVRTFSTIMHALCDHGRVDEALSLLDRMENENVEPDAIVFNTLISGLRKQRRVDEGIDMFKKVMLKGCDPNPGTYQEVLYALLDAKRFLEAKNFMSVMIDKRVNPSFESYKVIVHGLCDGKLVGDLDWVLKQMVRHGFVPRMGMWKKILGCLFPDGG >Solyc02g084620.3.1 pep chromosome:SL3.0:2:48339380:48348714:-1 gene:Solyc02g084620.3 transcript:Solyc02g084620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAPLPVSWIPEDDLLLKNAIEAGASLEALAKGAVRFSRKFTLQELQYRWHSLLYDSDVAVPASARMVELEHSGINPLSKFNRSENLKGSKDVAGKRKADSIRRRYYTMRKKFRSEFFNSTDLGFLDEPNLHDCNGHGTDFRQDVRNEAQARDGNCMLGGCISDNLGLQESDLDILRHVFPEALGDMPVSPALANSHIAYNSRCSISVDDNGPDAIVRESRFLEGLSTSLREERNSFQPDMEDREITDVLKDNSIDFEKCSAVKKPRLSQLSPDRTIFSSPEGKQLSTFRSRSDNHQNICSGPCGFDSRQHSRSPKSGTMLGARTGSTDFIDSSATSDGEFTDLPDSLLNLSNEDDVLLEVDGKDSADNLCKENLKFLPDSPCDIPEGGLDDHESEVIKESNPNITDPDDFNPLGSEMENSSLYGHYVRADCEVNVPSTSALSPDIKQPIDGSKLCILNTEDTEIPCNDDIFLLIHPSTSFASTATLSVGQSSINLSSPGSRSEQRVSSFTRGKDSGKSFAWTNKVVPNVFGEARPVQPAIGSSAHLKVSGTTALPVLPGAANKGVGVAGQSKSLPVNPIVSKNDVLEEDTARVQGVGDTPATFIEAPEFGESSSVRVAVTEPKINPSTSEVEDPQSDDDVPCFSDVEAMILEMDLDPHDQDLYATKQESKYQSEDFRRTTIRLEQCFRSGMQRDMTARGAFAILYGRHLKHYIRKTEVILGRSTDDVEVDIDLRKEGRANKISRRQASIKMESDGSFCLKNLGRCSIAVNGKSVDTGQYLTLSSSCVIEIREMSFLFEMNPKYVKQYIESITQNKGTVSKFERSPERKP >Solyc04g072640.3.1 pep chromosome:SL3.0:4:59691234:59695922:-1 gene:Solyc04g072640.3 transcript:Solyc04g072640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:K4BTQ1] MALKELIEIKFRLADGSDIGPNKYTPATTVGSLKEKIIAQWPKDKDSGPKTINDVKLINAGMILENNRTLGESRLPVAEVAGGVITMHVVVRPPMNEKARGKLQADSLNKGGCACTIL >Solyc12g056340.2.1 pep chromosome:SL3.0:12:63248329:63254656:1 gene:Solyc12g056340.2 transcript:Solyc12g056340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGPRTFPGGLNKWQWKRLHEKKARDKENRLLDQEKQLYQARIRSQIRAKLTSSGEQSDFSNEQQPNYSPVSPQDHIRGLADRFMKEGAEDLWNEDDGPVNTPQINQQSGGISESIDLRKLRDTKFNDVPRSYSFQKARNFCTNISDVFAENCRTRNPTFSDSWSRQNKFLMFGWRLVNIENRNVNNLNGFLNYRCYSVDRMNGNKLRKLDFTRNESSQSEDKLRSVGLVVKGERKAKWPRFRPKPEESTDEDDDEDTEVDEDEEERRSRGSVKMMSSAALGKYDMKTKKRVPLKFVEDEDDLSLHVAAIRKEVKGRSMQKIETEEDEKETILSSKRFDEYDVSPLTVKALTAAGYVQMTKVQEATLSTCLEGKDALVKARTGTGKSAAFLLPAIETVLKASRKKSAQRVPPIDVLILCPTRELASQIAAEANVLLKYHEGIGVQTLVGGTRFKEDQKRLECDPCQIIVATPGRLLDHIENKSGFSTRIMGLKMLILDEADHLLDLGFRKDIEKLVDCLPRRRQSLLFSATVPKEVRRISQLVLKREYDYVDTVGLGLETNPKVKQFYLVAPHEQHFQVVHHLLSSHISEVPDYKVIVFCTTAMMTSLMFSLFHEMKMNVREIHSRKPQLYRTRISDEFKETKRVILITSDVSARGMNYPDVTLVIQVGLPVDREQYIHRLGRTGREGKEGEGILLLAPWEQYFLDDIKDLPMENWPVPRLDPRVKVKMEEAMEKMDTSVKESAYHAWLGYYNSVREVGRDKTTLVELANQFSESIGLDKPPSLFRRTALKMGLKDIPGIRIRK >Solyc01g106490.2.1 pep chromosome:SL3.0:1:94261046:94261304:-1 gene:Solyc01g106490.2 transcript:Solyc01g106490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTINQDNATILGKRPYFQFKFKKICLYMNLPFLYIVYTSTYSMSFIVYAHPIRLQ >Solyc03g118583.1.1 pep chromosome:SL3.0:3:68944077:68944365:1 gene:Solyc03g118583.1 transcript:Solyc03g118583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYSDTGTCPYGDYWRKMRNICILELLSTKMVKSFDSIGQAEMLSLISSINSMPDSLINLSDKIFWFAGLFLGRDKLMMLIKEITLLTG >Solyc05g056250.3.1 pep chromosome:SL3.0:5:66450984:66457342:-1 gene:Solyc05g056250.3 transcript:Solyc05g056250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKPQNPSPMEPQEEGSNKISKKEAAKLERQRRRQEAAAAAAATAVSTVSIEESDPLSGNYGDVELNDLQSKAVTGRKWTEVGSIAPEMKDRVVLVRGRVQTIRPVGKKIAFVVVRERGFTVQCVLTVKPELVSSQMVKYATSLSKESIIDVEGVVTIPEKPITGATQQQVEVQISKLYCVNRAVPTLPINIEDAARSEVEIEQALEKGEQLVRVNQDTRLNFRILDMRTPANQGIFRIQCQIENIFRQFLLSEGFVGIHTPKLIGGSSEGGSAVFRLDYKGQPACLAQSPQLHKQMAICGDFGRVFEVGPVFRAEDSFTHRHLCEFTGLDVEMEIKEHYSEVMDIVDRLFVAMFDSLNEKCKKELEAVGKQYPFEPLKYLRKTLRLTFAEGIQMLKEAGVEVDPLGDLNTESERKLGQLVAEKYGTEFYILHKYPQAVRPFYTMPCHDNPSYSNSFDVFIRGEEIISGAQRVHVPELLEKRAGECGIDVKTISTYIDSFRYGAPPHGGFGVGLERVVMLFCALNNIRKTSLFPRDPQRIVP >Solyc02g070730.1.1 pep chromosome:SL3.0:2:40937408:40943291:-1 gene:Solyc02g070730.1 transcript:Solyc02g070730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAVSYVAERYIKQEEDEAATIRYWVSEIRAVAYHAEDVIEIFIQQVESQKRQSFFIKCIFYPKKLYGLYKVGKEIESIQTRILEISNSLERYGIRHIQGLRDGEGSSTTREKMRERRLSSPLIEDKRLRVASIVGMGGVGKTTLAKEVYNQTQIREKFDIRAWLYVSQDYKPMKIIKELILQLASPEEDKVKIVDTMDKLSQAGLEEMLQRRLKDTCYLIVLDDIWTQKHGISLLDHFLTTISQVDCYLPAAVRRLPCMQMLILHFTNIKYLSKEESWELFLKIENAEDREFPHDLVGVGKEILEKCDGLPLAITVIGGLLAVKVMVYQQFWH >Solyc03g095460.2.1.1 pep chromosome:SL3.0:3:58009765:58011058:-1 gene:Solyc03g095460.2 transcript:Solyc03g095460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFSSSLLRFSTSSFSCSSSFFSSLISSLLIVSTSTFSILIKVSFFPFA >Solyc10g079500.2.1 pep chromosome:SL3.0:10:61164362:61167211:1 gene:Solyc10g079500.2 transcript:Solyc10g079500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inosine-5'-monophosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4D2J1] MNDDGFSAEKLFNQGYSYTYDDVIFLPGFIDFPTDAVNLSTKLSRNISLSIPCVASPMDTVTETSMTVGMAALGGIGIVHYNNTISQQASIIRAAKSHQIPFSSDLIFASPSDSIHSADEFGNSPCIFVTESGTKESKFLGVVCKSTWNGLSDKQARISDYMNVSPVTLPSSYNFEDVAGYIASKKLDFVPLVNEKDREVVNLVTATDLERMNSLPKLGLPSLGTDGKFLVGAAIGTRDSDKERLEHLVKAGINALVIDSSQGNSEYQINMIKYVKHTYPHLDVIGGNIVTKYQAENLIKHDVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAEQHGVPVIADGGISNSGHIVKALSLGASTVMMGSFLAGSNEAPGTYENKNGLRVKKYRGMGSLEAMTKGSDARYLGDTAKLKIAQGVVGSVADKGTVLKFVPYTMQAVKQGFQDLGASSLQSAHHLLRSGSLRLEVRTGAAQVEGGVHGLVGYEKKYF >Solyc04g082550.2.1 pep chromosome:SL3.0:4:66272668:66275479:-1 gene:Solyc04g082550.2 transcript:Solyc04g082550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BVY2] MGLTRFSSIEDRNDISIEYASWLVEHPCALEKFEEMMKIAKGKKIVIFLDYDGTLSDIVPNPEEAFMTDKMRMVLGEVANRFPTAIISGRKRERVQDFVQLKNVYYAGSHGLDIEAPLDSTNSHEKVNKVVFYQPAIEFLPEKQKILNLLGERTNGIKGVNIEDNKFCISVHYRHVHTKDFDTVENIISGVLKEHPNFRVSTGIKVFEIGPNIVWNKGHALEYFLENLGFGNSDDVFPIYIGDDRTDEDAFKVLLKRGQGFPIVVTAAPKDTKALYSLREPKEVMEFLLGLVA >Solyc04g057783.1.1 pep chromosome:SL3.0:4:54858215:54858493:-1 gene:Solyc04g057783.1 transcript:Solyc04g057783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFIAIVLDPRYKMRYVNFILGKTYDSLLEKLKADYVEDNIGGETNMMDVVDDILHSEWEKHLEEEKVEKKI >Solyc03g113540.3.1 pep chromosome:SL3.0:3:65134632:65136576:1 gene:Solyc03g113540.3 transcript:Solyc03g113540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTLKKQPKPTPFSATTPTIQNISSHFSKLYANHKILKSGHRHPPPVDTHLQAKTLAAAYDSSCSALTKSKSQHGRRYVHKDVDKAVVLQEKGEIKKIPNKEKELKKEVDVKRALALLSKNQDSEKGFKQRPSFSLSMNGGGRRKSFCCSETELADFFSCSAVKVVSVDMPPFMQIHAVDFARKAHDSLEKFSSKSLGFSLKKEFDGVYGPAWHCIVGTSFGSFVTHSVGGFIYFSMDHKLYVLLFKTTVQKAESS >Solyc08g076410.3.1 pep chromosome:SL3.0:8:60545784:60556966:1 gene:Solyc08g076410.3 transcript:Solyc08g076410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLFSDQFVELMQFSDGSSEVELRLELGQGDVTPKDIYVDANESSLAIRVQQSGIVRTLMETSTLYIDEDQLVISLKKQNSELKWPDIVESWESLSAGITQLLRGTSIYLVGESTEINQRIARELAVGLGYTPLCTKELLETYAKQSMETWVNEEGSDAVAEAESAILESLSSQARAVIATLGGKKGAAGRVNQWRHLFAGFTVWLSLSQATDEESAKEEAKRNMQDSGRGYSNAEVVVKLGGWDPNYSKAVAQAVLSALKRLILADKDLPGKKSLYIRLGCRGDWPDIKPPGWDPSTSLDASSPRS >Solyc03g079893.1.1 pep chromosome:SL3.0:3:53201715:53202861:1 gene:Solyc03g079893.1 transcript:Solyc03g079893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAFTDIESVYAKTKKVSLGGCIEDCYIPKKFTWTMYICDSDWAAFPNTRSSVTGYAVKFGESLITWKSKKQQIVSRSSAEAEYRSVAATMEELTWLKGLFEELDSKVRVGMATRQSRGGCRAGKKFKGAGRGKARDKWCIIDSN >Solyc04g064520.3.1.1 pep chromosome:SL3.0:4:55690175:55696890:1 gene:Solyc04g064520.3 transcript:Solyc04g064520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKPTVALRAILVGGIAAFAKIGGAVKAAGGVKLGAAAAAMTAAATAAVSGSKQEEKKDGSQQLSSK >Solyc01g088310.3.1.1 pep chromosome:SL3.0:1:82957839:82960785:1 gene:Solyc01g088310.3 transcript:Solyc01g088310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQTYFHLHSLRCLPVPLKIQNPQNQTHRFKRNPVVCKLRVAVIGGGPAGSSAAEALATGGIETFLFERSPATAKPCGGAIPLCMLDEFSIPLNLIDRRVSQMRIVSPSNLVVDFGKTLKPHEFIAMLRREVLDSFLRQRAESSGANLLKALVTNLVVPTSSLEPYVIHYTMDNCQHQLAVDAIIGADGANSRVAKSIKAGNYTTAIAFQERIKLPEDKMGYYENLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVCSKSNIKSFQHAIRARAKSKIEGGKVIKVEAHPIPEHPRPVRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRICGEAIVKASEGGDSMINEDDLKREYLRKWDDKYFTTFKFLDVLQKVFYGNNAAREALVELCGDEYVQRMTFDSYLYKKLASGNRWEDAKMAMNTISSLVRCNIMGREVEASAQKILSRV >Solyc04g007010.3.1 pep chromosome:SL3.0:4:737446:738423:1 gene:Solyc04g007010.3 transcript:Solyc04g007010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLIGSIEVKCGGSPIHDILHTNTHFLPNITPRVLNHFEIHEGETIKIGSVVSWKFHQDGKEIFLKEMIESVDLEKKSITWKVIGGNMLESYNSFTIITSSEYQWTTVTLMYEKKTEDTPEPLTLLNIFLTGLKDIESHLLK >Solyc03g093170.1.1 pep chromosome:SL3.0:3:55809485:55811218:-1 gene:Solyc03g093170.1 transcript:Solyc03g093170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLNQWLKRPQAELSLPPNWCFVPSKGHMSVLFNFILNVLKRHRSDWKPTFIFFKWILAGENPCRYSPNTESFNEILDILGRMRHFDELNQVLDEMSKRGNLVSEKTYGFVINRSAAAHRVEDAKEFFYKRKNFGLDIDLNAFQILLMCVYAKRFWNDIMTSKCKPDKFKYGIFINSLCKSGKRSRAVELFQTMWEKGCKPDVAICNCIIDGLCFKKRIPEALEKNLKFEKGEEIKKNK >Solyc02g090470.3.1 pep chromosome:SL3.0:2:52639285:52647215:1 gene:Solyc02g090470.3 transcript:Solyc02g090470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPEVETMDIPGRSDPPVEDKPAKHCSFVYGQLDYKYYDTTCPILTKIVRNGVWSAISNDTRMPASLLRLHFHDCFVNGCDGSILLDDTSTFTGEKNAFPNRNSARGYEVIDAIKANVEKACPSTVSCTDILTLAAREAIYLTRGPFWPVCLGRRDSLTASQNAANDQLPSPFEPLVNITAKFVSKGLNVKDVVVLSGAHTLGFAQCSTFKRRLFDFDGSGNPDPTLDSSLLGSLRSVCPNQKDSDSNLAPLDAVSINRFDNVYFKNLMKNSGLLESDQALMNDNTTAALVSNFSKYPYLFSKEFAASMVKLINIGVLTGQNGEIRKNCRVVN >Solyc12g049270.2.1 pep chromosome:SL3.0:12:61703904:61712421:-1 gene:Solyc12g049270.2 transcript:Solyc12g049270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVERIKEIEAEMARTQRNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGEGFEVTKYGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLHLTHIDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVVGKLKTAGHESLGTRESPDACVGMGSNYPYKLHANMLFRNLLTHCLVCILKLLSNLEQLNLDRLLAKMWEAMGLVRVYTKPQGQQPDFAEPVVLSADRGGCTVEDFCNHIHRNLVKDVKYVLVWGTSARHYPQHCGLSHPLQDEDVVQIVKKKEREDGGGRGRFKSHSNAPVRISDREKKAPLKT >Solyc10g009450.1.1.1 pep chromosome:SL3.0:10:3534868:3535932:1 gene:Solyc10g009450.1 transcript:Solyc10g009450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCMANSPEDIVKEILLRCPVKSLLRFKCVCKNWCALIKTPEFAQGHLKNRSPPQLLIYDNGDIDDDDDLSITLISEEHPRRFIGMKQLFGSVDGLFFMVGEIDREVSCSLWNPATRELRPIRLPIPVATIHDAPVFGFGLDTLTYDYKVVYFHINNLCEHYASVYSCSRNFWRIFKPNIPYFTDVKHTFGTSYLNGGYYWLLTGERPCNYTIILFDFGSEMFTEIEGPGHQLVDTNMLGLMSVDSSIAILNLNPSTIFAYDIWVMIQPGVWNKLVTFQCFFRLKSCYHNSLIFATKDSQLVSFDVRTNKTRHLGFQHAALRKDAECDGDCGVFCYKESLVKIERRDYKDLDH >Solyc05g018050.1.1.1 pep chromosome:SL3.0:5:19894973:19895254:1 gene:Solyc05g018050.1 transcript:Solyc05g018050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVFDLDLALTVMEDFNAAAEALLICEGDDDNLEMMPMVNAVGLCSICMEEFEAQVSAKQVPCGHLFHSSCLANWVSLCKSCPLCRFSFSTS >Solyc03g031980.3.1 pep chromosome:SL3.0:3:4493956:4497034:-1 gene:Solyc03g031980.3 transcript:Solyc03g031980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNMEFFAPKIFIFFSCLQLISQAKIITFDKQYGDPFDHTYAPLLEIKYPAQISNQALQITPDTASTAYKTLNNSGRILLKRPFKLWVDDISRTASFNTSFLVNIYRPDNKTGAEGLAFLICPNLDLPLNSQGQYLGLTNATTNGAPSNKIIAIELDTFKQEFDIDDNHVGIDINNVESVESKSLTPYGIELAPIGARFYNIWIQYDGIKKILDVYIIEQMTKNGATPTRPKVPILTHNVDLKEVVNEDSYFGFSASTGHFKQLNCVLRWNLTVEYFQEKNNQEKVLITSVSVGVTLLIVLLILSGYFGYYYFFNEKKRGDDRSESTILGALKSLPGMPRDFEFKELKEATNNFDEKNKLGEGGFGVVYKGYLVGEKLEIAVKWFSRESIKGQDDFLAELTIINRLRHKHLVKLLGWSHRHGKLLLVYEYMPNGSLDKHLFSSGQPLRWSVRYNIVSGVASALHYLHNEYEQKVVHRDLKANNIMLDSNFNARLGDFGLARAIDNEKTSYADEGEGVLGTMGYIAPECFHTGKATQHSDVYAFGAVLLELICGQRPGTKVNGFQLYLDWVWFLHRDGRILEAVDTRLGDQYVVEEAERLLLLALACSHPIANQRPKTQTIVQVISGSVPPPQVPPFKPSFVWPSMVPMDIDSSSIVDTISITTPQYSSENNSIEYQTNSLI >Solyc01g044305.1.1 pep chromosome:SL3.0:1:43119591:43150897:-1 gene:Solyc01g044305.1 transcript:Solyc01g044305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESKSGDGDSKRVYDSDDEMWAENRSKKLHDLLLMKNKNVLEPKKKTEEIQKKRPRKVTSTVSRPTLPKNTCSNIAPTQDEVESLDLPDSQETRLLLYNVKVQNF >Solyc07g056280.3.1 pep chromosome:SL3.0:7:64267642:64270285:1 gene:Solyc07g056280.3 transcript:Solyc07g056280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY16 protein [Source:UniProtKB/TrEMBL;Acc:K4CGG1] MSDNNPFNHDYAFPFFGENPSIYGNQANNTQNPHHNHHDFDYSSSYMSNLTECLHGGSMDHYNTLSSAFGMNNCSSSSEVVCPQPSIDHHQECSRKNINSVDNQIPLTPNSLISSSSNSEVGGCHEEDSSKIKKDDDQCELDGDDDDDDNKSKKVGKLAKKKGEKKQKEPRFAFMTKSEIDNLEDGYRWRKYGQKAVKNSPFPRSYYRCTSQKCSVKKRVERSYEDPSIVITTYEGQHNHHCPATLRGNAAAALLSPSFLSSTQQQLYHNPNEQQIFYNPNIPINNSFYNNNYHQQQPQLGPDYQYGLFQDMVASLINKREP >Solyc03g096660.2.1 pep chromosome:SL3.0:3:60385284:60413693:1 gene:Solyc03g096660.2 transcript:Solyc03g096660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSSRWTLSGMTALVTGGTRGIGHAVVEELAELGATVYTCSRNENALNKCLQEWSVKGFKVNGCVCDVSSRPQRVKLIQNVSSAFHGKLNILINNAGTNIRKPTIDYTGEEYAHLFGTNLESGYHICQLAHPLLKASEVGSVVFISSVAGLVHLSTSSIYAATKGAMNQLTRDLACEWAKDNIRVNGVAPWYIKTSSVEYVIKNKTLLDGIKSRTPLMRPGEAQEVSSLVAYLCLPGASYITGQVIAVDGGFTVNGFNFTSY >Solyc02g079840.2.1 pep chromosome:SL3.0:2:44808048:44815962:1 gene:Solyc02g079840.2 transcript:Solyc02g079840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase [Source:UniProtKB/TrEMBL;Acc:G5CV40] MLQSCISTMDIRRSGNYKPSIWEDGYVQSRPNLYAEEKYCERAEKLKEEVRKMLQKRMTNSLEQLELVDILQRLGIYYHFEEEIDTVLKQIYVNYNKRDHHNEELYDTALEFRLLRQHGYHLPQEIFCSFMNEEGKFKTALVEDTKGLLSLYEASYLCMEDENIMENARDFATHYLMENVKKKMDEQVSHALEMPVHWRMERLEARWFIEIYHKKENMNPLLLELAKLDYNMVQATYLEELKQMSRWDKNMKLVKKMSFVRDRLVEGFFWAVGFTPNPQFGYCRKLSTKLSVLLTTIDDIYDVYGTLDELELFTDIVDRWDINAIEQLPEYMKISFLALFNSMNELAYDILKEQGFSIISHIRKQWANLCKAYLLEVKWYQRGYTPSLDEFLRNAWITNTGPVLIMHAYFCITNPIKEDELQRLNHYPAIIYSPSLILRLANDLATSPDEIKKGDYLKSIQCYMHDSKSCEENARNYIKKLIDETWKKMNRDILRDESLSKDFRRTSMNLARIAQCMYQHGDGFGIPDRETKDRILSLFFQPIPLT >Solyc11g007740.2.1 pep chromosome:SL3.0:11:1970312:1976121:1 gene:Solyc11g007740.2 transcript:Solyc11g007740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTRRALAAYRNIYGALRATNFTANRLIGSTLSPIRYETVPCSLEQTTNFLLESRRSFAKGRKQIREEVDGDDYGSVTTAVNVGPNIKATAVSQMEAAIDALSRELTKLRTGRASAGMLDHIIVDSGGVKTPLCRMAVISVLDPKTLSVNPYDPDSLKELEKAIISSPLGLNPQSDNQRLIVPIPPLTKEHVQAVCKVVAKSSEDVKQSIRRARQKALDTIKKSVPKKKDKDKSASAFSEDDAKRLEKEIDDLTKKFIKSAEDMCKSKDREITSS >Solyc04g008060.3.1 pep chromosome:SL3.0:4:1717818:1720965:-1 gene:Solyc04g008060.3 transcript:Solyc04g008060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESEQSLLDQHKEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANASSSIILTAGIAEVAAGAISMGLGGYLAAKSEADHYMRELKREEEEIISVPDTEAAEIAEILSEYGIQPHEYSPVVNALRKNRQAWLDFMMKFELGLEKPDPRRALQSAFTIAIAYILGGFVPLVPYMLIPIARQAVVASVVVTILALLIFGFAKGYFTGNRPFRSAFETALIGAVASAAAFGLAKAVQG >Solyc11g012830.2.1 pep chromosome:SL3.0:11:5628455:5636933:1 gene:Solyc11g012830.2 transcript:Solyc11g012830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLRPRIRTDGIYVSRNTYIRAGIAEWKITNPVHLVCYYRYMRFYPSGRFLYKNSSQKVKDVAKYLNFRASKADCVFKGNYTLSEDKVEAALLYPGMRPTVLRFRLRLRGTTQGANNRMDLLALLTSGVNDNEVNGPDEDILGVVERWEEDETHNPDVPAISHKRGLTPFVFVSFEEVSLSFTFVRRSPFFHIKQKEDPGSHLTISI >Solyc12g044983.1.1 pep chromosome:SL3.0:12:61305926:61307869:1 gene:Solyc12g044983.1 transcript:Solyc12g044983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIWATLVVIFVVYTLLNNIQNRKRYPPGPKGLPIIGHLHLLGKNPHKDLQKLANTHGPFMYVRLGLVPTIIVSSAGAAEKVLKTYDHIFASRPHMEASYYLAYEQKNLISAKHGPYWRNMRKLCTQHLLSNQKINSFQSMRREQVELMIKSLKNDDRVVFDVSAKISSLSADLTCMMVFGKKYMDEDLDKRGFKAVVKEVEHLSATPNLGDFFPFLGVIDLQGLTRRLKDLAKVFDEFLEKMIDEHVQSRDQKQSKDFVDIMDPNIWPEPENFFPERFVGSSIDMRGRDFQLLPFGSGRRSCPAMPLGIIIVHLVVAQLVHCFDWELPNGMEPCDLDVEEHFGLATSRANPLMAIPTYRLNNA >Solyc02g094090.3.1 pep chromosome:SL3.0:2:55352139:55369464:-1 gene:Solyc02g094090.3 transcript:Solyc02g094090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVAGNTSKTSLDLGSFVGDLNVDDETASDDISLEGLEEELQECQADDVVANILSKGTTLREYTKGVENNLRQVELDSIQDYIKESDNLVSLHDQIRDCDMILSQMETLLSGFQAEIGSISSDIKILQEKSMDMGVRLKNRKVAESKLAKFVEDIIVPPRMIDIIVDEEVNEEYMRTLEILSKKLKCADADPTVKTSKALKDVQPELEKLRQKAVSKVFDFMVQKLYALRKPKTNIQILQQSVLLKYKYILSFLKEHGKEVYLEVRAAYIDTMNKVLSAQFRAYIQALEKLQLDIATSNDLIGVETRSTGLFSRGREPLKNRSAVFALGERINILKEIEEPPLIPHIAEASSKKYPYEVLFRSLHKLLMDTASSEYLFCDDFFGEQTMFHDIFAGPFSVIDEHFSTILPNSFDAIGLMLMIRIIHQHQLVMSRRRIPCLDSYLDKVNIALWPRFKMVFDLHLHSLRNANIRTLWEDDVHPHYVIRRYAEFSASLIHLNVEYKDGQLELNLERLRMAVDDLLVKLSQMFAKQKLQTVFLINNYDMIISVLKEAGPDGGKIQQHFEELLKNNTAIFVEELLLEHFNDLIKFVKTRGSEDPSTGTERPITIAEVEPIVKDFASRWKAAIELMHNDVITSFSNFLCGMDILRAALTQLLLYYTRLSDCIKRINGGSTLNKDLVSVSSIMYEIRKYSRTF >Solyc01g094835.1.1.1 pep chromosome:SL3.0:1:86119625:86122311:-1 gene:Solyc01g094835.1 transcript:Solyc01g094835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPQKVILILLIIIISMAFEVSSETAKSRMQNVTTEESFSAERKLGSLRRSIKFESLNKSRVLLSGNFTLLSENKTFEMGFFKTNDESKWYLGIWFASIPTPTYVWVANREKPIKNPSLATLGISEDGRLVLKEDSRTIVWETNNLEKASDVKLLDQGNLVLVSNEGVLAWESFDFPTDTWLPGMNLTAKKWLTSWRSTNDPSPGRYSLRLQPHSYGEIVLFYNGTYPYWSTGKWSENAFVDVPEMTVPYIYKFNFESPFTPMASFGYSEVTLENGMPPPLTRFMVDFTGQVKQFTWIQQAQSWNMFWSQPENMCSTYGLCGNLGFCNSKSLNPCKCLPGFTPLDGDSWDAGDFSGGCRRESNEVCGENDRFEEVGMVGYDGARVVSVSGTRNECERECLGNCSCIGLYHNERTNLCKSLYGSLLNLRNLTSDGAVEDKLFVRVQGGGNTRKNQIQVRLLVIEMICGFVVILSVGIGTFLLFRRRRVRRKKKDEEDVFPIMNLKVFSYKELSAVTKGFSEKLGHGGFGTVFLGELSDSSLVAVKRLERAGGGEKEFRAEVCTIGNIQHVNLVRLRGFCTENSHRLLVYEYMSKGPLSAYLRRDSQNLSWDVRFRIAVGTARGITYLHEECRNCIIHCDIKPENILLDEDFSAKVSDFGLAKLLGRDFSRVLATMRGTWGYVAPEWISGLAITTKADVYSYGMTLLELIGGRRNVESPPSAKGEEGGTEEKWFFPPWAARQIVEGNIAAVMDERLHGTYNLTEAERVGLIAIWCIQDDESTRPSMGMVVKMLEGVVEVTMPQPPKLLQALVSGESFHGVGVDSSNGTSRDGGFSSGYNPQHSIYSKDSRASL >Solyc02g093240.2.1 pep chromosome:SL3.0:2:54806048:54806676:-1 gene:Solyc02g093240.2 transcript:Solyc02g093240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGVCTGYSLLATAMALPDDDKAINLYQFLLILAMDINRDNYETGLPVIEKAGLAHKTEFREGPALPVLDQMIETQVGGVIGYDNTLWNGSIVAPPQKIRWVL >Solyc01g009580.2.1 pep chromosome:SL3.0:1:3762292:3764615:1 gene:Solyc01g009580.2 transcript:Solyc01g009580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSKATSAITQSVVYIKSSLQVKLLKPSHIYLSIASIAYTKKTHNMSCRDIEPLIVARVIGEVVDSFNPSVKMNVIYNGTKQVFNGHELMPLVIASKPRVEIGGEDMRSAYTLIMTDPDVPGPSDPYLREHLHWIVTDIPGSTDVSFGKEIVSYESPKPVIGIHRYVFILYKQNRGRQTVKPPVTRDHFNARKFAVENGLGSPVAAVYFNAQRETAARRR >Solyc12g099780.2.1 pep chromosome:SL3.0:12:67765747:67768996:-1 gene:Solyc12g099780.2 transcript:Solyc12g099780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRKERNGPRKVPQFGAWDNNGGDGGNAGYTVEFSKARANKQHQPKNGLDVRHELKNDKELNHHHEHKQSLDTRHGLKNDKEIQHHEHKQGLDARHGHGLKNNNKDIQNHEYKHGLDAHHGYGMKNNNKEIQHHENKHGLDAHHGHGLKNNNKEIQHHENKHGVDAHHGHGLKNNNKEIQHHENKHGSEAHHGHGLKNNNKEIQHHEHKHGSDAHHSHGLKNNNKEIQHHEHKHGLDTRHGLKNDKEPMHQQHKNGLRTRHGLENNQEFQHQPYKNGLGPRHGLTNDQEFPGKKQEDPNMKNGYRSVPQFGMWDQQATGGGAAASYTVEFSKARVNRKQHKNNDLLARPPSIDHEQEDLRKQQEDACMRKKNMLTYLNCCIRP >Solyc07g042810.2.1 pep chromosome:SL3.0:7:56419052:56439672:1 gene:Solyc07g042810.2 transcript:Solyc07g042810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGATSLLLPYESEKCCLPYMSLMSTSIVLGQNLISFNKSSSVFAHNSCITEVRCSWGRRKSFGSIPNAKKKYPKKKSWWRELFFVENGNWLGLREDDMLDGLESEGLGSDDDDELSENEKFEAWKRRAEAIMELREAQVDIKNEENRKWDDWLVDGNVVLGNDSSWVQESIRVPKDNKLEDPTELIPGRGLVKSVRDMIFGREEDDMLYEDRIFQYASFNSAKFFALLIIVPWALDFVVHDYVLMPFLDRYVKTVPLAAQMLDVRRNQKLEMVKELKAEQARYRLEVEIGKSPPLSEKELHLELRHKALISEPMVYPSSSSSTEMPLLLFVPL >Solyc09g008555.1.1 pep chromosome:SL3.0:9:2038582:2043451:1 gene:Solyc09g008555.1 transcript:Solyc09g008555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSNDKIQFPPLPYNDNLKCLLSAPPNDPQCRVLFLIHETNPPEDDDDDEDENSDNDDDKNDDIDTNDADNENSVITDNEDSDDDDTNEDYPNDDDTDANDDDTDNENPDDDDDIDSNDDDIDNVNLNNDDADSNDDDTDNENPNDDDTDSNDDDIDNENLDDVANIENLNDDIVANNDNPDDNNDENAPSPALYLCKPGYDQEFHKQDLKSIIGDNRFSVWTPPYDYFSEYLDMPCFANYLIQSEDEVELLYVHMLFHGREFEDVYKIIVFRFDFVNKVWEEAKSIGETAIFLGPLSLYFRLGNTEYISIAYFQDRVTLVLVKAYGRKAGLEKSTSQPTPTAVFSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQCMHQPSEHDYHCLKRILRYIFGTLGRSLLIRPGDLELRGFSDSDWVNDKNDRKSASG >Solyc11g009060.2.1 pep chromosome:SL3.0:11:3182636:3190728:-1 gene:Solyc11g009060.2 transcript:Solyc11g009060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLRKLENVQKIIQMMGSHGIISSSSNTHNASSLRFLADVVLLLVSRCCELDIGAKCQLIIDHVPKFSHAFLEEALQCASEQGVEQKVNTGPLDCGDKIIPDPSKMGSEDMPMIGLDAMQRANSTLEDFCRSYFMFHKMDPHQPQSMFRYLPILSFTESYIYQLDNLNEKLLQPSVGKDQSSYREYSVEESWELTYVRMMTNDPFRPLSILLQQHGLLTDRVREEFSGGVEYWSLERKLCHALGIKREISVEDVMKAIHLKSFDYRVLNLLLYQLRGEKVNDIHMEFLKISEFLVEISDDL >Solyc05g018568.1.1 pep chromosome:SL3.0:5:22256872:22257705:1 gene:Solyc05g018568.1 transcript:Solyc05g018568.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLRSCWGCSDLPSIGLQLLLEAYAQGCPIFCCNLSHLPTDERLSLAPDRCYVADVPATWVSMLPWAEFRYNTSYQTSAGMTPFQALYGYEPPTVARYILGSTSSELVESSLLKRDKVLQLLKHNSANAQNRMKAFADKSRTEITYDVGDW >Solyc11g069380.2.1 pep chromosome:SL3.0:11:54273249:54280658:1 gene:Solyc11g069380.2 transcript:Solyc11g069380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GcpE [Source:UniProtKB/TrEMBL;Acc:Q8GZR6] MAAGTVPASFTGLKSNENGLGFAKSMNFIRVSDLQRVKFRRTKFSVIRNANPGQETIELQPASEGSPLLVPRQKYCESIYKTVRRQTCTVMVGNVALGSEHPIRIQTMTTTDTKDVAATVEQVMKIADAGADIVRITVQGRKEADACFEIKNTLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEQLEYTEDDYQKELEHIEEVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEAPEEEIDPCRRLADLGKRAAALQQGVAPFEEKHRRYFDFQRRSGELPAQKEGDEVDYRGVLHRDGSVLMSVSLNQLKTPELLYRSLAAKLIVGMPFKDLATVDSILLRELPPLDDIDSRLALKRLVDISMGVIAPLSEQLTKPLPNAMVLVTLKELSGGAHKLLPEGTRLVVSLRGDESHDELEILKSSDVTMILHNLPYTEEKIGRVQAARRLFEYLSENSLNFPVIHHIQFPSNTHRDDLVIGAGTNAGALLVDGLGDGLLLEAPDKDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRAIEMEHATDALIQLIKDHDRWVDPPTEE >Solyc04g056610.3.1 pep chromosome:SL3.0:4:54522523:54530169:-1 gene:Solyc04g056610.3 transcript:Solyc04g056610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIAILLDLLKKNPSFNGQTLHSYGIFSAKLATTAAAASVAASYPFASRAFFGNGAARVAFCDAGTGFSEDYISTIQNESIINLQYDSLKHSTKQYNLELKPLFSAFMWRNLALTSLRSFLLFYLPLVEPHTNMDEDDDDEDFLKDAEEDRRVDLVTPFKKSVKQIGRETAVVTTRRVLERLAVHYVSQRMAWKLLKDVPKSAARKAQRGMPSMIYFCSVTKTTCRGHFLGVLASWFVQVGIDIWRFFKSKQDDDTLDGSEKMKLLGRKISIVTVRCSASLVFASIGAGIGAMIFRPTTGQWIGCAIGDVAGPVIVAFCFDKVLHMEL >Solyc12g041950.2.1 pep chromosome:SL3.0:12:56492866:56495830:1 gene:Solyc12g041950.2 transcript:Solyc12g041950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWELGDMFKFILYADAILLKMGITCWSDEECIKILKKCKDAIPSKEKGGKVIIIDMVLMDRNLEKGDDKSYETQLFFDMLMMVHVFGKERNQQDWAKLISGAGFSDYNIIPILGLRSIIEVFP >Solyc03g058200.1.1.1 pep chromosome:SL3.0:3:25462003:25462263:1 gene:Solyc03g058200.1 transcript:Solyc03g058200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILVSSVGTLSKIGTLTLESSIMSISVSFMVTTSKIGMLTLASSSDVNVNVLILYLAAKEESEIDMIEDANVNILILNLVPKEET >Solyc04g016430.3.1 pep chromosome:SL3.0:4:7228590:7233231:-1 gene:Solyc04g016430.3 transcript:Solyc04g016430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase-like [Source:UniProtKB/TrEMBL;Acc:I0IUQ8] MKSSPTHFFFKHNSMLLRLLIFILGICSINRSNLCCDQLFVTPSSFSVIQSSLKQLTIEGCFSFKNFDHVAKDFGNRYHFLPSAVLYPKSVSDISSTLKHIFDMGTTTDLTVAARGHGHSLEGQAQAYQGVVISMESLRAPAMRFHHAGELPFVDVSAGELWINILHESLKLELTPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNVYQLEVVTGKGEVITCSKEQNADLFYGVLGGLGQFGIITRARIALQPAPKKVKWIRVLYSDFSTFSNDQEQLISSKDSFDYVEGFVIINKTGLLNNWRSTFNPKDPLLATKFSSEGKVLYCLEVAKYFNPEETPNTDQNIDVLLSKLSYIKSTLFQSEVSYVEFLDRVHVSEMKLQEKGLWDVPHPWLNLLIPKSRIHDFAQEVFGKILTDTSHGPILIYPVNKSKWIKGTSMVTPEEDVMYLIAFLSSAMPSSTGKDGLEHILNKNKKILNFCNKAHIGMKQYLPHYTTQEDWKVHFGPRWETFARRKSTYDPLSILAPGHRIFERASLLKQY >Solyc11g042428.1.1 pep chromosome:SL3.0:11:37691934:37692408:-1 gene:Solyc11g042428.1 transcript:Solyc11g042428.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYTNPPVGIWVGLCDEPNDINPSEFKRELYLRKDYSKMGAYYFTPTWKKLRSFLVLGFIFTSSAIMEDNISSTALFANSNMKVKIVIH >Solyc01g058595.1.1 pep chromosome:SL3.0:1:67143202:67144679:-1 gene:Solyc01g058595.1 transcript:Solyc01g058595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVILMICYCYLELSHNDFDAYINQNTGIIKTSQGFTDLLDWWINRHKEFPELQPVARDVLAIQDVLAIQASSVALEGTFILTSNPVSGPSTVPDIHIFCWNFRFRNLSSQRLSVLIAPGPIVPLPVPLPRLLWQGNKGNRSINLVN >Solyc07g049280.3.1 pep chromosome:SL3.0:7:59660256:59670171:-1 gene:Solyc07g049280.3 transcript:Solyc07g049280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVGPGRSTSSYSEVQHNRLIVSLPLPSVLKKPFSVIDGPPSSAAGNPGEIAKLFPNLFGQPSVSLLPGHTSGAALDHTLKVGVVLSGGQAPGGHNVISGIFGECFSNSSYLMEKTKNSTLYGFKGGPAGIMNCKYVELTADFVYPYRNQGGFDMICSGRDKIESDEQFNQAAETAKKLNLDGIVVIGGDDSNTNACLLAENFRGKGLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKVIPVCGMYRYRLSKFTIPVQIYAEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAQKQTLKSVTDYIVGVICKRAKLGYNYGVILIPEGLIDFIPEVQQLIAELNEILAHDVIDAAGSWKKKLQSQSRDLFELLPQAIQEQLLLERDPHGNVQVAKIETEKMLIQMVEAELESKRKEGSYTKQFKGQSHFFGYEGRCGLPSNFDSNYCYALGYGAGALLQSGKTGLISSVGVGNLKAPVEDWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLREEWALKNRYFSPGLSWSVDEKSLSDAFSSFGQVTEVRIMYDKETGRSRGFGFVYFLKDEEASSAKDSMDGKAFLGRPLRVSFALEKVRGAPVVVPRLTSTGNAYRKTR >Solyc03g005150.3.1 pep chromosome:SL3.0:3:89314:96296:1 gene:Solyc03g005150.3 transcript:Solyc03g005150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAERNNSKITIYVLSCWIFAAFGGLMFGYDIGISGGVSGMDDFLKKFFPKVYERKSHAKENNYCKYDDQLLQLFTSSLYLSALVASFFASKSATKFGRRLTICMASIFFLAGAVLSAAAKNKLMLIFGRILFGVGVGFGNETVPLFLTEVAPIQHRGAVNILFQLFVTIGIFIANLVNYGTSMIHPHGWRLSLGLAAVPAIVLFVGSFVITDTPSSLIERGKDEQGKTVLKKIRGVNDVEVEYQEIVAACEQAKLVKYPFRNLAKAASIPPLVIAILLQIFQQFTGINAIMFYAPVLFQTMGFKSEGSLLSSVITGLVNVGSTFVSIYLVDKVGRRKLLLQACCQMLISQLAIGAILVTNLEETGTLNKKLAAIVVLLVCTYVMSFAWSWGPLGWLIPSETFPLETRTAGFAFAVSTNMLFTSLIAQAFLTMLCTMQAYIFFFFSAWIVVMGLFVIFFLPETKAYVLSCWILAAFGGLMFGYDIGISGGVSAMDDFLKLFFRNVYERKKRAKENNYCKYDDQLLQLFTSSLYLSALVASFFASKAATKLGRKITMMMASLIFIAGAVSSAMAHNKKILILGRILFGVGIGFGNETVPLFLTEVAPIQHRGAVNILFQLFITIGILIANLVNFVTVNIHPNGWRISLGSAVIPATVLFLGTFVINDTPMSLVARGKPEKAKVALKKVRGVSNVDVEYEEIVASCEQAKQVKHAFRNLTKPPSIPPLVIAIMLQIFQQFTGINAIMFYAPVLFQTMGFKGSGPLISAVVTGSVNVLSTCVAIYAVDKVGRKKLLIQSCCQMLICQVAIGFILLKNLSDTGGLNKTMAMIVVFLVCAYVMAFAWSWGPLGWLIPSETFPMETRTAGFAFAVSTNMLFTAIIAQAFLTTLCLLRAYTFFFFSAWIFVMGLFAIFFLPETKGIPIDAMVERAWKKHPVWKKLF >Solyc05g013100.1.1 pep chromosome:SL3.0:5:6188531:6189016:-1 gene:Solyc05g013100.1 transcript:Solyc05g013100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQLNYMMLALGSKKSIIHPMKIIVGYSPAQSQNMGLMGGLRKHVPIIKITFLLDEIINDTWLYSSIFTVIAWVTAELTAFYMFQIYLHTFEGHLNAHFQNYGGKHKSLSIPCLYTVKTE >Solyc08g014450.3.1 pep chromosome:SL3.0:8:4503369:4510670:-1 gene:Solyc08g014450.3 transcript:Solyc08g014450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKMDVKKRLTRGISRQFSTGSLRMSGKFSFKRQNSMDPRNNNMRFSFGRQSSLDPIRRCPSFENETIAVPDNLDSTMQLLFMACKGDVNGVKDLLDEDVDVNSIDLDGRTALHIAACEGHVEVAKLLLSRKANIDARDRWGSTAAADAKYYGNNEVYNILKARGAKVPKLRNTPMTVANPREVPEYELNPLELQIRKRDGISKGSYQVAKWNGTKVSVKILDKDSYADPEIINAFKHELTLLERVRHPNVVQFVGAVTQNIPMMIVIEYHPRGDLGSYLQKKGRLSPSKVLRFALDIARQVWGMNYLHECKPDPIIHCLLMPKNILQDNGGLLKVAGFGLIRLSNISPDKAKSLQPQAIDRASTYIAPEIYKDEIFDRSVDVYAFGVLLYEMMEGSPPFHPKSPEEAARLMCKEGKRPPFKSKSKYPPDLRELIEECWNPDSFIRPTFSEIIVRMNKIVANCSKHGWLKDTLKLPWL >Solyc05g055050.2.1 pep chromosome:SL3.0:5:65694487:65695799:-1 gene:Solyc05g055050.2 transcript:Solyc05g055050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESLKIDELQMNEQIGQGRFGTVYRCFSKSTDQFFACKTIQTNFLIDSTDRECLNKEAKILQLLTGKSNILQMYKVYEDENYVHIITELCSGGDLYERKSAALILIGLVSAIGHCHKLGVAHCDIKPDNVLFDSQSGGMQQKMMEGVVGTPYYVAPEVLLGRKYNEKLDIWSAGFILYIMLSGVPPFYGDTPTETFEAVLRGNLRFPTRIFRSISTEARIF >Solyc02g066950.3.1 pep chromosome:SL3.0:2:37766883:37770061:1 gene:Solyc02g066950.3 transcript:Solyc02g066950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTLPDALFGSVQQHTIISSRRHHDPIRFVAVKSHSTIYRRRSVGKRVLFADACLCKPRHVVFSSMDYSTEALTDDEDGDSLGRSKVVGIDDDELLATRKSLSDVQARNKTIEKERDQLLEKVARSEAKQKEYLSTVMHDKDLAISELESAKALFNRKLEESLEEKFNLESKLVLAKQDAVELAVQVEKLAEIAFQQATSHILEDAQLRVSAAEASAAEAAFQIEEQIRTASDGAITYVLQQSKDAIEKALAVAESSGEHTTNAMAAFVDNMDRDDEIVTVQSQNIKLSNTLNDLESQLLVNRNEIDRVKLELKQARKEAKVYELRANDVEKLLLEFQESSRKAALQQEEEIKSSLEKMRKDATEKKKAASKAFKLELERMKTAIEAAKETARSQDEAYTRRCEALQRSLKAAEAASKTWRQRAEMAEDLLLRRSCSEEGDEEAIYRVNGGRIDFLMDDDSLKWKLLTDGPRRPTPEWMARRIRSIRPRFPPRKTHVSEVMTSGFKTLDLPKPDEVWSIAQEKLKEGDALVEHVIEKEVIEKKRKALERALQRKTVKWQRTPEETKLGAAIHVG >Solyc03g071690.3.1 pep chromosome:SL3.0:3:20456170:20458053:-1 gene:Solyc03g071690.3 transcript:Solyc03g071690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTDKQEALVRDSWEFMKQDIPQLSLRFFSLILEIAPVAKNMFSFLKDSDELPENNPKLRAHAVKVFKMKTCESAIQLREKGEVVVGETTLKYLGSIHLQKRVADPHFEVVKEALLRTVKEATGNKWKDEMKEAWSEAYDQLASAIKAEMHAEAAA >Solyc08g062370.3.1 pep chromosome:SL3.0:8:51025787:51026676:-1 gene:Solyc08g062370.3 transcript:Solyc08g062370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELSKKIFNIMGEALGLKGEDLCEVFDGGEQAMAMYYYPKCPQEDVVMGLNPHTDLAGLTILSQLNEVEGLHIKKDGAWIPITPLPNAFVINVGDMLEIVTNEIYKGVEHRPVLNPNKERLSIATFFKPKRDYYLSPASSLITPQNPVKFKQIGTVADYFKGYFSHKLVGKSYLDTMRLNSNDEENTD >Solyc07g040960.1.1.1 pep chromosome:SL3.0:7:51347787:51349286:1 gene:Solyc07g040960.1 transcript:Solyc07g040960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSFLFPNQEDYPSPNFFSFFQDFDFPTTDTLAPIVAEPLPKKQRVDDFDFDLEQVVEQQGSLKSVEDILNKFLGFDKEEDKTNLKQFTNQNQSVFDFSNQQPTGLIMINEKVKPMASTNNKRSRQGSAEFISTSEEESQPQQQRRLWVKDRSKAWWEQCNSPDFPEEEFKKAFRVSRATFDMICEELESVVTKKDTMLRQAIPVRQRVAVCIWRLATGEPLREVSKRFGLGISTCHKLVLEVCTAIKGVLMPKFVQWPNEDYKMNEIKSEFQMLSGMPNVGGSIYTTHVPIIAPKVSVAAYFNKRHTERNQKTSYSVTVQGVVDPKGVFTDVCIGWPGSMSDDKVLEKSALYQRANRGQLKDTWVVGNSGYPLMDWVLAPYTRQNLTWTQHAFNEKVGEVQKVAKEAFMRMKARWSCLRKRTEVKLQDLPVVLGACCVLHNICEMRGEQLNPGLRFDLFDDEMVPENIVRSMNAVQARDQIAHQLLHQNHAGTNFL >Solyc02g030370.1.1 pep chromosome:SL3.0:2:26060303:26060940:-1 gene:Solyc02g030370.1 transcript:Solyc02g030370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDPNTPDNFSRATVINTPNKTLHSEILVTLSSPRHNIMGYINSSVQVPDDVTNVQKRKDKLNLHAILASISETIVPFIASSKTSNYAWTITQKAYANKSRTRIMSLKERISVIKRGEQIVSKYLQQICTTAHNFYLVGSPILQDDLILYILDGVFPDYKEIIVSIKAHDTCISFEDLHAWQTYIL >Solyc11g067260.2.1 pep chromosome:SL3.0:11:53293763:53295742:1 gene:Solyc11g067260.2 transcript:Solyc11g067260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARIAVATTVGFLGWAYLALLKPPSPKVCGSPDGPPVTSRRVKLNDGRCVAYKEGGVAKEKAKHKIIIIHGFDSSKDLMLPISQDLIQELEIYVLQYDRAGYGESDPHPKRSVKSEAFDVEELADKLQLGPKFYVVGLSMGAYSAWSCLKYIPNRLAGVALVVPFVNYWWPCYPAKLSKQALRKMLPQDQRTFRIAHYAPWLVHWWMNQKWFHALSMLEGNMAIFCPPDLEMLKQLSSAPSPGQEKVRQQGEFECLYRDLIVGFGNWDFFPTDITNPFPDNEGSVHLWQGHDDRIIPRELNRYLAEKLPWIQYHEVPNAGHLLMHNASYCETILRKLCTS >Solyc08g076450.3.1 pep chromosome:SL3.0:8:60576256:60581255:-1 gene:Solyc08g076450.3 transcript:Solyc08g076450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSNIGAICKVTSAPSLSSTSSRALFPTTLRSYSHSQVSRSRSCRLCIKSSYAEAVRGDPNSDAIDVVADVKTERIVVIGGSGFVGSAICKAAVSEGIEVISLSRSGRPSKSDSWVDQVTWITGDVFYANWDEVLIGATAVVSTLGGFGSDEQMLRINGEANVVAVNAAKEYGTPKFILISVHDYNLPSFLQSSYFIGKRKAESEVLSKFPSSGVVLRPAFIYGKRKVDGFEIPLDLIGEPLEKLLRATESFTKPLNSLPASDLILAPPVSVDDVAYAVINAVKDDDCFGIFTINQIKEAAAGVKV >Solyc11g050936.1.1 pep chromosome:SL3.0:11:17026698:17026990:-1 gene:Solyc11g050936.1 transcript:Solyc11g050936.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTSDHEPSSFSEVVKHERWRQEMQIQIEPLEQNKTWVIEKTTSWNERTRVQVDYKTSPHNPKIQDLFE >Solyc03g112550.3.1 pep chromosome:SL3.0:3:64420618:64427390:-1 gene:Solyc03g112550.3 transcript:Solyc03g112550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKISVGEITRNKMEELRLVCDREIPIQQQRIDAATRSFKKCLDSTKTQAQETLQLQSKLGKLKVELRELEDKLVKALAAKTRKEAKQIAIADSISSTKYTVEELRGVVENQRARKDEYAAIISQQADELKACEEKHNQTAEQREEIEEAIVWYNKVLGLRIECGHGVKFVFTNIDANNQDKEYFFTIRHENDVYTLIECDPQLNDAKELLRELNRSNGLFKFVRTMREKFQAAVTHGTFPDMASRDQDTFMISVSAPVSSISTDSRSEFLSQQEHQSDEHNRNLKKVDRAKGSRAALLSPGSASSLRRSPRFKVKR >Solyc06g068510.1.1.1 pep chromosome:SL3.0:6:42579903:42581183:-1 gene:Solyc06g068510.1 transcript:Solyc06g068510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKIEVEVVKNVNEFGDGVSWYRGAMLGKGSFGYVYLANLKNPKSKNRYLPSVMAVKSAEVSVSGSIQKEREVLSNIKGCPYVIRCFGDETTNGNNGVMAYNLLLEYGSGGTLAQRINKLGNGNRGLAEFEVRLYTRSMLRGLNHIHAIGYVHCDMKPENVLLVPSSSKGSVEFRAKIGDLGLAKRENQSKKRRLEPYWRGTPMYLSPEAVADNVQESPADIWALGCIVLEMLTGKPPWDRKDAEDVLKKIGEGHELPTIPGDLSKEAKDFLKGCFVRKPTYRWTAEMLLIHPFVEGLSDDDDGVEDRQEVEDINEVDSMQLVTEPEDEVSYSQEDWSCISEEESVGYWSEDDDPEITEDEIASCFAEERMSIRSSSIDSGFNSMIDTSKQVASRNLSNNSSKCPLKFTVPAGVLALGGRREI >Solyc01g057856.1.1 pep chromosome:SL3.0:1:64117465:64118424:1 gene:Solyc01g057856.1 transcript:Solyc01g057856.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPVPIRILDHMKVTTDSQFEFDDQFMPSLNSIKSSIAPHSTLIKGYTEQLSTTIAGCIITKADQATIDVQLGTNSENLMIDQPISNNEQTPLPTRRNRRPGPYNTSPYLTNCGSSAVFRKHDQDHYKKNLAEIPVAINLGVLIVDNKNWFYNLYFKGQLLNNSVRVV >Solyc11g030860.2.1 pep chromosome:SL3.0:11:23569902:23570889:-1 gene:Solyc11g030860.2 transcript:Solyc11g030860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLERNSVDDVVDEETLFRSRWVLSDGIIFSQRVLYPFDSNNTWFGTFNQEHPLRYLRLKRPKFFYSFDSKSATNRWPLSVIYTLIEMIWGSSLASSIVNSYLCHNTFLVSPPMVKKTIEVLLRSTPFVDYALLGDDILITDKKVAN >Solyc08g008120.3.1 pep chromosome:SL3.0:8:2583411:2588667:-1 gene:Solyc08g008120.3 transcript:Solyc08g008120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMFGEWPSIDPHNFSQLRPSDPSTPSRMTPVTYRPTHDRTLPPPNQVISSEAKSILLRHLEQRAEEKLRPKRAAAENLAPEHGSKHLKVSN >Solyc09g014500.3.1 pep chromosome:SL3.0:9:6131864:6136543:-1 gene:Solyc09g014500.3 transcript:Solyc09g014500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4CRS7] MGRLFVITLEGNFYSCKYCKTHLVLADHIISKAFQCKHGRAYLFDKVVNVTLGEKEERMMITGMHTVVDIFCVGCGSIVGWKYEAAQERDQKYKEGKFILERFKILGPDGSNYSILEDAQLGGSDTDEP >Solyc01g058230.1.1.1 pep chromosome:SL3.0:1:65702347:65702559:-1 gene:Solyc01g058230.1 transcript:Solyc01g058230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRVDLISVRLLNEAFMKLSRASGLQANTNKSSLYMAEVADHIKQEFLDELDYIEGNCPLRGSLSIKES >Solyc10g049780.1.1 pep chromosome:SL3.0:10:46742817:46744137:1 gene:Solyc10g049780.1 transcript:Solyc10g049780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVFEDEWSGMCSTDQEADFMAQLLWQPNNIDNMYFSSYNGCNSSQISFPSLESYYQSHVQSILTRNGSSITKENGMVEGENTSSPSQVLATYNPIEADDFLNQDVSMESGENTAKVLDSPPESSKKKRLCNLLGDVPKNKRSVNLKKASEMDGKNKAALQRQNSISCCSEDESINVPKSRASRGSATDSQSLYARKRREKINQRLRILQSLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDIGLDLKIGTPKS >Solyc10g050250.1.1.1 pep chromosome:SL3.0:10:48203122:48203544:1 gene:Solyc10g050250.1 transcript:Solyc10g050250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHEEEERENDKNVDHHVTDAEDGDVVGVINPMLLFEQYYNNDYSTTELDQVRVCEATFSKYYVQNSSTMENRETTLEVEESDEVDDIRSEDMSRIMEDILDVDIPDGVDDIRSEEDMYRMIEDIIVDIPDDWLEDSLI >Solyc07g043500.1.1.1 pep chromosome:SL3.0:7:57465035:57466360:1 gene:Solyc07g043500.1 transcript:Solyc07g043500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEK8] MGNMRIVMLPYLAYGHITPFLELAKKLSNRGFSIHICSSPINLSFIKAKIPEKYSSSIHLVELHLPNLPELPPHHHTTNGLPNHLKQTLFKTLKMTKPQLHQILSDLKPDFFIYDIMLLWSAVVASSLNIPSLRFYTVNAAIFSYFFHFYFNPGEEFPFPALYMRDYELAKMTHEVADDAEVEVDRDKVTESDKFVLVHSTKSIDGKYMDYLCGTGQAKVVPIGTESPEDGVGDVDKIDIELVKWLEKKTEHSTVYVSFGSEYFLSKEEMEEVAYGLEVSGVDFIWVVRYQKGEQLELPQGFKERIGDRGRIIEGWAPQQRILKHSSIGGFVTHCGWNSTLESIEFGVPIIAMPMLYDQPLNARLMVENGVAVEVPRDEKGNLDRVNIAEKIKHVIRDETGENLRKKMNNLGENVRSQREEEMDGVVKVIQLLIDEKKGTL >Solyc02g071593.1.1 pep chromosome:SL3.0:2:41559420:41563480:-1 gene:Solyc02g071593.1 transcript:Solyc02g071593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRIVLLIFLHYAHFMPSLSKGRHTEGQKGKQIHPHGFSFSTFEQTMSMNDTTCTYTYVEDKRETTWLTKKNYLYKLERTNVALVTSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGAILVNPWNITELASSIGYALTMSANEREKRHQHNFLHVTTHTSQEWAETFVSELNDTVVEAQLRTRHIPPQLPSEVAIERYLQSNNRLLILYFGVNSKGLTTRKFLQYDTAAKFYILSKENS >Solyc09g010200.3.1 pep chromosome:SL3.0:9:3587964:3589709:-1 gene:Solyc09g010200.3 transcript:Solyc09g010200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4CR48] MDKSESTKIEMGESSKLERKLGKAPLLGSPSNAISSSDPKVATNKKVGNYKKGISIFDLILRIASVATSIGAAVGMATAGETLPFFTQFFQFEAGYDDLPTFTFFVIAMSIVVAYLVLSIPFSIVCIARSYVVVPRLLLIIFDTAMITLTTSAAGASAAIVYLAHNGNEDANWLAFCNQFGDFCQTTSAAVVAAFITIVILLILIVLSAIALKRH >Solyc10g008910.1.1.1 pep chromosome:SL3.0:10:2925230:2925640:1 gene:Solyc10g008910.1 transcript:Solyc10g008910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4AY75] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc12g099810.2.1 pep chromosome:SL3.0:12:67779674:67788669:-1 gene:Solyc12g099810.2 transcript:Solyc12g099810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVCTRRIITGELSSPIARYHIPVTKLPAVRSPDYLSSTSVRHFISNTRRPNSIVSRSRRTKFYVIAVAESTGVFRRGGRDGGENGEWRTRRRCAVGDQVVEINENRKSSNRLAEVAVAATATVVLGVGNRVLYKLALVPLKNYPFFLAQLATFGYVLIYFSILYVRYHTGKVTDEMLSLPKIPYVAVGLLEALAAASGMAAGAILSGATIPVLSQSFLVWQLLLSFIFLGRRYSLNQLFGCFLVSVGVIVTVASGSSAGSLMEGGVFWSLLMIVSFLLQAADTVLKEVIFLDAAKRLKGGTVDLFVVNSFGSAYQAVFICLLLPFLSKLWGVPFTQLPNYLRDGAACFLNIGTLSGGCAGAPLLPLLFIIVNMGYNISLLHLLKISSAVLSCLASTVSVPISVFLFTLPLPYLGVASSLPPGFISGAIILVIGMLVYTWRPSSHLKSQEVDCHC >Solyc02g032930.3.1 pep chromosome:SL3.0:2:29943395:29962910:1 gene:Solyc02g032930.3 transcript:Solyc02g032930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVVQYKLERMLPELDDLEKRCLFSRQEIAEIVKQRRKFEYRLKRPSPLKQDFVAYIDYEKSLDSLRLLRKKAQMKTTGDRKLKKSVSDYAGVSRIIEIYRLATTRFKGDIELWFQYLEFCRERRNGRMKKALAQVIRFHPKVPGVWIYAAAWEFDNNLNAAAARALMHSGLRACPTSEDLWVEYLRMELTYLNKLKARKVVLGEDEGTLARSGNSAKEEQWRDENKELFIVLDDKREEDKLSNLHDGDSKEKLDLFRKQGLSVLQTVYGGSIKALPSSFSLRTKFLDILEATDLGHSEDMRNEILADMKRDFSKEPKYWDWLARQEVIDLNNPETTEAMTVDQLSSAIQVYEEGLKIVPSASMFDCYAKFLTDVIHFKNKGSQSSELFSTASHAMDPISHLLVVYEKAETMGCITEDLACQHVSFLLQLGKVDEAKTLAEKLCSGKFSEAVQLWTLRFSIEMRFIQKNCTPNKAALSSIFEPMRNALLKLPISEAETIWLMALKYFSTHKKFFDKLVETSISLLAKDGGSDDGFSLSATIVNFVLQRDGLGSARELYKRFLALPHPGLSLYRNCIELELNLASSGDKISLGNVRKLFETSLTTYDQDVRLWQDYYNMEVKLLFTLIFAEMALIIIFVFKTPLRKLVIMGLDRVKRGRGPIIVKTVAGTVFVVMMSTIYSVASIHTRWVDEGGDITPTDQILLAQHLLEASLMEWSGGDPAFTVNFANLCMFSSPVASGYRPVSVH >Solyc03g033300.2.1 pep chromosome:SL3.0:3:4907201:4909722:1 gene:Solyc03g033300.2 transcript:Solyc03g033300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPQGIQVDESSPEWLSKGDNTWELIAATMVGLQSVPGLVILYGSMVKKKWAVNSAFMGLYAFASVLICWVLWAHRMAFGTHLMPIIGKPEESMAQNYLLSKYSHYNIPTADYVFYQFAFAAITVILLGGSLLGRMNFYAWMIFVPLWVTFSYTFGAYSIWGSGFLQPIIDFSGGYVIHLSSGVAGFTAAYWVGPRHSHDRQHFPPNNIIHMLGGAGFLWMGWTGFNGGSPLASNLITSLAILNTHICTATSLLVWLSMDMIFYNKSSVIGAVQGMITGLVCITPGAGIVESWAAILMGISSGSIPWFTMMVLHKKSSFFQKVDDTLGVFHTHAVAGLLGGILSGLFAKPKLLRMFYGSDKYGPGFLYSIIDGDMKRGVRQMVYQLVGALFITIWNVVATSLICIFISKIVELRLHEDDLEIGDDAVHGEEAYALWGDGERDTPRFNRTPKIPYFCRQIQNL >Solyc04g077000.3.1 pep chromosome:SL3.0:4:62039401:62042218:1 gene:Solyc04g077000.3 transcript:Solyc04g077000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BUD7] MDFPDNRFSGLIPATFSAVVNSSCPLLGFIGRLSPVTGAASAAAIIIVTVALPGLLYLKNNKNKIPDERHNNRGESTHAPTFDPLLNEFVEGRISEIDVYFDAEYAEELQIHEALVASLYTGQSSNHASVSAQGLLSETEMLNLEKEGEDQLNNFCEICLDDKEGWEMFKNDTCSHSFCYECTGKHIMARISEKAKVIGCPAVSCGAAFDVNACRFLIPEDARIQWDESVCQSMILDSQKLYCPFRDCSALLINDSGATIERNRCPLCKRSFCAVCRVPWHSEFTCKEFQKLNAKKGGKGEEMVKILAKKKNWQKCPNCKVYVEKTEGCIHMTCRCEHEFCYRCGAKWTLSHHCRKK >Solyc04g080090.3.1 pep chromosome:SL3.0:4:64453933:64458804:-1 gene:Solyc04g080090.3 transcript:Solyc04g080090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIINDTTNIKGFPNFLNFFKFGRQSQKFPLVIMVLSRDGPRAWILGGALCQGIRKSISGQERGLQLVEIFSEERLLFGKSFCSVPSAGLSDLHVFVRPGAFAAAPTNLNIVNQRKNISGISAIPRTFGLKGFHSSSAACISAGAAPDVSFDNSLREVQRASSANSSEQKIHIDRSLKLDSGSSYLPHPDKEEKVMAIREEPKGSVDPARVLEKAYTLTRAKGSSTACIIALTDQHRNVIKRMVVDWSYLGLHAINLGDSGFMVIRDGCTVLKSPVQQHDFNFTYQLESGGTGGDSPTSGEVFKIPVAPGDVIIAGTDGLFDNLYTSDITAVVVHARRAHLPPQVVAQKIAALARERALDKNRQSPFSAAAQDAGIRFYGGKLDDVTVVVSYITSDKNENSNSLKI >Solyc02g080670.3.1 pep chromosome:SL3.0:2:45400947:45404922:1 gene:Solyc02g080670.3 transcript:Solyc02g080670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKSLNVHCSFIFTIILVLVTTLQCIYADNPYRYYTWKITYGDIYPLGVKQQGILINGQFPGPQIDCVTNDNLIISVYNYLSEPFLISWNGIQQRRNSWQDGVYGTTCPILPGKNFTYTLQAKDQIGSYFYFPSLAMHKAAGGYGAIRVYSRPRIPVPFAPPAHDFTVLAGDLYKRSHRQLKYILDSGHDLPLPDGLIINGRGWNGYTFTVDQGKTYRFRISNVGIATSINFRIQGHTMKLVEVEGSHTLQTTYTSLDIHLGQSYSVLVTANQPPKDYYIVVSSRFTTRVLTTTAVLHYSNSWTKVSGPPPGGPTTQINWSLYQARSIRWNLTASGPRPNPQGSYHYGLIKPSRTIMLANSAPYINGKQRYAVNSVSYTDPDTPLKLADHFNIGGVFNLGSISDQPYNGNAYIGTPVMAANFRSYVEIIFQNWENTVQSWHIDGYSFFVVGMDGGQWTPANRAHYNLRDTIARCTVQVYPKSWTAIYMALDNVGMWNIRSENWSRRYLGQQFYLRVYSPANSWRDELPIPKNAILCGKARGHRTRPL >Solyc12g009770.1.1 pep chromosome:SL3.0:12:2986063:2989407:1 gene:Solyc12g009770.1 transcript:Solyc12g009770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNYFCDGTCFPKTKSWNESRDCCSWDGVTCDLLNGHVIGLDLSCSQIVGTFHPNSSLFQLHHLQTLNLAYNDFYPSSIPQNISQLRNLRHLNLSDAWFQGKIPTEISYLSNLVSLDLSNSYHRLQLDERTFETMLHNLTNLELLALSLGNISSPIHPNSSLFQLHHLHTLNLYNDYFPPFSIPNGIGRLRNLRHLILLGFDGKIPTEISYLSNLVSLDLSNSYALELDERTFETMLQNFTNLELLALPLGSISSPIHPNSSLFQLHHLHTLNLACNYFPPFSIPNGIGRLTNLRHLDLDNTNLRGVLTESFFLVPNSLETLKLSGNNLLKGVFPKVHRSNTLLMELDISYTGISGELPDSIGNFSSLNILNLYGCQFSGSIPDSIGNLTLITKLYLSNNHFTGNIPDVFSNLQDLYYLELSKNSFIGLFPVSILSLTCLKYLYMSNNSLSGPLPNNVSILQELVSVDLSFNSLNGTIPSWVFSLPMIYSVSLQHNQFRGIADEVIKINPTLYELHLSNNQLSGSFPQSLVNLTNLVTLGISSNNITIDEGMNITFLSLSSLFLSSCQLKHFPHFLRNINTLVYLDISNNKICGEIPNWFSGMWWNSLQFLNLSHNSLTGHLPQFHYYSLEYLDLKFNSLQGPLPSSICNMSKLILLDLSHNYFSDSVPHCLGSLDLLAALDLRRNNLTGNLPPLCAQSTSLSTIVVNGNRFEGPVPVSLLKCNGLEVLDVGNNAINDTFPAWLGILQELQVLILKSNKFHGPISMCQTEFCFPKLRIFDLSRNDFSGSLPAKVFGNFKAMIKLDGEDRGNIKYMTSLLNSPFVTSYENSVSLVIKGQDFELQRISTITTTIDLSSNHFEGVIPKTLKDLRSLWLLNLSHNNLIGHIPMELGQLNMLEALDLSWNRLTGKIPQELTRLNFLAILNLSQNHLMGPIPQGRQFNTFENDSYGGNLDLCGVPLTNKCGTSDSSHVPQPEDKDESYFFSGFTWESVVIGYSFGLVVGTVMWSLMFKYRKPKWFVEFFDGLMPHKRRRPKKRAQRR >Solyc03g111250.3.1 pep chromosome:SL3.0:3:63347741:63352126:1 gene:Solyc03g111250.3 transcript:Solyc03g111250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNNPVKNVSLGTSVPIQIPQSMPINHNQPAVRHFSQSPAQRGSHFPGHFQLSESRSHAPFQGQAQAFSHFITSGVNTNAGVLSPAASTPNTASGGARKVLHRPPSRTGGSSNHGQATASPLKTMELTPAVRRKKQKVSDRFIPDKVAASLPESALYSQLLEFEGRVDALLSRKKIDMLESLKSPPRFQRTLRIYVFNTFANQTPANPDSDSAEPASWSLKIIGRILGGGSGSQASEREQKLGVACPKFSSFFKKVTVYLDQSLYPENHVILWDSSRSPALHEGFEIKRKGDKELTAIIRLEMNYMPEKFKLSPALQEVLGIEVETRAKVLAALWYYIKTKKLQICHDTSSFTCDPPLRKIFGEEKLKFSLVSQKIHPHLTAPQPIHLEHRIKLSGSSPAGNTCYDVLVDVPFTLQKEFSTFLSDLDKNKEIDAYDEAISTALKQIHEHQRRRAFFLGFSQSPTDFVNALVASQARDLKLVSDDSTRDSEMERRSEFYNQSWTEDAVVRYLNRNHASGADHSARK >Solyc03g095317.1.1 pep chromosome:SL3.0:3:57815643:57820009:1 gene:Solyc03g095317.1 transcript:Solyc03g095317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKVGLSVFNKNWTIHGLYWGSYKIHQPNVLGDSLKELLAWLSKGLITVNISHTFSLTESNVIAGWRRLAENVEEFINEKSSTKGVAITPRVKLNKPFIENENYKEAITGSKWNQLTEDKPLIDEEHIPMGDWRRKGQNLNLEWWSPMIGRFEWFWIQSIEPFIAVMEF >Solyc08g083155.1.1.1 pep chromosome:SL3.0:8:65818754:65819044:-1 gene:Solyc08g083155.1 transcript:Solyc08g083155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPFGWGSQICLGMNVSMIQGKLVLAKILQNYSFELSPSYAHGPTMPALVLQPQYGAPMIVPFGQPSVANPTLMETTTQIMRIMRVISCKASQKT >Solyc04g053100.2.1 pep chromosome:SL3.0:4:51557217:51558918:1 gene:Solyc04g053100.2 transcript:Solyc04g053100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICVHSATGGIRNVALQESAMGAGIVTYEGLFFIISLSGSVMLSKSSRTCDLSVMLSRSDHIVLGGCVAGKLIAATPVQVVLSSFIPEKEKRESKGDDDAPKDIRIVDPPPP >Solyc01g087425.1.1 pep chromosome:SL3.0:1:82262405:82265324:1 gene:Solyc01g087425.1 transcript:Solyc01g087425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHIYTTKVSIYSSIPITQSNPGNLLPRPTMSSALVEHLSNVLPIDVRKGKLKYLLGIEVAQYGDGIVITQKYALDISKMMIGWDLLLTSSPLQ >Solyc03g115365.1.1 pep chromosome:SL3.0:3:66576900:66577592:1 gene:Solyc03g115365.1 transcript:Solyc03g115365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAFLLVHELLSTYNFSLDLLENDTACCIVFIANDSRGYLVEALEVIRPKFRTNAYELCSGGLLT >Solyc05g005130.2.1 pep chromosome:SL3.0:5:119330:122898:-1 gene:Solyc05g005130.2 transcript:Solyc05g005130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSTIDSSDSPMMDLVLMGFHEYILDNLQLKNETSLTFTNTNEVKKFYYGLLLLVTYLFDPLVQCSGFGTIEIEAAGDSNRNRRVSLVLQYLTVALKLIEPEETLMDMLTKATLKAPILDMVESAHEELIFLRAFLMDVLRQQMKLNKYHHLLRNVEETANKLAQLSRFRNERFMDENSTWEVCLILSDFLYDIKFIKVEIRKVCIQVLDASLMTLPDIKGLLNFLSNRLDTVLDCDAGSIAFLMNRSRVLKEKLMYLGHFLADVVQHYNMHQGLKDLMKHVLDTKYVCLLSVGDYKSAWYYMLSLSDMKQLLKFVETEVKTIIPKAPESSSYSFPKTNGLGFLQFFLGNLEELLCSKLDSVIDWKDQIGSVKEGILCLRDHFAENFDELDEVYGFILKVTEMAYKAEFVIDSCLVSSHPLWYKSHRMSEVLKNIKLLNKAVTETYERKEIDVIVNKVAKTSMNPVASLLAYTPRENEEMEGFHEAMDKIKRQLLRRSSQLDIISIVGMPGIGKTFLAEKIYNNLIVTPHFDVHAKCRVTQLYSWKELLLTILNDVLDPADRTGKEDGELATELRQVLLTKRFLILVDDVWDKTVWDHLYMCFRDAKNGSRIILTTRLSDIANYAKCKSEPHHLRLFRDDESWTLLQEEVFQGESCPTELVDVGFRIAKSCGGLPLSIVLVGGVLKEKEKKEDLWKEVEESLGSKNIGSLQKSMSLIGFSYKNLPHHLKPCFLYFGGFFKGKDIHVSKLTRLWQAEGFVQANKEKRTDTAHDFLEDLISRNLVMVMKERPNDKVKSCRIHDLLHNFCLEKSKQENFLNQMNRGEDMLPEKPQDYRLFIHSYQDEIDSWRPCGSNVRSLQFKVADPDSLLWPRDISFIFDSFKLVKVLDLESFNIGGTFPSEVESLIHLRYFAVQTYANSIPSFIAKLRNLETFVVRGLGGEVIIPSSILKMVKLRHLLVKNRASFSLQGNMGESFSNSQLDYLETFSTPRLSYGQDAEMILTKMPNLRKLNCIFLETFSYLEKLKGRCVRFPTLEFLSHLESLKLVSNCYPSKLPHVFNFPSKLRELTLSKFRLPWYEISIVGELPNLEILRLLSRAFEGNEWEVNDSEFRVLKYLNLDNINISQWSLSNDAFPKLEHLVLTKP >Solyc02g069570.3.1 pep chromosome:SL3.0:2:39983417:39994144:1 gene:Solyc02g069570.3 transcript:Solyc02g069570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIILTEALFGASLCTDFNGVFGSNYLRCPCFSSGFSISETPTLEISLGKKKVKKQKRNAGSIVVSCGLDNVINREELEFKPSFDEYLKAMESVKEKKQRDSVRRKKSEEKGKFVKSEKEKCVESSDFVELNEKYEGVGVVKESGGGGGKLEFRMVKNQKRQSISKDKNVDEMVSMEREAFKTMDGDVYDKPRVTKAEMEERIQKLAKCLNGADIDMPEWMFSQMMRSAQIKFSDHSILRIIQILGRLGNWRRVLQVIEWLRSRERFKSHKLRYIYTAALDALGKANRPVEALNLFNAMQEHITSYPDLVAYRCIAVTLGQAGHMKELFDVIDTMRSPPKKKFKTNIIEKFDPRLEPDVVVYNSVLNACVRRKSWEGAFWVLQQLKLRNEQPSMTTYGLVMEVMFECGKYNLVHDFFKKMQKSCVPNALTYKVIVSTLWKEGKTDEALLAVEDMERRGIVGTASLYYDLARCLCSAGRCEEALMQMGKICKVATKPLVVTYTGLIQACLDSGDIQSGAYIFNHMHQFCSPNLITYNIMLKGYLDSGMFEEAKQMFFKLLDNGNSISSKLDGKDKVFPDVYTFNLMLDACAAGKKWDDLKFVYSHMLKYGYHFNAKRHIQMVLDSCRDGKVELLEATWKDLARADRVPPVPLVKEMFRMQLERGNIAAALTCVTDYPPAESQAFSAKFWMKFFEENSDQLSDGTLFRLLQEVSRIVARNDSKILNNLMASCKEFLRTQSTKVDRVHSETALVC >Solyc10g008880.3.1 pep chromosome:SL3.0:10:2909782:2913777:-1 gene:Solyc10g008880.3 transcript:Solyc10g008880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSKRGACKSASHQLFKDRAKNRVDDLQGVLTNLQSARKESRTYDVGLLEEQVHQMLREWKSELNEPSPASSLQGGSRVSSSADIYRLLLGEEEDDATSALAAPKPEPDAQKVSITGFQEGFNVTQVLQEQGFQLVDQCKGLPLMVNNTGVNNLGIATQLDYHSFDLHREYDQQYLPGFDALNLCLEDAMPPIHISPPPSAFLGPKCALWDCPRPAMGSDWCQKSQDYCSDYHASLAPNEGYPGRPPVVRPMGIGLKDNLLFQALGAKAHGKEVGVPECEGAATAKSPWNAPELFDLKVVEGEVIREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQMMNEFGGLKRSYYMDPQPMKNLEWHLYEYEINKYDACALYRLELKLVDGKKIPKGKISNVSVADLQKQMGRLTAEFPLDNKRTVKGRVKANMKNMGNIHAAPVPIVPIGEGFDYGNTDPYDYLLDDLDGYFIT >Solyc03g062650.3.1 pep chromosome:SL3.0:3:33865503:33876118:-1 gene:Solyc03g062650.3 transcript:Solyc03g062650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKWRALQHRHRYTYSAVIFPKSFIEALQQTPSVHFYSELQQFVSLNSTYSQLNHAKKLASSFSELLSNVKADDESISTASRFYLEILLLENSQPLHRTLLSVLVKCNNFHTLIQNCFRQICEEYGENGKRFCVSRAALSMMSTPKLGYLVEIVDECAVLVGLNVVLGLSSVLAEINDWSRPSPVVMEQCQEALSCMYYLLQRFPSKFVNAGSNVLERILVTVLSILKSESFSRDCLVAAGVSFCVALQVCLSPQEIGLFIMGGIFNESSVVCSKLVFKGVLEKIPFKGNLVDELSKFSSLSRLCLVRGILTAVSRTVLNTGFVVSNDSFGSVRDSGDNKKSIKMILYDAILPELCNFCENPIDSHFSFHALTVMQICLQQVKTSMLDKNGSLEVNYDLISEDIGTRLLQIVWNNLEDPLNQTVKQVQLIFDLFLDIQANLHWAEGSDTFNLFTRKVAFDLLRLGPRCKGRYIPLASLTKRLGARTLLSMSPDLLFETIKAYIDDDVCCASTTFLKCFLQCLRDEYWSSDGIENGYNRFRGHCLPPLLSGLASGHSRLRSNLNTYALPVLLELDVDAIFLMLAFIGIRCGLDNGEVFLTELDFRGVSPVLEERVAVLVSLFKVSRFLALLEGDIDWCKDSLLSPEDVKQNLEKKDATVCIKGIEIKVPEKYLVLALTHIDETLRIDAAESLFINPKTASLPSSLELSLMKVAVPLNMRCCSTSFQMKWTSLFRKFFSRVRTALERQVKQGSWQPLARNDTSRNSVAKRTGDMFEDRADELFNFMKWLSCFLFFSCYPSAPYERKIMAMELLLIMLNVWSIVFPSEGTVDAVSPQFSLYPYSKGLLLPESTLLLVGSIVDSWDRLRVSSFRILLHFPSPLPGIHSEDMVSETIVWAKKLVYSPRVRESDAGALTLRLIFRKYVLELGWVVNASSNDVSAQPLSKLPSKENKACKFAPPAIEYLRSLIDWLDAVVQDGEKDLSEACKNSFVHGVLLTLRYTFEELDWDSDAIVCSISEMKGVLEKILELVRRITSVALWVVSADAWYLPDDMDEMGDEALLLEEVPHKMDEADKEQNTTEVQEGRQTEQIVMVGCWLAMKEVSLLLGTIIRKVPLPTSDVSESGSQDVHETVLSNMTSGTMLDLKQLEVIGNHFLEVLLKMKHNGAIDKTRAGFTALCNRLLCSNDARFCKLTESWMEQLMERTIAKGQTVDDLLRRSAGIPAAFIAFFLSEPQGTPKKLLPRALRWLVDVANKYLTDHTEANSFSADTSNGFVETGPATFSIIASDVYDAERISKIRDEGVVPTVHAFNVLKAAFNDTNLATDISGFSAEAMIISIRCFSSPHWEVRNSACLAYTALVRRMIGFLNVHKRASARRAITGIEFFHRYPPLHSFLFNELKIATESLLDGSSEHLRSNLAKVVHPSLCPVLILLSRLKPSPIASEAGDPLDPFLFMPFIRKCSVQSNLRIRVLASRALTGLVSNEKLPLVLLNIASELPGTGERFVNSELSMPSNRVNSSFNSLHGMLSQLSSLLETNCRDLADVSQKDKILAELIRILASRSWIGSPERCPCSIINSCFLKVLDNMLGVARTCQTSKNIYVIWELLWRSSSVCLDLGVVCAPAYFDPTTSNLRKQAACSYFNCVYQTSKEAAEEYLLVPSKGLPGSNLSMISVNEISFSRFKERLIRSFSDTSYEVRIATLKWFLLFLKTPEYSEIKRSCLTSMDLQTTMVKLLTLDNNHKCLNYILKIIYSWSLQEYQNNGEEYYPKFFGDMDIESVLQFWNKVVSLYKVTRQSKTREMLLCCMGVCIKQFAGSLSSSVVSLQDVKVGEVSHHDPSDMSKLSAFYECISYYVDLIEQHSDASEPVNMRRAAAESMIASGLLDQAEVIAPFVYNNQIPDGNLCSYFKHEMVVNIYAHKVLDLWFSCIRLLEDEDESLRKKLALDVQNCFRCKSSERTGAVPSQVEQVIEKSFNHLSSIFGRCLDYLDFLCRRVVDSASHACVISEGDLIKRVFDKEIDNHHEEKLLICQICCYHLEKLPTSGEGGDVRDILQKWRRQFGQKLVLFAKDYVAAQGGLDWIGGVGNHKDAFLPLYANLLAFYSLSNCIFNGKAEDRKSMLREVEEIGEAIQPFLTNPLISNLLLLVVTLHNKMISQDLIKKTTDESAWDAFDPYFLLR >Solyc05g052340.3.1 pep chromosome:SL3.0:5:63446673:63449372:-1 gene:Solyc05g052340.3 transcript:Solyc05g052340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKNIVKSLSSLCFVCILLLFANVASAKTHHHDFVIQATPVKRLCKTHNSITVNGQFPGPTLEVNNGDTLVVNVVNRARYNVTIHWHGVRQMRTGWADGPEFITQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKEGGNYPFPKPKRETPIVLGEWWDTNPIDVVRRATRTGAAPNVSDAYTINGQPGDLYKCSKQDTTIVHIDSGETNLLRVINAGLNQQLFFTVANHKLTVVGADANYVKPFTTSVLMLGPGQTTDVLIKADQNTKQILHGSTCLCKRSRRPF >Solyc10g008245.1.1 pep chromosome:SL3.0:10:2350223:2351555:1 gene:Solyc10g008245.1 transcript:Solyc10g008245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENCLKSKLAPKLERKYVEKNRRNHMKNLCNQLHSMLPTSKDTTMAVPDQIDAAVNYIEKLKMNLEKNKKHLEELKMGLKRAQSLNQTNEPGPITKSPTQIEFHEMGLNMVVVLITSLNNIATFNNIIRLCHEEDVEVVSTNFTLNGNSTLQISHETKMNKSSTTLFDKMKELIYGPSHDGNDVDSQLHLWDYKIEFDALELLPTTSQNPN >Solyc10g012240.3.1 pep chromosome:SL3.0:10:4707113:4711209:1 gene:Solyc10g012240.3 transcript:Solyc10g012240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC4 [Source:UniProtKB/TrEMBL;Acc:K4CYK1] MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVHFHGPAESPYHGGVWKIKVELPDAYPYKSPSIGFINKMYHPNVDEISGSVCLDVINQTWSPMFDLTNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTAYEQRVKEYCQKYAKPEDVGAVPEDKSSDEELSEAEYDSDDEAMAGPVDP >Solyc07g054980.2.1 pep chromosome:SL3.0:7:63262035:63264351:-1 gene:Solyc07g054980.2 transcript:Solyc07g054980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPGYDKNGRKKGTWTPEEDKKLEAYITKYGCWNWRQLPKYAGLARCGKSCRLRWMNHLRPNVKRGNYTKEEDELILNLHAQLGNRWSAIAIHLPGRSDNEIKNHWHTSLKKRANYNSSEGSKKCNNKNSGSNTKRKSSVENRNAISANNNSNMHENIVLESSDWSPKESSSEELSSYQHEQHKVVQQELALEEISSGNFWTEPFEVDSFINRKIDFVAPSIDYYGLMCPPSPYIGHEFISSFDYDHYNYW >Solyc09g056270.2.1 pep chromosome:SL3.0:9:48761431:48763849:1 gene:Solyc09g056270.2 transcript:Solyc09g056270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGDLPSSLPFRRFSSNTSVSLTLHKQNSSSLPPPPPPSSYVLRLSATPSEALVQKIGKSVCHSRAPSNAQFVKGSFGVFAVYREECGIPDKRSSWLTLFDVEVPTTNVP >Solyc04g050920.3.1 pep chromosome:SL3.0:4:48917880:48921570:1 gene:Solyc04g050920.3 transcript:Solyc04g050920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYIPPHKRHTKGSPSPEPTPAPESVLPALRKSLNFKRKENIRLEIRYAHGAVRKWFPVGLTHFSSLVNLQPLSIHQKSNENPLRLVLMQGCNGGTNDEPWRLVAKNVMEDLLLSFQHVKSVMEESNMEEESKPSLVARFGRILFHGISAKSQESLNSNLLKETTLRQMRKSFYTSVPLSYMEYIRNLAVEKLDLQYVEEKELYYVKLSDNMRADSTVTCKCTVVKDQEKIQLHKIELNQVRNMVADMSCPGKSLDLRLMLNTKKIMTGLSDEEINEIKSLIGSAVLDSEVKGGLRWPFGEDSSGSRYAVTGVWHTTAKSYGNSSIRLKLRHADRYDFRSSTGEVAQEVNLKMSGILSQLQEETIDENLVLKMLEDNLKLIWDHCLDDGSSS >Solyc04g064880.3.1 pep chromosome:SL3.0:4:56050829:56052628:1 gene:Solyc04g064880.3 transcript:Solyc04g064880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIIGGGNKFRDYLSDEELKNTKWRNGPPTYDVVDKLFEQERTNVWAEGSVEEKVQRLLKTWEMEIVHKADPNELKTMDPNKFTISVNGTFFSQFLLLFPKGRKRLTSAESAKLGGSYNIFLQTSLPENVRIYNPDDETFESSQNLFRSIFLRGFAIEILHVYSGPPGIVYKFRHWGYMDAPFKGHAPTGQLVELFGIGTFELDKESNKIVKAEMFFDRGELLGGLVKGESNVASTCPFMK >Solyc03g119440.3.1 pep chromosome:SL3.0:3:69535063:69544609:1 gene:Solyc03g119440.3 transcript:Solyc03g119440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSINGFKGMVKKKRASSWDVREVMDCLNQLIADKPFLPVVIPVFLVVWGIEKWIFSLTNWVPLVVAVWAVFQYGSYQRKILAEDLNNKWKQVLLETSPTTPLEQCEWLNKLLIEVWPNYISPRLSLRFSSIVERRMKQRRSKLIEKIELQEFSLGSKPPVLGLRGVRWSTSNDQRIAHLGFDWDTTDISIMLLAKLGKPLMGTARIVVNSIHIKGDLRLVPVLDGRAFLYSFVASPDVRINVAFGSGGSQSLPATELPGVSAWLVKLVNDSLAKRMVEPRRNCFSLPAVNLFKTAVAGVLSVTVMSASKLSRSNLRTSPSRKQHSSSTDGYVENSNDYKDLRTFVEVELEELTRRTEVQPGSCPRWDSKFNMTLHEDAGTIKFNLFECTPGGVKYDYLTSCEVKMRYVADDSTIFWATGADSTAIARRAEFCGKEIEMTVPFEGINSGELTVKLVLKEWQFADGSHSSNGLPMSSQPSLNGTSSFLSRTGRKIYVTIVEGKDLPSKDKYGKLGSGCYVKFQYGKALKRSKTVPHTSNAIWNQKFEFDEIAGGEYLKIKCFIEEMFADENIGSARVNLEGLIEGSPRDVWIPLEKVNSGELRLQIEAVRVEDSEGSKGSTSNGWVELALIEAKDLVGADLRGTSDPYVRVQYGNLKKRTKVMYKTVNPKWHQTLEFPDDGSPLELHVKDHNHLLPTSSIGDCVVEYQRLPPNEMFDKWIPLQNVKKGEIHIQVTRKVPDLEKKSSLDSESSVTKARRQVSNQMKQMMIKFQSLIEDDDLEGLSASLHEMESLHDTQEEFMVQLETEQTLLLNKINELGQEIINSSPCYALSRRPILP >Solyc03g111660.3.1 pep chromosome:SL3.0:3:63784993:63792427:1 gene:Solyc03g111660.3 transcript:Solyc03g111660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENQDPAIRILCRRFQIIRNESGIQWLIGSPFFPRYTVISTFRCIHTTSSNSLSPDFAKESDDIRTLLPKGFEVIGALIVEKDCNFDKIAKEAINAACNLRKSLSSDANLGNLELIGAVVDLNNAKDVRFFVSRDGKMDSLESVSSIVYEDNPEKFVWERGCLLHCALQVKLPLYYQPSKPNDIHEIYMRAADAVASKFRDPQVTCLIEALNETSGAIVLRGSELSTDSSSSFSELKDSDTKALLCSHFSSRSKVITSFSSVEESADKIQVSFLLNKSMNSVKPSAPIAEYYPATQETQLLVIGHKLEVLCYASKDLSLSYGISKLVIPALVDQLHSMRKVNIPDLLKGHPELHPYHFLPPGILHPITVLYELSYGETELKQVETRRSLHLRLGLPFDRPLLRISNAIDVVGKKHSSSLVQKALSGSSFLKDVHLTIPSSGVSGGVASLVQGSYQYYHYLHEGLDDSGWGCAYRSLQTIISWFKLQNYTSIDVPSHREIQQALVEIGDKDPSFIGSREWIGAIELSFVLDKLIGASCKIINVRSGAELPEKCRELALHFENQGTPIMIGGGVLAYTLLGVDYNDASGDCAFLILDPHYTGSDDIKKIVNGGWCGWKKAVDSKGKHFFLHDKFYNLLLPQRPNMV >Solyc06g060310.3.1 pep chromosome:SL3.0:6:38399566:38404046:-1 gene:Solyc06g060310.3 transcript:Solyc06g060310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIATSAALFFPFSFCRSTKTYTRKCFKGGFGVFAVYEEAAGTELSNKKSSWLTIFDVEDPRSKFPQSKGKFLDANQALEVARFDIQYCDWRARQDVLTIMLLHEKVVEVLNPLAREYKSIGTVKKELADLQEALSQAHKEVHISEVRVSAALDKLAYMEALVNDRLLPERTTEESDSPSSSPGTSTVSRDNVKSKQPRRSLNVSGPVQEYSPHLKNFWYPVAFSADIKDNTMIPIECFEEPWVIFRGKDGKPGCVRNTCAHRACPLHLGSVKEGRIQCPYHGWEYSTDGKCEKMPSTKFLNVKIKSLPCFEQEGMIWIWPGNDPPTATLPSLLPPSGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSFVNFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTRECSTHLHQLHVCLPASRQKTRLLYRMSLDFAPILKHMPFMQYVWRHFAEQVLNEDLRLVIGQQDRMLKGANIWNLPVSYDKLGVRYRIWRDAVESGAKQLPFSK >Solyc02g085560.3.1 pep chromosome:SL3.0:2:49024125:49037732:1 gene:Solyc02g085560.3 transcript:Solyc02g085560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFDGPSKVPSRQARFAPKNSKLKPQPKSETLPLKVDSEIPTKKEEFVSQPPLRDHIVKNGEAKVEDDVLLNGETTGNDLAEEQVGMETDSDQDEVVREVDVWLTPSANEFYVLQYPLRSEWRPYGLDERCQDVRLRPSSAEMEVDLAIDFDSKNFDRDSVHAATIKKQIVSTKWVPSSTCADFAVGIFIGDKFHLNPVHAIVQLRPSLQHRKESELKNLTTSNDEKSCENEDVKEKKPVGLSKKQNKLPGNYKDIGEHWLHLKYHGTRSDISARHLQKMAMEGGSPVPFSMIPSDYLNAICPARPTDIDRLRNLRTRLSQKPFEERVRTWLLEGPPIHRFDTLKHLAPDNPVDEILEVLKSCAQLVQGLWVAKSSLVYDTNNGVEVLARNFVLYEFTKSTLIKKSVFGRRPEFLKAATPVLKSLAVERPDLDDWKLKELPDKKFENLYGDVVREQEAIWESMGKQINDIMHGGRNRPTLKNPLNPKANIPALSSSDKPTPTTFLRTSLSEEIRGALPKALQNVFRIHKVCSLLQICELMEKEDPMKAIISPAGISDALRKEIEAVVNQIAVNIHGVYVLKSSPDNPQYDALRKVVIDLFMAEGSNAKLKKASIVEAAKLQLGRDVTTVEFQKVMKELCRSENSAWVLGISDSNPQQ >Solyc03g007110.3.1 pep chromosome:SL3.0:3:1703817:1709176:-1 gene:Solyc03g007110.3 transcript:Solyc03g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSFSLLPIQSLTSNSSNKQSENTNTFLTHKYCKALATSFTGGKLLIRPQNLNSVTPKRRRSTVATVAFSLPITKPEGYEKQPKWSSRAIQAFVMAELEARKIKYPSTGTEALLMGILVEGTSLAAKFLRANGITFFKVSEETLKLLGKSDMYYSNPESPPLTKPARKALDWAVNEKLKSGEDGEITVTHIVLGIWSVKESAGHIIMSTLGFDDEKAKELAKFMDKDSELTYK >Solyc08g083260.3.1 pep chromosome:SL3.0:8:65889270:65894606:-1 gene:Solyc08g083260.3 transcript:Solyc08g083260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHDIRRPFKRPAISDQQRRRELSLLRQSQNRHDAQLQARRLASTVLSLQSDYNSSSQEQQVDLEVTDELESCPEEVEDDYGHHKDAHDLRQATKLRGPDARLWFAKQLMLPEWMIDVPDKLNTDWYVFARPAGKRCFVVSSNGTTISRLRNGVLLHRFPSALPNGARTNNSKAAQSYCILDCIFHESDETYYVIDGVCWAGISLYECTAEFRFFWLNSKLAETGACDVPSAYHKYKFSALPVYNCDKEGLQTAYAGQVPYVKDGLLFYNKHAQYQTGNTPLSLVWKDEHCSQYVIDTDNKGQVQSQQQLVLELLDDGRLATSDDPPVIFGCLLGEFMQKTALHRGDLIKFAIGEGGLVVVDSKLEKADLKYLGKSNRARAFADSYSKVLFQHAARYSPLRIEYLFASISSYVEGGNSTQDAEMAG >Solyc06g016823.1.1 pep chromosome:SL3.0:6:13953738:14026748:1 gene:Solyc06g016823.1 transcript:Solyc06g016823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELVGTGSSMREINNLKTRLSSAFEMKDLGPTKHILGMTISQDRSAGTLNLSQELYIKKVLSRFRVNDAKPRTTPLSPKTSEECDNMALVPYASAVDSLMYVMDCTRPHIAHAMRVISRYMANPGKEHWEAVKWLLRYLRGHPVLHFVLAKSMWLYRVYLEKSSSPKSALLDPNLSCLTLQTTLSSDC >Solyc10g045500.2.1 pep chromosome:SL3.0:10:34099176:34102232:-1 gene:Solyc10g045500.2 transcript:Solyc10g045500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DCVITDTLETQDALVRASDLPLSILIVGVGNADFTQMEILDTDNGHRLESSTGRVVTRDIVQFVPMQEVHSGQISVVEALLEELPGQFLTYMRCRDIRPHNISAPGPSYVV >Solyc01g009760.3.1 pep chromosome:SL3.0:1:4072551:4074951:1 gene:Solyc01g009760.3 transcript:Solyc01g009760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQKLGTTNIMSPPSVPNHYKKFHDQEKRHDFQTQQPYLVYSPVVKPNNNPIDSVSHVFNSWTNKADTMARNVWRNLKTGPSVSEAACGKLKLTAKALTEGGFEPLYKQIFATDPNEQLKKTFACYLSTITGPVAGTLYLSSTKLAFCSDRPLSFRAPSGHEAWSYYKVAIPLANIGSVNPVVMRENPSERYIQIVTIDGHDFWFMGLINFEKAKHHVLETLSHFRGQPYGGY >Solyc01g104185.1.1 pep chromosome:SL3.0:1:92537629:92541025:-1 gene:Solyc01g104185.1 transcript:Solyc01g104185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMLLGHLKEDLQLIILRPTIILSTYKEPFPGWIEGMRTTDTFIVGYGKGKQKVAMGGRDTIIDVIPADMVVNSVIAAMVAHRNPSSRTTVYHISSSRRNQIKIDDFIQNGVDYFKKNPWIDERGKPVKVKQFHLLDSMDSLHKYIAIHYMPSLKILKWANFMLCRHLQVQHTNLQRRINHAIRLAELYKPYVFFKGM >Solyc07g025370.3.1 pep chromosome:SL3.0:7:27566992:27571264:1 gene:Solyc07g025370.3 transcript:Solyc07g025370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRQRGIFMVGNAMMLLVALAIVLILLLKVIYKIIWIPLKVEHELKKQGIDGPGYSPILNNTAKIRRLMITEAESKSSCFTHNHEIVVSRVMPHYYNWSTLYGKNFLYWFGSKPRVAIADPNLIKEILVNTTSFEKIKHNPLSKLLLGDGLVGLDGHKWAIHRRISNHAFNMEIVKGWISEMVDSTTKLLNKWEDITSARDQFEIDVHKEFHKLSADIISRTAFGSSFEEGKHIFELQDQQVSLVLEAIRSVYIPGFRFLPTKKNRLRKRLEKETRDAIRMLLNNCSITANNSKSLLSLLMHPIKNQDDKQEKLDIEEVIDECKTFYFAGKETTANFLTWAFLLLALHQEWQSKARKEVVRACRNGIPTAENIADLKIVSMILNETLRLYPPAVMLMRQASKNVKLGNLDIPVNTQFYLAMTAVHHDKEIWGDDAHEFNPLRFAESRKHLASFFPFGLGPRICVGQNLALVEAKVVLSMIIQQYSFCISPSYVHAPTQAMTLQPQYGAQILFSKIS >Solyc12g095960.2.1 pep chromosome:SL3.0:12:66040055:66046963:-1 gene:Solyc12g095960.2 transcript:Solyc12g095960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAQYGAPDAGNNKRKYDDQAAPSPGPRRPTGFSAPIASLSSPDGAAPPSSYNNVPPPMDDFQLAKQKAQEIAARLLNSAEAKKPRVDNNGGGAGGYDSYEHKPIVTPSVTSSYGYPGQSKKIEIPNGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSQNRAVELMGTPDQIAKAEQLINEVLSEADSGGSGLVSRRLPGQQSGGEQFSLKVPNNKVGLVIGKGGETIKSMQARTGARIQVIPLHLPPGDTSQERTVQIDGSSEQIEAAKQLVYEVISELDVAGARDQFLTQGIIRLSMYWTMVTPTWNHKCWCSLSIVLNRARNQGMSGGYQQGYQARPPTSWAPPGAPMQQSGYGYGQPGAYPSQPSYPGYPPQPASAGYASGWDQSGAQNQQSQAGGYDYYNQQAPQQQQQAAGGSAASTDNSAYGYNQQPASGYSQGQAYAQDGYGGYHVPAPHSGGYQGSGYEQQQGYSSTAGYGNASNPTSDGQNSSYGAQTDGSQAPPPASATAQQGYHSGQQPSPNPSYPSQGSAQAGYGVPPTSQGGYGTQPAGGYAASYGAPQAQKPPTQASYGQPQQSPSAQAGYAQPAPAQPGYPQPAAQAQSGYAQADPNAQRPPSSAYGAPPAQPGYGAQSYGAPPSYGQQPPPYNSAYGAYSQPQAYASEAAPTAQSVPPSGGVAKASPPS >Solyc03g111640.3.1 pep chromosome:SL3.0:3:63752816:63759252:-1 gene:Solyc03g111640.3 transcript:Solyc03g111640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEGFLTEGQREMLKFAPPSVDVLSSSPKSPTLKSPGAAAKSASVLLTEHLVKAPGGGKASTAGIAMRHVRRTHSGKHIRVKKDGAGGKGTWGRWLDTDGESHIDKNDPNYDSGEEPYELVGTAVSDPLDDYKKSVASIIEEYFSTGDVEVATSDLKELGSAEYHPYFIKRLVSMSMDRHDKEKEMASVLLSALYADVINPTQISQGFFMLVESADDLAVDIPDTVDILALFIARAVVDDILPPAFIARARKMLPESSKGIQVLQTAEKSYLSAPHHAELVERRWGGSTHFTVEEVKKRIADLLREYVESGDTAEACRCIRKLEVSFFYHEVVKRALVLAMEMQSAEPLILKLLKEAAEEGLISSSQMVKGFSRMAESIDDLSLDIPSAKMSFQSIVPRAISEGWLDATSLKASGEDGPANGPDDEKVKQYKKQIVNIIHEYFLSDDIPELIRSLEDLVAPEYNPIFLKKLITLAMDRKNKEKEMASVLLSALHIEIFSTEDIVNGFVMLLESAEDTALDILDASNELALFVARAVIDDVLAPLNLEEITSRLPPNCSSGAETVCMAQSLLSARHAGERILRCWGGGTGWAVEDAKDKIQKLLEEFESGGVMSEACQCIRDMGMPFFNHEVVKKALVMAMEKKNDRMLDLLQECFSEGLITINQMTKGFGRIKDGLDDLALDIPNAKDKFMFYVEHAKGNGWVLPSFGSSDAS >Solyc01g097040.3.1 pep chromosome:SL3.0:1:87889592:87894883:1 gene:Solyc01g097040.3 transcript:Solyc01g097040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMGDYGKGKIKGPISITSALTSAFLEWLLMIFLFIDGGFAYLVTKFAQYCQLQVPCLLCSRLDHVLGKERAGFYWELICPNHKYRISSLVLCHNHNNLVDVHGMCESCLFSFATVNKSNAETYRLLVGKLGAEPYLTDEDPLLEEKTKSSSGVRKCYCCKEEFVTGGYAKKLFKITSSCTDTVELDAPLSVTNGQERGDPKEIENEASTSVFVPSPRLEYKKVKVVSDSESEAAHSDSDSASPLIRARDYSIDDLSDRCLHPEPQIFTVTGDFATEKLIHSASVPEPSLLDQEIDLMTRDFSSVTTSDAVVGLGSEEVSWQQPERKTDASVPSDLISFDEVNPLSDVKENIVDLARETSAGETVDQVVEDCGEVSMSKIDEIPKSETELESKPEPTEITLKTDDAFDLGDAYKLAVGNDCGEVSRSKSDEIPKSETELDSKPEPNESSSQADDAFDLGDAYKLAVGNKGRQLSEKFLEQRSFKESTRMSEDLKVLLTQLSAARGTDSILSEMSPRMSVNGEEFRTLEASSSIGMQILHQRISLERNESGLSLEGSTVSEIEGESVSDRLKRQVEYDRKLMAALYRELEEERNASSVAANQAMAMITRLQEEKAALHMEALQCLRMMEEQAEYDSEALQNANDLLAQKEKEIQDFETKLELYKKKLGNMALFEDALEASYDSNKAKQADTMCSDDCSAVHGDVIAHNTTSSSRSGEVLTPLGVDNIDNGSPLLDLESEREQLVLCLNKLEERLRLLSKHEACQDFANVNCEFSTEEWVEVGNPEELDHRESSRSNGKIEENVPPESITDRSPSGEEVSISKFPESLQKGRDGSKYGQCTNGDSELVSLKNELSVLSSRLEELGIEHNFLDQSINSLRNGKDGHRLIEEIAGHLRQLHFVFERSSGELAH >Solyc11g030710.2.1 pep chromosome:SL3.0:11:23167599:23176832:1 gene:Solyc11g030710.2 transcript:Solyc11g030710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISGCGDSSPKFCDFLVFVLSLYIYFFHPFIANVLIISKNPFQFHSKSTKNSEEEKVKKNKSFSCSHIMDLWSVHVKNTNLLIRNSISDKHFVSGHLKNVRVDNWKVFEIRVPKRRAFSGSGYHSLLVKAMGKKNSDNSSSSGKGPEEDNLKGSNPSPENDSSKNTGSPKAHQKPLDWREFRASLYIQELAINAVSDTQKQEGTSFGPEALPLKWAHPISAPENGCVLVATEKLDGVHTFERKVIFLLRSGNRHPQEGPFGVVINRPLGKKIIDMKPTNVDLATTFADCSLHFGGPLEATMFLLKTGAGSGLPRFEEVIPGVCFGARNSLEQASALVNKGVLKSHDFRFFVGYAGWQLDQLREEIESGYWYVASCSANLIFAGSQTSSSESLWVEILQLMGGQYSELSRKPKQDI >Solyc09g074920.3.1 pep chromosome:SL3.0:9:67139688:67144218:-1 gene:Solyc09g074920.3 transcript:Solyc09g074920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKMILTEAFGDSSNSEGEEEEQFLHVHSVENKVNGKALIRSVFGETHNWERISEIDGLWLCKDFLSPDQQSKLLSSIQQEGWFAESSSNQAMRFGDLPGWAVELSRSIHEVILFGSYAAELENCEKGKEACIFPQDLLWREPLFDQLIANMYQPGEGICAHVDLMRFEDGIAIVSLDSSCVMHFSGVENETCTAQDPPHKVPVLLTPGCLILMWGEARYLWKHEINRKPGFQIWQGQEIDQKKRISVTLRKLGRTD >Solyc12g011410.1.1.1 pep chromosome:SL3.0:12:4241800:4241961:1 gene:Solyc12g011410.1 transcript:Solyc12g011410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAPSNTISDDMMNFWYMDNSYGQDHDVYEYGYGTFPLEENDHNSSALTTQA >Solyc03g119960.3.1 pep chromosome:SL3.0:3:69962793:69964765:-1 gene:Solyc03g119960.3 transcript:Solyc03g119960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLSPESVNLTGKSTHFSAECWFDDTCILDMDYFVKTLSGIKAKGVRPELIGSIITHYASKWLPDLSNDEGSDVFTSQKDFEDSPESVTAIWMKKRFFVETLVGILPPEKDSIPCNFLLRLLRVANMVRVEPSYRVDLEKRISWQLDQATLKELLIPSFVHTCGTLLDVELVLRLVKRFMNLDESVRSGSALIKVAKLIDNYLAEAAVDANLTWSEFFGLVDALPAHARSTDDGLYRAIDTYLKTHPGVSKQERKTLCRLIDSRKLSLEASLHAAQNERLPVRAVIQVLLSEQTKVNRHQIDWSGSFVSGARSPNQLGLIEPPARCMSKREMNAQQMEIKRLKEDVLRLQSQCMTMQSQIEKLLEKKRGSGLFGWKKIIVPSLVNKVDKIGEIDRETDVGYVGRQTPGDMKTRLVRGRTPNKWRKSLS >Solyc08g077760.3.1.1 pep chromosome:SL3.0:8:61779494:61780783:-1 gene:Solyc08g077760.3 transcript:Solyc08g077760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTQVSSNFCCVRLRVDQDKYIDIHHFPLSEWSREVVHESKCECTFKVFHALFDRKQGEQIMYFVCVFYPFPSIFFFTILSTSTRN >Solyc08g068360.1.1.1 pep chromosome:SL3.0:8:57518727:57519089:-1 gene:Solyc08g068360.1 transcript:Solyc08g068360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEESQLMREKLEARKDLLQQAKENAVKARQTRNSFRKVMNNGMRRPMHSILSLLSILQDENTSSNQKIIIDTKVRTSTILFDLIDEANLTKTKGDSQIPSRNDAVSVAFTYQRGFLSY >Solyc01g104431.1.1 pep chromosome:SL3.0:1:92706230:92708823:-1 gene:Solyc01g104431.1 transcript:Solyc01g104431.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGIWGYLNADFSSVTYQVSPCQIASFDFLSDMTLKCLNKLDKIVFDKLILVIMVLRNVMVNLKNKVIIPTVYEI >Solyc10g076750.2.1 pep chromosome:SL3.0:10:59795722:59801373:1 gene:Solyc10g076750.2 transcript:Solyc10g076750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4D217] MGRLFVLTLEGRIYKCKHCQTHFALADHIISKSFHCSHGRAYLFDKVVNVTVGAKEDRMMMTGMHTVVDIFCVGCGSIVGWKYEAAHDKTQKYKEGKFILERFKVAGPDGSLYTVSHDDELGSDDEP >Solyc02g086610.3.1 pep chromosome:SL3.0:2:49906388:49911948:1 gene:Solyc02g086610.3 transcript:Solyc02g086610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVRPRLRCSSMAGVASFVSSSSASASSIASKKFYFQVNSNRQLFNNRVSLTTRIPNASIRCFASTSGPTSKILVQNPIVEMDGDEMTRVIWKMIKDKLIYPYLELDTKYYDLGILNRDATDDQVTVESAEATLKYNVAVKCATITPDETRVKEFGLKSMWKSPNGTIRNILNGTVFREPILCTNIPRIVPGWKKPICIGRHAFGDQYRATDAIINGPGKLKMVFVPENGESPTELDVYDFKGPGIALAMYNVDQSIRAFAESSMSMAFSKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKQQFEEHSIWYEHRLIDDMVAYVLKSEGGYVWACKNYDGDVQSDLLAQGFGSLGLMTSVLLSSDGKTLEAEAAHGTVTRHFRLHQKGQETSTNSVASIFAWTRGLGHRAQLDGNQKLLEFVHTLEASCIGTIESGKMTKDLAILAHGPKVSREFYLNTEEFIDAVAQKLQEKLHASAPI >Solyc01g011070.1.1.1 pep chromosome:SL3.0:1:7101036:7101206:-1 gene:Solyc01g011070.1 transcript:Solyc01g011070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSSTAAKLQRDAVARRRLLDELSGGCPIRRPDEGNGGVRREGGIWWPLSGGRSK >Solyc03g061640.1.1 pep chromosome:SL3.0:3:33595246:33595976:-1 gene:Solyc03g061640.1 transcript:Solyc03g061640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHQYEAFEYMWKNIAGDIDLERLRQPLSDSKGGCIISHPPGTEKTPITIIFLQSHLKLFTKSRSVIIAPSSLLLNREAEIHKLERNPSYAKPIREILLHYLCIVVLKKVHTARNEQNLVWKALKKIKTEKLIVSCGISFQNNIKELYNFLYVVSPKFAADLEQK >Solyc08g076493.1.1.1 pep chromosome:SL3.0:8:60615322:60615813:1 gene:Solyc08g076493.1 transcript:Solyc08g076493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKAPVKLDDEQLAELREIFRSFDRNDDGSLTQLELGALLRSLGLKPSPDQLETLIQKADKNDNGLVEFSEFVSLVAPELLPAKSPYTEEQLKQLFKMFDRDGNGYITAAELAHSMAKLGHALTAEELTGMIREADTDGDGRISYQEFTQAISSAAFDNSWA >Solyc01g057685.1.1 pep chromosome:SL3.0:1:63466923:63470124:-1 gene:Solyc01g057685.1 transcript:Solyc01g057685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVQIIKSSNVNSLPREEEMFLNCMDIKELLEAEWSSELRRLNQQIVFIDATTAIKIANFLWSDDNLEVQYKLRKEEKGKNLSKNETDPKDQGTNGLTKHMNNQVFVDVLLTDLEDYGDEVHVTNGARVENEET >Solyc12g017860.2.1 pep chromosome:SL3.0:12:7587183:7603175:-1 gene:Solyc12g017860.2 transcript:Solyc12g017860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESPTLKRRLEEENEAESASKRSRTCLHEVAIPSCYGSSNDESLHGTLSNPVYNGKMAKIYPFKLDPFQEISVACLERKESVLVSAHTSAGKTAVAEYAIAMSFRDKQKVIYTSPLKALSNQKYRELSEEFSDVGLLTGDVSISPNASCLVMTTEVLRAMLYGGSEVLKEVAWVIFDEIHYMKHRERGVVWEESIIFLPPAIKMVFLSATMSNPMEFAEWICNIHKQPCHVVYTDFRPTPLQHYAFPKGGSGLYLVVDDKDQFREDNFLKLQETFTKKKPGSSNANASGRSGKGGNASGVSDIYKIVKMIMARKFQPVIIFSFSRIDCEKHAMCMTKLDFNTEEEKKAVEQVFHSAVVCLSEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDAHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDEKMEMDNLKDMVSGKPDPLVSSFRLTYYSILNLLSRTEGQFTAEHVIKNSFHQFQYEKDLPDIGKRVSKLEEEAALLDASGDAEVAGYYKLRLEIAQFEKKLMAEITRPERVLYFLLPGRLVKVREGGKDWGWGVVVNVVKKPPAALGSLPATLSASCAAGYIVDTLLHCSLGSSENGSRPKPCPPRPGEKCEMHVVPVQLPLISSLSKLRISVPSDLRPLEARQSIFLAVKELEKRFPEGLPKLNPVKDMGIEDPEVVNMVNQIEELEKKFFSYQLHKSQNEHQLRSFQRKAEVNHEIQQLKSKMRESQLQKFRDELRNRSRVLKKLGHIDTDGVVQLKGQAACLIETGDELLVTELMFNGTFNDLNHHQVAALASCFIPGDRSEEKIQLRDELEKPLQQLQDSARRIAEIQHECKLEIDVDEYVVASVQPFLMDVIYCWSMGRCIFCGGYTNDRYL >Solyc07g042660.1.1 pep chromosome:SL3.0:7:56307210:56309152:1 gene:Solyc07g042660.1 transcript:Solyc07g042660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLNHKILKNIMLERTKEEEVADLALPTKTVTSCRYVFCKACLIDFVESKEKMAWFSSSSIWEKNQLSEFKTSTKLDDLLLGVNCVRLVGSMSITARDGAVDKFTKDSDCRMLLMILKDGGIALDIKVASHIFIMDIWWNPVVEQQALDRIRRIGLHKPVKYVSYFMQFRCR >Solyc03g119503.1.1 pep chromosome:SL3.0:3:69573347:69573717:1 gene:Solyc03g119503.1 transcript:Solyc03g119503.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNAYLQCCIVVAKILKMTSPFEEPSSCHDLTKFRNQYNSIASSLSDKGQVTKFQDEENLNRDFEDQDH >Solyc06g005360.3.1 pep chromosome:SL3.0:6:372043:374832:1 gene:Solyc06g005360.3 transcript:Solyc06g005360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLKFLELKTKRAFRFIVFKIEEKQIIVEKLGEPAESYEDFCTHLPADECRYAVYDFDFLTKESVPKSRIFFIAWCPDTSKVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVFKSRAN >Solyc02g070483.1.1.1 pep chromosome:SL3.0:2:40790232:40793782:1 gene:Solyc02g070483.1 transcript:Solyc02g070483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKDQLEELRVKPLSASSASKRTLVPALNMRLWYAAHLELPDGTVLKGATLVAIRPSEQPKKEVVGADGNWVGAAFKEPYGTAARMLVKRRTYCLEMNSF >Solyc11g006750.1.1 pep chromosome:SL3.0:11:1349173:1349737:-1 gene:Solyc11g006750.1 transcript:Solyc11g006750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRSVVSSSGVRRLMEGGGRSSASGLRYFSDSKGRILSEEERAKETVYIQKMERERMEKARKKAEKERAEREKADKKGEEEAHKN >Solyc11g065370.2.1 pep chromosome:SL3.0:11:51101959:51109751:-1 gene:Solyc11g065370.2 transcript:Solyc11g065370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLMATYQDEEEEEITADPAPLSAVEAPVTLEEVLQEVAKKGSVDTPEPESDVPSADPKKQEAEDKVYIIPIPRFPDKNDDSPDVKICLSKVYKAEKVDVSEDRLTAGSTKGYRMVRATRGVLEGAWYFEIKVVKLGDTGHTRLGWSTDKGDLQAPVGYDGNSFGYRDIDGSKIHKALREKYGEEGYGEGDVIGLYINLPEGAQYAPKPPRLVWYKGQRYMCAADPKEDPPKIIPGSEISFFKNGVCQGVAFKDLYGGRYYPAASMYTLPDQPNCTVKFNFGPDFECFPEDFAGRSVPKPMVEVPYHGFDGRVENGVSNEQKS >Solyc02g081620.3.1 pep chromosome:SL3.0:2:46059516:46067140:1 gene:Solyc02g081620.3 transcript:Solyc02g081620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRSTDKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKETVSQVPNAKPGRESTDIEVYGMQGIPSDILAAHYGEEEDESPVKTAKVDIPSSQYLGGAFPGYPPRATFGAVPPLYNPALPMPPAGWSAPPRHLPWYSQYPAVSVPPPALMGLPQQPLFPVQHMRPPMPATAPPTLVAPPGIPTPPVPVSQPLFPVVPNNNNLAQSSPFSGPMLSASVPFSSAVETKSLFDPNMGNNAPAAIGYQISVSAPVNSHSYASGPNTAGPSIGPPPVITNKAPANQPATNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMTSIDAAIDRRISESRLAGRMPF >Solyc01g014730.2.1 pep chromosome:SL3.0:1:14715398:14717876:-1 gene:Solyc01g014730.2 transcript:Solyc01g014730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMFLSNFIRSMINTYNAPIYSIIS >Solyc11g015897.1.1 pep chromosome:SL3.0:11:7421602:7423324:1 gene:Solyc11g015897.1 transcript:Solyc11g015897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSILYFPDYVETVYILNPRTREYIILPEAGGIREWPNDVMYTFGFDPVRFEYKVCYFTMLLHQMILSACKD >Solyc11g044370.1.1 pep chromosome:SL3.0:11:33009543:33010683:-1 gene:Solyc11g044370.1 transcript:Solyc11g044370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVATQLASLFTWLHEKRFAIGRMEPSAIMIDKEFNIKVVDFSSLIRVRDIPHNTSREADNVTETLKGDVYAFGIQLLKLITNNKNIEGPYYWWIMEELQGGKRSIVDESALVNCDGVLTCGISKQAAECLDEDPNARPDMENVFARLTNLISKTDPGQRQVRDVFSERPGEQFR >Solyc09g025220.2.1 pep chromosome:SL3.0:9:65285763:65287573:-1 gene:Solyc09g025220.2 transcript:Solyc09g025220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTTRLLLAVLFFAFLLSAANAIREYQGQQGQGGTRLTQAQQCRLQRIAGCQPSDRIESEGGFSELWDENEEQFQCAGVAPLRFVIRRNSLSLPKFHPMRGLVYIQRGQGIVGITYPGCAETFQSQTFQAGREPREERGQGLGGDQHQKVHRIRQGDVVAIPAGAAHWCYNDGEEELVAVFYLAGGVQENESQRAQTGLRKQRKQKFQNIFRAFDTELLAEAINIPSETVRKMQEEQTERGTIVNVREGMSTIKPDEEEAEGRSQRGQQWWEKVTRNGLEENICTMKIRTNIETQRRADIFSRQAGKVNHVGRQKLPILEYIDMSASKGTIYPNALMSPHWTLTGHSVVYVERGEAQVQVVDQTGQQVMNDKVNQGEMFVVPQYFPATIKAGESGFEFVVFRTSSQPMSNQLAGYTSVIRAMPVEVLTNA >Solyc11g007930.2.1 pep chromosome:SL3.0:11:2152164:2164394:-1 gene:Solyc11g007930.2 transcript:Solyc11g007930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPAAEKSPAVEKSKAGKKLPKDVGGSAAAADKKKKKSKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEEKKPAEKTPVAEKAPAEKKPKAGKKLPKDAAAVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKALGDSPIIYLQSFGNAWGSLFTVGLTIPPQLMFRSVME >Solyc02g068795.1.1 pep chromosome:SL3.0:2:39278072:39283730:1 gene:Solyc02g068795.1 transcript:Solyc02g068795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILVARTKQEGAGCQANDERQTLHHFCHVSIVIYTQNHVHAEKIKIDGIKQDPWFNPFPFIVKGRGRPRGTTVLRGCWGGGLGARRCLEAAGVAASGHDGASRLLGWRPRGTTVPRGWWGGGLGARRCLEAARGGGLGARRCLEAARGGGLGARRCREAARGGGLGARRCREAARGAASGHDGASRLLGGGLGARRLLQKIQYPKLKRNLKPSDNPTK >Solyc01g097830.2.1.1 pep chromosome:SL3.0:1:88403907:88405173:-1 gene:Solyc01g097830.2 transcript:Solyc01g097830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMIPACFNIPHNSEDSETTSASPPPSQVPQNLVTCIYQAQICGSPVYLTLTWSKNLFSHSLLVHAPDLISITIPLHQSSFAIFKARPGSKSVHPVQLHDRKKMKVHWDFTLAKFNQNSAEPEGCFYIAITCNARLEFFLGDMLTELTGRARLVSGCCTGDLTLLSRREHVFGRRSYTTRARIMGVKHEFVIECAGGVLKVKVDGKTSLVVKRIGWKFRGNERILVGQVEVTFFWDVFNWVNKNCDNHKFRHGHEHGVFVFQVGDGGVWPEMGGAEKKLMRKSLSATAGTMSTPLSVSLSPSPSCSSVLQWAEENSECGRSSWSSIRSYGSNEGFSLLLYAWRKD >Solyc11g016990.2.1 pep chromosome:SL3.0:11:7663335:7675176:1 gene:Solyc11g016990.2 transcript:Solyc11g016990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLAGQQHTREPKRKKKRTKKVETTGSAVSVRSRSRRSAMAAAGNPLSSAVTFTEPPPVAPGTANKSLDFSADSVLQRIVLSPDQRSHCLEALKAFKQKRCHSPDKIASEFSTLQENRLKASEMKSRCLVALDSTNISKNRYTDVIPFDNNRVVLDPCKDYRPSAKGYINASFIEISEGVSRFVATQGPLAHTFEDFWEMIIQQRCPVIVMLTRLVDNYKMVKCGDYFQAEDGPRTFGNICIVTKYMTSDASLVLRTLEVNYIESEEPPLCVLHIQYPEWPDHGVPRDTLAVREILKRTYNLPLGLGPIVVHCSAGIGRTGTYCTIHNTIQRILGGDMSALDIVNTVAIFRSQRIGMVQTMDQYLFCYDAIIDELEDLISDDNGEKNL >Solyc02g078490.3.1 pep chromosome:SL3.0:2:43726105:43731276:1 gene:Solyc02g078490.3 transcript:Solyc02g078490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRFESKNDDRRRSSPYSYKDRKSHHHESQSNGSQHFRVKANYSGDQYRHGHRQREDYKDKSTRSHRRNDDNEDYSRSRNHKFDRRQDRGGRYKGETCGSRSRSKDRSHEEARSRSSSPLPPKSSGQVKSEQECVLAQARLHIAMQKWLLKLVV >Solyc02g090545.1.1 pep chromosome:SL3.0:2:52713793:52720359:-1 gene:Solyc02g090545.1 transcript:Solyc02g090545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVDHEDIEAQRSFPLSMNDELRNFSLMIMRRLVFIFLSIYNFIEAAASISSLSSISNTHATLSLQHGNSEGGGDEIQIVEIVEASAHVNVGQSNHDHCEIELQSTHQQCLQISEEIVKLDSLHNFEGVKGSTVDKGIQVGDISRCDLSHHMLIFAGYGKFMIRKLVCKEPTILLLAVAAILSFVFGIKEEGVQNGWFEGALLVVIIFVIVLFKLARNWYKRHIWKKQNENRARKDQPFIAETDSSFCADFDKLNNYIHISGLSIGILISVVLFIRFKLGHKDDDNGYRLEIKEEPTEIARIMNAVKKVLTESKGTVRVMITSLGISLVGITEGVPFLISIARAYWYPIFDVKMEPVNTICIEKVCWLKEQKLKVTQFLLDKKDVAKIPPHVYNVLSAGIGVSPLTSQTAYHRVEQAILCWVEKNCGMQRDSSNQQFTKVKDNDDEMNPFEGPCRVVMEKSGDNGKEYYSYFKGPTDSILSMCSSYYDTTGELHDLDDGTKSGIAQANNNNMKVVAFAFKHTHGVAELDENGLTFIGRFVLEDAFNLDNMRQGIDNLKEGGVKMIFASEEDVEVLCTIVDETGLLNSREALVVRGEDATKEIVDKTCIMGNSSPTCMLLLIEQLKKRGEVVAVVGEQASESNVVLEAAHFSLPVVTNWPETITYVINSIKGGRIVCENMRQFIQVEVILAISSLLINFILVILDGDGPLTVVQLVWVNLLVKFIGGPALLITQQATRQLRDEHFIISRKPPITNAMWRNILLQASYQTGIFVFLQHRGSAILGVTSKINRSIVFNGFALCLLFNIFSARDLEQKNFFKGLGQNYLFWALSGLYLVLQFGFIEVEVVFSNTARLNWKQWIESMLIGVVTWLIDVIVKWASQYIKISKYCDCGVLHPARNWLQNWFARINCCDSGLTSTPNSTLASLDSPRSTSGT >Solyc11g005937.1.1 pep chromosome:SL3.0:11:753957:754344:-1 gene:Solyc11g005937.1 transcript:Solyc11g005937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFERTALEKPKPTSATGSVRADKTKVAELPWPRTILWRASNDVCFCSFTIASWFFHSYRYPLVPCFCTFLFSIDQPSHLAALVVLFNYIKSNFSDIS >Solyc06g069430.3.1 pep chromosome:SL3.0:6:43291459:43300107:-1 gene:Solyc06g069430.3 transcript:Solyc06g069430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVGLIVFSTKGKLFEYANDSCMERILERYERYSFAEKQLVPTDHTSPVSWTLEHAKLKARLEVLQRNQKHYVGEDLESLSMKELQNLEHQLDSALKHIRSRKNQLMHESISVLQKKDRALQEQNNQLSKKVKEREKEVAQQNQWEINSSSFVLPQQLDSPHLGEAYQSTNVIDNGEVEGGSSSQQQGAANNTVMPQWMLRHLNN >Solyc07g041360.1.1.1 pep chromosome:SL3.0:7:52655364:52655792:-1 gene:Solyc07g041360.1 transcript:Solyc07g041360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFSEIVETSQNISTTNVEVVETSQIGCSNVSKDVVRPLLGTAFNFESPKTISSFKLSYVSGMWRTLCECITQFSVESLENLKELEIGVASILNGLREVYIINLNPLEVLLEDYFKKHRDYDVARPSTSQKITRDSHQELL >Solyc01g096070.3.1 pep chromosome:SL3.0:1:87056525:87060321:1 gene:Solyc01g096070.3 transcript:Solyc01g096070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDLYRDLWKACAGPLVDVPREGERVYYFPQGHIEQLEASTNQAVNQQIPQFNLASKILCHVFHVQLLAETETDEVYAQITLHPEAEQEEPSKPDPCPPDLPKRTVHSFCKILTASDTSTHGGFSVLRKHANECLPQLDMTQATPTQDLVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRDDSGELRVGVRRLARQQSPIPQSVISSQSMHLGVLATASHAITTQTRFVVYYKPRTSQFIVGLNKYLEAVSHGFSVGMRFRMRFEGEDSPERRFTGTIVGTGDISSQWSESKWRSLKIQWDEPASMVRPDRVSPWEIEPFVASTCVDVAQPGIKSKRPRPLDLPRSEIAVASAASPFWCPGSGLTLEVSHLGGITEVQSRDNQLFWSSKQSSSLSNGMSNTSCRTHLSGAWQHNMLANGSLNLLRDSIEDNKQLITRSALLDYGSPMSSRVSSGLLHDQVNRGSKHVISSACRLFGIDLRNNSNNTPSKAKEMLGPNITSNCADEAPVVHDESEVDKDQNVGHLNPSEEKKQFQLEALPKDTQKQGPTSSRTRTKVQMEGVCVGRAVDLTALSGYDDLISELEKIFDIKGELCPRNKWEVVYTDDEGDMMLVGDDPWLEFCKMVRRIFIYSSEEVKKMTPRCKLPILSLEGEGTMPSVDSELKAEG >Solyc03g034457.1.1 pep chromosome:SL3.0:3:6469284:6472440:1 gene:Solyc03g034457.1 transcript:Solyc03g034457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFDHNRSEPFEVEEEEMARFSVGRDEHDNDEGGPCNSCTSVRKRRRTTAGTLSRGVVIRQQEEVEERERERVVREEVEEEAEEEDWGSESEGNFRSSGDRRVPVCESEVLRENKPISGESMYQNFEGSIINRSISVTLLDPDVLDCPICFEHLCVPVFQCGNGHIACAPCCIKIANKCPSCCLPIGYNRCRAMENVLESLKVSCVNNRYGCKEILSYSKKTDHENACIYVPCFCPSHGCDFIGTAAKVYAHFSEKHASSADHISFNVVHPIYIEKDQRYIILQMRTEGILFIVNHASDRVGSAINIICVGQARQKRRFSYKLVVTDGESSFKLESVAESVPNWTEDSPMKKFLVVPKDVVNSSARLKLDVLIEEKE >Solyc05g052090.1.1.1 pep chromosome:SL3.0:5:63266451:63267524:-1 gene:Solyc05g052090.1 transcript:Solyc05g052090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNNTSHFTFMIIFLISPHYLAFNTGGGDGGDGGRKKTTSETSSSPNGGESGATRAPTWDNNWGRGSSLGGGWGYGSGSSRSPDGSGQGWVSGSSGSGEGGYGIYDNDGYGARNDFNGNNGGSSGGRELPLSESSSSPSKGSGVAHELTRNNWGWGSSPSEGWGYGFGSSRSPDGSGQGWVFGSSGSGEGGYGIDDNDGYEARNDYNGNNGGSSGGREIPLPESSSSPSRGSGVAHEPNWDYNWSWGSRPSEGWGYASGSSRLPIGLGQDWDFGSSGSGEGSYGTGDNDGYGARNDYGGNDGGSSVGREIPPPESSSSPSGGSGVLMDLIGIITGVRVLALAEDEVMALAQVGRLMD >Solyc08g005100.3.1 pep chromosome:SL3.0:8:69934:72930:1 gene:Solyc08g005100.3 transcript:Solyc08g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGADEEENNWPPWLKSLLKERFFFQCKLHIDSHKNECNMYCLDCINGPLCSLCLSHHKDHIVIQIRRSSYHDVIRVNEIQKYLDISLVQTYIINSAKIVFLNERPQPRQGKGVTNTCQVCERTLLDSFKFCSLGCKVIGTSKNFIKKPRDLSAKRQLKAIASDSDDPYSPNNHSRYRSTIINKVQSFIPSTPPPSSVNYRTAKRRKGIPHRSPMGGLVIEY >Solyc01g005540.3.1 pep chromosome:SL3.0:1:359779:370504:-1 gene:Solyc01g005540.3 transcript:Solyc01g005540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lysine--tRNA ligase [Source:UniProtKB/TrEMBL;Acc:K4ASC0] MEALQPLKQLIHFTSLRFRPSSSSFFIVRCCSSTTTEVTGRAAGGGRNRRSSSGTSTSTSDKEAIRAIRIKKVEELRSNGLEPYAYKWDRTHSASQLQEIYKNLGNGEESKSENDYVSISGRIVARRAFGKLAFLTLRDDSGMIQLYCEKERLLSDQFDQLKTLVDIGDILGANGSIKRTEKGELSVCVNSFSILTKSLLPLPDKYHGLTDIDKRYRQRYVDMIANPEVADTFRKRAKIVSEIRQSVESFGFLEVETPVLQGAAGGAEARPFITFHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGISTRHNPEFTTIEMYEAYSDYESMMNMAEEIVTRCALAVNGKLKIDYQGVEICLERPWRRETMHNLVKEATGIDFKNFEDDLKAAKEHTRKAIDILGCDLDKSSIEACSSVGHLLNEVFEMVVEPKLLQPTFVLDYPIEISPLAKPHRRHSGLTERFELFICGREMANAFSELTDPLDQRRRLEEQVRQHNEKKAASGLSKAGAKEQENNDDDDDSYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTDSPSIRDVIAFPVLKIQQ >Solyc11g006860.2.1 pep chromosome:SL3.0:11:1409668:1414754:1 gene:Solyc11g006860.2 transcript:Solyc11g006860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonuclease [Source:UniProtKB/TrEMBL;Acc:K4D4U7] MGSLGQLPIWASKPCIMGIDEAGRGPVLGPMVYGCLYCARSYQKTLSTLQFADSKTLKEEKREELFEELKTNESIGWAVDVIDPRDLSAKMLKKNKINLNEISHNSAIGLVRKTLELGVLLTEVYVDTVGDPEKYRVKLSEIFPAIKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVLDETAENMQRNFGSGYPGDPETKAWLDNHKHAVFGFPTLVRFSWGTCNAYSTDNVEVVWESDANDEDEPNGRASKRQVKLTSIGFTGVKRKSEDIESNGKGRCKFFQARKLELLSQF >Solyc03g118943.1.1 pep chromosome:SL3.0:3:69189784:69192034:1 gene:Solyc03g118943.1 transcript:Solyc03g118943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPKFTSSIPPAQVMYKNQLQEYTQKNVKQLPIYQTVNEGFPHAPKFRAKVLVNGSEYESKSTYPTKKEAEQAVAKIAYECIHSEMDARDISLIYKEPMLCKSILYEFAVKRNLDRPIYNTRHTEGTSSVYICHLVLGGKTYKGELAGSKQMAKQVAAQSAIESLLGMYVDSLVFLYLQCLKTCFNTDGIVGSSGSGPKRKTETNNCGRNKMRRFGN >Solyc05g013990.3.1 pep chromosome:SL3.0:5:7492624:7500147:1 gene:Solyc05g013990.3 transcript:Solyc05g013990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit epsilon [Source:UniProtKB/TrEMBL;Acc:K4BYC0] MALAFDEFGRPFIILREQEQKTRLRGLDAQKANISAGKAVARILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIGKLMVELSRSQDYEIGDGTTGVVVMAGALLEQAEKLLERGIHPIRIAEGYEMASRIAVEHLERVAHKFEFGQNDVEPLVQTCMTTLSSKIVNRCKRSMAEIAVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGIIVDKDMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRLQEQKYFDDMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGIVREKSFGTTKDRMIYIEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSIAVEAAADKHPGVEQYAIRAFADALDAVPMALAENSGLQPIETLSAVKSQQIKENNPCCGIDCNDVGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVITPSEY >Solyc01g096920.3.1 pep chromosome:SL3.0:1:87796135:87804182:1 gene:Solyc01g096920.3 transcript:Solyc01g096920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPGRNSRPQHPSKFQANGTAPSPPLQVMGNPASFMPNHMQIQSQMAIPNNNPNTNFSSGQLLGYQVNQMNNANFQQKGQFLGQNIVNPPQFLNQNVGFGGMPNPMQNMNQFLQMQMAMQMASYAQALSGSVPMYPNQVPQGMGIQNSNIALNNAHFGHANASGNLSKQMANGSQQLQGQSPVMNSFGVVQQQQTQNFNSPASANPQVSQAMGPQSSNFGMNAHLGLVNANVAAQQSKSGLSKQMPNVTQQLQGQLPLMNSFGFAQQAQTQNFNAPTSANTQVCQGMGPQIPNFSMNAQLGLLNANGAVQQMPNVNQQMLGQLPMMNPFGFVQPQTQNFNAPASTNTQANRGCIVGISPSKGNQQNSYNSNFSRNQKHDAKNSKFGKGKFSTHNKNLEKGHHRNGEKKNIHSNSVKPEMEKKRPLMVTYSAQEIQQWREERRKNYPSKGNIEKQLTGKMVESEGNSNAAKLRRQQLKEILAKQAELGFEVAEIPSSYLSDTEKQVDGMEQKRPLSRKERFQKRFNKKEKFNRNDRFSKKRRFGNSDSPNTCDQKDFPTGKQDVNRESVTQVTKSARESTLLQKLLSSDIQRDKRRLLQVFRFMTMNSFFKDQPGKPLRFPRVLLKETGKEIEAAEEISDAIDTNIEKSSSDDDNDNYEEDNIAEFTEAVSELQEDSSSESEEPEEEQISS >Solyc06g051770.1.1.1 pep chromosome:SL3.0:6:35483899:35484453:-1 gene:Solyc06g051770.1 transcript:Solyc06g051770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKRPLLSMKKTFFYNFFPSKAQEEACKINNTPYEVTRELVEIRDLYPAPIIDKQNPWQIKKKLTHDEIVVGMLLIPFIEMFEYILRYWSLDVGKSLVNGCNVCVDMWDVTEENVPKKYEGGRVWFRILPNDDFSLWCTELINGRRLKVGDEIGLYWDPISSSLVFKFLYPVGLSGKVQYLGP >Solyc03g115040.3.1.1 pep chromosome:SL3.0:3:66366851:66368682:-1 gene:Solyc03g115040.3 transcript:Solyc03g115040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSFEISIFGSIMPSPKNMTPEDCVDELKQTVNFRVKLKISANWEGSAIRFLPNPTVPFTSLAKPSVKNVGAQVKMKFGILTNLPGFDPSVD >Solyc02g078680.1.1 pep chromosome:SL3.0:2:43914703:43916115:1 gene:Solyc02g078680.1 transcript:Solyc02g078680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSISSSKNVCNQILRLGKRLEPGMNKYRTPCDIFINHRGIDTKQNVAGLLYEHIKNNLGLRPFLDSKNMKPGDKLFDKIDPAIRHCKIGVAIFSPQYCDSYFCLHELSLMMESKKKIIPVFCDVKPSELAVKDLNLNFPNKDLEKFNLALEEAKYTVGLTFDTLKGDWSEFLVKASDAIMKNLYEVEREKFINRKKYIRRQQLLHNY >Solyc01g109790.3.1 pep chromosome:SL3.0:1:96549062:96555534:-1 gene:Solyc01g109790.3 transcript:Solyc01g109790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:Q15I66] MDTCCAAMKSTVHLGRVSTGGFNNGEKEIFGEKIRGSLNNNLRINQLSKSLKLEKKIKPGVAYSVITTENDTETVFVDMPRLERRRANPKDVAAVILGGGEGTKLFPLTSRTATPAVPVGGCYRLIDIPMSNCINSAINKIFVLTQYNSAALNRHIARTYFGNGVSFGDGFVEVLAATQTPGEAGKKWFQGTADAVRKFIWVFEDAKNKNIENILVLSGDHLYRMDYMELVQNHIDRNADITLSCAPAEDSRASDFGLVKIDSRGRVVQFAEKPKGFELKAMQVDTTLVGLSPQDAKKSPYIASMGVYVFKTDVLLKLLKWSYPTSNDFGSEIIPAAIDDYNVQAYIFKDYWEDIGTIKSFYNASLALTQEFPEFQFYDPKTPFYTSPRFLPPTKIDNCKIKDAIISHGCFLRDCTVEHSIVGERSRLDCGVELKDTFMMGADYYQTESEIASLLAEGKVPIGIGENTKIRKCIIDKNAKIGKNVSIINKDGVQEADRPEEGFYIRSGIIIISEKATIRDGTVI >Solyc09g064480.2.1.1 pep chromosome:SL3.0:9:61986781:61988586:1 gene:Solyc09g064480.2 transcript:Solyc09g064480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSPTSQSFDDSMEKGQGKNHQPRVCLLASLSGLFWFLLLYSHFVILGGNHVHDSPNLDPLSLNKQSNPNPMNRATIRNAKVEMDNNELVVKSKPLKKNVQPTPLVVRPVSSDNSSRRDTESYSFMRALRTVENKSDPCGGRYIYVHDLPPRFNEDMLKECRTLSPWTNFCKFTANAGLGPQMENAEGVFSNTGWYATNQFAVDVIFGNRVKQYECLTNDSSLAAAIFVPFYAGFDIARYLWGYNVTTRDAASLDLVDWLQKRPEWNIMGGKDHFLVAGRITWDFRRLSDSDSDWGNKLLFLPAGRNMSMLVVESSPWNANDFGIPYPTYFHPAKDAEVFTWQDRMRKLERKWLFCFAGGPRPGNPKSIRGQIIDQCKQSKVCKLLKCGQSGESKCHSPSSIMKMFQSSLFCLQPQGDSYTRRSAFDAMLAGCIPVFFHPASAYTQYTWHLPKNYSAYSVFISENDVRKKNISIEEMLNQIPPEKVKEMREAVISMIPRLIYADPRSKLETLKDAFDVAVDAVINRVTRLRKDIIEDRKYDNYIEELSWKYSLLDEGQTELAAHEWDPFFEKPKDGSADSDNSSAEAAKNSWKNEQGQQ >Solyc10g055250.1.1.1 pep chromosome:SL3.0:10:56465169:56465678:-1 gene:Solyc10g055250.1 transcript:Solyc10g055250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEGNKGQRQKGTVKWFSDQKGFGFVTPNDGGPELFVHQSGIRSEGFRSLADGEPVEFEVESGNDGRTKAVDVTGPDGAPVKGGSRDAGGDRYGGGGRYGGGYGGRYGGGGGGGGNCYKCGEEGHFARECSQGGGGGGGRYGGSGSGSCYKCGQEGHFARECTNSSNR >Solyc03g096170.1.1 pep chromosome:SL3.0:3:59487798:59488274:1 gene:Solyc03g096170.1 transcript:Solyc03g096170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISSSDEELSLFLEMRRRGNDRNCNRFLQKFNEFDPLAAPLQKTRTDKFLNADNDTADYDW >Solyc01g091850.3.1 pep chromosome:SL3.0:1:85271194:85276580:1 gene:Solyc01g091850.3 transcript:Solyc01g091850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETNSNGGFRAPLLRSLDGELEKGKNGRPKEPWKGEVVKSIVYAGLDAIVTSFSLISSISAGHLSSVDVLVLGFANLVADGISMGFGDYVSSSTEKDVAAKERTVTEWDIINQHRSQKEELLRHYQQLGMNDTDANTVVNIFAKYRDIMIDEKMAVQKGLLPPDQADKPWKSGLITFTAFIVFGCAPLLAFIVLIPFTRNDTYKFIGACVFSAVALALLGIAKAKIAGQNYALSASITLFNGLIAGAAAYGIGWTLRNVAGLEE >Solyc07g051800.2.1.1 pep chromosome:SL3.0:7:60406472:60406621:-1 gene:Solyc07g051800.2 transcript:Solyc07g051800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLWTSVKTLSMHSVGSHGQFNSFSRSNDPRSEHTPILTIFVNNSKPFYR >Solyc04g082350.1.1.1 pep chromosome:SL3.0:4:66138298:66139698:-1 gene:Solyc04g082350.1 transcript:Solyc04g082350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISIYCLPKQNKKNYLYKLFQLFNFFTSQNMMKVQVISRENIQPSSPTPKHLKKFNLCLLDQLIPAPYAPIVLFYPNLNDVKLREKSSLLKKSLAQTLSSFYPLAGRFRDELSIDCNDQGVNYVTTNVNCHLIDYLNKPNLESISQFLPCQPPFKVLGVGDYVTNIQINVFECGGIAIGLCIAHKVLDGAGLSTFLKNWSGLVTCPNLMANYFFPSDDLWLRDTSMIMWSSMFKKGNFVTKRLVFNDSAIDNLKRMSTSAHIKYPTKVEVVSSFIWKCLIASNKKSNSLLTHIVNLRKRATPALPENILGNLIWLSSAKNNNAKRYVELADLVNQVRKSILKIDDGYVKRLRGDEGCSLMRKSLKEIEDFCSKGANHYGFSSWCKFGFYDIDFGFGKPIWVSSISSKCSFFMNLIILMESNRCDNGIEAWVTLDEEEEMNMLVDNQELLVFASVDPSPLPLYLSG >Solyc01g102990.3.1 pep chromosome:SL3.0:1:91520877:91533942:1 gene:Solyc01g102990.3 transcript:Solyc01g102990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIFRYKSKLHSFTFRTSLFSISSSKFHSSTSSSSLKPRRKPSLFFNPLEGSRVGLVFRFEGSRLGLGFRHKWEGSSDNYDHIKSEVNCPRCSKMMTVLFSNRPLSITAGETGIYQAVNLCPHCRTAFYFRPFKLEPLQGTFIEIGRVKGGEKESDDEMAGSSGKNDGKIWEKLRSYSGTNGSDDGGQGTCETEMKDSEARKEEGWGGTNLGIELLTPKEICKGLDQFVVGQERAKKVLSVAVYNHYKRIYHSSLNKKSDSRKVRDELENIDNDSVELEKSNVLLMGPTGSGKTLLAKTLARLINVPFVIADATTLTQAGYVGEDVESVLYKLLEAADFNIEAAQQGIVYIDEVDKITKKAESLNIGRDVSGEGVQQALLKMLEGTIVSVPDNRARKHPRGDTIQIDTKDILFICGGAFVGLEKTISERRQDSSIGFGAPVRTNMRAGGLTDAVVTSSLLESVESDDLTSYGLIPEFVGRFPVLVSLSSLDVDQLVQVLTEPKNALCKQYKQMFNLNNVKLQFTGNALRLVAEKAIAKNTGARGLRSILESILTEAMFEVPGSKPGEESVEVVLVDEEAVGTADTIGCGAKILCGSSGLENISPTTGSSMTMEKKEATKEDLDSESEAPARALSL >Solyc04g076150.3.1 pep chromosome:SL3.0:4:61185448:61187100:1 gene:Solyc04g076150.3 transcript:Solyc04g076150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSLLQGFHTKKDKMKGTHMDALGFYNDTHLVVIKLNHLWILRLISQIAILWLVILSFPWIDTVIRGLTSSYELINVTKGDHTMANYNSVKLEVLPLIFHDLANEGLLKTRDRSLFITNGNEEVIYNSQVTSDYDVDLISLSDLARKDETYDFALIPYDSFKSLDFIDRAMNVGGIVVVQLINDNPMITYSQQSNYKVVYVRKFDSTIVAMRKTSSFTSIESTTLEDVLLEPPRASSGKSSRYLKRTRYLPELMNIPLDSYPRRVFIEIFGLQDKNEKSGDSTWFSKNYPTRNTKFEIFRIEAVTKESSAPLIEMSDWLEKNVKEDEYVVMKAEAEVVEEMVRNKAIKLVDELFLECKHQGVKKGDKKKSRRAYWECLSLYGMLRDEGVAVHQWWG >Solyc05g009470.3.1 pep chromosome:SL3.0:5:3636082:3644007:-1 gene:Solyc05g009470.3 transcript:Solyc05g009470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSFSFPFLLVLTICIIGCVKLVHTAPTKIGNGYSLIAIEESPDGGLIGYLKVKKKNKIYGPDIPNLQLYVKHETDNRLRIHITDADKQRWEVPYNLLPRESPPSLKQTIGKSRKGQLPLLSNQKYSGNELMFSYTSDPFSFSVKRKSNGQTIFNSSSEDSDPYSNLVFKDQYLEISTKLPKDASLYGLGENTQPHGIKIYPNDPYTLYTTDQSSINLNMDLYGSHPMYMDLRNVNGEAYAHAVLLMNSNGMDVFYRGDSLTYKVIGGVLDFYFFSGPTPLAVVDQYTEFIGRPAPMPYWSFGFHQCRWGYHNLSVIEDVIANYKKAKIPLDVIWNDDDHMDGKKDFTLHPVNYPGPKLRAFLKKIHAEGMHYIVINDPGIGVNKSYGTYQRGLANDVFIKYQGKPFLAQVWPGAVHFPDFLNPKTVEWWGDEIRRFHELAPIDGLWIDMNEVSNFCNGLCTIPEGRICPNGTGPGWICCLDCKNVTKTKWDDPPYKINASGIQAPIGYKTIATSATHYNGVREYDAHSLYGFSETIATHKGLQAIEGKRPFILTRATFVGSGHYAAHWTGDNKGTWEDLKYSISTVLNFGMFGVPMVGSDICGFYPAAPPLEELCNRWIQVGAFYPFSRDHANYYSPRQELYQWKSVTKSSRNALGMRYKLLPYLYTLSYEAHITGAPIVRPLFFTFPNIPELYELSTQFLVGSNVMVSPVLEKAKTKVNALFPPGTWYSLFDMTQVIVTKEPHYRSLDAPLHVVNVHLYQNTILPMQRGGMLTKEARMTPFTIVVAFPLGASEGVAKGNLFLDDDELPEMKLGNGKSTYMDFHATTSNGTVKIWSEVQESKYALDKGWYIEKVTVLGLNGIGGAFDILVDGSKVEDTSKLEFETEEHKFVDKLEDGGHKKSMMLDIKGLELPIGKNFAMSWKMGI >Solyc01g100110.3.1 pep chromosome:SL3.0:1:90074953:90079225:-1 gene:Solyc01g100110.3 transcript:Solyc01g100110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAEITKMKQNATSVSLGTLIGRELRNDKVEQPTIKYGQAALAKKGEDCFLIKPDCQRIPGNPLTSFSVFAIFDGHNGISAAIFAKENLLNNVLSAIPEGISREEWLQALPRALVAGFGSLSLAFIHSGVLSTILILVTGETSGTTVTFVVIDGWTITVASVGDSRCILDTQGGVISLLTVDHRLEENEEERQRVTASGGQVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPVPHVKQVKISNAGGRLIIASDGIWDALTSDLAAQSCRGLPAELAAKLVVKEAVRSRGLKDDTTCLVVDIIPYDHPILPPTPRKKQNLLTSFLFRRRSQNARSNKLSAVGVVEELFEEGSAMLAERLACLFYPGKGRIITYPRTTATYNWYLQNQMKKFSLHSVQQSLVAESVLVGWTLLGKDFPLDSSSGLFRCAVCQADQPASEGLSVNSGPFFSPSSKPWEGPFLCATCRRKKDAMEGKRPSRPIITA >Solyc12g056810.2.1 pep chromosome:SL3.0:12:63840054:63842663:1 gene:Solyc12g056810.2 transcript:Solyc12g056810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSIYEDFTWWMFTLPPILETQNFFTLLIPTFIVFMVITIFTWAFTPGGPAWKNGLNSMGRVSIPGPKGLPFFGSLFSLSHGLAHRTLAFTASAYGATQVMAFSLGSTRAIVSSDPTIAREILTSPHFANRPVKESAKQLMFSRAIGFAPNGTYWRLLRKIASSHLFAPKQILAHESERQVECNSMLVSIAKEQNMHGLVTLRKHLQFASLNNIMGIVFGKKYEMFEDNKEAKELQEIVNEGFELLGAFNWSDHLSWLNYFYDPYTLKERCAVLVPRVESFVKKIIQDHKNGNSKKILEPHCDFVDVLLALNGEEKLHEDDMVAILWEMIFRGTDTTTLLTEWVMAELVLNQDIQEKIHNELKTIVGKNENARDAHISKLPYLQAVIKETLRLHPPGPLLSWARLSTSDVHLSNGMIIPSNTTAMINMWSITHDPNVWDNPSTFKPERFLKYSGGMDFDVRGNDLRLAPFGAGRRVCPGKNLGLVTVSLWVAKLVQQFMWVESETHPIDLSEVLKLSCEMKYPLSAKAVPRILD >Solyc03g095806.1.1 pep chromosome:SL3.0:3:58788967:58794356:-1 gene:Solyc03g095806.1 transcript:Solyc03g095806.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSYCKYAFACLLKRYNNLINIAKATMPYLICQREIGTNIIAYNSCDVFSSTNNFPLANKTEHDGFDNVYKRYSNIAANGSIFYALCFKMFALRKNYSQLLSSTIFF >Solyc03g113615.1.1 pep chromosome:SL3.0:3:65161970:65163470:-1 gene:Solyc03g113615.1 transcript:Solyc03g113615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACFKKPLSASTALNLSLSRETSLASICTAAFSACKRVIMAMALLAATAEALLSSSNSMYNSIRDFLSRRTCLFKLCTMSSSASFTALAIDSLSEENLSFVYWIRGNSILPDSSFFHVNGDRGDEAGA >Solyc11g066310.2.1 pep chromosome:SL3.0:11:52328727:52333472:1 gene:Solyc11g066310.2 transcript:Solyc11g066310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATASYWLTWNFLFLGLGVIVLVGIAALIIWKYEGSPKLGIKERDIKEKKVGFLYKDEAWTTCHKSIHPAWLLAYRLIAFTFLLSMLSSDAYMNSSDIFFFYTQWTFSLVTIYFGLGSSLSIYGCIQYRKGVNSAKVCCVDEERNDSPTLEKNASLPGISKDLSSVEEIDDREPAGYLGYLFQIIFQVCAGAVVLTDCVYWLLIYPFFLPSGSGLQFLVIGMHSINAITLLGDVILNSLRFPFFRFAYFVLYTCTFVSFQWLVHMCVSKWWPYPFLDISYKYAPLVYLMVGVVHLPCYGMFALVIALKYWLARLFKWTIRKLSGDKQ >Solyc09g012050.1.1.1 pep chromosome:SL3.0:9:5329571:5330134:-1 gene:Solyc09g012050.1 transcript:Solyc09g012050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKQEFNAEILAKKSSQSPQEISKSTGRFFYEAGLDFDAIRLPIFQRMVKATLSPGQTVKFPSCQDLKGSILQDAVHEMQLYVTEIRSLWPSTGCSILLDRWIDSNGQHLINILVYCPRGTIYLRSSDITSSHQNADAMLVFLEGVLEEVGVENVVQIIAHSTSDWMITAGNKLMDRCKTVLFSID >Solyc10g083250.2.1 pep chromosome:SL3.0:10:63218902:63220573:-1 gene:Solyc10g083250.2 transcript:Solyc10g083250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4D3B2] MEKSESTKIDVVETNKEKKGKAPLLGTAAAPAIVAAVGHDGHAKGGAKRGIAIFDLILRIAAFASALGATVAMATTEETLPFFTQFFQFEASYDDLPTFSFFVVGMGIVVAYLVLSVPFSIVCIVRSHAVVPRLLLIIFDTVIIALATGAAGSSAAIVYLAHNGNQDANWLAICQQFGDFCQRASGAVVAAFVTVVLLILMVVISASALRRH >Solyc06g059970.3.1 pep chromosome:SL3.0:6:38012997:38015627:-1 gene:Solyc06g059970.3 transcript:Solyc06g059970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEIKRIENTNNRQVTYSKRRNGIIKKAKEITVLCEAKVSLIIFASSGKMHEYCSPSTTISDMLDGYQKASGRRLWDAKHENLSNEIDRIKKENDSMQSEILRMVRKNDQILEEENKQLQYALHQKEMGAIGGSGNMRGIHEEVYHQRERDYEYQMPFGLRVQPMQPNLHERM >Solyc03g121505.1.1 pep chromosome:SL3.0:3:71070013:71071938:-1 gene:Solyc03g121505.1 transcript:Solyc03g121505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATRKATDYNRESTSQMFDFHLPLLGENREAKDRWSKEILSTVKLNTRLTSIRPNPQKVHELTVINFHGIYILLLFSLALSSLKYPKIFLHKQFCTAAEPEAPLRRLVRSKSAFSSYISKRVFAVVTIFKVKVKKRKQDDKNFNQEETGKERNEESR >Solyc03g025260.1.1.1 pep chromosome:SL3.0:3:2728901:2730016:1 gene:Solyc03g025260.1 transcript:Solyc03g025260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYFKYKSSKRFDSIPIVRPFISVWDLKLKIFESKRYGRGKDFDLLITNSQSNEQYVDEKALIAKNTSVLIRRVPGPPLLPIVIPSLTESKQVYEEESHESVRCLDFVCDDFGDDVYAIPKILLVQSKHDEDTKIKSSIATPAIEHFSNGYGFGPGETEWKKPPIRYVCHRCNVPGHYIQHCPTNGDPRYDMKKVKPPAPSGSGSGSVGVLKHNEAGVSSKGVEGLSSASSSSTKSSFRDIPRELYCPLCKEMMKDAVIASKCCFSSFCDECIRNHIVCNSSCVCGAGNVVVDALLPNLTLRRTVNRMLSESSNSSSEHGVSDPLPRVQDLVKVTTKTSGESSVDELQQKKRKKPCDVDVVNRNCLLSCT >Solyc11g007060.2.1 pep chromosome:SL3.0:11:1530710:1536306:-1 gene:Solyc11g007060.2 transcript:Solyc11g007060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSTEEIEYDQTKAEYNNGGDSWSSSMNWTISRGSLEDSITFESSDPQIDAESTQISPLILHRPSPDSSPCEIKLCFMQKHEIRQVYVRSTARVYEIYYAPTLQSDNEYLCTVRCSIAERDGEFLQANEIEVVTPQCLEDYVGKPTKGRVAAEANCTREDDWVEVKVPDGNGVSCLQKHTTGKEQRSIEDLYEATAEISDADPCMSLTIRFLSLPNKDSLCIDEVYIFGDPIDSNEVETPAAPMENQASSFMAMLVPTLLQLSKSGVSQKQQEKDVSDSQRKENEVGIAVRASDFSDANKENEQEKKMSADHIVQLDVTDKPVAKPTHSNPLIHQELSRENHNTSTTSNDALQGRIVGAIEQLLDRVSRIENICLRFEEKMVIPMNSMEMRLQRLEQQVETLAKSSQFSGVASCNRITAPSFTGSESNSSSLYNDGSEYRSCGVLELEKKDPPCNKLSEPSDDIPVSSNPSHVLPNLVISAPEFSCGEDEEENDIVESVKISSQEKQKQVLSIDDALAAALSGFLSTSHVLPPDEGTLEVIASGSISEGVNEKNEFSESTLSASVTAHNCTLEGNDDDKPSKYTQILAIAAPDFTEEEDEFENANTKNEIASPSTGSQDFVDLNRSKRVDNASSTVASETYAEHEKFLHENVQLKETSGVIGSKDHAYAQESICRSQDNPTACPSGQDDKVSETNVRDSTNEPQMNSHNLGNAAESEECDPSKGSHLDVMQNVCEYPRPSALDFDIPILDVKFTANEDGDSKFSLEVLLGDKTDVNVDESTNNAVTSEGTTSTDMNDEELLKCFAGDSNTLVDFGFYAADTFTNSDGHSNPSISSNHEVVIGLI >Solyc12g077395.1.1 pep chromosome:SL3.0:12:21259411:21261073:1 gene:Solyc12g077395.1 transcript:Solyc12g077395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAENQTIEAEIDNSEHADTSIVHEDSQSNETENSSEDQMSRPSTTEEVSENTHAIPSHVDSYVRRSSRSIKEPMWMKDYVITKGHSSTKHPMENYLNYEKLKPECRSFLRSNTQLIAEVKAYLHKQFKLKDLGELKFFLGIEVLRSSGGIILNQRKYILELIAEAGLTSAKPATTPMESNLRLTSVEHDQATAIIKMLYCMTLLHIKACPNTRRSITGYVIKFGESLVSWKSKKQQTVSRSSVEAEYRSMASAVSEITWLLGLFKELGVNVQMPI >Solyc02g067340.3.1 pep chromosome:SL3.0:2:38108285:38111481:-1 gene:Solyc02g067340.3 transcript:Solyc02g067340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVPCCDKMGVKKGPWTPEEDIMLVSYVQQHGPGNWRALPTKTGLRRCSKSCRLRWTNYLRPGIKRGNFTDQEEKMIIQLQALLGNKWAAIASYLPERTDNDIKNYWNTHLKKKMKRLDQCHGSNDLFSLENGLTHLSSSNSSTSRGQWERTLQADINMAKKALHNALSLENPTSSHNNNIKQENTQVSSTSTIYASSTENIARLLQGWIGSSTSSTNNSESSKTSSNNIATTDSSSCDGTPNAETKGGMGLMEAFESLFGLESFESSSSDELSQTTTPEAIKIEIKKEENEHDVEVPLSIILENWLLDENTLNIQPKNDLTTLISFDETPQLF >Solyc02g063080.1.1.1 pep chromosome:SL3.0:2:35681640:35681846:-1 gene:Solyc02g063080.1 transcript:Solyc02g063080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPKYVIAMGVCTITSGMFSTDSYSTVRGVDKLIPVYLSGCPPKSEAIIYTITKNKYSDNILHYRSK >Solyc07g056400.1.1.1 pep chromosome:SL3.0:7:64396226:64397677:1 gene:Solyc07g056400.1 transcript:Solyc07g056400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELDALYPPDSDLDLSFTSCASITTTTTDRTLSARSSLARSSLTLSFNDRLSCTSSTNNPSAEIPNFHRRAHRQHDPNWSAIKAVTTLSSDGSLHLRHLKLHRLVGSGNLGRVFLCRLRDYDHANFALKVIDRDSLTAKKISHVQTEAEILSSLDHPFLPTLYAHLEVSHYTCLLIDFCPNGDLHSLLRKQPGNRLPVDSVRFYAAEVLIALEYLHSLGIVYRDLKPENILIREDGHIMLSDFDLCFKSEVSPKLDFTTRVLEGSRRRRNSCYGDRQREETVTEFVSEPTSAFSRSCVGTHEYLAPELVSGAGHGNGVDWWAFGVLLYELLYGTTPFKGSSKESTLRNIASSKGVKFYVDETQGEEAELRDLIEKLLVKDPRKRLGCTRGATDIKRHPFFAGIKWPLIRTYRPPEVRGITIKRTKSKAHVSHVTGFSSSPRIRRRCLWKKLGYLMRIKGSKYNLNSNHNYYCHTNHKVRKCA >Solyc01g099410.3.1 pep chromosome:SL3.0:1:89554995:89555629:-1 gene:Solyc01g099410.3 transcript:Solyc01g099410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:G8IIJ5] MDATKTTKGAGGRKGGPRKKSVTKSIKAGLQFPVGRIGRYLKKGRYAQRVGSGAPIYLAAVLEYLAAEVLELAGNAARDNKKSRIIPRHVLLAVRNDEELGKLLAGVTIASGGVLPNINPVLLPKKSAVAEEKSPKAKAGKSPKKA >Solyc03g116480.1.1.1 pep chromosome:SL3.0:3:67372238:67373344:1 gene:Solyc03g116480.1 transcript:Solyc03g116480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSNLPFELLANIFSHLSPDSLARAKSACKSWHTCADNSPSWATLPWRQYPPWFLALPTRNHGRFICAHNPINDSWHLLPLDFIPNPIRPIAAVNGLILLRETTTTALQLAICNPFTRQFRHLPKLNVTRTNPAVGVISLDSANFQVYVAGGMSVASSTGGGASYEPSLEVYDSVNENWKTVGSMPMEFAVRLTVWTPNESVYCNGVLYWITSARAYTVMGFEIRNKNWRELGVPMADRLQFAALVERNGKLCLVGGSGDAGACIWQLEESNNWRMIEKVPQELWARLFGGKGRWGSINTRCVCIGGAMCLYRDLGSGMLVCAENGTKWEWHWIEGCGTIKGVKLQNFPIKGLLLHPYLASSSFSLNK >Solyc02g068270.3.1 pep chromosome:SL3.0:2:38817795:38819486:-1 gene:Solyc02g068270.3 transcript:Solyc02g068270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALASSSLCNSPSSIIHSSKTSIPNFITFSSLSYYKKRGKSLASRSLGIVAATEGSVNKSSGKDEEKQEDPSVPTWAKPGTDEPPPWARNEAQKDSSSIQVPFVVYLLASAVTAIAAIGSIFEYTNQKPVFGVLGSDSVFYAPLLGFFVFTGIPTSAFLWFKSVQVANKEAEEQDRRDGYM >Solyc12g006160.2.1 pep chromosome:SL3.0:12:705112:710251:-1 gene:Solyc12g006160.2 transcript:Solyc12g006160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSKEKPPEPLDFFIWTVEDVGLWLEEIKLGSYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQRVRRPWWAPSCISVVFMKTAKRNRQCRVVSLKLEP >Solyc07g063540.3.1 pep chromosome:SL3.0:7:66124872:66127969:-1 gene:Solyc07g063540.3 transcript:Solyc07g063540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWTAAARQAANLYRFSASKSVSSTKQGALLIQRRGLAGGGDHHGPPKVNFWQDPMSPSKWKEEHFVIVSLTGWGLAFYGGYKLFTKGKKEEKEEKVGEGSH >Solyc06g035555.1.1 pep chromosome:SL3.0:6:24636151:24637587:-1 gene:Solyc06g035555.1 transcript:Solyc06g035555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKLLGQGIGSCSINELQEIDNQLERSLKIIRARKERQMLEENARLSQKCGRAALESAQLKEKETSCSRVTMETDLKIGLPDIGWW >Solyc11g011070.2.1 pep chromosome:SL3.0:11:4139044:4161985:-1 gene:Solyc11g011070.2 transcript:Solyc11g011070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVAMDGYAKMNAAIAKAEKEEREWDEIDRMPFGQRMNSLMGKSKRGAKASSSGRSTQGTAQGLKSSVDAAVKKEDECDSQDNPSNFSSVLMDDKISQSAGKKKVGSPLSDLVHVKVEPVGNNMVEATVKNEMGNLPNSSMVQVKSGVGITFEFTEDELDNMALSERMQLYSKRRAPSFKIGRVVECSSEIASSISDCAPISAVPANPLKVCPPRKQRKTATDSVQAAMEEDAPGLLQVLLEKGVSIDEMKLYGKNASNEPLDDLSSEKNFSELQAVISKLFSVQRQSLIKLPPLQRTKGEKASYCLACLFSLIEQARYLQFRKWPAEWGWCRDLRSFIFVFERHNRIVLEHPEYGYATYFFELVDSSTIDWQIRRLVTVMKLTSYSRVNLIEDRALTVGKELTEGEARVLMSYGWIRNTGLGTMLRYCDRVIHDRITEKDGSEWKSKIEKLLVAGYSGGSIVENPVDHNVARNDPEIKSELE >Solyc02g080280.2.1.1 pep chromosome:SL3.0:2:45104374:45104901:-1 gene:Solyc02g080280.2 transcript:Solyc02g080280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNLWRQPIGFIVFLISSLAECERLPFDLPEAEEELVAGYQTEYSGIKFGLFYIASYLNLLVSSLFVTVLYLGGWNLSIPYIFVPDIFGINKGGKVFGTLIGIFITLAKTYLFLFIPIATRWTLPRLRMDQLLNLGWKFLLPISLGNLLLTTSSQLLSKIILSQFIIFFLYHISPT >Solyc03g034267.1.1 pep chromosome:SL3.0:3:6037122:6037513:1 gene:Solyc03g034267.1 transcript:Solyc03g034267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHENKDLLHVRHANRTVLQFNIKDFAIVTGLKCKENVKDFSFPKFTPSRLLQRYFLNTTTVTRAKGEYISENDDP >Solyc06g063070.3.1 pep chromosome:SL3.0:6:39933647:39936204:-1 gene:Solyc06g063070.3 transcript:Solyc06g063070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:JERF1 [Source:UniProtKB/TrEMBL;Acc:Q8LGR9] MCGGAIISDLVPPSRISRRLTADFLWGTSDLNKKKKNPSNYHSKPLRSKFIDLEDEFEADFQHFKDNSDDDDDVKAFGPKSVRSGDSNCEADRSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGIRVWLGTFNSAEEAARAYDAEARRIRGKKAKVNFPDEAPVSVSRRAIKQNPQKALREETLNTVQPNMTYISNLDGGSDDSFSFFEEKPATKQYGFENVSFTAVDMGLGSVSPSAGTNVYFSSDEASNTFDCSDFGWAEPCARTPEISSVLSEVLETNETHFDDDSRPEKKLKSCSSTSLTVDGNTVNTLSEELSAFESQMKFLQIPYLEGNWDASVDAFLNTSAIQDGGNAMDLWSFDDVPSLMGGAY >Solyc06g007700.3.1 pep chromosome:SL3.0:6:1681385:1686314:-1 gene:Solyc06g007700.3 transcript:Solyc06g007700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGPPQPSGSSPPPKPWERAGSSSGPAPFKPPSAGNTSDVVEASGTARPGEIVSTANRNTAVNNNTLARPVPTRPWEQQQTYGSSYGGFNTGMNYNSGYGTGTYGSYGGGYGSTYGSGLYGNSMYRGGYGGLAGGGMYGGGMYNSGYGGSMGGYGMGGMGGMGGMGMGPYGDQDPNNPYGAPPSPPGFWVSFMHVMQGVVTFFGRVAMLIDQNTQAFHMFMSALLQLFDRSGLLYGELARFVLRLLGVKTKPKIQPPGANALPGPHQPHGNQNFIEGPKAAPGAAWNDVWGDNAQ >Solyc08g075940.3.1 pep chromosome:SL3.0:8:60131804:60144654:-1 gene:Solyc08g075940.3 transcript:Solyc08g075940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISDIMEDVGCSSGKGKTSNGSTMMDFDIDGLFEVGDYDWDDDEDEDDNLDMASIKELGGGFLRNFCKKASAGFFEKYGLISHQIDSYNDFIRYGIQKVFDSVGEIHVEPGYDPSKKGEGEWKHASVKFGNVILDRPKFWAGEKYSAYNGEEYLNMWPRHARLQNMTYSARIIVETHVQVFTKKLVRSDKFKTGVEQFVDKECVMEDKRDVFIGRIPVMVNSELCWMSGADRLDCEFDHGGYFIVKGAEKIFIAQEQMCLKRLWVSNHYTWMVAYRSGEKRNRVYLKLTETLKHEQIKGEAKSLSVYFLAEMPIWVLFFALGVSSDREVVDLIDVDIKDNKIINILVASIHDADKNCEDFRKGKRALAYVDRLIKSCKFPPQESVEECIKEYLFPNLSGLKQKARFLGYMVKCLLLSYIGRRKVDNRDDFRNKRLDLAGELLERELRVHIKHAERRMVKALQRDLCGDRQVHPIEHYLDASIITNGLSRAFSTGHWCHPYKRMERVSGVVATLRRTNPLQMTADLRKTRQQVTYTGKVGDARYPNPSHWGKVCFLSTPDGENCGLVKNLASMGLVSTTVLMPLLETLFRCGMQKLVDDCATSLHGKQKVLLDGDWVGVCEDSALFVSKLRRKRRRNEVPHQVEVKRDEQQDEVRIFSDAGRIMRPLLVVSNLKNIKALNGGVKGGDYGFQTLLDKGIIELIGPEEEEDCRTAWGVEYLLKADKENPPVNYTHCELDMSFLLGLSCGLIPFANHDHARRVLYQSEKHSQQAIGFSTVNPNIRVDTNTHQLYYPQRPLFGTMLSDSLGKPKCARHQRGMLSRPEYYNGQCAIVAVNVHLGYNQEDSVVMNRASLERGMFRSEHVRSYKAEVDNMEATGKKSKAEDSVNFGKTQSKIGRVDCLDDDGLPYIGANLQSGDIIIGKFAESGADHSVKMKHTEKGMVQKVLLSANDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGYLESQENFPFTVQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGGEKYATPFSALSVDAILKQLHGRGFSRWGNERVYNGRTGEMVHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGAAANLHERLFTLSDSSQMHICGKCKNMANVIQRPVPGGKVRGPFCRFCESVEDIVKVGVPYGAKLLCQELFSMGISLKFDTEIC >Solyc06g009865.1.1.1 pep chromosome:SL3.0:6:4069660:4069947:1 gene:Solyc06g009865.1 transcript:Solyc06g009865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDDCVTNAISLRNFHKKNDQSDQILKEYLEDDVSNGMLMDFDNALLNVYNSILHAPILATNFYNDKEILDIMCQEVVIGLKKKNQKKYLKNLI >Solyc07g064377.1.1.1 pep chromosome:SL3.0:7:66697794:66698108:-1 gene:Solyc07g064377.1 transcript:Solyc07g064377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIETGGRNRDINEIHKLNLWSPLFFVQVWAWERMVSLQPECPRNYNIVSGVRIGRWHNAKQTGETNLRTTIDASGEIFCGGHTPWLWKVGQFFPSFTRKMKSGQ >Solyc07g062310.3.1.1 pep chromosome:SL3.0:7:65206399:65211623:1 gene:Solyc07g062310.3 transcript:Solyc07g062310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVNRSAVTPSKSKLARTFAKVLHIRSLTGGNQKPKFSDHVKDDLVKNDVVKGELLKKTQFKSFDDEDEKHQKAAAEAFLAKLFANISAVKAAYAQLQFAQSPYDPDGIQSADDLVVSELKNLSELKQCFVKKQFDEYSPEKTHLLAEIQEQKSVLKTYDIMGKKLDSQLKLKESELMFLREKLVEANKENKLLEKRLNASGPVSPLDNLHFSSLNPSHFIMFHRQTVRSIRSFVRLLIKELVDSGWKLDAAASSIEPGIEFSKANDICYAFESFISREMFDGFNYPNFSISAEPLPEQKKRQKLFYDRFTELRSVKPADYLAWKPQSTFSRFCRAKYLQLIHPKMEDSIFGNLDQRNILNSGEYPESAFFSAYSDMAKRIWLLHCLAFSFDPIASIFQLSKGNRFSDVYMESLNEEAFISWDGSPETEPRVGFTVVPGFKIGNTVIQCQVYLC >Solyc01g016690.1.1.1 pep chromosome:SL3.0:1:21247042:21247329:-1 gene:Solyc01g016690.1 transcript:Solyc01g016690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIITPFFLLVLLVSRMKTNEAANLRPVRSPAPHSGSSPSPHPAGISPSPHPGSGVSGKNIVGPKKVSSAPPPLPPKSKQNNVPLVPRKSITRA >Solyc12g010150.2.1 pep chromosome:SL3.0:12:3279611:3282137:1 gene:Solyc12g010150.2 transcript:Solyc12g010150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETYASQNTEKIHTDVLSQARISCYKARDAFYSCLEKESNKKPTEIATVGLLYPVECKKTREEYVKQCRPTWVKHFDRQYCGKKRVQRLLDDNDSRRGV >Solyc01g095250.1.1.1 pep chromosome:SL3.0:1:86462776:86463672:1 gene:Solyc01g095250.1 transcript:Solyc01g095250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQSNRSLALFSIVILILAASCDAGGIAIYWGQNGGEGTLAETCATKNYDFVNIAFLPTFGNGQQPMIDLSGHCNPNVGECTKLSTDIKSCQAKGIKVILSIGGGAGSYYLASADDAREVATYLWNNFLGGQSTTRPLGDAVLDGIDFDIEGGTNLYWDVLAKSLSAYSSMGKKVYLTAAPQCPFPDAWIGNALKTGLFDYVWVQFYNNPPCQYSSSDISNLEAAWEQWTADIPAKKIFLGLPAAPAAAGSGFIPADDLTSQVLPSIKNSSKYGGVMLWSKYYDDQTNYSSSIKSDV >Solyc06g008750.1.1.1 pep chromosome:SL3.0:6:2667704:2668012:1 gene:Solyc06g008750.1 transcript:Solyc06g008750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGELASKKAAVIFTKSSCFMCHSIKALFYDIGASPAIHELDEDPKGKEMEWALRSLGCNPCVPAVFIGGKYVGSCKDVISLQVDGSLKQMLIDAKAIWL >Solyc05g045950.2.1 pep chromosome:SL3.0:5:58630557:58631930:1 gene:Solyc05g045950.2 transcript:Solyc05g045950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIIPQVLGVINNSHYLYRMTILRAISLLAPVMGSEITCSKLLPVVITVAKDRVPNVKFNVAKVLQSLIPVVDQSVAEKMIRSSLVELAEDPDVDVRFYASQALQSIDGVMMSSYRYLSVGGSIPEICCQILSGRLGLRRHTKKQLREGRK >Solyc02g088950.2.1 pep chromosome:SL3.0:2:51507803:51531661:1 gene:Solyc02g088950.2 transcript:Solyc02g088950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRGAGSRVAKRHLNFLPAAKKLTVECTVRSAIRSSQIILWGSASVKHDPESRFLCQIKSSSQSILK >Solyc03g083320.3.1 pep chromosome:SL3.0:3:54578565:54582356:1 gene:Solyc03g083320.3 transcript:Solyc03g083320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPSKICRFSTPGYEDPTLLAAETAFQNSKLSILFNDLCIAVTVSEVEALYELFKKISSSIIDDGLIHKEEFQLAIFKNQNRRDLFADRIFDLFDFKRNGVIGFGEFVRSLSVFHPNAPVADKIAFAFRLYDLRQTGYIEREELKEMVLALLNESDLILSDDIVEMIVDKTFDEADKKSDGKIDEEEWKEFVSMNPSLLKNMTLPYLKDITLAFPSFVMNSEVEDSEV >Solyc01g058080.1.1.1 pep chromosome:SL3.0:1:65008744:65008956:-1 gene:Solyc01g058080.1 transcript:Solyc01g058080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVFGTLEQFLSAFKEAGDPSSLLIHSHQIVSARSTYIRMDNLGTRFACTFTICLPNDIEVPADVCQLQ >Solyc01g087550.3.1 pep chromosome:SL3.0:1:82359745:82367092:-1 gene:Solyc01g087550.3 transcript:Solyc01g087550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDDDFTFCQVGAPDNQDYVDTQKIAHDISEIRIKDEPSNNDGTSQSNGAPWEGKLGNITTSKKQGTVGSLSFTVIDTSPGKQASRTSGQVALKDGGFSVPTYQKEIKPARKPVVRAKVPYEKGYSQMDWLKLTRTHPDLAGLNGQSNRRLISMDEVKEHQNAEAMWTVLKGHVYNITPYMKFHPGGVDMLMKAVGKDCTALFNKYHAWVNAEVLMEKCLVGILDDSK >Solyc01g103690.3.1 pep chromosome:SL3.0:1:92111842:92125597:-1 gene:Solyc01g103690.3 transcript:Solyc01g103690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLSLSQRKLNEDIIDYDLLEDLVCYIDETYPDGAILVFLPGVAEINTLFDRLSVSFQFSGQSSEWILPLHSSVASEDQKKVFMRPPENIRKAAEKRLHFLMSFRMKNYARKFWRVLHNFKSESCIDDFLLKSQSFARTHLQLCLVIIATNIAETSITIDDVVYVVDCGKHKENRYNPKKKLSSMVEDWISQANARQCRGRAGRVKPGICFCLYTSYRYEKLMRPYQIILSYIALMFSLTSLDPGDAANAVSRIVLTNQIAITWKHKAIFMQFYIFCSTDFLTHFCLFKALEPPKDEAIMSAISLLYEVGAVEGNEELTPLGYHLARLPVDVLVGKMLLYGGVFGCLSPILSISAFLSYKSPFVYPKDERQNVERAKLALLSDKLGCETDSDSGNWQSDHLLMMVAYKKWEKILREKGVKAAKQFCSSYFLSSSVMYMIRDMRVQFGTLLADIGLINLPKKSEVDWKKKEKLGSWLSDISQPFNINSNHSSVLKAILCAGLYPNVSAREEGIATTALGNLKQSANNSAKSNPAWYDGKREVHIHPSSINSDLKAFQYPFLVFLEKVSVFVIFCSENLTFGFSVLIYVETNKVFLRDTTVVSPYTILLFGGPINVQHQTGTVTIDGWLEVTAPAQTAVLFKELRLTLHDILKELIRNPQASKVTDNEVLRSIIQLLLEEDKQRK >Solyc07g015860.3.1 pep chromosome:SL3.0:7:5626986:5629784:1 gene:Solyc07g015860.3 transcript:Solyc07g015860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PDF1A description:Peptide deformylase 1A, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q9FUZ0] MMERFPRLAQRVLSVPFTPKYLKSCKKTNPLTSHLMQLRGSQRPIFIQWNLQGRPSVCTDLISKKNYSSATARAGWFLGLGEKKKQAMPDIVKAGDPVLHEPSQDIPLEEIGSERIQKIIEEMVKVMRNAPGVGLAAPQIGIPLKIIVLEDTNEYISYAPKDETKAQDRRPFGLLVIINPKLKKKGNKTALFFEGCLSVDGFRAVVERHLEVEVTGLDRNGKAIKVDASGWQARILQHEYDHLDGTLYVDKMAPRTFRTVENLDLPLAAGCPKLGVC >Solyc11g062210.2.1 pep chromosome:SL3.0:11:49386835:49388423:-1 gene:Solyc11g062210.2 transcript:Solyc11g062210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVATKWKTVNKSKCGLREFCCIVWSILFSKFQRKLYLPEDGCQNITPTSKGRASTAISLGLHSYYAFPLLELPDQRCLGVFEIVSTKPSLRLPNLIKMKEQLPGFIVASGNELGQRMIVEVVKVTPSDELDSFEIGQPLSSVQPSTQI >Solyc01g009870.3.1 pep chromosome:SL3.0:1:4338210:4342363:-1 gene:Solyc01g009870.3 transcript:Solyc01g009870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGSGTNMLQYSSCHENIIKENTLRSFNEATKFNLQFIEFDVQVTRDGYPIIFHDIFIFTQQEGKLIEKRVTDLTLEEFLSYGPQNGSTNVEKPLFRKTKDGRIFEWKVEEDDRLCTLQYVFENVSQSVGFNIEFKFDDKRNYKDEELVRVIQAALQVVLRYAKGKRIMFSSFQPDAAQLIRKEQTAYPVFFLTNGGSEIYPDIRRNSLEEAIKLCLEGGLQGIVSEVKAILRNPKMIANIKESNLSLMTYGQLNNEKEVVYLLYMMGVDGVIVDFVEEITSVVAQFSNQVHHDRKEHLLLLEKRLLSEQRITLCSEDEISYFRRLVPELIHL >Solyc01g066320.1.1 pep chromosome:SL3.0:1:73868163:73869368:1 gene:Solyc01g066320.1 transcript:Solyc01g066320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPKSYMANIDHQDLYSSSIPWANAYDIGEEVELSKIFDMTQKHHVNTTIISQLPNVVSEEKQPMTTMNTSSNSSSDKNSSRITYPIILDNDDDYSLSYIYSSGLKNVLQEDGGTSTNVINQENPETTNDMIFQQPLPKIPILEKFEREASLKSMFIIDKPLRTSMDSWKEEEIHKTKDMSHILTLEKLESHFIKSRLMVGQENGILCSLDNEFPQSISISSGGPIRRSPNRASTKYQPFGKEKIHPNNNQGSCRIGQNSAESMFNIPQNFNEYMQGYGYPNEAITSRSLNSRKENEDGTPGQLQSNSWNTQDTTNWPWGPFH >Solyc11g072460.2.1 pep chromosome:SL3.0:11:55924486:55939996:1 gene:Solyc11g072460.2 transcript:Solyc11g072460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NEDD8-activating enzyme E1 regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4DAV3] MAEPKVKYDRQLRIWGEQGQAALEKASICLLNCGPTGSETLKNLVLGGVGSITVVDGSKVEVGDLGNNFMVDESSVGESKAKCVCTFLQELNDAVKAKFIEDCPEELIETNPSFFSQFTLVIATQLVEDSMVKLDRICREANIILIFARSYGLMGLVRISVKEHTVIESKPDHFLDDLRLNNPWPELTRFTETIDLNTTDAVVQKHTPYIVILVKIAEEWANTHGGNLPSTREEKRQFKDLIKSKMITADEENYKEAMEASYKVFSPRGIGVSLQKIIDDSCTEVDSSSSDFWVMVAALKEFIANEGGGETPLEGSIPDMTSSTELYVNLQKTYQAKAEADFLVMEQRVRNLLKKIGRDPASISKANIKNFSKNARKLAVCRYRLIEEEFNSPVQSELQKYLTDEGHGTLQEANSVKVLPENTAAGLYILLRAADRFAVNYNKFPSEFDSEMDEDISRLKTTAVSLLNDLGCNSSTVSEDLINEMCRYGASELHVVSAFVGGVASQEVIKLITRQFIPMSGTFIFNGIDNNSQLLLL >Solyc03g121690.1.1.1 pep chromosome:SL3.0:3:71263819:71264481:-1 gene:Solyc03g121690.1 transcript:Solyc03g121690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGDDYCDAHQDESLDVSSVEPTSYDQFFIKFEVEFLREQEDDDDDEEELYVSRLESIIKTGIFQERCDRLPHNNLSWHNVTRMLHIMEVPVDRQPMILHQICNFADRIANEPYNRNKKILPIKVYISLPVNYFIEENESSDVTIRPANELVEQDLEKVKIEESELGSDFVCVICMEKMEVGSEATKMPCSHVYHGNCLMNWLGVNRVCPTCRFVLPS >Solyc02g093680.3.1 pep chromosome:SL3.0:2:55073947:55076945:1 gene:Solyc02g093680.3 transcript:Solyc02g093680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] iron-sulfur subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:D2KQI9] MATSLIRRAISRVQSSAPAARLLVARAHASDSQAQKVESKPNLKSFQIYRWTPDNPGKPELKEYKIDLKECGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNIDGCNGLACLTKISSDSESTITPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKTPAPTPGKEIPQSKSDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTQERLDAVNDEFKLYRCHTILNCSRACPKGLNPGKHIQNIKKLEMAP >Solyc10g055610.2.1 pep chromosome:SL3.0:10:56989899:57007457:1 gene:Solyc10g055610.2 transcript:Solyc10g055610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILRSFNGESFEVDETVALESETIKHMIEDDCANNTIPVPNVTGKILAKVIKYCKRHVEVSKAEDNTAKEDLKTFDAEFVKVDQSTLFNLILAANYLKIKSLIDLTCQTLADMIKGKTPEEIRNLLNIKNDFTPEEEEEIRRENAWAGW >Solyc05g021373.1.1 pep chromosome:SL3.0:5:26941356:26941967:1 gene:Solyc05g021373.1 transcript:Solyc05g021373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKATLESSMEIEALVYSSDISNGSNFYSWEADHGCPYMYSELRKSSGYWITWEKHLLLLKAPDIVKDGE >Solyc01g111933.1.1 pep chromosome:SL3.0:1:98000236:98003010:1 gene:Solyc01g111933.1 transcript:Solyc01g111933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLLIARELLRGKTMMGIGTGRDVSLAVGSTFEPALEGEAVFPGLPIKGDKQKVGVANGEVNGECCIEKGLGDIRFWTGRPPKPPLLKKDVFSAAAHRFVASSTNNTSSILAIILRKTREAKPETMQEISKHAQQPQFYLQLPAYGALMTSPGNQEDIQALGVSTSASTLLLIAKAAFSIWLVQSLHCCTLFLASSDNPFGGTLGQGNHHFSSCRTEGLPNPIPGGKESQSSPSAKTIPRSTYQNSVSRNPSRMLINIASSFFRAGRFKYKSERGLVAITSYVPPPGNC >Solyc01g081460.3.1 pep chromosome:SL3.0:1:80524265:80529527:-1 gene:Solyc01g081460.3 transcript:Solyc01g081460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLYSAIPKKPLNSIFISSPNFIFNTVNKHITCVTPSIFPRLRGYCTWVSAVSSSVAEKDEHLSRHASPGIVAKEYADLNLPEKISEDVGNLRIRQHVNPLRRGLMIPAEAPNWKEVFEDATLPLMVDIGSGSGRFLMWLAKRNSSSMNYLGLEIRPRLVARAEYWINELCLKNAHFISTNAMVSFQRLVSTYPGPLMLVSILCPDPHFKKKHHKRRVLQKPLVDSIVNNLEHGGQVFIQSDVLDVALEMRNYFDAVPDKLVHIDSVDPSLTCDDDGWVLNNPMGIRTEREIHAEYEGCKIYRRVYIKVPH >Solyc05g005010.3.1 pep chromosome:SL3.0:5:15278:18750:-1 gene:Solyc05g005010.3 transcript:Solyc05g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLCFVHHYTTIQCQCYSTTTTTPEEETRRSYNSSKIHVMASKNISPIIYIFVVFFLFSVCPVLSSSLEQFNQTFDIKSHLMKINKPSIKTIKSPDGDLIDCVLSHQQPAFDHPQLKGQKPLEPAERPRSSGNSMEFENFQLWSMSGETCPEGTIPVRRTKEQDILRASSIRRFGRKIKRPVRRDTTSNGHEHAVGYVTGDQYYGAKASINVWAPKVTNQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRIAIGAAISPTSSYNGGQYDISIMIWKDPKHGHWWLEFGSGVLVGYWPSFLFTHLRGSASMIQFGGEIVNSRGNGGFHTSTQMGSGHFAAQGFGKASYFRNLQVVDWDNSLIPLSNLKVLADHPNCYDIQGGINPVWGNYFYYGGPGRNQRCS >Solyc12g019620.2.1 pep chromosome:SL3.0:12:10930014:10942335:1 gene:Solyc12g019620.2 transcript:Solyc12g019620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEISDSNRWKKDEEEVLKWEDNEHDVPTYNNNDNVIRRGSDDERIISLSMEEKRNLLNRLMNIPQAEEDNHNFLLKLKQRFHRVGIEFPKIEVRVEHLTVEAEAYAGTRALPTMFNFTIDVIEGALNYFHVLPKRKKSFSILNDVSGIIKPGRMTLLLGPPGCGKTTLLLALAGKLNSDVKVCGKVSYNGHGMDEFVPERTCAYISQDDVHLANLTVRETLEFSARCQGVGPRYEMLEELLKREKEANIKPDPDIDMFMKAASVQGKEPNIITDYTLKILGLEICAETLVGDEMVRGISGGQLKRLTTGEMMVGPARALFMDEISTGLDSSTTFQIVKSIRQSIHILKGTALISLLQPAPETYGLFDDVILLADGRIVYQGPREHVLEFFEYVGFKCPRRKGVADFLQEVTSRKDQEQYWARKDEPYKFVSSREFFEAFQSFHVGRELSNDLSVPFDKANKHPDALTTNKYGVKKRELLKACMSREILLMKRNIFVYLFKIIQHLLLASITMTLFLRIKMPKETQTDGFIFMGALFFTVIAAMFNGCSEVPFTILKLPVFFKQHNLLFFPVWAYTLPAWIVKIPISIIESSIWVSITYYAIGFDPNIVRFSKQLFLVICVDQMASGLFRFMAAVGRKMIIANTLGSLALLLVLVMGGFIMDRDDVKKWWLWGYWCSPMMYAQNAITVNEFLGNSWRNNVPGSTDKLGVVVLKSRAIFSDAHWYWIGVGAVLGYVVFFNLMATLALTYLKRMCYLSDLSCNYFHLSSSKILLKSSILYAAFGKSGAVLPDETVAQRKTKIRNIDINSSTGEMKNEGQRNASIRETKTIKKGGMILPFQPLSLVFDDIRYAVDVPQDIKPQGVLEARLELLKGISGAFRPGVLTALMGISGAGKTTLMDVLAGRKAHGYMEGSITISGYPKKQETFARIAGYCEQTDIHSPNLTVHESLQFSAWLRLPQEVDSATRKMFIEEVMNLVELTPLKDSLVGLPSGSGLSVEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELVLLKQGGEEIYVGPLGHHSSELIKYFEGINGVPKIKDGYNPATWVLEITSKAQEAALGVNFAVLYKSSELYRNNKSLIREASIATPGSKELNFSTKYSRSFFNQCVACLWKQHWSYWRNPSYTAVRILFTSFTALMFGTVFWDLGSRRTRKQDLFNAAGSMYASVLFLGIQNASVAQPVVSIERTVFYRERAAGMYSAFPYAFGQIVIELPYILVQTVVFGVIAYGMIGFEWTIAKFFWYQFFMFFTLLYFTLYGMMTVAVTPNLSVAGIISNFFYAMWNLFSGFVVPKTRIPVWWRWYYYICPVSWTLYGLVASQFGGLTDELEENLTLGQFVKSYFGFESDFVPYVAIIIIAFCILFAFIFAFSVKAFNFQRK >Solyc09g083260.3.1 pep chromosome:SL3.0:9:69324289:69333990:1 gene:Solyc09g083260.3 transcript:Solyc09g083260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLHCPSLPLLNPRIYQISPSKRKALACPCRRNHASTFYMNDWLAKSKGNLPSIQQKTCRQLSSPGNMDIRENLNHQPLKIYLKNISQDFPAKIVIASLASYFLYKLKLLNLIGKKMGMIEELSFFVTRTSGAQSFPFACLSKPVNNPVPLQLDVSFPSLKDIKWSLSRLIYLFNIQLEKNVAMFFVVLLVSCFSFVMIGGFLFYKFRKRRGNAYSLEDCLWEAWACLCSSSTHLKQRTRVERVIGFILAIWGILFYSRLLSTMTEQFRNNMQRLREGAQMQVLETDHIIICGVNSHLNFILKQLNKYHEFAVRLGTATARRQRILLLSDLPRKQMDKISDNITKDLNHIDVFTKSCSLSMTKSFERAAANKARAVVILPAKGSRYEVDTDAFLSVLALQPLPEMISVPTIVEVSSSNTCELLKSISGLRVEPVQNVASKLFVQCSRQKGLIKIYKHLLNYRKNVFNLCSFPHLVGLKYKQLRRGFQEAVVCGLYRQGKINFHPRDEEVLEEADKVCSTLNIAVVSPI >Solyc01g091690.3.1 pep chromosome:SL3.0:1:85145598:85150533:-1 gene:Solyc01g091690.3 transcript:Solyc01g091690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILADLTHSITSIIKKSNLYYVAATIVLCCTFYVIGFWPQGGDVTSRQLSSTATILPTLPCNPSIKNTNPNSRSSIPIDFTAHHTADELVPLSSSARVNHYPSCNLELSEYTPCEDSKRSLKFDRSMLAYRERHCPEKSELLKCRIPAPFGYKPPFRWPQSRDSVWYANVPHKHLTVEKAGQNWVRFKGDRFTFPGGGTMFPRGADAYIDDIGKLIKLKDGSIRTAIDTGCGVASWGAYLLSRDILPISFAPKDTHEAQVQFALERGVPALIGILATNRLPYPARAFDMAHCSRCLIPWGKYDGLYLIEVDRILRPGGYWILSGPPVNWQDHWRGWNRTADDLKAEQDQIENVARSLCWKKVTQRGDLAIWQKPTNHVHCKINRKVFRKPPFCQEQDPDKAWYTKIDACLSPLPEVSSVKDVAGGPLENWPERLTAVPPRIASSSIEGVTAEGFSEDTELWKKRVAHYKALDSQLAERGRYRNILDMNAWLGGFAAALVDDPVWVMNIIPAEAEVNTLGVIFERGFIGTYQSWCEAMSTYPRTYDFIHADSVFTLYQDRCEVEDILLEMDRVLRPQGSAIFRDDVDVLVNVKSILDGLQWESRMVDHEGGPHVREKLLIATKLYWTAPAPVQDKQ >Solyc08g036625.1.1 pep chromosome:SL3.0:8:10671485:10672687:1 gene:Solyc08g036625.1 transcript:Solyc08g036625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLWILMLLSFSSNLFVFSLKAKTIIYLASREIEEKTYKMSEPLLQPQTVKKSLQGFLQKRKK >Solyc01g013840.1.1.1 pep chromosome:SL3.0:1:10147946:10148293:-1 gene:Solyc01g013840.1 transcript:Solyc01g013840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNHHQVKLCIPTAKILEVITTKKYLQNFLFESLGKLGKYFLRYGISIQSFKTHENYHEGLLNIKKNKIISNVAFFKLGCAHKILRFIRNEPFYLKVWTIPSDNPQVYNLTKIC >Solyc08g008600.3.1 pep chromosome:SL3.0:8:2993511:2996747:1 gene:Solyc08g008600.3 transcript:Solyc08g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNISTSSTPSQPNTLQKTLQYIIHNRQEWWVYAIFWQASKDVNNRLILSWGDGHFRGTKDTTGSTKTGHGQYHQFQKKFGFNDISETNNNVTDTEWFYMVSMPQCFVADDDLVIRAYTSASHVWLASYYELQIYNCERAKEANLHGIRTIVCISTTSGVVELGSSDVIQENWEFVQFIRSLFGSNNNMNTTSHLPVNQVTLGDDHKVAKCGSNIIVKQEMTIGNLLSESGISDFENDDSLTINNVMNGSIKRAKKGDSSHIRREMAMDVHVEAERKRREKLNHRFYALRSVVPYVSKMDKASLLGDAVTYINELKAKIKNLESKLIEPQKKHILMEQHDSHSASSTIVTDHGANNKSLFSSNGVRNGMEIEVKIIGSEGVIRVQSLDMNYPCTRLMNAMKEMKFQIYHASISSVKDLMLQDIVIRVPEEFSNEETLKSAIISKLSVMEN >Solyc11g020265.1.1 pep chromosome:SL3.0:11:10686784:10687482:-1 gene:Solyc11g020265.1 transcript:Solyc11g020265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIASKSQEEIEKLKIQLRKEFKMKDLGEAKKILGMEIKRDRHSKKLYLSQKEYLRRFSDDMSRNNEVEREYMSRVPYTNAIGSLMYAMVCIRPNISHAVGVVSRYMHNPGKDHWQAVKWILRYIHNTVDVGLIFEQKDSQYLVGYCDSDYSSDLDKQRSTTGRVHGNYRDCEGSNLASRIA >Solyc06g043070.3.1 pep chromosome:SL3.0:6:29874256:29892016:-1 gene:Solyc06g043070.3 transcript:Solyc06g043070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGGKTSVLTYAEKCKNILASNWQGYLNTVKADANGSKGEIYTSKVRYFVKRGKPYIWVPENDMHNVNTMIDERGSFAVTSPFPGPLPSFLKSIKKLPARVALMGEVLPLKNEKARLPGESLKEVISSERSMIEKYSYSVLGILSSSSLGATCRGDNLQELLESDKRYVVFKFNPSSYTYFDSNGGTHELDLEEVHATKPDPLSSHTMSLIDGINQSEVRRRALILFCITHLNKNAKDAYLLSIDRKGLDVVGKVLGPIRSDGSREYQWRELRIAFREEAHTVETFCRQLVEMEEESLESISNFSGI >Solyc06g068370.3.1 pep chromosome:SL3.0:6:42474644:42481724:1 gene:Solyc06g068370.3 transcript:Solyc06g068370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTALFRVDLAGCPMVFLYILVSVEDIANIAGNSQIRRLATSVVDPNQKLKIETWKLEYVYCFMEKFVGGRQLSSVWFIPR >Solyc01g091070.3.1 pep chromosome:SL3.0:1:84661062:84675504:1 gene:Solyc01g091070.3 transcript:Solyc01g091070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWAKGTLKGQLLLSKEAEDQSYNECVKLYEETEPRLARLVVFGGDNHKYNYSHDDAITWLSAALASHRSCLDGLKEKSLATFIVSRNEETQNLTLLLKDALFHYRQLSTHTRTVTGEQLIPISSNKEGKGLLASWNAATSKPDIVVALDGSGNYKTINDAVAALSSMTRSERTVVYVKSGTYRENVEIGKGLDNLMFVGDGIDKTIVTGSKNVPDGATTLNSATFGVSADGFWARDMTFENTAGPHKHQAVALRVSSDLSIFYRCSFKGYQDTLLVHSLRQFYRDCHIYGIVILNSRVSSSSEFTAVKDSFKNYLGRPWKKYSRTVFIKTDLDGLIDPKGWKEWSGDFALSTLYYAEYMNTGSGANTGNRVNWPGFHVLHDANEASQFTVRNFIQELLKESMAGGSEVVEAALSCAKCGKPAHLQCPKCVELKLPREGAAFCTQDCFKASWSSHKSVHLKAKLSSLATESLGEQNAASPSDGWLYCLRKGQARTPKIPHFDWTGTLRPYPISEKRVVPAHIDLPDWANDGIPKIEPSSDLQHVVEIKTPELIERMRETCRIGREVLDAAARMIRPGVTTDEIDAVVHEATVAAGGYPSPLNYHFFPKSCCTSVNEVICHGIPDARKLEDGDIVNVDVTVYYKGVHGDLNETFFVGNVDEASQRLVQCTYECLEKAIAIVKPGVRFREIGEVINRHASMSGLSVVKSYCGHGIGELFHCAPNIPHYSRNKAVGVMKAGQTFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTGRLPTSPKVFPWLSS >Solyc07g044810.3.1 pep chromosome:SL3.0:7:57967396:57982000:-1 gene:Solyc07g044810.3 transcript:Solyc07g044810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQVLRAMDAFPRAEEHLLQKTKSGAFVSIVGLVIMSTLFLHELSYYLNTITVHQMAVDLRRGETLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSDGHITGTEYLSDLVEKEHKHDDHKDHHDDSDNKTHMQGFDQEAENLIKKVKQALAHGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFGGTTHVNVSHIIHDLSFGPKYPGGHNPLDGTERILRGASGTFKYYIKIVPTEYRYLSKEVLPTNQFSVSEYFSPINEFDRTWPAVYFLYDLSPITVTIREERRNFLHFITRLCAVLGGTFALTGMLDKWMFRFLEAVMKKNSRSLVR >Solyc02g082550.3.1 pep chromosome:SL3.0:2:46778441:46784955:1 gene:Solyc02g082550.3 transcript:Solyc02g082550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSASEDEDEGFDSYRKGGYHAVRVGDSFSGGRYMAQRKLGWGEFSTVWLAYDTQLSGFVALKIQKSAPQFAQAAFHEIEILSAIANGDQSNSKYVVRLIDHFKHTGPNGQHICMVFEFLGDSLLRLIKHNRYKGLELNKVREICKCVLTGLDYLHGGLGIVHTDLKPENILLLSTINATKDPIRSGMTPILERPEGNPNGGITMNIIEKKLKQRARRAAARISGRRSSMGVVGGTPKSNRSLDGIDLRCKIVDFGNACWDDKQFAQEIQTRQYRSPEVILQSGYSFSADMWSLACIAFELATGEMMFTPKGGEGFSEDEDHLAMMMELLGKIPRKIAVGGARSKDYFDRHGDLKRIRRLKYGCLNKLLVNKYRFSESDAHEFTEFLSPLLDFEPEKRPTAEQCLQHPWLNFKNLKQTEVKNESGVERVNVDMSKLQIKVGK >Solyc02g082300.3.1 pep chromosome:SL3.0:2:46565893:46567813:1 gene:Solyc02g082300.3 transcript:Solyc02g082300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGSTSAADDNTPAQPISSSTPLVPSFPDPSVSTTESWKRGRPNPGVTTPPPSQVAGGGLSSSAQLQADETAVSTGNKQQSVDLGSEVAALGFMQSHVINIKTGEDILVKIMSFCESTSKSVCVLSANGSTSSVSLRRPYQSVTYKGVYDILSLTGFFFVLESGGRHSREGGLTAILGNEEDGDVWGGNVDGLFTAATDVQVIVSSFSTGKQVQSDNFGTPAKLSPMSVGSLSGSVSPDSPLIVTSKRSPTGVATRLLF >Solyc04g017800.1.1 pep chromosome:SL3.0:4:8248630:8249565:1 gene:Solyc04g017800.1 transcript:Solyc04g017800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYLENARGELIRSKRNNQSRWLNYFMNSGKEYEHEAFLFLWLSRFAFLCKVGAPIFSIVVNLARGMRQALALAVLALAVEGLSIFPKFYKEIEAWTIVGGLNFAQEMESFVRCLRVSKLAGLECQDPYQPNQVAMQFGYDQDFPKWIPHSPSSRELAWYNYSIPIASDLRLYYPSRMFEPDVTTRYLKWWRNETDRQMENYPEVPPCFPPNYCW >Solyc06g034140.2.1 pep chromosome:SL3.0:6:23798231:23800215:-1 gene:Solyc06g034140.2 transcript:Solyc06g034140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAAEVQANLPCQFPSFVKYMLPSHVSGGFWLGFPKRFCDCHLPKHDAIVVLVDENDEESPTKYLIDKNGLSGGWRGFSIAHNLLEGDVLVFQLIQPSKFKVYIVRENCLTEIDGAITLLNLDFRARPIKSDHDEEIKIFEAKEEKYLEPPVLDINQDDKIEEPMLLPNSDHDPIADQCGNDSDNGSDVLEGIRFSESRVEFKDVKDYSGFNIIVDGLTIDSEVPALHIRTKYYDLCRAQNSFLHDHLLAGLNVKLAAGMITETVNIADAIRACESWTPREYLDTWDNTLKGFECLGMNVGFLRARISKLDSLSSESKDVLESKKVELAEAKEEMRIIEEKVLKVKQVMKNVESEIEALTKKDANFELKFKALAASPW >Solyc06g076710.2.1.1 pep chromosome:SL3.0:6:47770115:47772102:-1 gene:Solyc06g076710.2 transcript:Solyc06g076710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAKELADSALTSEKKKEWCEFIYEDRGKLVIPVGPRFQVDVPDWANSPNKGSPVVAADVALRASTPSKKEMVRKYKKEESDTSKWLGTLVWPRADNLENKEDNEELVGKGRNEHCSCRSSGSIGCVKRHVKEESMKLKSELETAFNDWKFDEMGEEVSKLWNTKDQTKFSSLVKTGLSKGKSFMKPALASFPSKNRQSIVNYYFNVHIPRRIRSNSITINTDDEEEEDEEEEVIAPKHSHKRNRAKKGACSCSKSLKRRYLSGRR >Solyc12g027815.1.1 pep chromosome:SL3.0:12:27453675:27454116:-1 gene:Solyc12g027815.1 transcript:Solyc12g027815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHVERLKKVFNILREKQLYVKPEKCEFAQSKVHFLGHIISQGKIRMDEAKVKAIQEFISRYSAKAAPLTELLMKNKPWVWSKECQGAFKGLKAAISQKPLRFTQMPLILPLGEF >Solyc12g035610.1.1.1 pep chromosome:SL3.0:12:42575628:42575792:-1 gene:Solyc12g035610.1 transcript:Solyc12g035610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLSFGFAYSIDFLKQSFTILFSHEHTLIKRNIHQSQQLTRLTRAFLIYTYYS >Solyc07g047760.2.1 pep chromosome:SL3.0:7:59084664:59085709:-1 gene:Solyc07g047760.2 transcript:Solyc07g047760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYLPKDVLVEILSKLPLKTLVQCTTVCKSWYSIIINPNFISLHHNTHISTAGRRPLLFVRHYNMFDRVERYALHFDDEELGESSDADSFEEYLELKCPERSRSEYMRIVGCCNGLICLSDDYDKFTDTVVLWNPIIRKHVGLPNPSLGYNGRGSCIYGFGFDGVKHDYKVVRVVYNSTATEDYKLQIPPTIEFLDKLRAVKFLVPPGVEVYSLSSGVWRTISDACPSYILHQNHSVSTFLNGAVHWISKDCSFIVAFDVGNEKFSEISLPDSVAERNVMKLDVMITGTSLMGDERVWSGEVLE >Solyc02g092075.1.1 pep chromosome:SL3.0:2:53920224:53920753:1 gene:Solyc02g092075.1 transcript:Solyc02g092075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHRLLNHSIMNKSSGSPNNNAIFDVPEVYERSIHLKAIHPCFFHQECWREMRTSSNRDK >Solyc10g078820.2.1 pep chromosome:SL3.0:10:60623053:60642560:-1 gene:Solyc10g078820.2 transcript:Solyc10g078820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWLDRTVSACCQPLGRYIGLSSSEDGVLGGEDYPLLWYRDLEKHSCGEFSFAVVQANQVCEDYGHVEPGREGTFVGVYDGHGGLDASRFACDNLSRHLITLAREKGTIDKEVLNNAFAATEDGFLSIVEREFHDDPEIASTGSCCLVGVIWKRRLYVANLGDCRAVLGQTGIFNRIFAKQLTNDHNVRIKEVRDELRALHPDDPNIVTYVRRTWRVKSIIQVTRAIGDAFLKKPEFAIGAIPLKRPVLRADPSFCSRNLQPCDKFVIFASDGLWDLLSNQEAVKIVHAYPRQGIARRLVLSALNVAARARKLKCDDLMNYDKGVRRAFHDDITVVVIFIDHEKLNDKLTAPGMSVRGYMVSWLDRTVAACCQPSDRYVDFSNGEDGVLGGEDYPLLWYRDLEKHSCGEFSFAIVQANQVCEDYGHVEPGREGTFVGVYDGHGGLDASRFACDNLSRHLIMLARENGTIDEEVLNNAFAATEDGFLSIVEREFHILDPGIASTGSCCLVGVIWKRTLYVANLGDSRAVLCHTGRYNRISAKQLTNDHNVRDELRALHPDDPNIVIGFGGRTWCIKGIIKVTRAIGDASMKKPEFAHGVMKPFRGPVVRADPSVSSRKLQPCDRFVIFASAGLWDLLSNEEAVQIVHTYPRQGIARRLVLSALNVAARARKLTRDDLMNFDKGVRRAFHDDITVVVIFIDHEKLNDKLTVPGMSVRGFMVSWLDRTVAAFCRPLGRYVGLSSSEDGVLGGEDYPLLWHRDLEKHSCGEFSFAMVQSNEVCEDYGHVEPGREGTFVGVYDGHGGLDASRFACDNLSRHLITLAREKETIDEEVLNNAFAATEDGFLSIVEREFHDDPTIATTGCCCLVGVIWRRTLYVASLGDCRAVLGHTGRYNRISAKQLTNDHNVRIKEVRDELRALHPDDPDIVRRVGRTWRVKGIIQVTRAIGDASLKKREFAHGVMEPFTGPVVRADPSVSSRKLQPCDRFVIFASDGLWDFLSNEKAVRIVHAYPRQGIARRLVLSALNVAARARKLKCDDLMNFDKGVRRAFHDDITVVVIFIDHEKLNDELTVPGMMFRWLKRIVSACCRPLSVYVGLSSGVVVGEDYPVLWHRDLEKHSCGEFSFAIAQANQVCLDYGHVEPGREGTFVGVYDGHCRVDASRFTCDNLSRHLIIYVGFFRLKQLNTHFFTALARENGTVDEEVLNNAFAATEDGFLSIVEREFHGDPGIASTGCCCLVGVIWKRRLYVANLGDSRAVLGHIGRFNRILAEQLTNDHHVRIKEVRDELRALHPDNPNIMSRVRGTWHVNGIKQVTRAIGDAFLKKPEFALGAEPLSGAVIRADPSVSSRNLQPCDRFVIFASAGLWDLLSNEEAVQIVHTYPRQGIARRLVLLAMNVAVRARKKYDDLMNHDKHVRRALHDDITVVVIFIDHEKLNDELTVPGIMYRWVEKIVLACCEPLSRSLPSSRGEDYPSLWSKDLEKHSCGKFSFATVSGNPVCEDYGLVEPGRQGTFVGIYDGHGGPQASRFACDNLSRHLIRLAQERRTIDEEVLTNAFAATEQAFLHLVRERFDDDPLIASKGSCCLVGVIWNGTLYVANLGDSRAVLGHDHIERPNRIVAVQLTNDHNASNVAIRNELTALHPDDPNIVVYERNAWRVRGIIQVTRALGDAYLKNKDFALSTSYPKFHLKVPITRPVVRDDPEISSRNLQAVDRFVIFASDGLWELLTNEKAVEIVNTYPKKGIARRLLVSALDEAARVKKIKYDELKKIGRNERRNFHDDLTVVVLFIDHNMLNRRLSVPGMTVRGFVNAAPQRSTFNIAQQQPESIYEFPIRNLPPWTQIPVISSKADCQ >Solyc02g069207.1.1 pep chromosome:SL3.0:2:39735947:39738545:-1 gene:Solyc02g069207.1 transcript:Solyc02g069207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMSWTVRLPSNLGLRTFGGTDMKIASPLSEKFPTNFDESHVLNQLSDLLPIRRTSSIEKPIPTDSSAPKNQFRVFDELLTPEDKLRGIFLQKLQGKTAIEKALTSVDVELTVDLVAKVVNKGNLDAASIATFFNWVIKQQKIPIDNDTYCIILKALGRRKFFGQMVEILKEMKNHGVMPDSVTLNIVVDSFIRARQISKAIQLFYELENYGLRCNTETLNVVLRCLCHRSHVGAASSLLVKMQEKVPFDVTTYNIVIGGWSRFGRVKEVERSLKAMVDDGFEADNLTYSCVLECLGRAGRIDDAIEIFEGLEEKGRVFDAEIYNAMISNCIGQGEIDESFKYYERMLNTDCEPNADTYMRLISAFLKARRVADAIEMFDEMLSRRIIVTTGNVTSFLEPLCSYGPPHAALMIYKKARQAGFTISLTAYKLLLMRLSRFGKCGMLLNIWNEMQESGYSSDMQVYEYVINGLCNVGQLENAVLVMEEALEKGFYPSRLICSKLNNKLLGSNKIEIAYRLFLKIKIARGKQNSQTYWRAKGWTRIKDQTSLPVAAAGNELNLLFYFPNGHLLPCTEG >Solyc12g098740.2.1 pep chromosome:SL3.0:12:67126329:67136428:1 gene:Solyc12g098740.2 transcript:Solyc12g098740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAFDQTVRDLKRGVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLADIAQASRNYHEYQMIMSVVWKRINDTGKNWRHVYKGLTVLEYLVAHGSERVVDDIREHAYQISTLSDFQYIDSSGRDQGSNVRKKSQSLVVLVNDKERIQEVRQKAASNRDKFRNTSAGGMYRPGSQSGSGGYGDRFDEDRYGGRDDERNGYGREREWGYRDEDKNGRYGDSNSRDGDRYGRDYDDRSRDGNKDDDYRGRSRSTDDYNYGSRSRSSDRYRDHTNDDDGQYSSRPLERRFSEQNLSAPPSYEEAVGGSRSPTHSERDVETSSASAPKSSSPHASASPSPVTVPAPAPATAPPPAPAAATASPPSPAAATAPPPENTVAESFDEFDPRASFTAAPPVPSNGPVSTTSGGEMDLLGSLCDPFSNALALVPAASSASEVNPSGTTGTETTFGEASSVSTGSNQMFEDPFGDGPFRAMTSNNVQTHLQNTTPSFHPNPNQSPELPQSALQGAEISNATYSQFDPTNMQYAQQELSTSNQEIDILADILPPSGPSPPGDHAISSVQPATQTGFESYGGPTSQQTGYMAPPGQAGALTVFAVQPGQQSPQTNFPIQGQTASSVGFSGQANNSPSYGGYPTQPGQASQTGFGPTGGQPTSGFQPAAGYFPQSGYQIPAGSSVQSNANAGGYNTGLGSTGPFGNQMGQTSAGQPYLSQPTGATHMPSQMHLQSSQNQTSNALVLTQTTPASTALVANTQPAKDKFETKSTVWADTLSRGLVNLNISGTKTNPLADIGVDFDAINRKEKRMEKPSTAPVISTINMGKAMGSGTGVGRAGAGALRAPPNPMVGSGMGMGMGGMGMAGGGPGMGAYGGGNQPYRGMGMNRPMNNMGMGMNTGQGFQMQQPSGFPPGPGAPMQGGYNPRMGMGPYGQQPYGGGYQ >Solyc02g068645.1.1 pep chromosome:SL3.0:2:39167212:39167788:-1 gene:Solyc02g068645.1 transcript:Solyc02g068645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTCERVHFEVDVEECRHQTISQQQIMLDRSFRVERGIMTWVCANADSTKKNACTCNGKLLHLNRILTHSQINVTETTTQQAFVISIPEVSP >Solyc03g005940.3.1 pep chromosome:SL3.0:3:633787:635547:-1 gene:Solyc03g005940.3 transcript:Solyc03g005940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTKGHEQVPPGEPMTIGQHMLDKGAQMMQSLKPIKQMNQHVCTFAMYSHDMSRQIETHHYVTRVNQDFLQCAVYDSDESNGRLIGVEYILSDRIFESLPQEEQKLWHSHAHEIKSGLWVNPRVPEMVIRPELENLTKTYGKFWCTWQTDRGDKLPIGPPSLMMSPQPVDLGIVKIGLVKKRDDKYNLSTDTMKTVRTDLSEPTRLNPMADYWVKHGKGFAIDVDDVEMKKIAPFP >Solyc05g055140.1.1.1 pep chromosome:SL3.0:5:65767046:65767585:-1 gene:Solyc05g055140.1 transcript:Solyc05g055140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTIGDGIEDTQDHYIGEKNFENYGYGIGFSLLILVILILITYSSYLYIGKRSSTNNSSSHDIVNNNITNTSIVENQLVFIQQGLDETTLRNYPKLLYSQAKSHNNKRDFLISSGCSICLVDYKDNDKLRLLPDCHHIFHVKCIDPWLRLHPTCPNCRSSPFPSPLAEVVPLATTRPS >Solyc11g039380.1.1.1 pep chromosome:SL3.0:11:45458274:45458612:1 gene:Solyc11g039380.1 transcript:Solyc11g039380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAACLRPLPVLYARFHNQSRIHLFEGGPIPRSSNCLEVEGFKNPFTSRAHRSPILCIFRNRAIKWSTAKPIRVPKLYTITMPSIGDRSTDYFCDVCHMKKLSKPCKKYMLY >Solyc02g072280.1.1.1 pep chromosome:SL3.0:2:42135794:42137986:-1 gene:Solyc02g072280.1 transcript:Solyc02g072280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLVSIFFCIVILLHSSKITFADHSTNQNDLETYIVQLELPDDFVLSDSKDSYLWHQSFLPKTSANSDLSSRIIYSYRHVFNGFAAMLSSDEVKMMETQPGFVSARPQRVLQLHTTHSPSFLGLHQNVGLWNASNSGKGVIIGLLDSGINPNHPSFNDHGMPPPPAKWKGKCEFNYKACNNKLIGARNLVKTAESPLDGDGHGTHTSSTGAGNFVGGANLLGNANGTAVGIAPRAHVAMYRVCDKDGCPEVFILAGFDAAIEDGVDVISASVGATALPPYAETMAIGAYRAIEKGIFVTCSAGNSGPFSSTVENGSPWILTVGASSTDRKISAVAVLGNGSEYEGESAFQSTNMSRKLLPLIDGGDCESLAIIDVRGKIVLCAAVGSLSGIEKGEEVKKAGGAAMILKNEEEQGYTTFATIHVLPATHVSYLDGLKIINYITSTSTPVATISFKGTRIGDKHAPVVASFSSRGPYTVSQGILKPDIIGPGVNILAAWIKPPAGVIPSATSTFNIISGTSMSCPHLAGVAALLKSAHPDWSPAAIKSAIMTTADLVNLGNNPIQDEKLNPADLLSIGSGHVNPSKANDPGLVYDIQPQDYVPYLCGLNYTDQQVSSIVNKKVHCTLSIAEAELNYPSFSIDLGSSAQTYTRTVTNVGEANSTYTVEVIGVEGVALSIKPSILKFSALNQKLSYEVTFKRSTSTDSSQGYIKWSSAKYSVRSPISIFKLH >Solyc06g009280.2.1 pep chromosome:SL3.0:6:3241110:3255410:-1 gene:Solyc06g009280.2 transcript:Solyc06g009280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNSNGNSGPNEASSSSGGQNNTSQQDSDKTKQAEKANTVPFYKLFSFADSTDMVLMITGTIAAIGNGLSLPIMTILFGDLTDSFGQNQNNKDVVRVVSKVSLEFVYLALGCGVASFLQVACWMISGERQASRIRSLYLKTILQQDIAFYDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFVQLISTFIGGFVIAFTKGWLLTLVMLSVIPPLVISGGAMSHVLSKMASSGQDAYAKAATVVEQTIGSIRTVASFTGEKKAVADYNESLVKAYHSGAKEGLATGLGLGSVFAIIYCSYALAIWYGARLILEKGYTGGKVINIIIAVLTSSMSLGQAAPCMSAFAAGQAAAFKMFETIKRKPEIDAYDTNGKILDDIRGDIELNDVCFTYPARPDEQIFSGFSLFVSSGTTAALVGQSGSGKSTVISLIERFYDPQSGQVLIDGINLKDFQLKWIRGKIGLVSQEPVLFTASIKENILYGKYDATAEEIKVATELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMINRTTVIVAHRLTTVRNADMIAVIHRGKVVEKGTHGELLKDPEGAYSQLIRLQEVNNKTDKSGLDERDSIEKSMGSGRQSSQRVSLMRSISRSSSGVGNSSRRSLSISFGLATGLSVPETANTDTETGIQEVAEKRLEVPIRRLAYLNKPEIPVMIIGTVAAIINGSILPIFGILLSSVIKTFYEPPHELRKDSKFWALMFVLLGGVTFIAFPARTYLFSIAGCKLIRRIRSMCFEKVVRMEVGWFDDSEHSTGIIGARLSADAAAVRGLVGDALAQMVQDIATSIVGLAIAFEASWQLALIILVMIPLIGLNGYIQIKFMKGFSANAKVMYEEASQVANDAVGGIRTVASFCAEEKVMEIYKRKCEGPLKAGIKQGLISGIGFGVSFALLFCVYATSFYAGARLVQAGQITFSDVFRVFFSLTMAAIGISQSSSLAPDSSKAKSAAASVFAILDRKSKIDPSDESGMTLDTVKGDIELKHVSFKYPTRPDVQILRDLCLTIRSGKTVALVGESGCGKSTVISLLQRFYDPDSGQISLDGIEIQKFQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNATEAEVLAAAELANAHKFISGLQQSYDTTVGERGTQLSGGQKQRVAIARAILKNPKILLLDEATSALDAESERIVQDALDRVMVNRTTVVVAHRLSTIKGADVIAVVKNGVIVEKGKHDTLINIKDGFYSSLLNEASSEEQDNAGGKQDSNMIKQIQTVPFYKLFSFADSTDIVLMIIGTIGAIGNGLSIPFMTVLFGELTDSFGQNQNNKDVLRLVSKISLKMVYLAVACGVAAFLQVACWMISGERQASRIRSLYLKTILQQDIAFYDNETNTGEVVGRMSGDTVLIQDAMGEKVGKCVQLISTFIGGFAIAFTQGWLLTFVMLSIIPLLIISGGVMSLMLSRMASSGQEAYAKAAGVVEQTIGSIRIVASFTGEKKAIADYNESLIKAYHSGAKEGLASGLGLGSLFALMYCSYALAIWYGARLILEKGYTGGQVINIIVAVLTASMSLGQASPCMSAFAAGQAAAFKMFETIERKPEIDAYDTNGKILNDIRGNIELNDVYFSYPARPDEKIFGGFSLFVPSGTTAALVGQSGSGKSTVISLIERFYDPQSGQVLIDGINLKDFQLKWIRGKIGLVSQEPVLFTASIKENIVYGKYDATPEEIRAAVKLANAAKFLDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMINRTTVIVAHRLTTVRNADMIAVIHRGKVVEKGTHSELLKDPEGGYSQLIRLQEVNKETEKSGLDERGRLHKSMESGRQSSKRMSLLRSVSRSSSGVGNSSSRSLSISFSFPNGLSVSETANEDRETGIQEVSGKPLNVPISRLAYLNKPEAPVIIIGTVAAIINGAILPIFGILLATVIKIFYKPPEELRKDSRFWAEMFVLLAAVTLIAFPARSYLFGIAGCKLVRRIRSLCFEKLVHMEVGWFDEPENSTGIIGARLSADAAAVRGLVGDALAQMVQDSATALIGLAIAFEASWQLALIVLVMIPLIGLSGYLQMKFMTGFSADAKTMYAEASQVANDAVGSIRTVASFCAEEKVMETYRGKCEGPLKAGIKQGLISGMGFGVSNTLMFCVYATSFYAGALLVQNGKITFADVYRVFFALSTAAIGISQSSSLAPDSTKAKNAAASIFAILDRKSKVDPSDESGKTLENVKGDIELRHVSFKYPTRPDVQILRDLCLTIRSGQTVALVGESGCGKSTVISLLQRFYDPDSGQISLDGIEIQKFQVKWLRQQMGLVSQEPVLFNDTIRANIAYGKEGNAIEAEVLAAAELANAHKFISGLQQGYDTTVGERGTQLSGGQKQRVAIARAILKNPKILLLDEATSALDAESERIVQDALDRVVVNRTTVVVAHRLSTIKGADVIAVFKNGVIVEKGKHDTLINIKDGFYSSLVALHTRST >Solyc01g080460.3.1 pep chromosome:SL3.0:1:79549820:79558232:1 gene:Solyc01g080460.3 transcript:Solyc01g080460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMKGLLLKPNTNNMYMTKLLKHKYAEEQFLAKYSSFGIHMNCSKWSSKVIRCHQQEPNGFSNSPVTPIRKQTPPPQAILSPVSETTSTAKKRVYTFGKGRSEGNKGMKSLLGGKGANLAEMASIGLSVPPGLTISTEACQEYQIAKKLPQGLWEEILQGLEVVEKDMGAFLGNPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDEVVTGLAAKSGERFAYDSYRRFLDMFGDVVMGISHSLFAEKLEKLKDAKGVKLDTELTASDLKQLVEQYKNVYVEAKGEKFPSDPKRQLELAIKAVFDSWDSPRANKYRSINQITGLKGTAVNIQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPQDLETMKECMPEAYKELVENCEILERHYKDMMDIEFTVQENRLWMLQCRTGKRTGKGAVKIALDMANEGLVDKHAAVKMVEPQHLDQLLHPQFENPLAYKDKVIAKGLPASPGAAVGQVVFSAEDAEEWHAQGKSVILVRTETSPEDVGGMNAAAGILTARGGMTSHAAVVARGWGKCCVSGCADIRVNESDKVLIIEDKVIHEGEWISLNGSTGEVILGKQPLSPPAMTGDLEIFMALADKIRRIKVMANADTPEDALAARNNGAEGIGLCRTEHMFFASDERIKAVRRMIMAVTLEQRKEALDSLLPYQRSDFEGIFRAMDGLPVTIRLLDPPLHEFLPEGNLEEIVSELTTHTGMREEDVYSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAITMNNQGISVFPEIMVPLVGTPQELGHQVDLIRDVAKKVFAEMGTSLNYKVGTMIEIPRAALIADEIAKEAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLAKGILQHDPFEVLDQKGVGQLIKMATEKGRAARPNLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVVV >Solyc11g021085.1.1 pep chromosome:SL3.0:11:13386999:13387222:1 gene:Solyc11g021085.1 transcript:Solyc11g021085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVDLLIGPSWTSNCFDLNFPENAMPHIYKKDGQSNLFLDSLKEVNRVPIEICKKQARLRVFLILNGM >Solyc03g005600.3.1 pep chromosome:SL3.0:3:436962:441679:1 gene:Solyc03g005600.3 transcript:Solyc03g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGRSDGAQKKRLWTFVGIGTVLVVFLYMYFGSKSGGESALEYGSRSLRKLGSSYLGGDDNSDLSSRQEEKFGLEDGDGGIVPKSFPVCDDRHSELIPCLDRHLIYQMRLKLDLSLMEHYERHCPLPERRYNCLIPPPPGYKVPIKWPRSRDEVWKLNIPHTHLANEKSDQNWMVVKGEKIVFPGGGTHFHYGADKYIALIANMLNFTNNNLNDEGRIRTVFDVGCGVASFGGYLLSSDIIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFSHCSRCRIDWLQRDGILLLELDRVLRPGGYFAYSSPEAYAQDEEDLRIWREMSTLVERMCWRIAAKKNQTVIWQKPLNNDCYMERPPGTQPPLCRSDNDPDAVWGVNMEACITPYSEHDHKVSGSGLAPWPARLSSPPPRLADFGYSNEMFEKDTDTWRERVDHYWSLLSSKISSDTLRNIMDMKANLGSFAASLKDKNVWVMNVVPKDGPNTLKIVYDRGLIGTTHDWCEAFSTYPRTYDLLHAWTVFSDAEKKGCSGEDLLLEIDRILRPTGFVIIRDKQHIIEFIKKHLSALHWEAVASTDSTSDPEQDNDEVVFVVQKKLWLTSGSIRDTE >Solyc01g086920.3.1.1 pep chromosome:SL3.0:1:81702615:81703721:1 gene:Solyc01g086920.3 transcript:Solyc01g086920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTGVTLLVTLMVVAAVTLPANACLPADQAALMDIKAALKEPYLGIFNTWTGSNCCQGWHGVSCDPTTQRVADIVLRGESEDPIYEKAGRSGYMTGSLSPSLCKLDKLTTLIVADWKDISGEIPACVTSLPDLRILELIGNKITGQIPENIGQLSKLTVLNLADNKICGSIPASIVNLGKLKHLELSNNQLTGEIPSDIGKLGMMSRALLNKNKLTGSIPNSITQLRRLADLDLSMNQITGSLPAQLGSMPVLSTLNLDSNQITSSIPTNLLSSSGLNILNLSRNLLEGELPDVFCTKTYFTHLDLSYNNLRGSIPKSLSSAKFIGHLDLSHNHLCGSIPNGSPFDHLEASSFSNNDCLCGSPLRTC >Solyc08g065555.1.1 pep chromosome:SL3.0:8:53750105:53756528:-1 gene:Solyc08g065555.1 transcript:Solyc08g065555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFFFFDFMEDQGTASETSIPASAPPSFDHLHPLYLYPSDSPGSLNVGILLTGSDNYTLWSKAMELDLLGKNKMPQMMQSSFSNKMQLQPPSVSSSHNNESQMPSSHYNSHMPLFTPLKHQKLLKMLDQTKLEDISGTANMTDSTSSTVCQDSVSPSSQNCEDCVNDLSQVSCDITPSPLRKSSRNSRPPVWHKDDVVTAGSKKCNYSLASVLDYEGLSPTYQSFVSKFSVETELSRNDSNMIHETKAALQHAFKIKYLGELRYFLGLEFARSDSGILIHQRKYTLELLADMGLSGAKPVSTPMEMNLKLTSTEYDDHMDSSHNDTLLEDPAKPGLGILMSSIGGDSLQVFCDADWGSCINSRRSITGYLIKYGESLISWRSKKQVTVSRSSAEAKYRAMASTVAEIVWTVDIEERFSYFARVCVRVLVNWSLARRCLQVRCLQQVCSDLIIPSKDRLG >Solyc01g007830.2.1 pep chromosome:SL3.0:1:1935759:1938784:-1 gene:Solyc01g007830.2 transcript:Solyc01g007830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQRIAVSFLVHLRYPGKSVAEAIPHDIDQSLLIYVLLKAFSVSIECVEEMMLNMPKKDETSPRLRHIFVKLVERCRCQLEQIPPEQTFESFSLNMDVDAFDNCRA >Solyc04g078610.3.1 pep chromosome:SL3.0:4:63367861:63381439:-1 gene:Solyc04g078610.3 transcript:Solyc04g078610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSPGAGEDCCVKVAVHIRPLIGDEKLQGCKDCVSVVHGKPQVQIGTHSFTFDHVYGSTASPSTAMYQECVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGFQTGLIPHVMNSLFNKIETSKNQAEFQLHVSFIEIHKEEVRDLLDSVSVNKSETANGHNGKVTIPGKPPIQIRESSNGVITLAGSTERSVRTLKEMADCLEQGSLSRATGSTNMNNQSRLECLLAIFTISMEQMRKTGSNDGNSNECMTEEYLCAKLHLVDLAGSERAKRTGSDGLRFKEGVHINRGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVINRDPVSSEMLKMRQQLEFLQAELCARGGGASSDEIQVLKDRISWLEANNEELSRELHEYRRRGSGTEQCGAEVKANGVFSVKSEGLKRGLQSIEPSDYPMSENSDSGDMEDEATKEWEHTLLQDSMDKELNELNRRLEQKESEMKLYGGSDNTMALKQHFGKKLLELEEEKRAVQLERDRLLAEVENLANNDGQAIKLQDTHSQKLKSLEAQIQDLKKKQENQVQLLKQKQKSDDAAKRLQDEIQSIKAQKVQLQHKIKQEAEQFRQWKASREKELLQLKKEGRRNEYERHKLLALNQRQKMVLQRKTEEAAMATKRLKELLEARKSSGRENSVTSNGHVANGQSNEKSLQRWLDHELEVMVNVHEVRHEYEKQSQVRAALGEELAVLKQVDEFASKGLSPPRGKNGFSRASSMSPNARMARIASLENMLGISSNSLVAMASQLSEAEERERAFSNRGRWNQLRSMGDAKSLLQYMFNSLADTRCQLWEKELEIKEMKEQMKELIGLLRQSEIRRKEVEKELKQAVSVALSSPASGNSNKHFVDEMSGPPSPIPVPAQKQLKYSAGIANASVREAAAFMDQSRKMVPLGQLTMKKLTVAGQGGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIRHSDETIMRSRPRTQALPDIMCRNGR >Solyc03g110890.1.1.1 pep chromosome:SL3.0:3:63100157:63101062:-1 gene:Solyc03g110890.1 transcript:Solyc03g110890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSEIAFPLLLFSPKTRLKKTLLHKYCIAMRFTKRNIIPLLVFILSFASILRLVKISVITSYSSPIFSALSLVEHSHNPLAEKEINFLYDLVSRKSPCNILVFGLETRYSSQISTINRGGFTVFLEDNPAKIKLYNSTSNADSTRIHRVEYQTVARDAYKILKHARQNQKDCYISATARPSSSKHDVHSNSSRKCKFMSLVTNVPKEVYEVKWDLVIVDGPEGDKPESPGRMAAIYIAGVLARRSKNKNGTHVLVHDVDRMIEKWFSWEFLCDTNLFSSKGKFWDFNILIKPNATTFCPS >Solyc12g042845.1.1 pep chromosome:SL3.0:12:59210165:59212017:-1 gene:Solyc12g042845.1 transcript:Solyc12g042845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGVDYSHPMFLSPTDISGLSLISFQLVGIENYALWSRSIKLALLGRNKIGLIDGSCTKEDASAELGSQWERVNSIVFSWLLNSMSKYIFTKLKTLWDEFEALVPPPGCDCEKARGFFDYLKRHKLYKFLMGLNELFEKARSEILLMSHVPTVNQAYTMVVNDECQKVTSSRYKGHNKDQCYKLIGYPSDFKSKRKVSTNTRSGAYMVETEVNSTRKNGYEDGLDGSNFNYVRTITFTLSSFSNPSSHDISDNAAAMLIYSASAELLLTVCCFLDFQEMSEFPSLITYPVTDLLVFGHDSQSESQNASISLLSSLLSSIPCPGHPLMYLTNLNAASQ >Solyc01g086750.3.1 pep chromosome:SL3.0:1:81574442:81592053:-1 gene:Solyc01g086750.3 transcript:Solyc01g086750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYPNGVFFLCIFSAEPLDLFLSPTKSICMLLFHLLLGIRMAGKSNKGKNRKAVQNATSSSEQAAPPDANVNDTATHAESNGTTAVTAQADTKTEAKESGNETSTQEAKQGDIHLYPVSVKTQGGDKLELQLSPGDSVMDVRQFLLDAPETCFVTCYDLSLHIKDGSVHHLEDYNEISEVADITTGDCFLEMVPALYDDRSIRAHVHRTRELLSLSTLHSSLSTSLALQHEIGSNVAKSGEPVKADVPELENLGFVEDVSGSVYSLLSVPSKEIKCVESIVFSSFNPPPSYRRLSGDLIYLDVVTLEGNKYCITGTTKAFYVNSSTTTVLDPRPNKTGTEATTLIGLLQKISSRFKKAFREILERKASAHPFENVQSTLPPNSWLGSYPIPDHKRDAARAENALTLSFGSELIGMQRDWNEELQSCREFPHTNPQERILRDRALYKVSSDFVDAAINGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDADLEQLSKKQVADSKVEGTGLLRNLSEKTTNNLPQGVSDVSNGNEHVGSVVEAANIILDCPPEVSGETQLTESEQATYASANNDLKGTKAYQEVDIHGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWSDEFHSKVLEAAKRLHLKEHTVLDGSGNEFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTGPGSRFCILRPELITAFCQAEVAERSKSNCDLEREAPVASDCTSVNNTEELPANDVVAPTEVNSNEGEKSVKDAANNGCFHSGRKDTDDILFNPNVFTDFKLAGSEEEIVADQELVKKVSLYLKDTVLPKFVQDLCTLEVSPMDGQTLTEALHAHGINLRYLGTVAEGTRNLPHLWDLCSNEILVRCAKHILKDLLRDAEDHDLANTISHFYNCLFGNMQTVSNKGGANSSRNQKKDHVGNQQKSSKGQGKRKNVGSAKKKQSSYLSITSDSLWSDIQEFAKLKYQFELPDDAKMLVKKIPVVRNLCQKVGVTVAARKYDLDSVAPFQASDIMNLQPVVKHSIPVSSEAKDLVETGKAQLAEGLLSEAYTLFSEAFTILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIMQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLGLSSGPDHPDVAATFINVAMMYQDIGKMDTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILAKQLGEEDSRTRDSQNWMKTFKMRELQMNAQKQKGQSLNVASAQKAYDILKAHPSLLHAFQAAAGGTGIGGMNQSLSSAVLGDGLPRGRGVDERAARAAAEVRKKAAARGLLVRPSGVPASSLPPLTQLLNVINSGTTPDAANPSGTNEEKKEANSNSSNGSGDAQADLSKAGEQDQTPVGLGTGLGALDTKKQKSKVKAAS >Solyc02g083120.2.1 pep chromosome:SL3.0:2:47192295:47193710:1 gene:Solyc02g083120.2 transcript:Solyc02g083120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSPRSHSHNSYRTRCVSFPARSHPSTIKIEQVLNKIKTWESSSPLSPKAEEKTQNALSSGLVELYECIEELLALPMTQRALLQHQDDNFVKELLERSVRFIDICSNTRDTVMCLKESVRELQSALRRSKAGDDLLTIEGSVSAYISSRKNAQKEIEKSLTILKQIHNTPSATMKDSQLSAIIRVLEDASFTTISTFQSLLMFLYVPASKPKSNKWSLVSKLVHKGVVGSEGQREKLTELEKVDTALNSLLDHVSGHEEEVESFEFAQKNLENLESSIEDLENGLEMLFKLLIRTRFYRLVPKFCRGANSGQD >Solyc04g078160.3.1 pep chromosome:SL3.0:4:63056048:63059856:1 gene:Solyc04g078160.3 transcript:Solyc04g078160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLFHKFQEGVKILAKSPTFARESRLLQFEADINLLFLYTSYNRLGRNAEEADAEEIIDMANKASLDDQQKQVHENVHSQVTNFCSYMDDILLLDQKVKDNQATSPATLHFSPRSSGLGLAVDGNSLAQDRIVPTAVPETKTLHRTEVSQRLKDLMGYTLEVKPSQIPHEDAGKGLFLQGEANIGSVIAFYPGVVYPPAYYRYIPGYPRVDAQNPHLITRYDGTMINAQPWGAGGECRELWDWTSSPEPKCTMQAGEKGSDLDGTDVGGNLEVFERRNPLAFAHFANHPAKGMVPNVMVYPYDFPLLEEDMRPYIPNVSFGNDEETETSKFGSFRFIKMWKSNNNESKVPVLKTLLLVATRAICDEEVMLNYRLSNSEHIPSWYTPVDEED >Solyc01g017650.2.1.1 pep chromosome:SL3.0:1:24630937:24631362:1 gene:Solyc01g017650.2 transcript:Solyc01g017650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHVIAANDVKSENFKIITLWNAFHIVVAYELLQVNDKLRIIYYGCSVDGFFDLLIFKKTPEKEWHRHMIQFPSMWKNVVSRPISSYMSRDAEIVFVLNLYSGALCLCYDVNTKMRRELGIKQLPEKTNIKGIYSYIERLVM >Solyc05g050240.3.1 pep chromosome:SL3.0:5:61130351:61136343:1 gene:Solyc05g050240.3 transcript:Solyc05g050240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPSDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDKSKYEGTARSWTQNERIEVLYDEISDRIRREEINFCTHCAEHGRYCGIVDLTMEDKEKLISIQDSLKDLQNILQFYQALESRQQRHHNGALVRLEASRMILIDKLNKYPTWGNKLQVIEELKEYFGKGIADASSFSENLEKTQQKQSEDEKDKKNSSSLLIICIKSLFNPWNWYRVTRIAAIALIFNVYRNRMQDKNKMSGPRLQIGYLDSQLAVSYGKG >Solyc03g083000.3.1 pep chromosome:SL3.0:3:54272690:54276950:1 gene:Solyc03g083000.3 transcript:Solyc03g083000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQIRFNHNSRNSKRGSQDLLGGKDFELHECEKDNSHEPLSMLESELKVLSAESVHVLKLCGGNQLIEEFYSQTYCDDVEKLDHHLKGYNPSAYSYKDSQLHMLQNVVGDGSQRKPINTDSGGFMELDYYMDLDPGQTKKACSELDSEWIGVQKAQPWWRTADKELAASGSPKSSECITYSEHSWREPLRSESYNCSNQVSMIEKESLVTPDYCPRQHPSQSQQKILCVGKGCLSRRSGQPVSGDDNLSIANVLSSETQPGSSDLSKTQLLEALCYSQTRAREAEQLAQQAYNEKEHVIKLLFRQASQLFAYRQWLQILQLEALVLQLRNKDEQDSINYSNSFPVIPCKGRKLKKFRYNKPTKKKTRKGKFKINKSAVAFALGLSLAGAGLLLGWTMGWLFPAL >Solyc03g093450.3.1 pep chromosome:SL3.0:3:56165596:56172727:1 gene:Solyc03g093450.3 transcript:Solyc03g093450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEKDGEVIKVEGLTALPLLNSSTIAVAINGKKKSKYVVKWALDKFVTEGKVCFKLLHVRPRITCVPTPMGSFIPISQVREDVVAAFRKDVEWQTSENLLPYKMLCTNRKVQVEVLQLESDDIVNAIAQEVTKLNIIKLVIGASSRSIFSRGQSLSSRISDSTPKFCTIYAVSKGKLLSVRPSDPEINGSSSAGDSFTSCSITSSTVHTSSSLTERSEPDSSSLYSHFRSPSLPMQRFRALSHINQNFPHRRASSNVSVHHKSLSLDFGDGEDDVRSCPVGTYLTDRDDLASSFRSLVSENYTTADDQASISGTLTDSSSRYETDINFELEKLRAELRHTRGMYAVAQTEVLDASRKINDLHKRRLEEDVKLREICLKEEEVKELARKENEKYEAAKREADYVNECAEREAAQRKEAELLALREAKEKDKLENALTGQAHQYQEFTWEEIISSTSSFDENLKIGMGGYGTVYKCSLHHTTVAVKVLHSEGSHLTKQYQQELEILSKISHPHLLILLGVCPDRGCLVYEFMENGSLEERLLRKNDTPPIPWFDRYRIAWEVASALVFLHNSKPDPVIHRDLKPANILLDRNFVSKIGDVGLSTMINSDAALSTIYKDTGPVGTLCYIDPEYQRTGLISPTSDIYAFGMVLLQLLTAKAAMGLPHIVETAIDKDNLTKVLDPEAGNWPLEETKKLAMLALKCTELCRRDRPDLKDEILPALETLKEVADKTRDSALTTKSPPPNYYLCPLLKDIMKDPCVAADGFTYDRNAIETWLKEKDISPMTSLPLAHKNLLPNYALLSAILDWKSR >Solyc01g107360.3.1 pep chromosome:SL3.0:1:94822030:94830178:1 gene:Solyc01g107360.3 transcript:Solyc01g107360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTNISSLETRYMYSCQARGVPPNKQVLSALFKAKLKKARHEVSILVILLDDIKDADFHPLLDLLTEADLSEIDAVDIINRSVCILSWEYLLALMRVSSRKLRVVDIQDILFGKDFLLDLAQRGLPCQILNLRSSHFRKLNMIGNFARMHTLNLDFSASLTNFREDCFTCMPNLKFLSLCETRITNLWTTTAALAKLPALVELRFQHFLQDDEARKHAASDRRNDYWDSDHMQTSIHDEAPSVSGENIMYRIFNEEDQYLNNTDMNLNMSSETEDSSDDSEVDFSSQDRETSFMELLPDVPPGWEDLLNLRNEVSFGTLEMQDDEEPFFRLSDTRLPYITPKKCISHNPSPICFEKFYREYMIASLPNLKILDNLHIRKVDREKAILIFSEKFEHLPYKRKYKESVVSILQKREIRANHTHGPSPRRKSQYFYSRSLSAAKVGSVAWPSLRPLSIVGTTARDDQRSYRPRQFEYHPSDASLMVFGTLDGEVIVINHESEKIVSYIPSLGAMNSVLGLCWLKNYPSKVIAGSDNGSLRLYDIRLMSTTATGSHQSAGSIMFDDFDQLTSVHVNSTDELFLASGYSKHVALYDISSGRRLQVFDDMHREHINVVKFAHHSPSIFATSSFDRDVKLWDLRQKPNHPCYTALSSRGNVMVCFSPDDQYLLVSAVDNEVKQLLAVDGRLHLDFSITSTGSSQNYTRSYYMNGRDYVISGSCDEHVVRICCAQTGRRLRDVSLEGKGSGASMFVQSLRGDPFRDFSMSVLAAYIRPSSNSEIVKVQFTALTSRFLTLSLLFHLGALYSFMDMMQVNLLASTDQDKGHLYTRHSHPLCSSGG >Solyc10g083650.1.1.1 pep chromosome:SL3.0:10:63562819:63563505:-1 gene:Solyc10g083650.1 transcript:Solyc10g083650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAAAIFTLPKLCKSSISPKSISLFSPKSTLSSSFSISSVPFKVHHSKRTVRPLSYTTPRISAVISVGDKLPNSTLSYFDSSDELQTLSVSDLTSGKKVVLFAVPGAFTPTCSQKHLPGFVEKSKELKSKGVDTIACISVNDAFVMKAWKENLNISDEVLLLSDGNLEFTKAIGCELDLTDKPIGLGVRSRRYAMLVDDGVVKVLNLEEGGAFNVSSAEDILKAL >Solyc02g032875.1.1 pep chromosome:SL3.0:2:29925794:29928273:1 gene:Solyc02g032875.1 transcript:Solyc02g032875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGASRVRIHSITGYLRDQLQVDPIRMLLQWNEWRGLLDFTCFWGSAVLAYLYRALCRASIGNVVDICGFIPLFQVWCWKRILPVQPSAPPQHDGDMLLSYARRWTRGIDRDTESHHVLISIRDQLDRMTEDQLHKINFIIYDC >Solyc02g081440.3.1 pep chromosome:SL3.0:2:45960177:45967932:1 gene:Solyc02g081440.3 transcript:Solyc02g081440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEFLPKEYGYVILALVVYCFFNFWMSFQVGKARKQYKVPYPTMYATEAENKNANSFNCVQRGHQNSLEMMPTFFMLMIVGGIRHPLICASLGAVYIVSRYFYFTGYSTGDPQNRLTLGSSSTGKTGVLGSNGSTDTPQRSRKYSGSKRSFDFENDNGNEGMQDNVVDCEDDGVVGTGVRRHRQRQRQPRTPNSSSQGRRRTPSRERDQAQQQQQRSGSSRERGSSGGGGGRRVSRSPGRRSDSPITTTTNGGNVASVNANGNNGGRPGKMISVPATVSSMVMDKSIDAGGTDNISAAAVKRIQVKRNAGGDGPRTAASPRARSPARVNAKVLNERDNNTHSNQNQQQPMSLSRSNSRKHEQSPYRRNPLSEIDSNVVLEQMPAPGLKVPSQKLNAETVSNGKVKEQQQHNVAMNVIVSGPESHKPQRSRSLRLSRDLDINPEALSNPPQSYTALLLEDIQNFHQKTNTTTPAFSLPPCVTKACSIVDAVADLNSTTSSNLSSALSDDRRRNATSEQYSQNDNASFDPLGKKKLGIKDPFMESEVTVSDDLMEPSIQKYVTFRRGTDMEEQESSGSNSVVGGQQNWLSPSSWEPNSADSTDCWPSSKSYSRDDNKSPLGFQRHAISEISHDMEEGKRRVNVKRRESDNQQTGIGRGRVGLRGGISMAAST >Solyc03g111275.1.1 pep chromosome:SL3.0:3:63369622:63370127:-1 gene:Solyc03g111275.1 transcript:Solyc03g111275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRREGLFVWNKFLGVREKGELLLHKFYSEIWFVKWQMHSLTPQYHGNYRFLTKNSRTHILKILGFDKEQLGSITNVMEIGVKTQGFDLRNGK >Solyc01g058510.1.1.1 pep chromosome:SL3.0:1:66785669:66785932:-1 gene:Solyc01g058510.1 transcript:Solyc01g058510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYGVFWMSGAIIKTKLDVKGQMGLRTQHVCGQAASAKACAEWAWTTKYALGRQTRSDDVGRGMPSSPLNSTDGRPSLGVACYHCL >Solyc10g054383.1.1 pep chromosome:SL3.0:10:55208826:55211005:-1 gene:Solyc10g054383.1 transcript:Solyc10g054383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKYSFLDNVENPQTSMKQVGAHGRMKHKLGLDSSNKCEFVKYLEQGSDDITNDEGIPQLLNWWRNRGTQFPKLSRMVKDVLAIQGSHFALKSFMRNEINDLFNWFVSDLHLSFDRFIPEFVKHIFSIFSNSLKHLEP >Solyc10g051360.1.1 pep chromosome:SL3.0:10:51909819:51910413:-1 gene:Solyc10g051360.1 transcript:Solyc10g051360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVICAYDVYCFGKVLLELVTGNLGISSSSDVNMKMGHDYCCQILSQSQAFEESVNEVYPQSFRKPIKVVRKEHAISERLRATSSKGSLGSTLFGSWCHSFSDIVAPPANKVEGASSFKKSATMGSQGIGQVPKGGSSFSTGRHSKEIFHEPLDVRDVGGT >Solyc01g108510.3.1 pep chromosome:SL3.0:1:95704810:95714052:-1 gene:Solyc01g108510.3 transcript:Solyc01g108510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4B366] MADDFCDFTKDTFILKQPKKSPLLLRMVVLLFAMICGIYTCSIFLKQIGSRSSGLLATVHVVERPCETTNVDPLEKPYMHFPKPKTFSRAECACNPVRFFAIFSIQRSGSGWFETLLNSHMNISSNGEIFSVKVRKSNASTILETLDKLYDLDFLTSASKNECTTAVGLKWMLNQGLLQHHEEIVEYFKRRGVSAIFLFRRNLLRRMVSILANSYDQNAKLLNGTHKSHVHSPKEAEILASYKPRINTTTLIANLKQIQGMTANALEYFKSTRHMILFYEDIIKNQTMLNDVQDFLRVPRMDLHSRQVKIHKAPLSLQVENWSDVEKALKGTPYESFLHADYKI >Solyc10g078390.2.1 pep chromosome:SL3.0:10:60352516:60358732:1 gene:Solyc10g078390.2 transcript:Solyc10g078390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLCHGKPIQSPQNLSENPIIPIDNEQELNSHTGKTPKFPFYSPSPLPSAFKNSPANSSVTSTPLRFLKRVPPSPAKHIRALLARRHGSIKPNEATIPEGSECDIGLDKIFGYSKNFDSHYDLGEEVGRGHFGYTSAAKGKRGSLKGHDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHRNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEDDAKAVMVQLLSVVSYCHLQGVVHRDLKPENFLFVSKDENSPLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAILKTDPNFDEAPWPSLSSDAVDFVKRLLNKDYRKRLTAAQALSHPWLAGHHDMKIPLDMIVYKLVKAYVYSSSLRKTALRACEGQINSSVFPSSYVSSTSSPRPCSQKQQEHYVISKALAKTLTIPQLAYLRDQFTLLGPSKSGLVALPNFKMAVMKNSTDAMKDSRVFDFVNTVSSLQYRKLDFEEFCAASISVHQLEGMESWEQHARRGYEFFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >Solyc02g085780.3.1 pep chromosome:SL3.0:2:49192600:49199954:-1 gene:Solyc02g085780.3 transcript:Solyc02g085780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNAVIAWGSGEDGQLGIGNNEEKEWVCSIKALESEKVSSVVAGSRNSLAICEDGRLFTWGWNQRGTLGHPPETKTENIPSQVKALANVKIVQAAIGGWHCLAVDDQGKAYAWGGNEYGQCGEEPERKDDTGRPVKRDIVIPKRCASKLSVRQVAAGGTHSVVLTREGQVWTWGQPWPPGDIKQISTPVRVQGLASVRLIAVGAFHNLALLDDGVLMAWGNNEYGQLGTGDTQPRSQPIAIQGLSGLTLVDIAAGGWHSAALTNEGEVYGWGRGEHGRLGFGDDKSSKMVPQKVQLLAGENIVQVSCGGTHSVALAHDGRMFSFGRGDHGRLGYGRKSTTGHPSEVPINIPPPSDVSSAEGGRWCAKLVACGGRHTLASIEWQTFESE >Solyc05g006010.3.1 pep chromosome:SL3.0:5:714260:748272:-1 gene:Solyc05g006010.3 transcript:Solyc05g006010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNTEEKEFLLNHSQNSTKGGLKTIPFIIVNESCERLASFGLQPNMIIYITKFYNINAAHASVLINLWSAVSNVLSILGAFISDSYIGRFRAVAIGTISSLIGMLVLWLTTIFPQLRPLPCGQYQHDCNGTTAAQLAAILCSFGLISIGAGLVRPCSIALGADQLENKENPDNERLMDSYFNWFYASIGVAIVFAVTVIVYIQDHFGWQVGFGVPALLMVLSVSVFLIGSPHYIKAKPKGSLFTGLFQVAVAAFRKRHINVQLNYNDDSYYKTPESKLLEPSTDFRCLNRACIIEDPNMELKPDGKASDPWSLCSVEQVKIMKCFLTVLPMWSTCIMLLVSFSQPLSIYQLLTVDRHITPQFEIPAGSFGMITVLSLTIWMAFYDRVLVPLLSRYTGLPTGISSFSRMGIGLFLGIVATVLSAITETIRRNKAINAGFEDDPNAMLNMSSMWFVPQLALYGVAEALNVIGQIEFIYTLFPKTMSSFAAALYTFGLALANFINSFLVSVVNSITSGGDNTSWLATNINRGHLDYYCWLMTFLCVINFLYFLAVCRFTDQHHHDGRSSLFPEAEEEHSMNNFGLQPNMILYITKFYNMDAARASVLLNLWSALSNGLAIFGAFISDSYIGRFRAIAIGTISSLIGMILLWLTTIFPQLRPLPCGQYQLDCNAATSTQLAVILCSFGLIAIGAGFVRPCSVAFGADQLENKRNPDNERVMDTYFNWFYASVGISISVAITVIVYIQVQFGWQVGFGVPALLMVLSVSVYLIGSPLYIKPKSEGSLFTGLFQVAVAAFRKRHINVQLNYNDDCYYKAPESKLLEPSTDFRCLNRACIIEDPHVELKPDGKASDPWSLCFVEQVEIMKCFLRVLPMWSTCIMLLVSFGQPLSIYQLLTVDRHITPQFEIPAGSFGMITVFSLTIWMAFYDRAVVPLLSRYTGLSTGISPFSRMGIGLFLGIVGTTLSAITETIRRNKAINAGFEDDPNAVLNMSSMWFVPQLALYGVAEALNVVGQIEFIYSLFPKTMSSFAAALYTFGLALAGLINSLLVSVVDSTTSAGGNTSWLATNINRAVCRFTDQHHHDVNESFERVASYGLQANLIIYLMTYYKMTAAAGTSILGIWTALSNGLAIVGAIISDSYCGRFKAVAFGSISTLIGMIILWLTSMIPQLKSLPCPQFQHVCNGTTAVQLAVLFSSFGFMSVGAGFIRPCSIMFGADQLEKKGKPENKKLVDSYFNWYYASIGVSTILAVTTVVYIQERFGWKIGLGIPVVLMFLSVSMFLIGSPWYIKVKAKESLLIGLLQAAVAAFKKRNTHHALTDSDNYYRSPLESEVLTPSNDFRCLNKACMIEDPQRDLNPDGSASNPWNLCSLEQVESLKAIVRVLPMWSTGFMIYVTMNQFSFSVLQAKTMDRHVIPQFELPAASFSVFLIIALTIWVTFYDRVLVPLLSKYTGRPRGLSPVTRMGIGLLVSCMSMALSAITESIRQKKAIEEGHEDDPHALVNMSAMWLVPQYLLLGVAEAAHAVGQIEFFYSLFPKSMASIASAMYTIGTAVSSLFGSILVSGVDWLTSTGGKTSWLSSNINKGHLDYYFWLLAFLSLLNFFYFVMVCRLYETGNDGSMNESFERVASYGLQFNMLMYLMTYYNMSAATGTSILALWAALSNGLAIVGAIIADYYWGRFRAVAFGSISTLIGMIILWLTAMIPQLKSLPCSHFQHVCNGPTAFLFAVLFSSLVFMSVGAGFVRPCSIIFGADQLENKQNPENGRILDSYFNWYYASSGISTILAVTIIVYIQDLYGWKVGFGVPVILMFLSVLMFQIGSPLYIKVKAKDTENLVIGLFQALVAAFRKRNTRLSLSDCDEYYRWPLESEVLTPSMDLRWLNSACMIEDAERDLNPDGSASNPWKLCSLEQVESLKALLRVLPMWSTGFVIFVDMNVFAFSLLQTKTMDRHIFPHFEVPAASFSVFLIIALTIWIAFYDRVLVPLLSKYTGQPRGLSPVTRMGIGLIASSMSIALSAITESIRRQRAIEEGHEDDPNALVNMSAMWFVPQYALLGVAEAAHAVGQIEFLYALLPKSMSSTASAMYTVGTAVSSLIGSILVSSVDWLSSTGGKTSWLSSNINQGHIDYFFWLLTFLNLLNLIASYGSQTNMIIYLMTYYNMSAATGTSIIGIWGALSSGLAIVGAIIADCYWGRYNAVAYGTIFTFIVSCANLTTFFLKFEPAYLLWLNILLGQGMVILWLTSMIPQLTTLACSHFQHVCNGPTAFQLAVLFTSFVFTSIGAGFVRPCSIMFGADQLEQKGNPENKKIVESYFNWYYASTGVATMIAVTVIIYIQDRYGWQIGFGIPVILMVLSVSTFLIGSSLYIKVKPDTNNLLLGLFQAGAAAFRKRKTPLSLTGCDDYYHSPYETEVLTPSKDFRCLNRACMIEDPERDLNADGSASNPWNLCSVERVESLKALIRIIPMWSAGFMMFVDMNVFAFSVLQTKTMDRHILPHFEVPAASFSVFLIIALTIWITFYDRVLVPLLSKYTGRPRGLSPVTRMGIGLTVSCMSMALSAITESIRRKRAITEGHEDDPNALVNMSAMWFVPQYALLGIAEATHGVGQIEFFYTLLPKSMASIASAMYTVGTAVSSLIGSILVSSEDWLTSRGGKTSWLSSNINKGHLDYHFWLLALMSFLNLLYFLWVCRFYETGNDELPHVADEEELNEAFEMIASYGSQTNLIIYLMTYYNMSAATGTSIIGIWGAFSSGLAIVGAIIADCYWGRFNAVAYGTIFTFIGMVILWLTSMIPQLTTLACSQLQHVCNGPTAFQLAVLFSSFVFTSIGAGFVRPCSIMFGADQLEQKGNPKNEKIIESYFNWYYASTGVSTMIAVTVIIYIQDRYGWQVGFGVPVILMVLSISTFLIGSPLYIKVKTDTNNLLVGLSQACVAAFRKRKTPLSLTDCDDYYHSPYETEVLTPSKDFRCLNRACMIEDPERDLNPDGSVSDPWNLCSVERVESLKALIRILPMWSTGFMMFVDMNVFAFAVLQTKTMDRHIFPHFEVPAASFSVFLIIALTIWITFYDRVLVPLLSKYTGRPRGLSPVTRMGIGLTVSCMSMALSAITESIRRKRAIAEGHEDDPNALVNMSAMWFVPQYALLGIAEATHGVGQIEFFYTLLPKSMASIASAMYTVGTAVSSLTGSILVSSEDWLTSRGGKTSWLSSNINKGHLDYHFWVLASMSFLNLLYFLVVCRFYDTGKDEMSRSPHVADEKECDYRLLHES >Solyc10g080585.1.1 pep chromosome:SL3.0:10:61937631:61938978:-1 gene:Solyc10g080585.1 transcript:Solyc10g080585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPTDGIDRFDDKEVKDLLWSMIAKCPQNSSGMKTSCCIGSLVCQVEISNLSCKIIKEKHIAGSDIAMNNSWLNFFISSKGKTGISIGKTFCGVPFLVPPLGSALSLATIPKK >Solyc10g006290.3.1 pep chromosome:SL3.0:10:940389:943517:1 gene:Solyc10g006290.3 transcript:Solyc10g006290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Constitutive plastid-lipid associated protein [Source:UniProtKB/TrEMBL;Acc:A0RZC9] MTWAAAAASRFQIPAMDVAALRRRAPVVFGVGCVSIAGAKFCGSSSARSKPFACLSISTDASIKEAVHTEKAPAALGPYSQAIKANNFVFVSGCLGLIPETGKFVSESVEDQTEQVLKNMGEILKASGVSYSSVVKTTILLADLNDFKKVNEIYAKYFQAPAPARATYQVAALPMNARIEIECIAAL >Solyc12g056523.1.1 pep chromosome:SL3.0:12:63416174:63419066:-1 gene:Solyc12g056523.1 transcript:Solyc12g056523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETLRTIHSIMVDRIKGTSLYQCVAELRPVISSLETSTENHFVELPNIIPSTVFDPHLRCSSFLRAGAMQSRHIACSSSALAQALHCTSMGKDEEDADMQDLIASFSGFEENVLEQYTLAKRNLFRTAAVNYLLESGVQWGAAPAVEARSLSHFGLL >Solyc08g044460.1.1.1 pep chromosome:SL3.0:8:20289419:20289604:1 gene:Solyc08g044460.1 transcript:Solyc08g044460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISSWEATVSNNSNLIPYKCGGLVRLLRAFFSMFLLSVHNPFGVINLYLNYHCGQLTPIL >Solyc03g083260.1.1.1 pep chromosome:SL3.0:3:54539722:54539955:1 gene:Solyc03g083260.1 transcript:Solyc03g083260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTVDFRCLDEGFGGKTYKRKRAEIEKGNGVEDGGAMEVEEIPRKRQGVPSEEDPNKPVVGRPTYDGVRVPGKNWK >Solyc04g049960.3.1 pep chromosome:SL3.0:4:44871232:44871984:1 gene:Solyc04g049960.3 transcript:Solyc04g049960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETFLEVILAILLPPVGVFLRYGCGVEFWICVLLTILGYIPGIIYALYVLVG >Solyc03g005030.3.1 pep chromosome:SL3.0:3:35873:38811:-1 gene:Solyc03g005030.3 transcript:Solyc03g005030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCVSVHKDPQFRLVFGSKTAHHTPSPLQQKPTHRSSTPFPDFGSKEETFFDSQAWLDSDCDDDFLSVNGDFTPSRGSTPVHPSLAGNLKGNRDSPASFRQSPPQEKKKRLSELFSESLRSELNEQNVTSTKKETAVTDDRVPPRSTPGTPYASVCNSERTPNGELKCDVKSSKPAQCCLPRLLSSRSFSERRKRMSPARTVG >Solyc07g006680.1.1.1 pep chromosome:SL3.0:7:1533723:1535081:1 gene:Solyc07g006680.1 transcript:Solyc07g006680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISKICEELIKPSSPTPHELRDHKISFIDERIPHSSIPLILFFKKNENITQSQICSHLKSSLSQTLTQFYPLAGRMKSQYSIDCNDEGAYYQESQVDASLLDIIKNPKSNELVQLTPYNSNGSSSNFQELLAIQVNLFNCGGIAISISISHKIGDGSSLCTLIINWCTTSERLIRSNTHLSIFLKSPSNTGANKVREHSNFVSISSTFPPRGICDDTPKEKCIPIHPVAENLAVKRFIFTSSNIAKMKAKLINWGYNENATRVEVILALLWKCFMSAKGCNSVAIIPVNIRQIIVPPLNENSFGNFFLVTSCIASVENEWCSMVGKINSAIRRIDGNYVEKIRGEDGFEFVNSNFKQVGELIMSQGDDIRVLRISSWCKFPIYEANFGWGEPILTIVAFLGVKDNIVLLDSKEFLGGIEAWVVMADQEMTLFEQDEELQDFTSLDAIGDLN >Solyc03g096740.3.1.1 pep chromosome:SL3.0:3:60522066:60525377:-1 gene:Solyc03g096740.3 transcript:Solyc03g096740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPNILEGTIFNQSFHSDVTSNESHEV >Solyc08g063127.1.1 pep chromosome:SL3.0:8:52827794:52830496:-1 gene:Solyc08g063127.1 transcript:Solyc08g063127.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNRYDLYDNDDDHMGYTNSNYQVFEKIESVPKYPLNLCNTIYKIITKTIKNSKRKGGSFVLKNVLEKTFDKLEWSFIYKTLKFFKFPNNIRSLIMTKTEFFSPSKGIRQGDSVSPYIFILCMKTLSLHISHQVNLGVRELIRINHMAPPLSHLFYADDLTLMARAHPKNVDTIANSLKNFCALSGQSINRSKSSCSQDLPIWSLPRFPILSRTPKHSDFNYIIDKINNRLWGSTSINKKLHYLNWDIVTKQKSEGVLDITKAKIKNKAGSGNR >Solyc04g050330.2.1 pep chromosome:SL3.0:4:46739429:46739959:-1 gene:Solyc04g050330.2 transcript:Solyc04g050330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIKPKPTSLTGGAPVGQPPQPPLAFVTIISAVCVFLSKVSLVPSAATLVIKALKEPERYRKKTKDIKHNGNISLDVVMQPRSMAKDLSEIVKEILGTCVFFGCTVDGKDPKDLEQEIIDGDEEIPQD >Solyc08g080020.1.1.1 pep chromosome:SL3.0:8:63499630:63499944:1 gene:Solyc08g080020.1 transcript:Solyc08g080020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIIILFGFLFVFQSISAMYPPCDDCGCSGNGCKLPGDPTPYEWPELMGVEIMKAKAAVESSNPNVTAVPLDSDCIHIFNLCCNRVWLCPDEKGLIREKPVVG >Solyc10g049423.1.1 pep chromosome:SL3.0:10:45708581:45709944:-1 gene:Solyc10g049423.1 transcript:Solyc10g049423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKALGNDFDAYINQITEPTEDVLKWWRDRTKGFPKLVPMVRDILAMQASSVASEGVINAARFQLGEHRHSLAADNLKISELFQDWINAERRNLGREPLWTKFQDDVDEVMQDYSDLITENPRLDIYLLFHGELYHGSPSCTSVLHYYNYN >Solyc06g008510.3.1 pep chromosome:SL3.0:6:2401052:2404345:1 gene:Solyc06g008510.3 transcript:Solyc06g008510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESADAASSTLLNTFKSKKKLQIGSDPDASGAPSLDPWSSKTPEKTIVPPRRTRNRNAAFSLKDIRQAAQKLRKPDPTRLNTQTDTSLSYVKTQMEEASAAKPKKPVNPVKLPEKYKLLEEFFGGLVSSIRLLQLKGSSTTFTNISAKVECLTDRRFTYNHLAQVKFLLPEAIEIKKMLVFDERTTCMKPDLHITLNANGVEGQKKLKSSSGTEQLRTVFSSRILDFFKSHPEGDDIPEEELPGAFGVSKQELLTNTSSPAGAQLKEETLIGSMQKPPVAVSHLSQSFRRSFSHQASIGVVGNLKQQTTVSQTSIPPVSEPQITNCPTNTSELLLTQTRTTRFSTQGVHSATLQPSPLPATPVKNTTNEDGSCLLSAESTPAKLASTPAKLMSTTPLLQPSKRCYMTPDGESTESPRKLVRRPPPSRSLTFSTPVKSSKVTEETSRSRELSTDDEIFDILPENLLQSIRTKEQEALEELDPAISQAKWRKKMISILPKFFDMIYFLFHSINRSVITKEELTHKVISSHLAIADKREFEELLRLLQEIAPEWIHEKLSSSGDLLLCVSKVSNADSIRTRIAEAK >Solyc10g074443.1.1 pep chromosome:SL3.0:10:57988896:57989482:-1 gene:Solyc10g074443.1 transcript:Solyc10g074443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFNFALCFLLIILVALDISQVNAQHRCTKILNPKGCVLSDCKKECFQIYNGNGLCSSGGTIGQYVCTCVYNCNLD >Solyc05g025520.2.1 pep chromosome:SL3.0:5:33117678:33119194:-1 gene:Solyc05g025520.2 transcript:Solyc05g025520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNQEAKLVNKTSSASTTPRQRPQQGLRTVPFHQNTRTSRCISLFCVVVSLPSIEQQREADADRPCSCRCATRRAKEDPVNLSRSSLFPHPE >Solyc08g007480.2.1 pep chromosome:SL3.0:8:2045374:2047630:1 gene:Solyc08g007480.2 transcript:Solyc08g007480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEKASKAYLDTVKSCGLLPESTEAELISAMAAGWNAQMIVETWSRSGATSTSIGLSNAIQQTGGRHICIVPNEDTKREYKSTIEKITGMSPEIVIGEAEETLKTLTGIDFLVVDCERNDFSTILKVVTLGNRGAVLVCKNVSSRAVTDFRWRSVLDEKSRIVRSVFLPVGKGLDIAHVGAVSTGKSVSGGKMERKWIRRFDRESGEEFVIRK >Solyc08g045870.2.1 pep chromosome:SL3.0:8:15866215:15867097:1 gene:Solyc08g045870.2 transcript:Solyc08g045870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGCLAKIFNPNLRIYSLLQDEPRLRYSKNIAIEELWLSCFAYYTVLPEGGMLQERRTNTNTLIESVKIKSVYQSASPISQDISFQPRNKTRSFRSIFSQIVKDIPLVMKKGVEGIHICCSGRLCR >Solyc03g123540.3.1 pep chromosome:SL3.0:3:71869261:71869785:-1 gene:Solyc03g123540.3 transcript:Solyc03g123540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVVDVVSQLLFPESIERLVSPSRSNESRGTIPVDILDTPKEYIFYMDVPGLSKSDIQVSVEDEKTLVIRSNGKRKREESEEEGCKYVRLERNPPLKLMRKFKLPDYCNVSAITAKCENGVLTVVVEKMPPPSKAKTVKVAVS >Solyc09g014260.1.1.1 pep chromosome:SL3.0:9:5751188:5751385:-1 gene:Solyc09g014260.1 transcript:Solyc09g014260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVTESNVRIDFYMKCGKVTTARSVFDRMKVKNAIFWTTMISGYMQNSSDWEAISLFRDLNGLGW >Solyc06g076700.1.1.1 pep chromosome:SL3.0:6:47766253:47768154:1 gene:Solyc06g076700.1 transcript:Solyc06g076700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTTSQNRTRTRTRRLSACHRHPSEPVTGICAACLRERLSGLDTSADSELSIVPTFSNSFENPYADNGPGPEPGFECSRSKAAISSFSPDLRRCRSVSTVRCEGSCSWSEPRRRSCDDRSSRNTLVNLFGVDDEAGGSNAGFTVESKNLGLTNLSDNVCQSIVEHEESEEVRVRADALVRIEDIDEDTQDGELKTMKEFIDLEFHTKNHKSRDFRDIAVNFREATSVFSKKLQKWRQKQREKKLNSRNAEGNDRFSAGNGKLIGYKSKDSRSEIGECATGRRSCDTEPRFSVDAGRFSLDGPGISIDEPRASWDGYMVARTIPRLTPMLSVVENVLLGNGNGFDRHRASLDGQMQAIVEDESSSGGSGQSNSDSSSSQRGSSFDRSSSVQSFGKRTLDLEVNEGKYMSNSSPAHVKLVITEKELKDWRLNSIKDDHLNKFESFSKNGIVADSCGTKKGSKKPARWREVFNIFGNKQKLNNNKGETRKGEGETVSSVTDTNKKQGDRGYDNVKEVAQWRLTRSSSIVGARKSCSSSYIPARNSCSSAFDQPRNSCDMTELNYSKKKVAERAASANFGRDAFLLERNKSVKCSSNDIDNGTLPFYLMPLRTSRSRRFTENKLTKPLHATGNGLH >Solyc08g061273.1.1 pep chromosome:SL3.0:8:48543126:48554605:-1 gene:Solyc08g061273.1 transcript:Solyc08g061273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKAQTEAEHSTNRSRGRPHEEPSASPGPAKRSRERSSATTPSASLGPAKRSRGRPPSAPSASPGPIASVVPRKGAKGRPPTTPSLPNASATHAKSARRRPPTAPSAPSTCPSPDNIRTAPISSTRISLGPRGK >Solyc03g098770.1.1.1 pep chromosome:SL3.0:3:62484781:62485017:1 gene:Solyc03g098770.1 transcript:Solyc03g098770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNYTHFCTELKRGSTSLPVTSSTGVASLDRSMRFWEVKVDENTSMGNKHKHNNNKHFILFKLLNLFMYCFFCDSST >Solyc04g050150.3.1 pep chromosome:SL3.0:4:45593099:45638799:-1 gene:Solyc04g050150.3 transcript:Solyc04g050150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEEKHIEMEEEDVEKASSDPSDDSFINDDDSDDPIMDDDDDDNQHSLPEEPLTDKEIEELIAELLETESKAAEAQEALEEESVSKVEADVREELSQTLKGDELENAVADEMATFKEEWETVLDELETESAHLLEQLDGAGIELPSLYKWIESQAPHGCCTEAWKNRTQWVGSELTSDLTGAIADAEKYLQIHRPVGRKHGKVLEEGASGFLAKKLAGNDGSEAQGGSSGVDWGSFSKLCSDNSSSSMGTTSFGSKDWSSVYLASTPQQAAELGLKFPGVDEVEEIDDIEDSSGDPFVADAIANERELNLSEEQKRKFKKVKEEDDLKTDLKLRRCLKQRRHKNRQKLEEIQEDTTDVTTGYLSQDFGFDKKEYSTVDDGDAPKSNEVTSVIDATVSEHEIDAEAKGLKLLHNFEEMEPQSKKARIIIPDSDEEDLPGKMLSPTCSLSETEDQSNPQRDGDNVLPVSSLPVCNEKQNFRCTACDKVAIEVHAHPLLRVVLCLDCKTSMKTKMQDVDCSECYCRWCGRCSDLLSCKSCKRLFCSVCIRRNLGEEILTGIKTSGWQCCCCSPSILLPLVSVLEKIMESQGLVDSNTDTDSDNSDADINEHKSTKRRPKKKIRRILDDTELGEETKRKIAIEKERQERLKSLGAKFSSKTMFMDSGGCSKSSYETGSLEMLGDIQTGYIVNVVREEGEEAVRIPRSISAKLKSHQVAGIRFMWENIIQSIRKVKAGDKGLGCILAHTMGLGKTFQVISFLYAAMRCVDLGLRTALIVTPVSVLHNWRQEFIKWEPSEMKPLRVFMLEEVPRERRAELLQKWRVKGGVFLIGYTAFRNLTLGKNIKERHVAREICQALQDGPDILVCDEAHIIKNTRADVTQALKQVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTADDVKIMNQRSHILYEQLKGFVQRMDMNVVKMDLPPKTVYVMSVKLSPLQRKLYKRFLDVHGFTKDKVSGEKIMKRSFFAGYQALAQIWNHPGILQLTRENRISSRPEDPVEILLADDCSSDENTYYNVVSGVSAEKTNSNNEALKKNHNGFLHGVYSLVSQLTVSVLQDWWSDLLDNNCKEVDYSGKMVLLLDILTMSSNVGDKALVFSQSLSTLDLIEQYLSKLTRPGKKGKYWKRRKDWYRIDGRTESSERQKLVDCFNSPLNRRVKCVLISTRAGSLGINLYAANRVIIVDGSWNPTHDLQAIYRAWRYGQTKPVFAYRLLAHGTMEEKIYKRQVTKEGLAARVVDRQQVHRTISKEEMLHLFEFGDDESCDIPLELKQVREHAGEANSNVNVGSVQKQKLTFPNGSSSSDKLMQSLIDRHHPRWIANYHEHESLLQENEDEKLSKEEQEMAWEVYRRSIEWEERRVLPDEPVEQQHISTTESLLKQKPFVPRATVFPPADRNLVFAVGSSRCRLVHRKCTKLSHLLTLRSQGTKWGCSTVCGECAQEIKWEGVRSAK >Solyc07g008015.1.1 pep chromosome:SL3.0:7:2710479:2713644:1 gene:Solyc07g008015.1 transcript:Solyc07g008015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMGIKGSSSRNGMLFIVGGDSSLPAISDHLYQKRCEIRKQGENSNRLPRSLWSLMMRSFSSSDIIPRLMFGLRYKQLLPAKIFEGIVLLCQLKM >Solyc08g076100.3.1 pep chromosome:SL3.0:8:60259369:60269530:-1 gene:Solyc08g076100.3 transcript:Solyc08g076100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDIDKSSKEAKESKETKTPNSQEQASSTATGTANPEWPGFQGYSPMPPHGFMASSPQAHPYMWGVQHLMPPYGTPPHPYVTMYPHGGIYAHPSIPPGSYPFSPFAMPSPNGVAEVAVNTSSNTELDGKSSEVKEKLPIKRSKGSLGSLNMITGKNTELGKTSGASANGVYSKSAESGSEGSSEGSDANSQNVGTESPMKSAGRQDSAEEASQNGNSAHSSQNGGTSAPHSLVNQTMAIMPMSAVGAGGIPGPTTNLNIGMDYWNAAASPNIPAIHGKVPSASVAGGIVNAGSRDIVQSQMWIQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRAELSRLRSEHDQLASQNASLKERLGEVSGRDDPRPSRNDIHLNKDTQHSSQTEPKQGGQ >Solyc03g063380.2.1 pep chromosome:SL3.0:3:36741249:36742633:-1 gene:Solyc03g063380.2 transcript:Solyc03g063380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVRTVVTWNTMISGYCRNDSPKEALMIYRRMEDAGVHADCATVLSVLPACGCLKNFEMGREVHSLVEQVGFRDNLSVWKAVVDMYVKYGRMDKARSVFEKMIDRDVVTWTTMIHGFISIGVKPNAVTLASLLAACASLPHLRLGKCRRNLIDMYAKCNCFRLGYQVFTKTSKKRTELAREAVELFKFMLLDAVKPNDATLKSVLPPFVIEADPRQALSMHSYLVRSGFLQELSAGYGMHGHGETSLSLFNEMVQSGVKPNEVTFTSILHACGHAGLVDDGLCLFNFMLKNHSGSLRTDHYTCMVDLLDQAGRLEEAYELIKTETFEPSHAIWGALLGACVIHENVELGELSARWLFKLEPKNTENYILWGKIYSAVGRWKDAENVTFDE >Solyc11g010490.2.1 pep chromosome:SL3.0:11:3550219:3559668:1 gene:Solyc11g010490.2 transcript:Solyc11g010490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDPVLGRKNNGLGFGVICGSNFQAGHLPSGVIPGSRTIPVSDDKFPNVGTSKRKHSFNEHIGNTTNDELHQKMWNHSESVYPGNEVKSSSNSVASSKTTTLLPSSIGNKSASSWESNVKSSRQILKLSKSDIPSAPPLGGSLQECDQVAVQRKTFVADDIPFPEISGCSVAMDEAKTYKTATAGSTKDGQSGPSGRAGGVPSNSSSALFPTYHASGRGSWQGFVAYEACIRLCLHSWAKGCHEAPAFLENECAMLRDAFGARQVLLQSEEELLRKRSLELVSEGASMKPKKTLGKLKVQVRKVKMALDPPTGCSFSTLKPPKVKLEAIRAQLSNVKSTISSEWGAIRKVRVAPRVPPNGSLSHQSLAYLHAGTRYVKDVSGILKLGVTSLRSSSASYEVVPETYFCSLRLKSLPEEDTVKMLAGSAETHLFLPEGLGDDLIVDVRDSKGNYCGRAVAQVADIADDPGDKLRWWSIYHEPEHELVGRVQLYINYSTSPDENSNTKCGPVAETVAYDSVLEAAMKVQQFQQRNLVLHGPWRWLVTKFASYYGVSDAYTKLRYLTYVMDVATPTADCLNLVYELLLPVVSKPKNSLSHQENRILGEVSEKIELIVALVFENYKSLDESLPSGMEDVFKPATGVAAPALSPALKLYSLLNDILSPEAQLKLCRYFQTAAKKRSRRHLAETDEFVSNNNDNILMDPMARSTAYQKMVSLCSNIRNEVRTDIKINNQNILPSFLDLPNLSSAIYSAELCSRLRAFLVACPPTGPSSPVAELIVATADLQKDFSYWNISPVKGGVDAKELFHPYITLWIKEKRLALLELCKPDKIKWPCVDTQHSTTPFVDDIYDRLKETLTEYDAVIRRWPEYLFSLETKARLHTSNVSCYSLTTLVLSSSFQKAIADVEKAVIETLDRQYADVLSPLKENIMPIKLGLKYVQKITKGTVTPFAVCKELGILLNSMKRMLDVLRPQIELQFKSWGSCLPDGGNVTPGERISEITVMLRTKFRGYMQAIMDKLVENTRLHSPTKLKKIIQDAKEGTQESDLRVRIQPLKDLLDNAIEQLHMVFETQVFIIICRGFWDRMGQDVLKFLEERKDNRSWYKASRVAVSILDDIFASGMQKYLGNVLQEKDLEPPRSIADVRSMLCKDAVNDNDNNYFY >Solyc07g005570.3.1 pep chromosome:SL3.0:7:451982:455802:-1 gene:Solyc07g005570.3 transcript:Solyc07g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGCLHSQLTTTVLVHSKGRSQPPKFRILRCNPQLNLSSLDSMKQTCSGVALELKLRRPSEKLCPVQTVGIRCDHPQNADLPRYYSRKEKKPFPIPIVELRRAARDRMKNRLPKRRVPPPKIGLVIKSLVPTAYNVFNARMTVINNLKRLLKVVTVNGCKWCNEIHVGPVGHPFKSCRGSQASQRKGHHEWGKAVLEDIIVPLECYHLYDRLGKRITHEERFSIPRIPAIVELCIQAGVDLPEYPTKRRRKPIIWTGKNEFVDADESELPDPEPESPKPPVLAEIPDPEVEPPSSTEETLLLAEETLVAWEKMRAGANKLIKMYPVRVCGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQTAVLDDLIPPRYVWHVPDVTQPLQRELRSFYGQAPAVVELCVQAGAEVPEQYKPTMRFDVGIPNSIKEAEMVV >Solyc04g012150.3.1 pep chromosome:SL3.0:4:4431495:4439903:1 gene:Solyc04g012150.3 transcript:Solyc04g012150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKCSYCRVMLQGIMSAFSLLSGPLQDKEKWPIDLYSKHFQ >Solyc04g080590.3.1 pep chromosome:SL3.0:4:64787899:64791729:-1 gene:Solyc04g080590.3 transcript:Solyc04g080590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVILASVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRTECINYSYSYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGLDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERKFETFMDSSRESLLKDALFALRETLQGEKLTSSNCTVAVVGVGEAFHTLDKETIQALINEFEIAGEDAPAEVPEEAATDEPAAPEEGAAPADQGAAPMDI >Solyc06g084190.3.1 pep chromosome:SL3.0:6:49428085:49432597:1 gene:Solyc06g084190.3 transcript:Solyc06g084190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFACAILMAMAFFPIQVISGQSDTNCQQVIVGLAPCLDYIQGNATKPSSGCCTQLATILKNEPKCLCQVVNGGAPLGINVNQTQAMALPKACNVQTPSLTLCKATIPSGSSGGSKGNTGYTIELPYFLLFTLVVSASFTSI >Solyc01g103295.1.1 pep chromosome:SL3.0:1:91792388:91798659:1 gene:Solyc01g103295.1 transcript:Solyc01g103295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPSKTQKISSSGDASSTARNATKGPKSTQKGGFEKGGGIDETKKILRERAGSSLYQAITAVARVQFKFQISQKIAELPNGKNSTKKLSWLTLQFNEECAEFRKKVKDGIFKKLTVCLRSRKNMVSRVLGSEFMIARIIIYTSILELISAFSV >Solyc12g014470.2.1 pep chromosome:SL3.0:12:5447786:5450772:1 gene:Solyc12g014470.2 transcript:Solyc12g014470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:K4DCY2] MLVGRISSRFPKSIVAQCRSSLLLYSREQQQQQYVPIFASEFHSFREFKEKVTLFRESLLQQFRISSSASPQHNEKEASQSQSKPGSTKENGEVSEKCEASVSADSQGQDENDESGPDLASNDNTNENVKRRRRRTKQVVSSDSDSDLDTEDLSRDDLVKLVAEKEELLKIKDDEFQKMKEKALRSYAEMENLMNRTKREAENSKKFAIQNFVKALLDVADNMGRASSVVKESFSKIDESKDTVGAVPLLNTLLEGVEMTDKQLAEVFKKFGVGKYDPTNEEFDPNKHNAVFQVPDPKRNPGMVAVCLKSGYTLHDRIIRPAEVGVTVAMVKQVG >Solyc07g007500.3.1 pep chromosome:SL3.0:7:2194802:2198861:1 gene:Solyc07g007500.3 transcript:Solyc07g007500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKSFSSDVAAFGKIVVNSVLEAVVAETLLVTQRSVASLLMVVGCLLKDGAPLSELATIAPSSKFVSIAPLSELISPDRRFPFEALSQKNQACTENKDGSDTEDDNDDDDGDAEDQDDDDDANDEDFSGEEGGDDEEEEGDPEEDPAANGNEGSDDEDDDDDDDGDEGADDDDDDDDEEEEEDEEEDEDQPPAKKRK >Solyc06g007355.1.1 pep chromosome:SL3.0:6:1388414:1391784:-1 gene:Solyc06g007355.1 transcript:Solyc06g007355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVCICCIEHSMSRFCIFDHLGLVRTDPLESLNLFDHSHSSLKIDRRRKTSRVIITIEAHFMEQQFQHEVAMLANLKHPNIIRFVGACRKANVSSVKLVLDVEHVHGLNLIHRDLKSDNLLIAADKSIKIPNFGVARIQVDKMLEAAGRQKS >Solyc11g021270.1.1.1 pep chromosome:SL3.0:11:13417345:13417500:-1 gene:Solyc11g021270.1 transcript:Solyc11g021270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLTDRTSTIKNQIERITKEKNKVTPEIDINPNKTNNIKKFELPNFFSKY >Solyc02g082690.3.1 pep chromosome:SL3.0:2:46893148:46911436:1 gene:Solyc02g082690.3 transcript:Solyc02g082690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLKKLVEKASFVKKPRGDLDVLKSDDVNPRCVFHYGIPSGSVLSAYDSIQNIIALATKDGRIKLFGKDSTQALLVSSEMVSSKFLQFMENQGLLININSNNRIEVWDVEQRYLCNVHDFDRDITSFTVIQHTIYLYLGDSSGHVSVMKVVKEPCNIEKMKYCIPLSASHGLSNEVSGDAAVAHILPQPAAETKRVAIVYKDGVITLWSIHESKAIFITGGSPLQSMGHETKKATTACWCCPYGSKLVVGYNNGEIFIWSIPATSNSSIDQEHEELPSGTQSAPICKLNLGYKLDKIPIAKLIWAYAEGKASRLYAMGSPDCQAANLLQVVLLNEHTESRTIKLGLHPPESCLDMEIISSFPTLKKNINNSLLLVSKSGQIYTYDDSLIERYLIQYQSRSPPSLPREVTVKLPLVDSSITIAKFVVNNPYMLFSMDQDYSSLIKDSMPLFPFERGQKDGTGSNSTQFSKAKNVFLSGHSNGAINLWDVSCPNPLPIVSITQQSEDNLSLSGVPLTALCLTSDLHILISGDQSGTVRIYKFKTEFFAPDTSFLSFQGSKKGSNPIQSIKLVKVNGAVLSISTSEDSKYFAVGSDQGYVVLIDSDSKTILYQTHIASELCAGVMSMQFNTCSLHGFDKNILVVATKDSSVLALETETGNILSPSSVHPKKPSRALLMQILDGLEMSGRGLSISDGIDIIKGNSDNVASKQPLVLICSEKAVYVYSLLHIIQGIKKVYYKKKFHSTLCCWASTFDMPEAGLMLLFSNGKIEIRSLPELSLLKETSVRGLVLSPPKANAIADHSVCASKTGELIVVDRDQEMFFVSVSLQNDTFRFLDSASHVYDRDLVVEPGKIYAPIIQKEKKKGIFGSVFKDAKGNKANNVPDAGVENARASIEEMSAIFSADNFPSLTHSEEKLGRNEKDADLDIDDIEIEDPVEKQKGNPMVAALNKQNLTNTFQALKGKFMPMKVKNDKAPINDAPQDDKADTVGQIKKRYGYTASAEPSATEAAKSKLSENLKKLQGINIRSAEMQDQAKSFSSMAKEVLRFSGNDKANS >Solyc03g019950.3.1 pep chromosome:SL3.0:3:62731303:62738407:1 gene:Solyc03g019950.3 transcript:Solyc03g019950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLSTPQDTGGNRRRPGNIGEVSVFVPGLRIPKPVDFSLALGDQLSKTLVERLSALRTRIVVMAGQEAPTITRTRRKTATQHGGSTLADLLQALEDYLPVILGLVKDGSTLQHKVQFIWVNQEDDAEETAIFSAWYEILSVLHLMATLSLSQANLLLLPRTSLDGYSPKVSEESRRSSVDIFLKAAGYLDCAVRNVLPQLPAEQRRNLPVDLAEGVLRALCLQALGQAVDIQLGLAIDSTKATLAVKRRLACEMVKYWQQAQDNIMNLPLSNGWGEKHRFFVKWKYIEAKASAYYYHGLILDEGNTEKSHGMAVAALQAADEYLKESKKACEAFNAAIPLSRNPPLWGTMKYLAEKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDEYQLPHVDASWDDETYRQGTA >Solyc09g009020.3.1 pep chromosome:SL3.0:9:2370014:2374990:1 gene:Solyc09g009020.3 transcript:Solyc09g009020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PGH1 description:Enolase [Source:UniProtKB/Swiss-Prot;Acc:P26300] MATIKSIKARQIFDSRGNPTVEVDVHISNGVFARAAVPSGASTGIYEALELRDGGSDYLGKGVSKAVNNVNSIIGPALVGKDPTDQTGLDNFMVHQLDGTQNEWGWCKEKLGANAILAVSLAVCKAGAAVRNVPLYKHIADLAGNKKLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGAANFKEAMKMGCEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGKDKSYDLNFKEESNDGSQKISGDQLKDLYKSFVSEYPIVSIEDPFDQDDWETYAKLTAEIGEQVQIVGDDLLVTNPKRVAKAIAEKTCNALLLKVNQIGSVTESIEAVKMSKKAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSEAVYAGASFRKPVEPY >Solyc09g005290.1.1.1 pep chromosome:SL3.0:9:220540:223350:1 gene:Solyc09g005290.1 transcript:Solyc09g005290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLVSIVVEQLGNFVVEQVKEQVEELKMAIGIKEEIQSLSLKLKMIREALDDAERKRVKDENVKHWLEVLEIFSYDADNVLDEWRTRILQKEIERHDSLLVAGAGAGAGAAVSIPKKKVSCFSLSCCFTFKKLEINRDIVRKIKELDVKLEEIVRGKDQFNFVVNATGGGVSDQDVFRRVMTTGIVDESEVHGRDSDKEVVMRKLVESSDLENVPVVVSVVGTGGIGKTTLAQLAYGDEELKGHFDKRIWICVSNPFDEVKVAKAILEGLTKSSPNLSQFHMLLERIQECVSKIRFFLVLDDVWSEDYSRWEPLKNSLKNGAPGSRILVTSRSERVVGMMGSSYMHRLGQISDSDCWALFSRIAFSGRSNEDRENLEDIGRRVVRKCKGLPLAAKTMGSLLRFKVTEQEWQTVLDNPIWEQKEVTVDLFPHLYLSYDDLPPILKRCFSYCAIFPKDTVINVDKLVRIWMAQGFLSTVENNQQEVRGREYFVNLAMRSFFHELETDDKNTSVIISCKMHDVVHDFAQFLSRNDCYSISGTESTEKVLNVHHLCWERTDTAVTPVSICDVGKIRSLFAEHLLAKELTLDLVKGLKCLRVLNLHGCGIQELPQEIGNLFHLRYIDLSSSRVQNLPEAICRLCNLQTLDLQGCKRLSGLPQHIGKLINLRHLITTDTPKLESFPQDIGNLTHLRTLSDFAVGKGSSKLGYIGKLNQLQGYVSIHVIDSLNSAEDVVEAQNAALRMKPHIKELRLSFYWASEVSMDVMEALVPPPNLRFLTINGYRGTQFPTWITLSLNNLRVLTLSECFNCTFLPPLGKLPFLEILWIRLMDELKHVGNEFLGLPGTINTFPKLKKLRFSYCSEWEEWTDIKPEVGFSVMPSLKELELNCCEKLNSLPYCLLQRVSSLESLKIKMCPCLELDWTEITHIQSIETDDGM >Solyc05g050050.1.1 pep chromosome:SL3.0:5:60836714:60837902:-1 gene:Solyc05g050050.1 transcript:Solyc05g050050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHWDIGEIERSCNMNKPNNVVAPKLGLKASHVWNYFDRVLAPGMNSFNGSSESVSLDFSIARQDRSYDQVSNQQFYLHSIQPVQFFQQIVVPQSRTTVSCVPPTTTQQEWIDLQQQLDIGAKIHPNFASSMKSPLTQSTTRKNQSIRLTYELSQEELTNDKWAWRKYGQKNIKGSPFPRNYYKCSTSKQCEAKKQIEKSSKDENIFFVSCSGDHNHDPPMSRRYLASFKNNSKFKISKSINIFPKESIFNASSSSSKRIKHSTNVASSIIGTKPPLEIGSKNKMFFAVVQNKGDGKEKVHMNEDIFMGIEEHQIRTTST >Solyc08g005305.1.1 pep chromosome:SL3.0:8:232335:233645:1 gene:Solyc08g005305.1 transcript:Solyc08g005305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIEHDVRLNLSVVRNWQQCPSEVCQSSLRSVRCSTSKKSPVSLDQQEEQVADRSQCGCAFELAALPQ >Solyc10g048055.1.1.1 pep chromosome:SL3.0:10:43769619:43771120:-1 gene:Solyc10g048055.1 transcript:Solyc10g048055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNFIEGYMNELYNSMIVILNAKENWITWSMLYEKLNENIHEPLDFMDFLIGLIKDLATHHVGK >Solyc01g094390.2.1 pep chromosome:SL3.0:1:85774068:85776142:1 gene:Solyc01g094390.2 transcript:Solyc01g094390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRQRITSSIKTTIGFCIILLSILALTIQWMNISVETGSLEKSNKVNIFPEVSCSIKCPRVSPRKPKFMSSSESCPEYFRWIHEDLRPWKETGITRKMVEKAREVAHFRVVVVNGRVYFEKYKATFQKRDIVTLWGILQLLSFYPGMLPDLDLVFECGDQPVTQRSDYGKSKESVPPPLFHYCGNRSSFDIVFPDWSFWGWPELSIRPWDKLEKDLQHSNEMIKWTEREPYAYWKGNAVLGEARRDLMKCNVSGKQDWNARIYGLQWALERKQGYKTSDLAAQCTHRYKIYVEGLAWSVSQKYILACDSVALLINPHYYDFYTRSLLPTVHYWPINEKDKCKSIKFAVDWGNKNAKKAQEIGKTGSKFVHEELQMKYIYDYMFHLLTEYSKLLKYKPTIPKDAVEVCSDTLICSTKGIRKKFRVHSRINNVSSSEPCTMPPSWSPADLQNFIDRKQNLTKQVELWEAAQSI >Solyc02g087490.2.1 pep chromosome:SL3.0:2:50556480:50556843:-1 gene:Solyc02g087490.2 transcript:Solyc02g087490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNQLRIKECPCAPNLTVQDLLNYFILQNMEGKNMCKNMPSAKHRDKAGENREISILIPATRIIRTHLGQ >Solyc08g068810.3.1 pep chromosome:SL3.0:8:57976772:57979587:1 gene:Solyc08g068810.3 transcript:Solyc08g068810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:K4CMA3] MYSSNDLYTNPKPCKHLAEYKVKNGVCGYNLIQDCFRTTPYGRTTLEMSKLEIPRCSVCSGSQGRFYMCLICSSVFCCLSPESNHALLHIQSQDGHEILVDMERAELYCSVCCDQVYDPDFDKAVMCKHIRGFSRSENGVEESEMRLSKRRKLSFWMDLDSKNMKRLFFRKSKSCYPLGLRGLNNLGNTCFMNSVLQVLLHAPPLRNYFLSDRHNREICRNMSSDRLCLPCDIDLMFSAVFSGDRTPYSPAQFLYSWWQHSENHATYEQQDAHEFFISMLDKIHDKEGKASLAIKDNRECQCIAHRAFYGLLRSDVTCASCGFTSTTHDPCMDVSLDLSPCNSSRVDFASKSSKANESLLGCLDLFTRPEKLGSDQKLYCENCHEKQDALKQMSIRKLPLVLCFHIKRFEHSPTRKMSRKIDRHVQFPFSLDMKPYLSSSIVRERYGSRIFSFDGDESDVSTEFEIFAVVAHSGMLESGHYVTYLHLINQWYKCDDAWITEVDEEVVRASQCYLIYYVQKMLYHKSCEDVSCQPMSLRADTFVRITGCC >Solyc08g061772.1.1 pep chromosome:SL3.0:8:49563109:49572565:1 gene:Solyc08g061772.1 transcript:Solyc08g061772.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYLREETNRDGDDESKQMILQALFSSIKRNTREIELDSNFTTEDFCLQVILYIEKILKTQRVPIIVGGSNSYIEKLLEDPMFMFKNKYDSCFIWIHVEQSVLNNRVDIRVDQIIKPRMRCERFSFQMQITTKESDASISSIKLNTRMLICNQLEKIQRLISEKMWSVHHIIATDVFNEDREEDLDEAWTNTALTPCQDTVKRFLKNDHLNTIIDWLVDEIFILDADYTKGIRWSIGVPKMDRYLREETNIDRDY >Solyc09g037010.2.1 pep chromosome:SL3.0:9:20771678:20773058:1 gene:Solyc09g037010.2 transcript:Solyc09g037010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAANCVPPVRLINRVLKMIGRVDRHFNIELLKESPSPAIRTCTTLAQLQVLLVAGHNLVRLVRSLEMTLSSSNIPPEILATLLNLDGDAEYSLLVNLKRLNDVQLSRRISIEILHNDLTQTASKA >Solyc09g011680.1.1.1 pep chromosome:SL3.0:9:4943639:4943953:-1 gene:Solyc09g011680.1 transcript:Solyc09g011680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEESQLMREKLEARKGLLQQAKENVVKASQARNSFRKVMNNGMRRPMHSVLSLLSILQVENTSSNQKIIIDTMVRTSTILFDLKDEAIDIPDKDEGRFPDSQ >Solyc07g045240.3.1 pep chromosome:SL3.0:7:58498649:58501549:1 gene:Solyc07g045240.3 transcript:Solyc07g045240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRKNDYGDGASPGKIFIGGVAKETTREQFVKYFEKYGEIIDSVIMKDRQTGRPRGFGFITFADPTVVDTVIAENHIINDKQVEIKRTIPKGSADSKDFKTRKIFVGGIPTTMTEDEFKNFFSKFGKVTEYEIIRDHVSKRSRGFGFIVFDNEEVVDNLLAEGNRMDMMGTQVEIKKAEPKKPSNPASAPAYGSNSRGRGFGDSYGGFADSYSGYDSGGFGPAPYRSFGSRYGDYGYSGRYGDFGSSEFGGGYRGDPSLGYSSRFGSYAGGYGGGYSSSGLGAYGRGGGYGGYGGAGPGAGYESGPGAAYESGSGAGYGGSGGLYGSRGGYSGSGRYHPYSR >Solyc12g056730.1.1 pep chromosome:SL3.0:12:63763684:63767347:1 gene:Solyc12g056730.1 transcript:Solyc12g056730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSYFFIIFFFLLSSLSPRRVNSLSSDGKALLSLLKATYDPYAKSSSFVLPSWNASTSTPCSWQGISCSPQQRVISVSIPNTFLNLSSFPFELFSLTSLQLLNLSSTNISGSIPSSFGLFTHLRLLDLSSNSLSGPVPSELGGLTSLQFLFLNSNRLSGRIPYQLANLSSLEILCLQDNLLNGSIPKDLGSLVSLQQLRIGGNPELSGEIPAELGMLTNLTTFGVAATGLSGVIPHTFGNLISLQTLAVYDTEVFGSIPPELGMCSELRNLYLHMNKLTGPIPRQLGKLKKINSLLLWGNLLTGPVPAELSNCSSLVVLDVSANDLSGEIPGDLGKLEVLEQLHLSDNALSGAIPMQLSNCSSLTALQLDKNLLSGTIPEQVGELRHLQIFLLWENSVSGTIPAAFGNCTELYSLDLSRNNLTGSIPEEIFSLKKLSRLLLLGNSLTGRLSPSVAKCQSLVRLRLGENQFSGPIPEEIGQLQNLVFLDLYMNHFSGELPSEIANITVLELLDVHNNYLTGEIPSSLGELVNLEQLDLSKNSFTGEIPWSFGNLSYLNKLILRDNLLTGPIPKSFSNLQKLTLLDLSSNSLSGAISPEIGYMTSLTISLDLSSNRFTGELPETLSGLTLLQSLDISHNMLSGRITTLSLLTSLATLNISYNNFSGPIPVTPSFRTLTSNSFLENSLLCESIDGFTCSAHITRRNRLKSSKSISLVAVILTSVAITVVATWYLVTRKYRYESEKSPGMSVSAIGAEDFTYPWTFIPFQKLNCTVDNILDCLKDENIIGKGCSGVVYRAEMPNGELIAVKKLWKTKKDEEPVDSFAAEIQILGHIRHRNIVKLLGYCSNKSVKLLLYNYISNSNLQQLLQSNRNLDWEIRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKFEAYLADFGLAKLMNSPNYHQAMSRVAGSYGYIAPEYGYTVNITEKSDVYSYGVVLLEILSGRSAIEPQIGDGQHIVEWVKKKMGSFEPAVTILDSKLQSLPDQMVQEMLQTLGIAMFCVNSSPTERPTMKEVVTLLMEVKNPTEEFGKTSQPLINQSTQS >Solyc05g005935.1.1 pep chromosome:SL3.0:5:711834:712820:1 gene:Solyc05g005935.1 transcript:Solyc05g005935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTLLNSNPKATSSTSSFDKKYSLPKETKTVEFSSFVPSQSINSMSSSAASLGSTKDVIEIGWETIGGMVMRRKFGVMKEELFVELVTLATFIYQTKVWSSFLTMT >Solyc01g108420.2.1.1 pep chromosome:SL3.0:1:95655150:95655672:1 gene:Solyc01g108420.2 transcript:Solyc01g108420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWNRREERWWGQGGCGGEEDLLASAEKIDPLLTEKEIEGPLCRRRPTGFCSHRRQCQSSQFIFDDEWVGVGAGVEELTAEEQISPRSFANVANKPILVRFDKPNFSFLLIIFLIN >Solyc07g041960.2.1 pep chromosome:SL3.0:7:54806496:54806991:-1 gene:Solyc07g041960.2 transcript:Solyc07g041960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTFKGAIDDLDFVIQTVENCGYSSDRYYIHCDATLSGLTLPFIKHAKKITFKKPIESISISGHKFLGCPMPCGIQITRKSYVSTLSKIEYIASIDTTICGSRNGLTPIFLWLCQVSQEKKITWNQRVGNAAAEARLQMA >Solyc04g050380.2.1 pep chromosome:SL3.0:4:46828766:46829153:-1 gene:Solyc04g050380.2 transcript:Solyc04g050380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPFYSFKVSVVPSSSALVIKALKEPKRDRKKTKNIKHNGNISPDVVIEIAKVMQPRSMVKDFSGTIKEILGTCVSIGCMVDRKDPKDLQQEIIYGDMEIPQH >Solyc06g059790.3.1 pep chromosome:SL3.0:6:37806510:37807249:1 gene:Solyc06g059790.3 transcript:Solyc06g059790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIIPIYCLLSLFLMCLCLVLANAEVECSDMMKNLMPCQGFLMSGDDSPSVDCCSQAQALDKQFAASDKPDREGICSCLKAAAQMPINLEKAAMLPSLCNLDTKIPIDPNVDCTTV >Solyc05g005430.2.1 pep chromosome:SL3.0:5:328983:330764:-1 gene:Solyc05g005430.2 transcript:Solyc05g005430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREVASDLTKSTVIGDLPNDILHRILEKLPIKDTVVTSILSKQWLHVWSTLPHFVFDHLFFDHVGASAASIIRKILMQHTESIQGFHLISKSHTLLQSDVDQFIMFVSNHGVEKFTLDMATDWKYVLPHSIFTCSTLTDLKLSRCMLKLPVPDAQFPNLVSLQLKLFSIERPVGSNNATLILPMLETLELMCCFNVHSVNLELALVPATDTLDVSLNLQSLKISDLKINVKCFSSLFYLLRNCGMLVELDLDLLEKVDVTRNHSSELFYYLKSHDQVISEALQTIQKVTLRKFQGTAIEMYLVEVILVNSPNLKRMSLKKLEEN >Solyc09g007530.3.1 pep chromosome:SL3.0:9:1106586:1110272:-1 gene:Solyc09g007530.3 transcript:Solyc09g007530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRNSFNTPPIFGTEDDQRWNIPEQAPLPYARTGVPESSNIVYPVDSMSIDGAHHSSQGHGSRPSGYSSLNPNMEIPHCQPQVQGPLHDPFLHSGTGGFHMIHENYPHQASSSAHGGQTYHGVDGGLVDLTMGSGRGPYKRKSPGIPAGGESGSTSRYFDAGSSSDLPSESWQEKQNPDPHYTTWGLHPNYRGNSLSIGGEGSQRNVRSRAAFDLQNNVGRAHITSTPSHHSNSSRTSTDYCNSVNYLAPSSNSSAREWNHTVMPPAAAHGRNFAPDASFFCYDMNHYDMVTGNISTSLEMGNYHNDFTSNRTSLPQHLPGSLPSSRGVRSSYSQRSSPTFRTSSSYLRHGHVGTSDDGSQLFAEGYSSRHSRPLSTLGLRSSDRNGRTRVSSDRYRSLAGEAGFREQVASEGVIIPDRSTYYGSRSMFDQHRDMRLDIDDMGYEELLALGERIGHVNTGLSEELISKCMTESIYCSSGQNHEEGNCVICLEEYVNMDDVGTLKSCIHDFHVGCIRRWLSMKNVCPICKKTALDDDDLKEK >Solyc02g068380.3.1 pep chromosome:SL3.0:2:38892072:38904693:-1 gene:Solyc02g068380.3 transcript:Solyc02g068380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPPSITFSFPVPHAIMMKSMSILSFILLLSPLFAMANTSFGAENTEGIKYDLFPEILKEEAVGRLNELGKVSDANGYLERTFQSPASVRAGNLLRAWMEDAGLKTWVDQMGNVHGRAEGINPSEKALLIGSHLDTVIDAGFFDGSLGIICAISALKALNSSGRLGKLRRPVEVIAFSDEEGVRFQSTFLGSAAIAGILPVSALQVHDKNGVTVQGALRASSIETTEENLLQLKYEPESVWGYVEVHIEQGPVLENVGLPLGSVKGIAGQTRLKVTVKGTQGHAGTVPMNMRQDPMVAAAELIVFLESLCKQPDYYLSYDGQCTSSTVESLAGSLVCTVGEISTWPSASNVIPGQVTFTVDVRAMDDLGREAIIYEFSNRLYHMCDRRSVFCNVERKHDANAVVCDPSLSRQLKSASYTALKRITDEDPGDVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGISHSPAEHVLDDDVWAAGMAVLAFLETLL >Solyc03g006770.3.1 pep chromosome:SL3.0:3:1299312:1312540:1 gene:Solyc03g006770.3 transcript:Solyc03g006770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNINTKKHIFHLRSSFCFFLISQIVATVATTDNTITITNPLTISKTLVSQKKKFELGFFTPGGPNSDKWYVGIWYKEIKETTIVWVANRENPVINSSTSPVLKITEDGRLVIDDGDGNYTWSLNLSKNNTTFIAKLLDSGNFVVLTENEEIMVWQSFDYPTDTLLPGMKLGWDSKTGLNRNITSWKSPFDPSSGNYTFKLDVHGLPEAYLTNRDKVFYRSGPWNGVGFSGVPEMKPTDIIVFEFQMNKDEVYYTFEVLDKEICSRLLVRHNGFLERYTWIPTSNIWNKFWYAPKDQCDFYEECGVSGICNANLSPVCKCLVGYKPKNQVAWDLRDGSDGCVRYHDLDCETDVFNILKNMKLPQSSSSFVDTKMNLEECEKMCRYNCSCTAYTTANVTGSGSGCVIWTKELVDMRQYSAAEGGQFLYVRAASSDAAKSGNVGSEDGSGKTKRIAMATGITAGVVLVLIGIVSICFLSKRKKLLESPIRKKTEQRGSIERSQDLLVNTAIIPSKRDISGETVADEFELPLFDLSTLAVATEDFSDANKLGQGGFGCVYKGIIDEGQEIAVKRLSKNSGQGIEEFKNELRLIARLQHRNLVRLLGCCVEMEEKMLIYEYMENKSLDSILFNKQKSSLLDWQRRFSIICGIARGLLYLHQDSRFRIIHRDLKASNILLDKEMIPKISDFGMARIFGGDETEGNTKRVVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVTGKKNRGFYFQNNERNLLGHAWKLWREGGASELLDSSVGESFSPCEVIRCIQVGLLCVQEQAEDRPNMATVVLMLGSETATMPQPKHPGFCLGRRPVDEHSETIYEETFTVNQVTITMLDPRPGNYSNNRYVGIWYYNIPELTVVWLLDSGNFLFFHDTKKEVVVWQSFDYPTNTILPDMKFGNDKKTGLNRSLTSWKSMNDPGSGEYVYKIDINGLVPQVFLYKNSNRIWRTGPWTGLGWSGVPGMRPGFIFSSKYVDNESEVSVTFTMKDSVISRLVLNESGMMSILNWQEGVNKWVQFWSAPEDSCDDYVHCGKFSTCNLYNLGEFECKCFVGYEPRENRSWYLRDGSQGCLRLKDENVCRNDEGFAKLSNVKVPDTYNARLNMSIGLQESQFSKNNSGYNMKRTIAIVTIFIAAILMALSFACCLVIRKRKRDKEDQFTSLNTLTKNLASYENSSRGNEMDGSEQVDVLIFDLSTIISSTDDFSDANKLGEGGFGSIYKGQLSNGQEIAVKRLSKNSGQGVEEFKNEVTDLKASNVLLDASMHPKISDFGTARIFGGDQIEANTNRVVGTYGYMSPEYAMEGHFSVKSDVFSFGVLLLEIITGGKNTTHNQDHSLNLVGNVWDSWNDEKAMDVVDPLLGDWYESSEVLRCIQIGLLCVQSYANERPMMSQVVFMLCNDTKLSNPGQPGFVFRSRNSYSSSASIGNSVNDVSITAQHAR >Solyc09g090390.2.1 pep chromosome:SL3.0:9:70399994:70401985:1 gene:Solyc09g090390.2 transcript:Solyc09g090390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGVMRVGVLALFCFYVLVGSVALARNVKDFEDEKFFTIGEGGGLGGGFGAGGGSGSAGGGGYGGGGGGGYGGGGIGGGFGKGGGIGGGAGGGGGIGGGIGGGAGGGGGIGGGIGKGGGIGGGVGGGGGIGKGGGIGGGAGGGAGGGGGIGGGVGKGGGIGGGTGGGAGGGIGGGAGGGKGGGIGGGAGGGAGGGIGGGIGGGVGGGAGGGIGKGGGIGGGAGGGAGGGFGKGGGIGGGIGKGGGIGGGVGGGAGGGIGKGGGIGGGVGGGAGGGVGGGIGKGGGIGGGAGGGVGAGIGGGAGGGGGGVGGGVGGGVGGGAGGGVGAGIGGGAGGGGGGGGGVGGGVGGGGGIGGGTGGGAGGGIGGGAGGGKGGGIGGGAGGGAGGGIGGGIGGGVGGGAGGGIGKGGGIGGGAGGGAGGGFGKGGGIGGGIGKGGGIGGGVGGGAGGGIGKGGGIGGGVGGGAGGGVGGGIGKGGGIGGGAGGGVGAGIGGGAGGGGGGVGGGVGGGVGGGAGGGVGGGIGGGGGGGGGGGGGVGGGIGGGVGGGVGGGIGKGGGIGFGGGGGGGGGIGGIGGGAGGGFGGGFGVGGSLGAGIGGGFGGGGGGGIGGGY >Solyc08g022140.1.1 pep chromosome:SL3.0:8:31875067:31875415:1 gene:Solyc08g022140.1 transcript:Solyc08g022140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATITFRPQELTKKHHNPLTSVTKVIETSICCTTTTKPKIEVEFIGSKPDENGNYPMDKTKAVSGEKMLRNITSQNKIELYAAYVIDFFF >Solyc10g052680.1.1.1 pep chromosome:SL3.0:10:53179810:53180139:-1 gene:Solyc10g052680.1 transcript:Solyc10g052680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTENRSATWEDEEEEVMLQKPKVGTVWDTFCISKLAKVGFKLDYAASKKHGKGSIMGINLEDIESIVNYWNNVVVYYVLGAHPPFTVINECIQRIWAKYGWNKVAM >Solyc04g011340.3.1 pep chromosome:SL3.0:4:3817664:3821789:-1 gene:Solyc04g011340.3 transcript:Solyc04g011340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKLHKAIYKQYKHFFINQSYSFFFQLIRKTMSSNTKECLMKLLKRSKPYLAVIFLQFGFGGSAIIAKTALNNGMSHYTFSVYRNLFAAVVFAPFAALLERKIRPKMTLSIFWKIMLLGLLEPVIDQNLYYAGMKYTTATFATAMCNVLPAITFLLAWILRLENVNVWKVSSQAKIIGTIITLGGATIMSLVGGPIIGLPWTKHHSNVPTTKNVVSPNELNPVKGAIFIAAGCFCWACFYNLQAITLKKYQAGMSLTSLICMSGALQGTALTLLVQRGNFGIWSIKWGSSLFYASLYCGIVNSGIGYYVSGLIMNEKGPVFVTAFNPLNMVIVAILGSFILSEQLNMGRVVGAAVIVVGLYLVIWGTSKDKNSTKIVSTKENVESNNDKELADIKSSNQLVIGDESV >Solyc02g026085.1.1 pep chromosome:SL3.0:2:25469351:25470073:-1 gene:Solyc02g026085.1 transcript:Solyc02g026085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKMKGDFDDMNMLLFAAVILDPRFRMKFVKFIFKNYYNFVEGDCKSIKVTDTLTSSYNHYKNYIVGTSCETVEDKADVLSEVSAMDTSDVWQSQWEKFLEKENDNIDDKFDLDKYLEDNVEKIKDFNILTWWKASSERYPVVSRITRDMLVIPTSTVASESIFSTGDRILDCYQSSLSPKTTEAIIRTQQWLHSASTECKIEDLLQEMQNLEIAEKSIFYNLLVVYFFFFC >Solyc09g007230.3.1 pep chromosome:SL3.0:9:832854:839286:1 gene:Solyc09g007230.3 transcript:Solyc09g007230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKQSKADIAKKQKVVEDKTFGLKNKNKSKNVQKYVQSLQQNVVPKADPKKLDAKKKKEEEKAREKELSELFKVAISQPKVPLGVDPKSILCEFFKAGQCVKGFKCKFSHDLNVQRKGEKIDIFSDKRDEDGKETMDDWDQETLEKVVASKSQEYNKNKPTDIVCKFFLDAVEKKQYGWFWACPNGKECHYRHALPPGYILKSQMKALLQEEADKMPIEEEIDQQRAKLTASTPLTTELFMEWKKKKTEEREANLAKQRADRAKNDRMSGRELFMSDASWFVDDVGAYDKYDREEESAELPKENKDSAREEASSSTSAQKNIHDGGEASHKDQVDDIYDDDDDDDDFDVDELNELEASLSKTSLQINEPGSRA >Solyc10g047150.2.1 pep chromosome:SL3.0:10:39862097:39862387:-1 gene:Solyc10g047150.2 transcript:Solyc10g047150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTHSTKTISEVSRTGRIPWNQMGIRRARHGTLCGPQFRGGATMYGPKPQSHVIKLNKKV >Solyc12g039105.1.1 pep chromosome:SL3.0:12:53270491:53271496:-1 gene:Solyc12g039105.1 transcript:Solyc12g039105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVGLIMYILLYVDDILLTGNDQRDVHSIIEALSQRFFTKGLRTSSLLSWNLEKYSMNLLHEIAIDNCKIISIPMISTIVFDPSPKNHLVDGSLYIRIICKLHYLSFTRTDIAFAISKLLQAMHQPFMSHWDAWDPHDRTLTIGYVIYLGSSPISCSSKQQCLVSRSSTEVEYRAVVATVSKTKWLTNLLQGLQFSLTVVPRVLCDNISTTYSYANTIFHSRMKYMDIDLHSVREQIFLPHPRALFDNHFSKLGIVKLDANLWRSNNG >Solyc02g062467.1.1 pep chromosome:SL3.0:2:34700691:34701085:1 gene:Solyc02g062467.1 transcript:Solyc02g062467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRLSGNNFILCIIQAWTNGRLHSPVHRVEMARESDRCSIQLFSLSKPGHFIELKFFGLLGYGDTEAGYTTPPSDLFKAYYGI >Solyc07g065680.3.1 pep chromosome:SL3.0:7:67484361:67489583:1 gene:Solyc07g065680.3 transcript:Solyc07g065680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKDRNKPTGSPKVHVGEIDTSAPFQSVKDAVNLFGEGAFSGEKPAIRKARPQSAERVLAKETRLHLAQKELNKLKDQLKNAETTRAQALTELERAKRTVDDLTKKLKIVCESKDLAVKATEAAKSQVNQLEAPNDGSVMGKDGSWKVDLETAREKYMAEIADLDKAKQELRKIRQDCNTFMEEKGGAIEQAAEAERTAKANMERARELSKEIAVVHESVDQLKLACVQEREEEAKIYAEKDVQKQSYKAKLEESAEKLLALKKETDADLAKSLEAQLAETMSEIEALRKEMDSAKSSDLDKVKVVTAELDDAKESLRKVAEEESTLQTLVETLKLDLENIKKEHYDLKEKEAETESLAGGLHVKLRKAKSELEVAVAEEAKARGASEEMISTLHQLILETENAKLEAEEMKMQAEELKKEAEAARMALEDAEKKLKVAMEEAEEAKSAEAEARDQIKILSEKTTAARSSTSESGARITLSRDEFDSLSRKVEELDNLAEIRVGAAMAQVEAVKASENEALKKLESTQKEINDIKTATQEALKKAEMAEAAKKAVEGELRRWREREQKKAAEAASRILAETHMNYRSSPQSYTVQKEKPSEKFMESHVKMHSPVETKKQNTQENIMEMRKLQKAKTSVSKKKVLMPSISGIFHKKKNQVEGDSPSYLPGEKPVW >Solyc12g044710.1.1.1 pep chromosome:SL3.0:12:60895675:60896529:1 gene:Solyc12g044710.1 transcript:Solyc12g044710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQMMKQCPQFGCCALAFFLFATCNMAYSPCSYESTDSTYNKIPSTVAKSEDYKLPLVPKEEYKIPSLAKNNYYKKPLIPGDNYKKMSSVPKVPSVPKQEYRVSFLPKNDYYKKPSVPMYNYKKVPSVPQVSSMPKQKYKVPSFPKNNYYKKPSVSDDNYEKVSSISKDNDYKVPSMPKQEYKVSSLPKNDYYKKSSVPENNYKKVPSVPQIPLVPKQEYKVPSLSKNDYYKKPSIPEDNYKKVSTAPEVPSVPKPEYKVPSLSKNDYFKKPLPSPSPPPPYY >Solyc07g042293.1.1 pep chromosome:SL3.0:7:55539359:55540871:-1 gene:Solyc07g042293.1 transcript:Solyc07g042293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIAFGTDGVLMFSHRSPMAKEADSKFEKRNYLVNSSRFRPIGDGGVAFLNHPVKPRIVDGQSSDSRQRGLGYLNLLEKRIGGRAYKLSRQLKILKRIVSILFLCWLRLSNNLMMKQLNQQNNT >Solyc05g006560.1.1.1 pep chromosome:SL3.0:5:1195267:1197069:1 gene:Solyc05g006560.1 transcript:Solyc05g006560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSEQRRKRKRILRPSSISALVYFFSVRSFVLCFSFLIFVFLLSYHIPFSSSVFRPVLVVSRLSLLSSSSDLLSTSLSFQDFGSSSSSLLHLQIEGRVLFPDHVLLFVNKNELFSKNTEFECVYGRNSTGDDVGIVKEKSYSVDVYGDFEFGAFVRCPLPRVNYSAVVNLRELRGNGSNQTVNSWENVAYAAALDGNTVVVFVKGLNLRPDRESDSSQFSCYFGLGNFEKEGRFALRTKAITAAQEVVRCALPLSIRKNPEKARGIRITIGMLSHVHARAHEHVLLPSVAKITELKSEGRRDVENGKYDLCVCTMVWNQGSALREWITYHSWLGVERWFIYDNNSDDNIKDVIEELEIENYNVTRHVWPWIKTQEAGFSHCALRAKKECNWVSFMDVDEYFYFPYSTPGHQCLRSSGYAGQDSLRSLVANVSSSSPGIAEIRTTCHSFGPSGLNSAPSQGITVGYTCRLKSPERHKSIIRPDALDTTLLNVVHHFHLRKGFSYMNLPQSTAVINHYKYQVWEVFRAKFFRRVATYVVDWQNNQNEGSRDRAPGLGTEAIEPPNWPLQFCEVWDTGLRDFVLSNFADLSTGLLPWEKSSF >Solyc02g011930.1.1 pep chromosome:SL3.0:2:14171950:14174068:-1 gene:Solyc02g011930.1 transcript:Solyc02g011930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIHNQDNWLNLVKPFHRISLISSFYKANRFRYFNIPHYFSFYYNTRLSFYVENAHINNLDFTYSQFLNIFFICNKIFSLCVGKKNMLFGGEILFHQSSHTYLTYSYLAIFHKVVTKPITCTNLTIFHVDPIDSFLQLFSRSQKFQEHLLEMELRSLNSWEFIYSFLFLLLVAGYLVCTHLLFVSQASRGLETEFDRVKSLMTPSSMIELRKILDRYPTLELNSFLLKNIFLVVTNTDLIDDEERELFVQFSTLTTENRMYQILLSLTHSDHLSKNDSSYQMIEQWVAIYLRYLVDIHKKHLMNYEFNPSGLAERQIFFAHYQTITYSQTSCGENSLHFPTHGKPFSVRLALSTSRGILVIGSIGTRRSYLVKYLATNSYVPFITVFLNKFLDNKSKGFLVDEINIDDSYNTDARITSIVTLLRSWK >Solyc04g057860.2.1 pep chromosome:SL3.0:4:54901393:54901956:-1 gene:Solyc04g057860.2 transcript:Solyc04g057860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLILYRMLPAERDSDRDGESAARMVKFLKPNKAVIIPQRKYATCKAVTVRASDEEKRDRPNDHCLVVGLSKYREKVIHSNATKKQAKKSCMKAFIKLVHYKHIMLTCYTLDVDLKDVFNADDTEALDKKVTGAKEAKARLEERLKTGKNRWVKANEL >Solyc03g006980.3.1 pep chromosome:SL3.0:3:1541360:1544698:1 gene:Solyc03g006980.3 transcript:Solyc03g006980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPCYYTCLSCLIMTLFQLIIPSQETQITPPPLPILPLPNYAQLKWQQRELIMFLHFGVNTFTDSEWGTGVENPSIFNPTNLDANQWVDTAVQAGVSLVILTAKHHDGFCLWPSKYTDHSVIKSPWKNGQGDVVREFVNAAKARGVDVGLYLSPWDRHDKSYGLNKEYNEHYLAQLQELLNEYGDVKEIWFDGAKGSNTPNMTYYFEDWFAIVNELQSAINIFSDAGPGVRWVGNEQGFAGNTSWSTINRTLLSIGGSDADYLNRGDPKGTDWVPPECDVSIRPGWFWHKSQEPKSLSELLEIYYNSIGRNCVLLLNVPPNTQGLISNSDVQRLKEFTSAINTIFSTNLAKDCSIEASSQRGGKNGGFGPENVLDDDNLWTYWGPKDDDKEHNWIEFKTKSDKPLRFNVVRIQEAIGLGQRVKSHQIYVDGKIIANGTTIGYKKLHRIEKGMVNNAKSVKIEIIESKGTPLISSIGLHFDPFWWX >Solyc12g014200.2.1 pep chromosome:SL3.0:12:5046202:5048075:1 gene:Solyc12g014200.2 transcript:Solyc12g014200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFTLTYTYLNIYIFKALDVPNSLYHHSPTSSCIQTMLKLQGIYFILFYTMLNLNSTPTNMTTQPPQDRKIFFLFPLALISLFLLGTLRFLLDNLKNNQIQILRQNKFLRVPINVSENEIIEENCNVFEGKWVWDNVTYPLYKEETCPYLVKQVTCQRNGRPDSFYQNWRWQPHGCNLPRFNPLKMLEMLRDKRIMFIGDSIQRGMFESMVCLVHSVIADVDHPIERVPPRKIFRIEEFNASIEYYWAPFMVESISDHATNHTVMKRLVKLDSVEKHRKLWEGVDVLVFESYVWWMHKPFINATYGSTENVQEYNVTTAYKLALETWGRWIESNINPQRQKVFFATMSPTHLWNWEWKGGIDGNCFNETQPIEGPYWGTGSNLEIMAILKDVIEKLEVDVRLLNITQLSEYRKDGHTSVFGERRGKLLTKEERSEPKTYADCIHWCLPGVPDTWNQLLYAILLQDYRNH >Solyc05g006120.3.1 pep chromosome:SL3.0:5:829709:837618:-1 gene:Solyc05g006120.3 transcript:Solyc05g006120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVESPPRDPNGMFSGMVVFFVGTGVMSLRLQIWKRKLLQMGAIFNDRFTGNVTHVFAKDANLLLEKFDKELLIRTKAKFLSYQWLEDSLIQGEKASEDLYVLSLQSGGGKSLSPKADNDGHSDNDGHSRVALKKSRISAEDAKNSCPRHVNDNKDRVDPRDTKSASLLASHSSSPEVTSPEAIHSLNKPVGTSDSSSLYKPPDLNRNITQIFGKLLNIYRALGDDRRSFSYYKAIPVIEKLPFKIESVDQVKHLPSIGKSMQDHIQEIVTTGKLSKLEHFEKDEKVKTISLFGEVWGVGPSTALKLYEKGHRTLDDLRNEESLTRSQRIGLKYFDDIQTRIQRHEVKEMERLLQKVGEEILPGVIVVCGGSYRRGKASCGDMDIVITHPDRKSHEGFLPKFVRRLKEIEFLREDLVFTVHSTEGTDSGVDTYFGLCTYPGRELRHRIDLKVYPRDIYAFGLIAWTGNDVLNRRLRLLAESKGFLLDDTGLYPATHSSAGKRTKGSASLKFETEKQVFEFLGFPALEPNERNL >Solyc06g066400.3.1 pep chromosome:SL3.0:6:41795554:41800493:-1 gene:Solyc06g066400.3 transcript:Solyc06g066400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRTIGLLLTVTSLSIFTYYTFWVIILPLVDTDHFVHKYFLPQEYAILIPVFVGMTLICLLSMFTGYVMLNSKKKKA >Solyc01g017540.1.1.1 pep chromosome:SL3.0:1:23977488:23977775:1 gene:Solyc01g017540.1 transcript:Solyc01g017540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4AUT5] MFQDCWKGTGFYGAASLNDVGLMGVMNFAFIEGKYNGSTFTILGRNSMFQMVREMAVIRGSGLFRFARGYVQASTHSWDFRTGDATVQYDVYVLL >Solyc04g014350.1.1.1 pep chromosome:SL3.0:4:4611842:4612018:-1 gene:Solyc04g014350.1 transcript:Solyc04g014350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRGFAGIGGRVIFGTTEGKDGKGELAVGSAGRDVKLGNGGNVVGKFGIFGNCGIVG >Solyc09g064945.1.1 pep chromosome:SL3.0:9:62824316:62826165:-1 gene:Solyc09g064945.1 transcript:Solyc09g064945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPSNLEELNWPKPSNEEVESVSPRKEVLNIKQREGQQGRKGRWNTSKIMRIDFSVRFEMKILGQIECFLGLEVEKSDQSYFLSQKGYAESLLEHFGMGESKDKTAPITIRKTLI >Solyc01g011357.1.1.1 pep chromosome:SL3.0:1:8689883:8690221:-1 gene:Solyc01g011357.1 transcript:Solyc01g011357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQATSAQTSSSIQVVSSHSSLGLPNPPSAISNIKGFVPIELTYLNYLTWKKVFLAVLKSHNLLPLVDGSIPCLSSTNDGYRLWISCDTITLSWINVILSPPVLDHFSIIA >Solyc08g066355.1.1 pep chromosome:SL3.0:8:55002624:55010558:1 gene:Solyc08g066355.1 transcript:Solyc08g066355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTDPLDDLPTGLKLFIKNLHSLTPEKLNDSNCPSWFTTASANLSAHRLMAYVDGTMDVPPATITVTADGEAAAAAATAVTINPDHEKWSVIDAQLRACLLAIISPSVQNHLHGLTSAAAIWNHLQLRYNSLSRTHIFQLKEQLHGIQKGSDSMQKYLDSVVKIVAALNRAKSGIPDQDVILCVLRGLSSEYASIKQNIRTNIAHVTFAEVSSWLLTEELNVQMEQKLKVREAGGLAEPHTALYAQSWQSAGHRGGRGRGFHRGRGGTPSRGSSAGGRGGAVNSSQQRGGYSGRRGGFDNTYSGPSTSSPQAFYAANSAESNGELIHRAGVDSCTTAPTPISPSQSTNGADVPFHNPRLFRSLVGGLQYLTVTRPDIQFAVNYAAQKMHNPKEKDFYTLKRILRYVKGTISCGITFFRGDLRLRGYSDSDWANDPSDSRSTTGYLIFFGPNLISWNTQKQGRVSKSSTEAEYRTLSAAASEVMWLTCLLDDLHPWNKIVDKLNRLVAFKVRNLMQPGFQLSGAKIRKLTLN >Solyc02g080820.3.1 pep chromosome:SL3.0:2:45497524:45502084:1 gene:Solyc02g080820.3 transcript:Solyc02g080820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAKKGLQQYLLQLQQHPLRTKAITAGVLSAISDLVAQKITGIQKLQVRRLLLKVLFGVAYLGPFGHFLHLLLDKLFKGKKDKSTVAKKVLLEQITVSPWNNLLFMVYYGMVIEKRPWIQVKSNIKREYPKVQYTAWAFWPVVGWVNHLYVPLQLRVVFHSIIACCWGIFLNLRARSMVLKKA >Solyc09g061710.3.1 pep chromosome:SL3.0:9:60428001:60437073:-1 gene:Solyc09g061710.3 transcript:Solyc09g061710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPKPESPINEYEIRITSQGFVRNYINYANTLLQERHGKEIVLKAMGQAISKAVAIAEIIKRRVPILHQDTAISSVSITDVWEPIEEGLLPVEQTRHVSVISITLSTQELNNNSPGYQAPSEVEQMKPQYNNYQPQLQQQSPRQTRGVYYAGNEDSYGRGRGRGIGRGRGWSRGGYGNYQENGDYSNWGRENDGYSNRGRENGGISNRGRGNGGYSNRGRGNGGYSNRGRGNGGYSNWGGENGGYSNWGGGNGGYSNRGRGNGGAEKMVVTQTGAKEMVVTQIGAEKMVVTQTGAKEMVVTQTGAGKMVVTQTGAEEMVVTQTGAEVDGKVVTLDMKEAEVMDADVDAWTSVLKVVATRLSRGLAHCVFAQMPWFSSYYVQSDGPYVRAKYAQIVEKEPEAAIVWFWKAINGGDRVDSALKDMAIVMKQLDRSEEAIEAIHSFRCLCSKQAQQSLDNVLLDLFKKCGKVDEQIALLKQKLRQIYQGQVFNGKPSKTARSHGKKFQVSVRQETARILGNLGWAYTQKGNFMAAEVVYKKAQIIDADSNKACNLTHCLIKQARYDEARNILEKVWRGNYAGSEDPKTRKRVEELLVELDSRQPPPFLQNLPGLNLDDDFMNGLEHLINEWAPPKSRRLPIFEEISTFKDQLAC >Solyc02g036373.1.1.1 pep chromosome:SL3.0:2:31089041:31089049:-1 gene:Solyc02g036373.1 transcript:Solyc02g036373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DL >Solyc01g097110.2.1 pep chromosome:SL3.0:1:87929876:87950390:-1 gene:Solyc01g097110.2 transcript:Solyc01g097110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPQNKQIGPRKRPAKLKTGFVTPFAPPNKIPKSAVSNEENVPQTALESEAPKLRQSQTVNSIEKMISVLADAGCTLINPSGPPCLPSDLHKLRNQLNSLFSSDSSLKSEFLLGLSSYINSPSNLRRILTPSKRDGLGSVRSDSLARVLLLVPAVQSDIQNLLLEKLPEYFDVDPAGNIGGRSSSLCLEEDIARLIINQFRWLDFLVDSEAFTEKLFQVLSICPVHLKKEIIGSLPEIIGEKNNRTVVDSLQEMLQEDTSIIVPMLDCFSNLHLDDMLQEQVITVALSCIRTIDAEHMPYLLRFLLLLATPTNTRRIISHIRHQLKLVGASNVWTTQQRKMKGKSVVNNAEASILDALRTSFRFNKVICQETLNELKSLEKVQDHKIIDIWLLTLIYMNNEPLQKIVEKLLKKKILEGCIVETMFDQCVSGNTDLTRDYLPTLLSISEYLLACKEDKVREFGVRMYTDLFKNLVDSYSRQEVLGTLITHVGSGISHEVSSAMDVMVLLASKYSQELIPLSSHINGILDYLETFSIGNLHKVYEVFSLLAFSAEVSTGPFGSPISNELLVIVRKQLSHPDLTYKKMGLIGTLKIVSYLGDAKTTKHLPSSQESNHEEALELLETSMNSCKQLPLPLIMFYDELALTLKKKALYPAIVEWTSKHVGDFESKFLCDLDGGKLMVNDLYCGLEGDLWMNLDGDISPICLNILPLVSSSLRAASSLQILPANFFLLSSIEGLANQGSLAGIDALLGCPIHLPSSKFFGEPLWGSLSGKQKKIIILSLYYAVNWLRELLNAFCTQAVDECSAVSQATREEIALKLFKRLRNLVFLESLLNISLKQCSFSLPELQPHLESLSPNQLDHNRDQEMKNGNGNGNVGPSQKKKKEKKSSQASLTDGQLRQPTIMDVLRKAGAVPSQEPSTAPSGTCSKGSAPEPSVNQPRNVNMSANVDVSTAVEHVEAQRHKFRPLLLDCFAILAFQKTEDSCCADPASELPLCLYFLRDLNKKLDYFSPRRHILVRRMSVPPAFGEMKVIEFISKIQPLFPSLRRHLDSAVSGVRKDTCPDHWRTHSAFAGNPDLLNITSLRPSVSRSVIKETLQCFGKTLNIPNVQRDRSVLSDLLEAFQPISISDCFFQGMQLIPSPGDIGYLYAGAYLFVGIIFDEACAVSFALASEVLLSLESVIVSFRTILDNDLNDIGEDIRTGFSKELLSFLSKKLGSSAYKLLMQKCDGVNEIEDGQKVRAEVIQKLLRIHLENCQSTSDSLCELACSVLPQVSLHRSALEDHCRFSTLCPATFYIWYRVLHEENLAMINRLIKEISLLEKARGGEVEDVKCLLKKLQQSVNVVVSLVNLCKTHDKVNVRAIAVKFGGKFVDSFLKAFGFLQGQFELHREQIIQMVRELQTATRTIQTLCSDAKGMKQTAITSKIPITKRSMERFLFRVKELLHSTSSGCTFWMGNLKHKNLMGDVVSSQAYVDDQKDNMDNVSTENMDIDEPADIAGE >Solyc04g011760.3.1 pep chromosome:SL3.0:4:4207650:4208306:1 gene:Solyc04g011760.3 transcript:Solyc04g011760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFFIDIKKIEDLFVYIVSCGKSSKEGEENDGGRANVSRPPGQRPAPRPQTGR >Solyc09g008430.3.1 pep chromosome:SL3.0:9:1876989:1882848:-1 gene:Solyc09g008430.3 transcript:Solyc09g008430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLIADIAIMTQRSFAHCVILNRMFNKNASSAGFAWGSTFAQNATSSMMMSRRINTTVINVESAERVARRISFTVTHVYIFDTTKNIIVLPCGHTMHLECVMQMEQHFQYSCPVCSKSYCDMSRVWEQLDQEVASTAMPEMYQDKKVWILCNDCAETSEVNFHIVAHKCPSCKSYNTRQTRGGSSSCSNITEMVR >Solyc04g079210.3.1 pep chromosome:SL3.0:4:63838153:63866898:-1 gene:Solyc04g079210.3 transcript:Solyc04g079210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKTSQIQPPTYGDFITILSIDGGGIRGIIPATILTFLESQLQELDGKNARIADYFDVIAGTSTGGLVAAMLTAPDENHRPLYAAKDITPGLFAPIGKMVQALIGPKYDGKYLHEVVKEKLKDICISNTITNVVIPTFDIKKLQPTIFSTYETKRSTCCDAKLSDICISTSAAPTYLPAHYFKVEDGKGNVKEHHLIDGGVAANNPGLIAISEVSKEIFKNNPDFFPIKPMDYGRFLVISLGTGAAKCEQKYNSLMGAKWGIVDWLIHKGSTPLVEVFTQSSADMVDYHNSIVFQALRSENSYLRIQEDELIGTEASVDVATKKNLERLVEIGEQLLKKPLSRVNLETGLSEPTPKGGTNEEALKRFARLLRKTSQIQPPTYGDLITVLSIDGGGIRGIIPATILSFLESQLQELDGKEARLADYFDVIAGTSTGGLVTAMLTAPDENNRPLYAAKDITPGLFAPIGNIVQTLIGPKYDGKYLHQVVKEKLKDTRLSNTITNVVIPTFDIKKLQPTIFSTYETKRAACYDAKLSDICISTSAAPTYLPAHYFKVEDTKGNFKEHHLIDGGVAANNPGLIAISEVSKEIFKNNPDFYPIKPMEYGRFLVISLGTGAAKYEQKYNSSMAAKWGILEWLLNKGSNPLIEVFTQSSADMVDYHNSVVFQALRSEDSYLRIQEDELSGTEASVDVATKENLERLVEIGENLLKKPLSRVNLETGLSEPIPKGGTNEEALKSIDGGGIRGIIPAIILEYLESQLQELDGEDARLADYFDIIAGTSTGGLVTAMLTAPNKDKRPIFAAKDIKPFYLEHGPKIFPQKGMLFFGSIIKTLKSLIGPKYNGKYLHQVIKEKLGETHLHETLTNVVIPTFDIKNLQPTIFSTFEAKEKPLMDAKLSDICISTSGAPTYLPAHYFKTQDRDDNFHEFNLVDGGVAANNPALVATSQVTKQIMAGNPDFFPIKPIDYGRFLVISVGTGSAKVEQKYNAKIASKWGILGWLLNGGSTPIVDVFTQASGDMVDFHISVVFQALHSEENYLRVQDDTLSGTDSSVDISTKENMNKLVEIGTNLLKKPVSRVNLQTGLFEECKKDDTNEETLKRFAKLLSEEKRLRDSNSSKLQSPINGKFITILSIDGGGIRGLIPATILEYLESQLQELDGKDARLADYFDIITGTSTGGLVTAMLTAPNKENRPLFAAKDIKPFYLEHGETKLHETLTNVVIPTFDIKNMQPTIFSTFEAKKHSIMNAKLSDICIGTSAAPTYLPAHNFQTQNEDGKFHEFNLIDGAIAANNPTLIAINEATKQIFEQTPYFKNFKEMKPTNYGKFLVLSIGTGSAKLEHKYDAKIASNWGIFGWLTGGGSNPIIDAFADASDDMVDYHISVVFQSVHSQKHYLRIQDDTLSGADSTVDISTKENMNKLVEIGTNLLKKPVSRVNLQTGLFEQLNKDGGTNEEALKEFAKLLSEEKKIRNFKSSPTDES >Solyc01g005270.3.1 pep chromosome:SL3.0:1:199928:203236:-1 gene:Solyc01g005270.3 transcript:Solyc01g005270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQEIALTQMRKSVEKLGSSTDSYGEKTLMRFLIARSMDPEKAAKMFCQWKKWRAEMVPLGYISDSEVADELAAEKLYLQGLSKSGHPIMIVKVNKHFPSKDQVQFKKFVIHLLDKTIASSFKGREIGNEKLIGILDLKNITYSNVDTRGLITGFQFLQAYYPERLATCYLLHMPQFFVTIWRFVCRFLEKATRDKMRIVTSEEEKEEFIREVGEDVLPEEYGGRAKLVLMQDVAVNY >Solyc01g109060.3.1 pep chromosome:SL3.0:1:96027238:96029384:-1 gene:Solyc01g109060.3 transcript:Solyc01g109060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVELLRSLSLRRFSTLGLSKSTSPTIPITAEVLRESVTSSQWHFIKHVTGELNPTLISATLPELRSSPDRVLTFIENLGPDCLDISCYCLAISILSRLPSPKQATHLLKQVISSRFASPNEIFYGLVSAREKLVVKSSIVLDLLVRAYCELKKGEDALKEGKLKKAKEFIEHMQCSGVKPNLISFNTVIHGYCLRGDIEGANKIFEAMTAKGIEPDSYTFNSLVRGMVKEGREKEVSSLLEKMKPFGLIPTAVTYNTLIDSCCSKGDLEKAFFYRDEMVKIGIVPSVATYNLLIHALFLDGRMVETDDLLKDMSEKRVLPDGITYNILINGYCRVGNAKKAFKFYDELLSRGLQPTIVTYTSLIKVLGKRNRMKEADDLVVEILRKGIFPDLIMFNALIDGHCANDNVERAFDTLNGMNKMNVQPDEVTYNTLMQGYCKKGKVEEACMLLEEMKGRGIKPDHISYNTLISGYSRRGDMHDAFRIRDDMLSAGFNPTLLTYNALIQGLCKKQEGVLAEELLKEMVSKGITPDDSTYLALIEGIGDVDNTDRELRGRCLLWLMMVIRELGKTSAHADSCHFHNADNSLVILWIKGDSRGLKYLLKLKDSHCYGSSFPSGLHLQIFSDQIDMTV >Solyc06g009040.3.1 pep chromosome:SL3.0:6:2964329:2976278:1 gene:Solyc06g009040.3 transcript:Solyc06g009040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVHGPMMSPAVMRVVATLKEKDLDFELVPVNMQAGDHKKEPFISLNPFGQVPAFEDGDLKLFESRAITQYIAHTYADKGNQLLPNDPKKMAVMSVWMEVEAQKFDPIGSKLGFEIVIKPMLGMVTDDAVVAENEEKLGKLLDVYESRLKESKYLGGESFTLADLHHAPSLHYLSGSKVKSLFDARPHLGLRQLSCQNIHGPMLSPAVVRVVAMLKEKNLDFELVHVDLQNGDQKKEPFISLNPFGQVPAFEDGDLKLFESRAITQYIAHTYADKGNQLLPNDPKKMAIMYVWIEVEAQRFEPVVSKLCYEIVIKPLLDMVTDDAIVAENEEKLSKLLDVYESRLKDSKYLGGDSFTLADLNHAPALHYLMGTKVKSLFNARPHVGAWVANILARPAWAKSLELTK >Solyc11g066930.1.1.1 pep chromosome:SL3.0:11:53022548:53023507:1 gene:Solyc11g066930.1 transcript:Solyc11g066930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCFSENAIQTTSCSNYYSKTSCFPQNSSTTLSTQNNVTCLYNVILSNKKHLLIIVSWSKTNVSQTLYIQFGDNPSNSFKISAISKKKKGKKSIEFDSDDDDDRKKIEVFWDLCDARYNSNNNNMFGVEPIDKYCVVVTFDSQIVLSLGHDIEEKIFKNCCTSIAKFSLVSRQEHFVGHTIYSTRAQFCENGSKHDILISCKGENEGKNIHYQQEQHNYPVLSICIDKKMVIRVKRLQWNFRGNQSIFLDGLFVDVMWDVHDWFFDSTNSGCAVFMFRTRSGLDSRLWLEDRDKLMHKNQDKVEFSFMIYASKTT >Solyc03g007820.3.1 pep chromosome:SL3.0:3:2377471:2380498:1 gene:Solyc03g007820.3 transcript:Solyc03g007820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARKERRVKYGFLKANFADLFLSCG >Solyc04g039960.3.1 pep chromosome:SL3.0:4:12316085:12320440:-1 gene:Solyc04g039960.3 transcript:Solyc04g039960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFDQNDEHLIDENEFLCGVTYWLHNAKQTTEEHHDKVMWGEFDKFLDEVEKGNHRRFNHSLVLKWDICKSVLQVILGIAILTLCADPIMDNIIRLASAIGVPSFFLSFVIVPLAINVCTAITAVTLLSSANQQSGTTFFFDIF >Solyc08g006060.3.1 pep chromosome:SL3.0:8:806089:823854:-1 gene:Solyc08g006060.3 transcript:Solyc08g006060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKFSEDGENVRVRYGLSSMQGWRAAMEDAHAAITDLDASTSFFGVYDGHGGKVVAKFCAKYLHQQVLKHEAYQQGDIGTSVQKAFFRMDEMMRGQRGWRELAALGDRLNKFSGMIEGLIWSPRNGDGNGNTDDWAFEEGPHSDFPGPTSGCTSCVAIIRENRLIVANAGDSRCVISRKSQAYNLSRDHKPDLEIERERILKAGGFIHAGRVNGSLNLARAIGDMEFKQNKFLPAEKQIVTADPDINTVDLCDDDDFIVLACDGVWDCMSSQQLVDFIHEQLKSESKLSAVCERVLDRCLAPTAGGEGCDNMTMILVQIKKPVPSGASPDDEPSASSEKLPSSDDVIAETKLAECGSSS >Solyc09g031565.1.1 pep chromosome:SL3.0:9:30438171:30440173:-1 gene:Solyc09g031565.1 transcript:Solyc09g031565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGMIKADDLKICPPSRSRMKLSIESYDVCRLFISIIGKVTIGSWVYSTLNHHFEPCTKCFSVHGPATYTRVEAPKGEFGVFLVSYGSNHQYRSVITVPSFSYFQGLDTM >Solyc01g099150.3.1 pep chromosome:SL3.0:1:89341068:89342977:1 gene:Solyc01g099150.3 transcript:Solyc01g099150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLLFIHFLVTYMVLSEILFMSFSYATNTNCPSGYPKKGVGVEKEDVDKMQFAVNLEFLEAEYFLWASYGFGLDEVAPNLPMSGPPPIGARKANLDQLTNNIIMEFANQEVGHLRSLNSTVGVFPRPLLDLSAKHFAKIFDDAFGHKLVPPFDPYRDSLSYMLSCYVIPYVGLVGYVGTNPNINGYETKRLLAGLLGVESGQDAVIRMYLYERAAELVSPYQYTVADFTSRISGLRNKLGKCGIKDEGVYVQSPLGAENRTRSNVLSANFGSLSYKRTPAEILRIVYGSGDEHVPGGFYPKGANGKIAKEFLK >Solyc02g071700.3.1 pep chromosome:SL3.0:2:41624176:41637025:1 gene:Solyc02g071700.3 transcript:Solyc02g071700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYGKIFATIFIVLNLKTFVEGAPQVPCYFIFGDSLLDNGNNNELNTTAKANYPPYGVDFQGGRPTGRFTNGRNTADFLAEYLGFDHYIPPFASVKDSEILEGVNYASGSAGIRNDSGSHLGDRIYLGRQLENHQTTISRIANLVGNTTSAQKHLNKCLFIVGIGSNDYINNYLMPEIYPSSHLYTPTQYATALIDQYSQHLRTLYEDGARKVALFGLGQIGCIPAELQKHDTRRCVSSTNNAIQQFNSKLKSLVGDLNTNFPDAKFTYINMYSISSIIGMIHLACLLVHAVTFWKRCLKDNVFLEKLHAFLEGFIFSMIIFIQQRLPIESRLAELIMLFYLLNLVEGAPEVPCYFIFGDSLLDNGNNNDLDTAARANYPPYGVDFPDGPTGRFTNGRNIADFLAEHLDFDHYIPSFASATGDEILEGVNYASGSAGIRNDTGSHLGYRIYLGKQLENHKVTISRIADLLGNATSAKNHLNKCLFIVGIGSNDYINNFLMPDVYQSSHLYSPSQYATLLIQQYSQQLKELYSDGARKIALFGLPQIGCIPDQLNQHSTIFCVDSTNKAVQLFNKNLKALVDDLNTNFPDAKFIYINMYSISSAIAITLLNNPCCQISKTMPEGQCIPGKSPCLFRATHFFYDNFHPTEIGNNIATSRAYRALLPSDSYPMDIRHLVRANNVYYDDQ >Solyc09g009430.3.1 pep chromosome:SL3.0:9:2861565:2882102:-1 gene:Solyc09g009430.3 transcript:Solyc09g009430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCTSAVFMPPDTRRSRGVLTVLGGRVCPLKIQDEKIGYLGVNQKGTSSLPQFKCSANSQSVNQYQNKDPFLNLHPEISMLRGEGNNTMTTSRQESSSGNVSESLMDSSSSNNFNEAKIKVVGVGGGGSNAVNRMIESSMKGVEFWIVNTDIQAMRMSPVNPEYRLPIGQELTRGLGAGGNPDIGMNAANESKQAIEEAVYGSDMVFVTAGMGGGTGTGAAPIIAGTAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRENVDTLIVIPNDKLLTAVSPSTPVTEAFNLADDILRQGVRGISDIITIPGLVNVDFADVRAIMANAGSSLMGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPSANLIFGAVIDPSISGQVSITLIATGFKRQEESDMRPLQGNQLAQGDASLGTNRRPASFLEGGSVEIPEFLRKKGRLRYPRA >Solyc03g121950.3.1 pep chromosome:SL3.0:3:71422670:71438617:-1 gene:Solyc03g121950.3 transcript:Solyc03g121950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDQDCSKILELLPVNQTLKGEAELNCILLISFGDNMQQAVQSRSFANGFGSRKGEKEMGTRLESKMQSTKTTSSKSTAVGKGGSYESPSHDRLVYFSTCLLGHQVEVQTTDGSVFSGIFHATNADKDFGIILKLAHLIKDGSLESKNTPESLVKPPSKTLIIPGKELVQVLAKGVPASLDVFRAELLREKQLELLTDSCISQSRHGEVERQLERWVPDDDALECPELDNIFDGHWNRGWDQFQANETLFGVKSTFNEELYTTKLDKGPQMRELEKEALRIAREIEGEETRDLHLAEERGIQLHGSLEIDEETRFSAVVRGVDDSGYGDCEDILLDSRNDETFQCISSSSMGKSFTGMSVGEVVDGVEFSSRSSSLDELQSSQLSTSRDVYQTYYDDHSKQSSAKHVPQSASKMDESRGHKITFNEHDGASCNDEETRMQMLAEGAHTSIPEGEFVLRLLHVDSKSSLRVKMETLDKGVSSMNVSALAPVPEFQEKITGSFSEKGAVGSSKSRDNTRSVNSCVRPSSSALSSIDRAGAASSNGLSRSSSVSSLSSEKSTKSTLNPHAKEFKFNPSAKSFVPSQATLRPTSPVSDNSFYYPAGMAAMPHMHGMSMGLGVMILEVGPSFAGHQSVVYNPQGTPVPQPYFHPNGPQYGQQMMIGHPRQVVYMPTYPPMQQMSTFPGPQNTKELNHHFQDQDSSSTQSTSQSCPEAPNERETKIHGKNNISLRAGSVRSSGKSDDSQSVSSQEHGWTFDTYQTNFAVVPFPHPDPYYHDLVAAYGNPTLVQSQMLGTVAPRVPLPLDLKQDEPIFVNAKQYQAILRRRQYRARLEAQNKLSKGRKPYLHESRHRHALNRARGPGGRFVNMKKPGESKSPDLINGQDNQIPDELQLNTKMLERDVDQSGSHSTPFYSAITSGSKGDAIYHHQLFNYSAFSAHNVGVSQLDKEKKDNMSYPCLSSF >Solyc05g010515.1.1 pep chromosome:SL3.0:5:4721331:4725444:1 gene:Solyc05g010515.1 transcript:Solyc05g010515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRIEIEEISVITEQVIVLLERIFNLYINNFPEMKNISSSRRIQRAYGEIIQLLDEVELVSLEFYKCVNFEDPIEFMYSILDNYCCINRETLREQHDILKPALVRGDISFVIGDTLWQSFSQYIVGNEPGDEVHAFAWNKIYHPPLLSLVDDLKLLLIRFIRGRVTTDARRQEAEVKDFEKQNKTSVFVVKVEIVGEDWLSNEGRRVGQDSGEAYISRKTEHQCRKHIEMKALDAW >Solyc04g071137.1.1 pep chromosome:SL3.0:4:58151614:58153050:1 gene:Solyc04g071137.1 transcript:Solyc04g071137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILKMNWCPLKVLFNLQKKITSSIQWKSISTQRISIFEKYHDRPNDNLKTNMGAWEISVISNAPSFGDA >Solyc01g081440.3.1 pep chromosome:SL3.0:1:80500439:80505752:-1 gene:Solyc01g081440.3 transcript:Solyc01g081440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQAFIRLSIGSLGLRLSGTTLNGTKSGISALSSPCLCEIRLRGFPVQTSSVPFISSPEATVDIHNVASSFYLEESDLKALLEPGCFYAPHACLEIVVFTGHKGGHCGVGIKRQQVGTFKLEVGPEWGDGKPVTLFNGWIGIGKNKQDTGKPGAELHLRVKLDPDPRYVFQFEDKTKLSPQIVQLQGNIKQPIFSCKFSQDRVSPVDPLNNFWSNSVDGSELDIEKRERKGWKVKIHDLSGSAVAAAFITTPFVPSTGCDWVAKSNPGAWLIVHPDVCRPGCWQPWGKLEAWRERGIRDTICCRFHLLSEGQENGGDLLMSEILISAEKGGEFYIDTDKQVRAATSPLPSPRSSGDFAALSPVAGGFVMSCRVQGEGKCSKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIEACRPFRRRLRRSSRHSW >Solyc01g087590.3.1 pep chromosome:SL3.0:1:82419257:82425146:1 gene:Solyc01g087590.3 transcript:Solyc01g087590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPRRSSVIIVGAGISGLTAAKVLSENGVDDVVILEAADKIGGRIRKEEFGGVAAELGAGWIAGVGGKQSNPVWELALQSNLRTCFSDYSNARYNIYDHSGKIFPSGIAADSYKKAVDSAIQKLRSQEGNHNEDTDDAAETPSTPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADERGYEHLLYKMAENFLFTCEGKIMDSRLKLNTVVREVQHSRNGVLVTTEDGSLYEANYVILSVSIGVLQSDLISFSPSLPRWKMEAVRNLDVMVYTKIFLKFPNKFWPCEPEKEFFIYAHERRGYYTFWQHMENAYPGSNMLVVTLTNGESKRVEAQSDQDTLREAMEVLRNMFGPDIPDATDILVPRWWNNRFQRGSYSNYPIYANHQLVHDIKEPVGRIFFTGEHTSEKFSGYVHGGYLSGIDTSNALLEKMRRDDGRKNESQAFLLEPLLALTGSLTLTQAETVSSLHKCDIPRQLFLSNSKLGLPEAIL >Solyc11g065840.2.1 pep chromosome:SL3.0:11:51708838:51712368:-1 gene:Solyc11g065840.2 transcript:Solyc11g065840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYMLTNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEEHIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKNSSSSSTPSPNASDSSSDHPSKELNMGVTQQGFMPMLKHNLMSMYMDSTTSPSSSSIALNTINIDPLPTLEHTLINMPNGFNAPSYLSTTQPCLVQGGNIVSANGGNLFYGNNHGIFGGNLSMEGHELYVPPLENVSIEYQNVENGNFSHHQNNNNPNNMTNLINTSHNFNTCSNIKVENFGGIGNYWEGDDLKVGEWDLEELMKDVSPFPFLDFQVE >Solyc02g089420.1.1.1 pep chromosome:SL3.0:2:51894383:51894925:1 gene:Solyc02g089420.1 transcript:Solyc02g089420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTDVTDFYYLLPSNPTQFPSQSCVNYNPSTFQLNTVLNPLYHLQITPQVQDLNPQLTCFNSNSTSDEADEQQWTLINERKQRRMISNRESARRSRMRKQRHLDELWAQVLWLRNENHQLLDKLNHASERHDQVLQENAQLKNETSELRKMITGMQLSSPCPSSRALENEPCSGLPQNE >Solyc01g098900.3.1 pep chromosome:SL3.0:1:89145502:89150670:-1 gene:Solyc01g098900.3 transcript:Solyc01g098900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:K4B0S7] MMSSNYTAIDSQNVSGSVPAAAGPPGQVAVKFTESNLQTFPPSSSQGKISGASGPPRDADDSFSKPVSGSDEPQQSGWFRAFTIAAYKPYFDVDTSDVLERIKDSLLPFTGSFSEKTADRPDLYGPFWICSTLVFVAASIGTFITYIAHKLQNKEWNYDINLITWSAGLFYGYSTIVPLCLFLVLKYFSAPSGLVQLLCLYGYSLFVFIPALCLSLVPWEIVRWVVAGVAGFMSATFVALNLKHHIASAGERWFFIVAAIFLLQLALALVLRVYLFNVTV >Solyc03g097550.3.1 pep chromosome:SL3.0:3:61311673:61320686:1 gene:Solyc03g097550.3 transcript:Solyc03g097550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:K4BJH2] MNTKRKREDMEIVWQTPANPPERHDYIFRNGVRYVKPYYFEFISHVKNRWAGKTIVDLFSDEFKGRPRDYYESAVKSGRIQVDGRKVSVSYVVQSSQKISHFLHRHEPPVMSWDVEILCEEPDVLTVCKPASVPVHPCGQYRKNTVVGILQAEYGLAPLFPVHRLDRLVSGLLILARSASRADLFRQQIESGVVQKRYIARVIGVFPEKQQVVNANVNYNAREGRSTVEVGDNQGNSTASLKGKAACTKFTRISTNGKQSIVLCEPVTGRTHQIRVHLQYTGHPIANDMLYMSEFVSSRAAEGLSADRAAAKSCSPPDPISRTSDMENDSNEDFSIDPMCTNCPNLAPKGYEGNEEGLWLHCVKYSGPDWIYECPHPDWASLS >Solyc11g050775.1.1 pep chromosome:SL3.0:11:16197446:16197806:-1 gene:Solyc11g050775.1 transcript:Solyc11g050775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYQKKYTLDILSESGLLGAKPSIFPIEQNHKLKLENFMHRPKEKHWEEAFHVLRYLKGNPVQGVLLRDDSNLLLKSYCYYDYEIFP >Solyc05g012840.1.1.1 pep chromosome:SL3.0:5:5987731:5988402:-1 gene:Solyc05g012840.1 transcript:Solyc05g012840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKRAKTDVIEGHGGRIIRATGRKDRHSKVSTAKGPKDRRVRLSPNTAIQFYDVQDRLGYDRPSKAIDWLIKEAKAAIDALGEFPNNFHSTKLNPKKMQYSFDQEQSPEFSQENRGVPNSECGVQDKQQEVNYDIPNLFSSSDGLKIPFLSDLQSYPHGHFLNFQSLQDDTILSSGNHHQGSFFTTTSVNHFPSVLSQNQVFSHREPLQSSFFPLMSDPLSK >Solyc07g041230.3.1 pep chromosome:SL3.0:7:52256344:52261234:1 gene:Solyc07g041230.3 transcript:Solyc07g041230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIARSKQSSDDSFDHPEKFNPNAAPPNKQSVKTLTSQIKDMALKASGAYKQCGPCSTHPSTLRKNGSQNDSDSDKFRWSYKRTGSSSSSSTAGRKELEARLKGISSGEVTPVSASASGRRAEPVVFVEESEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSREMFNKYQAQRWWSENCEKVMELYNVQRLNRQAFPLPTPPRSEDESSKIESIEDSPVTPPLTKERLPRTLFRPMYSSSDSLELQSTHSRYNYDSCGVSSTPKLSSISGTKTEISSMDASMRTSTSRDADRSGELSISNASDLETEWVEQDEPGVYITIRALPDGRRELKRVRFSREKFGEVHARLWWEENRARIHKQYLG >Solyc12g089150.2.1 pep chromosome:SL3.0:12:65241666:65247600:-1 gene:Solyc12g089150.2 transcript:Solyc12g089150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQDPFYIVKEEIQESIDKLLSTFHQWEQTSAGSSEQVHLTKELLASSESIEWQVDELDKTIDVAARDPSWYGINDIELDKRRRWTSNARAQVGSMKKAVVAGKQSNGTSTSNLSGMRRELLRLPDSEQKERSNAYNARDNDDFISSESDRQLLLMRQQDEELDELSASVVRIGDVGLTIHDELLAHEKILSELDTEMDSTSNRLDFIQKKIGMVMKKASVKGQMMMICFLIVLFIVLFVLVFLT >Solyc01g107620.3.1 pep chromosome:SL3.0:1:95005054:95006435:1 gene:Solyc01g107620.3 transcript:Solyc01g107620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRQSFVHHALGGGSVADVLLWRRWCASVTLLVSSTALWILFERAGYNVLSFVANVMLLLVVILFFWAKSASLLNRPLPPIPDLEVHEESVVKAADMMRVWINHVLMIAHDIAIGGNLKLFVKVSLVLWLISYVGSFFNLLTFIYMGILFSLSVPLLYDKYQNQIDDKLIIAQKVIQTQYKKIDDNVLRKISRSSNKEKKTQ >Solyc05g052050.1.1.1 pep chromosome:SL3.0:5:63247063:63247767:1 gene:Solyc05g052050.1 transcript:Solyc05g052050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQLPPTNFPVDFPVYRRNSSFSRLIPCLTEKWGDLPLKVDDSEDMVIYGLLKDALSVGWSPFNFTAGEVKSEPREEIESSLEFSPSPAETTAAPAAETPKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAAIAYDKAAYRMRGSKAHLNFPHRIGLNEPEPVRVTAKRRASPEPASSSGNGSMKRRRKAVQKCDGEMASRSSVMQVECQIEQLTGVHQLLVI >Solyc11g050828.1.1.1 pep chromosome:SL3.0:11:16713745:16714278:-1 gene:Solyc11g050828.1 transcript:Solyc11g050828.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLHFWENHPKKNRIPITWQKPPDDHFKLNIDGSFKPETDKGGTGRGIRNQSWQRITKFECKTKVDSVYHANLLALLHGLTIAKTKSIEKVLVETDSRVLLYSIYTDNALYSNICADCMSFLQQLEGPILHHTLREANGVADALAEYRRKTKDPTMIVNKLYIFDASPSFATNILE >Solyc02g084163.1.1 pep chromosome:SL3.0:2:47903938:47908003:-1 gene:Solyc02g084163.1 transcript:Solyc02g084163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILRLKNVSTEINKIALKMIKSVEFEVADDVNHVLNHMSPGLLETCPTQIKRERRQVWLICIIISDSCCSVLVESACSVVSDGCLPEGLCSFVGFFEGFRDAQFHSYVICSFQLPKTLIVSVPEEHGNIHVAILFTFSEFIFTDEYHLVQFLVSHLDDLIGCFGTPTVLRRCYRGLLAADIKKGSLYMDREANKIMKIKSVTLCVNLQFTENALPVVVEEGIAKSSGARCSRSILESIVTEAMFEVESDDLTAYGLIPEFVARLPVLPIKLQLFPSAGRN >Solyc03g117080.3.1 pep chromosome:SL3.0:3:67823561:67826529:-1 gene:Solyc03g117080.3 transcript:Solyc03g117080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFQPITKFDSFIIDERPGEQWIKLNKKFGENEEIKVEVTMFDASIPVQKGSDVSAADDVQLHMTMIVNVLKGEGNEVLEFVCSAWPNSVEIRKVYMRGQNGTVDQPYVGPPFKELDDELQNLLYDFLETRGISDELCAFLHQYMKNKDKLEFVRWMEKAKSFIEKK >Solyc03g043573.1.1 pep chromosome:SL3.0:3:7010766:7011165:1 gene:Solyc03g043573.1 transcript:Solyc03g043573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKKSHATCMAIPLRFAQQTDILNMKNMRLVNEEGVEWKVEIEYTRSMVIIKEGWSAFRKDNKIAYGETCRFKLIRGPIANVLQVQKIPTPLCLQ >Solyc11g012810.2.1 pep chromosome:SL3.0:11:5566440:5594677:1 gene:Solyc11g012810.2 transcript:Solyc11g012810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVEVGKEESLVGLDKDEEVEFVWGRKRGIGGKRKEVQFYESFIYDGVEYTLYDCVYMHKEGEHPYIGKIIKIWENPDKSRKVKIQWFFRSTEILYHLKDVKVAENEVFLASGEGTGLANVNPLEAIAGRCNVICTSEDIRNPQPSDEEVKLADYVFYRAFDVGTCAILDKMIDKVGGLDVKYVFNRKDSEKASHVLKFASDKKDNKTAMEYRANGESSGLKPQNHAKVSHLLGKSDVDGQSSLVRQDVQRDTNVSSVNQETVKEVNYVPGEKNSHDLGVAKVAGKSSHLVGRNDVDAQSSPIREDALHGDANDSQNEQQSTMKGNDAPVLVVNSKIATTIVHNQNTSSEENALYTVKNDKKVNTLSIELLVAGERGKPSKDLGILDDRPSKRIKVNGSVTLSEDKGGDSVQKFTVCTNDKEVMGTGATPSEERKKSGDSKLSGGLDKNMQNRNDGAALDIRPPKKAIIDSVKVKEDRDRGNMSIGKLVDNIGKLPKLSAGVSPKEVERMGGKSFIITRRPIAESSKWFEAPPWEEVLQTSNLERALILLENLNPEYTSGEVEDIIWHACRENCTAKMVQRTAFSSPYSGRALVAFKTREAAVRVSKKLTEGCLMVSNQRPLVASFVTLPKTEGNTPSFAGHLCVDKLRLQSQREMKEAVSTSHCSQPNTIEHEMGFEWRLLQSRSDSWWNRLYKQQKDELRKIASELKRK >Solyc04g015550.2.1.1 pep chromosome:SL3.0:1:18748610:18748966:1 gene:Solyc04g015550.2 transcript:Solyc04g015550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXKN >Solyc11g018560.2.1 pep chromosome:SL3.0:11:8724680:8730505:1 gene:Solyc11g018560.2 transcript:Solyc11g018560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRRIVLTKSLHHFRFLSNYATASAAAVVPDVDDDFPKPNPKYAETIHAVVRVTSGKNIAAKERKAGRVPSIVFEQEDGQHGGNKRLISVQANQIRKLVNHLGRSHFLSRLFDLEVHPNLESQDVVEKVRVLPRKVHLEAGSDAPLNVTFIRAPSSALLKVEVPLVFRGEDVSPGLKKGSYLNIIKRTVKFLCPADLIPPYIDVDLSELDVNQKLLMGDLRVHPALKLIQPKDHPVVKIAGARVSDQKKSK >Solyc01g090280.3.1 pep chromosome:SL3.0:1:83814053:83827184:-1 gene:Solyc01g090280.3 transcript:Solyc01g090280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVTDSRSNRAAVQATNDDASASKLSCVKKGYMKDDYVHLFVKKQLRRAPIINRGYFARWAALRKMLYQFLDCEPSADGNGNIRKQILSLGAGFDTLFFQLQDEGKAPHLYVELDFIEVTSKKAALIETYSQLRDKVGETASISLEKGEVHSDHYKLLPVDLRNIENLIDIIARANLDPSLPTFIIAECVLIYLDPDSSRAIVGWASRTFSTSIFFLYEQIHPDDAFGQQMIRNLESRGCGLLGIYATPTLQEKENLFLDQGWQKAVAWDMLQVYSDFIEAQERRRSTIVLHMQSMMLWVCSMNLVSLKHILQQIPPLQHPHEKRILSLDKITLSNLRSSEYEREACYQLSIVRIRSVSGTEKVSTSSGSLLGVLGRSLV >Solyc07g054840.3.1 pep chromosome:SL3.0:7:63146002:63147222:1 gene:Solyc07g054840.3 transcript:Solyc07g054840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDKNGLKKGPWTPEEDNKLIQYIQLHGPGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFDEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHSPRLDLLDLSSLLNSTQFNLSSLLGLQALINPEVFSLAATLLASQNEITPEESYLMNGQMLPPQNYGYCNSDASDNSNFQSLNNNSSNQNISLDSVLSTPTEDEKESYCSNLMKFEIPESLNFDDFM >Solyc11g042750.1.1.1 pep chromosome:SL3.0:11:34967189:34967344:1 gene:Solyc11g042750.1 transcript:Solyc11g042750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGLAVGGAFLSSALNVLFDRLAPHGDLLDNGDVGKANWSPRLKRSFWFN >Solyc11g072470.2.1 pep chromosome:SL3.0:11:55945280:55947668:-1 gene:Solyc11g072470.2 transcript:Solyc11g072470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVVSPCAACKILRRRCAEKCVLAPYFPPNDPIKFTTAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARLRDPVYGCAGSICQLQKQVSDLQAQLAKAQAEIVNMQCQQANLMALICMEMGQSNPQPISPPQQSLENFPMNYLDDNIGSWETLWT >Solyc10g051070.1.1 pep chromosome:SL3.0:10:51390833:51391634:1 gene:Solyc10g051070.1 transcript:Solyc10g051070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESPDAELGVRFRPIDEQLIRYLIKFVVSKNYVCKDIEFEELYGSKNGNDDDGDDTLLPQGPNEFGYGDQYPQQIVKPMQNIESGYVGCDDENRNDMTTQPQWPMDDHQLSQLIVMFPMVQGNGAAMNEGNMNGEFACQHIRKSKYRMLKRRQVMII >Solyc03g006550.3.1 pep chromosome:SL3.0:3:1119978:1127272:1 gene:Solyc03g006550.3 transcript:Solyc03g006550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative geranyllinalool synthase [Source:UniProtKB/TrEMBL;Acc:K4BE78] MDSSPLSSIESLVHDIKKDLFSNQENFNTFLTKTTFAYDIAWLAMIPFDNQKKEANGPMFVSCLNWILNNQNEQGFWGESNGENQIPTINTLPITLACMVALKKWNVGERHIKKGLKFVHANMYTLLKENSQCFPCNWFTIVFPSILQLAKAQGLEIIIVNGSNKLLSDVIMKKKALLESENLNDNIVSEAQAFMSTGNKKCLQYLMSIVQQCPNGVSSRFPVDEELKMLCMVDNIQRMGLAKHFEKEIEQILDQVYNNYQINNLKNNNEYLLSSEEEKTSDDLPDKLLKDSLVFRLLRLQGYKINQGSFCWFLQPHLKDKIEKNKEQFTYVMYNVYRATDLMFNGESQMEEARFFARNFLMNNDNYLSLFPSLQKVIEHELNVPWFARLEHLDHRLWIELNQSIPLSIGKSSFYWLSYLQNEKLMQLAVQNYEYRQSIYRKELEDLKRWSKEKGLVDIGFGREKTTYSYFASAASSSSFLPYESFLRLIVAKCSIIITVADDFYDEEASLSELHILSEAIQRWDAKNLDGPSKIIFEALDDLVCDVAKMYHLQHKIDITPQLRHMWKETFDAWMMESLWSRTSNLPSRDKYLQVGMISVGAHILVLHAASLGCTILPNQVFGPINGQYENITKLLMTTTRLLNDIQSYQKEREVGKMNYTLLHLNENPRGQIEDSIDFVKVILGDKKKEFLENVLMDGFNDMPRTMKLLHLSCLNVFHMFFNSCNLFDTKSAILEDIMRAIYIPLGQNEQTKVMAIQEKKIKKKIEIVKVNACVNYEINMKQLQVGVGISYVKRQNPKNYNSFGLSTKGFASHNLRLCFM >Solyc06g053520.3.1 pep chromosome:SL3.0:6:36397000:36408162:1 gene:Solyc06g053520.3 transcript:Solyc06g053520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIMNNHNNGTINTNTKIIHKNEFTNSIKPGWFSEFSALWPGEAFSLKIEKLLFQGKSDYQDVMLFESATYGKVLTLDGAIQHTENGGFPYTEMIVHLPLGSIPSPKKVLIIGGGIGFTLFEVSRYPSIEKIDIVEIDDVVVDVSRKPFFEAVAKALRPGGVVCTQAESIWLHMHLIKKIIANCRQVFKGSVNYAWTTVPTYPTGVIGYMLCSTEGPEVNFKNPVNSIDKYVKSKGPLKFYNSDVLIIGGGMGFTLREVLRYPSVEKVDLVEIDDMVVNGYDDPRATLYVEDGNAFVKNTAPGTYDAIIVDSSDPIGSFKSLKARRSLLNSSGAIGFVICSTEGPPVDFKNPVNKVDVHIISAKSESPLKYYNSEVHTAAFALPTFAQKVLCSKK >Solyc04g082540.2.1 pep chromosome:SL3.0:4:66269975:66272442:1 gene:Solyc04g082540.2 transcript:Solyc04g082540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIVVFIWEADSRYSLVLLLNRDEYHNRPTKEVHWWEDGEIVGGKDEVGGGTWLASSTNGKLAFLTNVLEIHTLPHAKTRGDLPVRFLQSNKSPMEFAKGLVNEGNEYNGFNLILADIESKKMVYVTNRPKGEPITIQEVQPGIHVLSNAKLDSPWPKAQRLKLNFKKMMDVYEVNDEKICVKDMIEKLMRDTTKADKSKLPCICSTDWELELSSIFVEVDTPLGCYGTRSTTALTIEVGGKVSFYELYLENNMWKEQIVNYRIEKLQMQ >Solyc03g081200.2.1 pep chromosome:SL3.0:3:53539038:53539634:1 gene:Solyc03g081200.2 transcript:Solyc03g081200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDEDILTLKPVPNLSFEHPYLPTSLMLHSIPSASLKTNEILASSSVYGINSTTSEYCTPLTSFDWKEVEPRRIGTSTIDTTCTIWDVEKGVVETQLIPHDKEVFDIAWDIRSPAMPVAELERHQASVNAIAWAPQSCRHICSAGADGLTLI >Solyc11g072990.2.1 pep chromosome:SL3.0:11:56418139:56423406:-1 gene:Solyc11g072990.2 transcript:Solyc11g072990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLISILFYGVPLFYLLYLIWKIIDRKRHQNCYILDYECHKSTDDRMLSTKFSGEIIRRNKHLGLNEYKFLLKAIVSSGIGEQTYAPQMVFDGREACPTFEDGILEMEEFFQDSIDKVLKRNKISPSEIDVLVVNISMLACMPSLAARIINYYKMREDIKVYNITGMGCSASLISINAIQSVFKNEKNKVALMVTSESLSPNWYIGNDRSMILANCLFRSGGCAILLTNKLSLKNKAMFKLKQLVRVHHGAKDESYESCVQREDSQGNIGFHLDKTLPKAATRALVDNLKQIAPLILPIRELLRYAIAIFMRKMNWGSSSKGGPKPMINFKTGVDHICLHTGGKAVIDGVGASLNLSEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDKVLMLSFGAGFKCNSCLWEVLRDLDNGNVWKDCIDNYPPNTLVNPFLEKFGWLHDEDPDTFNIPDDYIIDRERHQNCYILDYECHKPTDDRMLSTKFSGEIIMRNKHLGLNEYKFLLKAVVSSGIGEQTYAPRMVFDGREACPTYEDGILEMEEFFHDSIDKLFKRNKISPSQIDVLVVNISMLASMPSMAARIINYYKMREDIKVYNLTGMGCSASLISLNIVENIFKNEKNKVALLVTSESLSPNWYVGNDRSMILSNCLFRSGGCAILLTNKLSLKNKAMFKLKQLVRVHHGAKDEAYDSCAQREDIQGNTGFHLDKTLPKAASRALVDNLKQIAPLILPIRELLRYSIAIFMKKMNWSSTKGGPKINFKTGVDHICLHTGGKAVIDGVGANLNLSEHDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKKGDKMLMISFGAGFKCNSCLWQVLRDLDDGNVWKDCIDNYPPKTIVNPFMEQFGWLHDHEDPHTFIIPDDYVIS >Solyc09g018367.1.1 pep chromosome:SL3.0:9:14736541:14742225:1 gene:Solyc09g018367.1 transcript:Solyc09g018367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLSKACWFIKLDLRAGYWQMIFRTLEEHVNHLSLVLSQLRKYTLYVKMENFEFAKQKIKFLGHLVSKNQAPRHLKDLRLFLGLDNYYRKFITGYSKRVTTLTDLLKKDTKWVWSERCDEAFQNLKEAIASEPILKLPDFQLPFEVHTDASDKEIGGFFVTYITANFLYLQH >Solyc02g069720.3.1 pep chromosome:SL3.0:2:40106417:40112072:1 gene:Solyc02g069720.3 transcript:Solyc02g069720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQLMCSGCRTMLLYPRGASNVCCAVCNALTPVPPPGMEMAQLICGGCRTLLMHPRGANSVRCSCCHTVNLVPGPNQFAHVYCGNCRMMLMYPCGAPSVKCAVCHYITNVNAGDGRAHAPLNPPNGTATTASGSSSTAKARSQNQTVVVQNPMSVDESGKLVSNVVVGVTTTS >Solyc12g020050.2.1 pep chromosome:SL3.0:12:13968211:13973703:1 gene:Solyc12g020050.2 transcript:Solyc12g020050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVRRASSSFKKQVFITGGSRICYSTLDITSHCLKGHNDGLKGDSNVISHRALTLGGYQITSHGFLRVFKESRSFSSQAGTKSSGEEDSNSDDGFSELESSSATEAIQEVNKVDESVSEHEISDEDLDGEDVEAPQELLSDTEAEINKRKSPRNGVSSALFNAVMAAPALRVSKIMDKWVEGNNVTRVEVASAMLNFRKRRMYGKALQLSEWLESSNQLTFIDRDYASRVDLIAKVLGLKKAEDYIGTIPESFRNEVIYRTLLANCVAEGDLKKSEQIFNKMKDLEFPLTCFSYNQLLLLYKKTDKKKIADVLLLMEKENVKPTHFTYRLLIDVKGQFNDISGMEQIVETMKAEGLEPDLTTKSILVKHYISGGLNEKAENVLKEMEGGDKKATRWACRSLLPHYAALGRANEVARIWHVCESNPRLEECVAAIDAWGKLHNIKEAEAIFEKMAAKWPTLSSKHYSVLLNIYANHKMLSKGKDLVKRMADSGCRIGPVTWDALVRLYIEAGEVEKADSILHKAGEQNRLRPMIYSYLMIMDQYAKKGDIHNTEKMFHRMRQAGYVSRATQYQYLIRAYINAKAPCYGIADRMKADNIFPNKGLTNMLAQVDAFKKNAVSDLLD >Solyc08g082390.3.1 pep chromosome:SL3.0:8:65332673:65338677:1 gene:Solyc08g082390.3 transcript:Solyc08g082390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGAHIVKRIPRIKFPQRHAKASSGSMQQNSQHMKTSATDDVPQTFFSRSPSSTSVAGKASDQPKRTPVSQEEIESILLGGCN >Solyc04g054685.1.1 pep chromosome:SL3.0:4:52954206:52961060:-1 gene:Solyc04g054685.1 transcript:Solyc04g054685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEIKKIEETTKRQVTFSKRRSSLLKKAEEIAFCCDVDVLFVAFSPSGRLNKFCSPQRDYETGAEQEPSLHQLRWCESNLKHSLQKILARKMKLEKQGNMDVHSVAQQMAPHQFDTNNWISPFSSTIQNQDWMDKGKRVANSSSNYTYTPSFAGSSHLGNMPISRNPSPFTPLQSHQQHMVVDVQQNSTQTFGQLQNQQQNMLVDIQHKSAQTFGLSEAQLTFGESSDNSLTKFWHNDFASTRYDSSSLVNTGTQLNTPSQLGLDDAIASNVNELNFPMEENTMGNNEYFAETTHENDAWEWDDVFLNEAFGGDDF >Solyc03g097150.3.1 pep chromosome:SL3.0:3:60902908:60912732:-1 gene:Solyc03g097150.3 transcript:Solyc03g097150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:K4BJD2] MSESLGGKRFLIGYALSPQKINTFMKDSLVIHARDRGVDLIPIDLEKPLIEQGPFDCVIHKLYDPEWRKQLEEFSIQSPTTLIIDPVNAVEKLQNRVSMLQFVNELKIENLETPLQVFISEDASESLQDTMTREGLKFPLIAKPLIADGAANSHQMSLILNQNGLTKLNPPIVLQEFVNHGGVIFKVYVAGDHVKCVKRKSLADISEEKLNTSTSETYQVSNFSAQNKSDHENFAELIEAAEMPPLSFVNKVANRMRDALKLHLFNFDMLRDSRIRTRYLVIDVNYFPGYAKMPEFETVLTDFFIDIAHQKRSRESGNSNQMENKNKEANSNRNNL >Solyc10g045050.1.1.1 pep chromosome:SL3.0:10:30054840:30055163:-1 gene:Solyc10g045050.1 transcript:Solyc10g045050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIDGTPNKSKLGVNAILGVSLSVCSAGAGAKAVPLYKYIQEISGTKELVMPVPAFNVINGGSHAGNNLAKQEFMILPVGAPTFAEALRMGSEGENSVNIKLLPLS >Solyc01g058210.2.1 pep chromosome:SL3.0:1:65556394:65559641:-1 gene:Solyc01g058210.2 transcript:Solyc01g058210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHLSPILTLGHQDQHLPLRMDEENNKVLLSKLLAKVLLSMKKSRLCLKKVER >Solyc12g042110.2.1 pep chromosome:SL3.0:12:58076553:58077216:1 gene:Solyc12g042110.2 transcript:Solyc12g042110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSCFQKTPISANFIGKIEGVELKSQMGKRKEFTVILARNIGISGYEDLSLQRRFNSTIRINPTYPHALKLISWATTNKDVLVGNVSGNFAGSSTALNKNLNHQRVISIAELAKTASVRVFHIEAEISISASYFLLISFCK >Solyc09g098610.2.1 pep chromosome:SL3.0:9:69009949:69013047:1 gene:Solyc09g098610.2 transcript:Solyc09g098610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSIDMFYIGIICVLLLLAWTSIRVRNNKKLPPGPIPLPLVGNLHNIFGAPPHKSMERLANKYGPILSLKLGRLPTVVISSSAVAKEAVKKHDSAFSSKTPKDAVEAHNHHKFSVVWLPVDSSRRKNLRTILNSCIVTANKLDANQDLRSTKISELIAYCHRCSQTGDAVDFGIAASDIAINVLSNTIFSADLVDLCAESEKKFKDVIRSITFQIGKFNMVDYFPVLKWIDPQGINRSTCADFAKMFRIFRDFIDERLEQRKKNQKTKDVLDILLNMSEEKDGNGEGNNIATDRNQIEHLCLDLFIAGTDSSSATLEWAMLELMKNPHAMKKAKAELAQVIGKKEAIKEADLVRLPYLHCILKETLRIHPPVPLLSRKAEQEFELCGYFVPKGSQVMVNIWSIGRDPTIWEDPLVFKPERFWGSNVMDIRGQDDFKLIPFGVGRRMCPAISLAMRTVPIMLGSLLNSFDWVVEGNDDLDAEEKSGLIVGKLRPLRLVPISL >Solyc02g084600.3.1.1 pep chromosome:SL3.0:2:48328226:48330157:-1 gene:Solyc02g084600.3 transcript:Solyc02g084600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICVWRNPFLFILFYIIFSVFVPSPSECCHLVDKTSLLDFKQKVTSDPSNLLKSWTLSTDCCKSWEGIACNSNGRVVNVSRPGLSSGDDFIIDTSMSGTLSPSLANLSFLELLDLSNLKDLTGPIPPEFGKLSRLSYLFLDTNKLSGSIPVTFKYLHQLKKLYLSDNTLSGTIPSSIFESFLSLSQLGLSTNQLSGPIPSSIGNLVSLSKLDMSRNKLFGSIPESIGRLKSLSYVDLSENELSGKIPNSIGNLSQVSLMYLNQNQLRGKIPSSISGLSSLVFCRLSENQLSGSIPPSIGNLPKIQRLIFENNKLSGKLPATLGHLVTLTDMYFSNNLFTGKIPSSFGNLKNLQILDLSKNKLSGEIPHQLVKLVSLQTLDLSFNPLGLSRIPNWFKKLGLFRLILAKTGIRGKLPSWLASSSLSTLDLSNNGLTGKLPTWIGNMTNLSLLNLSNNAFHSSIPEEFKNLTLLMDLDLHSNRFSGNLRAILSKNFQDPLGHYNSIDLAYNMFNGPLDENIGNEPVMDSILSLSLSHNPLTGHIPKSLGNLTTLQEIKLAENGLTGGIPTELGNAKELKTILLSNNNLEGAIPAQVLNLKDLQEFDVSENRLSGSIPPHKAKIPKSAFLGNHGLCGAPLPPCSHT >Solyc01g104160.3.1 pep chromosome:SL3.0:1:92521137:92525678:-1 gene:Solyc01g104160.3 transcript:Solyc01g104160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGDTHWGILFRKRSRSLSPRRRKSRSPATRRHKSRSPRNHRRQRSRSTSLSPIGKSPGSSIGSKEQKGISEKNKLDEEEKKRRQEEAELRLIEEETAKRVEDAIKRKVDESLNKEEIMIEIRRRLEDGRKKLVNEVAAQLEKEKESALIESKQKEARTSSKRERRVGKNA >Solyc01g017460.2.1 pep chromosome:SL3.0:1:23866353:23871205:1 gene:Solyc01g017460.2 transcript:Solyc01g017460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEDGVAKLEDLCIKVFASRRDTRIPSVFWIWKSIDSQERESYDMLGICYDNRPRLKCILMPESWMDSPYEKSVQEAVDTLLDNGIRGQSMRDGHNKIYKSFSDVIEGKEGRFHETLLGKRVDYSRRSVIVVGPSISSNRC >Solyc01g016940.1.1.1 pep chromosome:SL3.0:1:22902805:22903026:1 gene:Solyc01g016940.1 transcript:Solyc01g016940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNLLRLTAGHLTCSNCRCPSQLAYTGATPCHRQAARSIGSHLYIGGRAVSIATGGMSTTILRSGSCNCNSR >Solyc01g097740.3.1 pep chromosome:SL3.0:1:88333632:88339993:1 gene:Solyc01g097740.3 transcript:Solyc01g097740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKHGLSILNDSKKRSALGPEEWTLYEQVAVAAMDCQSIDVAKDCIKVLQRKFPGSKRVGRLEAMLLEARGLWSEAENAYSSLLEENPFDQVVHKRRVAMAKAQGNTSAAIDWLNKYLDLFMADHEAWRELAEIYISLQMYKQSAFCYEELILSQPTVPLYHLSYADVLYTLGGLENLQTAKKYYASTIDLTGGKSTRALFGICLCTSAIGQLTKGRNKEDKESPELQSLSAMALEKDYKQRAPSRLSVLSSALRSLKI >Solyc04g063220.1.1.1 pep chromosome:SL3.0:4:55378633:55378857:-1 gene:Solyc04g063220.1 transcript:Solyc04g063220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYWKQCVIFILFFSIVVSEGSRLPKASTTWEQMLPKKLPSPSSAPSKGTNSVTTSSTKTKINRKMSSDDGRV >Solyc05g055850.3.1 pep chromosome:SL3.0:5:66176000:66178918:1 gene:Solyc05g055850.3 transcript:Solyc05g055850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLATTMSASVLNYQILKFFPPQKNGFLRSPLIRGKSCRFCVSASSNELNKQVIEDPKEEIQVKSDGVIVNSTEEDEEERSGENSTSTGPSTVLDNKELKKAVLKTASTFAPRASTATKNPAKPGTVLYTVFEVQAYASMLIGGALSFNLIFPSTEPDIWRLMGMWSIWMFTIPSLRARDCSKDEKEALNYLFLLVPLLNVAIPFFLKSFAVVWSADTVAFLGMYAWKLGWLQKEQ >Solyc02g080750.2.1 pep chromosome:SL3.0:2:45444392:45445669:1 gene:Solyc02g080750.2 transcript:Solyc02g080750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLSRKSMPEKKMGLEGRYYMFRDFFDGTITIRDRDDDNEIVRAYGISNLPNNSATSMIEEEDSHSSAITPPFGLGAGVGGLSDSIRGPFGSSFGPLAGNGGTRFEDNCGNNPNDEIEGDLGEGGDATIKNDIHYR >Solyc10g007630.1.1.1 pep chromosome:SL3.0:10:1912159:1913619:1 gene:Solyc10g007630.1 transcript:Solyc10g007630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKRICDDIEEIEDKKIGLRRGLLTLMLEWKCFEQHLDLTGTRLKEWFNELESREKHMCATQESIGESLKELELVRESLNTKRVEVEEKERQISEYIDDLKVREEKEISIRGMLEVFENVLEGRLKEIDVKEKKFYELANELDIKEDRLKQRENEMKAREEELESAMLFNKMQLDSTSQLSPRGCDSRKRERTMLVESNYPFKRLKPGKSSEYSNAVDASNADQLAMICYDMIVRDDSETEPETDHKGITQVCDCPRAKFHDFDKGKNIEDFDVDQIWACYDDLDGMPRLYARVEKVFTPKFKLQMVWLEANAEEDHFINHELQISCGRFRLGSSLDVSEHLIFSHQVKCERDGYGYYLIYPTKGETWAVWSSELLDDHHRQLKYEIVEVLSDFVDDVGISVSYLDKVTGFISIFERRRRGNDSFVIPADALHRFSHKVPSFKLTETINESFELDPASLPDLSDRYNDKFKVGNDVFSFKMDQT >Solyc02g077940.1.1.1 pep chromosome:SL3.0:2:43321246:43321410:1 gene:Solyc02g077940.1 transcript:Solyc02g077940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNRSDARSSSNVSHQPPPAKKTQPTTIGKHSERRIWIRADLYRSVRGILLFI >Solyc01g073970.3.1.1 pep chromosome:SL3.0:1:81201452:81204859:1 gene:Solyc01g073970.3 transcript:Solyc01g073970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4AY75] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc03g119620.1.1 pep chromosome:SL3.0:3:69674403:69675274:-1 gene:Solyc03g119620.1 transcript:Solyc03g119620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTTVLIFSLLFVFVPTTLSQTFGNLPAGPTASSCGPLLLRLAPCGPFVQGGSPSPTERCCSNLRQLYIQQPDCLCLLLNQTGISTLPINTTLALQLPLLCSMHVDNNTCSGSEGLAPRSSTPQVSFGTNNNSSVAASPMVTVPPQTSSTFGFGFHNSSAANINAKESLMIITVLTSWGAFFWL >Solyc01g090610.3.1 pep chromosome:SL3.0:1:84149864:84151694:1 gene:Solyc01g090610.3 transcript:Solyc01g090610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYKIPKINLTKLDKHSNSWVPLCNEVRHALEEHGYFIALYEDANNKISKEIFDVMEELFDLPIETKRKNTSDVYFYRYKGQLLTAPLHESFGIPHPTDVEALQSFTTLMWPQGNQRFCETMTSYIKVGAEIEQLVDKMVFESYGLPEKHYESHVAATTYLLRPTKYRAAPAGAEDGIGNVGSNIHTDKGFSAVLFQNQINALQIETKSGEWIPIDVPPSAFVFVAGDAYEAWSNGRIYSPRHQVLMKEDKQRYTLALFTFNKGITDIPEELVDETHPLQYKPFDNFDLVMYYSTGASPMAYGTAKPYCGINAQ >Solyc08g078180.1.1.1 pep chromosome:SL3.0:8:62131315:62132019:-1 gene:Solyc08g078180.1 transcript:Solyc08g078180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNCELENDFSVLESIRRYLLEDWEAPLTSSENSTSSEFSRSNSIESNMFSNSFDYTPEIFQNDILNEGFGFGFEFETSDFIIPKLESQMSIESPEMWNLPEFVAPLETAAEVKVETPVEMTTTTTKPKAKHYRGVRVRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDKAAFRMRGSRALLNFPLRINSGEPDPVRVGSKRSSMSPEHCSSASSTKRRKKVARGTKQ >Solyc09g059103.1.1 pep chromosome:SL3.0:9:53501210:53502338:1 gene:Solyc09g059103.1 transcript:Solyc09g059103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEIRSKFNNDPIIFVDGGVKNNVDVVTVSNKKRKHKADVHTVHNDKNEAVGSGLIEHKAVKYLGQREPTSISHMQCYTNIDVMNVLSSKPTESLYRQFCGNTCFAQLSSIRRCHIHAKTIRCMFLREIEDSSKDAILIHVNDTTLCFTIHNFALITRLTCSDNENDFVFDTEETNRIIH >Solyc01g103430.3.1 pep chromosome:SL3.0:1:91922387:91932323:1 gene:Solyc01g103430.3 transcript:Solyc01g103430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGLGHGGAGSSRSANGFKSSSSSVDWLGREMFEMRLRDRADHDDDGDSEPDIIDGVGAETGHVIRTSIGGRNGQPKQNVSYIAEHVVGTGSFGVVFQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEEVYLNLVLEFVPESVNRIARQYSRMNQRMPLIYICRALAYIHNCIGICHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLICRFFQYSPYLRCTALEACIHPFFDELRDPNTRLPNGRPLPPLYNFKPQELTGIPTVTLQRLVPEHARRQNLFMALRP >Solyc01g106810.3.1 pep chromosome:SL3.0:1:94460502:94464476:-1 gene:Solyc01g106810.3 transcript:Solyc01g106810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTTKSLHFSLYKFYHSNSTSPLPQFNHLHFKFPPISTFRLQASRRISNFSQDGDDLIGDSRNWSRHRGSGNIVTGDPDEDEDDEDEEEEDRSLDLLVKFIQNVFKKLSRKARKAVRSVLPDSISSQLVSFSVNGVIILTFLWLSKAVLEVFCTLGSVLFASILLIRGVWTGISYLQNNGNLRTDDDDGRAWSGMQPTS >Solyc01g094460.3.1 pep chromosome:SL3.0:1:85795258:85810176:-1 gene:Solyc01g094460.3 transcript:Solyc01g094460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRREAMMLPGSSPYYMQRGMSGSGSGNAPGLQGSPSINPSLTPNNIAFQSSGSGASIPQTLVMDPSSTLSPRGSIGASSAMPQGEPVRRKRGRPRKYGAQGAMSLALTPPPSTQALSLNPTQKRGRGRPPGSGRKQQLTSFGGWLSNTAGIGFTPHVIMIAVGEDITTKIMSFSQQGPRSICILSATGVISTVTLRQPSTSGGTVTYEGRFEILCLSGSFLVNESGGSRGRIGSLSVSLASPDGRVIGGGVGGVLVAASPIQVIVGSFLCSSSKAKKRAAESVQSAGTSDLQTTDNSVNPADALSNQNLAPSSSMGVWPSSRQIDLQTGHIDIDLMRG >Solyc03g046510.1.1.1 pep chromosome:SL3.0:3:13050574:13050804:1 gene:Solyc03g046510.1 transcript:Solyc03g046510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRLMLRKLGNPVVVHNFQQGNQVADFFCKIGSHLTSTPQSNVLLTPPEAVNDYLKADNEGVLSSNNYLGPLVIS >Solyc05g052740.1.1 pep chromosome:SL3.0:5:63778679:63779967:-1 gene:Solyc05g052740.1 transcript:Solyc05g052740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTLEESFKENPQSPQHILPIDFHLIQEVPNSHLWPNINNFPINHDEKNPNVPIIDLLAPNVVQLIGHACKTWGIFQVINHGISLDFFDEVESQARRLFALPVEEKVKVMRSTSGATGYGTARITPFFSKFMWHEGFTIMDSPLDHAKKLWPHDYQEFCDVMENYQKKMKALSFQLWLFILNYLQPSQEHLINSFEFTQALQLNSYPCCPNPNHALGLAPHTDSLFLTILHQTNNTKGLQILKKDQEWTSITPISNDALIVNVGDLLHILSNGEFPSVYHRVLVDQTKHRVSLAYFFGPQVDSTIVPLVSFDKNVGVVVPKYRKVKVKEYLSLKAKHLEKVFSLIRS >Solyc05g015656.1.1 pep chromosome:SL3.0:5:11661958:11666313:1 gene:Solyc05g015656.1 transcript:Solyc05g015656.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFLHHQSSSHPNSDQRTRPHFFFKPKSSPPIFSGKTPTHFPLFRSQQGNKKSAPSPFPLFEFICVFVICELKAPLNNEVEALKVNHDQLTRPCTSELEAPHSNSSEAQRFSHSDQLHVVETSLLADDSLTRWFREDMHSSNVYVHPGPVEHDVLKIQVRHRSEGIWNGCVSYDSGFISALIERWPPETHTFHMRTSEATITLQDVEILFGMVVVDSPIWAWKRIIPLQSLPKPLRTNQFEDSTALAHKWT >Solyc02g082760.3.1 pep chromosome:SL3.0:2:46957638:46962227:-1 gene:Solyc02g082760.3 transcript:Solyc02g082760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Catalase [Source:UniProtKB/TrEMBL;Acc:K4BAE6] MDPYKYRPSSAFNSPFCTTNSGAPVFNNNSSLTVGARGPVLLEDYHLVEKLANFDRERIAERVVHARGASAKGFFEVTHDIAHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRVLDFFSHHPESLHMFTFLFDDIGIPQDYRHMDGSGVHTFTLINRAGKSTYVKFHWKPTCGVKSLLEEEAIRVGGANHSHATQDLYDSIAAGNYPEWKLFIQIMDPEHEDKFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFNENEQLAFCPSIVVPGVYYSDDKMLQTRIFSYSDTQRYRLGPNYLQLPANAPKCAHHNNHYDGSMNFMHRDEEIDYFPSRYDQVRHAEVYPIPSTVCSGKREKCIIQKENNFKQPGERYRSFTPDRQERFIRRWVEALSDPRITYEIRSIWITYWSQADKSLGQKLASRLNVRPSI >Solyc11g040105.1.1 pep chromosome:SL3.0:11:40155422:40157370:-1 gene:Solyc11g040105.1 transcript:Solyc11g040105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKPPTVASGIKELLNIQTKSLNTWKFEQDVCRRDLVEMIILDELPYSFVEKEGFKKLMSQPLFHIPSRRTITRDCYEVFGELKINLKQSLREVQLRICLTIDTWTSMQRINYMCLTAHFIDRDLVLHKRILNFCPIDSHKGEYLTESINNCLLDWKLDNVFIVTVDNASSYNVAVLELSKKLDMWETNMMEGNNLHVICMTHILNLIVQYDLKEIGTSIKLVRQMVKYVRSSSSRTRNFLKSVEMQNIECDKMLSLDVPTRWNSTYLMFDTAEKFEKAFERFDLYDGNFNSFLATDVCAGGTIACSIQYEDWNNMRNVTKFLEKFYELTLNVSGSRYVTCNVHFEDICELDAYLKLCISSDDLDLSKMASGMKEKIKKYWGTLEKMNKMIFIASVLDPRNKFVYKQKQDSGSLGVKSELDKYLLEDQEPESGDFDINSPRFPIISQLARDVWAIPMSSVSWECAFSTGDRILDPFRSLLTPKCVQCLICVQD >Solyc08g014340.3.1 pep chromosome:SL3.0:8:4159363:4166819:-1 gene:Solyc08g014340.3 transcript:Solyc08g014340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:K4CJ67] MASFINNPLTSLCNTKSEANNLFKISPLRAQSLGFSKFNGSRKVAFPSVVCKAVSVPTKSSTEIEGLNIAEDVTQLIGNTPMVYLNTIAKGCVANIAAKLEIMEPCCSVKDRIGFSMIVDAEEKGLISPGKTVLVEPTSGNTGIGLAFIAASRGYKLILTMPASMSLERRVILKAFGAELVLTDPAKGMKGAVSKAEEILNNTPDAYILQQFDNPANPKIHYETTGPEIWEDTKGKIDILVAGIGTGGTITGTGRFLKEQNPNIKIIGVEPTESNVLSGGKPGPHKIQGIGAGFIPGNLDQDVMDEVIEISSDEAVETAKQLALQEGLLVGISSGAAALAAIQVGKRPENAGKLIGVVFPSYGERYLSSILFQSIREECENMKPEL >Solyc06g024245.1.1 pep chromosome:SL3.0:6:11617584:11618539:1 gene:Solyc06g024245.1 transcript:Solyc06g024245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSAHRPAVGAYGPQGHMSLAKSSRRKRHGDCLEVQFPSTGGHVRCKGANGSAYATRLRPSSICQGLRRTGVDSKIRPWTALTVERLREWHAITPVDSTHGRTMSDLSAITALGQHTGSDNGGLGMTSLP >Solyc10g079770.2.1 pep chromosome:SL3.0:10:61400057:61403684:-1 gene:Solyc10g079770.2 transcript:Solyc10g079770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISNEATIDFFAIGPSTILGRTIAFRVLFCKSITQLRHRLFHFLMYYLYKFKSGISYYVTPLISWLHPRNPQGILALVTLLAFLLRRYTNVKVKAEMAYRRKFWRNMMRSALTYEEWAHAAKMLDKETPKLNEADLYDEELVRNKLQELRHRRQEGSLRDIIFCMRADLVRNLGNMCNSELHKGRLHVPRLIKEYIDEVSTQLKMVCDSDSEELLLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLLPRIIAGSSVGSIMCSIVATRSWPELQSFFEDSWHSLQFFDQLGGIFTIFRRVMTQGAVHEIRQLQVLLRNLTNNLTFQEAYDMTGRVLGITVCSPRKHEPPRCLNYLTSPHIVIWSAVTASCAFPGLFEAQELMAKDRSGDLVPYHPPFHLGPDDTSGASSRRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRIKEFVRAYGGNFAAKLAQLAEMEVKHRCHQVLELGFPLGGIAKLFAQDWEGDVTVVMPATLAQYSKIIQNPSTLELQKAANQGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASHGLSSTVRFNASRRIPSWNCIARENSTGSLEDFLADVAASHHQGGSGSGAHTTRNWRTHRSAHDGSDSESENVDLNSWTRSGGPLMRTTSADKFIDFVQNLEIGSRLNKGLTIDLNNLVPQMAGRDLFSPSPRVSTPDRTSDTEFDQRDFSIRVPAGSSSIMVGEGDLLQPERTNNGIVFNVVRKGDVTPSNRSLDSENNSSVQDTVAECVQLDSPEKEMDISSVSEDGEDYVEQESGKINEVDSVHSGDNRSTIDDGEDKQVIDKQVIDH >Solyc07g063440.3.1 pep chromosome:SL3.0:7:66045216:66060413:1 gene:Solyc07g063440.3 transcript:Solyc07g063440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLAQFQSIKTTCDHVVIAVEDVSDLWPLVKKGFEDHLPFKRAFLNNKTRNPVLVDELPAEYILTTDSRLRSRFPQEQSLFWFREPYATVVLVSCEDLDEFKTILKPRLKLIVQNDEREWFIVFVSKAAAHNDQSTKMAKKVYAKLEVDFSSKKRERCCKLDFHGPDTNFWDDLEAKIMECIRNTLDRRIQFYEEEIRKLSEQRFMPVWNFCNFFILKESLAFMFEIAHLHEDALREYDELELCYLETVNMTGKQRDFGGMDSGDDQAALLNPGKKALNQIVQDDSFREFDFRQYLFACQSNLLFKLTRPFEVASRGHSFIISFSKALALHESKLPFCTREVWVITASLALITATASQYKDGQVASDIEKEFYRVQGDLYSLCRTKFMRLAYLIGYGLHIERSPVNSASLSMLPWPKPGVWPSLPSDASSEVLVKEKMMFEESLRVKHFGIQRKPLPLEPSVLLREANRKRASISAGNVFEMFDGHPNAIDGSGSTSSPAKSQSISMSRTNSSPGNFESSMSRPLRLSEICVAAEHALRSTISDAELWKSLSSVQEFEQKYLELSKGAANNYHRSWWKRHGVVLDGEIAAVFHKNRNYDLAAKLYEKVCALYSGEGWQNLLAEVLPNLAECQKELGDQAGYLSSCVRLLSLDKGLFSSKERQAFQSEVVRLAHSEMENTVPLDVSSLITFSGNPGPPLQLCDGDPGTLSVVVWSGFPDDITLESLSLTLTATTNTDEGIKAIKRSGETILKPGRNTIMINLPPQRPGSYVLGVLTGKIGLLSFRSHSFSKGAPADSDDFMSYEKPTRPILKVFKPRSLVDLTAAVSSALLMNEPQWVGIIVKPISYSLKGAILHIDTGPGLTIEKSHNIEIERHVIGRTDELDHSEGFKDDDSSAATPEVKQMSLHDGNIELPDWASNITSVLWIPVHATSDELPKGAPAGAVAPQRQNLVEGLRTIALKLEFGVSRNQIFERTIAVHFTDPFSVSTRVTDKSADGKLLLQVILQSQVQATLTIYDSWLDLQEGFAHTGNGDKKPISGFFPLVISPKSRAGILFSVCLASAPIEEEAEIQCPESILNIRFGILGNRAAGAHDPNAEEPSGHDGSTQSLIFKSSLLLQRPVLDPCFAVGFLPLSSTDLQVGQLVSMRWRVERLKSLEENAASENNDDVLYEVHANSDHWMIAGRKRGHVILSTEQGSRITISVLCLPLVAGYVRPPQLGLPNVDKANICCNPPSPHLVCVFPPALSSSFCIPP >Solyc10g017783.1.1.1 pep chromosome:SL3.0:10:6020806:6020814:1 gene:Solyc10g017783.1 transcript:Solyc10g017783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQ >Solyc04g082340.3.1 pep chromosome:SL3.0:4:66132406:66137698:-1 gene:Solyc04g082340.3 transcript:Solyc04g082340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRRRRRLYSLPVSPLVLFGVVLIVLCSSFVNGNSNSTQIPITPINHDLYHTRGALLEEIESLVHRHPSKLSMETFSTQNKGYHAETTVVTYCRNKKDCDDRSKLKILLSFGQHGRELITTELALRILSILSEEEFLSSAYPLNLNNTLDRLVIKVVPMENVNGRKLVEAGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGSAPFSEPETQIMRKICASFEPHVWVNVHSGMEALFMPYDHKNTTPEGLPSQRMRLMLEKLNRFQLNDRCLVGSGGGSVGYLAHGTATDYMYDIARVPMSFTFEIYGDSTASSKDCFKMFNPTDITTFNRVLNDWSAAFFTLFSLGGVQIEELHPNATGSGFEKWVSIDDYLNGYLMERKSRYGKKMEVLELGMQEIRTYFRLFLLSSVLLMFMFCSRISKSGRQIVSAMSL >Solyc02g085360.3.1 pep chromosome:SL3.0:2:48920295:48924848:-1 gene:Solyc02g085360.3 transcript:Solyc02g085360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLEFFLFLIPPILAVLIILNLFKRKHNFQNLPPGDMGWPFLGETIGYLRPYSATTIGDFMQDHISRYGKIFKSNLFGEPTIVSADAGLNRYILQNEGRLFECNYPRSIGGILGKWSMLVQVGQMHRDMRMISLNFLSNARLRNQLLSEVEKHTLLVLGSWKQDSVVCAQDEAKKLTFNFMAEHIMSLQPGNPETEKLKKEYITFMKGVVSAPLNFPGTAYRKALQSRSTILGFIERKMEERLKEMNRNENDLLGWVLKNSNLSKEQILDLLLSLLFAGHETSSVAIALSIFLLESCPAAVQQLTEEHLEISRAKKQSGETELNWDDYKKMEFTQCVINETLRLGNVVRFLHRKAVKDVRYKGIIDIPCGWKVLPVISAAHLDPSLFDRPHDFDPWRWQNAEESPSGKGGSTGTSSTTKSSNNFMPFGGGPRLCAGSELAKLEMAIFIHYLVLNFHWKLAATDQAFAYPYVDFPNALPINIQHRSLNKLHD >Solyc08g061920.2.1 pep chromosome:SL3.0:8:49992366:49996450:1 gene:Solyc08g061920.2 transcript:Solyc08g061920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHQIFLLICTTALLASSSLVSAEVLKHSFHSPNPARKILVHFKDLDIKGSIDYGVKAISLGSTDFGGLYSEKPLAVITPAGADDIGQVIKHALLSSTLTVAARGNGHSVNGQAMARLGLVIDMKSMADNNKINVNVNYMYVDVGGGALWGDVLKHCVLNYGLAPKSWTDYLDLTVGGTLSNAGVSGQAFRFGPQTSSVTELEVVTGNGEKIVCSNSQNSELFFSVLGGLGQFGIISRARVLLQPAPDMVRWIRVVYSEFNDFTRDAELLITSEETFNYVEGFVFVNSNDSVTGWPSVPLNSNQSFDPTLLPKTAGPVLYYLELTLHYNNHDDPSTVNMMVEKLLGKLKYLEDFKFETNSTYMDFLLRVDNVEEAARGSGIWATPHPWLNIFISKKDINAFNRIVLKNILKNGVNGPILTYPLLSSKWDNRSSVVLPQGEIFYLVALLRFTHENPKVSEIKQMVAQNQEVVQTCIKNGFDFKLYFPHYESTVEWKSHFGDQWERFVDRKRQFDPKAILAPGQKIFTRNHIL >Solyc06g009420.3.1.1 pep chromosome:SL3.0:6:3338188:3338448:1 gene:Solyc06g009420.3 transcript:Solyc06g009420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAHLCSWPPNLYPQQLHRRRKPKLFYQSPHLTNVVSQNSLIDGYFKHSHIQQAEYLFDQMPHRDVIFWNTNALSG >Solyc06g005000.3.1 pep chromosome:SL3.0:6:3355:7789:1 gene:Solyc06g005000.3 transcript:Solyc06g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4C2Y5] MEVDDDLHALVDEQRREIAAAGDGAVDVDLLFAFQLQMQEAMNASTSKPAINANVIVGNNNVSNIFPDELSYYEQQLIDQLTAQVEMRKIEDDLNRRIHDQAFAREILNVPDGEWKLNEDQLHRPYGEGSSSNGVDTQNGEWFRVYVKGLMGEDADEDIVGVNVGRDIAGIGVAVCDPSEILVFEVSKGLVKGTEVLTDEIAELKALIEGLDVALMLGLKRVNLLLDSQTILQYVSGTLHPRKGNIVGLVEQATSCLKKFTDCIPSLVTQNTINLAIKLASDAIVSQVRGPAENSLRKSITETCTICLQDTDIDHMFLINGCLHYYCYSCMNKHVEAKLLQGMLPKCPHDTCKSELKLDNCKKFLIPKLYDLMSERVKETTIPITEKIYCPNPKCSTLMSKAEVSAGEQQAGVTMCTKCHLNVCINCRVPWHKNMTCFDYRRLNPYLCVEDANLKSLAAQSRWRQCVKCNHMVSLGEGCYHIYCRCGHEFCDTCGAEWKNKKATCSCPVWDERNIIYDNRLQPRRG >Solyc04g011710.1.1.1 pep chromosome:SL3.0:4:4176933:4177199:-1 gene:Solyc04g011710.1 transcript:Solyc04g011710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSPPTRPVSPSPNPHSITPHTRPPSKNRDAETQKKRENSQKTQPFASPPHAFLENPLTQTRPSDGNPASPTRAWTSHNGHLPAKQ >Solyc12g038515.1.1 pep chromosome:SL3.0:12:51404718:51414260:1 gene:Solyc12g038515.1 transcript:Solyc12g038515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVSTPLAPHFKLSDAMSPKNEVEREYMSRVPYANTIGSLMYATQSSLFNNIPSEIQQRIQAALTTSLAVDSSG >Solyc09g065280.3.1 pep chromosome:SL3.0:9:63579476:63586323:1 gene:Solyc09g065280.3 transcript:Solyc09g065280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKQELEQRRKNLAQDVGGRKVFKRSEIEQKRLQRIHEEEKREAEAKALRQKQFEQNQKSTDDSSSKSNSTTDTQCLKQDASSLSVSKALTDEQKIDGLNLPRQEVVRRLRFLKQPVTLFGEDDEARLDRLKFVLKAGTFEVDDSDMTEGQTNDFLRDIVELKKRQKSGMMSERKRKVTEDSGEDKDGGGGDEDLSGDGNSSGVDHDKDLKRMKTNFVELCDEDKILVFFKKLLNEWNQELDEMTDSEKRTAKGKSMVATFKQCARYLHPLFKFCRKKLLPDDIRQALLVVVECCMKRDYLAAMDQYIKMAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPAMPSKAVEFNSLANGSDLQSLLAEEALPVFQKTNLCKALLRFAFTSSVNIWHAHVPFISSMDVNFYNSSALVEAEALRSGDAVAGVQIPMANNNNNQPTTPPYLPYRQRKVLTGHTRAVSCVKFANNGQLFASASLDKTLIVWSTQTLTQLSRLVGHSEGVSDLSWSSDSSYICSASDDRTLRIWDARTAESVKTLRGHTGFVFCVNFNPQSNLIVSGSFDETFRVWDVKTGKPIHAIKAHSMPVTSVHFNKDGSLIVSGSHDGSCKIWDMASGACLKTLIDDKVPAVSFAKFSPNGKFILVATLDNTLKLWNYSTGKFIKSYAGHVNRVYCITSTFSVTNGKYIVSGSEDQCVYVWDLQGKNLLQKFEGHTDTVISVCCHPIENMILSAGLDNDRTVRIWVQD >Solyc04g074820.2.1.1 pep chromosome:SL3.0:4:60829910:60830893:1 gene:Solyc04g074820.2 transcript:Solyc04g074820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSVYVRQCADRRFIGDFELADEDSGRRGARSHGLDPVVITSFPMFFYSDVKHHKIGKSVLECAICLNEFEDAEALRLLPKCSHVFHPNCIDAWLFSHITCPVCRANLLPDPSDFDKIATPVYYSNSGSPDLEFGNSVTIDINNIASPEVINVAQTPVHNRPPRTNSKITGKFPRSHSTGHSPVRPDEDRERFTLRLPEEVREKLINSGSSRAQTNSIFPSERSMPKGYRSSSVGTGSTRINHENYERFNEEGRVDRWGFIPVPPFFSRGGSTRSSKDGNGTGDDITAVSKNLFKTVKSPFDRIFEKNSSGEGSFDKLRSGSTSS >Solyc02g067250.2.1 pep chromosome:SL3.0:2:38008044:38009944:1 gene:Solyc02g067250.2 transcript:Solyc02g067250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHSGCQQSRYALIDVMILIAVIGACGVLLYPSVNLLVNKVVEFFRLISSELKEEIFEAPVAVCTGRRCGKPGCRGLKKAAEFDIQLETEDCVKNSNLIAAKDGVNKKGLFELPRDHHKELEAELKRMAPPNGRAVLIFRGRCGCSVGTMEVPGPKKTRKILDSPSLVSTSHAFVEDSILASLIVIYYVTLCVRYGEKCFSSMLKAAVFATVQSEKFYGT >Solyc03g005910.3.1 pep chromosome:SL3.0:3:614427:618937:1 gene:Solyc03g005910.3 transcript:Solyc03g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYRNHIVLMISMFVMFSMVKGQPQVPCYFIFGDSIVDNGNNNNLITTAKANYFPYGIDFPNGPQNGRFTNGRNKADFIAEFIGFNSSIPPHATARGNTILRGVNYGSGVAGIRDETGIRWGDRISMNRQLLNHQVTISRINLILRNITATRSLLNKCLYTVDMGNNDYLNNYLDSRFYLSSLLYSPDMFATSLVQQFEGQLRRLYRFGARKVAVSNIGLLGCLPEETRTYGRNASGCVDFINNYVQLFNQKLKVSIDNLNTNLPNARFIISNQTSISQGGPPIGFTVFDSPCCIISNTTAKGQCINNGQTPCSNRNQYVFFDNFHPTEAANKAIATRSYTALLPTDSYPTDIKGLVQT >Solyc01g009810.3.1 pep chromosome:SL3.0:1:4185117:4189144:1 gene:Solyc01g009810.3 transcript:Solyc01g009810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIALVFLVGALAIIAVDCNSEGDALNAFKTNVADPNNVLQSWDPTLVNPCTWFHVTCNSQNSVVRVDLGDANLSGSLVPQLGMLSNLQYLQIQNNSISGEIPSELGNLTKLVSLGLENNKLSGFIPSSLGYLKLLRYMRLNSNKLSGEIPISVLKLVLWGNLKLMNVSDNKLAGTVHHTNKTGFAITTIVQDVKEI >Solyc11g030720.2.1 pep chromosome:SL3.0:11:23184634:23191423:1 gene:Solyc11g030720.2 transcript:Solyc11g030720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGNKQVVLKNYVEGYPKESDFELRKSMISSDIPQGSRGLFVKNLYLGCDPYMRHRMSHHISEDVPLLASFNPGSVIIGLGVAKVIKSADADFEEGDYIWGMTAWEDYTLILNTNGLFNIKYTDVPLSYYAGILGMPGLAAYIGFNNLCSAKEGDVVYVSSAAGGVGQLVGQFAKMKGCYVVGSASTDEKVCLLKSQLGFDDAFNYKQGNDLAGALKRHFPNGIDIYFENVGGNMLDEVLLHMNLRGRIAVSGMISQYNLKKPDGIHNLFCLISKRLRMEGFSEFDFRHKVPEYLEFAIQLIREKKLLFVEDIAEGLENAASALVGIYHGRNVGKQIIQVSTD >Solyc03g059247.1.1 pep chromosome:SL3.0:3:30384353:30387246:1 gene:Solyc03g059247.1 transcript:Solyc03g059247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKDIGTISAIYSPCPLRNRSHSGCEVAFSLAHAVPESLHLERSICVFTSTKIDGSKLCITVEDILDVTV >Solyc01g108120.3.1 pep chromosome:SL3.0:1:95372069:95376178:1 gene:Solyc01g108120.3 transcript:Solyc01g108120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRSLELKGWHKQVVCKKPKKLGSCKKKMAMMHNLYGQIDLATSAKYRAEEVLSRLSTKYPSFMKPMIRSNVSGGFWLSLPRLFCQLHMPRHDITVTLVDESQEEYKTKYLAERNGLSGGWRGFSLSHDLVEGDVLVFQLFRFCKLKVYMVRANYLAEVDAALVLLHLDAHHKQIDPVHQRQHSISMKQVGIKRQRLSSQEGDDYSTENMLHKHSHSTNMFKNLDLPSKGLAYETLTIVDAVKASKLSDPPNGTFSSWNTRPTELEQLEVRPFSSGYSIEPALTLSGAEMIFRP >Solyc02g087870.3.1 pep chromosome:SL3.0:2:50774627:50782613:-1 gene:Solyc02g087870.3 transcript:Solyc02g087870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L04 [Source:UniProtKB/TrEMBL;Acc:B6CG42] MAETTEGKSMPEAEKKKEQSLPFYQLFSFADKYDYLLMTCGSIGAILHGSSMPVFFLLFGEMVNGFGKNQMDLHKMTHEVSKYALYFVYLGLIVCASSYAEIGCWMYTGERQVSALRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYANAGIIAEQAIAQVRTVYSYVGETKALNSYSDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQSDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQKPTIVQDTLDGKCLSEVSGNIEFKNVTFSYPSRPDVIIFRDFCIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNDGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENILYGKPDATMAEVEAATCASNAHNFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDAGSESIVQEALDRLMVGRTTVVVAHRLSTIRNVDSIAVIQQGQVVETGTHEELISKAGAYASLIRFQEMVGNRDFSNPSTRRTRSTRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPQNYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYTNPATMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEENNSSLLAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQEKIISLFSQELRVPQMQSLRRSQMSGLLFGISQLALYGSEALILWYGAHLVNNGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILDRSTRVDPDDPEGDPVESIRGDIELRHVDFAYPSRPDVSVFKDLNLRIRAGQSQALVGASGSGKSSVIALIERFYDPTGGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFENIAYGKEGATEAEVIEAARAANVHTFVSGLPEGYKTPVGERGVQLSGGQKQRIAIARAVLKDPSILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRNVDTIGVVQDGRIVEQGSHSELISRPEGAYSRLLQLQHHRI >Solyc08g076060.3.1 pep chromosome:SL3.0:8:60233084:60236685:1 gene:Solyc08g076060.3 transcript:Solyc08g076060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLANYTFFLILTLFCSQTCIINIPSVAAITSMKPGDVLNHSQVLDSEGGRFKLGFFSIPQTNKTYLGIWYAGDPVEKKLWIANPNTPILNNSGLLTLDSTGALRITSGGKTVVNIATPLLTGSLIARLQDSGNFVVQDETRNRTLWQSFDHPTSCLLPGMKLGYNLTTRQNWTLTSWLVSSTIPASGAFTLSLEAIQDAFQLVVSRRGEIYWTSGAWNDQGFPYLPSFRDSATTYQYNLNLVSGTDGMFFQFEATKGSFPSLELSSDGAIAAGDGSIYTRYNKFCYGYGGDDGCVSSQLPECRKDSDKFEQKRGDFIDLSGTSTSYYDNASISLGDCMQKCWEHCSCVGFTSLNSNGTGCRIWNGKRDFRVDESGNAVQRYVLVSPKSSKGKTWIWIVLSIVITMLICGLVCLIKTRIQKLQHEKRKKEEHIHEMNAADSFSNTNLKEEDEREVQDLKIFSFGLILAATNNFSSDNKLGEGGFGPVYKGQFPDGREVAVKRLSRTSGQGLAEFKNELILIAKVQHRNLVRVLGCCIHGDEKMLIYEYMPNKSLDFFLFDPETKKLLDWQKRFEIIEGIAQGLLYLHKYSRMRVIHRDLKASNVLLDENMNPKIADFGLARTFKQNETEAVTRRVVGTYGYMAPEFAMEGAFSIKSDVFSFGVLMLEILSGRRNASLQQFNRPLNLIGYAWELWKEGCGLELKDPALEDLYDTEQFLRVIHVGLLCVQEGATDRPTMSDVISMLGNGSMSLPIAKQPAFFTGRDEAESYSSSNKTEQCSINDCSITVIEAR >Solyc10g079910.2.1 pep chromosome:SL3.0:10:61497433:61502290:1 gene:Solyc10g079910.2 transcript:Solyc10g079910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGQLEKKGFFIATLITFWYSSNIGVLLLNKLLLSNYGFRFPIFLTMCHMTACAVLSYVSIVFLKIAPFQRIKSRSQFLRISTLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLMTRKQEAWATYGCLVPVVTGVVIASGGEPSFHLYGFIMCIGATAARAFKSVLQGVLLSSEGEKLNSMNLLLYMSPIAVVVLLPAALVMEPNVIDVTATLATEHRYLGLLIVVNSAMAYGANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILIFQNPVTFIGIAGYTMTVMGVVAYGESKRRHK >Solyc03g117310.1.1.1 pep chromosome:SL3.0:3:67963074:67963706:-1 gene:Solyc03g117310.1 transcript:Solyc03g117310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSNSSLDPSLLYDYAITFAHNQLDQDDIQYFNHEFLQSMGISIAKHRLEILKLATKEKGRRSSRKHIFWLVVAIRQAKQHFAKRFSTWTRRSDSSALLPLRNYSSRWKASMLKRNKRLTAAKQERPVMQSTNATTNQGRLMMLTNGSPKLMIDSSDAWISSPSSSTAEDFRDDEDMDGVDGGNWPTVAIEEIKWDAMFQDLKPT >Solyc01g008480.3.1 pep chromosome:SL3.0:1:2502549:2508145:1 gene:Solyc01g008480.3 transcript:Solyc01g008480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATCGAHRFSKKLQPFQGFPLKSCCWFSRTIINHVRSFTQSKQRRPVQRVNRLPSKSTKSVPKQDIDLPKVFMRDTVTRISHMLRFSTWDSAQEQLMELPIKWDSYTVNQVLKTHPPMEKAWLFFSWASKLKGFKHDQFTYTTMLDIFGEAGRISSMNYIFQQMQDKEIKIDAVTYTSLLHWLSDHGDINESIKLWQDMKDKGCAPNVVCYTAYMKGLFDHNRVKEGAKIYKEMLQSGCSPNCHTYTVLMEHLAKSGKFDGVLEIFSKMQDAGVQPDKATCNILVGKCCKAGETQAMMKILHYMKENFLVLRYSVYQEAFQTLKMAGVSDRLLRDVNRHLSLQNFNQDQIDESDGIAESSCFTLDDRMVLYLLNKKSLLAVDYLLDGLMNKRLKLYPGIVSTVVEVNCSCGRVNGAFLAFKVSMKLGITIDRITYLTMVGELIRANSFSRVVDIVEVMVGAGLSLGSELTALLIHRLGCARAPASAEKLFSILPDQQKSIAVYTALINTYFTFGNADKGLEIFETMRKQGINLALIEMLDMGIWKLFMIVEYLEYKLVVEMGFALYGIPHTETECGIGNLVWIILKRSLFDKFSLSLEAVAAGMIGQMGLDSSIILLQHYGLKEETRVEYFRGKDFVAFVRNHSELKDILESDKGLEPEDIAKTLLQRIFSPL >Solyc03g095200.1.1.1 pep chromosome:SL3.0:3:57598458:57600590:1 gene:Solyc03g095200.1 transcript:Solyc03g095200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPFSSVTAILRYRFFSTLVQIFSEPTTKTKNGKNTKPKSSSSKSKKARKIATIINTNPWSSHLESSLSSITQPSLSHTTVLHTLRFIKIPSKALYFFNWTQKMGFQHTHQSYLLMLQLLGSSRSLNSARNFLLSIPRRSNGAVPLQDRYFNTLIQSYGKAGLFQESLKVFKVMKSLGISPSVVTFNSLFTILLKRGRTGMVYELFDEMLKTFGAKPDVYTFNILIRGFCINSMVDKGFRFFKEMERHECEPNVITYNTIVDGLCRAGKVEIAHNVLKGMAKRGHQLSPNVVSYTTLVRGYCEKQEVERALDVFEEMIDRGLKPTSITYNTLVQGLCEAKRFDRIKEILEGTLGSGGLIPDTCTFNTLITYHCNVGNLDEAVKVFENMLYLKVKPDSATYSILIRSFCQKGYFDRAEKLFDDLMKKEVLLRDDGCTPLIAAYNPMFEYFCKIGKTKKAEKVFRQLMRRGTQDPFAYELLIRGHCKEGTFNDAHGLLVLMLRRDYVPNIVIYESLIEGLLQKNDPKVAYDTLEKMLKSSHLPRSSIYHQILTELIKKNCASECASLVTLMLDNKVRQNINLSTDTVKILFQTGLRERAFEIVRCLYENEYVVDMEGLVAFLCQRRKLLEACKLLLFSLSKGHILNVDTCSTLLSALCKARRASEAFEIYYELLEKGVQQPLECIEELGLALETEGRIKEAEFVKKRIPG >Solyc01g059880.3.1 pep chromosome:SL3.0:1:68888315:68894527:-1 gene:Solyc01g059880.3 transcript:Solyc01g059880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTIKVAAIIAEGVPESDAKELIAYAKANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMVVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCATLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGAIVPTSYEAFEGAIKDAFEKLVEAGKTTPVKEITPPQIPEDLSTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTRFIEICVMLCADHGPCVSGAHNSIVTARAGKDLVSCLVSGLLTIGPRFGGAIDDAARYFKDAYDKGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQRYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Solyc06g075290.3.1 pep chromosome:SL3.0:6:46888572:46889937:1 gene:Solyc06g075290.3 transcript:Solyc06g075290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFDHTTLSTALNVSTAEVRTFLTRQSSGPIVHISDSHHHTNIWTEFVSQQPHQKLAHLKRIVNLEEEVTPKEEESTWSLRKFLCTLLHRKDVVKRVNHEAPSSYNLNNRNPDFKNNYGWSKTVDESDYSPLQRSGNGVYLVNLSQGSMMAPHVNPRAIEYGVVLKGTGRIQIVYPNGTLAMNARVREGDVFWVPRYFPFCQIASSNGPLEFFGFTTSARRNHPQFLVGRNSLMQSLRGPEFAAAFGISEKRLNRIANAQREQVILPSSSSDSPMRMNIGSY >Solyc01g079900.1.1.1 pep chromosome:SL3.0:1:78930383:78930988:-1 gene:Solyc01g079900.1 transcript:Solyc01g079900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDISKNLVYTPLVKNTFTTSKFSEYHVKVSSIRIAGKNVPLNKTMLKTKQGVGGTRISTTTPFTILHTTIYDAVKTAFINALSKNVTVVEPPTKQFGLCFSSKNVRNTNVGPDVPVIDIVFHKKSAFWRIYGSNSVVQVNKDAICLAFEGQDQTRAPSIDIGGYQLEENLLIFDLVEEKIGFSSSLKLQQTSCSEYEKTS >Solyc07g043000.3.1 pep chromosome:SL3.0:7:56656282:56666761:-1 gene:Solyc07g043000.3 transcript:Solyc07g043000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PVTPKPPSPTPQPTCPIDALKLGACVDVLGGLIHIGIGGSAKQTCCPLLGGLVDLDAAICLCTTIRLKLLNINIILPIALQVLIDDCGKYPPKDFKCPST >Solyc09g010915.1.1 pep chromosome:SL3.0:9:4256597:4256948:1 gene:Solyc09g010915.1 transcript:Solyc09g010915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDNHQELISQPYFTMKHQSHAMNDLNSKKFLVSQWHVGKDVMFDFFSSDLSSAQPAIEDIEKLNCPPYCKP >Solyc02g021690.2.1 pep chromosome:SL3.0:2:24046451:24048893:-1 gene:Solyc02g021690.2 transcript:Solyc02g021690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEAASSSTSTATVGLKPGGLFVLKENIARTGFVLDKEDKSITRSDSYFKELFKQCGLHIYKMKDQKEFPDELFAVKMYALTTEMPRQGNRPRPKRATNRPAIIR >Solyc09g091760.2.1 pep chromosome:SL3.0:9:71456135:71458668:1 gene:Solyc09g091760.2 transcript:Solyc09g091760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFEHEILLQPTIFSSSLNDDNYSYSLDDDSYNYYQHQQMVNIDEEKALMVSQKEEEINVTFVGENSKGNESKKKRKRTKIRMKSSEEVENQRMTHIEVERNRRKQMNEHLHVLRSLMPSSYVQRGDQASIVGGAIEFVRELEQLLQCLESQKRRKLYGDNHQDSSLLMEIQNPSNNLVYAPNNNEIGIIQEDIAEIKSCLADVEVKIIGIDNAMIKVLSKRRPGQLINTISALQDLQLNIIHTNVTTIEQTVLYTFNVKICGETRFSADDIANLVQQIFSFFSCK >Solyc09g075010.3.1 pep chromosome:SL3.0:9:67216029:67220813:-1 gene:Solyc09g075010.3 transcript:Solyc09g075010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHPEVKWAQRPDVVYLTVMLPDAKDAKVNLDPEGVFNFSASAGTGDRHYELKLELQDQVNVEESKISTGVRSIFCILQKAEPKWWTKLLRGDGKAPHYVKVDWDKWVDEDDEPDAGPGDMDMNSMDFSKFGDMGGMGGDMASMMGGMGGMGGMMGGMGGMMGGMGGMMGGMGGDMAGMMGGMGGDMGDDLDESDDEEPEVIKPSDKAAEVVDAKPKAEGEAAA >Solyc10g045725.1.1 pep chromosome:SL3.0:10:35810398:35810696:-1 gene:Solyc10g045725.1 transcript:Solyc10g045725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLPNPISIPMSLSNNVFKKHWLFDLVLNDFLLRSISRFRYEVSFEALDKCAIDILLLCYV >Solyc06g084005.1.1 pep chromosome:SL3.0:6:49320243:49322430:-1 gene:Solyc06g084005.1 transcript:Solyc06g084005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKPRIVTLMSRLASTASFDISSIHQMAEESYQEHDLGNVYNAENVHSAADGHTGEVDNAHTLENIQEMDNAHENLLPEEVKLEPEEVQVAFLQEHPVKLEQEEAQVESLQEHVIKSEPEEMQVAENGSGGGEEKRWPGWPGENVFRMLVPSQKVGGIIGRKGEYIKKTCEETKARIKVLDGPHGTKERACVQVCPYKSGLL >Solyc02g032380.2.1 pep chromosome:SL3.0:2:28885154:28901398:-1 gene:Solyc02g032380.2 transcript:Solyc02g032380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGIMYKFGKNLNLIGYSDSDWAGSIDDMKSTSARPVVRSFGYVFLEFRYDAVPSCSGPHLFSSYLDQHQLAFVANRKNLDQHILLFGWSVGDTKNEAAIIEILNDNWSPKIEAHDIGDDILILGLAIDKVSQNGEIKLLLGEEEKEVSPCCLLLCLTNDGRLSIFHFASATAASVSPQSTDFEEKNNSYKVASSQDLVVESSSARKQINQVDSGLQPHEIDRGHKVLATSAKSSVAEKFSSEEAIKTTNQNQGANLMLSAPKTFVSVDAGVSISSYKQKAMTGAGSIGSSPAFPGSMLQSQKGFLSEPSKLHFTRETSEGTPLKQFHDVEEMARKLDDLLEGIEGEGGFRDASIRAHNSSVLALEEGIESVSEKCRIWRAVMDKQLGEVQLLLDKTVQVLARKVYMEEIFKQATDEQYWNLWNRQKLCSELELKRQHVNEVNKSLTSQLIELERHFNTLELNKFGDTDRIQTNKRGFQSRPGQPRNFQSLHNLRNTMTTQLVVAKQLSESLLKLMTDLSIDSPAKGQNIRKELFETIGLPYDGASHKSPAREKAENTPFNKELSVFLAVKERSRRKKPSPVKSLEPETARRRRDSLDRVGDRGCNFGGKQSGRFEKEAECTKSIGKLDLGKVLQLLWGQLMMVQRHKEVMHV >Solyc11g065160.2.1 pep chromosome:SL3.0:11:50777089:50796065:1 gene:Solyc11g065160.2 transcript:Solyc11g065160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYVGRTVSKEVQGVGTLNGTVKSYNSETGFFEIVYDSGDSEVLDLSQLNCLLNGSHPHEQQQQEPVPSSTVGRKPKKRQRLAKQQVQEKSHSGNDDVADEMNYENSSELNLNLRKGGGNLSNGLNLDLNYDVTVNLNEDDVDGVVDLNRSQGLILDLNIQENMGVNEVKLENLEGSLNRSNVIDLNVDANGDVGHVLENVGIKNCFDLNLGLDDVSKNINVGGGDEMSKEMTCCSGEGGTQEKEGSRDTERIDGGDEEKVPMNLETCLTENESANGTLREVEVQLTTPDKGTDGLEVQNGVLESLPKGKRGRKKRKLLDAGKGVTETVLRRSARRAKIESSSAEDRVSCAVVSDAASDPLLSPAVSVVSEEKIIVSGREEFEKSDIIPPKMDLPPSSSSLDLDALPVLDVFSVYSFLRSFSTLLFLSPFELEDFVACIKANAPTLLFDSIHFSLLQILRKHLKSLSDESSESASGCLRSLNWDLLDLITWPIFMVEYLLLHGSELKPSLDLRHFKLFERDYYKQPASLKIEMLRCLCDDVIEVEAIQSELNRRIVAAENMDFDRNSKSDSSKKRRASMYVAVGSCFSEAVDESTDWNSDECCLCKMDGSLICCDGCPSAYHSKCVGVASSHLPEGDWYCPECLIDKKSPWLNLAKSIRGAEVLATDLYGRLYYSCCDYLLVSDPCEDEFSPKYYHRNDLALVIGMMKSSQKVYGTVLSAIMKLWDTNSMAAGAKCDPDTQQKTMPSNFLSLILSQHEEKVNEGKQAEKLSSCSDDVGYDESETVDPSMKMGNILPRSEGSAEISQVVADNQNYKEGGTFEDSNVTAKIKETRRPLRERKGNECVDLGLSTTSNKEIMSEEQYAESYVNFYSFARIASSVVEELTKKSPGKTGQDAKKTVDEIISAQLKAISSKSIDFCWPNVQNMKIDARKEDCGWCISCKVPECEKDCLFIQNSTGPAPESFSSDALGVHSRRNRESHLVNVLCSILSTEDRLHGLLSGPWLNPHHSQNWRKDVTEAHDVDTLRAFLLTLESNLRPLALTPDWLKHVDSLAKMGSGHHIIINSSRVRHGIGKKKARHLEPEVNPSSNAGSGLSLFWWRGGRLSRRLFNWKLLPQSLARKAARQGGCKKIPDMLYPDNSDFAKRNKCIAWRAAVETSRTVEQLALQVRDLDAHIRWDDIGNTNILAIIDKEFQKAVRSFKKATVRKKSSEGSVVKYLLDFGKRRFLPDIVVRCGTVPEEASTERKRYWLEEAHMPLHLVKGFEEKRIARKSSKITVGKHRETKRIMKKPLKEKGFAYLFLKAERSEYYQCGHCNKDVLIREAVSCQYCKGFFHKRHVRKSTGVVAAEFKHTCHKCMDVNNVRKNVKRGRIEMQKSEEASKALRPLRLKVISGGTKNKQPAQSPSSKKKPVVMPLRRSARRAKFVVVQNKKIGRKKGKQTKSGRGRGRPRKHAKVDISEKKKPAEVAWRRKRMQLCRIYWLNGLLLSQKPKDERVTLFRSKKLLVLSGELGGAADQPKCSLCGELEYTPTSNYIACEVCGDWFHGDAFGLTAERITKLIGFKCHECRQRNPPFCAHLHATNSKGKQVMWESTECKSADETFDIESLSSKGPLEQKSHLNDESGSCFTGDNGEKCPQGTPLDSCHAENGSLPIISSEQRKTTDSCSEMDIVLPEEPGLLNDNVNAIKEDQTSNDSSLLNDDAIELNPREDME >Solyc03g114120.3.1 pep chromosome:SL3.0:3:65630466:65631705:1 gene:Solyc03g114120.3 transcript:Solyc03g114120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLRQFICFILLAFALYTSLQAEVQAQENLSLTTRPTSPFSIALETLQNQIQYTFQDVELLRRALTHASYSGENNRALSVLGEKVIEGSVSLQLLSKDIDISPKDLNRVIMDLSSNVVTSCVADGGRLGLQKIIRVSRKTNSSAPAVVCGAFRAMFGAIAIDKSSLDSAGKVFLTIHGKGMEKAMAM >Solyc08g065550.1.1.1 pep chromosome:SL3.0:8:53749170:53749412:1 gene:Solyc08g065550.1 transcript:Solyc08g065550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQTSFYTHPDLRRISTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVSEPIEMTQEFRYFDFASPWEQRSDRCWVAWN >Solyc06g051370.1.1.1 pep chromosome:SL3.0:6:34769350:34769793:1 gene:Solyc06g051370.1 transcript:Solyc06g051370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDKGTTHRKGIPQGAPISPGKDLSAKKWLEPLILISRTERSMNRDPDAYRYKWSNGSKSFQEHLEQSVSKQKSRFQVVFDRLRINQYSIDWSEKKDWNINLIEIIDLIPNPINRITFSSTGSTKSELNLSVGFPNSFVNNNSRA >Solyc08g075700.3.1 pep chromosome:SL3.0:8:59944005:59946780:-1 gene:Solyc08g075700.3 transcript:Solyc08g075700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L13 [Source:UniProtKB/TrEMBL;Acc:K4CMT7] MKHNNVIPNGHFKKHWQNYVRTWFNQPARKTRRRAARQQKAVKIFPRPTAGSLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQTNVQRLKTYKAKLVIFPRRAKKVKAGDSSAEELATATQVQGPYLPITREQPAVDFVKVTDEMKSFKAYGKLRIERTNARHMGARLKRAAEAEKEEKK >Solyc02g086200.2.1 pep chromosome:SL3.0:2:49573308:49582206:1 gene:Solyc02g086200.2 transcript:Solyc02g086200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATLLVAPNSNTLCCHHANSFINQKTLLFSKSFNSKFTTFSSQSNDNNNPIKKVEQCNLEFENQDYGSSSSGIKGPTAPWMRGPLLLEPNQVLDLSKSRKKKDTNFAKTQNPNDALSGKVSGGRGKKAMKMIYQGIDKLQETQIGECTQVETDVKVEFQFPPGSLSGWGDVSYEIEEKNPYGEEDNVESLEGVEFGVLSREGEGRGSRKSGARMPWESEERIVYRRMKKEKVVRTAESNLDAMLLERLRGEAARIQKWVKVKKAGVTRTVVDQIQFIWKNNELAMLKFDLPLCRNMDRARDIVEMKTGGFVVWMKQNALVVYRGCSYTLQLKELQHDFLRSHQNPSFTENIEETSIFSPLNLSGSSEDEMISVGNSEEDSLVMNESLYEREANRLLDDLGPRYVDWWWPKPLPVDADLLPEVVPGFKPPFRLCPPRSRSKLTDDELTQLRKLARSLPTHFVLGRNRKLQGLAAALVKLWEKCHIAKIALKWGIPNASNELMANELKYLTGGVLLLRNKFFIILYRGKDFLPSQVAKLVAEREVELTRCQLEEEVARFKAIETLPITMEASMSSSIVGTLSEFQTIAEPGKEKSEVEVQLMSEKERLEKEVRNQQDSLYILKKKIEKSSIALGKLNAAWRPAKEDDDKEILTQEERRSLRQIGLKMDRSLVLGRRGVFDGVLAGLHQHWKHREVIKVITMQKIFSQVIHTAKLLETESGGILISVDKIKEGHAIIIYRGKNYRRPELVPQNLLNKRQALCRSLEMQRLGSLKFYANQTEQAISDLKLKLVEYTVKIGQMGEI >Solyc02g014540.2.1 pep chromosome:SL3.0:2:17505233:17505714:1 gene:Solyc02g014540.2 transcript:Solyc02g014540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GYHSSFVDEEGVRKACGCPLLPLKSHRNEPDSDAEQEDATSDIVDEAITFFRANVFVKNFDYKSSADRLIIYLTLYINLALKSLEGCRTLAKGKKSIINLGLEKIVIPGEEGFPFPGLFVSPKSQHEPGKF >Solyc06g063110.2.1 pep chromosome:SL3.0:6:39979772:39980819:-1 gene:Solyc06g063110.2 transcript:Solyc06g063110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLQNNGKLDDPSMIELTGKILVVAVIVLFLVLMLVFFLHLYSKWFWNQRRQADNHRNAATRQRRRRRRFDFSPGHEVNVTSVLNRGLDPVLLKTIPVVLFDPEEFKDGLECAVCLCDVSEGEMARLLPKCNHGFHVDCIDMWLQSHSTCPLCRNPVMLQEATVETILRTPIEEEVINFPTNVLFWGNENQVSTMGSNNLEEDLQDPNSPPPPVASPSSSSSLDSTSDRPCVSLAIDIPRQINEVVEEEEDKSPMPTRLRSLKRDIEQGVEKDQTTPNNIFEEL >Solyc03g093625.1.1 pep chromosome:SL3.0:3:56582793:56585232:-1 gene:Solyc03g093625.1 transcript:Solyc03g093625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLSKSNNSLIVPMFLFSIKKQLQLVSAKRYWVWPMSLMYKEDFDFPSLIHDLQIDAPPSVVATFFTFLVAIHSERNKWLYFNSREHFLLIRTEQHVWMQQSLIRPVQQVRLI >Solyc02g071100.3.1 pep chromosome:SL3.0:2:41150851:41152758:-1 gene:Solyc02g071100.3 transcript:Solyc02g071100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAHEKLLHLTFEEAERTESSENADNRKFQSSKFMLWLQIFIFTFFVLGGQAVGTLLGRVYYEQGGKSRWLATLAQTAGFPILLPFICYPSPKNHNEDELTTHHPSIFVRASVYIFLGLFQVVNSMSFTVGVQYLPASTYSLISGTQLAFNAITSFFLNGHKITAIILNSVVLLSFSSSVVIFQNETGDSGEISQKSLLIGFAVTTFGSLGYALQFSLTELAFQKVFKSNTLKQVMKMSFFIGFFVTIASLIGLFASGNWRDLEKEIGEYRTGKSSYFINLICTAISWQLYAVGSFGLVSKASSLFSNVIINFGGSIVPIFAMVFLKDRITGLKVFSLLLGLWGYASYIYQHYLDDLEAKNSEIKASDNQDDDF >Solyc04g005720.3.1 pep chromosome:SL3.0:4:464333:467464:-1 gene:Solyc04g005720.3 transcript:Solyc04g005720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSCSQADGIIVNNHELTPLEKHVMFFDINNDGIIYPSETYKAFRKMGRGIFRSMFSAVLIHFNLSYKTRPGKWPSLLFPIVVENIKYAIHGSDSGAYDSEGRFVPEKFEEIFKKHANENADSLTYNEVKEMLKTNRKPKDYYGWANAFVDWNSLYDLGKNKNEKLTKETVKALYDGSLFEQIAKEHASK >Solyc06g036090.2.1 pep chromosome:SL3.0:6:25584589:25585013:1 gene:Solyc06g036090.2 transcript:Solyc06g036090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYKLVVLNLEGSFVTASCLDYLTALTSLKSLNVNRSHLLDDGCEKFLGKWKTFVICQKELTKAKPFHIVSQPFYICSTQQFERIKFGI >Solyc02g079490.3.1 pep chromosome:SL3.0:2:44577275:44579796:-1 gene:Solyc02g079490.3 transcript:Solyc02g079490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKKDFNVKLIKTEVVAAMLPMQEHWLSQSNLDLLLPPVDVGVFLCYQNPIISGILSKNWSFDSMVNVLKVSLGETLVSYYAFAGELIQNLAGEPEILCNNAGVDFIESWADVELKEINFHNPDESIEGKLVPKKKHGVLAVQVTELKCGGVVVGCTFDHRVADAYSFNMFLVSWAELAQSKPLSQLPSFRRSFLTPRCPSFYDPIIDTMYLPISALKQETANIDHDADEIISRIYYVKAEEIRRLQSLANCNNTTFTKLEAFSAFLWKTIASDTNNKFRLGIVVNGRSRLSNGDEEQAKILEGYFGNVLSIPFGEKKVEELKEKSLSWVASAVHEFLEHVVTREHFLGLINWVENHRPEPALARIYATNEDTPALVVSSGQQFPVRKIEFGWGEAVFGSYHFPWEGKSGYVMPMPSPKGNGDWIVYMHMLKGQIDLIEAIASNVFKPLTADYLSLK >Solyc06g071180.3.1 pep chromosome:SL3.0:6:43910978:43912519:1 gene:Solyc06g071180.3 transcript:Solyc06g071180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNNNTHRRILAAPDHNPKTSISMDPTLKKQPKPTPFTSKSTIHNISNQFSHLNANHKILKSGHTSYSLDTHLNPKSWTDSSCSALTKSKSQQGKTEAIIKKVPQRGKEFHKEVDVKRGSVNLSKSQEIEKFKGFDEIKKQSLSVLLKNNGRRKSFCGSKIELTDFFSCSGVKVVSVDMPPFMQVHAVNCARKTHDSLEKFTSKALALTLKKEFDEVYGPAWHCIVGTSFGSFVTHSIGGFMYFSMDHKIYVLLFKTTVQKAESSFKS >Solyc12g014320.2.1 pep chromosome:SL3.0:12:5134782:5146260:-1 gene:Solyc12g014320.2 transcript:Solyc12g014320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEEIERLRGVVRDCVSKHLYSSAIFFADKVAAITSDPADIYMQAQALYLGRHYRRAFHLLNASQIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEHGNITDTREFNSMYLDKDGEDREINILSAICFLRGKAYEALENRAQARLWYKAAIKADPLCYEALECLIENHMLTCDEERTLLSCLQFGPEDGWLSSFYSCLIKKYDKENVVEAKFRELEQSGPNTKLSDKSVLCTLKSNSDLLACKAEYYHQCGEYQKCFELTSELLEKDPFHLKCTLVHLAAAMELGHSNELYLMACNLVKDYPQKALSWFAVGCYYYCIKKYDQARRYFSKATSLDGTFASAWIGYGNAYAAQEEGDQAMSAYRTAARLFPGYHLPTLYIGMEYMRTHSFKLAEQFFMQAKSICPSDPLVYNELGVVAYHMKEYKKAVRWFEKTLSHIPSSLSEMWESTVINLAHALRKLKRYNEAIAYYERALALSTRSLSTYAGLAYTYHLQNNYTSAITYYHKALWFKPDDQFCTEMLTLALVDESRHGIDPIVESRSGGLFM >Solyc12g096380.1.1 pep chromosome:SL3.0:12:66304366:66306721:-1 gene:Solyc12g096380.1 transcript:Solyc12g096380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGVDQQTNSGVRRRVCSCTKDDFLPEESFKSWRNYANALIQTPTRLIDRILTRSEDEEELEAKSRSQNEMKKTLTWWDLIWFGLGAVIGAGIFVLTGLEANQEAGPAVVLSYVVSGVSALLSVFCYTEFAVEIPVAGGSFAYLRVELGDFVAFIAAGNILLEYVIAGAAVARTWTSYFATLLNFSSDKFLIKVDSLAEGYNELDPIAVGVCIIICIIAILSTKGSSRLNYIATIVHIFVIFFIIVCGLIKSDTKNYTPFAPFKVRGIFKASAVLFFAYVGFDAVSTMAEETKNPGRDIPIGLIGSMVITTFLYCLLAMTLCLMQPYQKIDTQAPFSVAFKSVGWSWAQYIVAAGALKGMTSVLLVGAVGQARYLTHISRTHMMPPWFSQVNAKTGTPVNATAVMSCATAIIALFTKLDILSNLLSISTLFIFMLVALALLVRRYYVHGDTTIANRNKLITSLLIILASSIATSTYWGLSKDGWIGYCITLPIWLLATIGLWYFVPQARKPKLWGVPLVPWLPSASIAINIFLLGSLDRASFMRFGVWTGFLLVYYLFFGLHASYDTAKEFKRGRGWKNIEEGNGVDSKDIVPSAPM >Solyc10g085550.2.1 pep chromosome:SL3.0:10:64795289:64797635:1 gene:Solyc10g085550.2 transcript:Solyc10g085550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIKSIKARQIFDSRGNPTVEVDVYLSNGLWERAAVPSGASTGEKLSFSDYPELNVFIHLIGIYEALELRDGGSEYLGKGVSKAVNNVNSIIGPALIGKDPTDQTGLDNYMVHQLDGTQNEWGWCKQKLGANAILAVSLAVCKAGAAVKKIPLYKHIANLAGNKKLVLPVPAFNVINGGSHAGNKLAMQACIFSC >Solyc04g018060.1.1.1 pep chromosome:SL3.0:4:9357129:9357317:1 gene:Solyc04g018060.1 transcript:Solyc04g018060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRAKCDEEYNSMIGVVRETPPKLVLPDNIVHEKAAKSMALIEFFYRTKSLYKTTYQSNILF >Solyc04g055110.3.1 pep chromosome:SL3.0:4:53649523:53665068:1 gene:Solyc04g055110.3 transcript:Solyc04g055110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDKASADCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMSALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFADRAVRAGIIPPLVELLRGRLTWVEQRVAVRALGHLATYASTFPAVASHGEILELSIQLAMSSLEIVYSHFYQYVDRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLAKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVAACPGIIEALCNIARSSDDWQYMAIDCLLWLLQDPSTCHKVIEKAVPALVDLAGISSLGDHKKLGDSIVNVLQECIESQGTGRTQINSHVKEEVEELLNSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNISGAAAKYSEALALCPMRSKKERVVLYSNRAQCHLLLQQPLAAIGDATRALCLHNPVNRHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVQCEGDAGDMCGQETDDSEWETASESEIGNEERDEIGDDICGWENEVERKDKYKKASLKEVKHGYNVQLTEDNV >Solyc04g015560.3.1 pep chromosome:SL3.0:4:5818407:5826401:1 gene:Solyc04g015560.3 transcript:Solyc04g015560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGFQKGSLSTRLGIPMIYGIDAVHGNNNVYKATIFPHNVALGVTRDPQLVKKIGSATALEARATGIPYVFAPCLAVCRDPRWGRCYESYSEDPNIVRSMSEMVPGLQGDIPSNGRLGVPFVANKQKVAACAKHYVGDGGTVKGINENNTIIDRHGLLSIHMAGYYSSIIKGVSTVMVSYSSWNGLRMHANKEMGFVISDWAGIDKLTYPWHTNYTYSILEGVNSGIDMVMLPYNYTEFIDGLTYLVNNNFVQMTRIDDAVKRILRVKFQMGLFENPLADYSLTKYLGSPEHRELAREAVRRSLVLLKNGANADEPVLPLPKKATNILVAGAHANNMGYQCGGWTITWQGLSGNTTTGTTILSAIENTVDPETKVVYKENPDSEFVKSNNFSYAIVVVGETPYAEGSGDSLNLTIPAPGPDIMTTVCASVKCVVVLVTGRPVVIQPYLAQMDALVAAWLPGTEGQGVADVLFGDYGFTGKLARTWFKTVDQLPMNVGDLHYDPLFPFGFGLTTEPVKA >Solyc09g092540.1.1 pep chromosome:SL3.0:9:72064637:72067193:-1 gene:Solyc09g092540.1 transcript:Solyc09g092540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVTRRKQNKQPNKKKEISIRRRKKCSRIVIKDENDNRSDEFDKLPIDVLTCIFLKCGLKTLSISRCVSKSWNDCIISPLFVFSHRKQEGNAPEFLFVETTRYLPRSCKSKLQFVSLDMEGRNEDLYTILDYPDTQIHCNGWTVSAGLVCLSTACRIYLCNPAIHQLRELPNSSPSATPGYEHFGFGYLPSKKEYKVLHFFYLGPPRGLALEIDVARIRCEVFTLSCSGGISNGRWKEIAEQPPYHPIFRGVLVNECLYWLGKDKIVYVGQPRIMSFDFENEKFISLGFPSASMNCQGLNLMDLKGSLCVADRVNFRKSSILDLWILKDKVRCIWAKEYSIDFGVRFDPMTLDCFHSTWNEEIVFRQEVGAMMIIFFYDIKRKTFRQVQRHRAAPISIYWKTCFSLDS >Solyc04g009660.2.1 pep chromosome:SL3.0:4:3029134:3032096:-1 gene:Solyc04g009660.2 transcript:Solyc04g009660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFVSFAVQKLGDFLIQEINLRLSLREDIQWLRNELLFMQSFLRDAELKQSGDQRVQQWVFEINSTANDAVAILETYTFEAGKRATHLKGCTCICRKGKKFYNVAKEIQSLKQRIMDISRKRETYGDQGKSNQVTTLRRTTSYVDDHDYIFVGLQDVVQTLLDQLLKAEPRRTVLSIYGMGGLGKTTLARKLYNCPNITSSFPTRAWICVSQEYNTMDLLRNIIKSIQGRTKETLDLLERMTEGDLEIYIRDLLKERKYLVVVDDVWEREAWESLKRAFPDSKNGSRVIITTRKEDVAERADNRGFVHKLRFLSQEESWDLFRRKLLDVRAMVPEMESLAKDMVEKCRGLPLAIVVLSGLLSHKKGLNEWQKVKDHLWKNIKEDNSIEISNILSLSYNDLSTALKQCFLYFGTFPEDRVVGVDNIIRLWMAEGFIPRGEERMEDVAEGFLNELIRRSLVQVARTFWERVTDCRLHDLLHDLAIQKASEVNFFDIYDPRSHSISSLCIRHVIYSRGEKYLSLDLSNLKLRSIMFFDPDFCKMSLINLSVFQHLYVLYLDMRFDNMSIVPDAIGSLCHLKFLRLRGIDDLPSSIGNLKNLQTLVVNEGGYPCKLPRETADLINLRHLVARYTKPLVHISKLTSLQVVDSIHCDQWKDVDPVDLVNLRELSMEYISKSYSLNNISSLKNLSTLRLFCRQGQSFPSLEFVSCCEKLQKLWLRGIIEKLPNLFSNSITKLVLWDSLLTKDPMPILGMLSNLKNLILECAYKGKEIICSDNSFSQLEFLHLGDLSKLERWDLGTNAMPLIKGLGIHNCPNLKEIPERMKDVEVLKQDHIG >Solyc01g106805.1.1 pep chromosome:SL3.0:1:94454447:94457028:-1 gene:Solyc01g106805.1 transcript:Solyc01g106805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSFNVSMGSGAIDDSSKFDDDGRPKRTGTVLTTSAHIITAVIGSGVLSLAWATAQLGWIAGPVALIAFSAITWFASILLADCYRAPDGSRSYTYMDAVRAHLGGRKVQLCGLAQYSNLFGVTIGYAITTSISMVAIKRSNCFHRKGHDAGCHESNNPFIIIFGVMQILLSQIPNFHKLSFLSIIAAAMSFAYSFIGLGLSIAKIAKDGVSANTSLTGTIVGKDVSSRDKMWNTFSALGDIAFAYAFSIVLIEIQAKQPFFY >Solyc09g018010.3.1 pep chromosome:SL3.0:9:12597598:12606262:1 gene:Solyc09g018010.3 transcript:Solyc09g018010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIGWFVVLCMLIAHGEALSCGQVTKLLFPCLAYLRDKGGIGSCCSGVSSLANAAKSTLARKAACTCLKSAAATITGINYRKAADLPSVCKVNIPYKISPSTDCSKVR >Solyc07g040745.1.1 pep chromosome:SL3.0:7:49610216:49627008:1 gene:Solyc07g040745.1 transcript:Solyc07g040745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIYFNLDINDELEEFPSCQQVKDSIKIEARKLYDLHNASINLSSENESESSRVRFDENNIDIYLEDYLELSHDNRNDFDAYINQITEPTEDILKWWRDRTKGFPKLVPMVRDILEMQASSVASEGVFSAARIQLGEHRYSLAADSLEISILFRDWINTERRNLGREPLPTKFQDDVDEINYIFYVTIYLG >Solyc03g005800.3.1 pep chromosome:SL3.0:3:548096:550931:1 gene:Solyc03g005800.3 transcript:Solyc03g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDFCISTMASSNIAVLLAIGVITLLVIRIIYVINRRRKPLHSKSPRSLSTLIVLGSGGHTAEMLNLLYVLQTERFKPRYYIAAATDNMSLQKAHVFEDSLLGKLLAHAVQCEKLDFTFCTGRGVKVPNHEAPEEVGGAEFMQIYRSREVGQSYITSVGTTLVAIAHALWLMIKTRPQVILCNGPGTCIPLCVIAFLFKVLGIRWSSIFYVESIARVRKLSLSGLLLYKLHMADQLFVQWPQLKEKYPRAHYVGRLM >Solyc06g008803.1.1 pep chromosome:SL3.0:6:2749917:2750555:-1 gene:Solyc06g008803.1 transcript:Solyc06g008803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDALVSIARNRPNMIRFHLCIIEPQTPDYLILEPLDTGFGAIVQHCKEVQRLSLSGLLTDRVFEYIGVHAMKLEMLSLAFAGDSDLGLLYVLSGCENLRKLEIRDCPFGDEALLANAAKLETQKLPGLNVEVIDERGHPDTRPESCSVEKLYIYRTVSGRRFDTPGFVLDY >Solyc03g061620.1.1.1 pep chromosome:SL3.0:3:33530939:33531205:-1 gene:Solyc03g061620.1 transcript:Solyc03g061620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRHEDDEENDGSLHTSITIRTVRYRECQRNHAAGVGGYAVDGCREFMPSGEEGTPGALSCAACGCHRNFRRREVETEVASNCSSPS >Solyc02g085837.1.1 pep chromosome:SL3.0:2:49269374:49269599:-1 gene:Solyc02g085837.1 transcript:Solyc02g085837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQETAVNEEHAENFPYVASYYWWYMGCQRLSGHQIIAFLRHISYDFTVTPTSEFFALSEHSAGDGR >Solyc02g081930.3.1.1 pep chromosome:SL3.0:2:46250347:46250832:-1 gene:Solyc02g081930.3 transcript:Solyc02g081930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGCFIIMRSIQRLRFLHSPSLLSRFTANYLPLTSSYSSSSPHAQMEPTTSITAATFLTQEELTQINLLIPRLCSSNHLKEAANLITTAFLTNPSLESISVSIFIHRLSLEPDLTQPMYFLNRLKYTPKAQSFLLPICKMLVSLYFRNREAKKGLKIFHWVSR >Solyc03g051970.3.1 pep chromosome:SL3.0:3:23474743:23482627:-1 gene:Solyc03g051970.3 transcript:Solyc03g051970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIQVLSLCGIGTWVILSSIFNVTQKIRSLTQPWVSSYVVSTTPITLKIQKYHNKYLDAFFSALSCVVSVPFYTAFLPLLFWSGHCKLARQMTLLMALCDYIGNCIKDVVCAPRPSGPPVRRITATEDEKENALEYGLPSSHTLNTVCLSGFLLQYILSYTQNDDVITGLAGLAIVCLFIFFIAVGRIYLGMHSLIDIIAGLAFGLAILASWLSVHKYIDDFIVSGQNVASFWAVLCFLLLFAYPTPQFPTPSFEFHTAFNGVALGIVIGVHQTYHQFHHESVPRVFNPQLTLPAFLGRLIVGYPTILLVRFCSKALAKWMLPMLANALGIPVRSSSYVPSLSISASAKKSDEIKQAGYIQKLFFFSRQDSFEVDTGIRLFQYAGLAWSVVDLVPSLFFHLSL >Solyc09g014360.2.1 pep chromosome:SL3.0:9:5431562:5431919:-1 gene:Solyc09g014360.2 transcript:Solyc09g014360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKTKLLMKRYSIIYEYILHDYDISDFINELLLDLCCML >Solyc11g071940.1.1.1 pep chromosome:SL3.0:11:55559314:55560060:1 gene:Solyc11g071940.1 transcript:Solyc11g071940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell size regulator protein [Source:UniProtKB/TrEMBL;Acc:K4DAQ1] MSTHCVSIIKNFNLSSKMKEEDYDHEQVIKDQPIKIFDWSSILSSSKNEESSSTSLYVHPLDKSSRNSLSEKSLEICTESLGSETGSGSDCFLSSPTSEHEDSNDDKHDHHHYYHQQQYSVVSESFEDFHVYNHSKRLISSSKSFPPSLPSIHMQSHRQNGRLILEAASISPNNSLHAQRLDGHLLLTVINQNNYEHEIEKREDEVEEFEKVFDDIQEVEGKEIPHSDSGGGDEEEFEKVFDDIHVSF >Solyc04g009560.3.1 pep chromosome:SL3.0:4:2959824:2970753:-1 gene:Solyc04g009560.3 transcript:Solyc04g009560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKTNAETTLLNPNIISFDHKRDAYGFSVRPQHVQRYREYANIYKEEEEERSDRWNNFLERQAESAQLIINGVSADGNSSKPDTGSLFQKANSFSQNGDEDNNQTVEKCGSEDHLEGAIEKDDTKTSVERKTHQAQIWSEIRPTLHAIEDMMSIRVKKKVNLAKNEQDCGLQEHPLAVEESGATKGESEEDSEDEFYDLERSESMDKLDVGAMQDVSLNENISHLATKCQESLPSWKEELECLVRGGVPMALRGELWQAFVGVKARRVETYYQDLLALGTKPGNNAEDKSTVSKDGSCVDPSIDTAFLPENWRGQIEKDLPRTFPGHPALDEDGRNALRRLLTAYARHNPCVGYCQAMNFFAGLLLLLMPEENAFWTLVGILDDYFDGYYSEEMIECQVDQLVLEVLVREKFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLFEGNRVMLFHTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNEARLEELRNKHRPAVKAAVEERFKGLRVWRDCQGLASKLSSFEHDPGSVIVGTTETDKKTDEVMNSDASNYVDELHMNLSGNVADSAPDLQEQVVWLKVELSKLLEEKKSAELRAEELEAALMEMVKQDNRRQLSARVEQLERQVAELQEALVAKQEQENAMLQVLMRVEQEQRVTEDARIFAEQEAAAQRHTSQLLQEKYEEAIASLAETEKRVVMAESMLEATLQYQSGQDKVLPSPRSTQQVSSPVGGNQESSLEIPARKISLLSRPFGLGWRDSNKGKPTEEVNDTKTVNEEQKEINDHQSEKKIQEA >Solyc04g050803.1.1 pep chromosome:SL3.0:4:48254296:48255480:-1 gene:Solyc04g050803.1 transcript:Solyc04g050803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRHYRSLTGQHNDRGDACIENQWHLALLVPMMVYSSSKHAFDHLYRTNMLCARSLQTPISQNITSSHLLILMLMQPNFETFTPPKTSHDLKSLYQLKKNTTENHWLGVKLILRYIAGTSPLGLRITMKSSLHLVGFWTQIGLVSSYKAFYNQFMPLPKRKLCLFGINEATYTSQIKCKDRILIIRFSCYRNHVDYIYFEGYKHVLTLPDSSLHK >Solyc02g092930.1.1.1 pep chromosome:SL3.0:2:54514042:54515127:-1 gene:Solyc02g092930.1 transcript:Solyc02g092930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVKGPWSPEEDELLQQLVQKHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDETIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSLSADEGNELADQLFENQQPPLKRSVSAGSAMPVTGFHFSPGSPSGSDSDSSLHVTSSSQSQVFKPVARTGGVFPPSIDISSPPVDPPTSLSLSLPGVDLAESSNRSADSTQSKNPFQWLLPPMQIPPPPPPPPPPLATTVPFERVSAIQQSLQNPDFGQNSGGEQPDKVFVPFSQELLGVMQEMIKTEVRNYMMGVEQKQQYQRQHYQQQQPQQFQQQNHQLPSGLGLGLCMQQASDCFRDRAANRMGLSKFD >Solyc01g108280.3.1 pep chromosome:SL3.0:1:95525272:95529891:-1 gene:Solyc01g108280.3 transcript:Solyc01g108280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTAVTVGPGMDVPIMHDSDRYELVRDIGAGNFGVARLMRDRQTNELVAVKYIERGEKIDENVKREIINHRSLRHPNIVRFKEVILTPTHLAIVMEFASGGELFERICNAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEEPKNFRKTIQRILNVQYSIPDYVHISPECRHLISRIFVADPAKRISIPEIKNHEWFLKNLPADLMDNTTNNQFEEPDQRMQSIDEIMQIITEATIPAAGTNSLNHYLTGSLDIDDDMEEDLESDPDLDIDSSGEIVYAM >Solyc09g064820.1.1.1 pep chromosome:SL3.0:9:62470278:62471096:-1 gene:Solyc09g064820.1 transcript:Solyc09g064820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSHCQRRRLNLNRIAESSGDDSAIHNEIILRLVFDSMKWDVRSLCQTASVNRNLRALAKRLLWKEMCIYRAPRMIASLMEGAPNGRIGGEWEAMAKLLFYCCGCNPTRHFRTGQSYPGHFMKSSRFSKTSGRSFLMRRCRTDLLYVSDPCEHEISDRSDDLGVFRGVFGGFMRSKTRACLITKELEVEEGVRCPFCGGRVWSMTAARLIPKSAARRLGTIENGLEYFVCVNGHLHGSCWLVHLSSDEDENNDDDEEEDREDDRNQIGSNE >Solyc06g006100.3.1 pep chromosome:SL3.0:6:1129470:1136778:1 gene:Solyc06g006100.3 transcript:Solyc06g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLAVSHHRPVISGTGHRSSIFSGHRATSLSFSRSPVSAARLRILKCSAAISPPSLVDDSVKFKEAAKHGNLIPLYRSIFSDHLTPVLAYRCLVKEDDREAPSFLFESVEPGLKASNVGRYSVIGAQPTMEIVAKENMVTIMDHLEGSRTEEFEEDPMSIPRRIMEKWKPQCINELPEAFCGGWVGFFSYDTVRYVEKKKLPFSNAPMDDRNLPDLHLGLYDDVIVFDHVEKKAFVIHWVRLDRFASVEEAYNDGTTRLEALLSRVHDIVPPTLASGSIKLHTSLFGTSLKNSTMTSEDYQKAVLKAKEHILAGDIFQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYLQARGCILVASSPEILTRVKKKTVTNRPLAGTIRRGKTLEEDYMLENQLLHDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDHLSSWDALRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFTGEMDIALALRTIVFPTGTRYDTMYSYKDVDKRRDWIAYLQAGAGIVADSDPADEQNECENKAAALVRAIDLAESSFVDK >Solyc10g012095.1.1 pep chromosome:SL3.0:10:4416789:4419317:-1 gene:Solyc10g012095.1 transcript:Solyc10g012095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQGYKKTSSDHCVFVQKFSDNDFIILLLYVDDMLIVGKNTSKIDELKKELCKSFSMKDLGHAKQILGKRITRLRDKRKIYLSQKKYIERVLERFNMKNVKPVSIPLAGHMMLSKKMCPTARKEKENMANVPYSSVVESLMYAMVCTRPDIAHAVGVVSRFLKNPEKEHWEAVKWILRKSTTGYLFTFSGGAISWQSKLQKCVALSTTEAEYIAATEAGKEMIWLKRFLQELGSSLLLSGLIASARTTGAIRLGLVAVKGDNENPAP >Solyc01g056280.3.1 pep chromosome:SL3.0:1:52758076:52759274:-1 gene:Solyc01g056280.3 transcript:Solyc01g056280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSKCILRKYKMAHEILKKLPEDVIIYILLRLPVKSLILFTCISKTWYILIRSSTFINLHLNNSKTAKEEFIIFKRSLQVDPFQHKTTLSFLFGDDLKPVIPDLDVPYLESTFVNDYDQFIGPCHGLVALISMMNTVLFNPATRNYRLLPRFPSDSPQGFRCSMMVLGLDLTQLQMTTRDPYSSEQKVEVYDMITDFWRELDNIDQDMPRIYWAPSSMVFYKSACYWLAIGSKDKMIILYFDMGTEIFNTINLPHTCNSYNGPHYGLVVLRDSITMLRYPNPNPEYDPAQDLMQIWMMKEYGVYDSWMKIYTVRPLLIESPLLIWKDCVMLCESREGSLISYDLKLDKIQEFNLQGCPTSMRAICYKESMIQIPCESKDNAQVQYF >Solyc12g096270.2.1 pep chromosome:SL3.0:12:66248025:66249754:-1 gene:Solyc12g096270.2 transcript:Solyc12g096270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSSPASRELDEVQTDLPSSVRSASRIRAPNNMVMGKHRLAAAISALNQQINIIQEELDQLDSFGEASLVCRELVSSVELIPDALLPVTRGPINVHLDRWFHGVNDSRRNKRWI >Solyc08g029160.1.1.1 pep chromosome:SL3.0:8:38087388:38087690:-1 gene:Solyc08g029160.1 transcript:Solyc08g029160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTADELKAYDGSDPSKPIYVAIKGRIFDVSAGNSFYGPGGPYCMFAGKDASRALAKMSKNEEDVSPSLDGLSEKEMAVLNDWETKFEAKYSIVGNVIS >Solyc07g017535.1.1 pep chromosome:SL3.0:7:7467484:7472113:-1 gene:Solyc07g017535.1 transcript:Solyc07g017535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFEEKERRYCNFHMSGKPKAKPEDHPRSPTSSFKSPFFSTSKSKLLPLKLQNPYGDGYNHLNSCKVVEEESDDNTMAATTRPGVLKSEAVSKGYNFASTWEQVYDMTTLRSRFFKKFSPPSILHGFVCTLGTARSCYTSLKILEAAEICPQWPEKF >Solyc01g081110.3.1 pep chromosome:SL3.0:1:80284835:80300423:-1 gene:Solyc01g081110.3 transcript:Solyc01g081110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEMMCKGLDLDKYTYPLVIKACVELRELRYGRLVHAHVIKNGFALDLYVVNNLMRLYGVCGCVGSVRKVFDRSPVRDLVSWTILIQGYVDNGYWKEGVDLFFEMVDDGLRADERMMVVVISACAKLGDSRLGKKLHKYVQSHKLNFDVFLGNALVDMYLKCGERDVALDVFREMPMRNVISWNTVISGLAQRREFKQALSAFIEMQDQGVKPDENTLVGVLNCCSSLGALEVGKWVHRYIDRNRIQLAGFVGNALVDLYAKCGSMDDALRVFGSMATKDVYSYTSVIVGLATHGKARMALKFFYEMLDIGIKPNEVTFVGVLTACSHGGLVEEGHNFFTDMWRVHKLKPRIEHYGCMVDLLGRAGLIDEAMEFVKHMPIEPDASIWGSILAACRIQGKVELAEHVTEILVNMESEKDGTYTLMSNTYASVSKWKDALEVRKAMKRQKIKKVPGCSSIELDGVVSEFRRCDKAHPRSKDIYAMVEQLTFHLIGTEADGKLPVKRHEILLSPQGDDQVVEELELQRREQKFKPQQRSAEVEQKNKKMYVEESVCYDPATHHVQHEGLTEDVFVIQEHTYHNNNDSSQQDVAVAAAAAANNNFHQQDFSNPISETPYLTTPDLLNMFPLPRCTQSSLLPQKSPNLLTSLGLIGDIDGGGASTSSAICDPSSLLLPLNLPPQPPLLRELFHSFPHGYGLRNLRSNNNTSFFNGLEETDQGLYQENGETRPFQNGIFEFSGGMNDIAKNRDGIKETKHFATERQRRVHLNDKYKALRSMVPNPSKNDRASIVKDAIDYINELKRGVNELKLMAEKKRCNKDRIKRQKTEGGTTISMDGSDAKQIMDEVEQSYNGNSLRSSWLQRRSKNTEVDVRIVDDEVTVKLVQQKRINCLFSASKVLDDLQLDLHHVAGGLIGSSGTGSLFSLGHDQEEVTGNLYHDGSFHELTGDMMINSAAIKKRILGKDIKHHASEKQRRVHFSDKFQALRTLIPNPSKNNRATIIADAIGYIDELKMRVNELKVQVDIKKERIKRRRSMVEEYGAVIMEDNQDDQQVMMNKSTNWHHQIKSSKNSNTEVDVRIMEDEVIVKFVQHKQMLKGVNCLLLVSKALDELQLDLQHVAGGLIGDHYSYLLNSKICEGCTVYASVIANKVIDVLDKEHADIN >Solyc11g012700.2.1 pep chromosome:SL3.0:11:5469267:5474170:1 gene:Solyc11g012700.2 transcript:Solyc11g012700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKSSVQPPPLSSADGGGGGGKLNGDASSTNDERCSVEEVALVVPETDDPSLPVMTFRAWFLGLSSCTILIFLNTFFIYRTQPLTISAILMQIAVLPIGKFMAATLPKKSFAIFGRWGSFSLNPGPFNIKEHVVITVMANCGVSIGGGDAYSIGAITVMRAYYNQSVSFLCSLIIVLTTQILGYGWAGMLRRYLVDPVDMWWPSNLAQVSLFRALHEKEPKTRGFTRMKFFLIFMAASFAYYALPGYLFPILTFFSWVCWAYPHSITAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVTPWSSILNVAVGFIMFIYIIIPLCYWKYNTFDAQKFPIFSNQLFTASGHKYDTTKILTPQFDLNIAAYEKYSKLYLSPLFALSIGSGFARFTATLTHVALFHGSDIWKQSRSAVKNVKMDIHAKLMKSYKQVPQWWFLALLVGSIALSLLMCFVWKEDVQLPWWGLLFAFGLAFIVTLPIGVIQATTNQQPGYDIIAQFIIGYILPGKPIANLLFKIYGRTSTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTLVAGTINLAVAWWMLGSIDNICDVEALHPDSPWTCPKFRVTFDASVIWGLIGPERLFGPGGLYRNLVWLFLIGALLPVPIWVLSKMFPEKKWIPLINIPVISYGFAGMPPATPTNIASWLITGMIFNYFVFKYRKEWWKKYNYVLSAALDAGTAFMGVLLFFALQNEGKNLKWWGTELDHCPLATCPTAPGIIVQGCPVFN >Solyc04g081050.2.1.1 pep chromosome:SL3.0:4:65185838:65188246:-1 gene:Solyc04g081050.2 transcript:Solyc04g081050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRGSRSEKVKRIFQQFDGNHDGGLNREEMAALVVAVNPRVKFSEEQINAILDEVFRTYSEFIDGEKGLTYEGLLRTYDDGAGDVDRDFDALGLELKPEDNAGTSIAAEEASSSSIADERVMEPHKKQRTAAWAASPNHGIVFDDTWKLVDDLEILIKRLKSKQSKDGKLKNDNFDVYSEGWSRELGPSTEITDKRVNWEETGHDYAVFVKELGVLRSRADGSRSREEAFDGHMAIGRVLYDQHLFKEALVSFKRACELQPADVRPHFRAGNCLYVLGRHSESKEEFLLALEAAEAGGSQWAYLLPQIHVNLGIALEGEGMVISACEHYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIYMKSDYADAHCDLASALHAMGDDDNAIKEFQRAIDLKPGHVDALYNLGGLYMDMGRYQRASEMYTRVLSVWPNHWRAQLNKAVALLGAGETEEAKKALKEALKMTNRVELHDAVAHLKQLQKRKLKGNGGGNGEEAFIIVEPSKFKSVGEKTTLRADLSTALDIRSFQRITRLNRCDVDQIKKEMNETVVPMSYSGGVPEKSIRKASLEEILHRLLKFLKPETFIGAVKAINQKVLSVLDESESGRLDLGMFFAVLAPVCGGSPDKRKRVAYEALLWRPVNEGSNQIRKTDAQRYIKLLRAIYIPSQGASEMLEIHGEMDTSLVSLAEFTAMFDDPDWGFGIMSTLLKLETGDRNRHGSHVCATCRYPIIGSRFKEIKSHFSLCSQCYSEGKVPPTSKQEEYRFKEYASEAEAVKDKCMWFGIHSKSSSSPTAS >Solyc05g015050.3.1 pep chromosome:SL3.0:5:9762466:9769350:1 gene:Solyc05g015050.3 transcript:Solyc05g015050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLRLKHKKGASVQVEYVIQVDEIKPWPPSQSLKSVQSVLLQWENDGQNSGSVVSTVGDGTIEFKDSFTLSLTLCREKKAHDKFQKNFLDFYLYELRKDKTTRGQLLGTSVINLADFGLIEEVVSIYTPVSCKKSSKSSEQPALFVSIHPTERGSSSSSQIGVSREGDGQESVADSVNGRNEDDDEIASFTDDDESSHSSQNVAEAARFSPSQQGKVAHEFITDNVLRDNPERDILLGMDSAAMLMDSTSRSSRNVAPGLSSSISLNRENYVSNTTSLSKFSERSMTSIQKKSASQVAGSSSSLQSYGNKNVKASTSVTALEQQSLMYDVQEDNADKKGLPKDGIKLSAENGRVHRFASNTSYLDESNEDNTDPADSYSDRCQDFRDKPKGHTGSTILKDLHVDMVNGKGMELLEIDQDEGSLKEIPHFSEVKSGRKHSFLKGDTLNSNKVLGLQGSSITNGKSKHANRSGLPGNSQNLEKSAKQHVSEDARSNGKGNKPMNGSPDRKNEGKSRIETLEEELREAAVVEVSLYSVVAEHGSSAHKVHAPARRLSRFYVHACRAKSRAKQAGAARAAVSGLVLVSKACGNDVPRLTFWLSNSVMLRAIVSQAAGGRREDDRPYAESNMGKTSLNGRSLKKGNEVSFNKGVNDSLTEELSDWEDIETFMLALEQVEAWIFSRIVESVWWQTLTPHMQNTAANSGGRSMSSSVKKTYGRRSSLGDQEQGNFSIELWKKAFKDACERLCPVRAGGHECGCLPLPARLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPIFDSKVLPIPAGKSSFGAGAQLKNAIGDWSRWLSTLFGIEENDASGDNEDKAPGPAKPFRLLNALSDLMMLPFEMLADPQTRKEVCPILGPTLISRVLSGFVPDEFCPTPVPPEVLRALDSEDAEDTPEESISTVPFTASPTTYLPPSVRSIKTFLGETGNQSFQRSSSSVLKKSYTSDDELDELDSPLSSIVADRFRGSPNLAKINLIAKGRGDRKVVRYQLLRQVWRAEEQ >Solyc01g073745.1.1 pep chromosome:SL3.0:1:81002491:81003562:1 gene:Solyc01g073745.1 transcript:Solyc01g073745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYIFKNKSESAETSIRILNKSICWAALIFNTVTLPTLTTETNLLLCKHYLSSIGAELQEFHFSLTRKEDYGVAVVYQETAVKCRTVRKVKRKLQLSFEGSK >Solyc11g043020.1.1.1 pep chromosome:SL3.0:11:33988380:33988556:-1 gene:Solyc11g043020.1 transcript:Solyc11g043020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDAIIWGYLLSACRSHGNMELERWLADNFLEFDPYEISGYVLMVNMYATSGLFSRS >Solyc01g103930.3.1 pep chromosome:SL3.0:1:92302165:92308665:-1 gene:Solyc01g103930.3 transcript:Solyc01g103930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4B1W8] MMRSTVPSLTLLSFLAALLLVSPALASESDHKYQSDDPVTLWVNKVGPYNNPQETYNYYSLPFCHASGSHKWGGLGEVLGGNELIDSQIDIKFQKNVDKGSICELELDEAKVKQFKDAIENNYWFEFFIDDLPMWGFVGEQHPDRNSDNKHVLYTHKNIHIKYNKDQIIHVNISQEGPKPLEAGRTLDMTYSVKWEPTNISFARRFEVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDASEESGWKLVHGDVFRTPLNLVLLSALVGTGAQLALLVLLVILLAIVGMLYVGRGSIVTTFIVCYALTSFISGYVSGAMYSRNGGKSWIKSMILTASLFPFMCFGIGFVLNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNCSGAPNNPCRVKTIPRPIPVKKWYLTPSVISLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIVVTVCVTIVGTYFLLNAENYHWQWTSFFSAFSTAVYVYLYSVYYYYVKTKMSGFFQTSFYFGYTMMFCLGLGILCGAVGFLGSHLFVRRIYRNIKCD >Solyc05g013280.3.1 pep chromosome:SL3.0:5:6376201:6389230:1 gene:Solyc05g013280.3 transcript:Solyc05g013280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKECRDAIGTINLVKGQHLDRRTTNQLEDAIKHLTHVAVFLTNLEKRHPANGISVHLRPLFLEAHDGFSLMCSHPPRSQFTVKLDNIAEKFKSAKASRSTRQVIPELLQIIEPENIAKRIKASKPSRSSSPITVDMVGFIESLLGSVHRALFFISAGPPVSMLDKKLRHLQVFFRLISKRGIEHESMKDLFYHVEDVAYTAAQLCVLGSSCHMDNEFSKLLERISRPFSPGLRLVYLNALIGLNSSRSKTTMNAKYMLDFVSALQDDLRLRCDNRIRWLQRGLSYLCRFLRDIESYPVSHRQLISLQLNMEDLAIGSANAIYSYDEDMDKTSEIDHELFHLQMKFNYVKVEVDLIRLQNIQGTIIVPMKDLIDYVWEELMFFRSYFMDAFDQCKEQTRITVILNYIQSAVSQAWSVCDSLCHDLNQNDLAREINCLHFQLLLKFKFIKVAIRQMCPSISASSTPDHPMIDLLNFLPMNFEAIDSYSSMLKASFPSSPHRPNRDAESPNTSFLCGPNTDVYSFYSSSSRIPKMDEILKRFHEYILVNLLRKDETNLTFTIADEVKKFYDGLLLMVTYLIEPPVPHTECRKQNDLSMRHEAVAIEAESAVCLHYEDNMNNNRCREINQVLQFLTVTFWLIKSEGNLMDLLKHKSTLGNQVLDLIESAHEELILLRSILMDLLRKKLYRLDDLLMHAEVTAKRLAIFSGSCYEYFMNGSSTEKMRPLLSDFLQEIESVKVEFRNVCLQVLDISPFSLTDGEGLVNFLLKNQAKVPNDDAVSSDGSLEDASSTEKMGLPSDFLREIESVEIKEARKLYDQVLDATHCETSKTDGKSFINIMLTQQDKVLDYDAGSVSYLLNQISVVKDKLLHIGSLLVDIVQYRNMHIELTDLAERVQDKNYICFFSVKGYIPAWYYTLYLSDVKQLLKFVEAEVKIICLKVPDSSSYSFPKTNGLGYLNCFLGKLEELLRSKLDLIIDLKHQIESVKEGLLCLRSFIDHFSESYDEHDEACGLIARVSVMAYKAEYVIDSCLAYSHPLWYKVLWISEVLENIKLVNKVVGETCERRNTEVTVHEVAKTTTNVAPSFSAYTQRANEEMEGFQDTIDELKDKLLGGSPELDVISIVGMPGLGKTTLAKKIYNDPEVTSRFDVHAQCVVTQLYSWRELLLTILNDVLEPSDRNEKEDGEIADELRRFLLTKRFLILIDDVWDYKVWDNLCMCFSDVSNRSRIILTTRLNDVAEYVKCESDPHHLRLFRDDESWTLLQKEVFQGESCPPELEDVGFEISKSCRGLPLSVVLVAGVLKQKKKTLDSWKVVEQSLSSQRIGSLEESISIIGFSYKNLPHYLKPCFLYFGGFLQGKDIHVSKMTKLWVAEGFVQANNENGQEDTAQGFLDDLIGRNLVMAMEKRPNAKVKTCRIHDLLHKFCMEKAKQEDFLLQINSGEGVFPERLEEYRLFVHSYQDEIDLWRPSRSNVRSLLFNAIDPDNLLWPRDISFIFESFKLVKVLDLESFNIGGTFPTEIQYLIQMKYFAAQTDANSIPSSIAKLENLETFVVRGLGGEMILPCSLLKMVKLRHIHVNDRVSFGLHENMDVLTGNSQLSNLETFSTPRLFYGKDAEKILRKMPKLRKLSCIFSGTFGYSRKLKGRCVRFPRLDFLSHLESLKLVSNSYPAKLPHKFNFPSQLRELTLSKFRLPWTQISIIAELPNLVILKLLLRAFEGDHWEVKDSEFLELKYLKLDNLKVVQWSISDDAFPKLEHLVLTKCKHLEKIPSRFEDAVCLNRVEVNWCNWNVANSAQDIQTMQHEVIANDSFTVTIQPPDWSKEQPLDS >Solyc02g087090.3.1 pep chromosome:SL3.0:2:50250750:50252573:1 gene:Solyc02g087090.3 transcript:Solyc02g087090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDGSVIYGSNVEILKKVRTFKDGKLKLSENGLLEQDENGKIISGDVRNTWAGFVTLQALFVQEHNLVCDVLKVQLEDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLFAAMRTNWYGLLGKKFKDTFGHVGGLKKPENHGVPYSLTEEFVSVYRMHQLLPDKLQLRNIDATSGPNKSIPLTNEIPMGDLIGGKGEENLSRIGFTKQMVSMGHQACGALELWNYPIWMRDLIAQDVDGTDRPHHVDLAALEIYRDRERSVARYNEFRRRMLQIPITKWEDLTDDMEVIKTLHEVYGDDVEQLDLLVGMSAEKKIKGFAISETAFFIFLLMASRRLEADRFFTSNYNEETYTKKGLEWVNTTESLKDVLDRHYPEMTDKWMNSNSAFSVWDSSPQPHNPVPLYFRVPKH >Solyc11g018690.2.1 pep chromosome:SL3.0:11:8958514:8967929:-1 gene:Solyc11g018690.2 transcript:Solyc11g018690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITSKEATTITMNATTLMDEETNKDFPFIMSSSTYPITLKFMDISYRIKLDNKSTSGGSNNFIKMFSSSSGPTSSSDIENQTAVIHQERTILNGITGMVSPGEILAVLGPSGSGKSTLLNALAGRLHGHTYTGTILANNRKLTKQVLKRTGFVTQDDVLYPHLTVRETLIFCALLRLPNSLNRKEKITVTDSVIAELGLNKCEDTIIGNSFIRGVSGGERKRVSIAHEMLINPSLLILDEPTSGLDATAAYRLVSTLDSLSKKGKTIITSVHQPSSRVFQMFHSVLVLSEGRCLYFGKGNEAMSYFESVGFSPSFPMNPADFLLDLANGVCQFDGVSEKDKPNVKQTLISTYNNVLAPKVKAACLESTNIVPKEIMNKGTYTCASSKRSCISNWFNQFSILLQRGLKERRHETFNYLRVFQVIAASLLAGSMWWHSDYRDIQDRLGLLFFISIFWGVFPSFNAVFAFPQERAIFMKERASGMYTLSSYFMARIVGDLPMDLILPTLFLTITYWMAGLKPQLLAFLLTLLVLLSYVIVSQGLGLALGAIIMDAKQASTVITVTMLAFVLTGGFYVHKVPACLAWIKYISTTFYSYRLLIDVQFGEGKEISDLLGCSRIQGSDRANCKFIEQDIIGQIHPSMSLGILLIMFVGYRLIAYLALRRIRA >Solyc04g080490.3.1 pep chromosome:SL3.0:4:64736924:64739066:-1 gene:Solyc04g080490.3 transcript:Solyc04g080490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGGGNEEKEMRISGFQNIDLVSPGGAAPDDIVSAAPHNNSTTGSNKLNKGTSTVRGRYRECLKNHAANIGGNVTDGCGEFMPSGEEGTLEALKCAACNCHRNFHRKEIPNNVGAGVGGGVGGDNNNNAGIMVVHPLQLPQPLPSPIPSLNHHHHHHHQHGGRSIWTTMPPQPVKMAFGGSGGGSGATDSSSEELNFNTYHHQQATSVPPQQPFMLAKKRFRTKFSQEQKEKMLEFAEKLGWRIPREDDAEVQRFCSQVGVKRQVFKVWMHNNKNPSSAKKNIIQEDQP >Solyc03g095720.3.1 pep chromosome:SL3.0:3:58324830:58329216:-1 gene:Solyc03g095720.3 transcript:Solyc03g095720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKELIELFDAVKRSADAAAVDGGADSSPEEDRCLDALKRLKKFPVNYQVLVSTQVGKRLRTLTKHPREKIQMLASDVVKNWKTIIVRETMKNKNGNEVNGESVKAECAGDDGDEGNKFQRLNSVKVEKVARVENVTVERSSKSLTLKSERAVKAESSFTDVKSENVSVMKTENTTSKSVKIEKKPKDEKLRSGASAAPPKLSALVYCKDPVRDKVRELLSEALCKVSVEVDDDDLRDAVNGSDPYRVAVQVETAMFEKWGKSSGSQKFKYRSIMFNIKDPNNPDFRRKVLTGQYSPHSIVDLSPEEMASDERQKENKKIKEKALFNSQLGGPPKASTDKFKCGRCGKNQTTYYQMQTRSADEPMTTYVTCVNCDNRWKFC >Solyc11g071960.2.1 pep chromosome:SL3.0:11:55566627:55572647:-1 gene:Solyc11g071960.2 transcript:Solyc11g071960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:K4DAQ3] MSENPLQLLFKTYEKVSNSIQTQLAQFIGVVPNVHSSHNNNDNDQHSLSLLSSSSSSKNQLASAMLLQHSELPKKEKSSGPVTKEELGRATWTFLHTLGAQYPDKPTRQQRKDVKELMAILSRMYPCSECADHFKEVLRANPVQAGSQAEFSQWLCHVHNVVNRSLSKPKFPCDRVDARWGKLDCEQRACDLQGTDKFWF >Solyc01g091530.3.1 pep chromosome:SL3.0:1:85023730:85025737:-1 gene:Solyc01g091530.3 transcript:Solyc01g091530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLHFFLFTLLTVSVHAHNITEILNKFPEYSVFNSYLSQTKLADEINSRETITVLALPNGAMSAIVDKHPLSVIKNVLSLHVLLDYFDGTKLHKISDGTTTSTTLYQTTGNAHGNIGSVNITDLKGGKVGFGSAIPNSPLASTYTKSVKQIQYNISVLEVSAPIIAPGILTAPAPSGDFNITGALEKAGCKTFASLLVKSGVLKTYQTAIEKGLTIFAPNDEAFKGAKVPDLSDLSSADVVSLLQYHAIPSYTPIGTLKTTKDPISTLATNGASKYDLAVSTEGDQVTLDTGVDSSRIASTVIDSTPFCIFTVDTGSPADSPTADSENSTAKKNAGNVNTPALLKALLTVSVS >Solyc04g082970.3.1 pep chromosome:SL3.0:4:66510440:66517175:1 gene:Solyc04g082970.3 transcript:Solyc04g082970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKEITTLEIEIMRLERYLLSLYRTALPLVLENNQKIKISSPTQCTTHQSYSDVEMDTCADLISAAHALAGEKLTDSAHRSLADHLTASRMDDLLSYPDRLSEEIVKCISCIYCKFANPNILAPKGLSVSSTSSLSSSSTFSPRNLSGSWSSHHNEESTEQYEFEVIEILKICLDDDSFNYATTMLHKFRTLVKSLEKVDPRNMTREEKLTFWINIHNALVMHAYLAYGTQNSVRSSSILKAAYNVGGHCVNAYVIQSSILGIQPHYSAPRLRTLFSPGKKFATGNCRHTYAIEYPEPLVHFALSLGASSDPAIQVYTAKNVFQDLKVAKEEFIRATICINKDKRIYLPKIICYFAKDMSLSTDEVVETIMGSLPETQMKLVRSCMKEYRADKLLYWLPQSWTFRYLIQKQVIQGDYSFNCQY >Solyc09g092200.2.1 pep chromosome:SL3.0:9:71825839:71827263:-1 gene:Solyc09g092200.2 transcript:Solyc09g092200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDYQEENQEQTLKEEENSSSTPKEEKLKPNKSNGLDMENYSWGQSLQEVTINVPVPPVSILFTLGTKSRFIIVEIKANTLKVGLKNQPLILDGEYFKGVKVDECYWSLEDQKEISILLTKQNKCDWWKSLFKGGEEIDTQKVEPEPSKLSDLDTETRAAVEKMMFDQRQKQMGLPSSEEIKNQDMLKQFMKQNPHMAKNFGSNSKMMMPNSRMMV >Solyc10g047610.2.1 pep chromosome:SL3.0:10:41409101:41413681:-1 gene:Solyc10g047610.2 transcript:Solyc10g047610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKFGMGKLDFAEIPIVDDDPQFPSSSSPNLDCTLEKRVNSQWVEQVNVLENLAMKMPSYDDRMGSSTRLYVENLSSRNSSHDLERELSKYGR >Solyc04g008250.1.1 pep chromosome:SL3.0:4:1926326:1930683:1 gene:Solyc04g008250.1 transcript:Solyc04g008250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFFPKKIITMNFKYVIIFFFSFGYCFLISCAEFPYFNQSLKDDGSLSFLVIGDWGRKGLYNQSELAIQMGEIGEKLKIDFVISSGDNFYEDGLNGVNDPTFQHSFTNIYKAPSLQKTWYNVLGNHDYRGDVEAQLSPILREKDKKWFCLRFYVLHAEIVDFFFIDTTPFVNDYFTNPKEHTYDWRGVLPKDKYLNDQLKDLDLALSKSTAKWKIVIGHHTIKSAGHHGIIMELAQQLVPILEVITYNLGIIHILNSKLGFKF >Solyc12g008800.2.1 pep chromosome:SL3.0:12:2142688:2145156:1 gene:Solyc12g008800.2 transcript:Solyc12g008800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKCSHCGYIGHNSRTCSTLKSAISGSNFNGGLRLFGVQLDISNSCFSSHNNNNNNNLKKSFSLDCLSLTNSHLLLLSSSSSPSLNENSSTNSIDNNGYLSDGTLVGCVGERKKGVPWTEEEHRRFLNGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQSSLNKKKDVQVSSIWQGATTNM >Solyc09g065300.3.1 pep chromosome:SL3.0:9:63587011:63592707:-1 gene:Solyc09g065300.3 transcript:Solyc09g065300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIATQSLSSSVLLPLLCLTPPVHRRVARSQLSDIEFSSKLPSITSLSSHKFCKRTRTSTVRGINSNDPKESAFFNENGVVEDMDSYLNYLSLEYDSVWDTKPSWCQPWTITLTGTVIISLSWLILHSAVATAVVTTTIAAWWYIFLYSYPKAYSDMIEERRKRVTNGLEDTYGDRKRE >Solyc02g091270.3.1 pep chromosome:SL3.0:2:53255257:53258842:1 gene:Solyc02g091270.3 transcript:Solyc02g091270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANINNKDEPSTAPQPDRWYNLSLGSSFKDHQPSSKFCTLRYEFKPASIDKNQPGKLHKTKDNKISVEFQNNQPGKPKVVFDGSSEDYKENDAVLFFDGESFRLERLHRAVKRLRYNRLPGESAAAVAPGPSRSPAPSPIGMPVETRSPPVAKGAKFQSLNKPAVPVPAVQVEVERIEVGDFRSSDSRPKNEKIAEHPPSHANHSTASPDMKYDDLDEQLDILNDDEDDTTAANGGNITVEEFKTGIDINIPHQNDTDDEIADVDVSDDDEDKGRNAAEELRAQVNAEVKENHTSSSSSSSSSDSSGSGSGSGSGSGSDSASSSSDNESSDTVNSI >Solyc08g036640.3.1 pep chromosome:SL3.0:8:10547251:10548057:1 gene:Solyc08g036640.3 transcript:Solyc08g036640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNCNLELTLMPPSISDNFSSKNCTTEDQQLENKQSQQLTIFYHGKFVVSDATELQAKAIIYLASRGMEMKTNKMSEPSSPLLQPQTVKKSLQGFLQKRKKRVQATSPYHK >Solyc06g072350.3.1 pep chromosome:SL3.0:6:44764974:44766313:1 gene:Solyc06g072350.3 transcript:Solyc06g072350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C8V5] METPRAMAILLLRLVTMLLCGASVPIMITNSFQLSGGEKTKYSDVKGYRYVVAAALVGSIYSLIQLPFALYYAVTGKRVFHGNFLGRLDFFVDKVLSFFLASGVGVGFGVSSELKRYVNGFVDTIETTGIDTFEELRKKSLIFFDRGHLATTPLLAGFGTMAVLTIITSYHRK >Solyc01g106530.1.1 pep chromosome:SL3.0:1:94272629:94273759:1 gene:Solyc01g106530.1 transcript:Solyc01g106530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKVKKEKNQISPKKETNTSPRPWPNLPKEITSLISKEHILMENISFGGVTKSWRSAKSKSCSPRGKSLWPQLTEIQQNTNHSHCFHIWFYTGEYWPYGRRWRTWKDPWIYFKGHSHGQLIAAGQYPDDIFLWKSYTGSYCKLPNWDTCVPFKFAALSTYHPMDLKGHGKTCITMVLTGASSPAFVFCKMGVGKECVWKKQDCIVTDPFGSEIDSSLSVTKISLSRAVPSIISRQFKGILVESEGEILSIFLISKRSIKKVDDVEIYRLDIPKLLWVKMESLGHRTLFVEEECCMWINANKLGCRSNCVYFTQQIGENWWLFDMQEQAISPVPQSSLFGIETLMEN >Solyc05g015866.1.1 pep chromosome:SL3.0:5:12601669:12614179:1 gene:Solyc05g015866.1 transcript:Solyc05g015866.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVHGHEDYVKKKDQIILNRLVDHGVIHGTSSMPDFSSIHRSSVLFMVVVGNPHILECSLEGVLHKEIAFLTQGTTAVSTYFSKLSDLWDEFDALIPPPTLNDSYSRAKSQVLMMQPLPSLNQIYALIIQEESQRSLTSVMPEDNCDYCRKFGHTRAVCKSLLGQFNARNQYNRTCL >Solyc05g005420.2.1 pep chromosome:SL3.0:5:326556:328271:-1 gene:Solyc05g005420.2 transcript:Solyc05g005420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPKQNCKIFQRRSMSELASKARKSTTSDDLPDCILHNILEFMPIQDAARTSVLSKKWIPIWSTLPYLVFGPLFFQYVSNIGASAATVIHKILMQHTGNIMGFHLISSTCKLAQSDVDQCIIFVSEHGIQKLTLDMANDENYLLPDRIFTCATLTQLKLSRCVFKLPGGTQYPNLISLQLEHSKIADHRGSEDTLNLSMLENLELRFCVGVDFVNLVCPKLDNMSIVSSYTITFECSNVNPIFARIKHLCLNGTSLEELQTVYMKEMLRRPLKLQSLKIFDFKISVESISCTFYLLRNSPNLHEIEIDEVVKVDETLKDSTKLLFYLSMEEDQVNEALKLIQIVRLRKFKGSSTEMYLIRVLISHSKTLERMIIEQCDNGNATSFEEQLSELLSCITRASPKSVVVKYSRMHRSLLCITTFMV >Solyc07g040840.2.1 pep chromosome:SL3.0:7:50404100:50408713:1 gene:Solyc07g040840.2 transcript:Solyc07g040840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMLEAEKKKKEDSKRNEKYSGEDKGDSSKKILQNRRKQEIIYGNKGSNSQLSLKEMKDADNEKQIIHAEFESLGEDNPLDDYNATLINNNIDNTCLYPLLQACEELESEKFNERNESNIRWDQEDSFEKEHPDQQQEGNIDGI >Solyc04g077410.3.1 pep chromosome:SL3.0:4:62399494:62404003:-1 gene:Solyc04g077410.3 transcript:Solyc04g077410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:Q4LDM2] MLKMLSKMAMCKSHGQDSSYFIGWQEYEKNPYDPLQNPSGIIQMGLAENQLSFDLIESWLTRNQDVIQFRENGGSMFRDLALFQDYHGLQAFKNVLVSFMAEIRRKKVKFDPKNLVLTAGSTSANETLIFCLAEPGEALLIPTPYYPGFDRDLKWRTGAEIVPIQCYSSNNFRITESALEEAYEQAQKRNLTVKGVFITNPSNPLGTTMSRNELNILITFAMTKNIHIVSDEIYAGTVFDSPKFVSIIEALIDRKLEKSKMWNQVHIVSSLSKDLGLPGFRVGMIYSNNETLIAAATKMSSFGLISSQTQYLLSKILGDRRFIKRYVKQNKKQLIHRREMLASGLANSGIECLDSNAGLFCFVDMRDLLNSNTFEAEMELWKKIISNVGLNVSPGSSCHCSEPGWFRVCFANMSKETLDLAMQRINNFVNSDRDIHRQQPLRFVTGAGSRRRRTIANWVVKFSSGDGRRDR >Solyc03g083350.3.1 pep chromosome:SL3.0:3:54608228:54615871:1 gene:Solyc03g083350.3 transcript:Solyc03g083350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIATVALSPVYEDHLNLASCLAGQYRFRSNDSILIFLTVGGSVIPLRVKESDSIAYVKSKIQTFKGFFVKKQKLVFDGKELARNNSRVGDYGVADGNMLHLVLRLSDIQAITVRTVCGQEFEFHVDRNRNVGYVKEQIAKKGRDFRDLREQELVHDGVELEDKRLINDICKSNDAVIHLLVRKSAKVQAQAVKTDFEVSVVASASNEKGSDAVEKLQERFQAVGFNTVPRSFILEPLIVNPKITPSPVVKQLIGSTFDGMARGHQPIRSSEGSGGAYFMLDSYAQNYVSVFKPTDEEPMAVNNPRGLPLSVDGEGLKKGTRVGEGAFREVAAYILDHPKSGPRSACSDEKGFAGVPPTVMVKCFHTGFNHAEGSECSSKSLKIGSLQKFRKNSGSCEDFGPRAFPVDAVHRISVLDIRLANADRHAGNILVQKDDMDGQVVLIPIDHGYCFPENFEDCTFDWLYWPQAQQPYSAETIAYINSLDAEKDIELLKFHGWTMSLACARVFRISTMLLKKGAERGLTPCAIGGIMCREALKKESMIEQIVEEAEESVLPGTSEAAFLQSVSVIMDRRLGDLIK >Solyc07g025100.1.1 pep chromosome:SL3.0:7:24431652:24433121:1 gene:Solyc07g025100.1 transcript:Solyc07g025100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDCIKCALFLLKNLMKQLYAHFLYSLKGQAEKEFKVEVEAIGHVCHKNLVSLLEYSVEGIHK >Solyc04g009350.3.1 pep chromosome:SL3.0:4:2786248:2793292:-1 gene:Solyc04g009350.3 transcript:Solyc04g009350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIELCNISSISIVRAKPSSLNEGNRRRRIACMASSVSVQEEESHQQKQGVNGGSFIRTHLLKLSPYQPILPFEVLSTRLGRKPEDIVKLDANENPYGPPPEVNEALGSMKFPYIYPDPESRTLRAALAEDSGLESEYILAGCGADELIDLIMRCILDPGDKIVDCPPTFTMYEFDAAVNGAGVIKVPRNPDFSLDVERITEVVEREKPKCIFLTSPNNPDGSIIDDETLLEILHLPILVILDEAYVEFSGMESKMKWVKKHENLIVLRTFSKRAGLAGLRVGYGAFPRSIIEFLWRAKQPYNVSVAAEVAACAALKNPTYLENVKVALVQERERLFNLLKEVPFLDPYPSYSNFILCKVTSGMDAKKLKEDLATMGVMIRHYNSKELKGYVRVSVGKPEHTEALMKCLKHFY >Solyc08g080750.3.1 pep chromosome:SL3.0:8:64078738:64080045:1 gene:Solyc08g080750.3 transcript:Solyc08g080750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIHPENISSPSSSSSCSSPYVTSNKEIFTIWMKSLVFHGNGCTVFNSKGELCFRVDNYQERCSNEVFLMDLNGQVLFSIKKEKLRVFGRWNGYLCGGFKGRPWFQVKKNCTFSRGNVICNVNLGYEKSIESCYKIQKLDKKSSFKVTNSTGEIVAELKQKQSSRGFAYGDDVLTLEVEPQVDQSLIMALVTICGLISRKL >Solyc04g051280.3.1 pep chromosome:SL3.0:4:50211740:50216883:-1 gene:Solyc04g051280.3 transcript:Solyc04g051280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQIVEWRIVEHTSLKDEEEEDEEDDEDEGKLKESNIRWIVNKGLGLGKKVMITGIVISSAPVVLPPLIVISALGFASSVPFGLAFASYTCIEKLMNTLLPRSEPPLLLEYGDMYEDDEQVGGKGPGFGGEMRMEEEEKKEMEDVKEGVQMRIELESGGYEGGQTPVEDEDVGRAEKEREINVDENVKEEGYEEDVGEYLEGENEGPLKEENLETERAREAENWEFDEKIKVTEGLDLVAKEARGENEGEKDLTLTPGLPDKNFVEVYVGDGVKEDSLGSSGVLEGNEDRKVTRPEIIEKPLVSKDERVDSLVRELQGTKTGGGPEVTSEENVIIEVTRKPNVSKSSKKHHKKKKASESKDVAISEKGEGLSNKQQEDTDVKIIGKKEEVKDEVKVEHDEKHLRVKRETKEGRDEVNQSGVSKKAKKVAEMDRTLPGSRDAGISKDGGQAANSTKDPKGASNGKHVAKDALRPLEGKDNLVAAKEVQRKAPDDRGNLPSERKGNTDRKENAYAGGVDQISGRAGIPEAKPVKESFSEEKIWEKMNAMRTIVGYTAATQPLLVDELKALYIFTGVEPPSMFSNPSTLEEVNDKLQFLMSIVGIK >Solyc01g021670.3.1 pep chromosome:SL3.0:1:32433634:32433995:1 gene:Solyc01g021670.3 transcript:Solyc01g021670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFNPAIELSILLLLPFLSSPSSLSLNLPNTTVYEILTKFNLPPGLLPSSVKSYSLSDDGTFEVLLDKPCYVEFEYLVYYDEKVTGNLVVAKRE >Solyc04g078700.3.1 pep chromosome:SL3.0:4:63476418:63480088:1 gene:Solyc04g078700.3 transcript:Solyc04g078700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLTDELSKRTSIFGLRLWVVLGICVGAAIVLVLFLISIWFTCRRNTSKKTLSVSAKKNPNIPKVSKEIQEIRVDPIRTLPENPKLLPAPAPAPIPEPDSFEEKTQNSDDYQRTLIEIGKGQKIANPGRVGQGGGSSHGSEEARTNEQAIIALPEVSYLGWGHWYTLRELEISTNYFAEENVIGEGGYGIVYRGVMEDNSKVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPCSPLTWEIRMDIILGTAKGLTYLHEGLEPKVVHRDIKSSNILLDTQWNPKVSDFGLAKLLGAEKSYITTRVMGTFGYVAPEYASTGMLNERSDVYSFGILIMEIISGRNPVDYSRPPGEVTLVDWLKIMVSNRNAEGVVDPKIPEKPSSRALKRVLLVALRCVDPNAQKRPKMGQVIHMLEADDFPFRDERRASREHGGRLYRDGAKERVMDKRVIESGDSSGYESSVQTNWSLVKKQETDDEH >Solyc01g007240.3.1.1 pep chromosome:SL3.0:1:1807067:1807751:1 gene:Solyc01g007240.3 transcript:Solyc01g007240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCCCNCIFSCVCACIFQILCVIIVIFSILILAIWLIWRPNKVYFHVSDASLAKFDFSPSTTTLDYDLNLNFEIRNSNEKIGVKYELLEAKSNFHQKKFATTNFELFSQDSKNTTTLHQEIKGQTDIQLGDREKSDYEDEKKNGAFNIYTVFNVQMRLKSGWITTGKIKFTVGCGLRVPLKSSNASAFQRTNCMVFPSWVS >Solyc12g011000.2.1 pep chromosome:SL3.0:12:3856594:3865251:-1 gene:Solyc12g011000.2 transcript:Solyc12g011000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:K4DCI6] MENGNSSSVARGRLAVLSAHLAASLNVSDLTTFKLLETSGVSSVSGVEPPRNLKGALTIIDERTGKKYPVQVSEDGTIKANDLKKITTGQNDKGLKLYDPGYLNTAPVRSSICYIDGDAGILRYRGYPIEELAEGSSFLEVAYLLLYGNLPSENQLADWEFTVSQHSAVPQGLLDIIQSMPHDAHPMGVLVSAMSALSVFHPDANPALRGQDIYKSKQVRDKQIVRILGKAPTIATAAYLRMAGRPPVLPSNNLSYAENFLYMLDSLGNRSYKPNPRLARVLDILFILHAEHEMNCSTAAARHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLKMLSEIGSVENIPEFLEGVKNRKRKMSGFGHRVYKNYDPRAKVIKTLADEVFSIVGRDPLIEVAVALEKAALSDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLSHWNESLDDPDTKIMRPAQVYTGVWMRHYMPLKERSPHSEADKLGHVSVSNATKRRLAGSGA >Solyc03g096840.3.1 pep chromosome:SL3.0:3:60644343:60646573:-1 gene:Solyc03g096840.3 transcript:Solyc03g096840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFFFFFFSVYCMAANLQSRGLVSLGKRVVNQISCASARTTANSPSLSGRRGVQTSVYDKNPEDHVRDSVVPDEVIEAQSDKYWAPHPQTGVFGPADTAGAERGFHSSQATAATAESILEQKAFFRPLEDLEKPMLN >Solyc07g021200.1.1 pep chromosome:SL3.0:7:16766350:16767226:1 gene:Solyc07g021200.1 transcript:Solyc07g021200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHYYLSGGFTANTTLAHICRDNGLLLHIHRVIHAVIDRQKNHGIHFLVLEKSLHLSGGDHIHSGTVVGKLEGVLHVASGGIHVWHMSTLTEIFGNDSALMFGGGSIGYPRVMQGNEIIREACKWSLKLAASCEVSYLILQIFIPLKARICDCGNYRVIGDEKEDPKFCEQCRVKFVDSRI >Solyc11g008640.2.1 pep chromosome:SL3.0:11:2823974:2839543:-1 gene:Solyc11g008640.2 transcript:Solyc11g008640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIRGRDTLELGGVISDVLDPFTRSINLSVVYNHREVINGTNLRPSQITNQPRVEVGGNDLSTFYTLIVVDPDAPSPSNPNLRELVTDIPATTGGMKLYVMSPRPSKGIHRIVFSLFRQLGRETVYAPNWRQNFNTRQFAELYNLGLPVAAVYFNCQRENGTGDVLDPFTKSINLRVVYNNKEIRNGCDLRPSMVVNQPRVEVGGDDFQTFYTLVMVDPDAPTPSNPCHKDYLHWLVTNIPASTGVTFVLFRQLRREIIYAPENRQNFDTREFAKLYNFGLPVAAVYFNCQRENGTGGRRI >Solyc09g059045.1.1 pep chromosome:SL3.0:9:53319538:53325410:-1 gene:Solyc09g059045.1 transcript:Solyc09g059045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINEVILLAKIIDPGSLVNCATNLPIIEASLVEGNPKGDVYSWSSKSILQVISNTQVKTTSITTTANWHRRLDHPSAPNHCYILNLLNISSSLHNTFYNTSIQSPAPLEYIYSDVWGDAPESTNFNVSHVSPLIKPSQLSPTTKNSTKSKQLSSLPKPVELHIVRRALKDPNWCATINAEISALQVKALGLLCNLSLMPNRWSLNGTNMEDTKLVRTPNACGSCPGSKDVSPLKDPTEYKNASTSCLRLQVVPRQPIGLWLNISLRYLAGTSNRGLYLRKESPLLLHAYSDSDWDGHRDDRSSSIVYVVFLGCNPISWSFKNNVLWLILPWRQNIGSLPLPLLNFVRCKSMISHQGSTVNSKRGSGFGVVGYRALSRIDHVRSLKVERLIVLHVSKEHIVLSEGNVCYRRFMFFFLRKMRASVHIKHRSFPFCPYLGVDPRFASFLSGLIWGAAEMVNVVFLYNDFFLSSSPYAILAHPRIRGYLKSAAPFEDRAFT >Solyc11g013087.1.1 pep chromosome:SL3.0:11:5946507:5949110:1 gene:Solyc11g013087.1 transcript:Solyc11g013087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERKGERTWNYPFKHFDSITTEMNHNQYRSQYIDIQQVSHLYRSPLILQHPQCQESHSCLSVALECEETQDHEKIHHSLVMNCGKRFGKR >Solyc01g104660.3.1.1 pep chromosome:SL3.0:1:92980809:92982990:-1 gene:Solyc01g104660.3 transcript:Solyc01g104660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSDNRGSSMPFASFRRSIMSIRSEQVHSVELNHDSTVQEIEQGVFQNLVATRFQELSVASGDEFLSIAWVRKLLDVFNSCQEEFRIVLSNNKELLVKSPQDKLLSDYFDRTIKALDICNAARDGTGKIRLWQKHLEIVVSSLDSRQNMIGDGQLRRTRKSLMDLAIVMLEEKETGTVLSQRNRSFGRHNKTKDHQRRPSGHSRSLSWSVSQSWSASKQLQSIASHLVPPRGHEIAATNGIANLVFTMNFVLLFVLWALVAAVPCQDRSLQIHFSIPRQFSWSNALWLLHGRIMDEAKKPERRNSNGLLKEIYKLEKCVHHLTDLIDSAQLPLTKDLMEEIEKGGKDLSAVSEVLKTGLTPLERNLKEVFRKIMSCRAEGLELLGSANQP >Solyc01g079690.3.1 pep chromosome:SL3.0:1:78656647:78674648:-1 gene:Solyc01g079690.3 transcript:Solyc01g079690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQIETNAAADGGGGGGGGGMVGGSSPPVDESQEQLEKTKTLICALNFLSRNLPIPPDVFDAVSSIYNSDANDVDVGDGDASPADVDSLSVQNGPGMGSYGDLMADLEESLLSQRSSYTSGSGLTKLKEDRFRSHIQHRLTELEDLPTSRGEDLQSKCLLELYELKLADLQQKVRSEVSSEYWLRLHCANPDKQLFDWGMTRLRRPVYGIGDAFAVESDDPLRKKRDAQRLSRIEEEERNRVETTKRKFFADVLNAARELQLQVQAVQKRRKQRNDGVQAWHGRQRQRATRAEKLRLQALKADDQEAYMKMVEESKNERLTMLLGKTNDLLGRLGAAVQRQKDADHDGLESLEGSDAEMAATKTDTPGQSLPEEEEDVIDDESTHDVKTNDLLEGQRKYNSAVHSIQEKVTEQPAMLQGGELRSYQIEGLQWMLSLFNNNLNGILADEMGLGKTIQTIALIAYLLENKGVRGPHLIVAPKAVLPNWITEFSTWAPSIVAILYDGRLEERKALREELTGEGRFSVLITHYDLIMRDKAFLKKIHWHYLIIDEGHRLKNHECALARTLVSGYRIRRRLLLTGTPIQNSLQELWSLLNFLLPNIFNSVENFEEWFNAPFADKCDVSLTDEEELLIIRRLHHVIRPFILRRKKDEVEKFLPGKTQVVLKCDMSAWQKVYYQQVTDVGRVGLDSGTGRSKSLQNLSMQLRKCCNHPYLFVAEYNIYRKEEIVRASGKFELLDRLLPKLRRAGHRVLLFSQMTRLMDILEVYLQVHDFKYLRLDGSTKTEERGTLLKQFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSIEEVILERAKQKMGIDAKVIQAGLFNTTSTAQERRDMLEEIMRKGTSTLGTDVPSEREINRLAARSDEEFWLFEKMDEERRQKERYRSRLMEDHEVPDWAYATPDSKEKGKGFLYESANITGKRRRKEVVYADTLSDVQWMKAVENGDDFFTQSSKGRNRDHQSVSNGELPSGNADSERTGHDLKPDTVSVASEATSEDTYGRTPKRFKSESASSMRNDYHDLTGHSVDGLSWKAHRRRRSSLIS >Solyc05g041235.1.1 pep chromosome:SL3.0:5:51620522:51622764:-1 gene:Solyc05g041235.1 transcript:Solyc05g041235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNWLQSITSLTTYSRASIIFCNEGSVSSSLSSLLISPSLDYSLASVSVSLGSPMLTRLVAPSLGNPSQEFAFSLALTTLLLTSHGYIFKDIRISLSHPALLFTDNIRALHLTVN >Solyc11g007420.1.1.1 pep chromosome:SL3.0:11:1746244:1746633:-1 gene:Solyc11g007420.1 transcript:Solyc11g007420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMEMLDLKRNEVANEENSSQYFPYNIYDTFNKGKEIVVDEEANDDEKKMARKLSLVALWCIQTNPILRPSMSKVVQMLEGEVEALEVPPQPLQSPPIVHQIMESSTTLSSDSIALLDHSVEVDIYAD >Solyc02g090240.3.1 pep chromosome:SL3.0:2:52497606:52500187:-1 gene:Solyc02g090240.3 transcript:Solyc02g090240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPLSEASSIAAVVINKCNSIISISKARQLHALILTSVPATSRSPYVFNNILSMYARCGSIQDSHILFDKMPDRNVVSFNALISAYSRYRHLAHWTFHLLAQLQSESLRPNGLTFTSLLNACSGIKNQKVFCYMYDKDSVAWNTLFFGYLENGKTVEGLQLFGTMLRTGVVPTNFTYTTLLSACSRLRDHLSGKLIHSKVIVSGTAPDLPLYNAMLDMYSSCGDSETALRIFRKMKKPDLVSWNSMLSGYATNGDGEKAMRIFIQFGQSSHFTPDEYTFAATISAAGALPAAECGIPLHGQVEKVGLGVSVFVASTLISMYFCNGEVESARKVFINILDKDVVLWTEMIAGHCRIGNAENSIKLFHEMLQEGHKADEFTLTSALSVCAEVATVRQGEVIHSLAAKTGYSADMTVCGSLVDMYAKIGNLAAADLMFSSVTMPNLICWNSMLGAYGYHGKAEEAFKMFNDILQHGLKPDHVTFISLLAACSHCGLVNHGIYFWNKMKENGLKPSLKHYSCMITLLSRAGLLEEAEHVIMESPFGVECLQLWRILLSSCVSKGNQIIGIRVAKQVLGMDAEDSATNVLLSNLFASTGRWGGVSEIRRKIRGLTLEKDPGLSWLEVLNNTHVFSSSDHTHPQYDEIRAELHRLLANLTQSEANQFILDAEAVEIGSSLHWFESE >Solyc01g010360.3.1 pep chromosome:SL3.0:1:5111047:5114498:-1 gene:Solyc01g010360.3 transcript:Solyc01g010360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESMKKVAKLDAELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNENNVKLIKGYRQKVEEELSKICHDILEIIDKHLIPSSGTGEATVFYYKMKGDYFRYLAEFKTDQEKKEASELSLKGYEAATATANSDLPSTHPIRLGLALNYSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGAEENSKADEPKSAEPPKKTEEAPQKTEDPPQN >Solyc07g066050.3.1.1 pep chromosome:SL3.0:7:67719223:67720034:1 gene:Solyc07g066050.3 transcript:Solyc07g066050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKDCHVIVEIPVDEEHQLKLSSGITTITAIQNHPLMEISKSPGHLLLLKLWQREEDLSGRRIAAKEARMDSIRREIFQICCFFFIFHALFFTILFTSVSEDHLDGKVMCQKWWIPSILSVCTSFCIVFLVQVKLYRFWKVSRQLQREKGDGRALTRCISELRMKGASFDLSKEPQIGKKMKSSSVEIKWKPLTWLSQYAVTICLICFTGLLFPASRLVLCA >Solyc05g051940.3.1 pep chromosome:SL3.0:5:63168425:63170691:1 gene:Solyc05g051940.3 transcript:Solyc05g051940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMADETLLPRVYKEVGKDLNIDPAGLGSLSLYRSLVQCLCYPIAAFLAASHNRANVIALGAFLWSGATFLVAISSTFAEIAISRGLNGIGLAIVTPAILSLVADSAHESNRGTAFGWLALTGSSGAVVGGTMSVLIAEMSFMGIPGWRISFHLVGIVSVLVGLLVYFFAEDPRFLDRDVNAKDLPLAEPFQEQLRELLKEAKSVIKVPSFKIIVAQGVFGSFLGTSLSFTTMWLELVGFSHKTTALISSLFVVSLSCGAVFGGFVGDVLAKHLPNSGRIIVSQISTGSAVPLAAILLLLSPIDPSTALLHGLVLFILGFCASWTGPATNSPIFAEIVPERARTSIYALDRCFETLLASFAPLLVGTLAQRVFSYKPITEGSSTSGEIETDRQNAASLAKAMYTAIGIPMVICCSIYSFLYFTYPRDRDRVLLQQIHESRNLPYEEQQPLLEHDEHRLLSVNSL >Solyc07g049783.1.1 pep chromosome:SL3.0:7:60297947:60309171:1 gene:Solyc07g049783.1 transcript:Solyc07g049783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVTPLEVFRSKQSESCLCLSNANHVALAGFDWKTRFDKLSWASHHLFWAFLGDLPMTKSSESNSCCKRELSCGKFNLYGEKVLNEEHDQGVEDFLDRFQSMFDQTLEISEYPSFDSTNTDDDNCPLKWMDGNCRGATYTARLEEVQVVAHSVVQEMKDITDFLRDRFPKIHAKKKKVHFSEPIKKIRTNYEFGKGSNSLLEYCKRHSPQIVEWRGVPSLEVDVNI >Solyc06g053540.3.1 pep chromosome:SL3.0:6:36425291:36426256:-1 gene:Solyc06g053540.3 transcript:Solyc06g053540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGNDFKIEKEKDLLKSHEDDGRWHWGRSRWRVWQSWCTSL >Solyc11g020476.1.1.1 pep chromosome:SL3.0:11:11216262:11216639:1 gene:Solyc11g020476.1 transcript:Solyc11g020476.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLDSSLGSIILADREGKRQKACISFYKELLKVEQKVYFLKKEVMLVEFNNHGSKSQEISKNFLLNTTFDRLIAPMKKLIVIPIFGMDGIDKKTLARKVYDNSYVCSPFDKHASVTISEDTIRDK >Solyc07g042440.3.1 pep chromosome:SL3.0:7:55701390:55704072:-1 gene:Solyc07g042440.3 transcript:Solyc07g042440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSVSVKHTLPSLLQYAQTPKKPISQNLTIVSRSSQSHFYGLEVLHSPSFSTPSSSRTSIVAKVNKGSAPPPFTLKDQDGKNVSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAQVVGISGDDIESHKAFAKKYRLPFTLLCDEGNKVRKEWGIPGDLFGTLPGRQTYVLDKNGVVQLIYNNQFQPEKHIDETLKLLQSL >Solyc02g085030.3.1 pep chromosome:SL3.0:2:48691987:48695785:-1 gene:Solyc02g085030.3 transcript:Solyc02g085030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKTEEDGGKLEATQSALSCEENERLETELQNCEKESEVGMTNLLPKDGCNSVCKGQDILEHGKDPTRRADAIGSTSSNEHLTNPSGAGIYIDKASDRLKKTAFEMGNHPDQRNGKLPECLAVNTNGFQSGSNQEVSEEKFTADNHSNHRNGTNAEGPRVNTKVVQSGTKQEVMEEKFTSQNIVREWATNAKKIGDALAAVLEDVEILSSVDQRAATGSSQKKERNSNKIITPEAQKTDERLKKEREIEEEYMRKLEEEREREREREKDRMSVTREALERSYLEARGRVERAAMEKSATEIRQRAMAEARERLEKVSAEARERSSAEQAAKGARLKAERAAVERATAEARQRAFEKTMAEKATQESCDRVERSSSEKFSAYSRSTEMRQSSSSEQHAHWSTETSKLRYSYSSARAGIEGESPQRCKARLERYRRTSERAAKALAEKNMRDFQAQREQAERNRLAETLDAEVKRWSSGKEGNLRALLSTLQYILGPNSGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIHQKYICEKVFDLLKEAWNRFNSEER >Solyc12g095790.2.1 pep chromosome:SL3.0:12:65892403:65896680:-1 gene:Solyc12g095790.2 transcript:Solyc12g095790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKKSSHVSDMGAWAMNVVSSVGIIMANKQLMSANGYAFTFATTLTGFHFAVTALVGMVSNATGLSSSKHVPLWELIWFSVVANMSITGMNLSLMLNSVGFYQISKLSMIPVVCIMEWVLHNKRYSKEVKMSVVVVVIGVGVCTVTDVKVNAKGFICACVAVLSTSLQQISIGSLQKKYSIGSFELLSKTAPIQACSLLVLGPFCDYYLSGNLLLDYKYSYGAIFFILLSCSLAVFCNVSQYLCIGRFSAVSFQVLGHMKTVCVLTLGWVLFESALTFKNIMGMLVAIAGMVIYSWAVEVEKQTNTKSPHASKNSLTEEELKLLKEGMEKADVEVGQSKA >Solyc11g064800.2.1 pep chromosome:SL3.0:11:50289462:50294719:-1 gene:Solyc11g064800.2 transcript:Solyc11g064800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSASASSRQMQINYGLQPAEMGMFVVHPASFHQNHENTINFDPHGNSNDNSNLSNTNTSLGVGVGVIPLLTATPLSNMVTFDDQDLVRNNRGGNNGGFQFFSNEQQQNSTTNYNTKNSTSSNNIILGGGGSISSTTCQDCGNQAKKDCTHRRCRTCCKSRGYDCNTHVKSTWVPASRRRERQLMGASTTTTTTNRNVVAAGSSSQSTSSAKKPRLVNSQTTTTASHTSTSNNTPPRSFDTSSSHQDASFKGSLPGQVRAPAVFKCVRVTSVDEGEDEYAYQAVVKIGGHVFKGFLYDQGLDEPKDNNNFPNLSDLHLGAVATNEHLYAASTSGGGGLLGGGSNYGNQIN >Solyc05g040100.3.1 pep chromosome:SL3.0:5:49932391:49935955:1 gene:Solyc05g040100.3 transcript:Solyc05g040100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFTEVAGGVGTLSELYWSSRRVLLKTRDGLEKLEHFEYTSSSSEFYFAPKWNVFGIPYLLNLNVISGKRLNMNSGIHRRKHNFHKPMKVELMADSLKDSVDKYNLRHRRRMQEVRERTELLGRVSADSSHVLRIFDDKAQAMQSARSSSRMLEETLATETGILSKYSEQRDRLKKAEKLYSLADGGLLPSHWANRWAMKDLWLLNLVMKQDNQMLALLFTLIKRTQRKALDVRNTVGISNSVIRLIEKRNRVDRWIKYAGMILTVIVLTFVWRWIR >Solyc08g074480.1.1.1 pep chromosome:SL3.0:8:58702881:58703276:1 gene:Solyc08g074480.1 transcript:Solyc08g074480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKHISLTIFLCFNLFFALVSSCGTCPKPKPKPKPSCPPPPYYPKETCPIDTLKLGVCADVLGLVNVVVGSPPVTPCCTLLSGLANAEAALCLCTALKANILGINLNLPISLSLLLNVCSKEAPAGFQCS >Solyc02g087120.3.1 pep chromosome:SL3.0:2:50260224:50265081:-1 gene:Solyc02g087120.3 transcript:Solyc02g087120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNFHNYNLFKEDKFRSPGFLLIYSLFLTVIFSCYMLHFSFSPNVNFITKQDSAPPTKSSHVTNHSQPAVHNNITSDCDLLNGNWVLEEKGNYSLYYTNFSCPTIPHSKNCLLNGRADEGFMQWRWKPEECTLPRFNAKTFLTFVKGKTMAFIGDSLARNHMESLLCILSSEESPRDMYKDVEDKFRTWLFPRHNFTLMVLRTEFLVFATERSINGSFTGGFDLHLDKLNGNWTQHLPNIDYAIFSDGHWFLRPNYLYENGNLIGCVYCGEAGVQDLGPGYAIRRAFEAAFNYINQCVECSGIVVLLRTFSAGQFEQGAWNEGGFCNRTRPFTRDEVKIGDQDWEFRNIQIEEIERARKDGEKFGNMFELMDVTRAMLMRPDGHPGAYWGNKWMKGYSDCIHWCLPGPIDTWNEFLQEILRRKFPNFH >Solyc01g009900.3.1.1 pep chromosome:SL3.0:1:4395715:4396077:1 gene:Solyc01g009900.3 transcript:Solyc01g009900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQNTRSRQRSSSSESMERVARLASGSAVVIFSSSSCCMCHAMKRLFCELGVSPMVYELDQDPNGKGMERALSKLIGNSPAIPVVFIGGDLIGSMDTVMASHINGTLVPLLKEAGALWL >Solyc03g091030.1.1.1 pep chromosome:SL3.0:3:55596856:55597185:1 gene:Solyc03g091030.1 transcript:Solyc03g091030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQASITLFLSLNLLFFALVSADCSTDILKFGACANILNDLVGVIIGTTPTSSCCSLIDGLVDLDAAVCLCTALKADVLGINLDISLSLNILLNVCGKKYPTGYTC >Solyc01g005755.1.1 pep chromosome:SL3.0:1:496501:499119:1 gene:Solyc01g005755.1 transcript:Solyc01g005755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKLVFFMLYSFLCQLAFSSSSSHLCPKYQALALLQFKNMFTVNPDASYYCEFSHPKTRSWNKSTDCCSWDGVHCDNTTGQVIELDLRCSQLQGKLHSNSSLFQLSNLKRLDLSYNDFTGSPISPKFAICNLKTFILLNLKSNNLEGTIPQCLGEMSELQVLDLSNNSLSGTMNTTFSIGNPLHIIKLDWNKLQGKVPPSLINCKKLELLDLSNNELNDTFPKWLGDLPNLQVLNFRSNKLYGPIRTNNLFAKIRVVDLSSNGFSGDLPVSFFENFEAMKINGENNGTRKYVADLYSDYYKNYLIVTTKGLDQELSRVLTTQIIIDLSKNKFEGHIPNIIGDLIGLRTLNLSHNVLEGHIPASFQNLSVLESLDLSSNKISGAIPQQLASLTFLEVLNLSHNHLVGCIPKGKQFDSFENSSYLGNDGLRGLPPSRDCGRDDQVTTPAELDQEEDSPMISWQAVLMGYGCGLVIGLSVIYIMWSTQYPAWFSRMDVKLEHIISKRMKKHKKRY >Solyc11g027660.1.1 pep chromosome:SL3.0:11:18769494:18770229:1 gene:Solyc11g027660.1 transcript:Solyc11g027660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHALRHSTVNAVQGNFLQSMPILRGPWQMPLGRGRAAYADPFERQRAESQWIVAVRPLCHIQYPVAYLSRLQRILPAAPWKLYFKAVTATLMLRQLSQRHVPLGAIGSYCGSASGRREHASLLVRILI >Solyc07g006270.3.1 pep chromosome:SL3.0:7:1061476:1069030:1 gene:Solyc07g006270.3 transcript:Solyc07g006270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDKFFVKELLVEFGLDLLVFVIQKALVLENRELYRSCCSPQIELKTAPADFRFPTTNQTRHCFTRYVEFHRCVAAKGDEAADCARFAKYYRALCPGEWVDKWNEQRENGTFPGPL >Solyc12g026360.1.1 pep chromosome:SL3.0:12:22744318:22745066:1 gene:Solyc12g026360.1 transcript:Solyc12g026360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHHGPCTTHTVGLRQAWQSFISLGKYTWSWNVIIMTSRMGCHHRLWTTYMVGRDRACYDIITKGKNTRSDDVACDMPSLPLDRTHSRTTSAWYVIIAVGQHIWLENTRANDVGHGRPSSLLNNIDRVECRRA >Solyc01g007010.3.1 pep chromosome:SL3.0:1:1567452:1572335:1 gene:Solyc01g007010.3 transcript:Solyc01g007010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQIPPYFICPISLEIMKDPVTISTGITYDRENIEKWIFSAKNNTCPVTKQSLITGIELTPNVTLRRLIQSWCTINASHGIERFPTPKPPISKPQIIKLLKEAKSPKMQMKSLKTLRSIAFENDANKRCMESAGAMEFLASIINNNSNEVFEEEEGFMSIKDEALSILYQLKLSENGLRSLIMSGNGGFIESLTRVMQHGSYESRAYAIMLMKDMFEVSTPTPLLSLKKEFFIQVVQVLRDEISQKAMKASLQVLVNACPFGRNRVKAAEAGAVRVLVDLLLDSSEKRVCELMLILLDQLCQSAEGRAELLNHPGGLAIVSKKILRVSKVGSERAIKILHSISKFSSTPSVVQEMLSLVKLRKELEKFSNFMQRHGGILLFSKNIQMEEIQIPPYFICPISLEMMKDPVTISTGITYDRENIEKWIFSAKNNTCPATKQALTCIELTPNVTLRRFIQSWCTLNESHGIERFPTPKPPVSKPQIIKLLKEAKSPKLQMKSLKRLRSIASKNDANKRCMESAGAMEFLASIINKNSSEVFEEEEGIMSIKDEALSILYQLKLSENGLRSLILSGNGEFIESLTRVMQHGSYEFRAYTVMLMKDMFEVSTPTLLLSLKKEFFTQVVQVLRDEISQKAMKASLQVLVHACPFGRNRVKVAEAGAIRVLIDLLLDSSEKRVCELMLILLDQICQSAEGRAELLNHPGGLAIVSKKILRVSKVGSERAIKILHSISKFSSTPSVVQEMLSLGVVAKLCLVLQVDCGSKAKERAREILKIHAKTWRNSPCIPNNLLSSYPF >Solyc10g085665.1.1 pep chromosome:SL3.0:10:64895409:64896586:1 gene:Solyc10g085665.1 transcript:Solyc10g085665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHSCMVIAIKVKKIYVFRLEGKVAVITGGASGIGEAATRLFTKHGAKVVVADVRDDLGSCSNNTISFTHCDVTNENDMENAINRAVSRYGKLDIMFSNAGITGNIKDPSILATDYNNFKNVFDVNVYGALLGAKIAAKTMIPTKKGSILFTSSVAVVGLTNHLAVELGKYGIRVNRISPYTVATPLVREILGKMDKEKLEPEDIAEAAVYLGSDESKYVSGVNLVIDGGYSKTNPFASIVMQNYI >Solyc02g078200.3.1 pep chromosome:SL3.0:2:43524368:43528259:1 gene:Solyc02g078200.3 transcript:Solyc02g078200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFKSNSEPGRVYTPEEKEYEAAEIGYKVIGPLQKSDRVFKPYEPVYAVIQIGSHQFKVSNGDSIFVEKLKFCEVNDKLILNKVLLLGSKTQTIIGRPVLPDAAVHAVVEEHALDAKVLIFKKKRRKNYRRTRGHRQELTKLRITDIQGVEKPEVVPILKTEKKDAKKVAVAA >Solyc07g017670.2.1 pep chromosome:SL3.0:7:7716247:7720602:1 gene:Solyc07g017670.2 transcript:Solyc07g017670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKLYDSAAKLRLPDFPAFALECLPNRNSLVYEDLYQKKHQPSSEEHSAMKVCKTPLTWEQLLLLFSQACGIYL >Solyc11g030600.3.1 pep chromosome:SL3.0:11:22339753:22356581:-1 gene:Solyc11g030600.3 transcript:Solyc11g030600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA (cytosine-5)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D7J6] MASPQPNSESVLELPNNDKSGHKKNKRKQDSVSKRKASATGKKEKKQAVSETIEEPTAGRKRPKRAAACSDFKEKSVHLSKKSSVIETKKDHCVDEEDVAIRLTAGLQESQRPCRRLTDFVFHNSEGIPQPFGMSEVDDLFISGLILPLEDSLDKVKAKGIRCEGFGRIEEWAISGYEDGTPVIWISTETADYDCLKPSGSYKKFYDHFLAKATACVEVYKKLSKSSGGNPDLSLDELLAGVVRAMTGIKCFSGGVSIRDFVITQGGFIYKELIGLDDTSKKTDQLFVELPVLASLRDESSKHETLAQPETISSGNGLRIGPKAGNGGDKIVESGLANGPAPEDEDLKLAKLLHEEEYWCSLKQKKDRNTSSSSSKIYIKINEDEIASDYPLPAYYKTSNEETDEYIVFDSGVETYHIDELPRSMLHNWALYNSDSRLISLELLPMKACADIDVTIFGSGVMTADDGSGYNFDTDANHSSSGGSRSAEIDGMPIYLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPLKQYAPWYEPVIKTARLAVSIITLLKEQNRVARLSFGEVIKRVSEFKKDHPAYISSNVDAVERYVVVHGQIILQQFSEFPDVSIRNCAFAVGLSRKMEERHHTKWVIKKKKVMQRLEQNLNPRASMAPSVKRKAMQATTTRLINRIWGEYYSNYSPEVSKEVADCEVKDDEEPDEQEENEEDDVPEENLDVPEKAHTPSSTRRHIKSRSDSKEINWDGESIGKTASGEQLFKKARVHGHEIAVGDSVLVEHDEPDELPSIYFVEYMFEKLDGSKMLHGKMMQRGSDTVLGNAANEREVFLINECMNLQLGDVKESIAVNIRMMPWGHQHRNTNADKLERAKAEDRKRKGLPTEFYCKSFYRPEKGAFFRLPFDKMGLGNGLCYSCELQQTDQEKESFKFDMSKSSFVYLGTEYSVDDFVYVSPDHFTAERGGNGTFKAGRNVGLMAYVVCQLLEIVGPKGSKQAKVDSTNVKVRRFFRPEDISSDKAYSSDIREIYYSEDIHTVPVEIIKGKCEVRKKYDISSEDVPAMFDHIFFCEYLYDPLNGSLKKLPAQINLRLSKIKLDDATSRKRKGKGKEGVDEVGELNETSPQNRLSTLDIFAGCGGLSEGLQHSGVTDTNWAIEYEAPAGDAFRLNHPKTKVFIHNCNVILRAVMQKCGDSDDCISTPEASELAAAMDESELNSLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYYRPKFFLLENVRNFVSFNQKQTFRLTVASLLEMGYQVRFGILEAGAYGVPQSRKRAFIWAASPEEVLPEWPEPMHVFAVPELKIALSETSYYAAVRSTASGAPFRSLTVRDTIGDLPVVGNGASKTCIEYQGDPVSWFQKKIRGSSITLSDHISKEMNELNLIRCQRIPKRPGADWRDLEDEKVKLSNGQLVDLIPWCLPNTAKRHNQWKGLFGRLDWDGNFPTSITDPQPMGKVGMCFHPDQDRIVTVRECARSQGFPDSYQFAGNILHKHRQIGNAVPPPLAYALGRKLKEAVESKNRLT >Solyc05g007030.3.1 pep chromosome:SL3.0:5:1617162:1626564:1 gene:Solyc05g007030.3 transcript:Solyc05g007030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLMLRSNQTAASEHSPARGVQSVPTHASKPSTTLEGLISEEPYTESEKRDGESDEFEDEDLADINEKNNSQFVANHMDVKEDEGWITIPKNKLPENWSEAPDISSICSLDRFFVIPGEQVHILACLSACKQDTEIITPFKVAAVMKQNGNTGITSGSVSPGEAVDDGSVSENGNANISPQKEVSTGENLLRLEDYKRQTESLVQRFNSSHFFARIAESDEPLWSKRKPMEEVSDMIGADDSDTVKTLKKKLSLSASTDKGNFDARTSGGVARNAVKCCALSNGDIVVLLQVNVGIEFVRDPVLEILQFEKYYERSLSSLNEDNLTYANQDPCGELLKWLLPIDNSIPPSARPLSPPQLSSSASIRSTSTKPVVSGSSGSQLFSFGNFRSYSMSSLPPNSAPPPSVTTSTTGPSFNPEDWERFSFQRSVKSEKIGSEGLLSFRGVSLEPERFSVRCGLEGIFIPGRRWRRKIEIIQPVEITSFAADCNTDDLLCVHIKNVCPTHAPDIVVYIDAVTIIFEEASKSGPPLSLPIACIEAGEDYSLPNLALRRGEEHSFILRPVNPILKSSNGHSGKTFRSSRVHSRSASSSWHHLPIIEERNIGSPTDKYAVLVSCRCNYTESKLFFKQPTSWRPRISRDLMISVASEMTQQTLGSFEGGAQLPVQVLTLQASNLTSQDLTMTVLAPASFTSPPSVVSLSTSPTSPMSPFIGSSDFTERVSIDKQISAAPSNSSVSVNQVPEGKNLSQSVSFSERATPIPDVLPSGDSGCTHLWLQSRVPLGCVPAQSTATIKLEVLPLTDGIITLDSLQIDVKEKGVTYVPEHSLKINATSSISTGII >Solyc04g074540.3.1 pep chromosome:SL3.0:4:60605326:60614465:1 gene:Solyc04g074540.3 transcript:Solyc04g074540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSASATAGKPIRCKAAVCRKAGEPLIIEEIEVAPPTSWEVRIKILCTSLCHSDVTFWKLSDGPVSAFPRILGHEATGVVESVGENVEEVKEGDIVIPVFQRNCGECRDCKCPKGNICSKFPEDFLCGMPRDGSSRFKDKNGEKLYHTLWVSSFTEYTVVDVTHVVKMNPHFPIDKASLLSCGVSTGLGAAWRVADIEEGSIVAVFGLGAVGLAVVQGAKLRGASKIIGVDLNPEKFEIGKKFGLTDFINPTTCGEKSTSQIIKEMSDGGADYCFECIGLASLMQEAFTRSRKGTGKTVILGIEMHGTPLSINPYELAAGKTITGSMFGGVKAKLDIPIFANQYLNNELNLDGFITHEVNFEDINKAFDLLEAGKTLPAVCRKAGEPLIIEEIEVAPPSSWEVRIKILCTSLCQSDLSFWKLSTGPSSAFPRILGHEAAGVVESVGENVEEVKTGDIVVPVFKRNCGECRDCKSQKGNGCSKFSVEYRCGMPKDGSSRFKDKNGENLYHTLWVSSFTEYTVVDVTHVVKMTPDFPIDKASLLSCGVSTGLGAAWKIAQVEQGSTVAIFGLGAVGLAVAEGARLRGASKIIGVDLNPEKFEIGKKFGLTDFINPTTCGEKSTSQVIKELTDNGADYCFECIGLTALMQDAFTSSRKDTGKTILLGIEMHGAPLSISPLELVLAGKTITGSMFGGVKAKVDIPIFANQYLNNELNLDGFITHEVNFEDINKAFDLLEARKSLRCIIWMDK >Solyc04g074930.3.1 pep chromosome:SL3.0:4:60913183:60915941:1 gene:Solyc04g074930.3 transcript:Solyc04g074930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELKTSVTFLPLIDLRFRETATTGHTWFMSSLNDTLEENETEHLYFPSKASKGRLLCFKGRDIRDGTKNSYALAWRGSLPESAILLEGLTFVSDTYYNHENLWHGICAMTPLVRWSMKNECLKPARWVLFHWGELRLKMGSWLQQLMQASFGKVKVEGFDRGDVPYCFEKAIVMRHDLGQITRENKLKVSDHLRCKARSYCGLNPAGKGTETNERGFPIIRLTLLMRRGSRSFKNATAVSDIFAKECARVEGCILHIVQSEDLSFCDQVKVLTNTDIVASPHGAQLTNMLFMDRESSVMEFFPKGWLENAGVGQYAHHWMADQSGMKHQGAWWDPIGKDCPSPQDHLQCFLFHKDGMVGHNETYFAEWARRVIDQVRLSKVGQPSEDQAKQQHDSKACTC >Solyc06g082740.3.1 pep chromosome:SL3.0:6:48490411:48496681:1 gene:Solyc06g082740.3 transcript:Solyc06g082740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLAITLVCVFGLLFHLYNLLITKPRKLQLALKKQGIVGPQPKFLLGNILEMKKSYEAAKKIVSNGDVVDSHNCGATILPFFDQWHRQYGEVFMFSLGNTQIVHVTQPEMVREITTCTSLDLGKPTYQVKERGSLLGNGILTSNGPFWAHQRKILAPELYMDKVKGMINLVQDSALTLLNSWNNEIEAQGGIADIKIDPDLRRFSGDVISKACFGSNFSKGEEIFYKLRALQEASSRRVMSTGIPGIRYIPSKNNRETWALEKEIKALILKIVKEKRRSEAAPSDQKDLLQMVLEGATINMNTQNAIDNFIVDNCKNIYLAGYETTAVAATWCLMLLAANPIWQQRVRDEVVQICKSRIPDADMIRQMKQLTMVINESLRLYPPVAVISREALKEMKFGEISVPKGVNLWTIVTTLHTDPKIWGNDSYKFNPERFANGIRGACEFPHVYMPFGVGPRVCLGQNLAIVELKILISLILTKFCLSISPKYVHSPALNLVIEPGHGELIKMSNPVEEIVGESTMENKQKALNHNDFSSSSTTDDSRRPIDKKKCHLFNRHKPVHSILGGGKLTGDYWFVLKMDGSVADILLWRKKISGGLLAGATVIWFLFECIGYHLLTLICHSLIVSLAILFFWSNLSLFINKSFVELPKIELPEELWMRLVLLLRNQCTSAVGIFREVASGNDLKKFLYAIFSLWIVSIVGRWFSFLTLVYLITVMLLTVPFLYEKYGDQVDTYGEMAIKELRKQYSQVDEKVLQKLPIPFIKDSKQE >Solyc05g007560.3.1 pep chromosome:SL3.0:5:2100635:2103403:-1 gene:Solyc05g007560.3 transcript:Solyc05g007560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRVVKTPGGKLIYQTTKKRANGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >Solyc12g009940.1.1.1 pep chromosome:SL3.0:12:3100484:3101866:-1 gene:Solyc12g009940.1 transcript:Solyc12g009940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DC82] MATNSSTNILELNEVIVAVIPWPEHGHLNQLFHIANFISSHNIPLHFISLTDRNQDLKTRVQNTSKIHFNDLLAPPLIPISEPREDFADEFAFLEFLDKLGEPICEICVEISKKAKKLVVVFDSLMTDVIKEVYSISNVECYSFHAISAFCMYSTFQQVADEVEDGVARQLFDEMPEVGDCFGPDIFEFVKKERECNRNCGELMNSCRELEGEYLDLLVNVRKKPLFALGPFHLLQELPESMSNRDRHECLEFLDEQDFDSVMFVSFGTTTTLSREQVNELALGLERSNHKFIWVIREADKKMETEKCGGKGSNFELPEGFEERVGGRGMVVRNWVPQLEILGHKSTGGFLSHCGWNSCMESVSMGVPIATWPIQVDQPYNAVFVTNVLKIGIPVRSWSRREELVTATTIEKAVRTLMGSPEGEEIRQRAIGLSNKIKNSISHGGLAHKERESFISCITK >Solyc11g068510.2.1 pep chromosome:SL3.0:11:53503924:53510306:1 gene:Solyc11g068510.2 transcript:Solyc11g068510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFEKKFEMAARNAFRYVSRRLSSSGKVLSEEEKAAENVYIKKMEKEKLEKLARQGPKPGEQAAGGSGSVPDAASSAQASSTSRVSDDKYRNYGVLAGLITGVGALGWYLMSKDKKTEEVQD >Solyc03g097810.1.1.1 pep chromosome:SL3.0:3:61570517:61570678:-1 gene:Solyc03g097810.1 transcript:Solyc03g097810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQHPKICVICILGIYQSLKIFYVKVFRDTIYLLEKYIIPIKFKNLKISLQK >Solyc04g049555.1.1 pep chromosome:SL3.0:4:42489507:42501748:1 gene:Solyc04g049555.1 transcript:Solyc04g049555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMQNQMFCMNPSYTLTQAHSPSLGHSITMTSFCAKVQTVSIPYTQLKDKNADLSAKIEQGFGPNGLGILSISEVPGYSLWRQNLLQLGPRLANLPEDVKREIEDPKSRYNFGWSHGKEKLESGKLDLLKGSFYANPILDVPTTEQSLIERYPSYCGSNIWPHKALPELETAFKTLGKLILDVGLLLAYHCDRYASREMVMLEGEGLEQTLVHSRCHKGRLLYYFPAQHSCFHLLPVTLSMLCHCGGTGTAEWGRFSSHRWRVED >Solyc11g072220.2.1 pep chromosome:SL3.0:11:55736472:55744596:1 gene:Solyc11g072220.2 transcript:Solyc11g072220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRSRNVVEPRRSSRLRELENRRNNRSEGECLHRLSNRRNDRSEGEHTQVASTLDSAVELRCSSRRCELSNRRNDRSEGESHCGLSNRQNDRSEGERTGNDISNGERMGNDSSKGECTENDILEGERMGNERSEGERTRNSRSEGERTGNDRSEGEQLRELSNSRNSRSKNERSEGERMGNDISEREHTGNNISEGEHTGNDQSEGERTGNDRSEEERLCKLSCSRNSRSEGERLRGLSKRRNSRSEGERFRRNSRSEGKLNSINFDCYLENIWRKLPEDKKNLFACLDSLWFSSYRNKRFESKVLRWIKNKDIFSKKYVFVPIVLWGHWCLLIFCHLGESLESESTTPCMLLLDSLQIADSSRFAPEIRKFISSIFNNEERPESKQLIKNIPLLVPQVPQQRNATDCGKFVLYYISLFLENAPETFSISEGYPYFMKEDWFTHDQLESFWQDLQTVNKNSSSADGNSSDSDDVICLD >Solyc10g050373.1.1.1 pep chromosome:SL3.0:10:49376996:49377748:1 gene:Solyc10g050373.1 transcript:Solyc10g050373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEGHIDELASLHQPKVTETSLFFFLPPDHQGLIFVTNPLSENGENYFTWRRNLFNLLHSKNETGFVNGTIGWPNENSKDLQSWIEYNYIVLSCLINAIAKELQGRAAHIEKAREIWVDPEEQFNQGIAPRFYELKRAIALLKQERASISSYYGKLMSVWGELQGLVPTPSCTCGCICGVVKKMQSMMEEEKIFDFLIGLDNTYSTIHSQIQSVDPLPNIRRAYAITTQEENRELLLQITFPQLKQLPC >Solyc05g021247.1.1 pep chromosome:SL3.0:5:26788721:26789215:1 gene:Solyc05g021247.1 transcript:Solyc05g021247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKINSWTAKKLSYAGRAQLVKTVLFGVQAYWAQLFIIPAKIIKLIEGLCRSYLWSGVGYVTKKALIAWDKVCSPKYEGGLGLINLKTWNRTEGMEDKQ >Solyc09g059427.1.1 pep chromosome:SL3.0:9:54649145:54654464:-1 gene:Solyc09g059427.1 transcript:Solyc09g059427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKIATIQQWPQPKNVKEFCSFLGLAGYCWRFIHHYASIARPFTDLLRKEPFRWNTSTQASFETLKAKLSTTLVLALPDFPQEFQLETDASGRGIGVVLYQKGYPIAYFIQKLSTHMQRASTNLREIFSITQAEFVKIKPYRQNTVRLQQHPKLGRRYFRPFQVLKHVGQVAYKLDLPAMARIHPHGVESSQNHEDKVFLQEGSIVMKQSNDVEDSQSDDVEDSKAKSEDNFIQPSRSNCLLGKEVREKLLPHISAPTALIEAEDLILVDHQGQEWNMLLVRQNEISTFLKDIGTFHYRRSGVVLDCFLPLKMENLLEIQWSHTLSQFPFVPDANFPMLIVIVPVTAIETVYGIQE >Solyc02g092527.1.1 pep chromosome:SL3.0:2:54230780:54231313:1 gene:Solyc02g092527.1 transcript:Solyc02g092527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRINGLMLEIEQLEQYGDYIILQKLSARSLYSCHPNSVIRILQLEQLFPNLQIDDFMN >Solyc03g082355.1.1 pep chromosome:SL3.0:3:53724541:53726704:-1 gene:Solyc03g082355.1 transcript:Solyc03g082355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYSIMRPLKATHFRFPQNFSNDASNNGVAQEAVQNIKRVSKTMTEAEARQHETLAPFGSMNVYEGINFLSSLQEKKLKKLDKSNSLFKLLGLTGMNERNKRIHTLSC >Solyc09g061770.1.1.1 pep chromosome:SL3.0:9:60544129:60544398:1 gene:Solyc09g061770.1 transcript:Solyc09g061770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVLYKELDGASCPICMDHPHNAVILLCSSHDKGCRSYICDTSYRHSNCLDRLKKLKAENRDIPPIMTQGNMNIVVETPAEHFGIKLNT >Solyc08g076220.3.1 pep chromosome:SL3.0:8:60360201:60365400:1 gene:Solyc08g076220.3 transcript:Solyc08g076220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoribulokinase [Source:UniProtKB/TrEMBL;Acc:K4CMY9] MAVCTVYTAQSLNSSCSISTPSKPHLRFHQKQVIFLTKRPRKMTCSASSDGEKTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDMTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYEQVKAIKDGVPVDKPIYNHVSGLLDPPEVIKPPKILVIEGLHPMYDERVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKNFNPVYLFDEGSTISWIPCGRKLTCSYPGIKFTYGPDTYFGNEVSVLEMDGQFDRLDELIYVESHLSNLSSKFYGEVTQQMLKHADFPGSNNGTGFFQTIVGLKIRDLYEQIVASRAGAPVAAAKA >Solyc11g056500.2.1.1 pep chromosome:SL3.0:11:45691366:45691977:-1 gene:Solyc11g056500.2 transcript:Solyc11g056500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSAGGRAVDSGSGSDNWQKYLNLSEENDSAASASPPSSSFFRGLSDMSPAPDLGEEVQQVSPTHSISQTDLWNSPSSSAPTPGQDNQPPLIPELHPPLLDDDTRRAELASRLRTVLWGKAYREEMIDSVVDTQVHIEKHIQAALVGRDYSVESLLAKRHQIRGLIFSPMGEALSERTYALHLKEILQLGTVQSLPFRRVER >Solyc02g062340.3.1 pep chromosome:SL3.0:2:34493787:34496137:-1 gene:Solyc02g062340.3 transcript:Solyc02g062340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:K4B6C3] MASASLLKTSPVINKTDFIKGQALRQPSVSVNVVRSHPSGLTVRASSYADELVKTAKTVASPGRGILAMDESNATCGKRLDSIGLENTEANRQAYRTLLVSAPGLGNYISGAILFEETLYQSTVDGKKIVDVLLEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHNIDRTFEVAQQVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKERATPEQVADYTLKLLQRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVEAAQKALLTRASANSLAQLGKYTGEGESEEAKEGMFVKGYVY >Solyc06g060105.1.1 pep chromosome:SL3.0:6:38129607:38142585:1 gene:Solyc06g060105.1 transcript:Solyc06g060105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTNAKEGTERSGSQSNSAANANIVQDYASSTGNDIALIVGDKQQGWIIDSGATNHMTSLPTVLDYQQQVLSDKPRRVYLPNGDNVKSPLTEVDTGTSTVDGSIPAQRRSTRSIRAPLWMKDYVAVASLKSVYVDDMMITGNDLSLIKATKGTLLNTFKMKDLGDLRYFLAIEFARSQEGIVMHQRKYALEIISEVGLGAAKPVSTPLDPYVRLTTKEYDDMNGKGEEDKLLEDATIYKRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNAALRIVRYIKSQAGQGVLLSSKSSKQLKVYCDADWGACLHTRRSVSGFMVKMGESMISWKSKKQATVSRSSTEAEYRSMASSIAEITWIVKLFKELGAKIQTPSKEHFGWFLEMYQMSALHTYNYKIP >Solyc03g112370.1.1.1 pep chromosome:SL3.0:3:64274424:64274657:-1 gene:Solyc03g112370.1 transcript:Solyc03g112370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHQWPRYILPPKFFLGIQVQISHPGDQISHMNLNSHGRFRKHLDNNLNTKIICYCPHSFRIISQVVQSSSRSNLCF >Solyc09g090105.1.1 pep chromosome:SL3.0:9:70124246:70124737:-1 gene:Solyc09g090105.1 transcript:Solyc09g090105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLLITGRLMIVSISCFLVMSSLILMMLPSRETSLYVILLREKYKEGTHTTIIVTKSTGKNLNYIKQNKL >Solyc03g059243.1.1 pep chromosome:SL3.0:3:30231714:30239869:-1 gene:Solyc03g059243.1 transcript:Solyc03g059243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGDSNSHKSPARTPAPASSPGGRPSLLFSGEQRPSINNNQQPTPPSSSGTPTSDVTATATSRRREPLRQPAAPAAVRLSSSQASNDPPATTTSSRRRHPPVEL >Solyc12g016160.1.1.1 pep chromosome:SL3.0:12:6235679:6235909:-1 gene:Solyc12g016160.1 transcript:Solyc12g016160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDVHSRERKAKIAEQGHFLSGGWQVQAVRQKKGRRHWELRSSREEGIVDLTLCCCVTISSSLGFTRVVAACLET >Solyc06g009820.3.1 pep chromosome:SL3.0:6:3856652:3879829:1 gene:Solyc06g009820.3 transcript:Solyc06g009820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLVASRRLSTIIVRYSSSSRFCSTSFREERDTFGPILVPDDKLWGAQTQRSLQNFEIGGERERMPEPIIRAFGILKKCAAKVNMEYGLDPSIGKAVMQAAQEVAEGKLNDHFPLVVWQTGSGTQSNMNANEVIANRASEILGHKRGEKHVHPNDHVNRSQSSNDTFPTVMHIAAAMEINKRLVPNLKQLHTSLHSKSAEFKDIIKIGRTHTQDATPLTLAQEFSGYVTQVKYGINRVLCTLPRMYQLAQGGTAVGTGLNTKKGFDIKIAAAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVAVSLMKIGNDIRFLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAVTVGGSNGHFELNVFKPMIANALLHSVRLLGDASASFEKNCVRGIQANRERIAKLLHESLMLVTCLNPKIGYDSAAAVAKKAHKEGTSLKEAALNLNVLTGDEFDKLVVPEKMIGPTD >Solyc01g110540.3.1 pep chromosome:SL3.0:1:97116509:97118760:1 gene:Solyc01g110540.3 transcript:Solyc01g110540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLPGPYSGTSTLALVARTSAFTFGLVYGSMKLKYLKAKAKSHQKAEAKAHH >Solyc02g087170.3.1 pep chromosome:SL3.0:2:50285967:50294525:1 gene:Solyc02g087170.3 transcript:Solyc02g087170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDGILGAGKRDGRRSAINTARNKQKWLSRKEKWLVVLGVVLHAVYMLSIFDIYFKTPIVHGMDPVPPRMSAPAKRLVLLVADGLRADKFYEPDSEGGYRAPFLRNIIRKKGRWGVSHARPPTESRPGHVAIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTFSYGSPDIVPIFCGALPHSTWNSYPHEFEDFATDASFLDEWSFDQFQNLLNSSNKDPKLKQLLQQDKLVVFLHLLGCDSNGHAHKPFSSIYLNNVKVVDKIAEKVYNLVQEYFKDNQTAYIFTADHGMSDKGSHGDGHPTNTDTPLVAWGAGVGKPLPISRSDHHENTARFIDDHLHDTETPSEWGLSGMTRLDVNQADIAPLMSTLLGLPCPINSVGNLPLQYMNLNKAEEVEAVLANTKQILNQFLRKSQLKQSTSLYIKPFKPLASYSSLLREIEQLISLKEYETAVKLSEHLRSLALQGLHYFQTYDWLMLMTVITLGYVGWMIYVILHILQSYTSLPANIFSKDQVPNPRSTVKVPLLGGLLMGVACTLLLVEKSPPLYHAYVVTTMFLWTQIFSEYHFLKAFCRYLCGRVNDYYLKLIATGVFSVIILELLVKSFTDRKLYTWCFLTTGISVPFYLYRSLPMRSGVPFFVWLACWFLSVFTLMPPQIPENTLLVVAGAAMIITIGIALRYVELHAKDNKYWLSLVAQDSKKLKFPLLFHLQILLVGLASLMVWLSTIHRTEKQELLVLHQLLNWSIAGFSMILPLFSATGLLSRLTSIFLGVAPPFLLLSIGYEAVFYGALSLALIAWILAENAYLHISKFRSSAPVKSMEDDNRCLELSDMRIPLTFMVFFNIAFFGTGNFASIASFEISSVYRFITIFSPFLMAALLIFKLLIPFILVICAFSAITKLVQVPLLGCYFLVILCSDVMTIHFFFLVRNKGSWMEIGNSISHFGIMSAQVVFVLMLFAVTNVFTKDIQVGSAQQFSRKKM >Solyc03g098580.1.1 pep chromosome:SL3.0:3:62325578:62328150:1 gene:Solyc03g098580.1 transcript:Solyc03g098580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMGEAEGKLHYVLISEHGLQLWVLKDHFTSQWDLTFTISLELLEKENDKYLFKIAEKLARDYNSAYPWIGTLAFKNNILLMRVAADIYLYQFDTMKMRHLCSLSALAPKPFFSATVVPYTMSLVPLMIPSKLKKLIPLPEILIGIHNETSFSKFTTKKNYRASVIWDKNQEEEEVKYLNILRQCVATSRLDNAKAIHAKLLKNPGGTSLLYLHNHLLNAYVKCGDTAKGLKLFDEMTDRNVVSWTALIAGFVQNGFPLEAFSLFSCMHRSGTKPNEFTFVSALHACSFEDRLSLTNAYQVYGLITRLGFESNVYLVNAFLTTLIRHGRLDEALMVFEWCSNKDIVTWNAMLGGCMQFCCSDVPRLWYRMIHKGVVPDNFSFASVLTGLAELFVLDLGVQVHSQLVKSGHGSEMCVGNALVDMYLKNRCLGEGFKAFEEICFKDVCSWTQMAAGCLNCDEPIEALRVIGEMRREGVMPNKFTLATAFSACANTASFREGEKVHGLRIKLGDDIDVCVDNALLDMYAKCGCMDGAFMVFQSMDEHTTVSWTTMIMGYAQNGYPKKALEIFHQMREEGADPNYITFLCVLYACSQGGLIDEGWKYFTSMSDDYGILPGEDHYACMVNLLGRVGRVREAEELISSMPFQPGLLVWQTLLGASRLHGDMETAKRAAERALQVDKVDPSIYVLLSNTFAGLQNWDGVGTVRELMHSRDVKKVPGSSWF >Solyc11g012930.2.1 pep chromosome:SL3.0:11:5776936:5780201:1 gene:Solyc11g012930.2 transcript:Solyc11g012930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D697] MAGEFSSLVNKIKPYLAMVSLQFGYAGMYIVTMMCFKRGMSHWILVVYRHAFATIAVAPFAIVLERKIRPKMTRRVFIKIVALGFLEPVIDQNLYYLGLKSTTATYASAFVNLLPAVTFILAVVFRIEKVNLKKKSSMAKVIGTAITVAGAMVMTLYKGPMFNLVPRHGGAHHEASVAAPENWVAGTIELIACIVGWSGFFIVQSMTLKEYPAELSLAAWVCVMGVVEGGIVALIMERDWNAWVIGFDSRLLAAAYSGIVCSGIAYYVQSVVNKVKGPVFVTAFSPLSMVITSILAAIILAESVHLGSLIGAIIIVMGLYSVVWGKSKEGKINDITSKDQELPVVDIKERSTIVDDNINEKKTSIYQEH >Solyc12g062850.1.1 pep chromosome:SL3.0:12:35475203:35476823:-1 gene:Solyc12g062850.1 transcript:Solyc12g062850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDHEKKKRSAFHNVNFLVEPFKSETNCHTIYNPRAVSTNYAEELVCDCLNRKYKEMLHGWVAENRQRENGSGAFDLNVQLIVEGLVGDDKNDSNCANGDSNEGGRCHGDDSDRK >Solyc01g009170.3.1 pep chromosome:SL3.0:1:3137326:3139805:-1 gene:Solyc01g009170.3 transcript:Solyc01g009170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMFEDIGFCADLDFFPAPLKEAETVAAVPPIGKEGVDAVKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNAIPGKNEGANPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPNGQEDWWPQLGLPNDQGPPPYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEVLARELYPDRCPPLSSGGSSGTFTMNDSSEYDVEGAIDDPIFDVQEQKPNHLSLLNVNVEMFKEKLPLLQQSQPMKGDIFANLDFTRKRKPADDLTFLMDPKTYTCECLHCPHSELRNGFPDRSSRDNHQLTCLFRNTSQFVVPNFHMEEVKPVVFPQQYAEPKRASLPVNPAPPSFDTSGLGVPADGQRVINELMSFYESNVQGNKSSMAGNSVMSKEQPLQQPSIQQNNYLQSQGNVLEGSIFGDTNISANNSMFVQGDRFDQSKVLTSPFNASSTDDFNFMFGSPFNMQSTDLSECLSGISHDDVTKQDASHMLDEVVEL >Solyc06g036700.2.1 pep chromosome:SL3.0:6:27259472:27269469:-1 gene:Solyc06g036700.2 transcript:Solyc06g036700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFHRIIYSNTWRSPCSLLSIIRRLRCQFSFSNCFSKCYIDEECNDFLLWLEHKAGVEISSLLSIGKFANGRSLVARHPIKPGDCLLRVPYNVQLAPDNLPRGINALLGDNVGNVAKVALLILYEQKLGKKSEWDPYISRLPRPEDMHNTIFWDDNELEMIQQSSLHQKTIMHKLFVEQEFSTIKELGKASFQFSGIIQDVTLENFKYACALVTSRAWESSRGVSMVFLFWGFYFVFFNYYIE >Solyc05g055200.3.1 pep chromosome:SL3.0:5:65793251:65796565:1 gene:Solyc05g055200.3 transcript:Solyc05g055200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFLIQNQQPQEKHKALKKTPINSLHFYHLPQNTQQKITTSLILYRSLIFRKKRVKMRTNVSFQQALTVESSSIVKQALNLAKRRGHSHVTPLHVASALLVSSSSTLLRKACFQTNSHPIQYKALELCFNVALNRLPTSASSPILEPHVHPPLLSNALNAAFKRAQAYQRRGSVENQQQQQHLKVEIDQLVISILDDPSVSRVMSEAGFSSIQLKTNVEHAISSDNSTKPIVIASGNNLLCKSGDDSSVSRVMRETGFSNIQLKTNVEHVVSSEVSWKDSSKSMVIPPGDNLKLSLGKSSDDQIKNDDVMSVIDTMMNKKRRNTVIVAECLANAEGVVRGVVDKFDKGEVSSHMKHVQFISVPLSTLRNVSKEEFESKIRELRILLKRYIHRGVVLYLGDLEWISEFWTKVQNEQKNNYMILELTRLLCGEMSENRRLWLMGIASFSTYTKCKTGYPSLQTLWDLHPLTLPVVSLDLSLNLESSVHKHDHNFIEKGFLSPSSSSPCSSTSISKKEHQFLNTKPELLSNPNSIPNSASSSETSGHIDNCSDSPELLLHSCQILQKCTFSSTFLNSSSNVKIVCKALEEKVPWQKDIIHDIVSTILECRHKKEETWLLFLGVDTHGKEKISKELAKVIFGSQDCYISIGLSTFLSSTRIDSTDQEVNNKRSRDEQGRSYLERFTNAIQENPRRVFFMEDMDQVDSFSQKGIQKAIESGKLTLPNGDLVLIKDSIIIFSCEEYFSSFSRKCFSEENNGSLDLNFVYDFGIFEAVDKQIMFNI >Solyc09g075830.3.1 pep chromosome:SL3.0:9:67886536:67893666:1 gene:Solyc09g075830.3 transcript:Solyc09g075830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNREARRTGMVAATSNGLSRRRHRSNSLRDSPDEEGGVEIQESVRLRERVKKDRDRERDRERDRERERDRERDIRERDIRDRDRDRSSRSKRRRGDRLMMHRGGGGGEDGGDDSSEESVNDEEDEDDEETTTTTTNVVSASTRLLPPPNPAPATMGGSAISNHHHHHNNNHNHHHIPPRKNFPPNVGGKVFRAAPVWKTGDEMIGVSVPRKARSASTKRSHDWISGTSGGGGNSGVVTGDQIHQQVSTASPVGQNIPATSPSPAAPLSPSSSNVSVRKKIKPNGQKRPPAKSPPKASSSNPEELEIEIAEVLYGLMTQSQGPSKKESVPNDTREVNNRSRVSSPASNSNSSATPLSVVAPKRKRPRQVLENPGGFSVRSSPISSSTAKVEMDQTTMKLEVFSPNLEKNPQSAAENVVSLYDLSGSAQSLPAAVDPVPEPMKMESDVKRRSDETEFMESKEEVNSPKRDSFTLGVDNSIREDVAVTQVSGIVSGVENQREEKFQIDLMAPPPQLRSSPEREAEMGFGSAAVDSINHIISSENIVEMKPAVTENDDERIGKAEKDEGMVVSVEAEEKKTKAAVEEINAHKISESSRGRNINLDLDLEKPEKDSGVSGKFQQHSQKLQQHQPPPPPQKATKEESVPEKTGQSSSLPMPMSMASWPGGLPPMGYMAPLQGVVAMDGSTVSSAAPMQPLFSQPRPKRCATHCYIARNIHCLQQFMKMHPFWPPAAGTAPFFGAKTNLNVMPSADLHGNLAGRGASAGPDNKGQGLAIFPSNGGKDKVQPANIADAAQRKQQMLLQQALPPVAPNNLLHGPAFIFPLNQQQAAAAAAVRPGPAKSPSTMGPSVPSNTTNAAAGTASATAGGAATAISFNYPNMSPNDAQYLAILQNNAYAFPIPAVGPPPNYRGTHPQPMPLFNGSFYSSQMIHPSQVQQQQHQQQQQHQQQQQQHQQQQQQQQPATSQSQQMQQGQQNTSMSSGSSSSHKHLQNQQQRSQGNAVNGGNSGGNLHSFPGTKNHPSQSPAQSSQNQHMPPQTRHIENEVGSEDSPTAERKRSHGPINVYNQNFAMPMHPSNFGMMTPPANFGVASSAGGGSNHQTEKKPQQQQQQPGLKASLESAPPQPFAMSFASFNGATAGPGIDMSMAHNHAIFQSLPEATRQNLQMAAAAAAQAVQQKKNFRVSEDGKSGSGDQSGADAERKGLAMKPSGNAGQSIAFSRSDTSDASGSTIAANSVIDSSTRSLNLPSGASWTARAAMPNAMGSVNVPNAQLQAQIQQQQQQMLQLHKQHQQHQFAAAVAARSKTSASSNGNVYSEHLTSSASAASKFPNAMSAFPQNLVQSGNNSNQAQSPQWKNSTRTSTSQAPSSLSSTSSLKSLSQQQQQQQQHVRSQQSHTQITFGTNQRSTPPPPGQQPPNSNQSPSSPMMVGSPTTSSISKGASGSPRPTNSATTSNKTGQNSSLSTQQGKSSSSVPNQKSSPAGGRNVPSILGNPHNIASTSGGGTKPQMSQQQQQQHLHKSMQQAQLFFSSPYVQAQPPHSSGTSSAGQATGGYYLQQRRRPDQPGQQLPGSSAASSSSGMLTLCPVTLGGGTTSDPAKAIAAAAAANNMKGGVLPSQGILHAAQYTTQTSGSQHQLLPAGFSYVHPVPTAVQVKPAEQKQPAGNDNLHACWQPEKK >Solyc01g005200.2.1 pep chromosome:SL3.0:1:151495:157572:1 gene:Solyc01g005200.2 transcript:Solyc01g005200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSSIEASSISPTLEESHEVVTNTKKTKEKVVEGSQLKPYVGVRFDHKDHDGYDNNINTNCSNHELNLFSSTPAHASESSNEAKPKERRSSDAKTFSCNFCKREFSTSQALGGHQNAHKQERALAKRRNGLVDVVSPFVPHNYHPYYNPYSNFNSRIPFHGSFASRSSSLGVQGDSHAILEPTSCQKWPFFSSYNFPFNPEKWSSSSSFFRTENYNMEESHEIVTKTKKMKEKVVEGSQLKQHESSSGGVLVDLKVHNNNMNTNSSNLELKLFNSTPARASESSNEATPKQRCSSDARTFSCSFCKREFSTSQALGGHQNAHKQERALAKRRNGLVDVVSSFGPPNYHPYYNPYSSFNSHIPFHTSFPTRSSLGLQGDSSMIHKPTSYPTWPYFSAYNFRLNPEKWSASSSSSSFFRTENVLGNNILGASLENSHFEKKNNTKGLDRGETPQSERDCQVCKHNN >Solyc08g061820.3.1 pep chromosome:SL3.0:8:49618762:49628604:-1 gene:Solyc08g061820.3 transcript:Solyc08g061820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWCCLCFGEEEDNKKGYKSMRDPILGNNGDESPDENSAFDWRNVFEGVNVAAVVSPQAGAVGDLGVPKNEEIDFDSNWTSSTVEVKNESYSGEKMLDVNLNLGLSGEASSSTVLKEDSDPFTCSKRPKVNSFSLDWDNHLLQETSYLCPMNEGGGDVSLSNLLGATDDEGKDSKMEDLDVRMDLTDDLLHMVFSFLDHIDLCRAASVCSQWRAASSHEDFWRYLNFENKQISSNQFEDMCRRYPNATTINLYGTPNIHPLAMKAVSSLRNLETLSLGRGQLGETFFQALTDCHVLRSLTINDATLGNGIQEIPISHDSLRLLQLVKCRVLRVSIRCPQLETLSLKRSSMPHAVLNCPLLHDLDIASCHKLSDAAIRSAATACPLLESLDMSNCSCVSDETLRDIAQTCGHLRVLDASYCPNISLESVRLVMLTVLKLHSCEGITSASMAAIAHSYMLEVLELDNCSLLTSVSLDLPRLQSIRLVHCRKFIDLNLHCGMLSSITVSNCPLLQRINITSSALKKLVLQKQESLTTIALQCPNLLEVDLTECESLTNSVCEVFSDGGGCPVLKSLVLDNCESLTLVAFCSTSLVSLSLGGCRALISLALRCPYLEQVSLDGCDHLEVASFCPVGLRSLNLGICPKMNMLHIEAPQMASLELKGCGVLSEASINCPLLTSFDASFCSQLKDDCLSATTSSCPLIESLVLMSCPSVGCDGLLSLQSLPNLTYLDLSYTFLVTLQPVYESCLQLKVLKLQACKYLTDTSLEPLYKENALPALCELDLSYGTLCQSAIEELLACCTHLSHVSLNGCINMHDLNWGFSGDQLSQIPSVSIPHVSSLGEQQLSNEQPKRLLENLNCVGCPNIKKVLIPMAQGFLLSSLNLSLSGNLKEVDIACYNLCVLNLSNCCSLESLQLECPRLSSLFLQSCNVDEESVEAAVSRCMMLETLDVRFCPKICPLNMTRLRVACPSLKRIFSSLVPS >Solyc10g054510.1.1 pep chromosome:SL3.0:10:55498665:55499773:-1 gene:Solyc10g054510.1 transcript:Solyc10g054510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFGEISHTTVSSNAHGVKVIVPEIGHSALLTVLHDTNGSNNEEDENSHDNVALFKKSPLWFIGFSYLTRNCMENLLRDSMVNIICVNFHFLLLLTSLLKICPSVGVGGYFRGGGIGTMMRKYDLAADNIINANLVDANGTIINRKTMGEDVFWAIRGGGGASFGVISAWKVRLVRVPSLVTVFTIHKRLDQEGVELVHNWQYIDNKLPEGLFIRVLIQQIDGIGS >Solyc11g018470.2.1 pep chromosome:SL3.0:11:8554014:8555712:-1 gene:Solyc11g018470.2 transcript:Solyc11g018470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMGTLLSGFGDIVGKLFGHPLDFLSGKTCSTVCAPTWDFLCYIENFCIPQLLKSAMVSILLYFVFLFLYLLYKLGICQCICHILCRTTWACFSTCFSALDCCCTCICFNIQKLLLTPRHNRRRRRHQKDIEEALVDISSKSSESQGSNKFDDTKRGKSFREHRKYHMRKSLRPKSHHMQVQIVNDSIHHHKKKKFKNGPIDDHIRVSRRTKFAQKGMFVKGSTRSRRRV >Solyc06g071333.1.1 pep chromosome:SL3.0:6:44040488:44041361:-1 gene:Solyc06g071333.1 transcript:Solyc06g071333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPGCSILIALYYLITQSLVLVYGMLCFGFMGFMFLASKLESETGLAAPRMCTLLKRIVKVTHST >Solyc07g052800.2.1 pep chromosome:SL3.0:7:61375263:61376839:1 gene:Solyc07g052800.2 transcript:Solyc07g052800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHASSKVCKYDIFLSFRAIEDARFAVVVFSKSYASSRWCLEELAHIIKCKNELEQAVIPVFYDVSPADVCHQNSPFADSFFQHEAECVKKLVDDIFPKSLQIISPFPESLVGMRSQVEEVIELLSMESNDARSIGISGMGGIGKSELARVLYERYRHLFEADCFLGDVGELHHKNGLAWLAQVVIRKLLGEKISVTSEHEGMIILVGGTEWFGMGSRIILTSRDKHLLISHVGDNVYEVQLLSEDEALELFSRHAFREKSPKEGFMEFSREVVKHAGGLPLALKVLGSSFYRRDKKHWRHIIDRLKRIPHKDILGKLRLSFDGLDKDEKELFLDITFLNFAFLDMKYLARPDFDLYMELLQRDPNRDFLKSPYRKISVIHRLE >Solyc11g005630.1.1.1 pep chromosome:SL3.0:11:483105:485438:1 gene:Solyc11g005630.1 transcript:Solyc11g005630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4D4H6] MAALLWLLILSAFHGVALAQQRQFNITLGSSLTPTTNSSWFSPSRRFAFGFYEQNNGYAVGILIVGMPKKTAVWTANRNSPAVPSNAVLLLTNDGRLIVQVGGQEISVVNLSGQVIASASMLDTGNFVLYDSDHNIIWQSFDNPTNTLLQGQHISARQELFSSASEADDSLGIFRLKMQDDGNLVQYPVNTPDSSPYSYYSTGTDGVGNNVTLNLDDDGLLYLLNSTKSLRNLTQGGNRRERTIIYMLKIDADGILRIYSHSLNQQNSSVIWSSTDDRCTPKGLCGLNGFCTNIDDQAKCLCLPGFDFVMPGNWSAGCERNFTAETCQLKENTSKYYAMRTVENAGWEDSTYVVLAGTTKEDCEQACLQDCNCEAALFKDRECRKQRLPLRYGRRELSNSNLVLVKVGTIPNEGVPNQMIEETKGKKLRIEILIAGITLAVFALLVLGISGFLIHRNHVWTYRKIQDSRSVQLCEDVAPRAFSYAELEQATSGFGEALGRGAFGTVFKGILAEDQKVIAVKRLDKELVEGETEFQTEIKIIGRTHHRNLVRLLGYCLDGSRRLLVYEYMTNGSLADILFTTEKQPTWEERCGMARDIARGLLYLHDECDTQIIHCDIKPQNILMDDQYCAKISDFGMAKLLKKDQTRTYTGIRGTRGYVAPEWHRKLPVTVKADVYSFGVVLLELICRRKCVDSSLDENESILEYWVYDCFDAGELDKLVKDEDVDRRQFERMVKISIWCIQDEPSLRPSMKKVLLMLEGTVEIPVPPSPTSFLSAI >Solyc11g006170.2.1 pep chromosome:SL3.0:11:916458:920289:-1 gene:Solyc11g006170.2 transcript:Solyc11g006170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFINNGPPTNRSEALRFLSIAEKLLTNRDLVGSKSFATRARDADPTFAPATDQILGIVDTLNAGDKRINNHHFDYYSILQIPHNQTQNVDFIAEQYRRFALLLNPQNNSFPFSDQAFRLVVDAFSVLSNPMRKSMYDKELGFFLNLYPVAASTAPTSNFVHQNVYQSMPSSNADQMFVNLPSQDAAGVSFSRDPQAGISMPPTFLTREQETVTSMGSSDIGQQQQQPQQPVTFLRQQTQPVTSISFSNTDEKPATFLSLNQPQPVTSVRSLTRENPPVGVRLSSTQGREPVVTVEQHGNQQLPERNENVVGNNANKSASTSDDVKEQEGNVDGSGNNDDNLQDGLATQGGVEISHAVTVESSNRGVTSKTRRQRGRVAKHFGNLDLNVEFSNEVEEPIIQMSRENEAGEGEDDTVEVIGADDRFLSYDEMEIVEIVPDLVSVVLSHATILEEFQTMREKLEMKNRQIECYEIKPDMDFVSEGDALNFGDEKASSDQKIIVNPRVKNENRHCSLRTLIVLVLQQAKD >Solyc09g011300.3.1 pep chromosome:SL3.0:9:4641130:4646300:1 gene:Solyc09g011300.3 transcript:Solyc09g011300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHLFIYTHPHLRLPPDPPLTLQSSSSSSAASYCRQNLPTLNFHYKKGFNFYTGRKRVVCSMATENKVISGDRMLVFVPPHPLIKHWVSVLRNEQTPCPVFKNAMAELGRLLIYEASRDWLPTITGEIQSPMGIASVEFVDPREPVAIVPILRAGLALAEHATSILPATKTYHLGVSRNEETLQPSVYLNKLPDKFPEGSRVIVVDPMLATGGTIVAAIDLIKERGVDNSQIKVVCAVAAPPALTKLSEKYPRLHVYAGILDPTVNEKGFIIPGLGDAGDRSFDT >Solyc02g079210.3.1 pep chromosome:SL3.0:2:44370804:44378594:-1 gene:Solyc02g079210.3 transcript:Solyc02g079210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYTSRLRRALPLSSSIFAQTFHQPNLISPIASLSQCTSTQSNLSKLNRFDFPSQWRLFRSSTISLSSRSRSFDRNPADDEIGPDTILFEGCDYEHWLIVIDFPKDTQLTREEMIETYVQTAAKVFGSVEEAKKKIYALSTTTYRGFQVLCSEDTSKKFEGLPGVVFVLPDSYIDPVNKEYGGDKYNNGEIIERPPPPQFQRQGSRPRRGPQYQQGNYRPPQQNYGPAQGHPPQQNYGAAQGPPPQQNYNAPQGPPPQQNYGPPRYPPPQQNYGSRQYAPPPQQNYRQPQDLSPQQNYERPQNPQPNQSYGVPQSVPSQWNHGLQPKFVPQQIYGPQGAGDHRGPAPPDSNLDAWDNSQVGWGDSIRSPFPRRDQRGGAPPEQGGFGGVQHYGSQQGGSSEQQTFGDQPLRYGPQFGQNNPRPGGNQNFPPIEQQGNMQGGEQRTYASSGTMGTDQERY >Solyc08g065940.3.1.1 pep chromosome:SL3.0:8:54359527:54360489:-1 gene:Solyc08g065940.3 transcript:Solyc08g065940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMIGRSPPTVQIPPWDVTDDPTVDVYSPFSVSPDSAFDVLTALQRYLPSNGNDVIFDSDGLDIPVDAFSCDNFRMYEFKVRKCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTSCPDFRKGQCKKGDACEYAHGVFECWLHPARYRTQPCKDGTHCRRRVCFFAHTPEQLRVLPQNSPRSFNESSDSFDGSPGRVGFESFSSSPPLESPPMTPRGLAESMRNMQIGMVRGMRSMQMGSPAFGSPRSPSILRPGFMSMPTTPTRSGASVFDHCEEEPAMERVESGRDLRARIYAKLSMENSLDSGAPDVGWVSELLK >Solyc10g007390.3.1 pep chromosome:SL3.0:10:1751799:1755880:-1 gene:Solyc10g007390.3 transcript:Solyc10g007390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVLYTVISLFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAIGEAVAYVLSGMYGSVSQLGVGNAVLIIIQLWFAGIIVICLDELLQKGYGLGSGISLFIATNMCENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRGLREAFYRQNLPNVTNLLATVLIFLIVIYLQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNVYFISQLLDRKYSGNFFVNLLGKWKESEYSGQSIPVGGLAYYITAPSSLADMVAHPFHAIFYIVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLYKELNRYIPTAAAFGGVCIGLLTVLADLMGAIGSGTGILLAVTIIYQYFETFEKEKASEMGFFGL >Solyc11g069167.1.1 pep chromosome:SL3.0:11:54075918:54076667:-1 gene:Solyc11g069167.1 transcript:Solyc11g069167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTICWVIRIKTSKIPNFFVDVRQYLRYASSWLSRPIQLIFKVIRIPTSKMPKNFVDVCQDLVYAASCPSRPVQPILKGDPDYDLKNAKFFYGRASRPWLCIQLALASTIIFWVIRIPTSKMPNFFVDVRQDLVYVSGWPTRHFQPILKVKRAPKRAYHSFRRFLCANSNNFLGDLD >Solyc01g087000.3.1 pep chromosome:SL3.0:1:81802284:81805187:-1 gene:Solyc01g087000.3 transcript:Solyc01g087000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPRRTWEEAVRCKLSLCNSKPLASTVTTLARFSCLHPLKFGIKFEDGRIWAALRRNYTIPCVINDAS >Solyc12g005550.2.1 pep chromosome:SL3.0:12:300480:301727:-1 gene:Solyc12g005550.2 transcript:Solyc12g005550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLPRSVRFGTPSRGSWANTASISGHPVCRIEHVPAKPEEGLEVVSRAIGMAMIEALPLHSAGVKTKLELINRDIGIRRRCGLGEKSCKLLKLASRVVKMVQRFTTLTNSGPSVRGWLRPIVERLSRNLYVPKI >Solyc07g056030.3.1 pep chromosome:SL3.0:7:64059915:64068002:1 gene:Solyc07g056030.3 transcript:Solyc07g056030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEAISSSHDPQLPPKSSSPPPIPTPAASSVGASSPAVPTNAGGTDWFAQAQGSKAASLSRIGSQPMWTSVSNSAGGSALGSSQPSCRPWERGDLLRRLSTFQPTNWFGKPKASSSLACARRGWVNVDADTIECEACGANLRFVSSATWTSGEADIAGEEFAKKLDEGHKATCPWRGNSCAESLVQFPPTPPSALIGGYKDRCDGLLQFPSLPIVAASAIEHIKVSRSPEIDRLLAQSQAFGGMEPIFRLEIMSGTETNTEDVFLVYSRANKLISLCGWEPRWLPNVQDCEEHSAQSARSGYSIGPTKYHTSLQDFGHGENVLPSSKKKVHSKNEAVGPRSKGESRSPLLDCSLCGATVRIWDFLTVVRPACFAPNSNDIPETSKKMALTRGASAASGISGWVAADGVEKEQTEDLDEAATNDVGRSLSNIGVDLNLTMAGGLSSSQVNMDAKPEQFEDGHKRRYPVTGQPSSSEVGGQAASYESRGPSSRKRNLEEGGSTVDRPQLPLQPADSVEGTVIDRDGDEVNDGSQYSAGPSKRPCQSDAFGTHHTSYGKDSSGAGPSLSLGFEIGTSAPRDDTFGRRHEQLTGVPSTRDSTHVSSVIAMDTVHGTDDSMESVENLPGDFDDVHFPSTSMLRSADPVETSELNYSNQAQQSTCPAVVRSAGEMGVSSTNDEEVVNADTATANVRDGPSFGISGGSIGMGASHEAEIHGTDASVHRADSVAGEVEAVAEITENQGQTGEFAPDPGLMGDYVPEEVDRGDPNGDSQDLTSRSVGRADSGSKVVGSAKAESIESGEKNCHVQPMLPNSPHPSLSCNAVVCSAHEASKEEVTQNNAPATDDCGFVESDYMLANGTGPPIGESNYEEAVEFDPIKHHNFFCPWVNGNVAAAGCSNSGSSSSNSGAIALCGWQLTLDALDSFQSLGHIPVQTVESESAASLYKDDHRAPGRKLLARHSFSKHHGHN >Solyc05g006790.2.1 pep chromosome:SL3.0:5:1411395:1414355:1 gene:Solyc05g006790.2 transcript:Solyc05g006790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYDAKAVRFRSHLNKYLVADDDQRTIRQSRNGLSRKARWLVELADPENSHLIRLRSSSGMYLTASDEPFLLSLTGEKKVLQTSPENMEDVKIMWEPLRYGFQVKLRGYGGKFLSASGGTLRWSNRVTHDSPHSATTHNWILWNVEPVDVPEDESLTDYLTMVSNFSSVSDELSTLDLGSPMSMHSSISFSPKSPLTRRPAMEIFQKAKAVRLQSRHYKYLTALEDGDSVTQDRNGASQNAKWTVEFVEKTNNVIRLKSCYGKYLTASNQSSLLGMTGRKVLQTLPNRLDSSIEWEPIREGNQVKLRTRYGQFLRGNGGILPWKNTVTHDIPYQTATQDWVLWDVHVVEILGDSSVVPKRPSPLGLPSDSCASESTSTSVVSSESSSLSRQESSDSFAKLGDGRFIFYYIADEFGEIDEKMEELCITFDGNTVEELTKTLEDATGLDEIIVCTKSPLNGKLYPLSLQLPPNNTTMNVVVLPKGEALTRLEFVIFCKKFRIFTFATFLSILVLNRLGYSLPMK >Solyc05g032620.1.1.1 pep chromosome:SL3.0:5:46878005:46878205:1 gene:Solyc05g032620.1 transcript:Solyc05g032620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTTRIYQQTTTKTTTKSHQVSAPNQELEIPHRSANNNIEQDPPVLFLFSPFNISLFCRCLSLN >Solyc03g120260.3.1 pep chromosome:SL3.0:3:70194875:70197290:1 gene:Solyc03g120260.3 transcript:Solyc03g120260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTPTTTKKNIFPIPSSVKLLLVRFLTFQTSETMTLAIEIEKKHIKKSERVKSLEIHPTEPWVLVSLYTGTVQIWNYQSQTVEKNYQVSESPVRSAKFIAREKSIVVGSDDHFIRVYNYTTEEKTKEFKAHDDYIRSLAVHPSLPYVLSCSDDTMIKLWDWEKDWACTQIFKGHNHYVMQVAFNPKDQNQTFTSVSLDCTMKMWNLSSSDPILELEAHSKGINCVDFLTCNDKQYLITGSDDHTAKVWDLQNQTCVQILEGHKNNISALALHPELPAFFTGSEDGTFSVWDATNYSLLNTQISELGRIWAIGFASLEDSHILIIGCDEGMIIGKIV >Solyc08g029057.1.1 pep chromosome:SL3.0:8:38590217:38590865:1 gene:Solyc08g029057.1 transcript:Solyc08g029057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVLTSNYRISLSQVKYVIVLLFKKVIATLYRTLVGSLIYLTVVCSDITFVLQLVSQFMVAPRSTDYAIALRISSLSKSDYARSEKQTLASRSSTESEYHALWLT >Solyc04g078810.3.1 pep chromosome:SL3.0:4:63558270:63563919:-1 gene:Solyc04g078810.3 transcript:Solyc04g078810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELGFQDSRSGLGFSRSVRDVSPDSVIYTGDSNFSIFSSASGSVDRCSFASDAHDQDSSVSDVSQHLAGHECREASGGTVTDPNKAMLHRNSHIGRKEKAKVQKAEKNSEIETEDENLSLDSARNSFSQALKECQDRRFGSGSLLKKPDRRRPASLDLNNAVINTCHSSSPRFGIMKKTPITTSRVGTFLSPRTPSYRHSSVGVQKGWSSERVPLHSAGNRRQVNTALLPYNNGRILPSKWEDAERWIFSPVSGDSSVRTSLHQPQRRPKSKSGPLGPPGQAYYSMYSPAPPVFKGGNGGNLLANSPFSAGVMTNDGLSIRCGGNLGSGNFQALAEPCMARSVSVHGCSELTVIPRRKRKHARLQIEPTSYRNESGDYIQDEANVVSRVISRRDMATQMSPEASPSSSPIRQSSFSPSTPSILPLVELQTVPSSKAELRDVPIDERVTVTRWSKKQKARIPGRSMDLDDWKRKAIEIRSSGWDVSDTSTSISKIKREEARITAWENLQKAKAEAAMRKLEMKLEKKRSSSMDKIMNKLRSAQKKAHEMRSSMLANQSHEVRRSSSKALSFRQTRQIGSLSGCFTCHAF >Solyc03g013150.1.1.1 pep chromosome:SL3.0:3:48674371:48674676:1 gene:Solyc03g013150.1 transcript:Solyc03g013150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDIVAALGSPHASQQVMANLPAGTCGVSSFQGGVSYKLEDAGVSKQSNVSPSLPEAMAGNKIETFLCLSYFCYCYLICHNFILLYPVKTYMPLDTLLCR >Solyc08g082230.3.1 pep chromosome:SL3.0:8:65196181:65201130:1 gene:Solyc08g082230.3 transcript:Solyc08g082230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIIYTRRVIIALLIAYVAAAADLEINGAEKTGVDGLILSSNSSVNEKLDPMITNRKKDVQLENDSSNSGMRSKEAGDRRKMNNSSESIGEVVNVVEKNKLDDSIVKRGDERGGLKEGEREKKGNDSGFEIDDRKDNVKEAEHQEKANNSSSDKKEKGKVLPDGIQSREVILPARKESFHGEECDSSYSCTIEEKALVACLRVPGNESPDLSLLVQNKGKDTASISIKAPKFVTLEHNEIELQGKENKKMKVSIGNGGNDNIITLKVGDGQCSLDFRGLIDSAEKTSQFNYALPSFGIMCLVAIALVATILLYIKRRLLVSNGHMYQKLDNALPVSSGGKVETLSTDGWDNNWDDNWDDEEAPKAPSLPVTPSLSSKIISARWSSKEGWKD >Solyc01g105040.3.1 pep chromosome:SL3.0:1:93221193:93225871:-1 gene:Solyc01g105040.3 transcript:Solyc01g105040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLDKFLLSTLKSIATQNIVSHYHNLQQHRTIVKVRLKWVKNRGLDHIIDVQTDLKAACLLKDAIVRSPVGYLTAKSLADSQKLLGLTVPTLRFIRRYPTLFEEFPHPKYQSLPCFKLTQIAKILHDQELKVFEDNHADLVERLSKVLMMTTNRMVALQSLHPLKWDLGLPDDFDKRFPDHFRIVKGTNGLACLKLVQWPDKYAVSELQKMNDDSSPPTGYREFKRGKAALEFPMSFPRGYGAQKKVKAWMDEFQKLPYISPYEDSRGIDPNSDLMEKRVVGVLHEFLSLTLYKKTKRNYLRSLRKELYLPDRFTRIFTRYPGIFYLSLKCKTTTVALREGYRRGRLVNAHPLTRHRDKFHHVMRTGLIYRSKGVDILPQLDNVLDEEENELTEEEEIELTDECDGTSDAETASDED >Solyc12g038630.2.1 pep chromosome:SL3.0:12:51584297:51592527:-1 gene:Solyc12g038630.2 transcript:Solyc12g038630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWQKARSFAEEAAKRTHEFTIEAANRSQDLTIGSSKLSDVVLEASKRSKEFAAEASKRSKEIAVEASKRSKEIAVEASKRADVIVSEASKRADQIKVDALKRAEQIKFQIPSSALSHIVDSSPSPTTQTALPAPTPADLLKFGVTDDLREFVKGITINTFQDFPLEDDSVISDIPTVSNVRQDLTEFQEKHAKFVLSSVKEISKLRYELCPRIMKERKFWRIYFILVNSHVAPYEKKYTEEAKAEIISVEKAQVESEKEVSLAGTICKPVTEATAQKNKKATSSTSDQDLDVFLLGEDSDDGPDDGDDAFDDDFDKI >Solyc09g075430.3.1 pep chromosome:SL3.0:9:67557023:67560438:1 gene:Solyc09g075430.3 transcript:Solyc09g075430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:K4CVC0] MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMENIHKTKAEKAREKTLSDQFEARRAKNKASRERKFARREERLAQGPGEKPVQPAAPAPAPAATAPPAKTAQGGSKKSKK >Solyc04g082520.2.1.1 pep chromosome:SL3.0:4:66258089:66259498:-1 gene:Solyc04g082520.2 transcript:Solyc04g082520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWEIYVIIDYPYFHQSDFYCVFDTGEETPAVPVAELPFPPRSVLKCDFPKMARRISPFKQPRLRGSSVNGYYRNSPSPELLQWTFLVYDSLTTDNDVVLFVKGLNKRRGNNRKPAELTCIFGDAVRTAVTSSAQEVFRCKPPDNLAGKEPIKVSIEIAGPTPAVVPSIAYYTPPRSISSQKKAKLCACTMVYNVAKFLREWILYHSRIGVEKFILYDNGSDDNLATIVNELVEEGYDVKTHFWVWPKTQEGGFSHSVIFAKDSCSWMIYIDIDEFVYSPSWSNLTQPSTSLLPSMLPNLEEGDDIAQITIPCYEFGPSNQKEHPTTGVIQGYNCRMRKENRHKSIVLLSAVDDSLLNVIHHFKLKTGYNVKKLNAFEMVVNHYKFQAWPEFKAKFRRRVSTYVVDWTKPSNLGSNDRTPGLGFNPIEPIGWKSKFCEVYDNGLKDLTHTWSIVEFVAPSEYQMPWLK >Solyc05g010203.1.1 pep chromosome:SL3.0:5:4386109:4387792:1 gene:Solyc05g010203.1 transcript:Solyc05g010203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRGLLEIFFFNLPHLTCLKVTHSIYFRVSPSVSQLLGEGGGLLPSLGGQVDNGLFPDISTCLASVLNVPGCVEEIITSFLTIRLRLIDPQCGIAVLDIHDSCWPKIFPFGSLFPLAFRSFCPIQGSLPPTSPQPLVQNNA >Solyc01g088140.3.1 pep chromosome:SL3.0:1:82865944:82869517:-1 gene:Solyc01g088140.3 transcript:Solyc01g088140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDYASSDEEEELHEQPQENKQLSKAEELTPRPPLDPLPTTNDNRHLPQPQNQRTSSSLNKEALHLSNLSESSKLKLPDASLLLNSPTMPGHLGLNTDHSSRVAAAMAENATRKRDINVSASTYPRSKIPKGTLPNMKNVPETGGGLLLPPQLTGRSNIVTEDISKLFRKKTSS >Solyc09g011810.3.1 pep chromosome:SL3.0:9:5090255:5092960:1 gene:Solyc09g011810.3 transcript:Solyc09g011810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATATTSYLSALDKKTPFLFALDKKTPFLCPKNSTKRRSFNGGVKCMAIETASGVTQTKKKSGYELQTLTSWLLRQEQAGVIDAELTIVISSISMACKQIASLVQRAGISNLTGVQGAVNIQGEDQKKLDVVSNEVFSNCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADLGDDSTLDNIEQKCIVNVCQPGTNLLAAGYCMYSSSVIFVLTLGNGVFSFNLDPMYGEFVLTQENVQIPKSGKIYSFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDRKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDIQPTEIHQRVPLYIGSTEEVEKLEKYLS >Solyc08g061350.1.1.1 pep chromosome:SL3.0:8:48661400:48661615:-1 gene:Solyc08g061350.1 transcript:Solyc08g061350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKSCAKSKSVLGIRYDLFRILTGEYGEANKKEIREILLKFPSLVVCKEGHTARYENNLVWKALKKVEI >Solyc09g007765.1.1 pep chromosome:SL3.0:9:1301069:1302687:1 gene:Solyc09g007765.1 transcript:Solyc09g007765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKIYQDPPPAPLIDPEELGKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQSSTDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAIMYIVAQCLGAICGCGLVKAFQKAYYVKYGGGANTLNDGYSTGTGLGAEIIGTFVLVYTVFAATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVVYGHNKAWDDQVMDILGWTIYWCCNCCILSSVYFEGLELLKHLVHSGAMLN >Solyc12g033000.1.1 pep chromosome:SL3.0:12:37777155:37777633:1 gene:Solyc12g033000.1 transcript:Solyc12g033000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFGHPVWATGFMFLISWRGYWQKLIETLAWAHEHIPLANLIRWRDKLVALSIVQARLVGLAHFSVGYILTYAAFLTASTSGLKKHVPPLACFLSKDEIFNDSLLYLPIFAIIAWATAGLTAFYIFRIYLLTFEGHLNAHF >Solyc07g041080.3.1 pep chromosome:SL3.0:7:51907798:51911736:1 gene:Solyc07g041080.3 transcript:Solyc07g041080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASLDSIQNAHEDSLWTAAWVRSDGDKPALLLTGGLDETVRLWDPTKLTCLHTNTGHCLGVVSVTAHPNRRIAASASLDSFIRVFEVDTNNTIATLEAPPSEVWQLQFSPDGSTLAAAGGGSSSVKLWDTTQWQLVATLSIPRQGGSQPSERSGSKKFVLSVAWNPDGRLLACGSVDGTISVFDVARVKFLHFLEGHCMPVRSLVFSPLLHESRILFSGSDDGHVHVYDAEGKTFLTSLSGHASWVLSVDVSPDGAAVATGSSDKTIKLWDLKMRAATQTLTNHTDQVWSVAFGPPSRIDVRSCMLASVSDDKSISFYQYS >Solyc11g006160.2.1 pep chromosome:SL3.0:11:912339:916396:-1 gene:Solyc11g006160.2 transcript:Solyc11g006160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAMHSILGLLSIFQDEKAASFDQKIIGIPAKDDRRFPVEMRPLVAFTGQVMGIEKRTFKVLALMVGLLLNVSILKGSVIFGVVLETGADGGNDGSGNKKTIDDSVIRDHRI >Solyc09g074190.2.1 pep chromosome:SL3.0:9:66377175:66380826:1 gene:Solyc09g074190.2 transcript:Solyc09g074190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDNINDDVNEKSLVVLDKEKGSMNKRKSASELPLETLTNSPVSCLTQFHKYKLLDEAPERDTIVEINPLKGGCPQSDAKQKGEMPPDTDWENMITTLLLELLTHNLSTIFQIAVKRIVKYGYSEEIAEWVIMRSGFYHGRKDTVSNVVDGALAVLSRENVFDISKSIVFEDLPSLVNYTLLKMVCVLRQIKTYFPVVEALWWLLIFDLNPMHALTMKGNHLVELRSQESLGDSSFSLNLPRSKTEASNNTQSNSDKQQLSPNSQTWQSKFPIASETLQEHESKNSNVCQAEKGKGSFLPCSEAKSKGPVLEDKSGTGKNSLNSKKDLCRRNTFQFEKNFRSRTSKNIKVNMNACESLVLDKNVNLSFTRATKKNSRSKASTCFKNNHPLEKASYDSPCHSSSITPTSDTSKLQPMQANVNDKDLDSLVVEPTSSKKVLDNTTISSTLLDYYVGIPYDESLGKYVPQNERDEIILLRTSHLKSLQKELQWWSDWANEKVMQATWRLAQDQAELKMLRQEKEDAEKVHQEKQMLEKGTMARIMEMEQAQVNTKSMSETLNSLLKTLEMDNVGLKKDIEALMLSTSENAVNQNNALAKEQEAIKKCQVADMEKHSFEKDLSTFKQEKASLLQQQEKTNKVVDQFKVLLKQEERMKQRLLRQADSLKAEKEQLCVHGKVQRDNFRERVKINMQKYKQDIQKCESEISQLRFQSERSKIEALKRGIPQMTNGLAAFAESSGSNVLNVERECVMCMNEQITIVFLPCAHQVLCEDCNVFHQKKGMDKCPSCRTSIKERISVRFPDSE >Solyc05g051010.3.1 pep chromosome:SL3.0:5:62065213:62068370:1 gene:Solyc05g051010.3 transcript:Solyc05g051010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDMVCVTGGTGYLASWLIMKLLQHGYSVNATIRSTQDHKTDVSYLTNLPEASQRLRIFNADMDKPESFSTAIEGCVGVFHVAHPMNYGDQEIEEEKIRKAVDGTLGILRECLNSKTVKRVVYTSSRTAVVFNDKGLDTVDESSWSDIHVLDNFTGLYPQLLM >Solyc05g051920.3.1 pep chromosome:SL3.0:5:63160327:63163023:1 gene:Solyc05g051920.3 transcript:Solyc05g051920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEVMEKIRSDKLTLVLVNIAGIMEKADETLLPNVYTEIGKDLHTDPTGLGSLTLFRSLVQCLCYPLAAYLSTRRNRAHVIAFGAFLWSAATFLVAISSTFTQIAISRALNGIGLAIVTPAIQSLVADSTNESNRGTAFGLLALTSSFGSILGGLLSVLIAETSFMGIPGWRLSFHLVGIVSVLVGFLVRLFANDPRYLGSKADKERDQLKLKSFQEEVSELLKEAKAVIKVPSFQILIAQGVSGTFPWSALSFAAMWLELVGFSHKTTALLWTLFRVADSLGSLFGGFMGDVLAKHLPNSGRIILAQISTGSAIPIAAILLLLLPNDPKTAMLHGLVLFIMGSIISWCGSATNNPIFAEIVPERARTSIYALDRSFETIISSFAPLVVGILAQQVFGYKPIAEGSTGSQEIETDRQNAASLAKALYTAIGIPMVISCSIYSFLYFTYPRDRDRVRLQLIEETDNSPSEEQQPLLEHDEHRIS >Solyc07g007490.2.1 pep chromosome:SL3.0:7:2183374:2185329:-1 gene:Solyc07g007490.2 transcript:Solyc07g007490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREDIEGPLIEENVNKNEILGELKRLLVLAGPLMSVNFLLSCLQAVCIMFVGHLGELSLSGASMATSFASVSGLSLLLGLASALDTLCGQSYGAKQYHMQRAMLVLLLASVFGLIFLQAQKNVIPMMFSAGITTLLHIFTCWILVFKSGLGNKGLEIWSFEMMVLLSGLLPNPKLETSVLSIRLDFILRHTNTNLKLTSQRVAIFELFQP >Solyc02g014580.1.1.1 pep chromosome:SL3.0:2:18023538:18023738:-1 gene:Solyc02g014580.1 transcript:Solyc02g014580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTLRVSSLKLWELGLLAQGVAPQDAGARLACSIDHASSFEGAGVAHSSSNVPSFVRKYGVLRAS >Solyc02g080995.1.1 pep chromosome:SL3.0:2:45621096:45630449:1 gene:Solyc02g080995.1 transcript:Solyc02g080995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRTKRMKGSKNMGMEELEGLILSWLHHGQNTELGKAVNCYQGAQEGNELHTVFTFSANPPDKSASSSLIATFACPGTVLLPREAPGSEQSFGLLLGNSITLSTDAAALSFSSSSSSRFRINFLFVNTLD >Solyc07g021630.3.1 pep chromosome:SL3.0:7:20368123:20376250:-1 gene:Solyc07g021630.3 transcript:Solyc07g021630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:K4CD19] MIQRAAPASIRKLFEFSSLRRQVDFRYYTAQVATSLRPCNYSADEVFPVVDWDKLGFGFTPTDYMYITKSCDVAGNFKQGQLNGYDNIQLSPSAGVLNYGQGLFEGTKAYRQDNGGLSLFRPRENAIRMQIGAERMCMPYPSTDQFVDAVKQTALANKRWIPPPGKGSLYIRPLLYGSGSILGLAPAPEYTFLVYACPVGNYFKEGTAPLNLYVDEEFHRASRGGAGGVKSITNYAPVLRAIRNARERGFSDVLYLDSVNKKYIEEVSSCNIFLVKGKVISTPIACGTILEGVTRKSIMEIAIDLGYQVEERLIEADELISADEVFCTGTAVGVAPVGSITYKGQRIEYKIRSELVCKKLYSTLVGIQKRHIEDKRDWIVDIE >Solyc03g114140.3.1 pep chromosome:SL3.0:3:65636053:65642409:-1 gene:Solyc03g114140.3 transcript:Solyc03g114140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:K4BKR6] MGVEKRATATVRVSNIPQSAIAKDLSNFFDSLIGKGSVFACDIHSEHKNWKSRGHGRVQFETLQDKLHCLSLAEQGNLLFKGHQLSLVSSFDDIITRPVEPKCRFQAGILHTGLLVEKDVMQVLETWEDVKTLIMPERKCLEFWVSHAEECYRLEVQFGDVTEGTLCSVENQKSALLLKLKHAPKLYQRVSGPAVASKFSADRYHICKEDCEFLWIRTTDFSNIKSIGCSSSLCWETEDGWLSSDLFSSLPCCNQDVIDLDLDKVGDIYSGSEFVPLVRIPSDLKLPYEILFQLNSLVQTQKISLGAINPNLIEVLSKLELDTAMMILQKMHKLQSICFDPLLFIKTRLHVLGKNNKNRPSSSYSRLVNHSMMSVHRVLVTPSKIYCLGPELETSNYIVKNFASHASDFLRVTFVEEDWGKLFPNAVSMSVEQGIFAKPYRTKIYHRILSILREGIVIGTKRFFFLAFSASQLRSNSVWMFASNEYVKAEDIREWMGCFNKIRSISKCAARMGQLFSTSVQTMEVKLQHVEILPDIEVTSDGVSYCFSDGIGKISQAFARQVAQKCGLNHTPSAFQIRYGGYKGVIAVDRNSFRKLSLRGSMLKFESKNRMLNITKWSDAMPCYLNREIVILLSTLGVEDKAFEDLLDNHLCLLGKMLTTNEAALDVLESMGGGEVKKILMRMLLQGYAPNQEPYLSMMLQSHFENQISDLRSRCRIFIPKGRILVGCLDETGILKYGQVYVRITMTKAELQNGQQNFFQKVDETTAVVRGKVVVTKNPCLHPGDVRVLEAVYEVTLEEKTWVDCIIFPQKGERPHPNECSGGDLDGDLYFICWDESLIPCQTVTPMDYTGRRPRIMDHEVTLEEIQRFFVDYMISDTLGAISTAHLVHADREPDKALNSKCLQLATLHSMAVDFAKTGAAAEMPRFLKPREFPDFMERWDKPMYISEGVLGKLYRGVMKSYIRRNSDDLSADRAIQDAYDHDLLVEGYEAFTETAKTHKAMYLDSMNSLLNYYGAEKEVEILTGNLRQKSVYLQRDNRRYFELKDRILVSAKSLHKEVKGWFTSCCQEDDHQKLASAWYHVTYHPSYCHESANCLGFPWVVGDILLNMKSHNTRKTIP >Solyc10g045530.1.1.1 pep chromosome:SL3.0:10:34241435:34241590:-1 gene:Solyc10g045530.1 transcript:Solyc10g045530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQYQIIFLFLLYLHKLFRIPHFLSLHVTLYLSIFTLCVFLNNFKNITNTK >Solyc04g008040.3.1 pep chromosome:SL3.0:4:1700995:1706591:1 gene:Solyc04g008040.3 transcript:Solyc04g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGDNSYNVRQPTSDTAGYTTPLTVSASFKEGKTYRRRTSMRPSLDADEFLNLLHGSDPVKLELNRLENELRDKDRELSEAQAEIKSLRLSERLREKAVEELTDEWSRVDEKLKLTESLLESKNLEIKKINEEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALLDAERTVQSALAKASMVDDLQNKNQDLMKQIEICQEENKILDRMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDASDKVMPVKQWLEERKFLQGELQQLRDKLAISERTAKSEAQLKEKYHLRLKVLEETLKSTSTGARTIPDGRSSSNGPSRRRSLGGADNISKLTSNGILPKRSPSFQLRSSGASTVLKHAKGTSKSFDGGSRSLDRSKKLLNGTGPNFNSSKSCDVSKDNETESNSWKANQDEKHNDSQMARTEDTVPGVLYDLLQKEVIALRKAGHEKDQSLNDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAAMEKEVSAMRVEKEQENRAKRFANSKGPVNSSQPLPGRYAFNVLKTECSTEWINARHTITFKGKPVEAAVTFECASDLGYDPSTVSDQHERNSSY >Solyc02g081330.3.1 pep chromosome:SL3.0:2:45890294:45895333:1 gene:Solyc02g081330.3 transcript:Solyc02g081330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytoene synthase 2 [Source:UniProtKB/TrEMBL;Acc:A9Q2P8] MSVALLWVVSPNSEVSYGTGFLDSVREGNRGLESSRFPSRDRNSMWKGGFKKGGRQGWNFGFLNADLRYSCLGRSRTENGRSFSVQSSLVASPAGEMAVSSEKKVYEVVLKQAALVKRHLISTDDIQVKPDIVLPGNLGLLSEAYDRCGEVCAEYAKTFYLGTMLMTPDRRRAIWAIYVWCRRTDELVDGPNASHITPQALDRWEARLEDIFNGRPFDMLDAALSDTVSRFPVDIQPFRDMVEGMRMDLWKSRYNNFDELYLYCYYVAGTVGLMSVPIMGIAPESKATTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDEDIFAGKVTDKWRIFMKKQIQRARKFFDEAEKGVTELSSASRWPVLASLLLYRKILDEIEANDYNNFTRRAYVSKPKKLLTLPIAYARSLVPPKSTSSPLAKT >Solyc05g055770.3.1 pep chromosome:SL3.0:5:66125576:66131753:1 gene:Solyc05g055770.3 transcript:Solyc05g055770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKEKPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELVAKNLESSDLNFSRYGDTFFEVVFIGGRTQPGTVKLDEGERHPYSVIECEPKREAILPSVIYVQKILRRRPFLIKNLENVMRKMLQSLELFEENERIKLSIFTALAFSQKLSGLPPETVLQPLLKDNLVAKGLVLSFITDFFKEYLIDNSIDDLISILKRGKMEDDLLEFFPSTKRTPEAVSEHFTKAGLLPLVEYNDKKIFEVRLKEMKSTLTTQLAEEVAISEVIETVKQHVKDAKLPDIEVVRILWDVLMDAIQWSGKNQQQNANSALRQVKKWAELLNTFCTTGKLELELMYKVQVQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFQKGTNLKGRQNFVKSLEPFVKWLEEAEEEE >Solyc03g044330.1.1.1 pep chromosome:SL3.0:3:8871620:8873599:-1 gene:Solyc03g044330.1 transcript:Solyc03g044330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetolactate synthase [Source:UniProtKB/TrEMBL;Acc:K4BG52] MAAAASPSPCFSKTLPPSSSKSSTILPRSTFSFHNHPQKASPLHLIHAQHNRRGFAVANVVISTTTHNDVSEPETFVSRFAPDEPRKGCDVLVEALEREGVTDVFAYPGGASMEIHQALTRSNIIRNVLPRHEQGGVFAAEGYARATGFPGVCIATSGPGATNLVSGLADALLDSIPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVMDVEDIPRVVREAFFLAKSGRPGPVLIDVPKDIQQQLVIPNWDQPMRLPGYMSRLPKLPNEMLLEQIVRLISESKKPVLYVGGGCSQSSEELRRFVELTGIPVASTLMGLGAFPTGDELSLQMLGMHGTVYANYAVDSSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSICADIKLALQGLNSILEGKEGKMKLDFSAWRQELTEQKMKYPLNFKTFGDAIPPQYAIQVLDELTNGNAIISTGVGQHQMWAAQYYKYKKPRQWLTSGGLGAMGFGLPAAIGAAVGRPGEIVVDIDGDGSFIMNVQELATIKVENLPVKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSNEEEIFPNMLKFAEACGVPAARVSHRDDLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRCSY >Solyc01g066380.3.1.1 pep chromosome:SL3.0:1:74057612:74059527:1 gene:Solyc01g066380.3 transcript:Solyc01g066380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFITKPSPQTPRVAVCHVDIRLGTTAWSPVIMVLVGPSPISTTFSMFFSISMCSLYVPALIRITKGVGLLLGTA >Solyc09g090330.3.1 pep chromosome:SL3.0:9:70316456:70321198:-1 gene:Solyc09g090330.3 transcript:Solyc09g090330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Harpin binding protein 1 [Source:UniProtKB/TrEMBL;Acc:Q5QJB4] MASLLHSRLPLSHNHSLSNSCQSFPCHLPGRSKRSTQRLLEERSYDSKRSLVCQSGIDEVTFIEPPGSKEAEAELIGSLKLKLLSAVSGLNRGLAASEDDLKKADEAAKELESCAGAVDLAADLDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVLSKDFDNIVELELGAPWPFPPVEATATLAHKFELIGSSTIKIIFEKTTVKTTGNLSQLPPLEVPRIPDQFRPPSNTGSGEFEVTYIDSDTRVTRGDRGELRVFVIS >Solyc02g069370.3.1 pep chromosome:SL3.0:2:39849070:39856476:-1 gene:Solyc02g069370.3 transcript:Solyc02g069370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGNKNMNAKLVLLGDVGAGKSSLVLRFVKGQFIEFQESTIGAAFFSQTVAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITNQASFDRAKKWVQELQAQGNPNMVMALAGNKADLLDARKVAAEEAQTYAEENGLFFMETSAKTASNVNDVFSEIAKRLPRLQPAQNPSGMVLMDRPAQTPASASCCS >Solyc02g088205.1.1 pep chromosome:SL3.0:2:51006457:51006977:-1 gene:Solyc02g088205.1 transcript:Solyc02g088205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQAIKSKNVGYTHFVSLPLTLPDEMVTKLNNFKNSLLQTNKVWELTNPFLLSQIHYT >Solyc06g072870.2.1 pep chromosome:SL3.0:6:45078811:45082375:-1 gene:Solyc06g072870.2 transcript:Solyc06g072870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACESRLEELNFVLVPLLAVSHIIPMVDIAKLLAQRGVIVTLVMTPLNAMRFTAAIDRAIDSGLLIRVLHLRFPAKEAGLPEGCESVDLLPGLAYRRNFFTAIDMLQDQAEKLLQEIQPKPSCIISDMHIAWTAETADKFQIPRIIFDGMSCFNQLCMHNLYIMKDQNRIPESGHFVIPDLPDTIEVTKVQLPGAFNPPPLHVQDFRDKIRAAEISAYGVIINTFAELEENYVDEFRKLKNGRAWCVGPLSLCNNESLDKAQRGNMTSFDEEERLKKWLDSWQPESVVYACLGSLSQTTVVQFAELALGLEASGYPFILVVKSGERQAPIEEWISENGFEERIKERGLLIRGWAPQVVILSHPAIGGFLTHCGWNSSLEGISAGVPMITWPLFAEQFLNERFLVHVLKTGVSVGSQEVVHFGEEEKYEVQVSKEEVTKAIKEVMDKEKKANNRRIRAKEVREMAKKAIEEGGSSHLSLTLLIKEMVTETELNFVLIPLLAASHIIPMVDMAKLLAGRGVTVTLVMTPLNAIRFTAVIDRVIGSGLLIRVLELQFPAKEVGLPEGCESADLLPALAFRRNFFAAIDMLQDQAEKLLEQMKPKPSCIICDTHIAWTAETADKFQIPRIIFDGMSCFTQLCMHNLYVMKDKNEIPESGPFVIPDLPDRIEVSKGQLPGAFNPGKHCIQDIRDKIRAAETRAYGVVINTFEELEERYVDEFRKLRNGRVWCIGPLSLCNNDNLDKAQRGNKTSVDKEDSLNKWLDSWQPESVVYACLGSLGRITIVQFVELALGLEESGCPFILVIKTGEGQAPIEDWILKNRFEKRTKERGLLIRGWAPQVLILSHPAIGGFLTHCGWNSTLEGITANVPMITWPLFSEQFLNERFLVHVLKTGVSVGCQGVMHLGEEEKPEVQVNKEEVTKAIKKVMNKEKEGNDRRKRAKEVGQIAKKAIENDGSSHLSLILLIKEIQEFQLNKS >Solyc09g065750.3.1 pep chromosome:SL3.0:9:64258396:64263854:-1 gene:Solyc09g065750.3 transcript:Solyc09g065750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANLVLSYQSFSKVSMRNIKINTYYKYNKLAYPSTCCVLTKPPHNNHSSNCHVYKDNWFDHLAINHLSQSIQATTGLRNKKSGYEGFVEAARVVYVNFNSTHQANLVIESLQRAFPKPILSLVKMLLPESKWAREYCAIFTTIFFPWLVGPCEVKESEFNGRKENNVVHIKKCRFLEETNCVGMCTNLCKMPSQLFIKDTLGISVNMVPNFDDMSCEMIFGQDPPPLDKDPAFRQPCYKLCNFFIYHNYFRKKHIILVMPEYVLLHAGKLNNKHQADCNSQIKKKDHLEIS >Solyc03g120360.1.1 pep chromosome:SL3.0:3:70274704:70281423:1 gene:Solyc03g120360.1 transcript:Solyc03g120360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDQKNTTSVEGATPFQESQQQQEAVASRRSGRVLRMKQEPQQALLLPPPGFAPMDASPSHPRYQTRKSLRAILGRCESITTTPRSPFRHVKASHPLCATSDLPAHLTTGSCKAIKEKFDDLVPAELEHQSILTNCDVLGVENQKQGQQAQTPPFPEVVVENNAIVSKADVVLNANGTEAETRNNQSGVLPAKSTSLNLTKIAALMNDYPSDADEVESRPSHMSVMVDTYRVKAEAAPVLQKIFLKYGDIAMNSSFSSVSFSSSLLELVCDVYKKLEETDFLSITSKEIQSMLAEVRDLEDAKIDVGWLSQRLNDVSQAKQLLQNSCKLKEVKTRNLVVMETNKKELEGLKEELAACIATCRVLQQRIHNKEDEFGIARSENEKIMQNFAALKSKMGKRGRTRKDEAPKNTNVEDATATPSQESQQQEAAASLRSGRVLRMKQEPKLEDGSALSPPPGFVLPDTSPRYQTRMSLRALLGRCESNTLTPTSPIRHVKSSYPSCAICDSPAHLTTGISVATKEKLDGSMRTELNHHSVLTNHGVLGVENRKSRQHTQTTPSFPEVVDEKNTILSKADVVLNANATELETKCDQSSALSTKGRVVESAHAGHKHQSVLTNRDVLDVEDQKPGQHTQTAPSFPDEVVDEKNTTLRKTDVVSNANATKRETRSDPLPTKSMSLNFTRVAALMTDYSSYADKVESKPGHPSIMVDGYRVKEEAAPILQKIFLKHGDIAMNSSLSPVTFSSSLLEFVCNIYKKLEATDFLSITSAELQSMIAEVKDLESVNVDVGWLHRRLNDISQAKQLVQDNCILNEAKTRYLVVMERNKKELEGLKEELATLQERIHKKEVELGIAHYENENIMQRFANSKAKLNSYLKKSLVHDLL >Solyc04g080950.3.1 pep chromosome:SL3.0:4:65092735:65098056:-1 gene:Solyc04g080950.3 transcript:Solyc04g080950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFSYGEVFLLLGATAALIGPKDLPIIARTLGRFAGRSIGYVQLARGQLESVMQQSQARQVHKELQDTMAQLEAIRHEIRTISFMNPGPLTSRLVDNINTTAGDNTTANGPQISIEDSTIVENGPKKFDEEITIVENKLQKSGKESKTDTITPTEHNSRNSALSDMHSQAAAYVRLAEMAPLKSVSIEKEGLSELTDESGSIVVLPVSAESAGLLPNRKDGAKGSDIVLEAILEADVAKNAKEFFSQPQNQLKIFERDGE >Solyc01g099180.3.1 pep chromosome:SL3.0:1:89364505:89368041:1 gene:Solyc01g099180.3 transcript:Solyc01g099180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:K4B0V5] MHAITGKDDGKRVKGKVVLMKKNVLDFTGIMAAVVDDVAELLGEKVSFQLISSSVFDDREGKLSNPAYLENWITNITPIIAGESTFSVTFDWDRNEFGVPGAFIIKNFHLNEFFLKSLTLEDVPNHGKIHFVCNSWVYPAFRYKSDRIFFANQAYLPSETPQPLRKYRENELETLRGDGTGKLEEWDRVYDYAYYNDLGDPDKGEEYARPVLGGSSEYPYPRRGRTGREPTKTDPNCESRIPLFMGSDIYVPRDERFGHLKMSDFLTVYLKSIAQSFLPAFKALFDNTPNEFDSFEDVLKLYEGGVKLPEGPLLKAITDTIPLEILKDVFHSDGEGLFKFPTPQVIQEDKTAWRMDEEFGREILAGINPVLITRLQEFPPKSNLDPNIYGNQNSTITREQIEDKLDGLTVDEAIKANMLFILNLHDIIMPYLRKINTTTNTETYASRTLLLLQDNGTLKPIAIELSLPHPDGDQFGTVSKVYTPSDQGVEGSIWQLAKAYAVVNDSGIHELISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLHPHFRDTMNINALGRHMLINSAGTIELTFFTGKYSMEMSAIVYKDWIFPEQGLPADLIKRGVAVEDSSSPHGIRLLIQDYPYAVDGLKIWSAIKSWVTEYCNFYYKSDDTVKKDSELQAWWKELREEGHGDKKDEPWWPKMQTRQELIESCTITIWIASALHAAVNFGQYPYAGYLVNRPSLSRMLMPEPGSPEYEELKTNPDKVFLKTTVPPLQTLLEISVLKILSRHGSDTLYLGQRDSPEWTKDQEPLLAFERFGKKLSDIGNQILQMNGDHEKWKNRLGPVKVPYISLFPTSGEGLTGKGIPNSVSI >Solyc01g097080.1.1.1 pep chromosome:SL3.0:1:87914975:87915766:-1 gene:Solyc01g097080.1 transcript:Solyc01g097080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRKPDFSYQRLLTEGEDISTLTYDLYGTQPPSTQLSLSLGGQRVQQLPTSQVGNDQLGKLSVGQKVQQLPISNYQFGDSSAGQRVKKLPVSQVGNDLFGNSSAGKTVQQRPIREEGNDQLEKLSAGQMVQQLPTSQVGNDQPGKLSVGQKVQQLPISNYQFGDSSAGQRVKKLPVRQVGNDLFGNSSAGKTVQQRPISEERNDQFEKLSAGQMVQQLQINSQNHQNQVLPQPEEISFRELLARDDISYMDLLTREDLQISWI >Solyc03g112720.3.1 pep chromosome:SL3.0:3:64551188:64554178:1 gene:Solyc03g112720.3 transcript:Solyc03g112720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIARGRLTEERKAWRKNHPHGFVARPETGPDGSANLMLWRCIIPGKPGTDWEGGHYPLTMHFSEDYPSQPPKCKFPRGFFHINVYPSGDVCLSILNTGLGWSPAITVKQILVGIQELLDEPNPSSSAQSECYKLYVKKVSYLSLTRF >Solyc05g056140.3.1 pep chromosome:SL3.0:5:66382612:66388128:-1 gene:Solyc05g056140.3 transcript:Solyc05g056140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKWGKVKLALGLNLCTYVPKKTLDENDDSGSSTVSESERHSGAALITPATADWDVAPATPRSQVLKLSKSLSRSSKKTCSICLASMKRGDGHAIFTAECSHSFHFQCIASNVKHGNQVCPVCRAEWKEIPLQFPSLDPPIGRARVNPVDWPQNNALMTVIRRLPTTRPTPNRHISPLFQAPEPAIFDDDDESLGHQLNSTEKSASDKSSIDGCESCDNRKVKIETYPEVPAVSRSSASANFTVLVQLKAPGSFSVQEPGKTQVNLSQVSQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGPNDRLAVIAFSSTARRLFPLRRMSETGRQQALQAVNSLVANGGTNIAEGLRKGAKIMEDRKEKNSVTSIILLSDGQDTYTVSNNSGSRQQQPNYKLLLPLSIHGGNSSGFKIPVHAFGFGNDHDASSMHSISEISGGTFSFIETEGVIQDAFAQCIGGLLSVVVKELQVSIECLHPGVCLSSLKAGSYPNRLMSDGHMGTIDVGDLYADEERDFLVSINIPTESSGAETSLLKVKCVYVDPFTKEKVSIRSEDLSIKRPEKAGQESVLIEVDRQQNRVRVAEAMAQARAAAEKGDLVGATSILENSRKLLSESQSAKSHDRLCVALDAELKEMQERMASRNVYEASGRAYILSGLSSHSWQRATARGDSTGGSSLVQAYQTPSMAEMVTRSQATLLSSPSAQRHVRPVWSFASQPKPR >Solyc01g094500.3.1 pep chromosome:SL3.0:1:85825150:85829717:-1 gene:Solyc01g094500.3 transcript:Solyc01g094500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCPLSPSKQRPYSTAHFLSPSATYFGICNASKRLSISLINLGNESEQSVTTSRLNYGFRLATKRVSISLTSSGNGDRLVNVRCGELAVEHDDGFYIRRCVEIARKAVGHTSPNPMVGCVIVKDGKIVGEGFHPKAGQPHAEVYALRDAGDLAEKATAFVSLEPCNHYGRTPPCTEALIKAKVKKVVVGMVDPNPIVASTGVSRLRDAGIEVITGVEEELCRKLNEAYIHQMLTGKPFVTLRYSLSVDGGLSDQLGAEVTESGGYYSKLLQEYDAVVVSSFLLSTKHSVLSSKEPGAKQPLQIVLAKSSGSLQLPAVTAISSKTIIFSDEDIVMELEASQRGIETVVFDRMNLTAILEHCKRQGLCNVMLDLRGNSAEFEEILQEGFEQNLFQKVIVEVLPILGAGYKEAFKYMQQNRKLKNLTSRNLGESILLEGYF >Solyc10g050300.1.1 pep chromosome:SL3.0:10:48736164:48736563:1 gene:Solyc10g050300.1 transcript:Solyc10g050300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRQEFTKFENEVKLIAKLQHRNLTKFLGYCINGAEKFLVYEFRSNNSLHKVIFDPRGRGTVKWPIHNIKLRICCNFSAVQKFETSASLKN >Solyc06g042937.1.1 pep chromosome:SL3.0:6:30861499:30862325:1 gene:Solyc06g042937.1 transcript:Solyc06g042937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGFLYDTHIALVMFSPTGEVINYSSRERSTPNPNEEAHMEKLNDLKQTLSEAHEKIRLKISSLYF >Solyc08g079710.3.1 pep chromosome:SL3.0:8:63307269:63320250:1 gene:Solyc08g079710.3 transcript:Solyc08g079710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:K4CNX1] MSMAYRNGTLPKGALKVDRPLSMNSSTKSSASFKSKTVGHTPVTGPRRKSTGSVGNSAANTKDSTSVSGRVRVAVRLRPRNAEEMVSDADFADCVELQPELKRLKLRRNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTADRGIMVRAMEDILSEITPEKDSISVSYFQLYMESIQDLLDPVNDNISIVEDPKTGDVSLPGATTVDIRDQKSFLELLRVGEAHRFAANTKLNTESSRSHAMLMVHVERSVKGRDSVILSENGSTSHTAKAFKPPVVRKSKLVVVDLAGSERIDKSGSEAHTLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKIKEEFDYKSLSRRLEMQLDKQIAEHERQQKGFKDDIERIAQEAQVRITEAEKGYAEALERERLQYQNDYMDAIRKLEEQWAANKQKHENEKAKSSVLSASMEVAELKKLFEKETISRKAAEEEIDNIKNQLAQWKRSEAAGNSEILKLRKMLEDEKCQKAKLEEEIAVLQSQLLQLSFEADETRRNLDRGETEKLPGTLDSPIPPFMHQHLGDSGVGEKASMAKLFEQVGLQKILSLLESEDADVQIHAVKVVANLAAEEANQERIVKAGGLKSLLTLLRSSNDETIHRVAAGAIANLAMNETNQELIMSQGGISLLSVTAAKAEDPQTLRMVAGAIANLCGNEKLQPKLRGEGGVKALQGMVRSRHPDVLAQVARGIANFAKCESRAYTQGSKTGKSLLIEDGTLPWIVQNANNEASPIRRHIELALCHLAQHEVNAKDMIKGGALWELVRISRDCSRDDIRTLAYRTLTSSPSFQAELKRLRIDYG >Solyc07g049340.1.1.1 pep chromosome:SL3.0:7:59740792:59740986:-1 gene:Solyc07g049340.1 transcript:Solyc07g049340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKAFILSTVLIETTSSMKISFKNKYSTYNFRCLFSHSSYTLCILSYIINLDISFKNKYIEFD >Solyc01g102260.3.1.1 pep chromosome:SL3.0:1:90967934:90968683:-1 gene:Solyc01g102260.3 transcript:Solyc01g102260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKKVKLAFITNDSARKATFKKRKKGLMKKVSELSTLCGIDACAIIYSPYDTSPEVWPNTMGAQRVLAEFKRMPEMEQSKKMVNQESFIRQRIAKASEQLKKQSKENREKEMTEVMYQGLTGKGLQNLNLGDLNDLGWVIDQNLKEVYKRIEAVKKGASTSSSSSVAAAAVAAAAVASQAVAPPMEQKPAVVELGLDSMQRTQTEWFTDWMNSNASDQHIGYGHADEMILPNFNDNHNANVWPNNFYP >Solyc05g013020.1.1 pep chromosome:SL3.0:5:6128158:6129636:-1 gene:Solyc05g013020.1 transcript:Solyc05g013020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFTALKRLTSAGASAALPTSSNDGAGARSVSHRRRVANSFINIRLTILCGIVTILVLRGTIGLNNFSSNSPSLDQNQKLVEETNRIIAQIRYDDNDNDDDDNFEYPQNSSYSLGPKINNWNSTRKTWLHENPRFPSYINGKPRVLLVTGSPPSPCDNSIGDYYLLKSIKNKIDYCRIHNIEIVYNMAHFDKKLSGYWSKLPLIRKLMISHPEIEWIWWMDSDAMFTDMVFEIPLSKYSEKNLIIHGYPELLNEKSWVALNTGSFLLRNCQWSLDLLDSWAPMGPKGKIRDDAGKILSANLKGRPNFEADDQSALIYMLILRNNKWMEKVFVENSYYLHGYWAGLVDRYEEMIQKYHPGFGDERWPFVTHFVGCKPCGSYGDYPVEKCLKSMERAFNFADNQVLKLYGFKHRGLSSPNVKRIRNETFRPLEFVNDFDIRHSTLFRF >Solyc06g036110.1.1.1 pep chromosome:SL3.0:6:25649814:25650560:1 gene:Solyc06g036110.1 transcript:Solyc06g036110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRYEVEVKITSAKDLKNINWRYGPLKPYAVVWVDPNAKCSTRVDEDGDTSPYWNDKLVIPLYSPIDESVLYIDMVHAVGAEEDTKPLIGSAKIPLSEVVEEAGVGGEVERTLQLKRPSGRPQGKVKVQVTVRDPRYRARDAYYAPPYGVPPPAQPYNYGAPILPYGQPGVGNYGQAGGVYGYEEEKKKSKFGMGTGLAVGAVAGVLGGVAIAEGIDHLENSIAEDAAEKVEEDLADDDGDYGDDDF >Solyc04g072920.3.1 pep chromosome:SL3.0:4:59994814:59998153:1 gene:Solyc04g072920.3 transcript:Solyc04g072920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose 6-phosphate phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BTS9] MTNQNVIISDPRSGFDSSLLSLSPAVPGPLPQPGRFIAVPAKRSFKNIDSTDAARITALLDSMRASSPTRRSSETENLNSWIVHHPSALNMFDEIINSSKGKQIVVFLDYDGTLSPIVDDPDKAFMTCEMREAVRNTSKYFPTAIVSGRCRAKVFNFVKLSELYYAGSHGMDIKAPVKGRNYRKGNNQTVLCQPAREFLPMISEVYKSLVEKTKSIPGAKVENNKFCLSVHFRRVEEKRWTELAEQVKSVTKEYPTLRLTQGRKVLEIRPSIKWDKGNALEFLLESLGYANSNDVLPIYIGDDRTDEDAFKVLRDRGQGFGILVSKSPKETNATYSLQEPLEVMYFLNRLVEWKQSSLQQKYQKK >Solyc01g087170.3.1.1 pep chromosome:SL3.0:1:81963911:81982187:-1 gene:Solyc01g087170.3 transcript:Solyc01g087170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGPERPNQSVTDSTSSMKGITKLTVETEDSFSSLLELAANNDLEGFKRSVELDASAIDEVGLWLVRKKGAKQIVNEERTPLMVAATYGSLDVLKLIISNPVVDVNRACGPNKWTALHCAASSGSVNVIDAVKLLLSAGGDPNVEDANGQRPADVIVVPPKLPGTRASLEKLLLNNSSDGSVGDCKLTVSVATSDASSPILSSSPENGSPCSSLESSSSPMASKFSDLPANSAREKKEYPIDPSLPDIQNSIYSTDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCARRICFFAHTTEELRPLYVSTGSAVPSPRSAASAASVMDMAAALNLFPGSPSAHSVMSPSAFNQPMSPTANGMSHPSAPWPQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEDFNMLQDFDAQQLVLNDMACYSQPRPNSATLNRSGRSKTLTPSNLEELFSAEMNSSPRYSDQAAACGVFSPSHKSAYFNQFQHQQNMLSPINTNVFSPKNIEHPLLQASFGVSSPGRMSPRSMEPISPMSARLSAFAHREKQQLRSLSSRDLGSNNAPLVGSPVGNSWSNWGSPNEKVDWSVNGSGLRQLPRSSSIEQLNPGEEPDLSWVQSLVKESPTEIKEKQAAPNSVAAPSGESLKTSSQIDSVDNSVLGAWLEQMQLDQLVA >Solyc01g057990.1.1.1 pep chromosome:SL3.0:1:64660826:64660990:1 gene:Solyc01g057990.1 transcript:Solyc01g057990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSMSCCFNLVRFDIPSVHFIFYVVSIILFFILPFSFLVERKRKLLENLRRVI >Solyc07g039310.1.1.1 pep chromosome:SL3.0:7:47055302:47056843:1 gene:Solyc07g039310.1 transcript:Solyc07g039310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKKAKVVIIGAGMAGLTAANKLYTTAGCKDLLDLCVVEGGNRIGGRINTSEFGGDRIELGATWIHGIGGSPVHEIAQQINSLQSEQPWECMDGLLETEAITIAEDGYVLDSSFVEPISNLFNKLMDISQSKLVTQNEIPNVMSVGSFLRRGIDAYWDEHVDELEGLDKRRKRSLEQGIFAMFESIQRTYTSANDLEMLDFNAEKEYCMFPGEEITIAKGYLSVIESLASVLPPGLIQLGRKVTKIEWQPDELLEIENGTNKPPVKLHFVDGSIMYADHVIVTVSLGVLKQGIREDSGMFNPPLASFKTEAITRLGFGVVNKVFLKLTSIPDGINFPYLQMVFHNESEQNPKIPWWMRRTANLCPIYGNSNVLLSWFVGKEALEVESLSDEEIIDGFSKTISSFLINSHSDAESMFKVEKVLKSQWGNDPLFLGSYSYVAIESSGDDLDAMAEPLPKKITSLHDSNVSPPPLQILFAGEATHRTHYSTTHGAYFSGIREANRLLQHYHCIDI >Solyc02g021273.1.1 pep chromosome:SL3.0:2:22885198:22886713:-1 gene:Solyc02g021273.1 transcript:Solyc02g021273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWTEDDGAIKLRQFSLLTTRGELLQAKSGSEFRTCPVSSLLLHSGELEEDQSITEHDGSLRNFYDNVTINNSKYQKMWKK >Solyc06g068990.3.1 pep chromosome:SL3.0:6:42924340:42937921:1 gene:Solyc06g068990.3 transcript:Solyc06g068990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSTFVDGVRQPILNNLEKPIKISDSKYQEEEGGELTIIEDFDISGLKFIRVPKRVDFPFSSASYQMDHHRKIIIFAIFIIANLRKTEVLATNDEWDFTWYLVVVATNDQCFVESCEAEFFTEYGEASRYQIQEVIGKGSYGVVASAVDTKTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLMRGLKYTHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDTPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPETIAKIRNEKARRYLGNMRKKTPVPFAQKFPHVDPLALRLLERMLAFDPKDRPSAEEALADPYFRSLSNVDREPSTHPISKLEFEFERRKLAKEDVRELIYREILEYHPQMLQEYLRGGDQTSGFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKDETSSQNIDFEKRTSASVASTLESPPGKSERSENSDANVQNGPNKANYSARSLLKSASISASKCVVVKGRNAEEEPIKEQSDGVDGLAQKPADFVYITNLTVIPAFPVIPEPDPTETNPHSFSPAPSLPATIPAFPEQSNFDSCSLDIPEDLYKGITSSCRSNDHSGQINPTSCCPVLAAWLYAAYSRTALHRAIAKFPQYSMSAEMPVLPDDSETCVVSFGKALENRGIELVKPNETCDVVYCYCGIRLHPLSCPEKFTLNSSGEIVGGESVKRLERDCFSSNGYAGIAGCSKCLNTLYLLSDSRLEDTSITNDRSSKLRSMGCQMMGLTWLLNKNTSGYIHTVSAVLRALMMSEDGSNPQSCTLNSDGLPLAVDSSEINDQSSATSLQASSFLSIFISASPFSATKGDFTDGRHQSEKMSQESLVLRGTMKAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWSLTKDGSQYGVPRRRLTGHGHFVEDVVLSSDGMFALSGSWDGELRLWDLQAGTTARRFVGHTKDVLSVAFSVDNRQIVSASRDKTIKLWNTLGECKYTIQEQDSHSDWVSCVRFSPNNLQPTIVSGSWDRTVKIWNLTNCKLRSTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSIIHTLCFSPNRYWLCAATESSIKIWDLESKSIVVDLKVDLKQESEMFGTAATDSKTKVIYCTSLSWSADGSTLFSGYTDGLIRVWGIGRY >Solyc05g053680.2.1 pep chromosome:SL3.0:5:64576101:64584689:1 gene:Solyc05g053680.2 transcript:Solyc05g053680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGISARRIVVDARHHMLGRLSSILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKIKRMVIPDALKVLRLQSGHKYCLLGKLSSEVGWNHYDTIKELENKRKERAQVAYERRKQLAKLRVKAEKAAEEKLGPQLAVIEPIKEQVKIPVEKPYIYLKGEGRRKTNVTWDDYGSIDSDATFFSEADYTLVKSITFMNSYNIPLKGSKNMSQALAVKISGDKSTFYRCGFIGVQDTVWDVQGRHYFKLCTIVGAIDFIFGNGQSIYERCALVVNAGTLSGPGSITAQGRQSQYDQSGFVFKNCEVYGTGSTYLGRPWRDYARVLFYNCSMSNVVVSPGWDVWNLSGKE >Solyc01g094030.3.1 pep chromosome:SL3.0:1:85472866:85485876:-1 gene:Solyc01g094030.3 transcript:Solyc01g094030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CLB1 [Source:UniProtKB/TrEMBL;Acc:O48645] MGLISGILMGMICGIGLMAVWKHMTRYRSNKRIAKAVDVKVMGCLCRDDLKKVCGDNFPEWISFPVYEQVKWLNKQLSKLWPSIAEAGEAIIKESVEPLLEDYRPPGITSLKFSKLSLGTVAPKIEGIRVQSLKKGQITMDIDLRWGGDPNIVLGVEAAMVASIPIQLKNLQVFTVIRVIFQLTEEIPCISAVVVALLSEPKPRIDYVLKAVGGSLTALPGLSDMIDDTVNTIVTDMLEWPHRIVVPIAPVDTSDLELKPQGKLTVTIVKANGLKNHEMIGKSDPYAVVHIRPLFKVKTKTIDNNLNPVWDQTFELIAEDKETQSLFIEVFDKDNIGQDQRMGVAKLPLNELVADAAKEIELRLLPKLDMLKVKDKKDRGTITIKVLYHEFNKEEQLAALEAEKAILEERKKLKAEGVIGSTMDAVGSGVGMVGSGIGAGVGLVGTGLGAGVGIVGSGFGAVGSGLSKAGKFMGRTFTGSSKKNGSSTPVNSVQENGGAKPLKSE >Solyc02g076940.2.1 pep chromosome:SL3.0:2:42612019:42615740:1 gene:Solyc02g076940.2 transcript:Solyc02g076940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTSRGRAWFNKIGEKLDNLYCEVDAKSQEQINFVESQFQIASANLKQFCIGVIQEILPGSLSAVEEETSNLSTEQNREQHPASELSFSNSTSLKLAVEATEGVHVDSSLQPREDKAMKMSFEDWEKILGVTGKSSAQVASTEETLKMTLSCEGDKGVEVPAKSSTSASSFECLEFDPSMQEEKTIYFTDHGANTSNVPSLTCSIYSTESQESVVLDFDEMNSNAAVPAVSTDEPVIDSVTEVKFDGHCVLVGRGDFSLGSECHGAHISLKKNMAMLKGKLGKQRNKDATKCEDFIVELEESNAEGKTIYSPTEKLELSQEGFYESDWEII >Solyc10g017810.2.1 pep chromosome:SL3.0:10:6075024:6088766:-1 gene:Solyc10g017810.2 transcript:Solyc10g017810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATPase 101249310 [Source:UniProtKB/TrEMBL;Acc:K4CYQ6] MASSNEDLPQGTVKNVLEQDTLKWVFVGGKGGVGKTTCSSTLGVLLSQVRSSVLIISTDPAHNLSDAFQQRFTKAPTLVNGFNNLYAMEVDPTVENDETLGSEGMDDFLSDLANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKVMSLRNKFGGVISQMSRIFGVDTEFGEDAILGKLEGMKDIIEQVNRQFKDPGLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLFDEEVVESKLLKARMRMQQKYLDQFYMLYDDFNITKLPLLPQEVCGVEALKVFSHNFLTPYQPSLLRGSVEELEMRIATLKEQLKDAEEELEKLRAGQQESIM >Solyc03g113180.3.1 pep chromosome:SL3.0:3:64904985:64906276:1 gene:Solyc03g113180.3 transcript:Solyc03g113180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHYGDHRRGTNLTTSVNPVYEDIEPSSGWIEDAENHYLLIDLPGFKREEVKLEVDTFDNIKVSGERKVGENKFIRFQKSTIAPEKSKSEDTSARIEDGILFVIIPKELPENNEREEAAIASSGHEENQQEEIESSKGHDTEEKENDKQGPNGDEEFHDAKMAKKWHEAYPVAAGKEILKKNKTIVITALLAFSFGVYVSQKWQSSKID >Solyc11g011210.2.1 pep chromosome:SL3.0:11:4263527:4265100:-1 gene:Solyc11g011210.2 transcript:Solyc11g011210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RSI-1 description:Protein RSI-1 [Source:UniProtKB/Swiss-Prot;Acc:P47926] MAKSGYNASFLLLISMFLILLTFSNVVEGYNKLRPTDCKPRCTYRCSATSHKKPCMFFCQKCCATCLCVPKGVYGNKQSCPCYNNWKTQEGKPKCP >Solyc06g075517.1.1 pep chromosome:SL3.0:6:47041336:47042892:1 gene:Solyc06g075517.1 transcript:Solyc06g075517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRCIAQSRKLAQQTFSFGTDCFLNFGVLKFCLILQQKSMRRKRKIELLAKSPDGTTDVFVAQVKLKDVVTKRISSEQRNRHRDPMNIIVARAISP >Solyc10g083075.1.1 pep chromosome:SL3.0:10:63093111:63094023:-1 gene:Solyc10g083075.1 transcript:Solyc10g083075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIDAYGETSRLILEGSSSRLSKSTNNSTSNKRLGYLPSNDSMDSYEYQETNSILNMSSSSSNDSISSNGLGYLPSNESMDATSILERSKSTSTTNTPFGFSTSEPPSPYFMSLIEAKADQIIATSTSNVIP >Solyc01g111920.3.1 pep chromosome:SL3.0:1:97997257:98003060:1 gene:Solyc01g111920.3 transcript:Solyc01g111920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEWSQLPRELVELISKHLSTETDFLRFRSVCSSWRSSLPPKPYPSSLSRFPILPNDGIAENSWGFKLSKSPLYLIHPPNQTNNHGWIIKLDRENPQRMRLFNPLSRSQCKPLPPDFPKILDSSQYPIRELCHEYTLQFIKYRPRASSIADAGNLYMEKVAVRMEKNGFVLLTIHVSGKLVMFRSGDTKWSIVDESSLPYDDVIMKDENFYAVDNTGKGVLVKLNPGTAPELQVVAHSVFGGDKKFLVESCGDLLMVDKYLSIGPEDDLGYNETVEFYEEFDCYMSERTVKFKVYKLDGDMQRWVEVNCLEDRMLFVGDNCTFSALVSELDSGCKGNCILFSDLFFCSTEDDGGFWKHHGIGVFSLENGSICPINCCRGYAELFWPPPPWICSPPTIDAELNELKI >Solyc01g110385.1.1 pep chromosome:SL3.0:1:97021498:97026132:1 gene:Solyc01g110385.1 transcript:Solyc01g110385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIASVSFLARRASQRERVRILYRRALRDTLNWAVHRHLFYPDEDVETIDRLIADGEASYNKWRHPDPYIVPWAPGGSKFNRNPVPPEGIEIVYDYGKEEAELVHKVVGIQGELHPVLSSFLVIPSSFEQ >Solyc04g053094.1.1 pep chromosome:SL3.0:4:51495570:51508283:1 gene:Solyc04g053094.1 transcript:Solyc04g053094.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTRMVIVLVYVDYLLIKGSEPLLIQATKQVLHSHFKMNDLGELKYFLGIEFCRSESGVVMNQRKYALELLSEAGPAGAQLVFTPLECNVKLTSVAYNTSNVDPLFLDITTYQRMIGKLLYLTNTRPDIPFAQPKHSHWNAALRVIKYIKGSPGLGLLMSSHKYTKLTGFFEADWAAFLSTRRSVTGYLLNFGDSLISWKSKKQNTVSQSSAEAEYRSLATLTAKVVWVTTSSKKIGCETSKAKIQDILSAYLEFCFLSIVDLLNGVVSAEGSSNVGDVAGAIDEGRFLIMSLSLSFIVSESSRIYGLHVVLSRPDRTVFGSYVFGRLIAATPLEVVVSRFIPKKEEPAFEGYDNN >Solyc02g094570.3.1 pep chromosome:SL3.0:2:55738101:55758992:-1 gene:Solyc02g094570.3 transcript:Solyc02g094570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTLAAIVGGAAGAVALVGILLLICCFCIFRKRFISTTSDTGSSDPSVQVGKNAGVELTSQDARRFHIEELSLATKGFSDKSLIGQGKFGEVYKGLLHDGMLVAIKRRSAVPSQEFVDEVRYLSSIQHRNLVTLLGYCQENDQQILVYEYIPNGSVSIHLYGGDHVTQEKLEFKHRLSIALGAAKGDPLQNQ >Solyc10g075060.2.1 pep chromosome:SL3.0:10:58808861:58816490:-1 gene:Solyc10g075060.2 transcript:Solyc10g075060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSKIVCFVVLCMVIVAPHAEAISCGQVSSSLAPCIPYLQGRGPLGGCCGGVKSLLGATKTPADRRTACTCIKSAANAVKGLDTTRASGLPRACGVNIPYDISPSVGCSSMEMFSKIACIVVLCMVVTLVAPHAEAVTCGQVTSGVAPCLPYLTGRGPLGGCCGGIKGLLGAARTPADRKMACNCLKSAATAIKGIDAGKAAGLPSVCGVNIPYKISPSTDCN >Solyc04g024480.1.1 pep chromosome:SL3.0:4:30654049:30654567:1 gene:Solyc04g024480.1 transcript:Solyc04g024480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVPMQNETKQDDIIFRSKIPDIYIPNHLPLHSYWFKNISEFKSKVDAGLHRKFGIQQKDTIMILLQNSPEFVYAFLGASYLGAISTMANSLFTYAEVVKQATSSKSKIIITQSCLVNKVKDYAFENGVKIVCIDPAPEDCVNFSELI >Solyc06g049030.3.1 pep chromosome:SL3.0:6:32365498:32367301:-1 gene:Solyc06g049030.3 transcript:Solyc06g049030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVYHRPYRLLVDPHPVVPPISKPLPHHGYSNEANFDTNMVIILAALLCALICALGLNSIVRCALRCSRRFAFESETARLAATGLKKSTLRQLPLSVYGSGVNIPATDCPICLGEFVDGEKVRVLPKCHHGFHVKCIDIWLALHSSCPTCRQSLLEQNTTAAAAAGDVQVEKIAGKYYHSAQRNNVE >Solyc10g012145.1.1 pep chromosome:SL3.0:10:4486435:4490453:1 gene:Solyc10g012145.1 transcript:Solyc10g012145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFFPNGGRRGRGGGRGGRGGRGLPPGREQPSGRSGGMAAHADSPTSPAVATGSSQGGKFPALSTEQMTRLLHMLDTPTQSENNTGMDRVSTMEIGRGTARKGRPPNKFAPRSVKCMFLGYPSGTKGWRVYDLETHRFFHRRDIAFDEMIFPFAPTPANPPPTQHTPPVPQIADFPVTVTPPAQQSAPSPVGGPTLSVPTMSPHPHIVSVNQHTNNTPQQPTSATSTDHTTAISPVDDEAVLQPARTSNRVRYPPGYLSEYVCQSATHIPPVTRPSITHRSGTWFPITNYIRYEKLHDRYRGFLAAISATDVSRSFRDADHTLFIFRRGADFLAILIYVDDILVTGNNLNLCASFKKYLHNCFQLKDLGPLKYFLGIECARSSTGLVLCQRKYALEILQEAGLTDCKPASTPFSTGHGLATSTSAPIRDPSKYRRLVGRLIYLTITRSDLAYSVHLLSQFMNEPRVDHLNAAMRVLRYLKGHPSQGILLRADSNLQIMVYCDSDWATCPLSRKSVSGYFVMLGRSPISWKTKKQSTVSRSSAEAEYRAMADTCYEIRWIQHILGCLGVTTTSIPSRMRKLKFPSKGDKHTGELMIKKV >Solyc01g056970.1.1.1 pep chromosome:SL3.0:1:57848117:57848317:-1 gene:Solyc01g056970.1 transcript:Solyc01g056970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPEVPTTSKPELPTTTKLEITELAKLEVPTMTKLEILEELPKLEVPTMAKPEVPKLPKAQSFQS >Solyc12g099345.1.1 pep chromosome:SL3.0:12:67509416:67513068:-1 gene:Solyc12g099345.1 transcript:Solyc12g099345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSVSGRLLGCEIHGFRTMQDLDIPNIMEESKMRWLRPNEIHAILCNHKYFNINVKPETKHEFFMEKKKFLGHGRYHGFHLIFFDFQCIGGTIVLFDRKMLRNFRRDGYNWKKKKDGKTVKEAHEHLKGQVGNDERIHVYYAHGEDNTTFVRRCYWLLDKYE >Solyc08g059750.2.1 pep chromosome:SL3.0:8:45289396:45298589:-1 gene:Solyc08g059750.2 transcript:Solyc08g059750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAMGSSKASYTQILVASSIGLILAAAVHYRLKKIRDQKIVPRTKVTNTGQILRFESFSHYVARQMGFNDKRECPHLCKLSAEYIRKSDGCEENIYNFFSNEPDADSLFIKLVEEFERCILSYFAFHWTNASHMISQILSADHAEPKKKLKNIVMAATREQRFERVTKNLKVARVFTTLVEEMKAIGLVSADDSECTDVMVPMAHKDRSPVLLFMGGGMGAGKSTVLKDILKEPFWAGAAGNAVVIEADAFKESDVIYKALSSRGHHNDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWIPFVVQTITMARNVHRRRYRMGVGYKVEDDGSVTENYWEQLNEEQESTDGNRKRRPYRIELVGVVCDAYLAVIRGIRRAIMCRRAVRVNSQLKSHKRFASAFHTYCHIVDSARLYSTNALEGPPKMIGWKEKERTLLVDPDEINVLQMVGRLNDGANSIYELYKNPHPAFQAGSVWKDIVLSPSRLNIQKELKYSIQKVERMRS >Solyc02g089200.3.1 pep chromosome:SL3.0:2:51712263:51716306:1 gene:Solyc02g089200.3 transcript:Solyc02g089200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALLVFSNRGKLYEFCSTNNMLKTLDRYQKCSYGTLEVNRSIKDNEQSSYREYLKLKAKYESLQRYQRHLLGDELGPLTIDDLEHLEVQLDTSLKHIRSTRTQMMLDQLSDLQTKEKLWNEANKVLERKMEEIYAENNMQQAWGGGEQSLNYGQQQHPQSQGFFQPLECNSSLQIG >Solyc01g008970.3.1 pep chromosome:SL3.0:1:2920076:2924248:-1 gene:Solyc01g008970.3 transcript:Solyc01g008970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKTRASEPSQPAEEPVEIKQEPEGDNEKSEQVNGGGAEVKIEEGNEEDLEDEPLENLLEPFTKDQLTALIKEALAKYPDFKENIQKLADKDPAHRKIFVHGLGWDTTAETLTSVFGSYGEIEDCKAVADKVSGKSKGYGFILFKHRSGARKALKEPQKKIGTRMTSCQLASAGPVPAPPPSTAAAPVSEYTQRKIFVSNVAADLEPQKLLEYFSKFGEVEEGPLGLDKQSGKPKGFCLFVYKTVEGARKALEEPHKTFEGHTLHCQKAIDGPKHSSSAGSAGHLMAPSGPAPVGYNPAVAAVTPALGQALTALLATQGAGLGIGNLLGGLGGPVSHQGVQPVVNSATGYGAQGGYGAQPHMPYQNPQMQGGARPQGGGAPYSGYGGH >Solyc07g062780.3.1 pep chromosome:SL3.0:7:65589089:65592278:-1 gene:Solyc07g062780.3 transcript:Solyc07g062780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSGAGSGVAAGRIRSHTSALYSDSQSLILEIRKSVTMMKDIAVHLERDERTQMVKDLEDGVVQLLAASDECMHLSEAIQSIGDTLEPGPEPTNFKKKFDEEIAKSKARSSSHTQNQSLLRKFREAVWHVHHEGQPMPGDEQEDIVMTSTQCNLLNVTCPLSGKPVIELVEPVRSMDCKHIYDRKAIMQYMKKSKSTRGQCPVAGCPKILKAQRVLCDPFLLIEIDEVRSMNKQNARPDAIEDFTALDEDEDD >Solyc05g040000.1.1 pep chromosome:SL3.0:5:49623945:49624213:1 gene:Solyc05g040000.1 transcript:Solyc05g040000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYQCQIYRADRKPVDEYLEKKVEVSYSEQLDKASKILQKDYGVAMNAREMSLFSWNLANLEYENASLLSYIS >Solyc05g010310.3.1 pep chromosome:SL3.0:5:4461115:4462958:1 gene:Solyc05g010310.3 transcript:Solyc05g010310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:K4BXK4] MACVTKLQVENNVFPSKVVKPPSSNNTFFLGGAGHRGLEVEGKFVKFSVIGVYLEENVVPFLAVKWKGRSSEELTYSLEFFRDIVTGPFEKFMRVTLLLPLTGKQFSEKVAGNCVAIMKAMGNYSDAERQAIEKFLNVFQSETFSPGASILFTQSVVGSLTISFSDDDSVPGTGNVVIENKPLSEAVLESMIGKNGVSPAAKRSLAKRVSEMLEKSNAEEST >Solyc03g118220.2.1 pep chromosome:SL3.0:3:68631707:68638310:-1 gene:Solyc03g118220.2 transcript:Solyc03g118220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEEEAKGIRRDEEEALLDLIEQRAKNVEKIGRAIAYYTCELEQKEKNLEEAQQQLARLRGQAIVATSTSYHGNNLNAVKVERRSMSPIHISDDSSESLSECEPQPDKDNIVTLKKCSTLDKKLARPFQKNNDDSGKPPQAKPLLIIPDMKAKGSLHLKIGQSSPTPCCSDSCAGGSVFSFRNTRIVRSKADKSVKTPPDTRAADIEHKGTKRKSEQIEHKELIPLIGRSSSASKLLCQTTSIIPSQHTRKLRNIVLCPTNDQLFASSALDGVVNLWQVQDRGSCANLLSSTQCFTHKCKRWPEDVAWHPEGKSLFSVYRADEGDSQISILNLNKNMQVNFLEDKPHVKGSINNIEFMPWKEGCFVTGGCDHAVILWTNKDGEHSWKPKELHSQHSSAVMGVAGLQHKKVVMSAGADKRIFGFDLPAQRIGYKHQVESKCMSVLPNPCDLNLFMIQTGTLEKQLRLFDFRSREAEIHTFGWKQESSDSHSALINQAWSPDGLYITSGSVDPAIHIFDIRYNSHEPCLSIRAHNRRVFRAVWHHTLPVLISISSDLNIGFHNIASGA >Solyc11g006680.2.1 pep chromosome:SL3.0:11:1284557:1294070:-1 gene:Solyc11g006680.2 transcript:Solyc11g006680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSEFREKGKVFIGNLPIWVKKKELTEFFRQFGPIKNVILIKGHHETEMNKGFGFVIYGGSTAEKAAMKAVEFDGVEFHGRVLTVKLDDGRRMKAKTEERRRWVEGEDDVEYRSKWHEEREGSRNSFRKVLDTEPENWQAVVQAFERIKKPSRKEFGLMVNYYGRRGDMHRARETFEKMRARGIEPTVHVYTNMAKASRKFLLLHSLGFKFIAQKALILPYSLYCYCSLIHAYAVARDMEEALSCVRRMKDEGIEMSLVTHSILVDGFAKLGNIEAAEQCFKEAKESQTCNMDRAEELVREMEEEGIDAPIDIYHIMMDGVWIYTFSCQLWMFNESLYQVSKAFEVSEMMKLAGIKHNMKTYSMLINGFINLKDWANAFAIFEDVIRDGLKPDVVLYNNIIRAFCGMGNIERAVRIVEEMKKERHRPTSRTFMPIIHAFAKAGEIRKALDVFDMMRRSGCIPTVQTFNALILGLVEKLQMEKAVQVLDEMLLAGIRPNERTYTTIMDGYASLGDTGKAFEYFSRIKDEGLELDIYTYEALLKACCKAGRMQSALAVTKEMSAKNIPRNTYVYNILIDGWARRGDVWEAADLMQQMRQEGVQPDIHTYTSFVNACCKAGDMQKATNTILEMKRIGVKPNVKTYTTLIHGWARASLPEKALKCFEEMKRSGLKPDKAVYHCLMTSLLSRATVAEYYIIEGIHHVCEEMVESGLTVDMGTAVHWSRCLRKIERTGGNLTEALQKTFPPDWNSHRNPNAASNNTGNTEGSDNDDYDDGPFYETDSDDDTNAPA >Solyc07g063870.3.1 pep chromosome:SL3.0:7:66359533:66365878:1 gene:Solyc07g063870.3 transcript:Solyc07g063870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGAFRAAAKVAGAAAVNSGFRSVTAEHPLYAAARNVARPVSISGLSSTSEDVKSGMVTASHGGSLDVSHVPKMVSDFDDWEMAGGEEEMMVNPGEPLPRLVFGGAPSLQEATEATSDLKDALVYLSGSANGNGGSCISGSSSSPVSKACVVSETIVTKSVPKHAVQAYRVLSEIPAAQNVVASIACDPNVWNAVLQNPALQDFLESQRSSEKCASFPDSDQERDESVANTDYFSESSPLKAVPESKAEESKSGNTFTSFLQNVTQTVTQTVVDMMDSLSDFFNNLFGGNKFFVDADGTAKFGAVEKTLGASFMALAVMVMMVVVSKRSH >Solyc09g057637.1.1 pep chromosome:SL3.0:9:50222280:50223245:-1 gene:Solyc09g057637.1 transcript:Solyc09g057637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKEGVISTRKFDQAQSRKALAKMIIVDELPFSFVEKEGFKKFMRVRMPHLHIPSRRTVTRDCYELYLEEKKLLKKFFKEARPRVFLTTDTWTSIQKINYMCLTAHFIDRNWILRKRIINFYPISSHKGVDMTSCITNCLLEWRLDNVFTIVDNASSNDTMKEMSKKLSNWGTDIMDGYNLHVRCMAHILNLIEIGKSVKLVRQVAKYIKQSPVRLRKFKECCESELITCKKSLCLDVHTRRNSTYSMLDIAQHFELAFERYSFYNIGYLNHLRTFGSDSSKNKYETSVEDGTSVEDETSVEDETTANILSNVD >Solyc04g005780.1.1.1 pep chromosome:SL3.0:4:496611:498329:1 gene:Solyc04g005780.1 transcript:Solyc04g005780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIKIQSFSFLFTILILSSSSLPHRHRHNRRHHRRNSQLIPTIFHPPFIPSNVNGEWVLLHESIGVSAMHMQLLYNNKVIIFDRTDFGASNLSLPQGKCRFDDEVLDVDCSAHSVLYDILSNTYRPLMVQTDVWCSSGALNSDGTLIQTGGYHTGDRKIRLFSPCDGDCDWTELPQNLTVQRWYASDHILPDGRVIIVGGRKAFSYEFFPKTMDENGGGFQLPFLVETTDPIEENNLYPFLYILPNGNLYIFSNQRSIELDYVNHNVLREFPMIPGEKRSYPATGSSVMLPLRLRQGQEPVVEVMICGGAWGGAYVKALEGEFWRGSSSCGRMRITDPDPKWVMEEMPLGRVMPDMLLLPTGNVLILNGAANGAAGWENAIDPVLNPVLYRPDEPDPRRRFTVLTPTKIARMYHSSAILLPDGRILVGGSNPHATYNFTGVKYPTELSLEAFSPPYLATQYAHLRPLNQAIDVGQVISYDQQFSITFTLPFPQPDAEFMVSMIPPSFTTHSFGMNQRLLFLEIVRVERFFMFGYRVIVFAPPTRNVAPPGYYMVFVVHQGVPSHSVWVKIQ >Solyc11g030580.1.1 pep chromosome:SL3.0:11:22174098:22174929:1 gene:Solyc11g030580.1 transcript:Solyc11g030580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLQCGPKKPPHSSVNAADNHSLLHSHLLQCMLTFNVMKGTSGQFEKDKILSDKVEMQNNGVENNKTRVSTSVASYVVIVATNGAITSCGKASEASTEKEWHEGISHLRLLDVVQNAGDNFIISDDFVKIRTAQLLLQLAGA >Solyc04g081250.1.1.1 pep chromosome:SL3.0:4:65346714:65347163:1 gene:Solyc04g081250.1 transcript:Solyc04g081250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACSKIRYIVRLRQMLRRWRKKAAITARCRVPSDVPSGHVAVNVGAHSKRFVVRTTYLNHPLFKKLLAHVEEEFGFSNSGPLYIPCDELLFEEILCYLARNESDKNNVGCFINFEDFQRYCHVGIRSNLDFWADSRPLLNGISDKSIW >Solyc06g062400.2.1 pep chromosome:SL3.0:6:39480585:39485464:1 gene:Solyc06g062400.2 transcript:Solyc06g062400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREKRDIRPVILKFGVALAISLGGILFTFFRTKRIKHSNSSSSPNSGELQNDDQTNPALGNSVSEFPWKFEDVPIRKIIIGSSVSSPSTNCRSGGDKDGLFLPEINELEKEFGSPPKDSFSPLQGADSPKEYKIVGRDVHEQEIKNLKNIVKTLTERDRNRQIQLLEYYGCKEQEKAMMELQNRMKIHILESKHLGLKIETLKAEKMKLEAQVAEYAKVASDLEAAKLKIKQLEKKLRLGAGHNKDQILSFKERVLKLGNKEKNPVQAESNVQLELQKLKDLEIEADELRKSNQRLRAENSTVGDKLEYVQLIAISAMENNAAEALKEESLQLRKQNEDLVKKIDQIQEGHYSYVEQVAYLRWINACLRYELRNFKPAPGETTARDLSKTLSPESRKKAKQLIVEYAAKEDQGDRGIHVLDLDSGQLSSQEPYLMNSGEFDGTSIANSSTHKTDTSNKSTIFRKVMRIIRAKDHHHNHSEMVHKTEENAARCSYYSSGYCSDMSVVDTGAIRPQSRSRTPSPGPSKQLVAFHSFDQGSTSSKGESRNYPTRRRRYSDVGSLDYISKRLAESPQEKGNNHDQENVHKSELAKYAEALKGSRSKEAVRKRSASVSFF >Solyc02g062840.1.1.1 pep chromosome:SL3.0:2:35238377:35238670:1 gene:Solyc02g062840.1 transcript:Solyc02g062840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYACCRFLHLVLYTTEIAWSHAMEKKTLPDKPNAHQRIYLIGRLRKAVKWASLFQELCSTKGDSRTSLEAEVCFYCVIILIYMAMCLLMRALEFSH >Solyc08g081080.3.1 pep chromosome:SL3.0:8:64315278:64319212:-1 gene:Solyc08g081080.3 transcript:Solyc08g081080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVNQQFFNPIWKPCVSSCPLLAAQFVVMPMSNRELSVVSTIAAAKSTASIGEGNDDYVDISSGKAAAKGSGTTARGRRLLKVREEKRKREYERLHNYPAWAKVLEDACKHDTELRAVLGDSIGNPELMRKKVEERVRTKGRNFQKSKTGSVLAFKVSFRDFNPLDSYIWFELYGSPSDRDVNIFGSVIQAWYVMGRLGAFNSSNLQLGGSSMEFNPLYDAEKGFNVMPSSFHDISNVEFQDNWSRVWVDLGTADFFSIDVLLNCLTVLSSEYVGIQQVVFGGQRMGDWEEGMTNSDDGYKYFEI >Solyc10g085040.1.1.1 pep chromosome:SL3.0:10:64484412:64485011:1 gene:Solyc10g085040.1 transcript:Solyc10g085040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMILGKISVETPKYELIQSTTDYEIRKYPAAVIAQVTYDPTQFKGNKDGGFMLLANYIGALGNPQNSKPETIAMTAPVITKSSEQIAMTAPVVTKTGDGEKNTVTMQFILPAKYTKAEEAPKPLDERVVIVEEGERKYGVVKFSGTANDKMVKEKVENLKKWLERDGFKIIGEFELARYNPPWTLPPFKTNEVMIPVE >Solyc10g055550.2.1 pep chromosome:SL3.0:10:56860689:56863444:1 gene:Solyc10g055550.2 transcript:Solyc10g055550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMELEFSSQQVLSSSTFGEIPESSPMNKRFNEILTDVHACIHAHTCNPPGPDNSHTHTCYHVHTKVVTPPRDDTTAESTAANVGKKRSLSNKEAVRKYCEKKKARVASLEEEVVRLRDINQQLLNRLQGQAVLEAEVSRLKCLLVDIRGRIAGEIGSFPYHKPMEGADVYQNLRGTYVMNPCNLQCDDQVYCLQPNSEESNGQGLNSCGFETLQCSSSQGLKEVPGCALGFGTPTANASRGNKKKRTGGKRAHNGKPVNC >Solyc12g020120.2.1 pep chromosome:SL3.0:12:14123958:14128397:-1 gene:Solyc12g020120.2 transcript:Solyc12g020120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFMVSQRFLSVTGLEPHPAAVSQIVRAQMVSSRYLIQSCDNGRSIIYIVDHLNLEPSDVAIESFSFKHARSLDDNCCSGNH >Solyc10g080910.1.1 pep chromosome:SL3.0:10:62209694:62209994:-1 gene:Solyc10g080910.1 transcript:Solyc10g080910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLTTKEASSQEELIIFQIWMRFLVMIREKFVLVQILYTTNKECFQEILELLYMNIVEKKS >Solyc04g040160.3.1 pep chromosome:SL3.0:4:11974342:11979493:1 gene:Solyc04g040160.3 transcript:Solyc04g040160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVKASSFSPFHLNLNSTSSFPKTTNLYIQQNYENPISCFPSIQTQNAKFKVFTATSPSVSTESETPFDEKTENENQEEKFEWYAEWYPIMPICDLDKRRPHGKKVMGIDVVVWWDRNEKEWKVMDDSCPHRYAPLSEGRIDQWGRLQCVYHGWCFNGAGDCKLIPQAPRDGPQVHTSKRACATVYPSCVQNDILWFWPNSDPLYKDIYLMKRPPYIPELDDSSFSKTFIVRDISYGYELLIENLMDPAHVQYSHYGIMNVPNCLFTVKADREGGRPLDITITKLDVNVITANQGPGRNTFVPPCVYYSYFAFGGSQGKTTAVSSGTVQEKPSAEKQKKALLVFICIPVSPGHSRIIFASPRNFATWADRIIPRWIFHLGQNLILDSDLYLLHVEERKLKEIGSYNWHKACYVPTKADAIVVAFRRWLNKYAGGQVDWRGKYNGDLPPTPPREQLLDRYWTHTVSCTSCNLAYKSLNALEVVLQIVSIGAIGIVAALKQGTLSAVTRYSLVTVALLCFVASRWLSHFIYKNFHFHDYDHAFR >Solyc06g063230.3.1 pep chromosome:SL3.0:6:40051629:40056206:1 gene:Solyc06g063230.3 transcript:Solyc06g063230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPQATAAPERARARSLAVFSPKIITCKNRQQASHFCSTKFGSALPSGTSLSISRRANYNAAVRATSSSFSSSASPWDEKPYQVLPNGKIVYLDEQDVVTFLDPPMELIPLDPSSYNPALYLWKKIEDIPEERRHRLLALLNPRLISQAWEIAGTRYNNSKLVEKSASSLLATGNSDTVAEFWKCRTSGGPLQIAWLNFFKKVVFHAGDGKAYGRLISESVFSGLSSSFSPLYFTVSEVKEVMSTEEPCDLAYEFKDGILDLPDFPRGFPMPAEHPWPFNDEIVIYIRHAGPGVMVGQAWQEGKALQQVPKKLCSEILMVKEYLSS >Solyc01g065915.1.1 pep chromosome:SL3.0:1:72474008:72491979:-1 gene:Solyc01g065915.1 transcript:Solyc01g065915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKHHQSSGKTWFLIEFGVVQGHFFRGNLTDRVGFNEQSSGIWSLTSHWVVLFVFHANLAALLEFHGPFSVPVPPSCYWGMNNQHQICVDDDAMLMDDLEIVGCLLLIETSTAAPIKICSISLVPCQQYQATPRRKQLVRGKKLIKNYVVGTCTKSYDGVYIKNRGEAKYLSQRDIPSNIEKKRKRGNEDLYGHQQVNLGDDAILVNSGDATLSPPIQSFLYLWDFELVPEAHNENLS >Solyc11g013315.1.1 pep chromosome:SL3.0:11:6280425:6283579:1 gene:Solyc11g013315.1 transcript:Solyc11g013315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEHCLQKGHVKKTCYKLIGSPENFKGKKRVNLDTHPLARLNLGYMSLKLKSVGPTPAFTQNQYNQIVQMLSKYSIGDATANMEGNSNYAINTASANSAGILSSHNDDSVKSVVDTRATNYMIGDKVVFQTSTLVDDTGKVQLPTGESANISDIGNCQLLGDDLLIIGSDMRLIQETKNILQTNFKIKDLGELIFFLSIEFARSNEGILMHQRKYALELISDFGARRTDISFAIQNLSQFMHSPKQSHMEATTRVVKYIKQALRVGILMSSTVSSKLHAYCDVDWGSCLTTIKSVSGYAVKICDSLWKSKK >Solyc11g010560.2.1 pep chromosome:SL3.0:11:3608017:3630092:-1 gene:Solyc11g010560.2 transcript:Solyc11g010560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKSNSNNNRWSWDVPGFQPRKSPEHEEYQRPPPLARRYSISTAAASAIVPNSELSKHALNFKLLKLKDKLKLVREDYSELRQEASDLQEYSNAKLDRVTRYLGVLADRTRKLDEAALETEARLSPLISEKKRLFNDLLTAQGSIKVFCRVRPLFEDEGPSIVEFPDDVTVRINTADDNVANPKKDFELDRVYGPHVGQVELFSDVQPFVQSAFDGYNVAIFAYGQEHSGKTHTMEGSNHDRGLYARCFEELFDLSNSDATSTSKFNFSVSISELHNEQIRDLLIHSGTDLPKARIGSLDCFVELLQERVENPMDFGQVLKLAFQNRGSDVSKFRVSHLIVTVHIHYTNSITGETSYSKLSLVDLAGSESSIEEDSGEHATELLHVMKSLSALGDVLNSLTSKKDIVPYGNSVLTKILADSLGESAKTLLIVNVCPNASNLSETLSSLNFSARARNATLSLGNRDTIKKWRDIANDTRKELYDKENEITDLKQEIVGLKQELKQANDQGVLLFNEVQNAQKVSSTLESDLKAENIMIMDKFKIEKDQNTQLRNQVAQLLQLEQEQKLQIQQRDSTIQMLQAKLQALESQLNNVVRASEARLKDGSELISADQTGLKATRNDIESAAVTKRLEEELLKRDTLIEKLHEENEKLFDRLTEKASLAGSTQVSSPLPKAPTAQNRETGRNDINVKGRAMDVLALPSSTDKPDGTVALVKSAAEKVKTTPAGEYLTSALNEFDPDQYDSLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFAFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVSPVERFLEKASYSGQSRSSSRGSSPGRSPMHYDSSRNALVDEHIQGFKVNLKPEKKSKLSSVVLKIRGIDQDIQRQQVTGGKLREITEEAKSFAVGNRGLAALFVHTPAGELQRQIRNWLAENFDFLSVTDDTVGGATGQLELLSTAIMDGWMAGLGAAMPPSTDALGQLLSEYAKRVYNSQLQHLKDIADTLSTEVAEDSIHVAKLRSALESVDAKRRKILQQIRSDMTMLTLEDGSSPVRNPSTAAEDARLASLVSLDGILKLVKDVLRQSSVNTLSKSRKKALLASLDELAERMPSLLDIDHPCAQRHIDEARHAVELITEEDDRLHENIHASRRPANVGLGGETDVTQWNVLQFNTGSTSPFIVKCGANSNSELVVKADAQVEEPKGGEIVRVVPRPPVLENLSLDEMKQLFTQLPQSLSLLAIAKTADGTRARYSRLYRTLAGKVPALKDLVDELEKGGVLKDVRS >Solyc08g061143.1.1.1 pep chromosome:SL3.0:8:46866580:46866903:-1 gene:Solyc08g061143.1 transcript:Solyc08g061143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKISHQYLKSRLNELWKSTENLIRIDEDWNFYIAKFFLEKNMEKVIYKGPWFILGNFLLNETLETKLCTTRINIDPLSHLVKTTKLPTKLYIEALGSLTLPSLMV >Solyc07g009410.3.1 pep chromosome:SL3.0:7:4477639:4481337:1 gene:Solyc07g009410.3 transcript:Solyc07g009410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNKTVPEEGKLDLDLRRVNYDVDVKSHLRYGDWVTFKSHSSKKVRISRPESSRGENSDRQPQDADYPSLSYELESEILARFPRWEYWKLSLVNKRCSTLLKSGEIFEIRKENGFREPSVYMLASGETNWWTFDREFKSRRKIPDLPSDVCFKFGDKESLCAGTHLLVSGREIDGLVIWRFELATNCWYKGPSMVNPRCLFASATCGTSAFVAGGVGIMANSEVYDTAEKYNPNSRSWETLPRMKRKRKLCSGCYMDNKFYVIGGRNENGELTCGEFFDEGSNKWELIPDMLRDDPVLTCHSPPLIAVVNNELYSLEASSNKLKLYLKKTNTWKMLGQVPVRADSNRGWGIAFKSLGNELLVIGAASSSASYSGDTISANKSLSFGETTVSSGEKFELGFFKPGNSFNYYIGIWYKNTILWQNVVWVANRDKPLDYGTTNLTILHGNLVLIDKFQGIVWKTHAASIITSNHLVIAVLRDDGNLILSDMPNSSTPLILWESFDYPTDTLLPGAKLGYDKRRTQRGQVLISWKSLSDPAPGLYSLELDPSHARFVIKWNRTKQFCASGS >Solyc06g050890.1.1.1 pep chromosome:SL3.0:6:33827602:33827757:1 gene:Solyc06g050890.1 transcript:Solyc06g050890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGVLLESFGCWLRCSTQAGGCLVAAGFFSAAGFVLLMLAGEEERLKNDG >Solyc12g035925.1.1 pep chromosome:SL3.0:12:44545096:44545489:1 gene:Solyc12g035925.1 transcript:Solyc12g035925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRCEYNTILDIIDHFVRTSKGVGINTNSPIPITKKPDLNAHVLRAKLAKGMGHN >Solyc11g040050.2.1 pep chromosome:SL3.0:11:40245704:40249845:1 gene:Solyc11g040050.2 transcript:Solyc11g040050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYSGKGSTLNGSVYVCNLPLGTDEDMLAEYFGTIGVLKKDKRSGRPKIWLYRDKVTNEPKGDATVTYEDPHAALAAVEWFNNKDFHGSMIGVFIAESKNKDEASYVSVNQISEPNLNSGLAVLDEGSNDIDGGGGRGRGRGDASAKAWQQDGDWLCPNTSSCTNVNFAFRGVCNRCGTARPAGAGGGGAGAAGRGRGRGSQDPGAPGRAVGGPPGLFGPNDWACPMCANINWAKRNKCNICNTNKPGHNEGGVRGGRAGGYKELDEEEIEETRRRRREAEEDDGEMYDEFGNLKKKFRAKTQRAEAAQSLPPGVGRAGWEAEELGVAERDRKERSRERSRDYDDRERARHRSRSRERDRGRDRDRSYDYDRDREYGRDRDRERNKYRH >Solyc02g077610.3.1 pep chromosome:SL3.0:2:43057491:43068010:-1 gene:Solyc02g077610.3 transcript:Solyc02g077610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWCSQSTVLPIISSSDQENNVIMVTSKTRFITCPSCGHNIQLQHQRGILHDLPGLPAGVKFDPSDGEILEHLEAKVLSDNHKIHPLIDDFILTIDGQNGICYTHPQKLPGVNKDGQVRHFFHRPSKAYTTGTRKRRKVHTEIDGGETRWHKTGKTRPVYISGVVKGYKKILVLYTNYGRQRKPEKTNWVMHQYHLGENEEEKDGELVVSKVFYQTQPRQCGSSTIKKTIDHSNNIINPLLKTTNFVDYYNPNPFVSYNVESQSSPHELIQNLVVHGDGSSFVIPSEASKEK >Solyc09g055180.3.1 pep chromosome:SL3.0:9:37140400:37149028:1 gene:Solyc09g055180.3 transcript:Solyc09g055180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFRYFIYVFAAVIHCYMLLVAAQTTEPSEVSALISVKGSLIDNMKHLNNWKRGDPCTSHWTGVFCNISDADGYLHVRELRFMNMNLSGSLSPELGQLSHLQILNFMWNNLSGSIPKEIGSITTLKLLLLNGNQLTGSLADELGNLSNLNRFQIDQNEISGEIPKSFANLNKIRHIHFNNNSLSGQIPHELSNLSTILHLLLDNNNLSGYLPTEFSALADLAILQLDNNNFSGSEIPASYGNLSSLLKLSVRNCSLEGSIPDFSRIANLSYL >Solyc08g075017.1.1 pep chromosome:SL3.0:8:59277795:59279544:-1 gene:Solyc08g075017.1 transcript:Solyc08g075017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSSFFLLPRFPLASTPHRITLCSDVEFLPSMKRRELIDILIDSVAKSFALGNRRGNFGNGNGNMASTLLLPLTSPSF >Solyc12g006975.1.1 pep chromosome:SL3.0:12:1411538:1415063:1 gene:Solyc12g006975.1 transcript:Solyc12g006975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKTQTPICSLFFLVLCFLCCSVFTEHTNAEFTVSENGPLTDSEAQFIKHRQLLYYRDEFGDRGENVKIDPSMVFENDRIKNAYIALQAWKQAIISDPFNITMNWVGPNVCSYTGVFCAPALDNPKIRTVAGIDLNHGDIAGYLPEELGLLTDLGIFHINSNRFCGTIPRKLNKLKILFELDLSNNRFAGKFPYVVLSLPKLIFLDIRFNEFEGNVPSQLFDKPLDAIFINHNRFAFELPENFGNSPVSVIVLASNSFHGCLPASIGNMSNLNEAILMNNGLRSCLPAEIGLLKNLTVFDVSFNELMGPLPENFGGLVNLEQLNVAHNMLSGTIPKSICQLPKLENFTYSYNFFTGEPPVCLALPEFHDQRNCLPNRPVQRSPGQCKAFLSKKIHCIYSPPPLPYCVRSPPPPPPPPNSPPPNSPPPPLAHSPPPPSPYYYNSPPPPPPNSPPPPPNSPPPPPPTYIYSSPPPPNSPPPPPPPYVYSSPPPPHSPPPPSPLPCIEPPPPPPPCIEPPPPPSPSPSPPPPPMYHYNSPPPPSPSPPPPPILPDLYAGIELYDVNTWNFLIHQN >Solyc05g008130.3.1.1 pep chromosome:SL3.0:5:2534561:2535725:1 gene:Solyc05g008130.3 transcript:Solyc05g008130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSIPLFLFSTLFILLIGSDPFAAGRKPHVVNFRSRNLFPESFAWDPKSQHFIVGSTRHQKLIAVSDAGVVESLIIDTSLPENSSFLGLAVDRYNNRLLACIHRPPSPENPTPFNALASYDLQSNRRLFLSSLLDDVAQDDTAVANYVAVDFHGNAYVTNSGGDFIWKITLNGDVSILSKSKAFKSHPLDTTTNYHKSGLNGIVFSPRGYLLVVQSNTGKLFKVNVDDGGARAVILNRDLTGADGITIRQDGVVLVVSQEKLYFVNSNDGWGEGGVYDETTLERERFATAVTVGDERRVYVLYGHVKEGINGNEQRDEFGIAEVESEKESEEEPIWLYILI >Solyc01g090890.3.1 pep chromosome:SL3.0:1:84470111:84472549:1 gene:Solyc01g090890.3 transcript:Solyc01g090890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKRLKQQIQETLPGWGDKFLSYKELKKLVKLISSYAPQMMSGSLEYGKAEAEFVYLLNNEIDKFNAFFMEQEEDFIIRHKELQQRIGRVINRWGPNGSQPSETDCKEELAKIRKDIVDFHGEMVLLINYSNINYTGLAKILKKYDKRTGGLLRSPYIQKVLHQPFFTTDLISKLVKECESTIDSVFPPPCGVEKGLVLGSGVAGEGIFRNTVAALLTMQEIRKGSSTYGRFSLPPLNLTEQSDLIQAQSLQLNSPIAIP >Solyc02g081081.1.1 pep chromosome:SL3.0:2:45720674:45722080:-1 gene:Solyc02g081081.1 transcript:Solyc02g081081.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERMQKMEKQMEEQKKIVRQEVIADVIAQLKHEGLIDPNILTALSTPSPRESTSVQGAKQVWTLDSAAGLLFLLLVMKSKKGMKAVVKT >Solyc06g009375.1.1 pep chromosome:SL3.0:6:3292542:3293601:-1 gene:Solyc06g009375.1 transcript:Solyc06g009375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVKSPHLLTIRRRFLLQLRFLYHNICHFQPAKHINHSIMDSQSSPHGSIIFSTVGRTNYGFDIFSIKSPFSFLNSPVEHRLTDGTSINYNGQFVDEDQTLVFVSERSGAPRIYLRHPSSQLISNPNKFSPARPLFTLPI >Solyc11g006890.2.1 pep chromosome:SL3.0:11:1424478:1426151:-1 gene:Solyc11g006890.2 transcript:Solyc11g006890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKIILLLFLAFYFSCGFYQANVFEQLNNNHNIDGDTIITSNKNKYGHGAESHVVGARGSGGEVNGDGYRSPGSSNFIPIYAANAHNNHHRGGASNHKSHNVYLALFTIMFFVYLCI >Solyc01g095420.3.1 pep chromosome:SL3.0:1:86550481:86554843:-1 gene:Solyc01g095420.3 transcript:Solyc01g095420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGFQLHRHFHQLGFSFAEPDSSLHSEIKMMRLTEIAKSKPLTSSIETLGHQFIQKCFVSRTAKGKGKLKTGQPLKRSKVTTRKGAEVAKKEPPRRKSEFDEMVEDCLSSTAPVRSLKPKEKAREAERERMGLISKAKDEEMRKINKVKKEFANPWPIGPPGLDLISLGLVDVEKLPKYELTVEDGRRLAKENSRVLMRKHRQRQAAETTLLRLKKEAIEALPENLKAAALVPDMTPFPVNRFMATLTPPIEGYLEKVMEAAKKSSAKEKCS >Solyc03g033550.3.1 pep chromosome:SL3.0:3:5128138:5129671:1 gene:Solyc03g033550.3 transcript:Solyc03g033550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGGLVVRGRVEIDTRQPFGSVKEAVMLFGEKFLAGEIYAKQLKEVQSKASGEQNQSKLESPVTVELEETKQNLQKSKEEGTYMAHCLQSLKEELELTKREIQQLKTREQKQKVPLALDNPETDEELKFIENPSSSVEARTRFQEEDDNEIEFKTKRSVKFASTPLLTRIIVNKDHVNKEMETSPSQLKKKMKRKMRPLIPLISGLFSKKKGNQEH >Solyc02g088307.1.1 pep chromosome:SL3.0:2:51086961:51088589:-1 gene:Solyc02g088307.1 transcript:Solyc02g088307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRPNFSTLGTSPPASAQTRIVSHLLSSEIVEEDDPVSGEVEDGPVSDELSELLNYTIIVVTQACLQPTHLPDGLCLTPTNLFRARRAPPSAAATAVSFTISSQATFP >Solyc06g011376.1.1.1 pep chromosome:SL3.0:6:6890942:6890962:-1 gene:Solyc06g011376.1 transcript:Solyc06g011376.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEIVM >Solyc08g068580.3.1 pep chromosome:SL3.0:8:57773954:57785328:-1 gene:Solyc08g068580.3 transcript:Solyc08g068580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKKMRATICIITIFFLFFTADAASKCKAWLVQSIPTDMPELATVHGVLSTADVLQWLAGNSSKSLDIIAQYWQLVPHPADPRSGDYGYSKEDLLRFGANEGLKVYKALENAANRKLPIRLLQHSGVSPDYTEEPSNLASGRPNVKNVTLLLSDWWGSGIVHAKVWISDSRDVYIGSANNDWKSLTQVKEVGIYLTDCPEIAKKVEIYYNNLWKLSHLNVSAYTRSVWDQQWQINRKVPCWSHFINPKERCRSPLPKYVEIAHVSGYPVLSDPYMSHLSMETPGVNDSSSNPQPNYLSFAPPELLFGKYQTDEQAWVDTIKSVGKGGTVRINSMDWLGQSGYIKETVYWPTLSSAISEVVFSKGAKVQILVAKWAHFINNTDQYLKSLLYSNTLCSSSKYNHCSGKVEIKYYIVPGYNSTGPAVLNGAATGNMYPGYTRVNHGKYAVSDVRAHVSTSNLVWDYFYTTAGVSFGTYQPAIVSQLQEIFNADWTSPYAVPVEPLEEGYACPS >Solyc10g078300.2.1 pep chromosome:SL3.0:10:60265036:60270801:1 gene:Solyc10g078300.2 transcript:Solyc10g078300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGSSAYLSMVDPFLVEALQNPRHRLTILRMELDIQKFLQSSDVQQFEFPHFPTSYLRLAAHRVAQHYGLQTMVQDNVVDGQGAKILVTRKPESKYPAVRLSDVPPKQSDSDKYEKMKIVIQPRPSNTSSKDSELGAKRSQVRTVEERKEEYDRARARIFNSPINSETRDNLVHVASDLKNNIDENESSNRLLLDMEKSISNREGGTSSRVAIFRDREKELSDPDYDRNYVRYVKSVPSGQCFSVAPFDVQKFQPPYVHYDAVFPQMSQLPSAQASPNYRSPVLSPYHAMGFNQTLNDGVFMQWPTQSMIYAHSYDQVRHAFFQPSFCQQPLSFGYSQNHS >Solyc01g109740.3.1 pep chromosome:SL3.0:1:96529808:96532964:1 gene:Solyc01g109740.3 transcript:Solyc01g109740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4B3I7] MDATSFIIQFHLILILLICCNTSTNLAMAANNSSTNFSTAILIRVDQSGQGDFKKIQDAIDAVPSNNTELFFIWVKPGTYREKIVVPADKPFITLSGTEASNTIITSSEGGDITESPTVTVLASDFVARYLTIQNLFGTSGKAVALKVKGDRGAFYSCRIQSYQDTLLDDSGRHYYNNCYIEGAVDFIFGSAASLYERCHIHSVAAGAITAQGRETPLENSGFTFLGCKITGTGGNTSLGRPWGSYSRVVFAYTFMANIIQPEGWNDWGDSTKQSTSYYGEYKCYGPGANRSKRVVWSRSLSNEDAAPFLTKNMIGGRSWLRPTPTHFKRSGSTNIVTSAGEN >Solyc10g019207.1.1 pep chromosome:SL3.0:10:12320304:12324559:1 gene:Solyc10g019207.1 transcript:Solyc10g019207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKIDQDYHIERDLVRFRRLALLTLHFELWMIIVALPHVCHSVDIYIPTKYDPTNEEFNPNKNNAVFQVPDPKKSPGMVVVWLKSGYTLHDRIIPPAEVGVTVSMESTKAD >Solyc06g052010.2.1 pep chromosome:SL3.0:6:35763644:35769108:1 gene:Solyc06g052010.2 transcript:Solyc06g052010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGSGNWFSTVKKVFIKPSCKDYLPTDHKKEKLENQWQHEAREVVSVEKIPAESCSDLTINRESNENSSISSAEDRNHDIHVIEATVAAAEAATYTAPKTIKLDGHNHKSKEEIAATLIQSYYRGYLARRALRALRGLVKLQALVRGHSVRKQAQMKMRCMQALVRVQSKVRARRLQLRQSKVVEEVKSRSSIREESLLLNKQETHSIENTRRKQQVYNAYQKWLHSDLDDEECFGNEHENPQQSWNWLDKWMASQHVVRQEDSSYVSLSITDDISEKTFELGPEDVNLAHQNEKSPYSSTQSNKDSVPSYMAPTKSAKAKIRSPGPIKPKSPPGVAQWNSTPKKVTARRWSYDSSARSPNPKISAKWMATYSPQTRVHDRTSLVGPPAHRYNYN >Solyc03g080090.3.1 pep chromosome:SL3.0:3:53422235:53427865:1 gene:Solyc03g080090.3 transcript:Solyc03g080090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVLPGENPIAVLPVDKQMGIPPLNTLPVGYRFRPTDEELVNHYLRLKINGADSQVSVIREVDICKLEPWDLPDLSVVESHDNEWFFFCPKDRKYQNGQRLNRATERGYWKATGKDRNIVTKKGSKIGMKKTLVYYIGRAPEGKRTHWVIHEYRATEKSLDGSHPGQVSTSFIHLVPWICISIFRVKDRLHHVAIISCFDHILRSIEIHLIRLYQPHGLGMKNDLKQDEHVDNSNLDAEQNASVDKSPAEDELSEAATPLTVIPPLNDCDKSYAAKFSKGEMYGKQLPIESHSNSCIADDTEDQMLDITSIPRLFLFLLHVKPVQDLEKELGNFYDPSSQPDWKIFSPLHSQMQAELGSSYLQAPLNNDMFGYQKDVQFPYGTNALDINDFLNSVLVNSDEFSCEDSGQELASHHIDTKNCSITGPMIKDSGSCSESEAEVTQGLVEPDFFDPEVLWDNFDREAAVKREVNSLEATALKARSPLGLSYVGNDYAVGNLGFFQNTYPGHYGYPASIGGSQVPNFLKVEQSGVRNNVASTESGSGTGIKLRTRQMHNQLDDTQFNQPDNTQSRQQGTAHRRIRLHMKMQVGPVECRMRSDSSEGAEIHEAVAEGEKASDEHSSTTSDTTTIKDLVGETDELSTQVKDADDSPVEESLDVSFKSATKLSSSSHVYMSKVLVVASLLVVFIGVWSCFRLCV >Solyc04g082565.1.1 pep chromosome:SL3.0:4:66292971:66297315:1 gene:Solyc04g082565.1 transcript:Solyc04g082565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSAEVNTSPAAATNSPRYLSFSGQLRLGLGKLEMDVIIKKYQQRFRKVKEEMEKWNELQSRLLSQFNNASSIIQRLQILQDSKNYGALTCVEAIQEAVLLKQMNSLQNILLSMNETMEKFHVVVLSLDKMVRDGRQLIKGGSVQKNVKQLQQHVGMKPSIADCLDGLQLLYEMHQSEYRLKLSVISAISAFALQPSATDDLAALQQLLDDQPNIPKEEVAWF >Solyc06g053290.1.1.1 pep chromosome:SL3.0:6:36104098:36104445:1 gene:Solyc06g053290.1 transcript:Solyc06g053290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SAUR59 (Fragment) [Source:UniProtKB/TrEMBL;Acc:I7BDN7] MGHNHHLNFHFHVPHIHFHHHHGKRELRNVPKGCLAITVGQGEEQQRFVIPVIYINHPLFMQLLKESEDEYGFDHNGPINIPCHVEEFRHVQGIIHKETTSQHHHAHNNPWCFKA >Solyc06g008060.1.1.1 pep chromosome:SL3.0:6:1940912:1941193:-1 gene:Solyc06g008060.1 transcript:Solyc06g008060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYNCIITYYFSQNFESDIEKSHQLDIITHLWCTTLCKISVSSCDNCQMGEFDNLHNQLYKDIRNKWNWVFQNRKVIFAVFAFLLICFWSDG >Solyc09g090445.1.1 pep chromosome:SL3.0:9:70435162:70437129:1 gene:Solyc09g090445.1 transcript:Solyc09g090445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFLKDVDTRKQKGERVKNCADEIRDLAQDAEGVVEKFAAEVMKRSNGEDTVYCFCTMLANVVKEVIPRYKIGWDIGKINKRVKSLRESLTTYGIVLTDTINEGETSLQSGDTGVGINEGETSIIREVKLESGAIGVERWGGSGGSHWNYRPKGVVKQIVVRHGLIIDAIMFKSIEENGVMKSSKTFGGSGGKLTEINIDSPSEYLTGLSGTYGLYGPYLIIKSIKVHTNLSHHGPMGSVIETDTNFSFIMQGGVIVGFHGFSGLFLDAIGVYVMPTSINLPS >Solyc09g059340.2.1 pep chromosome:SL3.0:9:54559372:54562507:-1 gene:Solyc09g059340.2 transcript:Solyc09g059340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSCKPSFFRNHICENCKSNSICSLTDHLGKKITKDRILILSYPRLHAWI >Solyc08g076690.2.1 pep chromosome:SL3.0:8:60754293:60758815:1 gene:Solyc08g076690.2 transcript:Solyc08g076690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTVRRKLGFWLAIAGVARMKEHCLFHRLRWKKMRTLFRCEMLSAELNLVFGCGKQQVALQNLLKFKQAAGTYFSEIGTFSDTLYQENQSFSFKAIYLFFDKLHNCSRFGLVNLGCSTSAHKDDSTNSHELTWNKLAATYQFLNLCLANKIEKT >Solyc04g054310.3.1 pep chromosome:SL3.0:4:52229587:52235119:1 gene:Solyc04g054310.3 transcript:Solyc04g054310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRKLINGCAGITKLRWFSNEGLRHFSGQVAAPTELPPFDYQPKPYKGPLADAVLEKRRKFLGPSLFYFYEKPLNIVEGKMQYLYDENGKRYLDAFAGIVTVSCGHCHPEVLNAIIEQSKLLQHATTIYLHHAIADFAEALASKMPGNLKVVYFVNSGTEANELAMLMARLYSGHLNMIALRNAYHGGSSNTIGLTALNTWKYPIPQGEIHHVMNPNPYRGAFGSDAKRYAEDVQNHIDHGTSGKVAGFIAETIQGVGGTVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFQTQGVTPDIVTMAKGIGNGLPLGAVVTTPEIASVMAQKIQFNTYGGNPVCSAGGHAVLKVIEKEQRQKHCAEVGSHLFGRLRDLEKRYDIIGDVRGRGLMVGIELVTDRKEKTPAKAETGVLFEKLKDLGVLVGKGGIHGNVFRIKPPMCFSKDDADFLVDALDYSLSKL >Solyc11g068640.2.1 pep chromosome:SL3.0:11:53571489:53581141:-1 gene:Solyc11g068640.2 transcript:Solyc11g068640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFAQIQADLRSNDALRQSGALLQALQQSAAGRDISVIAKSAVEEIVASPASAISKKLAFDLIRSTRLTADLWETVCTGIRNDLDFPDPDVTAAAVSILAAIPSYRLGKLISDCNKQISSCFDSPSDNLRFAITETLGCILARDDLVTLCENNMNLLDRVSNWWNRIGQNMLDKSDAVAKVAFESVGRLFQEFESKRMSRLAGDKLVDSENSVAIRSNWVSSMVDFVWRRRNALMARSLVLPIENFRATVCPLVYAVKAVASGSLEVIKKLSRSSKNGNASSLDTVNVEKFVGVSDVVSHLAPFLASSLDPSLIFEVGINMLYLADVPGGKPEWASTSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLSMQVSLFNKLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPVPGTDIASLFENARIKEDLHSVTSKTLFREELVAMLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCEGRTYAIDCYLKLLVRLCHIYDTRGGVKRVKDGASQDQILNETRLQNLQRDLVKDLREVNTPRICTRLIWAISEHIDLEGLDPLLADDPEDPLNIIISNIHKVLFNIDSSASSTNRLQDVQAVLLCAQRLGSRNPRAGQLLIKELEEFRTNALADSVNKHQCRLILQRIKYVTNHSESKWAGVGEARGDYPFSHHKLTVQFYDASAAQDRKLEGLVHKAILELWRPDPSELALLLAKRVDSTLLKVPPSAYTLTGSSDPCYVEAYHLTDPSDGRFTLHLKVLNLTEIELNRVDLRVGLSGGLYFMDGSPQAVRQLRNLNSQEPVLTSVTVGVSHFERCDLWVQVLYYPFYGSGPSDYEDSEEDPQVMRQKKSMRPELGEPVILRCQPYKIPLTELLLPHKISPVEYFRLWPSLPAIVECTGTYTYEGSGFMATAAQQYGESPFLSGLKSLSSKPFHRVCSHIIRTVAGFELCFAAKTWYGGFLGMMVFGASEVSRNVDLGDETTTMMCKFVIRASDESITKEIASDFQGWLDDLTDGGVEYMPEDEVKVTAAENLKISMERIALLKAARPRPKSPKSEDEEEEEEEEDDDNNKNDDILNVEGGKTKGPTTLFKLTAEEAEHRALQAAIIQEWHMLCKDRNTKVN >Solyc07g052690.3.1 pep chromosome:SL3.0:7:61270888:61273423:1 gene:Solyc07g052690.3 transcript:Solyc07g052690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTSSIGTSNLGVLPEVMGFPHQKLLIKKFHLARKQLLRQNITFSCNRKTGITRAIAPEATKVTGPTLSTVPLANYVPVYVMLPLDVISIDNVFRDQVKCEKQFKELREAGVDGIMVDVWWGIVEANGPGLYDWSAYRSLFQLVQKIGLKIQAIMSFHQCGGNIGDDVFIPIPKWVLAIGENNPDIFYTNRTGTRNKECLSLAVDNQPLFEGSNCYSANSQKDQSQFYAQIRIDVLYYELRL >Solyc11g005330.2.1 pep chromosome:SL3.0:11:263308:266617:-1 gene:Solyc11g005330.2 transcript:Solyc11g005330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDNLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQEIETARSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQANVDFKGRVRRVWSIHCPQEVFLKVNSGLLLGKSLRWGYERRAIKTLYYC >Solyc10g044840.2.1 pep chromosome:SL3.0:10:28221286:28228823:-1 gene:Solyc10g044840.2 transcript:Solyc10g044840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISFSQTLSAFALTYIGCATCNSYVKPTIVAKKSNNSHTPSAKDTDMPPPRITSKLKQNLQFLKLWKEFQKRKSSTPKPATSYRKKKVEKEELPDDEEIYRDPTLALYYTNQVLDTVVPVLLVDGYNVCGYWPKLKKHFMNGSLDIARQKLIDELVSFSLLREVKVVVVFDAMMSGMATHKEDFEGVDIVYSSETCADAWIEKEVVALRQDGCPKVWVVTSDHNQQHAAYGAGAFVWSCKALISEIKASHKEVERMLREHRSTSMQGKLLKHNLAAEVVDALKDLRDQLSQNEMRR >Solyc03g123600.3.1 pep chromosome:SL3.0:3:71906746:71913195:-1 gene:Solyc03g123600.3 transcript:Solyc03g123600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDYSSTLNDLNPKVLKCAYAIRGEIVTHAQVLALCDHPLLLDRRETKALFSADSIERAIQILDQIPCRATGAYSHSQGIKGLRDKIASGIEARDGFPADPNDIFLTDGASPAVNSMDLQVHMMMQLLIGSENDGILCPIPQYPLYSASITLHGGTHISYYLDEETGWALEISELENQLKTARSRGVNVKALVVINPGNPTGRVLAEANQREIVEFCRKEGLVLLADEVYQENVYAPDKQFHSFKKISRSMGFGEKDISLVSFHSASKGYYGECGKRGGYMEFTGFSPEIREQIYKLASVNLCSNISGQILASLIMSPPKVGDESYESFSAEKDAILSSLARRAKILEDAFNSLEGVTCYKAEGALYSFPRINLPDKAIKAAEEAKTAPDAFYAWRLLNATGIVVVSGSGFGQRPGTWHFRCTILPQEEKIPAIVSRFTQFHKQFMDEFRG >Solyc03g116935.1.1 pep chromosome:SL3.0:3:67695611:67697096:-1 gene:Solyc03g116935.1 transcript:Solyc03g116935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSLVAAPSLPLTRNLAMPIYRFQRINIIGCVRFKGISLYGRLKTTKSQALMRLGCIIDSSRHIRKKKGLAGLVNRPLCCSFLYAVAVDYL >Solyc07g064700.3.1 pep chromosome:SL3.0:7:66862977:66869377:-1 gene:Solyc07g064700.3 transcript:Solyc07g064700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPDKKTMELILDKLQKKDIYGVYAEPVDPEELPDYHEVIDNPMDFTTVRNKLRTGSYATLEQLESDIFLICSNAMQYNSSDTVYHKQARTIQELATKKFEKLRIKYDRSEKDVKLEQKTKYGSVVRKQIKKPMVSMFQENVGSDFSSGATLAAAGDSHYLNNTPLAGVSVKPYGVDGLAEGNSSLIDQNVDKAEESLSGKGPLSRFGRKLTVPDENRRGSYNISTQPVGNTDSIFSTFEDESKHLVGLYSDHAYARSLARFAATLGPVAWRVASQKIEQALPPGFKFGRGWVGEYEPLPTPVLVLENYTLKEPPFFSKSVHKFGAQKNEKTSEDAIAPKDKPLSRPLLGGKSSYLGSTKGKPMESGLNVLIPTKEQSPREVNLERRSSFLSSGKKPAVCASSRYQHPDLQSRNFNEPAKKIHFKSEPDKKLQKQVELNCPLLDSPRNSEITRKINVTVTSETPGSRSTGVSPRNPFSSGSFTQSAKNGSAVGGMANGRAVNNNLDTTPAAHLTADSVPTVRKVAGFFHQEQEQGLSDPVQLMRMLSEKAQNQQNSLSQSLTDASPISPVTPSVRKDDSGNAAAAAARAWMSVGAGGFRQGMETSSMQNSHISADSLYNPSRNVQQQTSRVRGEHPASAMHFQAENSSPLHAFVPHPARVGSEAQFQNPQMIFRQSIPADLSRFQVQPAWQGFNQPAQPRQKQDSLPPDLNISFQSSGSPGRPSSTVLVDSQQPDLALQL >Solyc02g080180.1.1.1 pep chromosome:SL3.0:2:45029586:45030614:1 gene:Solyc02g080180.1 transcript:Solyc02g080180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTIQSSFLLITILFLLSPITTHSNSSDDVLSELIHLRSKSPLGLIHLKDPLLQRILSIPSPKPFSSLIFFDARQLHSKPDNSIPSIKYEFSLLSSSFLTNNPQNNTQIFFFIIEFQESKSSFELFDVQALPHIRLIPIYVTDVKTDCIKMDASGYSMGADSMKEFVEMNADVNLGPIHAPPFIPKKMMMIIGAGFLIWSPFLVKKFVIGNTHLQNKYIWMVASILLYFFSVSGTMYTIIRKAPLFLIDRNDPGKYHIFYQGSGMQLGAEGFAVGFLYTIVGLLLALMTHVLLHLKNRGTQSLLMALGLFVSFWAVKRVIYLNNWKTGYGVHAYWPSGWM >Solyc02g014843.1.1 pep chromosome:SL3.0:2:19188285:19194981:1 gene:Solyc02g014843.1 transcript:Solyc02g014843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRAILVFTMLASRIILVFTTNMSSSYLFIFSANMSSSDKMKMHVFFLLCLPLNASIHYLHMEIHFLQPSQAFSRWVNIPKFDFDIVFSAQASKVTKHLPKLSGRSRSIFVLLGSSKYLVDWSRFTQLKYNAISSVHINGMTDSNMMNFSSRKKSFKELCMLAVLSYQIKLTQQLPTKRETLSRNFPWPWLQPTLPALIGSFSILIREILPTLPKATIPIMSSYKLQYANNAIMTYQLILIFSFDVKIGICNYYYCSLSATDKSNRKHLNSEKAHADKKARRRELYKLVQSNKKDAYLAGRRANSAIDNNASLVLAPAPPHCLHMSKLLIEDIVRHKLIIWKSSTQ >Solyc01g067830.3.1 pep chromosome:SL3.0:1:76613360:76617794:-1 gene:Solyc01g067830.3 transcript:Solyc01g067830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein C [Source:UniProtKB/TrEMBL;Acc:K4AX31] MPRYFCDYCDTYLTHDSPSVRKQHNAGYKHKANVRSYYLKLEEEQTQILIDQKIKERLGQAVAYQQIGAAYNQHLAAFPGQRPRLPMMPPPMLPVPGVMPPQLMAGARPPILPVPVLGAPGYSAVPPTAPTAGQMPPVASLPMQLNAFPAPPALNPLVGVPGGAPPPSVGSAPFPATQSMYQPNPNGIAASSANETTTS >Solyc01g087910.3.1 pep chromosome:SL3.0:1:82647437:82663948:-1 gene:Solyc01g087910.3 transcript:Solyc01g087910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRATAPKIRQPSTTATATVKNKKKKTAAEALGHDVLCIIFSFLDLVQLIRCSAVSTSWSKAVNKLKLHQTEYFKQQHSGPNGLIDAPFSQRSLSEQAEQLAMEQHKLALQRGPANVIQWKGHSVGVNQCRMKMGKVLTGVGDKVMRLWSAESCKCLDEYFLVDKAPLIDFDFDEGKVVGLVGTRICIWNRTEERNIFSSRENLFTKALCMRYVDPEAVIGCEDGKVRVFDLYSRKCTQIIKMHQGPVSCLAFTDDQLLVSGSSLGSLSLSDLSSDQRVVQLGSIYSAGVKTLCFNPNSYMVFAGSTAGNVSCWDLRNTTRTVWETRVSPNVIYSMHHLMNDTSTLVVGGIDGVLRTVDQVTGEVISRCIMDDSTTVLHRSTERFGSVQIDSRKVKRLSEDDRIDLMTRTSKPQITCLAAGMEKVVTTHNDKYIRVWKFSK >Solyc02g071670.3.1 pep chromosome:SL3.0:2:41600212:41610404:1 gene:Solyc02g071670.3 transcript:Solyc02g071670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWWFKKKRIVHPIQEDFFSEEDWNRFRRMILRRTINNRRRTTSRRIKVEPKLSRFWLESRGRLPPVQPVPVVECSFIGLDPLLPLTHSEDRKLATFQLIRSEPMPFFGISGILKSFEGTITDSVRLFKPKYEGLFQILQSLIQLFKVT >Solyc04g045630.3.1 pep chromosome:SL3.0:4:34439663:34445671:-1 gene:Solyc04g045630.3 transcript:Solyc04g045630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTKKKVNGRNQRELASPKVSRQQRKMSEKVQTEAKQAKELITSSVRKQKSGSNFSKKIEKHVVSTDLDIRFGLVADATSAASGAHDVVHDYNTITIDKDYDGESDSCRSDTIFSPTFHISRTVGGEISNRADFLKFFQQADQPLQEPGKENVEVDLLTGHFVLDEATGDQHMSSEVSAVHLSVKDSKLECIDEFSQVQLPADVNMEEEETEEFDDFDPYFFIKNLPDLSSVVPTFRPVLLPKQTRSCPSTTLVLDLDETLVHSTLEPCDDADFTFSVNFNLKDHTVYVRCRPHLQDFMDRVSSLFEIIIFTASQSIYAEQLLNVLDPKRKVFRHRVYRESCIFVDGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGVPIESWFDDPYDKELLSLLPFLESLVGVEDVRPIISSKFNLRERIAAAACPFNSIIGDAFER >Solyc10g080880.2.1 pep chromosome:SL3.0:10:62187508:62190950:-1 gene:Solyc10g080880.2 transcript:Solyc10g080880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:E5KGD2] MITVSDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYAMNYRFIAADTLQKVIVLVVLAIWSRISSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDASGSLMVQIVVLQCIIWYTLMLFLFEYRGARMLIAEQFPDTGGSIVSFKIDSDVISLDGKEPLETQAEVGDDGKLHVVVRKSASSRSEIFSRMSHGHNTGGLSMTPRPSNLSNAEIYSLQSSTNMTPRDSNFNHNDIYSMVNGKNNANMSPRTSNFGNLGFDEESGFGKTNVGYPAPTNAGIFSPAIGPGTKKKANGTESGKDLHMFVWSSSASPVSEGGIHVFRGGDFGNELGIGHHSKDYDDFGREEFSLRDKNNSNGCHREEPVLKKLGSSSTAELFPRTATETKATAMPAASVMTRLILIMVWRKLIRNPNTYSSLLGLAWSLISFRWNIQMPLVFAKSISILSDAGLGMAMFSLGLFMALQPKMISCGKSIAAFSMAVRFISGPAVMAAASFAIGLRGVLLHIAIVQAALPQGIVPFVFAKEYNLHPDILSTGVIFGMLIALPITLVYYILLGL >Solyc05g053260.3.1 pep chromosome:SL3.0:5:64243776:64260513:1 gene:Solyc05g053260.3 transcript:Solyc05g053260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREYPDSENSSKPEGVSGIMPKVEDPYSEFPSLYTYTMPMGDNIASSSGSNVRSSLLTMGFKASLVDKAIEEKGEDNIDLLLETLFAKSDPPRAESSDSLDSLFCDDEDINSSAKYDGDAHIKEEPDPCIGVCDDKRVSLLAMSFSLDEVEFAISKLGEAAPVNELVDVIFAARIAGNYKKDDDDDVSVVEIKERNKECTTESLFGTMEKTLKLLEMGFSENEVSTVIEKFGSEVPLEELANLIIDPSSRRRMDKHLLNSLGRNVSIGFNPVAVKKEEYSVDTSESRELDLLEKLKGKRPKENYIDEIDTKRPKSEYDEAFNNSLGPSWQEILGINTTRPYKVHRRAIHQRPRVLDCHDTQKLSMPNSCRSLDKMVAKAPYFFYGNVMNLSHDSWVRISQFLYAIEPEFVHTQLFSALSRKEGYVHNLPSENRFHIVPKPPMTIQEAVPSSKKWWPSWDTRKHLNGINSETSVVSQLCDRLERTVSDAQGFPSVDRQRDILNQSQIFNLVWVGRYKLAAVGPEQIERILGYPENHTRVAAFSLMERLLSLKHCFQWYWWSRSCIASPWYSLKSCCLNRGF >Solyc03g118150.3.1 pep chromosome:SL3.0:3:68587335:68590109:1 gene:Solyc03g118150.3 transcript:Solyc03g118150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKIEAAEKELHNNNGLIKLQQQGQEIQSVHCDDATINEQEMNKISAVRAVVEKQDPSSKEFDDFAIRRFLRARDLDVDKASAMLLKYLKWKKSFVPNGYISPTEIPNEIAHNKMFLQGVDKLGRPIAVVFGGRHMPNKQGGLEEFKRFVVLALDKLCSRTSPGREKFVVIGDLQGFGYSNSDVRAYLGALSILQDCYPERLGKLIVVHVPYIFWTMWKLVYPFIDNNTKKKITFVENKRLTETLLEDIDESQLPDIYGGKMPLVPIHEA >Solyc06g064560.3.1 pep chromosome:SL3.0:6:40330871:40349614:-1 gene:Solyc06g064560.3 transcript:Solyc06g064560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWSVQAQMAQKFEDGLVYKPTRYIQILKSQKKSEKLVMNRGFRDSTPFSNSASLSTSSLAGSNGVCMMRSTWRDEQHPSFLNFISCFLKENSFRLNIVPIAPDFIFNCGGLSVAFMFLTNWDGVDTESFYRKVQKLKEQFANFYVVITLPTKEQNDSLIHSYIKYGVRLGRPTFVPVRDLEMGFEKIVKIAHARGACKRQDALAKIKAEKEKSVQAMEIYLQVVTSIPGVDSHGANVLNQTIGSIEAIAKSSKEYLLETTDLSPTTAETITRFFRDPKFYLAPKID >Solyc12g005890.2.1 pep chromosome:SL3.0:12:526669:530185:-1 gene:Solyc12g005890.2 transcript:Solyc12g005890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSGGGVNKNNGGGTRQVLKKGPWTTTEDAILMEYVKKHGEGNWNAVQRNSGLMRCGKSCRLRWANHLRPHLKKGAFSLEEERLIVELHAKLGNKWARMAAQLPGRTDNEIKNYWNTRLKRRQRAGLPIYPQDIQPQNYQQDQNQQHSTNIPSPFDNHQNSNYNNNSPLSLLDIFNPSTMKPSRNIIPHQYQFNNNPSSPFLTNTNNINNQVKFFHDPRVSLSLTLASSMKNSQLSSIVAPVPNNFSQRYSSSMPVPPLQHTYPNFTTTTRPYTTISSNPNGLILGMGIEHRSIPSSVPRTTSTSSDHVDNNYTINHGLSRGNSGLLEDLLEESHTLTRGEKIEENCPIENEDNNKGKLVWEEYGLTEEAADAIFTEESTYNFSHNHSEDSSSPHSSSGITTKEASLENIGNQVDDDIMRFLDNFPLGVPVPDWCDDQQNTSNGQSFECDQIQLSSKSSS >Solyc01g105225.1.1 pep chromosome:SL3.0:1:93352862:93355150:1 gene:Solyc01g105225.1 transcript:Solyc01g105225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKRRFVGQGVHTGAKLTVKSIHLMELLNAAIILNDGRKLCPDCHYTAVTDPGDCKPLLDEVHRFFKGMNMKIRYYIPILLVDEKEMIKNVEKVGRTGDYISKWILLLPSHFVVVIVFGWFHRHHLVSTVVSIIDFSFLWYEVSRSIQKGEKIKVVKEVEHLVEGRKVKAMLLLYAENLLWYAQLVFVNRLDLGATLAHEMMHAWMKIEGIGYEGLSLNIAEGICEVMAHKWLEWQSFTGDENIKGTSEKAQFLRNLKEFLKDGIEKSHSEAYGHGFREAKWAVERYGLRCTLEHISHTGKLPE >Solyc11g027920.2.1 pep chromosome:SL3.0:11:19641590:19643495:1 gene:Solyc11g027920.2 transcript:Solyc11g027920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLMINDIDVGHDRFGNMQVTVNNQIVVGTLMNLCDNPTRVSVGQDWSEGDITHRIPIIVTVYDFSTMYAPLIRDGGMEKFYCGLKTQEVLMILAEDFFDNERRENFQFLFLQR >Solyc12g038180.1.1.1 pep chromosome:SL3.0:12:50060242:50060544:1 gene:Solyc12g038180.1 transcript:Solyc12g038180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSEYKESFLPKFDYFKKPSVSEDNYEKVSYIPKVSYIPKVPSVPKEEEYKVYSLQRNENYKKPVVPEDNYKKLSFVPKVLSMPKEGSNVPFLSKNYYY >Solyc01g009050.3.1 pep chromosome:SL3.0:1:2979595:2998631:-1 gene:Solyc01g009050.3 transcript:Solyc01g009050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENGGTQISTNTNKMITVEEALEIVLNVAQRLPPVTLPIHEVLGKVLAEDITAPDPLPPYPASIKDGYAVVAADGPGEYPVITESRAGNDALGVTVTPGTVAYVTTGGPVPDGADAVVQVEDTESISVASAERKRVRILKQTSPGVDIRAVGSDIAQGTIVLKSGERLGAAEIGLLATVGVVAVKVYPCPTIGVLSTGDELVEPTVGSLNRGQIRDSNRAMILTAATQHQCKVIDLGIARDDEQEIERILDNAFASGIDILLSSGGVSMGDRDFVKPLLQKKGRVLFQKVLMKPGKPLTFAEILPGSSDRTSSKILAFGLPGNPVSCLVCFHLFVVPAIKHLSGWANPHLPRVQARLKHSVKTDPHRLEFHRAVISWQLNDGSGYQGFIAESTGHQISSRLLSMKSANALLELPASETSVPAGASVSAILISDISNFPGTRNLQLTDLSSTQQEHKLAAADAGSSEASEFKVAILTVSDTVATGLGPDRSGPRAVSVVNASSERLGGTSIVATAVVPDDVQKIKDMLQKWSDVDKVDLILTLGGTGCTPRDVTPEATKSMIQKETPGLLYVMMRESLKVTPSAMLSRAAAGIRGSTLIINMPGNPNAVAECMEALLPSLKHALKQVRGDKREKHPRHIPHAQAAPTDTWERSYKLASGGGEEHGCSCSH >Solyc04g026272.1.1 pep chromosome:SL3.0:4:17539607:17543941:-1 gene:Solyc04g026272.1 transcript:Solyc04g026272.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLLTDKATEGRIASHPDSTISQYREDNVWILILRRNLNDWELEEVFSLIATIQTSAINNYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPSVNVGYKFKAIQTEDVRWRKRIVV >Solyc04g009840.3.1.1 pep chromosome:SL3.0:4:3164061:3168667:1 gene:Solyc04g009840.3 transcript:Solyc04g009840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQMEHIQGYSDKDGETGSFMILHNCCLSNNSEANSRFEKSECRTTATTLVTLGPSRTFWLYIFVCSSAAFIKKYIVPAASAEKNVDVPMSVWSFS >Solyc10g047708.1.1 pep chromosome:SL3.0:10:41765253:41766218:-1 gene:Solyc10g047708.1 transcript:Solyc10g047708.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLHKNVVIYLTKNDSEFNIGRATYFKTLYLWDKASGSVKDLSTHFSFRINSQGRNLYAYVLTYFLAPAVPVIPDTHFAAGEGLGLATVYQQYSSKNHHFVAVEFDIFWNSYDPRDNHVGIDINSMQFVVNVTWFSGTPNCTRTDTWITYNSI >Solyc01g014275.1.1.1 pep chromosome:SL3.0:1:11926557:11926970:-1 gene:Solyc01g014275.1 transcript:Solyc01g014275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGDLKYFLGIEVSRSKIGILLNQRKYALEFISESGLNPAATPSEPNKKFTTVEYDELLGNIYDVICKDVTAYQRLIGRLLYFTTTRPDISFAVQLLSQFMLKPKVSHWETGLRLVRYIKGCPGQGILLCSTPST >Solyc05g017897.1.1 pep chromosome:SL3.0:5:19222784:19223139:1 gene:Solyc05g017897.1 transcript:Solyc05g017897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNSSSNSSCDMIKYGICLKNYATEFGDYSVDGCREFVKKDNDETKEEYICAKCGCFRSSSFFHPHVVPHGGGNAPIIFHSFETRFVSNQYIRRPIFYYYL >Solyc01g088280.2.1 pep chromosome:SL3.0:1:82944196:82957088:-1 gene:Solyc01g088280.2 transcript:Solyc01g088280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLWFITILVITVFISYSPAYAYDNNPLQDICVAVNDSQAAVFVNGKICKDPKFTTANDFFASGLNVSGTAAPGSGGAAKFFTVDNIPGLNTLGISIGRIDLEPQRLAPLHTHPRATELITVLDGILYVGFLVPDAANFFKSRLFSKILYPGDVFVFPIGLIHFQYNVGHKKATYLAAFNSQNPGFIFVPNSIFASNPPIADDVLTQGFHLIFVNGKICKESKLATANDFYFSGLNVCGNVVPGLGFASTRVDVSNIPGLNTLGMFLGRTNLEPQRLAQFHTHPRATELITVLEGFLYTGFLVPYAVNFFKSCLFSKIMNPGDVFVFPKGLINIQTYELLLTTLRLQVYPPSIILICFGMRHSFCNLNMLLYFTYAVFVNGKICKDPKLATPNDFYFSGLNTVNVNNMPGLNALGISIGRLDLEPQRLAPFYTHPRATEMIIVLEGTHVEFLVLDSTNFFKSRLFSKILNPGDVFVFTIGLIHFLNNVGHKKAVVFGTFNSQNPGFVVIPNSIFASNPPISDDILAKGFQLNKTDIALLRKKFFFMNGKMCKDPKLATANDFYFSGLNVSGNEVPGFGFLQRLWV >Solyc01g057800.1.1.1 pep chromosome:SL3.0:1:63899744:63899935:-1 gene:Solyc01g057800.1 transcript:Solyc01g057800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVKNGDDASKNGRRREKWRRRNESINFSGIDEILYLIPTSLYCYSCWKIENAILGFTIGF >Solyc09g010030.2.1 pep chromosome:SL3.0:9:3450709:3452480:1 gene:Solyc09g010030.2 transcript:Solyc09g010030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIARLRPIQTCTALREIIGPLQSRSFQPDFVPRDPNVKPIRYKYPAAYDPYGPRPPPSDKIVQLAERIAALAPEERRQIGPLLREILRHPTLKPISVEVDVKLEKFDAAAKIKVIKEVRSFTSLGLKEAKDLVEKVPAILKQGVTKEEANEIIEKIKAVGGVAVME >Solyc03g093360.3.1 pep chromosome:SL3.0:3:56060057:56061195:1 gene:Solyc03g093360.3 transcript:Solyc03g093360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAITLSLKIKEKMTSLHFLTAVAFTFFLTAHIAAAGSSEECVYTLYVRTGSIIKGGTDSKISVTLGDASGKSVWIPDLEKWGLMGPNYDYYERGNVDIFTGRGKCISTPICRLNVTSDGSGDHHGWFLDYVETTFTGPHMQCSQSIFYVDQWLASDAPPYELSVSLDGCKKKIGNHGRRFVVGKPIRSASE >Solyc01g104380.3.1 pep chromosome:SL3.0:1:92664066:92665453:1 gene:Solyc01g104380.3 transcript:Solyc01g104380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plantacyanin [Source:UniProtKB/TrEMBL;Acc:Q9M509] MFGVSKSTIIVIVMILCILLQSNISNAATYPAGDGKGWGFNMNGWPNGKTFNAGDVIEFKYKVDEHNVVKVSQEEYDSCKTSGGQVFNSGDDQIPLEKGTSYFICTFGPHCSEGVKAAITAN >Solyc08g048440.1.1 pep chromosome:SL3.0:8:12930227:12930349:1 gene:Solyc08g048440.1 transcript:Solyc08g048440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPKKFWCYLRILNTQVNYLGPV >Solyc10g080410.2.1 pep chromosome:SL3.0:10:61812197:61820532:-1 gene:Solyc10g080410.2 transcript:Solyc10g080410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNKENNGGAYDLGELDQALFLYLDGQDPSSSSIQDHRQNNYGMRPPTLNIFPSQPMHIEPSSTKGEGSRKVSTSSPEQDAPKTSDPKGFHVGGNVLLGGDQGFQLINRTNISSDAAVFDLEYARWLEEHHRLICELRNAVHEEQLQEDELRIYVENCVVHYDNIMNLKGMLAKSDVFHLVSGLWKSPAERCFLWIGDFRPSEILKADNLRHQTIHRLHQILTTRQAARCFIAIGDYFHRLRALSSLWLTRPRHE >Solyc02g068760.3.1 pep chromosome:SL3.0:2:39248074:39252404:-1 gene:Solyc02g068760.3 transcript:Solyc02g068760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSKCPLNHILFEPRISRGGFRWKASASNEVPVEKWKQDSIFIDRRGRFRQFDHKKVSRKRCGSLRGRGWKYGSGFVDGIFPVLSPIAQQILTFIKTEKDPERIWSSLDTLRPTNNTWDDLINVAVQLRLNKQWDLIILMCEWILCRSSFQADVICYNLLIEAYGQSSLVKKAESTYLALVDARCVPTEDTYALLLKSYSKCRMIEKAEAVFSEMRKNGLPSSMFSCFLFPVIHTIESNGIIIAMLFHILFKTQQNMIFQPSAGALVYNAYIDGLMKGRNSQKALAIFDRMKRESCQPSTDTYTMLINLYGKENKSYMALKMFNEMKAQKCKPNICTYTALVNAFARSGLCEKAEEVFEELQEAGFEPDVYTYNALMEAYSRAGYPQGAAEIFSLMQHMGCEPDTASYNIMVDAYGRAGLHEDAQTVFDEMTRLGIAPTMKSYMLLISAYSRNSNVSKCEEIVNQMQKSGVKLDTFLLNSMLNLYGRLGQFAKMEELLTVIEAGPYVADISTYNILINAYGRSGFIVKMEEVFQSLPSKNLQPDVVTWTSRLGAYSKKKQYQRCLEIFEEMIDEGCYPDGGTAKVLLSSCSSEDQIEQVTTVIRSMHKNVKTVELV >Solyc04g005050.2.1 pep chromosome:SL3.0:4:44948:48620:1 gene:Solyc04g005050.2 transcript:Solyc04g005050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIHLYIAIAYVVIFQTSCSAHFFPNISSIPSSLLKPNATAWTSFQKLLGCQPGQKVDGIAKIKKYFQHFGYINNLTSFNFTDEFDDTLESALKTYQRNFNLKATGVLDAPTIQHLIKPRCGNADLVNGTSTMNAGKPHTVAHYSFFPGRPKWPEGKTDLTYAFLPANNLTDDIKSVFSRAFDRWSEVTPLSFTEIPSFQSADIKIGFLTGDHNDGEPFDGPMGTLAHAFSPPAGHFHLDGEENWVVDGVPVNEGNFFSILSAVDLESVAVHEIGHLLGLGHSSVEDSIMYPSLESGIRRVELVEDDIKGVQELYGSNPNYTGTNTTLTPSGLDNDTNGAPIRSSVWIHGVPFPSSAHFFPNISSIPPNLLKPNATAWDAFNKLLGCHSGQTVDGLAKIKKYFHYFGYINNSSTNFTDDFDDTLESALKTYQLNFNLNTTGVLDANTIQHLIKPRCGNADVVNGTSTMNSGKPPAGSPTMHTVAHYSFFPGSPRWPANKRDLTYAFAPQNGLTDDIKIVFTRAFDRWSEVTPLTFTEIASYQSADIKIGFFSGDHNDGEPFDGPMGTLAHAFSPPAGHFHLDGEENWVIDGAPIVDGNFFSILSAVDLESVAVHEIGHLLGLGHSSVEDAIMYPTLGAGTRRVELRNDDILGVQELYGSNPNYTGPNPNLTPSQESDTNGAPIFELSWFHGFLGLFFALFIQL >Solyc11g071310.1.1 pep chromosome:SL3.0:11:55132568:55135917:-1 gene:Solyc11g071310.1 transcript:Solyc11g071310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGGKFKILRPVLSILWSFLLAAVFVSAERRIKREVIEQNAAELSDADYLSAVANFLWRPNKSGYQHVWPDMKFGWQIVLGSTIGFLGAAFGSVGGVGGGGIFVPMLSLIVGFDPKSATAISKCMIMGAAVSTVYYNLKLRHPTIAMPIIDYDLAVLIQPMLMLGISIGVTFNVIFADWMVTVLLIVLFFGTSTKAFLRGVDTWKKETIVKKEAASKLLRTNGTRDEAEYKLLPGGPANGAAKGAAEPRVPIVENVCWKEFGLLCFVWVAFLALQIGKIYTSTCSVWYWVVNLLQVPVSVGISFYEAISLYKGWRRIQSKGDDGTDFRVTQLIAYCSFGILAGMVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYFVAVATVAAFVGQHVVRRLIIVLGRASLIIFILAFTIFVSAISLGGVGISNMIGKIQRDEYMGFENLCNYRI >Solyc02g083260.3.1 pep chromosome:SL3.0:2:47268234:47271756:-1 gene:Solyc02g083260.3 transcript:Solyc02g083260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVTVNLKSLLIFSAFLRVFLIIYGEWQDTHMEVRYTDVDYLVFSDAAALVAAGKSPYQRSTYRYSPLIAFLLVPNSFVHPSWGKFIFSASDLLVGFLINAILKLRGVPEKLCTYSVMVWLLNPFTFTIGTRGNCEPIICAIILWIILCLMNGRLVQAAFWYGLVVHMRIYPIIYALPIILVLDPLFFQYGTKPALVNWSSRKSKSQQTSSCKKLMDPCCMCNFLTSLFTWRRIMFGLISGALFFLLTGIFFFLYGCDFLHEALLYHLTRTDPRHNFSIYFYHIYLHYEHELSILEKLVSFLPQIMVQLVLVFRFAQDFPFCFFVQTVAFVAFNKVMTAQYFVWFFCLLPLILPWTNMKLGGKGLVCILLWMAAQGHWLLWGYLLEFKGKNVFLQLWVAGLLFLAANTFVLINIIQQHTYSPVFQQSSRASSKKQVKQR >Solyc09g097805.1.1 pep chromosome:SL3.0:9:72304061:72305314:1 gene:Solyc09g097805.1 transcript:Solyc09g097805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKAFLFFGLFLAIFLMISSEILATELAENSKKSENKNEVHEAQYGGYPGGGGGYGRGGGGGYGRGGGGGYGRGGGYGHGGGGGYGHGGGGGYGHGGGGYGHRGGGGGGRRGGYCQYGCCGHGDNGCYRCCSYKGEAMDKVTQAKPHN >Solyc12g095760.2.1 pep chromosome:SL3.0:12:65871497:65878520:-1 gene:Solyc12g095760.2 transcript:Solyc12g095760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyrophosphate--fructose 6-phosphate 1-phosphotransferase subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4DH49] MDADYGIARELSDLQKLRSQYQPELPPCLQGTTVRVELGDATTAADPSGEHVISRSFPHTYGQPLAHFLRATAKVSDAQIITEHPAKRVGIVFCGRQSPGGHNVIWGIHDALKIHNPNSTLLGFLGGSEGLFAQKTLEITNDILATYKNQGGYDMLGRTKDQIRTTEQVNAAMAACKALKLDALIIIGGVTSNTDAAQLAETFAEAKCQTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNMCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVSASKLTLFDITNKICDTVQARAEQDKNHGVILLPEGLIESIPEVYALLQEIHGLLKQGVSADKISSQLSPWASALFEFLPPFIKKQLLLHPESDDSAQLSQIETEKLLAHLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHILAAGLNGYMATLTNLKNPVNKWRCGAAPITAMMSVKRYGRGPGKASLGKPAVHPATVDLKGKSYELLSQNATKFLLDDVYRNPGPLQFDGPGADAKAITLCVEDQDYMGRIKKLQEYLDKVRTIVKPGCSQDVLKAALSAMSSVTEILSVMASPSSNANTPF >Solyc07g018350.3.1 pep chromosome:SL3.0:7:10338132:10385003:1 gene:Solyc07g018350.3 transcript:Solyc07g018350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQKTLLSYLKKPSPEDQSSGDNTINGRKFPSRNAVPNSSTIDLKEEILGIETPPEKVPLPFNNGDNSSVFSSIMHKFNREKPRNIAKGDPCKISSVFTKNEGSDGLGNASVSLQSDTNRSSVCSNGKGNQEGKGLVSLIPSDDHGFEPETPSMQPYEPGLKRVQDNICSSGDKSDCFSLNASKRIKSLKGLNFKRKNLEEEFEMTSKFEWLHPSQIKDANGRRPGDPLYDKQTLYIPPDVLRKMSASQKQYWDVKCKYIDIVLFFKVGKFYELYELDAEIGHKELDWKMTQSGVGKCRQVGISESGIDEAVQKLLARGYKVGRMEQLETSEQAKSRGSTSVIRRKLVHVLTPSTTSEGNIGPDAVHLLAVKETCKELGNGSTTIGFAFVDCAALKVWVGSVEDDASCAALEALLMQVSPKEVIFNARGLSKDAQKALKKYSSTGEDFLVSCPAAPLLSPVQPGADFVDPAEVKNFLDLKGYFKRSCNKWDHAFDGEDHDVALCALGSLVNHLERLMLNEVLHNGDILSYEVYKGCLKMDGQTLVNLEIFNNNVDGSPSGKYLDNCVTLPGKRLLRKWICHPLKDVEKINHRLDVVDKLVDNATLSTAQYLRKLPDLDRLLGRVKASIQSSEALLLPLIGAKILKQRIKVFGLLVKGLRVGLDLLRLLQKECLTASLAKVVSLPVLDGDNGLDKFLTQFEAAIDSDFPNFQDHNATDFDTETLSILMELFIEKATEWSQLIYAISCVDVLRSFSITAKFSSGVMCRPVILPLSKPSNICNDTGGSTLNIKGLWHPYALGESGGLPVPNDLHLGGNTNIRYPRTLLLTGPNMGGKSTLLRASCLAVIMAQLGCYVPGETCVLSLVDIIFTRLGATDRIMTGESTFFIECTETASVLQNATYNSLVLLDELGRGTSTFDGYAIAYAVFRHLVETVNCRLLFATHYHPLTKEFASHPHVALQHMACSFKLKSQSSSPTEQELVFLYRLTSGACPESYGMQVALMAGIPKTVVESALSAAQVMKKMNRESFKSSEQRANFSTLHEQWFTTLLDISKTDGGLNSDNDDDNDLFDTLFCLWHELKADRSTC >Solyc09g031975.1.1 pep chromosome:SL3.0:9:26903103:27021537:-1 gene:Solyc09g031975.1 transcript:Solyc09g031975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQEVPANPNRGDLGYGAQCQPLLKIKKIPPSLVPMGYLHSIQCRLGKARSTRNTANRAKLKMLHHIGNFEGRSVKLRNKL >Solyc02g067110.2.1 pep chromosome:SL3.0:10:63567990:63568490:-1 gene:Solyc02g067110.2 transcript:Solyc02g067110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TMVKIMVARIKLLRNKEVVVRKMMRYRHWYVSRIPLLDRIQGLNFGCKQWLRIHLGWRIECWSGQTCVS >Solyc08g029225.1.1 pep chromosome:SL3.0:8:37828976:37829891:1 gene:Solyc08g029225.1 transcript:Solyc08g029225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAAEASSKQALVIKVNGAILKFTIRTFALITGLNYVDVVEDFKFNIEEPNRLIFSTLVIIELLNSVLTYGRLITNAWLSIVLPPFILHMPMSSSY >Solyc11g011270.1.1 pep chromosome:SL3.0:11:4320952:4323616:1 gene:Solyc11g011270.1 transcript:Solyc11g011270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRFFNITLSTPDGHVIGISYGGVQNILSIQNDETNRGFTGTSFEVIIHNENQTELSFKRKWNASQSNQLPLNIDKRFIMLRDTPGFYTYSIVERLKGWPISSIQNIRLVFRLQTDMFNYMVVSDERQRVMPTDVDRNNGKVLGYKEAVLLTDPTNPDLKGEVDDKYFYSNDDKDGKVYGWISTTNPLLGFWMINPSNEYRIGGPLKQDLTTHVGPTVLTVFCSTHYAGEDLAIKFEQGESWKKVLGPVFVYLNSNAAAKANPSILWNDAKKRVPNFILPLYSNVGFN >Solyc02g063260.3.1 pep chromosome:SL3.0:2:35868507:35872033:-1 gene:Solyc02g063260.3 transcript:Solyc02g063260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEPLVNLEKEDVVVTEKVFIEEVKETSRIALPMIVVTVSQYLLRVSPMIMLGHLGELSLSSASIATSLSNVTGYSVLFGMCSALETLCGQAYGAGQYRKLGTFTYSAIICLFLVCIPVSVLWIFTDKLLILTGQDPSIATEAGKYAIWLIPTLFPYAILQSLVRYLQAQSLIQPMLLSAVVSLCFQVTICWAFIFKLNLGIAGAALSISLSYWLNVILLILYVKYSSVCEKTRASFSTDFFLTTGDFFRFAIPSAVMVCLEWWAFELIILLSGLFPNPMLETSVLSICTRISNELGAGRPQAAKIALAAVIVLSATEFVLASITLFVVRNVWGYAFSYEKEVVTYVAEITPILCISIIMDGIQAVLSGVARGSGWQHIGAYVNLGAYYLVGIPAVLLLGFVFHLKGKGLWSGLVAGTTVQCISLSLVTGFTNWEKQAIEARRRIFSEKLTGKNKFIELQDIQMLESEMKL >Solyc10g018030.2.1 pep chromosome:SL3.0:10:6541603:6551913:-1 gene:Solyc10g018030.2 transcript:Solyc10g018030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFTHAKINPSKYILHMRKIMNERSDNESLQIENERFRCDNKSMKEKLENVMCPQCDDLPIGKEERALHFQKMKMENQWLREQTARLSKIISSIRGKSVVTDSNLTPPADESLLRQIISGSSIGYPPPINQENNNNSTDNIRAHSININNIPIISPLTPKKFSFYRDNEEQSIISYVVVPAMIEMCGLFHLDNPCWVKSSTDERWLIHREYYDKAFPNPNRPYKSSTNRIESSRDCGVVPMTAIELIQIFLDPIKWMNMFPTIVTEARILDFLDSGDMGISIQLMYEKLHIFSPLVDAREFFFIRCCKQLDNTTWIMLDVSYDLFKEIQTCAPSYAWKFPSGCIIQDMGDRTSLVTWIEHVQVDEKNQVNHIFRDLLYGRQIYGAKRWIVTLQRMCERVSIRENEETTQSKGFIATAATSLWFPFAFKTIFNFLKDDKTRYQWDVFAEGNNVTELVRILTGTLPGNDITIIQSYMLKENNMLLLEESSIDEMGAFLIYGPIDLPIVTSIAIGGDATKVDIFPLGIIISPDGRLA >Solyc09g055807.1.1 pep chromosome:SL3.0:9:45289424:45290507:-1 gene:Solyc09g055807.1 transcript:Solyc09g055807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELLAIKENDTWDTVSYPSNVRPIGFKWDLNSLAGCQNSSSIDTTLKINVEYRREEGNIFPDPTKFLQLVWSLNYLSITHPNISFAVQQVSYFMQAPLHLHLVAVRRIIQYLLRISTRGLFFPSRSSIRLNSFSDSDWAGCPDTHRSITGRCMFLGE >Solyc04g026020.3.1 pep chromosome:SL3.0:4:19746616:19750215:-1 gene:Solyc04g026020.3 transcript:Solyc04g026020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNPLNEKVSMTTNSTNNTSSGSSDVAANRMHPMSNHINPLNVQINPHSVVKPASHDTIPATAVPAAHHTTPINPQTSNLAARLPHRRGDHRMFLASDDNTMMKHIEETHIPDGRDFDVKPLVHIIEDIVHRATPIAGHVHEAKVQAHLQALDEKAPHSGLTEILYYLAYPIQRIKVELISKCAKKEDAHSTTMSLLHSLTTYAWDTKVAITFAAFAQLYGEFGLLVHQYTTNPLAKSVAIIMELPEIMTHQDVLKQKFDAIHDLIDKMLDVTKCIIEFRDVQSSHSQHVITQELEMLINTAHISTAAYWTMRAAVMCAAMILNLIAIGHEQISSTSEAWEISNLAHKLANILDHLRKVLNLCHQKIEEKRQYDAFEAILRLLRTPQLDNMKILSMLIHSRDDQLPLFDGTHKRRVSLDVLRRKHVLLLISDLDIASEELFVLHHMYDESKTQPNRPESNYDVVWMPVVDKRLTPWTEAKQMKFEEVQASMPWYSVAHPSMMDPAVLRCIKEVWGFKKKPQLVVLDPQGKESNNNAYHILWIWGSLAFPFTKARETALWKEQTWNIELLADSIDQNVFTWISEGKCICLYGGEDIEWIRSFTSATRAVANAARVPLEMLYVGKKNPKERIRKNNSIIQIENLSHVVQDQTLIWFFWERLESMWHSRTQQDIPGETDPILQEIVTILSYDGSDLGWAVFSRGLVEMTRGKGDLIVQVMKGFDRWRNEVSDITTFVPALDRQLRDLHSPHHCTRLILPSTTGHVPERVICAECSRPMEKFIMYRCCTD >Solyc09g072880.3.1 pep chromosome:SL3.0:9:65982984:65986936:1 gene:Solyc09g072880.3 transcript:Solyc09g072880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSAKAKGKTQNLILRPHRKIIKAKAIFKKMMMKQQWLLSQSQFLYDPFKSGHVKCIFLESGQFSSKWGKALPQKLFYRHTKKGARCIHIDFEDILQFRHPAAALIVTNALMMTTPLDALAQTCEADTSVSNMPLLLLVALVGATVGGLLARQRKAELQRLNEQLRQINTALRRQANIESYAPTLSYAPVGGKISVSEVIIDPKKEELISHLKSGKNFLRNQALEKAFLEFKTALKLAQDLKDPIEEKKAARGLGASLQRQGKYKEAIEYHSMVLDISGRNGEESGSTEAYGAIADCYTELGDIERAAKYYDKYIARLQSD >Solyc03g093535.1.1 pep chromosome:SL3.0:3:56335300:56335521:-1 gene:Solyc03g093535.1 transcript:Solyc03g093535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDSKMSEVIWDTKKVVGVSKFCNLRQKHPGKYIPSEDSARTMYVCIDLLSLLSSEDI >Solyc02g091050.3.1 pep chromosome:SL3.0:2:53119596:53122651:-1 gene:Solyc02g091050.3 transcript:Solyc02g091050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BCQ4] MEEALLEGSLRGRRWGVFVEELKKVSYIAIPMVVVTVSQHLLRVVSMMMIGHLSELSLSGAAIAISLTNVTGFSLLFGMSSALETLCGQAYGAEEYRKLGIYTNGAIISLLVVCIPVSVLWLFVDKFLILIGQDPLISIEAGKYSSWLIATLFPYAILQALIRYLQTQSLILPMLMSSIAALCFHVPLCWALVFKLNLGSGGAAIAIGLSYWFNVFLLCLYVKYSSSCEKTRLCFTKEVLPSTKEFFHLAIPSASMVCLEWWTSEIVILLAGLLPKPQLETSVLSICLLVSSLNYFIPFSIGAGASTRISNELGAGNPEAARMSVMSVTVLGMAEAIVASIILLCSCRILGYAFSNEKEVVDYLRDMTPLLCLLIATDCIQAVLSGVARGSGWQHLGAYVNLGSYYLVGIPVAILLGFFLHLKGKGLWIGLNAGSLVQSLLFFLITCLTDWQNQASIARERIFHSKECARLLAN >Solyc01g079110.3.1.1 pep chromosome:SL3.0:1:78030556:78030966:1 gene:Solyc01g079110.3 transcript:Solyc01g079110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4AXF7] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGVFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc01g011350.3.1 pep chromosome:SL3.0:1:8633769:8648355:-1 gene:Solyc01g011350.3 transcript:Solyc01g011350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAAESNTGFHHQSLSFQSGAIGSSSSEMMLMGNYYSSLGVNFNVNNNGGGRGMLYSGNPSVITSGVRSSSNPGMSQSGSCSSSFLIDSVPGLKHDTGLAVEWTVEEQYKLDEGLIKFANEPSIMKYIKIAASLRDKTVRDVALRCRWMTRKRRKQEDYNLGKKVKDRKEKSAEVPVKAGSSSALPLSFIPNSLSSKYRDHGDVTPSAALLGTRHLLEENNQALNQISANLSTVKFQDNINLFIRTRNNITAILNDMRNMPGIMSQMPPLPVLLNEELASSVLPSTTQPMMFASTSGIQLKQEPGC >Solyc06g060860.2.1 pep chromosome:SL3.0:6:38992918:38997013:-1 gene:Solyc06g060860.2 transcript:Solyc06g060860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFELQVLDSVDSLSVPSEPLHIGNWFSSYAYDSPVLSEIDDFHDSGDGRKRKKADSLHCGENVASQGDIELPTKLWTASNDIPFSSVLSVPLDTKDCFSSFVPETPLLADNDDTIVPESIDIDFNEDTSSRGCKKDINCKEEDNSAENQTNGKCNSLSSGVNMASKDLEQCTNFVADNKWDVESSADMSLASDIRNWFSSYVYESPKVDTIQDFILPDHEKKLDDKVCTNGCSGSVEPQNFRNSLGTPFIHDDKYEHQTASKDPEADGTKNTRVSNEMSHERISQQTLYHKTTENSICDSPNHFDTVFKESDGEHLETLFPQEANCKISFTIDRSSCEGEKLYRHPIHRKDSAENSLKSEESVEPADDLQCKNRMEMSVLSQKLSKRKAAEIIDKENHINDFEENGFISTRKSRNSQVQNRSPLPTPATVQSPLSGITAASNSHKHGLTRKVLTETTNLHPSALETTGKWRCPQRTKPNLGPPLKQLRLEQWVRRG >Solyc10g047400.1.1 pep chromosome:SL3.0:10:40730933:40731570:1 gene:Solyc10g047400.1 transcript:Solyc10g047400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPYPYLATDYDGAAAHAAIFMVQWKREGDSLARYLVRIGEMTESLKIIQQALEGVPGGPYEYFEMRRFEGLKDPEWNGFEYRFISKKPSPTFELSKEELYMRVEAPKGELVIFL >Solyc08g014600.3.1 pep chromosome:SL3.0:8:4806804:4813408:-1 gene:Solyc08g014600.3 transcript:Solyc08g014600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHLSTCYGLLFNTLPLASPLSRLTMTRSNTSKPKDKIQQLLHSDPYGGWDKCWEKGVTPWDLGQPTPILVHLHQTGTLPKGRSLVPGCGSGHDVVAIASPERFVVGLDVSENAIRQATKLSSSSKSAENFVFLEADFFSWRPTQLFDLIFDYTFFCAIEPEMRSRWASRIRDLLKPDGELITLIFPGREKLGRWKRSICKSLL >Solyc08g076860.3.1 pep chromosome:SL3.0:8:60889188:60891391:-1 gene:Solyc08g076860.3 transcript:Solyc08g076860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGPDEEDNRWPPWLKPLLKERFFVQCKLHVDSHKSECNMYCLDCMDGALCSICLSHHKDHRAIQIRRSSYHDVIRVNEIQKFLDITSVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFVKRPKQSPEKKKSSPAAAAAVYDSEDSYSSSSHGRQNSTSNKVHQSFSPSTPPPTSVNYRTAKRRKGIPHRAPMGGLVIGY >Solyc11g011650.1.1.1 pep chromosome:SL3.0:11:4693273:4693548:-1 gene:Solyc11g011650.1 transcript:Solyc11g011650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKMSPLIQGTRLLRRFSNSLGVPKGHCAVYVGESQKKRFVVPISYLSQPLFQDLLTQAEDQFGFNHPMGGLTIPCKEDVFVDLTSRLRS >Solyc09g055120.1.1.1 pep chromosome:SL3.0:9:36951054:36951209:-1 gene:Solyc09g055120.1 transcript:Solyc09g055120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIGVSVGRPGPTPSLDLKPSEFDPLENYWTGFPVEGSEITFPRPNCEFR >Solyc04g049630.3.1 pep chromosome:SL3.0:4:42565913:42583204:-1 gene:Solyc04g049630.3 transcript:Solyc04g049630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSGIPALTRHYAFCYFASVSPFSSSLIHITPSTKLLTRKFSLPIRMSSTNPNVKKHIAPYGSWKSPITADIVSGSTKRLGGFSVDSLGRLFWLESRPTESGRAVIVKEPGKPGEDPIDVTPKDFAVRTLAQEYGGGDFCIQGDILIFSNYKDQRLYKQSISSLDSAPLPLTPDYGGRSVCYADGVFDSRFNRYVTVREDQRESGINAITTIVSIALSSNSDQEPRLLVGGNDFYASPRIDPKGDRIAWIEWGHPNMPWDRSELWVGYISENGDVQNRICVAGGDPTIVESPTEPLWSSQGELYFVTDRKSGFWNIYKWVESSNEVLPVYSLDAEFTRPLWIFGMKSYDFLKNHDQDTLIACSYRENGKSYLGVLDVNLGKISVLDIPFTDINNITSGVHSLYVDGASAVHPSSIAKVTLDDQRTEVIDFKIMWSSSSVSEMYNSYYSRPELIKFPTDVPGQYAYAYFYPPTNTDFQASHGETPPLLLRSHGGPTAEARGCLNLTIQYWTSRGWAYVDVNYGGSTGYGRGYRERLLGNWGIVDVNDCCNCAKFLVDSGRVDGERLCITGSSAGGYTTLAALAFKDVFKAGASLYGIGDLQLLRAETHKFESHYTDNLVGDEKAYFERSPINFVDHFSCPIILFQGLEDKVVSPDQARKIYQALKEKGLPVALVEYEGEQHGFRRAENIKFTLEQQMVFFARLVGRFDVADEVTPVNIDNFE >Solyc04g048930.1.1 pep chromosome:SL3.0:4:38549739:38550128:-1 gene:Solyc04g048930.1 transcript:Solyc04g048930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKETSTTEIDDRSSMPSNQISNPKFSVNLLQLLKSTRMQHGLRFGDYARYRCVISLHTYECLIRILKQTLFLKYKLQV >Solyc07g042593.1.1 pep chromosome:SL3.0:7:56178998:56181598:-1 gene:Solyc07g042593.1 transcript:Solyc07g042593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIGHGVGAYPRSNPVIPQNANLNAHDPEKANSIVVIPKNVKDLRQNPGSSDLDIFTYEEMKLSTKHFRPDKVLGEGGFGIVYKGVIDENVRPGYKTTYVAIKELDPESLQGDREWLAEVNYLGHIRHPNLVKLIGYCCEDYHRLLVYEYMASGSLEKHLFPSEYSVSMSVHVDKG >Solyc02g069490.3.1 pep chromosome:SL3.0:2:39925287:39928562:-1 gene:Solyc02g069490.3 transcript:Solyc02g069490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol side chain reductase [Source:UniProtKB/TrEMBL;Acc:A0A0C6G3Q8] MSDAKAPVATAYPKRKIQLVDFLLSFRWIIVIFFVLPFSFLYYFSIYLGDVKSERKSYKQRQMEHDENVKEVVKRLGQRNAEKDGLVCTARPPWVVVGMRNVDYKRARHFEVDLSKFRNILDIDTERMVAKVEPLVNMGQMSRVTIPMNLSLAVLAELDDLTVGGLINGFGVEGSSHIFGLFSDTVVALEVVLADGKVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPVDQYVKLTYKPVRGNLKELAQAYADSFAPKDGDQDNPSKVPEMVEGMIYGPTEGVMMTGMYASRNEAKRRGNVINNYGWWFKPWFYQHAQTALKRGEFVEYIPTRDYYHRHTRSLYWEGKLILPFGDQFWFRFLLGWLMPPKIALLKATQSEAIRNYYHDHHVIQDLLVPLYKVGDCLEWVHREMEVYPIWLCPHRIYKLPVRPMIYPEPGFEKHKRQGDTEYAQMYTDVGVYYVPGAVLRGEPFDGSEKCRQLELWLIENHGFQAQYAVTELTEKNFWRMFDNGLYEQCRRKYKAIGTFMSVYYKSKKGRKTEKEVQEAEQEKAEQETPEAN >Solyc02g069830.3.1 pep chromosome:SL3.0:2:40224395:40232273:-1 gene:Solyc02g069830.3 transcript:Solyc02g069830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKYVRYTPEQVEALERVYAECPKPTSLKRHQLIRECSILSNIDPKQIKVWFQNRRCREKQRKEASHLQTVNRKLTAMNKLLMEENDRLQKHVSHLVYDNGFMRQQLHTVNSTTTDTCCESVVVSGQQQQQNPMPQHHPQRDANSPAGLLAIAEETLTEFLGKATGTAVDWVQMIGMKPGPESIGIVAVSRNCNGVAARACGLVSLEPMKVAEILKDRPSWYRDCRCLDILNVIPTGNGGTIELIYLQTYAPTTLAPARDFWTLRYTTSLEDGSLVICERSLTSNTGGPVGPPATSSVRAKMLPSGFLIRPCEGGGSIIHIVDHIDLDVSKFNWSNIEHYFYLDFFFLNKYLGAQACSVPEVLRPLYESSKILAQKLTMAAFRYIRQIAQETSGEIQYAGGRQPAVLRAFSQRLCRGFNNAVSGFIDDGWTIMGSDGVDDVTIAVNSSPSKFLDAQYNTLSILPTFGGVLCARASMLLQDVCPALLVRFLREHRSEWADYGVDAYSSASLKASPYAVPCARPGVFPSSQVILPLAQTVEHEEFLEVVRLEGHAFSPEDIALSRDMYLLQLCSGVEENATGACAQLVFAHIDESFGDDAPLLPSGFSVIPLEPKSDSPSAARTLDLASTLEARTGGTRPAGEVEGSSYNHRSVLTIAFQFAFENHYRENVAAMARQYVRSIVGSVQRVAMAIAPSRLCSQLTPKSFPGSPEAVTLARWICRSYKNHTGGELLQMDSQAAGDAVLKQLWHHTDSIMCCSVKMNDSAFFSFANQAGLDMLETTLIALQDIMLDKILDEAGRKVLLSEFPKIMQQGFAYLPAGLCVSSMGRPVSYEQAVAWKVLNDDNSNHCLAFMFTNWSFI >Solyc06g073570.3.1 pep chromosome:SL3.0:6:45503352:45506292:-1 gene:Solyc06g073570.3 transcript:Solyc06g073570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIHILSHVEAIIGILVFFFLLFLIRKAKTWKNSKKFGPAPPQLPGAWPIIGHLPQILSNTLDGRNRINKDGEIPLAHTLGALADKYGPVFTVRQGMFPIAVVSSYEAIKECFTTQDKNLANRVATCSCKYLGYDHANLTFANYGPYWRMVRKLVVNNLLSSKSLERLKHVRISEVESSIKELYTLFVANEAKNVPTKVDIGHWFDDMMLNITVKMIGGKRYSQVNNKEEEKEEAERFRKAFNETMYYIAIVGIEDAFPFPLLQWLDLQGNIKVMKRIADEMDVILQRWLDDHTNKRKNNESNDDQDLIDIMLTELDKDDFQYGYSRETIIKATMLTIVSDATHTTAVHLIWIIACLLNNKHVLEKVHEEIDTKVGKDRWVEDSDIKNLMYFQATIKEVLRLYPPSPMLVHEALADCQVLGYHISKGTRLFVNVWKLQKDSKFWPEPEKFLPERFLTTKAKVDVYGKDLEFIPFGSGRRSCPGITMAMQVTYLSIARLLQAFDFDLPNNDPLDMTEGPGFTAVKKVPLEVVVKPRMLPMYYGT >Solyc01g110450.3.1 pep chromosome:SL3.0:1:97064142:97073477:1 gene:Solyc01g110450.3 transcript:Solyc01g110450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITLNSGYKMPIVGLGVWRMEGKDMKDLLINAIKIGYRHFDCAADYQNEAEVGEALAEAFQTGLVKREDLFITTKLWNSDHGHVLEACKDSLKKLRLDYLDLYLVHFPVATKHTGVGTTASALGEDGVLDIDTTISLETTWHGMENLVSLGLVRSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRESLVKFCQKHGICVTAHTPLGGAAANTEWFGSVSCLEDPALKGLAEKYKKTVAQVILRWGIQRNTVVIPKSSKLERLQENFNVLDFEITKEDMDLIKSLDRNYRTNQPAKFWGIDLYA >Solyc11g071930.2.1 pep chromosome:SL3.0:11:55545657:55552285:-1 gene:Solyc11g071930.2 transcript:Solyc11g071930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSDTATAPSPAKIAAQEDALLKQFFAEVSEVERDNEVNRILSCFKLNPFDYLNLSFDSSIDEVKRQYRKLSLLVHPDKCKHPQAKEAFGALAKAQQLLLDPQERDYILNQVNAAKEELRAQWKKQLKKDTASKLKSLVTEGKFDQEHEQSEEFQHKLKLKVKEILTDQEWRRRKMAMRISEEEGRLKKDEEETKELWKRKREHEEQWEGTRENRVSSWRDFMKGGKKVKKGEIRPPKLKTEDPNKSYVQRPVKRG >Solyc11g071200.2.1 pep chromosome:SL3.0:11:55019576:55024854:-1 gene:Solyc11g071200.2 transcript:Solyc11g071200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKFQIDEILNKLKERSSGQSDLFEMISKLEQAKSCLLVEAMVAGKVALQVAGGVADDQQVAGGVALQVAGGMADVLQMAGGMADVLQVAGGMADDQQMAGGMADVLQVAGGMADDQQMAGGMADVLQVAGGMADDQQVAGGMADVLQVAGGMADDQQVAGWVDNDLRWMYSWDLCDDDTFVWMSPAPIPWFTKNLEEDIERDSLDINLTLGTILLNASPPTCFLKSPKFFSVGMPVTLTSSKLTDIVTCPAVLMIAGEGTRYSIHWPVLGHKFGLYSTIRLWYQWKSFPFGWKHKLFITIESVSAEAGPSNQTTGRKRSNMQ >Solyc03g034280.2.1.1 pep chromosome:SL3.0:3:6058676:6060213:-1 gene:Solyc03g034280.2 transcript:Solyc03g034280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVKIFKGKKNKKKKIRRRRRGNNGI >Solyc02g043960.1.1.1 pep chromosome:SL3.0:2:374117:374515:-1 gene:Solyc02g043960.1 transcript:Solyc02g043960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTYIIVPTIDDYSMSLGAATTLCGAIFHSWSNKSLMKPILCSNMVLLVENTLYVLAYDFKSIYLLIVGHLFCGIGMSRVVNRRKITDCVPLHLRMKASVCSSASALGMACCLVVAYLFQTKFKFLNITFN >Solyc05g006833.1.1 pep chromosome:SL3.0:5:1455905:1456982:-1 gene:Solyc05g006833.1 transcript:Solyc05g006833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKMVVIDFTATWFGPCKNMDPNINDFAAKYTDVEFVKIDVDKLVDVALEYEVQAMSTFVLMKEREGH >Solyc07g063930.3.1 pep chromosome:SL3.0:7:66389096:66393149:-1 gene:Solyc07g063930.3 transcript:Solyc07g063930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMLEKYCGDFGWWQFSHFVLTSFAWALEGIHTMVMIFADREPAWRCLPNRLCDSTAKSVCGLEPGSWEWTGGAASSTVSEFGLICGQKYKVGLVQSLFFAACMIGAGVFGHLSDSKLGRKGSLTIVCIMNAIFGCLTATSWDYWTYTLFRFLSGFSTGGTGLCAFVLATEPVGQSWRGVAGMSTFYFFSIGIAALSAIAYFFQTWRSLYIASSIPSVIFVILLLPFIHESPRWCLVRGKIDEAMKIMNRIAKSNGKHLPDDVVLALDSEVNDDVPDSQSVSKEALNGSLLDVLRLPLTRFRLFLAVLINFLCSVVYYGLSLNAVNLGTNLYLNVALNAVAEMPAYFLTALVLDKLGRKPLAIGTMWFSGIFCLAGSLAKGDDGTWKLVRMVCGVLGIFGMAGTYNLLFVYSMELFPTVVRNAALGCATQASQMGAILAPFVVVLGGGIPFAVFAFCGIAGGLLVIYLPETLNRPLYDTMAGMEEAEAKKPSILS >Solyc12g009490.2.1 pep chromosome:SL3.0:12:2750164:2752135:-1 gene:Solyc12g009490.2 transcript:Solyc12g009490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFDTAEEAARAYDEAAILMRVWQKRAGPSSDSKWVMTVELQKKNNPKNIN >Solyc01g010660.3.1 pep chromosome:SL3.0:1:5617546:5624172:1 gene:Solyc01g010660.3 transcript:Solyc01g010660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGSSARVDATLSSHNISASGASRIPSRTSHSSVLSSLSIPSYSRKSSADTLSTPRSEGEILFSPNVKSFSFNELKSATRNFRPDSLLGEGGFGYVFKGWIDEHTLTAAKPGSGMVIAVKKLKPEGFQGHKEWVTEVNYLGQLRHPNLVKLIGYCIEGDDRLLVYEFMPKGSLENHLFRRGPQPLTWLTRIKVAIGAARGLAFLHDAKEQVIYRDFKASNILLDGEFNAKLSDFGLAKAGPTGDRTHVSTQVIGTQGYAAPEYVATGRLTSKSDVYSFGVVLLELLSGRRALDKMKVGVEQNLVDWAKPYLGDKRRLFRIMDTKLEGQYPQKGAYTAANLAWQCLSNEPKLRPRMSEVLAALEQLQAPKGVNKISQIEHRATSSSVPASPFKHRSSLSVTPSASPLKAYHKSPRGR >Solyc11g020210.2.1 pep chromosome:SL3.0:11:10508511:10509968:1 gene:Solyc11g020210.2 transcript:Solyc11g020210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRILNRLCFYSNRITNYLMDCTPLMLKLDYSPIALRILWSLV >Solyc02g036160.1.1.1 pep chromosome:SL3.0:2:30672038:30672205:1 gene:Solyc02g036160.1 transcript:Solyc02g036160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLKQSMFILEELCKEFPSSCAFASSRQSFPILLPPTNSIIIYIIHKNICGLAV >Solyc05g055440.1.1.1 pep chromosome:SL3.0:5:65939246:65939689:-1 gene:Solyc05g055440.1 transcript:Solyc05g055440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:K4C2M0] MAPKAEKKPAEKKPAAEKTPLAEKSPAEKKPKAGKKLPKDSSAAAGDKKKKRSKKAVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc04g056660.1.1.1 pep chromosome:SL3.0:4:54583681:54584136:-1 gene:Solyc04g056660.1 transcript:Solyc04g056660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRKSIMNEQPDEGFDFIWQIYPPSSREVSTLIPGICSFSVSVVHGIGEVVSTSGREHAIVSNNLDESILLSCSGGIKLSVILLRKPLRKVMKRVLRFQIPLLKAHFCRLVLSTKRPKDVLPNGSRLKNPILIKELYITSIIHHPSRSL >Solyc08g077960.3.1 pep chromosome:SL3.0:8:61961441:61970452:-1 gene:Solyc08g077960.3 transcript:Solyc08g077960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLINYTPLHARAEPDDLEDYRVSRFKNFTVKNKEVKMLKRKKSHDNPEIGILPEYHQGFHRKALDEDTSNRSSSGSAVSNTESYGQFGSAEASDLTGPAQSNIWDATVPSRKRTCVNRPKQSSVEKLTKDLYTILHEQQSSYFSGSSEEDLLFESDKPMVSVEIGHGSVLIRHPSSIGREEESEASSLSVDNKHHSSNEAYSQLTTPPVNISKGVNSSNLVIQRTQKPTVQGVEHEQFKRSKDHLEKLQILGHHNSPLCHIDLKDVLNYEEFTRHLSSDEQQQLLKYLPPVDSFSPPESLRSMFESSQFEENLSSFQKLLAEGVFDNSLSGVTVEECRNLKRFMLCYLMKSKWVEQVNLLKDMKCKNSSSSSEVAGGRNVFGTGHSVNMKRPRDGQHPKNLGVNTTMKSPKRVAMKNSYEQQKEIMENDGSCFSPKSLFALPSENSFRFTNESSDQDLLLDVPSNSSFPQAELLLPTASFAAQASTSSSSIYPHLIHP >Solyc04g079530.3.1 pep chromosome:SL3.0:4:64043760:64058590:1 gene:Solyc04g079530.3 transcript:Solyc04g079530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMWRDVKTEPKQPPRLGGNRAALFAYAIAGIDVMAFFATGVSLVTYFYGYMNFSITESATAVTNFMGTAFFLSLFGAFLSDTYLSRFKTCVLFGCIEVVGYALLAVQAHFRQLRPFPCKDVPLSQMNQCESANKGQLAILYVGLYLVAIGTSGVKAAAPPLGADQYDEKDPKEAAKLSSYFNWLMFFLTTGALFGVTFVVWISENQGWDWSFAVFVVALRNRNLRLPENEHELHEIQDKEARYHTEILQKTEQFKFLDRAAINRTDQEASTSNAHGPWKLCTVTQVEETKIVIRMLPIILSTVFMNTCLAQLQTFTIQQSTTMDRKIHKFEVPGASIPAIPLLFMIILIPIYERVFIPIARKFTGIPTGIRQLQRIGIGLVLSSISMAVAAIVEKHRKSIAIKHNMVESAAPLPMSVFWLGYQYAIFGLADMFTLVGLLDFFYSESSSSMKALSTAISWSSLAIGYYTSSIIVSLVNKVSGGWLANNNLNKDELDYFYWLLSGLSVLNFGFYLLCASWYKYKKIDMNPEAEMSIGICRNVKTEEKQLQKKGGTRAALFVYVVAGIDTMAFISKGVSLVTYFSGYMNFSLTKSANTVTNFMGTAFLLSLFGAFLSDTYFSRFKTCVLFSIIQVLGFALLGVQAHFSQLRPFPCKDVPLSQNDQCESADAGQLAILYGGVYLVALGNSGVKAALPSLGADQFDEKGPKGAAKLSSYFNWLLFFITIGAMLGVTLFVWIGDNQGWDWSFGICSVAIGLSTLLLTMGKQFYRNNVPKGSPLMRISQVLVAAFRNRNLPLPQNNEDLHQIRSEEAENGIEIIQRTDQFKCLDRAAIPRNNHEASTSNSHGPWSLCTISQVEETKIVVRMLPIILSTVFMNTCMAQLQTFTIQQSMTMNRKIRNFEIPGPSIPVIPQVFQLIMIPVYDRIFVPIARKFTGIPSGIRQLQRIGVGLVFSVVSMAVAAVVESHRKSVAIEHNMVDSSSQIPMSVFWLGFQFVIFSIAEVLTLIGLLDFFYAESTSGMKSLSMAITWCSLAFGYFTSSVVVSVVNKVSGGWLGNNNLNRDKLDYFYWLLAGVSVLNFGFYLLCASWYKYKKRELNQEGPISDEKPKGKTEMCSPSNPVGKSLLTHTNRIIKLIIESEQGICRNVETEENKLQSKGGTRAALFVYVMAGINTMALISNGVSLVIYFNGYMNFSLTKSANTVTNFMGTAFLLSLFGAFLSDTYFSRFKTCVLFGIIKVLGFALLGVQAHFSQLRPFPCRDVPLSQNDRCESADAGQLAILYGGVYLIALGNSGVKAALPSLGADQFDEKDPKEAAKLSSYFNWLLFYNTIGAILGITVLVWISDNQGWDWSFGICSVAVGLSILLLTMGKQFYRCNVPKGSPLMRIPQVFVAAFRNRNLPLPQNKDDLHQIRSGEPENGTEILQRTDQFKFLDRAAILRNNQEASTTSAHGPWSLCTISQVEETKIVVRMLPIILSTVFMNTCMAQFQTFTIQQSMTMNRKIQNFEIPGPSIPVIPQLFQLFLIPVYDRIFVPIARKFTGIPSGIQQLQRIGAGQVLSAVSMAVAAVVESHRKSVSIKHNMVDSASPLPMSVFWLGYQFVIAAMAQIFTIVGLMNFFYAESTSGLKSLSMAISWSSTAFGYFTSSVVVSVINKVSGGWLANNNLNRDKLDYFYWLLAGVSVLNFGFYLLCASWYKYKKPELKQEDHITDENDKGITDV >Solyc10g008580.1.1.1 pep chromosome:SL3.0:10:2675946:2677103:1 gene:Solyc10g008580.1 transcript:Solyc10g008580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADSDSFYFPLELLREILLKLPAESLIRFTVVCKSWYSLITSFPFISAHFAQTSHSETLFVRRYDSSRNREHYLLFEDSKNRPFNLNFTSELYFPFSCPLGYFRIVGSCNGILCLSDDLFGELRSLILWNPSIKKFIILPMPSINPQSPHMFVFGFGADLRESDDYKLVRLVYRKTDDVVYKDPPEIEIYSINSGVWRRVIGVEIKHCVVEFMWSQVFVNGVVHWIAYDVVANGGELRSLVMTFSIADEVFGEIMLPDALVGVIATNLSVLLFEESLAVVKYEREIDVASCEVWVMNQYGVSESWSRLYSINLVAGMERVVGFRKNGEVLFSTRSSDLVSYDPNSGQNRGLRIQGSSRSFYVQNYMESLILLKGNNVVSRGLLE >Solyc12g011080.2.1 pep chromosome:SL3.0:12:3937724:3938149:-1 gene:Solyc12g011080.2 transcript:Solyc12g011080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSDERAVLQLEDENLVRLEHFDRKASSLGTVPQRSSYDLEADDDSHKTILVDELTDQHGWTFILSLLGIIPLAERLGWAK >Solyc08g083010.3.1 pep chromosome:SL3.0:8:65736189:65739594:1 gene:Solyc08g083010.3 transcript:Solyc08g083010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTSISSLLPYPKILFSRRAFPPINFSSTAPFSGQAKFLSINCSNSSENGEMGNLKDALTGIVDERVEELLKREENRVLLDGLEKATLRVEMAKKELAEIERQELEAKLLKDYITQLETRTSEIAECQKDILEARAMIEEAERSLNVSGDARKRDPTDGDVVNRDEERVESVKAASLSAIVGTLAGLPIFLSRISSSSELILPLSITFISCALFGVTFRYAVRRDFDNFQLKSGTSAAFGVVKGLATLGGGPPLELDAASFWSHALDGAVYVSENLLIFLFAGVGLDLCFKLRILSPFPIDRSISETDKS >Solyc04g076180.3.1 pep chromosome:SL3.0:4:61198647:61212943:1 gene:Solyc04g076180.3 transcript:Solyc04g076180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPKRSGQPDDAVSGPVRTSDRLRRRPTLYGRPYLYYNPKLIRPKRNKTKTRTAASQIAKMLRPGSRPVRTKKSDSVAANLRRSTRTRRVSVNLEGYTDSSGTEDNDLMSPKYRSSRNREDNNSASQDDLMPRREGLRPRRAGLRPRRARAVGRQQLNLRSDDEQDTSEEKIGQGDPENENDVDDNDADEAEDEGGGDGDGEDEGEDEGDEDGDDEEGEEQDGRRRYDLRNRAEVRRLSMEGVKQRPRSPRRVLQQGMGTKVNRDVRRGGSRVHKRHRMTRGDDSDDSLLVDELDEGPPIPWGRGGSRSGPPWLLGGLDMQGTTSWGLNVAASGWGHQSEAFTNLTSGIQTAGPSSKGGADIQPLQVDETISFDDIGGLSEYIDALKEMVFFPLLYPDFFASYNITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGLEARAEILDIHTRKWKQPPSKELKMELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFLIDVESVTVEKYHFLEAMTTITPAAHRGSIVHSRPLSSVVAPCLHGPLRKAMSIISDIFPLSVSSELSKLSMLSYGSAIPLVYRPRLLLCGGEGVGLDHVGPAILHELEKFPVHSLGLPSLLSDPGAKTPEEALVHIFSEARRTTPSILYLPHFHLWWENAHEQLKAVLRTLLEELPSDLPILLFGTSSVPLSDLPDEPSSVFSHHSILCLDSPSDEDRSLFFDRLIEAALSIQVEATTKKSDKSDSLPELPKAPKVSVGPKASELKAKAEAEGHALRRLRMCLRDVCNRILYDKRFSVFHYPVMDEDAPNYRLIIQNPMDMATLLQHVDSGKYITNKTFLEDFDLIVTNAKKYNGDDYNGARIVSRAHELRDSVYGMLSQMDPALVAFCEKIAAEGGPVSVPDELGGDALPQNPVLQSSTLTRARARLRNVQPEVNLDQSFEALRRHKKHADSAQLVLDDELQPQDSLPSKSSNDHEGDASDQRPESTLADGNKSADVPDASGDACQDVTMSDTEMSRKIESVKKQFVKHTKEYGIPQLERLYTRIMKGVFETKTGVTNEDLKTSILSFLLKFAKDASKF >Solyc08g067127.1.1 pep chromosome:SL3.0:8:56123324:56126388:1 gene:Solyc08g067127.1 transcript:Solyc08g067127.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIQDQYSQILQMLGHTNTKGGTEGSTSQSNNAGNANLVQDYSSSTASTAWQLFPTTFSSDVDSDPRNLSTTEDGPGTSTLDVSIPEQRRSTTSSKAPLWMKDYVAIAKLKSVYVDDMMITGNDLSLIKDTKGILLNNFKMKDLGDLRYFLGIEFARSQEGIVMHQRKYTLEINSEVGLGAAKPVSTPLDPYVTLTTKEYDDMNGKDKEDKLLEDATIYRKLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNVDLKVVRYIKGQAGQGVLLSSKRSKHLKVYSDADWGACLHTRRSISGFMVKMGESLISWKSKKQATVSRSSAEAEYGSRLVL >Solyc08g016400.1.1.1 pep chromosome:SL3.0:8:7507483:7507665:1 gene:Solyc08g016400.1 transcript:Solyc08g016400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQAASKRHQYNSDEIDGSGRKQYVVFPLSSSLRPSPIVLFQQYDSSNQTCVSIHLARD >Solyc07g047700.3.1 pep chromosome:SL3.0:7:59048987:59058271:1 gene:Solyc07g047700.3 transcript:Solyc07g047700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRPNLNKVAKIKTKTQKSSKMDPQIWHKIAAISGVAAVGLGAYGAHGFKPQNPTYKEVWNTASLYHLVHTAALLAAPITKHPNIVSYFVTNFKYGILTRLGLSRAGARVQGTGCYAVALLEDRKYSTLAPLGGFAFIAAWASLLF >Solyc11g056280.1.1.1 pep chromosome:SL3.0:11:45601743:45601910:1 gene:Solyc11g056280.1 transcript:Solyc11g056280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGALAVLFASPATGKREPLSFFASFENQLHINRLFLRPSRRKQRLFSKIPGKIK >Solyc01g112000.3.1 pep chromosome:SL3.0:1:98049264:98050897:1 gene:Solyc01g112000.3 transcript:Solyc01g112000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin-like protein [Source:UniProtKB/TrEMBL;Acc:A7X331] MSLFFLVLLLFVSSVTACDRCVHRSKVAYFSSSSALLSGACGYGNLAIGFNGGRLAAAVPKLYDNGAGCGACYQIRCTDPKICSKHGTTVMVTDLNTNNQTDFVISSRAFMAMAIEGKAQDVHKLGIADVEYKRVPCDYKGKNLAVRVDESSQKPHYLAISIFYQGGQTEIVSVDVAQVGSSNWNFLSRNHGAIWDTSRVPSGALQFRFVVTAGYDGKWIWAKSVLPSDWKNGVVYDTGLQITDIAQEGCSPCDDGSWKLN >Solyc12g015740.2.1 pep chromosome:SL3.0:12:5718464:5721675:-1 gene:Solyc12g015740.2 transcript:Solyc12g015740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNGLCQVWIGITISILVIGLSMKGAFGIRFVIEKEECFSHKVEMGETVHYSFVVIKSEGDWHHNNDGVDLVVKGPSGEQIHDLRDKISEKSEFTSHHEGIYRFCFTNKSPYHETIDFDLHAAHFVYHDEHAKDEHFKPLFEHIGKLEEALYSIQFEQHWLEAQTDRQAIVNEGMSKRAMYKALFESSALICVSFLQVFLLKHLFERKLGQSRV >Solyc12g010450.2.1 pep chromosome:SL3.0:12:3471086:3475932:-1 gene:Solyc12g010450.2 transcript:Solyc12g010450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGRSLLVANAGDCRAVLSRGGLAIEMSKDHRPCCVNERTRVESLGGFVDDGYLNGQLGVTRALGNWHIKGLKEADKGGPLSAEPELKLLTLTKEDEFLIIGSDGIWDVFRNQNAVDFARRRLQEHNNVKLCCKEIVDEAKKRGAIDNLTVVMVCFHSEPPPPVVFQRSRIRKCISAEGLQNLKSLLEG >Solyc04g014875.1.1 pep chromosome:SL3.0:4:5123423:5126080:1 gene:Solyc04g014875.1 transcript:Solyc04g014875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLSREIPYPAPYSERNGVLKVCFLAKFGIRAVRKWGSLVIFSSIGDLSFTKGKEVNLEAV >Solyc07g006385.1.1 pep chromosome:SL3.0:7:1209174:1210177:-1 gene:Solyc07g006385.1 transcript:Solyc07g006385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKVGSKSLWDNGSICGKKFNITCTGETNKYLLHPCTGKYVVVKIVDYCPECYDDATTFHLHEKAFETISLLYAFDVKIDYVQIK >Solyc07g062010.1.1 pep chromosome:SL3.0:7:64998160:64999161:-1 gene:Solyc07g062010.1 transcript:Solyc07g062010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPILTLLFLLLSNFSVRSLTLADGQKTWCVAKPSSDDMALEQNLIYACKYVNCNIIKEGGPCFSPNNLMNHASISMNLYYQFTGRHPWDCYFNNSALVVMTDPSYGGCIYG >Solyc06g076310.1.1.1 pep chromosome:SL3.0:6:47553520:47553771:1 gene:Solyc06g076310.1 transcript:Solyc06g076310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKEYEDVTMMEVVEEEGCSTPKRDDCQIPAMVVPPPPPRKKRTYDCGGDKRKPPENGYFQAPEIELFFAMQPRCTQRTFAV >Solyc07g062470.3.1.1 pep chromosome:SL3.0:7:65324200:65324757:-1 gene:Solyc07g062470.3 transcript:Solyc07g062470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSEQKREVGGREGEGSSSMTLTQSDHHHQLSPPPQLSRYESQKRRDWNTFGQYLKNHKPPVPLPQCNYNHVLDFLRYLDQFGKTKVHLNGCVFFGQVEQVGPCTCPLRQAWGSLDALIGRLRAAYEENGGLQETNPFANSAIRIYLREVRDSQAKARGIPYKKKKKKRKIQINSSNNNEVNST >Solyc01g060430.1.1.1 pep chromosome:SL3.0:1:70952074:70952367:-1 gene:Solyc01g060430.1 transcript:Solyc01g060430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNKNMHAIGILHFSSSLIHLLFPFMLIYLHLNDTYHTDFSLFLEFLIDRMHHYLQKLIVLRSSAGSSKKEKLQLKEKADKVAEEIKDSVAAGSVTA >Solyc01g010690.1.1 pep chromosome:SL3.0:1:5648187:5649704:1 gene:Solyc01g010690.1 transcript:Solyc01g010690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLIGALVWSTLLDPRPLALITQSGTVLLGGYYVGVLSVENTGQPAAQYCTFRGNLVKLNVRSGAIIWKTDTLLDNGGRLGGYSGAAIWGSSPAIDIARGHVYVGTGNLYLAPPEVLKCQEAQNNRTTPPAVPDQCFGKDIHFDSILALDLNSGKIAWATQLGGYDVFTFACLVPKNPNCPPGPNMDVDFGEAPMMLTIFQMEEFMMLWWLCGKVALLGRLIATLKKAGQGSLERGGIWGATTDGRRVYTNIVNGNIVLFTLAPTTKNTTAGGWVAVDENTVQILWTTANPSKETSPEPVAIVNRVLFAGSVAPNGPLYAMDAGNGKISWTFNTGATIYGGASISYGCVYILVYLSCSIQLGPVGLHSLHFALCEINTY >Solyc08g074300.3.1 pep chromosome:SL3.0:8:58533554:58535717:-1 gene:Solyc08g074300.3 transcript:Solyc08g074300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVKEEIDLPPGFRFHPSDEELITYYLMNKISDSNNFIARAIGDVDLNKSEPWDLPGKAKMGEEEWYFFSQRDRKYPTGVRTNRATNSGYWKTTGKDKEIFSNLELVGMKKTLVFYKGRAPRGEKTNWVMHEYRLHSKSSYTTHKVF >Solyc11g066080.1.1.1 pep chromosome:SL3.0:11:52048941:52049771:-1 gene:Solyc11g066080.1 transcript:Solyc11g066080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSGLGIGLSFVFGCILMALVAELYYLLWVRKRIPKNETETQYNNNNTTTPCATELSHLFCWKKPTKNVSSQQRSNTEMTGHVHDQDQDLELGNSKDLLLKGYGEDSVESELMRLHNLCGPPRFLFTIKEETKEDLESEDGKSRSRNKSFSDLILTPLSSPPIKTQNLDSYNCQGFNPLFESSNELNKLKSSPPPKFKFLRDAEEKLIRRLIELEAEKKVIKNDVCSIEEKGSFISFLGKSKMREQIQVNSTTCVKVLPLASSPSTYNSIDGEV >Solyc04g076750.2.1 pep chromosome:SL3.0:4:61723338:61728386:-1 gene:Solyc04g076750.2 transcript:Solyc04g076750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNMEKKDPDIVIPEEEEDDESPIEQVRLTVSNDDDPSLPVWTFRMWFLGLLSCALLSFLNTFFSYRAEPLVISMITVQVATLPIGRLMAKVLPKRKFTIKSWEFSFNPGPFNVKEHVLISIFANAGSAFGNGPAYAVGIVDIIKAFYFRNITFLAGWILVVATQVLGYGWAGIMRKYVVDPAEMWWPSSLVQVSLFRALHDKEVEGKTSRGKFFLVVLACSFIWYTVPGFLFPTLSNLSLLCLAYPKSVIAQQIGSGMKGLGILSFTFDWAVIASYLGSPLVYPFFVIVNVIVGYIGVVYILIPASYWGLNLYNAKNFPLFSSELFDARGQIYNVTAIVNDKFEIDKVSYAQHGRIHLSMFFAVTYGLNFAAVMATLSQVALFNGKEIVQRFRASYKGKPDIHTRLMMKYKDIPNWWFYLTLALSLALSLVLCIFMKDQVQLSWWGLLLAACLALIYTLPISIITATTNMSPGLNVITEYVIGMIIPGKPIANVCFKTFAYMSMSQAVSFLQDFKLGHYMKVPPRSMFIVQLVGTILGGTINMGVAWWLLNSIDHICQPNLLPPNSPWTCQSDRVFFDASVIWGLVGPRRIFLGLGEYGALNWCFIGGLVAPFLVWLLHKSFPRQSWIKLINIPVLLAATASMPPATTLNFNSWIVVGTVFNFFVFRYRKQWWQRYNYVLSAALDAGVAVMGVVLFFCVTVWNVNFSWWGTGGEYCDLATCPTGKGIFVDGCPLT >Solyc02g022925.1.1 pep chromosome:SL3.0:2:24910928:24911859:1 gene:Solyc02g022925.1 transcript:Solyc02g022925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLRFPRGALLTDLSLYRKLIGKLNSLQYTRLDISVFYTRVTLCLNQGTGPTVYLVVSLLMVMFSFLVVVLFHGNPRSIQLLPSSLLRLSIRLFEYWSHYPQPRVPSDSQIVDVVTTRLFQGINHNTFSSKLWPGNPFQVALAVDTQMLIVKFLVMLEGYFSFV >Solyc03g078240.2.1 pep chromosome:SL3.0:3:51651303:51654094:-1 gene:Solyc03g078240.2 transcript:Solyc03g078240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPTEEDEGNDGFTDTQRRVNGCIDGSSQIILIKSIRQLEGKYIDYLSNIQNKRYVPVGPLVRAPETEDEHSEIMEWLNSKTRCSTVFASFGTEYFLSKEETEVVALGLELSKVNFLWVIRFPVGQNRNLEEALPKGFLERVGNRGKIVQGWAPQLTILSHWSIGGFVSHCGWSSVMEGLKLGVPIIGMPMHLDQPLNAKLIVDAGVGEEVVRDKDGNIDEHQVAKVVREVVAEKSGQRLRKKAREYSEIMKGNGDEEIDEVVKELLNLCKPITRRDSVEMLY >Solyc03g061600.3.1 pep chromosome:SL3.0:3:32687168:32696407:1 gene:Solyc03g061600.3 transcript:Solyc03g061600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYFASLLLDDIPGAAEEAAAVFGEASLLCIQKQHIDQGNQSQNLQASTDDQNVASKNIVSDDISPRQSKHTHSNNLREISGNYNGAAAIQNLGGVSTNMSFYNTPSPMASQLSGVVPPPVCRNFQQNGTNASVAGADNSPRSTVNSTIQAPRRKFVDEGKLRKISGRLFSDSGPRRNSRLSGESTGNTNSNVSAASGNGTIHSSKYYGSSKLSSMTLRSMTSRKTQSWAAENYGEGTRNDISDDSRLNMTLSHPSGDARPLEQEGPGTSASGVNVSSTSILSGASDILSLFRILGEGYRLSCLYRCQDALDVYNKLPHKHYHTGWVLSQIGRAYFEMVDYQEADHAFGLARLASPYSLEGMDLYSTVLFHLKEDMKLSYLAQELVSTDRLVPQSWCAMGNCYSLQKDHETALKNFQRAVQLNPRFAYGHTLCGHEYVALEDFENAIKSYQSALRVDARHYNAWYGLGMIYLRQEKFEFSEHHFRMALGINPQSSVIMSYLGTALHALKKNEEALEVMELAIVADKKNPLPMYQKANILVSTESFDAALEVLEELKEHAPRESSVYALMGRIYKRRNMYDKAMLHFGVALDLKPSATDVATIKAAIEKLHVPDEMEDEL >Solyc02g067720.2.1 pep chromosome:SL3.0:2:38409288:38416433:-1 gene:Solyc02g067720.2 transcript:Solyc02g067720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRLLGPLPKAECEKIFKERGCDICLTLLASRNALRTHKESCQLSRSNNGLLYRMTRLGLGFQDDLKISNSQGKVVALSCKMVGGGNDGSLHLCARVCLIDEHERILFESYVAPNIPITNYRPEYMRNAMPLKQVSRKIQDYLCNGEPIWQIRTRSGRARILVGHNLDHDLKCLEMDYPTIMLRDTAKYPPLMKTSKLSNSLKYLSKAYLGKCAACYKTFNTMESLVEHMRTSYHSVHEPMCLICEKHCQSFAALRQHLIGPLPKANCEKIFKELGCNICLSLFASRNALMAHKESCPLSRSNNGFLYRGLRNDLRIDNMQRKVVAISCKIVGGGIDGAIDLCARVCLIDEHERILFDSYVEPIRPITNYRYKTSGISPRHMRGAMPINQVTGKIQYYICNGELLWQIHAGSGRARILVGHDLDRLFKCLGLQYPSVMIRDTAKYPPLMDTNKNSNSLKYLTKTYLGYEIQTRVQDPYDDCVATMRLYMKMKSQAHKREEWPLVIDPKTCNIFGSCKQSEFEKMTPAQLLEISRSNYYCWCLDSYIN >Solyc02g088340.3.1 pep chromosome:SL3.0:2:51097466:51104102:-1 gene:Solyc02g088340.3 transcript:Solyc02g088340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVSSKQATSHSPPHDSSVNVAGVDNVDPLLHIGFAPLEKIKEEPEKEGEDSVRRFSGKLRASKKGNSDKKAASFSIKFGRLTEGEHLAAGWPVWLTAVAGEAIDGWMPLKSNMFQKLEKIGQGTYSSVYRARDIGNGKMVALKKVRFDNFQPDSVRFMAREIAILRKLDHPNIMKLEGIITSRLSCSIYLVFEYMEHDLSGLLSCPDIKFSDSQIKCYMQQLLSGLEHCHSRGIMHRDIKVSNILVNNEGTLKIADFGLANFLSARHKQPLTSRVVTLWYRPPELLLGSTSYGVTVDLWSVGCVFAELFFGRPLLKGRTEVEQLHKIFKLCGSPPEDYWKRSKLPLATMFKPKQPYDSTLRDRCKELPKSAVNLIETLISIDPHKRGTASSALNSEYFNTKPYACDSSSLPKYPPNKEIDAKFREEARRKRASSTVQTSETSKNSRKSRKGLQEPNNFCKVVPTEEVEANVHGSHRNYGSNAQISKGRRATVSRISMKPLYDTVSDAASQMTDESQGDSTVLSVPVQMPESSGFAWAKKGKQDSAVTRLYPPPNSRSQKLSAFDPSAVLHSGDTLESKMQDNDEFLRRTQHRKHGHHERPDSFDLSDQEQSAEFDGQQGRVGFSGPLLSQSQTFDSKKDSQTRKAGRRSRFYGDI >Solyc06g072130.3.1 pep chromosome:SL3.0:6:44593288:44595398:-1 gene:Solyc06g072130.3 transcript:Solyc06g072130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRRYEFGRADEATHPDSVRATLSEFLSTFIFVFAGEGSVLALDKLYPDRALGASRLTAIALAHAFSLFAAVASSMNVSGGHINPAVTFGALVGGRVSVLRAMYYWIGQLLGAVVASALLRLATDGLRPVGFAVASGVGNGNALVMEIVMTFGLVYTVYATAIDPKRGSLGIIAPLAIAFIVGANVLVGGPFEGASMNPARAFGPALVGWRWRNHWIYWVGPFIGAAIAGIIYEFGLIQAHDEAPVHTHHQPLAPEDY >Solyc05g026007.1.1 pep chromosome:SL3.0:5:39340548:39340939:1 gene:Solyc05g026007.1 transcript:Solyc05g026007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAPKAGKVIALLLKLTNAKKTIEIGVFTGCSLHLIALTIPWQGHVEHDFVFSFIDAEQVSYQNINDRMFKLVKVGGILGYDYTLLFGKINMSEECVKETMKPNMHHIIQLNRF >Solyc06g083070.3.1 pep chromosome:SL3.0:6:48659784:48666631:-1 gene:Solyc06g083070.3 transcript:Solyc06g083070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVGVFVSDTWLQSQFTQVELRRLKSHFNAMKRENGDLMLADLPSKMARIKHVGENLTEQERESFLRDSYKSLEEDVDFELFLQVYLKIQAHAAARMGNTAKSSSAFVKSATSTLLHTISESEKASYVAHINSYLADDEFLKKYLPIDPLTNDLFEVSKDGVLICKLINVAVPGTIDERAINMKRMLNPWERNENHTLCLNSAKAIGCTLVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLLELVDDNKDAEELMSLPPEKILLRWMNFQLKKAKYEKIVTNFSSDIKDAEAYAHLLNVLAPEYINPTTLTVKEHLERAKLVLDHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKEISFLEISPDEAQMSREERAFRFWINSLGNSSYIDNVFEDLRNGWLLLETLDKVSPGIVNWKIATKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNMLQLLKNLRFHSNGKEITDADILEWSNSKVRNSGSKSRMASFKDKSLSDGIFFLELLSAVHPRAVNWSLVTKGETEEQKKMNATYIISIARKLGCSIFLLPEDLIEVNQKMMLTLTASIMYWHLKQPMEDQISISDSDSSSVDTISTSTLDDTASECSMDDNSNR >Solyc05g051790.3.1 pep chromosome:SL3.0:5:63017730:63022639:1 gene:Solyc05g051790.3 transcript:Solyc05g051790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSEEELSKLFRVRKTLMEMLSDRGYLVGDFEINMSKHQFLQKYGENMKREDLVIQKAKRNNSSDQIYVFFPEEPKVGVKTMKTYTERMKKEDVFQAILIVQQNLTPFARTCISEISTKFHLEVFQEAEMLVNIKNHVLIPEHQLLSPEEKKTLLERYTVKETQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYITYRYVV >Solyc08g082070.3.1 pep chromosome:SL3.0:8:65091563:65094689:1 gene:Solyc08g082070.3 transcript:Solyc08g082070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVVEMTLPSDVPAKKGKSRKVLKQKKQSLNEANILAGTVAQSPSVVLPVDDSVKENVDPQSQKKSNKRGASKAAKQQMEQSSFEKELLEMQEKLQKMTLEKEQTEEMLKAREEMLKQKEEELEARGKEHEKLQTELKKLQKMKEFKPTLNFPIVQSLRDKEEKKEKKKADPSKKRPVPPYLLWSKDQWNEVKKANPNAEFKEMANLLGAKWKTISAEEKKPYEEKYQAEKEVYLKIVGMEKREHEAMRLLDDEQKQKTAMELLEQYIQYQQEAIVNENKKKKKEKDPLKPKQPLSAFFLFTNERRAALLAENNNNVKEVAKVTGEEWKNMTEQQKAPYEEMAMKNKEKYLQEMEVYKKKKDEEAAEHMKEEEELMKLKKQEALQLLKKKEKTENLIKKTKENRQKKKQKDDNVYPNKPKKPASSFFRFSREERKKLVEERPGINNSTINALISLKWKELSEEEKQVWNNEAAEAMEVYKKEMEEYNKTAAAEKKNNN >Solyc06g075260.3.1 pep chromosome:SL3.0:6:46848044:46850182:-1 gene:Solyc06g075260.3 transcript:Solyc06g075260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRRTLLLLVLVLFSALVSSVSANEEEEIEQRTQGEKWFVLRQLHNVVQTDAGSMRMVKGGYRRDSFLHSPMHIGFISMEPTSLFIPQYLDSNLILFVHHGEARVGHIHSGELVERHLKHGDVYTIPAGSAFYLENRLENQRLRIICSIDITSESMGWHAFQSFFIGGGTHTVSVLAGFDHNTLATALNVSPEELQTFLTRQTSGPIVHISGSHHKNMWSEFLAQEPHQKLAHLKRIVNFGEEASPKEEKSTWSLRKFLLNLVNRKNVINHHHHKAPSVYNLYKKKPNFKNHHGWSKNVDSSDYSPLEQSGNGVYLVNLSPGSMMAPHVNPSAIEYGVVLKGTGRIQIVYPNGTLAMNARVQEGDVFWVPRYFPFCQIASTNGHFEFFGFTTSARRNHQQFLVGKNSLMQSLRGPEFAAAFGIDEKRLKRIANAQHEQVILPASWWDSSQDKASEPERKKRTNFERIVGSLGSDMIMGFD >Solyc02g080120.2.1 pep chromosome:SL3.0:2:44987225:44992098:1 gene:Solyc02g080120.2 transcript:Solyc02g080120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLATSTSQFLLCFPLSGHYGKIMVKSTNLSQQPNQKISRDDESADPPFEETYKKLFDDIRIGKASSKINYENLFVVEECELPLIDLEQLKGREFEREECKRKIAQASQEWGFFQVVNHGVSQDVLLQMRKEQMKLFKKPFHEKMNDRQLNFSVGSYRWGTPSATCLQQLSWSEAFHVPLTDISNSNHHPTTLSSTMEQFATTISELAHDLARILSERMGYKSKYFRETCMPHTCYVRMNRYPACPISPQVFGLMPHTDSDFLTILHQDEIGGLQLVRDGKWISVKPNPQALIINIGDLFQAWSNGVYKSVEHRVVTNKAKERFSTAFFLCPSYDTEIRSCFEPSVYKRFTFREFRQQVQEDVKKYGYKVGLPRFLVSTH >Solyc06g008110.3.1 pep chromosome:SL3.0:6:1992158:1997571:-1 gene:Solyc06g008110.3 transcript:Solyc06g008110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEAEMYDGARAQFPLSFGKQAKSQKSLELVHNATRRNNTSSVTQTADGKLEPSPFPSLSSSSKSWLNSLKNPKSSHESNSKSKIIGPSRPTACLGSSNDKEEEEEEEEEEDGGIIGPPRPAVDAKTEEGEDGEMIGPPRPPLEEEDGEMIGPPPPPSGSMGSDSEDDMEEEEEQNQYRIPLSNEIVLKGHTKVVSALTVDHSGSRVLSGSYDYTVRMYDFQGMNARLQSFRQLEPSEGHQVRSLSWSPTADRFLCVTGSAQAKIYDRDGLTLGEFVRGDMYIRDLKNTKGHISGLTCGEWHPKTKETILTSSEDGSLRLWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKSIAGGVGDGSIQIWNLKPGWGSRPDIYVANAHSDDITGVKFSSDGRILLSRSFDCSLKVWDLRQMKEPLKVFDDLPNNYAQTNVAFSPDEQLFITGTSVEKDGTTGGMLCFFDRGKLELVSRVGISPTYSVVQCAWHPRLNQIFATVGDKHEGGTHILYDPTLSERGALVCVARAPRKKSVDDFQAEPVIHNPHALPLFRDQPSRKRQREKELKDPLKSHKPELPITGPGFGGRVGSTKGSLLTQYLLKQGGLIKETWMEEDPREAILKHADAAAKDPKFIAPAYADTQPEPLFAEPDAEEEDK >Solyc06g051610.1.1.1 pep chromosome:SL3.0:6:35253852:35254352:1 gene:Solyc06g051610.1 transcript:Solyc06g051610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVADQVVVALSHAIVLEELMREKLEARNDLLQQAKENAVKASQTRYSFQKVMNNGMRRPMHSILGLLSILQDENTSTNQKIIIDTIVRISTVLLNLINDAMDIPNKYEGRFPVKMMPFQLHSLIREASCLVKCLCVYKGFGFSMDVPSSLPNLVMGDEKRT >Solyc03g034030.3.1 pep chromosome:SL3.0:3:5777838:5780027:1 gene:Solyc03g034030.3 transcript:Solyc03g034030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRLLFILWVAAMMMIMCACWMEGEEEAAKQRTNLATGEVKIEGEKAMDSANEKANSLGDWASNKFSQYQDDVKDAASDARDAISSTAYGTQREAAQKVSGMVNMASDAKNVGCEKANQAMNAAAKMAHRVYDSASEKAGDINNKAKDRVHHAYDSASDDMAANAKEGTKHKASEAYDSAAEKVDDGMNTASQMGREVQDKASHAYNFAADKAGQAMDKASDMVGEAKDGAKDACRYTSDKMNQAKDMASSSAANVKDRASEARDRSKEAYRYII >Solyc07g062880.3.1 pep chromosome:SL3.0:7:65643910:65648479:1 gene:Solyc07g062880.3 transcript:Solyc07g062880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4CGX1] MGRLFLVDLEGKTYNCKFCKTQLGLADDLVSKAFHCRRGKAYLFNNVVNITFGQSEERTMLSGTHTVNDIFCCCCGQILGWKYERAHEKSQKYKEGKFVLERGRIIDGEVDSEFYIDTRASTSDGEDTM >Solyc03g123740.3.1 pep chromosome:SL3.0:3:71976504:71986904:-1 gene:Solyc03g123740.3 transcript:Solyc03g123740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPLSLLIGILLYCAYCAIADTDPNDASALRVLYSSLNSPGQLTKWNANGGDPCGESWTGITCSGNRVTEIDISNNNLGNQLPFQLPPNVQRLNLAANGFNGGLPYSISQMTSLRYLYVKSLNLTAICFPAISFWFKVKLFNDFNIFINVSHNQIQGQVTVMFDSLSSLDTLDFSFNSMTGDLPQSFKALTSMNKMYLQNNQFTGTIDVLANLPLDDLNVEKNHFTGWVPDHLKGITKSNGNSWNSGSAPPPPPGTPPASRPHHKSGGNNSPSDGGSSGDGGGKSGIGGGAIAGIVISVLVVGAIVAFFVIKKRLKRSSTDIEKHDDQPFAPLAPPQEVHELKTNEASSAPIMKVFEAPAVVNLRPPPIERHKSFDEADIAAKPIVPPKKVNTAKIDARQYSIADLQMATESFNVDNLIGEGSFGRVYRAQFDDGKVLAVKKINSSALQNPEDFLDIVSEISRLNHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEESKPLTWNSRVKIALGTARALEYLHEVCSPSLVHKNIKSANIVLDAELNPHLSDCGLASLIADADQALNHNTGSGYGAPEVAMSGLCTIKSDVYSFGVVMLELLTGRKPFDSARTRSEQDLVRWATPQLHDIDALAKMVDPALEGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVEALVRLVQRANMSKRTFGADQGTSRGETDGPDYEP >Solyc07g054790.1.1.1 pep chromosome:SL3.0:7:63112730:63113002:-1 gene:Solyc07g054790.1 transcript:Solyc07g054790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVTAVSLGVVEALKDQGVCRWNYTIRAINQHAKNNLRSYSQAKKLSSQSSSSLVSANELELKKLKQSEESLRKVMYLSCWGPN >Solyc01g086845.1.1 pep chromosome:SL3.0:1:81646795:81647645:1 gene:Solyc01g086845.1 transcript:Solyc01g086845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSFKLRAPFERSPTQSTQSALRLYELPLGTGPWPGTTISFPLRRAELPAADSASLATRDFLLTTLYISVKTLTKAVSTFVDSRADVSIKNKFSFSANSFASSVGTARRLPKSVLLPTSMMTMFLSAWPRSSSNHLAI >Solyc10g083930.2.1 pep chromosome:SL3.0:10:63757031:63761563:-1 gene:Solyc10g083930.2 transcript:Solyc10g083930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4D3H9] MARIKPQALLLQSKKKKAPSGVSVPTIIVYILIVAVMVFSLFSTYKYWSRRSILQTQDGISNRKFIERKKSDIPNYALISTSKGQITVELYKDGSPDIVNEFIDFSQKGHFKGMQFTRVVKNFVIQGSKIENSEATEDWTSRGKHYSQLNTSLKHEAFMLGTSKATHEGGGFDLFITTAPIPDLNDKINIFGRVIKGEDVVQEIEEVDTDDHYRPKTPVQINEVTLKHKT >Solyc07g044840.3.1 pep chromosome:SL3.0:7:58021006:58026768:1 gene:Solyc07g044840.3 transcript:Solyc07g044840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGDAWKLKDHPKLPKGKTVAVIVLDGWGEAKPNEYNAISVAETPVMDSLKKGAPEHWRLIKAHGNAVGLPTEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFKYVQECFEKGTLHLIGLVSDGGVHSRLDQLLLLLKGAAERGAKRIRVHALTDGRDVLDGSSVGFMETLENDLAKLRGQGVDARVASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPHHFKDPVEAIKKLRQEPNANDQYLAPFVVVDDNGKPVGPILDGDAVVTFNFRADRMVMLAKALEYENFDKFDRVRVPKIHYAGMLQYDGELKLPSKYLVSPPEIDRTSGEYLVRNGIRTFACSETVKFGHVTFFWNGNRSGYFDEKLEEYVEIPSDSGITFNVKPKMKALEIAERAREAILSHKFDQVRVNLPNSDMVGHTGDIKATIEACKAADDGVKMILDAIEQVGGIFLVTADHGNAEDMVKRNKKGEPLLDKNGNIQILTSHTLEPVPIAIGGPGLVPGVRFRTDLPTGGLANVASTFMNLHGYEAPSDYEPTLIEVVDN >Solyc10g047000.2.1 pep chromosome:SL3.0:10:39076492:39086372:-1 gene:Solyc10g047000.2 transcript:Solyc10g047000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGSGATAAANTNPNKSVEVQQPPSDSVSSLCFSPKANLLVASSWDNQVRCWEVMGSGTNVGAVPKASISHEQPVLCSTWKDDGTTVFSGGCDKQVKMWPLSGSQPVTVGMHDAPVKELAWIPEMSLLVTGSWDKTLRYWDLRQPNPAHVQQLPERCYALTVKHPLMVAATADRNLIVFNLQNPQTEFKRIVSPLKYQTRCLAAFPDKQGFLVGSIEGRVGVHHLDDAQQSKNFTFKCHREGNEIYSVNSLNFHPTHGTFATAGSDGSFNFWDKDSKQRLKAMSRCSQPIPCSAFNNDGSIYAYAVCYDWSKGAENHNPSTAKTNIYLHFPQDSEVKGKPRIGTSGRK >Solyc02g086213.1.1 pep chromosome:SL3.0:2:49577395:49581656:-1 gene:Solyc02g086213.1 transcript:Solyc02g086213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNAIGALHSGSLITMMFKPGIGTISQSCCKRGGTVSLKISSAINVYRLRARWAYLMLPQIFPVVVMGLETSIELQPQISPFQEELRTLGGYKWVLKVSNFKIEKLNASPDPALPYFHF >Solyc12g098110.1.1.1 pep chromosome:SL3.0:12:66738257:66738709:-1 gene:Solyc12g098110.1 transcript:Solyc12g098110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPHNIFLLILGLATYITFISLKQQPHHYNHYYYFGNNIYNIHIINGFTNNSSLPLIVWCSSDDDSGDIGGRALQERDDFSWSVETKFWKNTMYLCTMKLDQKRRKFQAFHGNRDVQRCNPTKHCFWLVKEDGFYFSNDEIYWQKDFSWI >Solyc05g023610.1.1.1 pep chromosome:SL3.0:5:28306713:28306913:-1 gene:Solyc05g023610.1 transcript:Solyc05g023610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNVNFQEHDEMINLNMNLVGENTSYSRRRFYYENYEFLKNINYFKVINAKFLSLYRVGSPCLCGS >Solyc10g044585.1.1 pep chromosome:SL3.0:10:26960143:26962461:1 gene:Solyc10g044585.1 transcript:Solyc10g044585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMSKKEEEKWVIARLVLNHNHELASPNSQKFLRSKRKKSEAQKNLIDLLTNSGIRPSKIASVLTTQAGGIENLNITGRDIQNYLSTKRQNCLEKGDAQLMLKYFQKRQSDSPGFFYAIQMDVEGHLANCFWVDARSRIAYKNFGDVVLFDPTYLTNKYKMPFVPFTGVNNHHQSILFGCSLLWDETEETFQWLLHTWQEAMFGISPRTIITDQDAAITNAVAKVFSNSAHHFCMWHIEKKIPEYLSHVFHAFDDFKNKFKEHIWLRKIYTIREKWIPAYVRTTFCAGMSTTQRSESMNKYFKDYLNSSTPMSVFVTQYDKAVDARYDKVREKDYKTKHSKAILKTLYPMQDEAAKIYTRKIFQKFQEELIQSQKFILEKIEVQDGIHIYKLHLFQREIPTHIVRLNLELKNATCSCHKFEFMGILCRHVLMIFIKKQIHSLPPCYLLDRWTRYATTEKANDISSAGSLAYNLKSSTIWFNNIMTHSLGLSERATRSEKHYKFTYQKLLQLSKELDELPYEDNDNVCDDQVNESNNDLNSSEQREKFSLLNPPCVATKGRPRSLRMKSGLESSQKVKRSSSLKSKRETKIRKKGKGVSSHINVEKEFNSRETIINADPTIEFSAYSEPFEGQSGRADSYPTSFMDLMRATSYPYQRGF >Solyc03g025480.3.1 pep chromosome:SL3.0:3:2910583:2914455:1 gene:Solyc03g025480.3 transcript:Solyc03g025480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGWFGFGAYEQKVRSSKFQRGVISHFSVLSEKKYKGGIGAGEKKMEGKTEKENKSVKKTACDVEALKKCLEENKGDYLKCQSHVEAFRSSCSINNSNSSSPKLNSDTHAGRQ >Solyc12g056200.2.1 pep chromosome:SL3.0:12:63106079:63109480:1 gene:Solyc12g056200.2 transcript:Solyc12g056200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMKEREKIIWDQMRITPFSIFTLPKFMIWLIVFVLFIYVFTTQKYLSSTSCNDIFITSSNIILPINSKTNSTISSNTSIIDQQTRILQEEVEKTNIEHVVFGIAASSKLWNKRKEYIKLWWKPEKKMRGVVWLDNSVKTEKNESNSLPELRISADTSHFTYNNKQGHRSAIRISRILSETLRLGKENVRWFVMGDDDTVFVTENLLRILNKYDHNQYYYIGSLSESHLQNIYFSYSMAYGGGGFAISYPLAKAIDKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKELGFHQYDVYGNLFGLLASHPITPLVSLHHLDVVEPIFPNVTQLQGLQKLTVPMKLDSAGIMQQSICYDKVNSWTISVSWGFAVQIFRGILSPREIEMPSRTFLNWYRRADYTAYAFNTRPVMRNPCQKSFVFYMSSAKMNSYSNQTVTQYTRHRVPPPLCRWKMANPADVERIQVYKKPDPQLWDRSPRRNCCRVLSSKKKSMVVDVGVCSENEVSEV >Solyc04g081930.3.1 pep chromosome:SL3.0:4:65866460:65871091:-1 gene:Solyc04g081930.3 transcript:Solyc04g081930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRLNRHQGKRSSTVVLVLSMLLMLTVVLLMLLALGIFNLPVGSDDESSSTVQDHIKFKRFNLDIGEGDGLGKRGEQWTEILSWEPRAFVYHNFLSKEECEYLINQAKPHMVKSSVVDSKTGQSKDSRVRTSSGMFLRRGRDKIIRNIEKRIADYTFIPAEHGEGLQVLHYEVGQKYEPHYDYFLDDFNTKNGGQRIATLLMYLSDVEEGGETVFPAAKGNFSSSPGWNELSECAKGGLSVKPKMGDALLFWSMRPDATLDPSSLHGVVAL >Solyc04g007890.3.1 pep chromosome:SL3.0:4:1565571:1566593:1 gene:Solyc04g007890.3 transcript:Solyc04g007890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEHNLTLPSYPDMIMEAIDALNEEEGSNKSAIWKQIEATHGTLPPAHGTLLAHHLNQMKQAGQLVMLKNNYMKPNPNAPPRRGRGRPPKPKSSVPVPDGYPRPRGRPPKERDPYAPITVPMKKTSEGSSGGSGKKRGRPRKYPMTEDTPVVKPIGAPRGRGRPPKVKTPVAATVGA >Solyc03g098340.3.1 pep chromosome:SL3.0:3:62113158:62114663:1 gene:Solyc03g098340.3 transcript:Solyc03g098340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPSGSPSITQISLTFQEDSLGNVHSGAPPPWFNAVEKSQLDFVSNPGRSDPFPPNQKKKSKLNPNRVGAAWAERRRVELELESKGELVTNTFDVNWLPNFGRVWQSGTRKESRKEFQLENHKSSEVESQSQSRVQLQPYVSKRKRKGPVDDELD >Solyc09g074090.3.1 pep chromosome:SL3.0:9:66193687:66198764:1 gene:Solyc09g074090.3 transcript:Solyc09g074090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIQLSFSNTQKLRLEKALAQLESFSSKLNSNATVIVADKIPVDEDAALKGHGTLEMGNDVVASVCGVVERVNKLVYVRPLRARYKPEIGDIIVGRVIEVAPKRWRLEINFSQDAVLMLSSMNLPDGIQRRRTAVDELNMRSIFVENDVICAEVRGFQHDGSLHLQARSQKYGKLERGKLLKIPPYLVKRRKQHFHHLDQYGVDLIFGCNGLIWVGEHIDVKDVMVEDQVNKSDPQNTHSSSLPGSSEEPTDTPLEIRQYICRIANAITVFSALGFMVNLDSIMETVTLSLSLNLGVHEMLEAEFHVRVAEQEAERRSTSKRRR >Solyc05g055780.3.1 pep chromosome:SL3.0:5:66132009:66135841:-1 gene:Solyc05g055780.3 transcript:Solyc05g055780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLRLINRRELASSAYKNCLSKSLFGVSDQLCYFSSRGKKKSNSDGSDSGEENLSKKDLALKHALDQITTSFGKGSIMWLGRTVSPKQVPVVSTGSFSLDIALGIGGLPKGRVIEIYGPEASGKTTLALHVIAEAQKQGGYCCFVDAEHALNPTLAETIGVNTSNLLLSQPDCGEQALSLVDTIIRSGSVDVVVVDSVRKIGTVGSCFLVAALVPKGELEGEMGDAHMAMQARLMSQALRKLSHSLSLSQTILIFINQARVRSKLSTFGGFGGPSEVTCGGNALKFYASVRLNIKRIGFVKKGEETIGSQVLVKIVKNKHAPPFRTAEFELEFGKGISREAELIDLGVKHKFITKGGGGYYNINNQNFRGRDAVKLFLSENTSAREDLMMKLREKLIDQGDNEKGPDVDPVEEVVLNDTTDEETPTAVEA >Solyc02g091980.2.1 pep chromosome:SL3.0:2:53828251:53829791:1 gene:Solyc02g091980.2 transcript:Solyc02g091980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDSKLKAYIEQHGTGGNWITLPQKVGLKRCGKSCRLRWLNYLRPNIKHGEFTDEEDNIICTLYMSIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLLCNKQRKDQRPRTGSYINHNKLEMMNEHENFFATHQTINNAYSWPSQQILFSTLIAPQNHDLAESSSANSHNFQYSSTDQVYFSQDQLCQISSTNQLPSMNLVNGNTCNVISNGYYPSNGVVINNGLQEYNNYNSVGLGHDALNSTSTTHSQQVDKSVLEMVNSSTISTTSQDQSTSWEELSPLVNYPPSVFETVSPYYVFEEQRYMGLLKQ >Solyc04g045390.1.1.1 pep chromosome:SL3.0:4:32640287:32641030:1 gene:Solyc04g045390.1 transcript:Solyc04g045390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRRPLYTCVASIIAIIHIASKKTEEFNGPIGSIIKKIWIGMSYIMPILYTIQFQWLSILSFIDKCILCSEIVVEKFFPPSSRLFDKIDELAHVIENLPGKFADMMEKLPMIIHQVPFLDWALVHLIAWLNFWISCLTRWGSKNAREKEIRIDVNQESIIKDVTHNSNASKEQIIMSNEKSEIEEAISPASLSTCDVFEDAVSSPIYGSPIGVGMTNKDDHFGKCSYKEMLEKRAEEMKKGKGRIV >Solyc07g053940.1.1.1 pep chromosome:SL3.0:7:62476199:62476633:-1 gene:Solyc07g053940.1 transcript:Solyc07g053940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGFFIICILHSLIATTCGVLIMFYLNEVSVLGHGIETARKLLGSTPHDQLLIKTSDSFVGLLLCVIGLLLFMVSFVKDREFQSFFAKGCIFLHVSMALWRVYFERKVEDLAYDWPRQLVGDFVLALSWVFFLVSSWRDKYD >Solyc10g044970.1.1.1 pep chromosome:SL3.0:10:29082051:29082356:-1 gene:Solyc10g044970.1 transcript:Solyc10g044970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNCGDGFRGSNQDSGFKPMQKQIYGGGFNFCDLRLIRFIHPALLLSRFKFILKKSGLQYILNQYIMYTYFPSNPYYTFVGLRCAPLQQYMYACMALLLL >Solyc12g037970.2.1 pep chromosome:SL3.0:12:49180766:49183905:1 gene:Solyc12g037970.2 transcript:Solyc12g037970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVACVISSTASSATVLCRCGRSLFSSFSVAKTLNSSSFRRGWMSNTAAAGSLYQISCSMTTQTSPPDTPAIIAPLPEKPKPKPQPWLIVGLGNPGKRYAGTRHNVGFEMIDTIADAEGISMGSVSFKAQFGKGFIGDVPIMLAKPQTFMNASGESVGAIVSYYKIPLKQVLVVFDDLDLPFAKLRLLPKGGHGGHNGMRSIMNHLKGNRDFPRLRIGIGRPPGKMDPASFVLRAFNRQEREELDFTLQNGLEAMRILVLEGFDKSATFVNSSKPLTV >Solyc08g068670.3.1 pep chromosome:SL3.0:8:57862803:57864791:-1 gene:Solyc08g068670.3 transcript:Solyc08g068670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSLKMDFEPTPRSLARRCLLPNVDNKKQNVSQSGAGPRKNLELEVMEPGLKNDGPSLDTTLVNYLDTLTQRVNYHLGYPVNICYDHYASLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLNWFAQLWEIEKDQCWGYVTNGGTEGNLHGILLGRELLPEGILYASKDSHYSVFKAARMYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDLDVILETFKECGYSQDRFYIHCDAALCGLMTPFINNMISFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTPIFLWYSLSTKGQIGLQKDVKRCLDNAKYLKDGLQQAGISVMLNEISIIVVLERPHDHEFVRRWQLSCVKDMAHVVVMPGITREMLDNFISELVQQRKHWYQGGKAEAPCVADDIGTQNCACSYHKI >Solyc05g046085.1.1 pep chromosome:SL3.0:3:30178467:30181433:-1 gene:Solyc05g046085.1 transcript:Solyc05g046085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLRTLVELDLFLIDLKLNDNNVHYFGNSNTLYDTPIYELVENSEIGQSELDIDMYADLKEDNQTYSGTLLSYKCFSLKNIIVFQSSSYFKSSCYKDYYHGVISMISSESFDSQSGLLKLIAEPNNCSHNGEVSCCLDLEIPITENMKIDIWLVFLLRALAKYKLHCSHITSTFEVLNFIFQFKLFLSNYSNSKLLIFTNLNANIAATTFPIRIIQVQISDDEELYVRGETIQHCSVSFVLLL >Solyc05g043353.1.1 pep chromosome:SL3.0:5:56858412:56877736:1 gene:Solyc05g043353.1 transcript:Solyc05g043353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEVLLQCMDSAVWFEQETNTVHLKYQLSIRGVDGIELHTILKAMNAVGIMDYGLAKVADLMIKHVIMPVVSFRSTVVVEWINQESGNGVKANLKILPSADPNVDSIDGGSMYSVLIDVIKFISKSLCFENSTWMLCFGKLTWPRMSDLIVSNFLSKRVPDDASKLVDFQKIVKCTSNFEASLKELMFIASSDGKDERLSKFADNVEVHFALRKKVEILAKARNQLLQSDFRLPEDGTGRNSKVKNDDNAESSSDLVVDLLFTSERCVVSEAVSQLMKLVHETLKDACLSSSRVGLEFYHSARDSLLLYEAIIPVKFERQLDSINHSAVLIHNDCHYLSQEILGLAFEYRSDFPASMKELVVFADLAPRFQMLAEEVLQRQIKLVIYNLKQAIDGADGFQNTHQMKQYESAKLSIDQVIFILEKVYIIWHRLLLPSAYKRSMSMVLEEVFSRIANDILLLDDIAAEETLQLQRLIHLLFENLSSLLDSVLAINQTGKLQESPAQTLDDLIPTLRKLRKLADLLDMPLKSITAAWETDELVNHGFKQSEVEDFIRAIFADSPLRKECLRRIESRYYQAFSYS >Solyc07g017305.1.1 pep chromosome:SL3.0:7:6728835:6729293:-1 gene:Solyc07g017305.1 transcript:Solyc07g017305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKLTIHELDELQETHQMNIKLTIHELDELQETRMMNFLTIRNNIKQPKRSHWKAAIRVMKYVKRELGLAILLSSTRENKLSIGHHAPNTRKSVSGFLVKHGSSLISWKSKKQKVSRS >Solyc08g081720.3.1 pep chromosome:SL3.0:8:64822225:64824516:-1 gene:Solyc08g081720.3 transcript:Solyc08g081720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTKKMAKLATIFIFLCTFTTFSRCLPLSTSSRWIIDDKNGQRVKLACVNWAAHLQTMIPEGLDQQPISKIVKHISLMGFNCVRLTWATYMFTRYSNLTVAQSFANNGLHDALTRIAQKNPQLLGLSVFEAQRAVVEEMGRHGIMSILDNHLSRPMWCCGNHDGNGFWGDEDFQPKEWLKGLDIVAKKYKDSPMVMGISLRNELRGPLQNQSVWYKNVKKGAKAIHKANPNILIIISGLNYDIDFTFLKRKHLNLLNIRNKIVYEIHRYAFTEGQANWFLTQPLNKVCDTIKKEIMDKSGFLLKGKNAAPLFVSEFGADQKGMNPSGNLFMGCLLTFLVDLDLDWAVWALQGNYYTRQGKPGMDEPFGMFNNIWNSLRSPQYHAKLQLIQQKLQDPKSKKPKYYWLFHPQSGKCLNVATNNVVEASSCIGGSRWIYHGDGTSIRLTGTSSCLTTSKEGMPLTLSKDCTNNEKGRWKLASNYQLSNVDENGKELCLDFDTNSSFNKVLVRKCVGLDGKSIDNPQSQWFKLVSTNVY >Solyc11g012720.2.1 pep chromosome:SL3.0:11:5485442:5487979:1 gene:Solyc11g012720.2 transcript:Solyc11g012720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLIDGDGHPPNDITSFADNLAPFPESTNVVYENPSAVIAPPGIHRLPVSPVRKLRPVRFGNGRNYVDMVDSNCDIDEALMTCTSDLGFLSQFSAQNASTVLPMECGFVNSLDENGVAKCSEVGISAVQQMKSELDADCFNLISQSRILETEFSSSSDSESSEPIEEHLNRKRKRGTRKSLKLSLEDMVKKLMDKQEQMHKQLIEMLEKKEEERIIREEAWKQQEVERAKRDVELRAEETSRNLALIAFLENLLGEDFQIPKSSEVTSLVKDEGEVHGQEADIRSDPCNRRWPKLEVQALVSVRTRLDHKFLKGAKGSVWEEVADGLAKMGYIRTAKKCKEKWENINKYYKRTIDSGKTRPKNYRSCPYFHELDSLYKNGLLNQGAGNCVKIETENKNVDPEE >Solyc03g111130.1.1 pep chromosome:SL3.0:3:63280387:63282576:-1 gene:Solyc03g111130.1 transcript:Solyc03g111130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate synthase [Source:UniProtKB/TrEMBL;Acc:K4BJX6] MGYDVPEGVDIRGRYDTEFSKILTRDALQFVTDLQREFRNHIKYAMECRREAKMRYNNGGLPGFDPATKYIREGEWVCAPVPAAVADRRVEITGPVERKMVINALNSGAKVFMADFEDALSPSWENLMRGHVNLRDAVNRTITFHDQARNKLYKLNDETAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLYFFHNYANFRKVQGQGFGPFFYLPKMEHSREARIWNNVFGRAERWAGIERGSIRATVLIETLPAVFQMNEILYELRDHSVGLNCGRWDYIFSYVKTFQGHPDRLLPDRVQVGMAQHFMRSYSDLLIHTCHKRGVHAMGGMAAQIPIRDDPAANEAALELVRKDKLREVKAGHDGTWAAHPGLIPACMEVFTNNMVNAPNQIHSMKRQDASELIEEDLLQSPRGVRTMEGLRLNTRVGIQYLAAWLTGAGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVNLDLFGRVVEEEMARIEREVGKEKLNKGMYKEACKLFTRQCTAPVLDDFLTLDAYNNIVMYHPIESSRL >Solyc11g012740.2.1 pep chromosome:SL3.0:11:5492690:5510906:-1 gene:Solyc11g012740.2 transcript:Solyc11g012740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDAFDGPELAEKLSKLNSSKQSIESLSRWCISYRKKAKQIVETWDKSFRSAQREQRVSFLYLANDIIQNSRRKGSEFVNEFWKVLPASLKKVNESGDGSVKKVASRLVNIWEERKVFGSKGQNLKDEVLGKSPAPSESKGKSSNPIEVVKKDAHSVRIKMAVGCTPEKIVTAFQLVHDENVNEEDALNKCKHSVFCVGEMENDIENRQGNLQGSELMDKLLEQENILQECISHFENAESLRITLISLLKDALQDQESKLELLRSGMQVACGQIELVKNARRRLTSPSVAAPPVNTGILPIEVTRTTEPTPPSVQLINIPPLPPPPNPVTSFTNSKSNEEESKSSAAAAVAAKLAASTSSAQMLTSVLSSLVAEEAASLSSGLKSTGFTSSLPFASPEKRLKLDKPMTFSDMNSSERGSSTYFTSSQQLLTSIPLAPSSAMQSVSQPNQIQAPLPPPPPPPPSLHPANSPASQLVQSTGMMMGVMPYGYSAGLQQPVSSQIAMGLRPPPPPPQQAQLQSQQQPANSGFYRPSGIGFYGQAHQQTAPAAPRQ >Solyc11g008580.2.1 pep chromosome:SL3.0:11:2764517:2775587:-1 gene:Solyc11g008580.2 transcript:Solyc11g008580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDELMMQDATDDGESFDDDFYSGGDVHDDSDDDDVMDYDFIDNDSDDSDTVIAHHRSQSNYSILNEADIHKCQEESITKISTVLSIPRVAAGILLRHYNWSVSKVNDEWFADEGKVRRIVGLLENHVPLPDGEELTCGICFDVYPRKEISAAACGHPFCFTCWQGYISTSITDGPGCLMLRCPDPSCSAAIGLDMINKLASDDDKKKYNRYFIRSFVEDNRKTKWCPAPGCDYAVDYIVGSGSYDVTCGCSYSFCWNCTEETHRPVDCGTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGPWTDHGERTGGFYACNRYESAKQDGVFDESEKRREMAKNSLERYTHYYERWATNQSSRQKALSDLQQMQTVHLEKLSDKQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRQFFEYLQGFAFASFSRITFIWFPSFIEALILNDVGEAESGLERLHQCAEKELQGYLDAEGPSREFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDGHGAYSRAASSKSLGGGSIKGKGGRGKGATSRSSSSRNIDDSGHWSCEYCTYANVRSATACQMCQQRR >Solyc08g028890.1.1.1 pep chromosome:SL3.0:8:39213797:39213982:1 gene:Solyc08g028890.1 transcript:Solyc08g028890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYISAKRNGIYITNLTRTAHFLSEDCDSVFYAASSGKQFLIVGTKNKVADSVEWVAIRA >Solyc07g062820.1.1.1 pep chromosome:SL3.0:7:65603459:65605312:1 gene:Solyc07g062820.1 transcript:Solyc07g062820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPSICFPTTILQIQPDNRSRFRTHKCKSYTDDRKLPCFSFKDPSSSARFDVSASFCRDNELVCQLEVPGDKICSVNSFVADSSLLGRLLQSSSSLKEVKILHAIVLKCLRSSTIFVENNLISVLVKFGRLDDARKVFDHMLERNVVSWTAMLNGYLRYGLDDEAFDFFAEFVRCGLLWNSKTYVCVLSMAGRCCYFELGKQVHAGVVKGGLSNLILDSSVVSFYAQCGDLASAFRVFDVIKRPDVVCWTTMITACSQHGRGKEALLMFLQLFSDGFDANEFTVCSILNACGEERELKFGKQLHAAVIKNRFRMDVFIGTSLVDMYAKCSKIDDARTVFDGMGKRNTVTWTSIIAGYARNGHAEEAIRLFRIMKRRKIFANNLTMVSILRACGLLRALPTGKEVHAQIIKNSLQDNIYLGSTLVWLYCKCSENSTAHKVLQEMPIRDVVSWTAMISGCAHLGHEYEALEYLKEMLGEGVAPNPFTYSSALKACAKLEDIERGKLIHSSISKTPALSNVFVGSALINMYAKCGHLPEAIQIFDNMPEKNLVSWKAMIVAYAKNGNCGEALKLMYRMQVEGIEVDDYILATVLTACGEYKETIKSKSKYFLHPNSSIT >Solyc04g076320.3.1 pep chromosome:SL3.0:4:61324096:61328901:-1 gene:Solyc04g076320.3 transcript:Solyc04g076320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLISSSSSLTTSPIFSSSKHALTPKPQSLSFNSNARLVLFTCAAQQMNSPPSTAATTAEKEVKLWGGRFEESVTDAVEKFTESISFDKALYKQDIMGSKAHASMLAKQGLMSDIDRDTIIKGLEEIERQIEAGEFVWRTDREDVHMNIEAALTDLVGEPAKKLHTARSRNDQVATDFRLWCRDAIDGIISCIKNLQIAMVELALQNEDLIVPGYTHLQRAQPVLLQHLLLAYVEQLERDAGRLLDCRARVNFCPLGACALAGTGLPIDRFMTSDALGFTAPMRNSIDAVSDRDFVLELLSANSITAVHLSRLGEEWVLWASEEFGFLTPSDKVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVSLLVLCKGLPHAYNRDLQEDKEPVFDSVKAIVGMLEVSAEFAQNVSFNRERIQKALPAGHLDATTLADYLVKKGIPFRTSHDIVGRSVALCVSRNCELQDLSLDELNSLHPIFDKDVYEYLGVENSIKKFRSYGSTGSECVAGQLDYWIDKLSISRER >Solyc06g042956.1.1 pep chromosome:SL3.0:6:30729800:30735945:-1 gene:Solyc06g042956.1 transcript:Solyc06g042956.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMNVIFDKASLWLPSNKEILSDSNVLKDVLDSSHVQLSLDEAEAEAKKDTAEKGLAQNSWQIGIKKLGQLKHFLGFEVDCDEDEIFLHQKRYSKDLLMKFRMLNCKPISTPLEPNARICAHKGKDLAYVTIYRQLVGHHDTQGSKTSYVFNLKDGVISW >Solyc12g049250.2.1 pep chromosome:SL3.0:12:61665067:61666365:1 gene:Solyc12g049250.2 transcript:Solyc12g049250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLFAMVLIIGLNQLELAFQLSKKSLITSTNIPKTSTFLIHLYQRKNLNMMKMVSRRQSQEL >Solyc06g075130.3.1 pep chromosome:SL3.0:6:46757822:46765738:1 gene:Solyc06g075130.3 transcript:Solyc06g075130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQNDSCRMEGWLYLIRSNRFGLQYSRKRYFVLNDLLLKSYKSNPVTNIEDPVRSAVIDSCIRVTDNGRESIQRKVVFIFTLYNASNHNDQLKLGANSPEEAARWIQALQEAALKADMNKRTTVDGPRRDSQSLRLNCSNKSHHLNSSDWTYCSSSVADATTSDVVAPSSWTIFGCQNGLRLFKEAKDRKSHGKWNDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSEWDFCHYKGSVIEHLDGHTDIVHKLLRRDWLPWSMRKRDLLLQRYWRREDDGTYVILYHSVFHQKCPSQKGYVRACLKSGGYVISPVNQKKQSVVKHMLAIDWRFWKSNLQTSSARYITIHMLGRLAALRELFIAKLGDCSSSHFLEEHVRDKRLHQIEEVKVEIQTRVENGKNMADMEEEVAKSPSEHASLMGLNDASDEFFDVSEPLDYDQSEDGWPSDFGPEMYSQDTRHAKLSSAAGFVKKLHDLAVHKRGYVDLHEKAKEDALLCHYGSTLPKDPTFNLPCSWAQTDPSTFLIRGETYLEDRKKIKAKGTLMQMVAADWLKSDKREDDLGGRPGSIVQKYAAKGGPEFFFIVNIQVPGSTTYSLALYYMMDTPIENAPLLESFVKGDDAFRNSRFKLIPYISKGPWIVKQSVGKKACLVGPALEINYFRGKNYLELDIHVGSSTVARGVVSLVVGYLNNLVIEMAFLVQANTPEELPEYLLATCRLNHLDISKAVLVKP >Solyc08g008070.1.1.1 pep chromosome:SL3.0:8:2550792:2552204:-1 gene:Solyc08g008070.1 transcript:Solyc08g008070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFVGSNPLSYGFRHKNNNNMDSDSNIVTINVGGHLFQTTKQTLNQAGSKSLFSTISSNDSIPFIDRDPEIFSVLLSLLRTGNLPSKAKNFDIQDLIFEAKFYGVEQLILNSQSNPSQFEPFDLEKSLLLPLTGRDSPTAISTTQNGSVQVAHGCKITSFDWSLKRKSTILTLFPAIDSMLCLNSRTVAVGATDFSGLQVLDLVKGSVKKNLNWENVTKSGSTVQAIGSSGEFLFTSFESSRRNSNCIMVYDVNDDFKPVTKIGHYEIFGAQLDSAIPATKLRWLPSHNLLMSAGSHSGPSGVLGNIKFWDLRSGNTVWEIKENVDCFSDITVSDSLSGIFKVGVHSGEVFLADLRIIGSENAWICLGDQRKVTNGKKEGSGCKIESHGNQVFCTKGGNVELWSEVLIDGSIKGKFGSEDRVFRKNYLGRAKDFCGNRITHFSFGGNRMFVTRKDQQFVEVWQRSIRGF >Solyc06g035880.1.1 pep chromosome:SL3.0:6:25250588:25251116:-1 gene:Solyc06g035880.1 transcript:Solyc06g035880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVVYIPKDHNIDNNISVFQANGHGTSTNQNNMMILQDTFTDATGSLVVYARMDSLAMNVVKKIGDPSSVALFPCGIAIVPDSFQDCNDNVFYGGSLVTIGLQMLVKPFQNKTHTIESAKNANGIIKGIINGIKTSLKCK >Solyc12g094550.2.1 pep chromosome:SL3.0:12:65725540:65729804:-1 gene:Solyc12g094550.2 transcript:Solyc12g094550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNETRNTTNILRNPSKFLHRCSKWISDLNLNKLLLILPSILFIVYVFYSSVVGGGRSTVVVFNPFSNSKSTTSYVPGDGYDFPARFADESEKKRRKEELDRSRIAVCLVGGARRFELTGPSIIQKILKVYPNSDLFLHSPLDSKAYKLSLLKSVRRIAAVRIFRPQYIPETESQVRVLTAQNSPNGIQGLLQYFNLVEGCLTMIQDYQKQNNFTYDWIVRTRVDGYWSSPLGPENFIPGRYLVPPGSSYGGLNDRFGVGDYNTSVIALSRLSMIPQLDLAGRIQLNSESAFKAQLTTQQVPYLTMRLPFCVVTDRQYEFPPSHYGVPVAALSSRGPLSGAKCRPCTPVCTGSCVEVAMNRLDKGWSWTDWANNSLELCDAHAEWESGWERVFDRVAGKKLAAARRRIDGMKLDQCIREFAEMKNKTSRWEAPSGTEICSLGLLDTPQS >Solyc10g009100.2.1 pep chromosome:SL3.0:10:3104085:3106564:-1 gene:Solyc10g009100.2 transcript:Solyc10g009100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDIFPWKQVFQEAVDPNVAICSLEQWKLLGRQYLKGLPEIDRVTQLLKKT >Solyc08g062990.1.1 pep chromosome:SL3.0:8:52555204:52556756:1 gene:Solyc08g062990.1 transcript:Solyc08g062990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIAIEDVRREVKILRALTGHRNLVQFYDAYEDEENVYIVMELCKGGELLDRILARGGKYSEDDAKAVMVQLLSVVSYCHLQRVVHRDLKPENFLFVSKDENSPLKVIDFGLSDYVTPDERLNDIVRSALCVTVYAGSDS >Solyc10g049920.2.1 pep chromosome:SL3.0:10:47047770:47050924:-1 gene:Solyc10g049920.2 transcript:Solyc10g049920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILIPMSLLPSIPREIVEELEAHGIDQCLWCGPNGFSFVVWKVNKYVEDGLMYVARNFVATSPASYSKSKIRDLWCRIVDFKVTFILLEV >Solyc10g055770.2.1 pep chromosome:SL3.0:10:57346446:57350959:1 gene:Solyc10g055770.2 transcript:Solyc10g055770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDKLSDLKHLFVTVFLANFALNVIPPAITDITVGALCPSQDECSLAIYLSGFQQAILGLGSMMMLPLLGHLSDIYGRKALLTVPVAASIIPSVILAIGRSTNYFYAYYAVKTFTGMVSDNGIECLSIAYAAANISEEKRVSAIGFVAGIGSAASLLGTMAARFLSTAQIFLVAALSSMIATIYMRIFVKETTGRSDSETLNQPILIDNGAECSKSKSVSQSFKQVLPLKDIFCLLRSSVTLSLATVVAFLNGLGEGGQQTPYLYFLKARLRFNKDNFAVLMLIGYTGAAFGQLFLMPRLAPITGEEAILSLSCIAGFISMLIVSIAWAVWVPYVANLLPIISFLTRPALQSIVSKQVGPNEQGIAQGCIAGISSFGNILSPLIYTPLTDLFLSEKAPFHYPGFNLFCVGLAWLIALIPSMMIQFGPRNSELKIGDGGSSLDA >Solyc03g034170.3.1 pep chromosome:SL3.0:3:5901098:5906859:1 gene:Solyc03g034170.3 transcript:Solyc03g034170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVAAMRGAGGTLFCSQSFAVFNNTQIHAQIRPSLPLTLPPLVHKLTTFSNQDSILAKTQYRLRLKVVTKAADSSQPTSAITSSGNAIVPDEEFSLAKVSFGVIGLGLGVSLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYADAELLREKCATPVLKQVRSDVIRYRYGDEQHLDEALKRIFQFGLGGGIPRRSAPILQNIREEVTEDGKYCLVLVFEAKALQLSDFEKRQAKFTSFFGPGISSEIVKGENDLYEVRLISNTTL >Solyc01g107490.2.1 pep chromosome:SL3.0:1:94917507:94919852:1 gene:Solyc01g107490.2 transcript:Solyc01g107490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEESSLDGGTYSELLFADDDDGLAGCFNFTNSSSPKMLCFGTDAPILETCSVQTSEQKTPKSELTCSGDSPSACSSSNISQPNNSNKRRNGAEKEPVEKTKGRNQRNCKRTKMVENSNVTTHAKVKKEKLGERITALQQLVSPFGKTDTASVLHEAMGYIRFLHDQVHVLCSPYLQRQTQRQSPSLREGGETEASRNEVLLRSKGLCLVPVEVSVHVADTSLNGADFWSPAAMMNNNNSITQ >Solyc12g087940.2.1 pep chromosome:SL3.0:12:64422002:64424168:-1 gene:Solyc12g087940.2 transcript:Solyc12g087940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFFFIFLIISTKTLAYDDSCAFNSKKGNSDLTVIHIYGKCSPFNSPKPSSSWINTVINMASKDPQRLSYLSSLVVSKKPTNVPIASGQQLFNIGNYVVRVKMGTPGQQMYMVLDTSNDAAFVPCSGCVGCSSNTLFAPSTSTTYGSVDCSVPECTQVRGSLSCPTTGSVVGSSTACFFNQSYGGDSSFYATLSRDSLGLANDVVPSYAFGCISSVSGSSIPPQGLLGLGRGAMSLMSQSGSLYSGVFSYCLPSFKSYYFSGSLKLGTVGQPKNIKTTPLLKNPRRPSLYYVNLTSVSVGRVSVPIAPEDLAFDPNTGAGTVIDSGTVITRFVQPAYEAIRDEFRKQVNGTFSSLGAFDTCFVSQNEAVIPTITLHFEGMDLKLPIENTLIHSSATPLACLAIASAPNNVNSVLNVIANLQQQNLRLMFDTANSRVGIAREVCN >Solyc01g086810.2.1.1 pep chromosome:SL3.0:1:81627956:81631138:-1 gene:Solyc01g086810.2 transcript:Solyc01g086810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDTCEDQVEMADCAVVFILDKLTNLLAEEAILLQGVKHDIQYIKDELERMIAFLGVADAFEEGDAEVKVWVRQVRDVANDIEDVLDESMLLSYDHHYRGSCCFIAKLVFSIRNIKFRHKLVVEIQAIKSRVDNIAMGHQRYRYKLYVPEQGSNSNHAYDAANDRRGDALLLEEAELVGIENPTQQLIGWLVEDDPRLKVVSVVGMGGSGKTTLVKKVYEDATVKKNFNSLAWITVSKSFKVEEVLKDMIQQLYDEVKQPAPEGLNTMSSNRLKTIAKVFLQSRTYVLVFDDVWSIQAWEAIRYALPDVNDGSRVILTTRLLDVASFCSIETNGYVYEVKPLSTEESWILFCQKAFHGFSCPSHLESISRNILKKCGGLPLAIVAVGGVLATKNRNNIREWGMLNHSLGPELDCNDKFESMRIVLLLSFNDLPYYLKPCFLYLSIYPEDYLIERNTLIYRWITEGFVKQKERRTVEDVADGYLNELINRSLIHPVQYNDDGSMKLGRIHDLYRELILSKSRDDNFTATVDEHNKLWPEKTRRLSMHGMLGNLQVKRSVTKLRSLLTFGVADPQSLSCISQVLGSSRMLRVLDLRGAPLKMIPETVFQLFHLRYLSLRNTNVKVLPRSIGRLKQLEILDLKQTHVTELPVEILKLENLRHLLVYSHVSYSYLPYNCSPGFKAFRGIGALRALQKLVYIEATPGSGILREVGMLGELRRLCILKLRKEDGRTVCSSIQKLRKLESLNLKSVEEHEILDLSYMASPPPLLQRLYLTGHIVKLPAWIQDLNSLVKIYFRWTHLSEDPLKYLQDLPNLVHLEFLVGYTGRELYFEQGKFQRLKLLNFDMLEGLRQVTIGEGAVPHLEKLVIQRCALLETVPTGIECLLNLKVLEFFDMPDEFIMTLRPDKLGADAWKVSHIREVFYTYWRDGCWMVHSLKEKENNHISDPSGAVTRTYGRRNSL >Solyc04g079860.1.1.1 pep chromosome:SL3.0:4:64268575:64269606:-1 gene:Solyc04g079860.1 transcript:Solyc04g079860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BV65] MKPQRQLPLLFIFFFITTTAAAAAPPQQFREAPEFYNSAECPSIENTHELICSDEAVHAAMTLDSAYIRGSMAAILSILQHSSCPQNIIFHFVASASADASHLRATILASFPYLKFEVYRFDDSSVSGLISTSIRSALDCPLNYARSYLANILPLCVRKVVYLDSDLVLVDDIAKLSETPLGEDHILAAPEYCNANFTSYFTPTFWSNPSLSLTFADRKACYFNTGVMVIDLDRWRNGDYTRKIEEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHKWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLKPPFSFDS >Solyc12g014040.1.1 pep chromosome:SL3.0:12:4855753:4856569:-1 gene:Solyc12g014040.1 transcript:Solyc12g014040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTKSILSPSHARHDPPLTLPTSLSRKLKANGSIKGGQSPATFPTTTGKKRGSSFDNPEPSSPKVTCIGQVKMKTKKKVRQTRNLSNRRSDISFRKLEEEKRGVLIQNQRSSSVHLQAQDQCAVAHRNQRWVHLPVTIYEALREFSCLFPCRSSCFSNEKGKQQDKVNGSRDVDNNNGQRRCEDVVARWLVALQDSETEEKTRGIELMVTNNLKENDDEEGEKMQSSMRSSRRKRKVELAFAFHLKMLCFL >Solyc03g058850.1.1.1 pep chromosome:SL3.0:3:28306358:28306579:1 gene:Solyc03g058850.1 transcript:Solyc03g058850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVQQRRVRPPILTSSFSTRKRIQNWRIQLLFSKGESMNQAAKDAGCTVYFLERLSTIFRSSQSTLTVLGGLR >Solyc11g042440.1.1.1 pep chromosome:SL3.0:11:36807836:36808150:1 gene:Solyc11g042440.1 transcript:Solyc11g042440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNGVLLVSVAKASAEVWQFLSCLADPIDSEELLDLVIFFPLQQLGRLALCVWTFLCVPPDPYYYRRYNSSAASSSSSLYDDHDFVASPPPQYYYDDSSSRSD >Solyc06g071150.3.1 pep chromosome:SL3.0:6:43881172:43885522:1 gene:Solyc06g071150.3 transcript:Solyc06g071150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILDPSFSLPLCTDSRAPFQQKTPLAFCSYNGTSCCNSTDDKQLQTQFNAMNISDPGCASLVKSVICAMCDKFSAELFRTDSVPRELPILCNSTTSENSNKSSQTKNDFCSKVWTTCQNVSIISSPFAASVKSNSTKLTDLWKSQIDFCNEFGGASGVGSVCFAGEPVSLNSTTPISPPGGLCLEKIGNGSYINMVAHPDGSSRAFFSNQQGKIWLATIPAVDSGKLLDLDESSPFLDLIDEVHFDTELGMMGIAFHPKFSQNGRFFVSFNCDKQAWPGCGGRCSCNSDIDCDPSKLPSDSGSQPCQYQAVIAEFTASGSQPTQAKTASPKEVRRIFTMGLPFTGHHGGQILFGPRDGYLYFMMGDGGGIGDPYNFSQNKKSLLGKIIRLDIDSTSSVEEITKLGLWGNYSIPKDNPYAEDKELQPEIWALGMRNPWRCSFDSARPSYFMCADVGQDKFEEVNIISKGGNYGWNEYEGPYLYTPSKSPGGNKSMSSINPIFPVMGYNHSDVNKNGGSASITGGYFYRSMTDPCMHGRYLFADLYAGFMWAGTENPEDSGTFNTSQISFNCAQKSPIDCTSVPGSSVPALGYIFSYGEDNNKDMYILASSGVYRVVRPSRCKYTCAKENSSAVDDDIPSSPPPASPPSAAIMLTGSYSNFVVILMSLILMLTSWL >Solyc03g078800.2.1 pep chromosome:SL3.0:3:52915433:52917064:1 gene:Solyc03g078800.2 transcript:Solyc03g078800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLPHVIIFPFPAQGHGDVAQEINLPPSFWSYFCILHLIQAEELPLKENAKDLTLTKVKGMEDILRGRDIPSFCQGSDLTNSDFRIVMAETQQTPRARGTHLKIWKAPCFLKFKRLWQEDESCMSWLDTQPPKSVIYVSFGSIAGLTKEELLEFWYGLVNSDQKFLWVMRPDLIIGQEKKDEIPVELEQGTKARGYKQVNSKFVGEVWKMGLDIKDTCDRDIIAKLIREMMNSRKQQSTWQKKTINEGGSSYINLDRLIQDIRSVIAPHKQT >Solyc02g091280.3.1 pep chromosome:SL3.0:2:53259288:53263872:-1 gene:Solyc02g091280.3 transcript:Solyc02g091280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4BCS6] MEGSCLTFSTALAPARPSTCLHYNSTFVKQFQTNTITPRRGLSVKASTHKPTLSTNWDVSSYSKAPAWMPRFEELDTTNMLLRQRIIFLGSQVDDITADFIISQLLILDAEDDKKDIRLIINSPGGSVTAGMGIYDAMKMCKADVSTICMGLAASMGAFLLASGSKGKRYCMPNAKVMIHQPLGTSGGKATEMGIRIREMGYHKMKLNKILSRVTGQPLEKIEVDTDRDNFMNAWESKEYGLVDAVIDDGKPGLVAPITEDAPPPKTRVWYKWKAEGTRKRKNNWPSEEKLFQNDEQSNEQKDEALSPL >Solyc10g076460.2.1 pep chromosome:SL3.0:10:59539285:59541408:1 gene:Solyc10g076460.2 transcript:Solyc10g076460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDSGDTEFSKTSPNSNQNEEEDENVTSTKLHKDGGSSSISTIEESEKKFSVRPYVRSKMVRLRWTPDLHRRFVHAVERLGGHDRATPKLVLQLMNIKGLNIAHVKSHLQMYRSKKIDDPSQGITNHHKLCMEGGDSYIYNLSQLPMLSSFKQRFNPTFRYGDVSSMNCQDHDLMHSSIMGQSTIDKARIGLYTTLNERIFGSNPIDKLTPLFQIAEKSQARILSTPLKRKAMDCDLIDLNLSLGVKQKHNSHDNDDDDDDGSTLTLSLSSQRSPSRLKEDVNYAIIEDARRGASTLDLTL >Solyc11g056320.1.1.1 pep chromosome:SL3.0:11:45615586:45615936:-1 gene:Solyc11g056320.1 transcript:Solyc11g056320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGEPSDDLSKIRGGSSVVVTLSLFHGLINVPNAHTGKLTPGSGTWGVAPRNPFFLVHSGGADTPTRITGDSYKNGGEVNSTRRHSGMDVDPSGRDNHSGPGRSGDHSQERKRLR >Solyc01g090210.3.1 pep chromosome:SL3.0:1:83729366:83735149:1 gene:Solyc01g090210.3 transcript:Solyc01g090210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLCCDDDVLGRSSSLENEIEEIEEEQGTNDGYVRASELFEIDNRGGLATIKEGHEVETSTLYSFDFHDNGNAVVYVVVGNSNKISKETSMDALLWTLENVVVDPSSTIVFLIHIYPQTKYIPTPLGLIPVGQVSAEQKENHMAQERGKRRQFLQKYYDACAATKVKVDTILIESDTEAKAILDLMPICNIRRLILGTSKANLKKLKSRKGSGTADQILLNAPEFCEVKIICEGKEMVELQMFESPSPKSTTGNSPKPIQSHTEDQNQVQNGSFGCGCFKARV >Solyc10g084870.1.1 pep chromosome:SL3.0:10:64372537:64373078:1 gene:Solyc10g084870.1 transcript:Solyc10g084870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAYRQTHRSNHTRIHSSFNYRNHQQQQRYNQRNQQSHQQRGYNQHNQQIHHQQQRGYNPRPDNQPSRRHNHNDDNNSHENHQSSAEPEYSPGIPSISPYSHIDDVFESEYSSGIPSISPYSQIDDVFGTLPIEFNRISGELFHDVHNLFHRLNTFENKNSSCCC >Solyc07g032680.1.1.1 pep chromosome:SL3.0:7:40883581:40883766:1 gene:Solyc07g032680.1 transcript:Solyc07g032680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLEIDFVKFVFFFFFVDSLFKLVYTLLFLFNFVYVLGKFLKLFCVKNRAKLTIMSGFS >Solyc09g010700.3.1 pep chromosome:SL3.0:9:4021882:4024360:-1 gene:Solyc09g010700.3 transcript:Solyc09g010700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQDEGWPLGLQPLNVRNHGSISFNTLITASPSSSSHSHSSSDLDTESTTTSFFHDKSITLGSLIGITSILEFSRRSTRRRTIVETKIRDNNNNKNKKKKSININSNKSRTWLFSLCSKLTTDAVNINSINSAPSLGHFLEEERKAAANNNINYGLDDFNQLLDHDHSNLNNNSLFISGQIAPPHDNDDESKKGLFEQNDQNGNHGSPLIFSCLCGHLVH >Solyc03g025520.3.1 pep chromosome:SL3.0:3:2934583:2936550:1 gene:Solyc03g025520.3 transcript:Solyc03g025520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L36 [Source:UniProtKB/TrEMBL;Acc:K4BF25] MGLQPIGLFFSIGTIVRNKGPSPNGPNPKSSRSLHHPPQDSQQIEQKSKGMAPPNTGLAVGLNKGHIVTKKELAPRPSDRKGKTSKRIHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSSVLRKMRATGGGEKKK >Solyc07g062080.3.1 pep chromosome:SL3.0:7:65036414:65042208:1 gene:Solyc07g062080.3 transcript:Solyc07g062080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDQRKKSSKEVEFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKITDIFEHISDAIRILREVKLLRLLRHPDIVEIKRIILPPSRREFRDIYVVFELMESDLHHVIKANDDLTHEHHRFFLYQMLRAMKFMHTANVYHRDLKPKNILANANCKLKICDFGLARVAFSDTPTTIFWTDYVATRWYRAPELCGSFFSKSLQYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPSVDIVSGVRNEKARKYLTDMKKKSPVPFTEKFRKADPLALRLLQRLLAFDPKDRPTAEEALADPYFKGLAKIEREPSSQPISKLEFEFERRRVTKDDIRELIFREILEYHPQLLKDYMAGNSGANFIYPSAIGNFRRQFAYLEENSGKSGPVIPPGRKHVSLPRSTVNSSTIPPRTQQNPMFDHRQVTEKATAGVRVSDPKVLRPPPRVPTAKPGRVLGPVYDGDRSIKEVTDGRVYAQNSVVQPHGMSPQYLFRSNSTHLEKCGTEAEKDRSQVKQQHGQCMVAKSSASMSFEMNTNPYYHTQARVAQLGGQIAMDAKLLQAQTQFGAVGAAAVAVAAHREVGTVQYGL >Solyc08g081860.1.1 pep chromosome:SL3.0:8:64922693:64923079:-1 gene:Solyc08g081860.1 transcript:Solyc08g081860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYLYLSLTTIILHSNNPSPSTLLPFFLNYFHLIVSFTFFFSSSSPLRLVFVSDLEWLFKKVDTKEEEKAKMNRKAVVQFDVSKSRLKVFCVVFF >Solyc10g084450.1.1.1 pep chromosome:SL3.0:10:64103014:64103595:1 gene:Solyc10g084450.1 transcript:Solyc10g084450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDKEANRAAKILLEIKSNKSTNPGERSEESRAINSPETIYPCSEEIRACFRREEALRYTQPNKAFSYTAVDGKKVVVAPLKKRGGKLFKRICHYNILKRNKPPFFTLHCLVRDAAARLPGGVGTRDDVCVLARDSQFIVEDISDSQLRKAVKGGLDRLHYEDDPCVKYEKERHQWTYLHGDRKVEDFEDDST >Solyc12g088613.1.1 pep chromosome:SL3.0:12:64877646:64880057:-1 gene:Solyc12g088613.1 transcript:Solyc12g088613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDTDMNEDFKLLEPLDLITKDVDELRKIIKEKKEKVQKGKVNADHKREPTRNSEMEKQQKDRERSDVMVLEGHTSEVFACAWSPEGSLLASGSGDATARIWTIGDGPCNSTIPNVLVLNHLESQATEENKDVTSLDWNREGTLLATGSYDGQARIWKRSGELVSTLDKHKGPIISLKWNEKGDYLLSGSIDTTAVVWNVKSGESKQQFDFHSGVLSQLFFILYLISKQGQILFCFAYVLIVVKQPHVGPLLDVSWRNNDSFATSSADNMIYVCKVGENKPVKKFSGHQDEINAIKWDPSGSLLASCSDDTTVKEVHTVKWSPAGAGTSDRNQQLLLASASFDCTVKLWDVELGRLLQSLSGHRFCSILNPGNLSILFHLVRTVNTWQVDHWTNA >Solyc02g084710.3.1 pep chromosome:SL3.0:2:48453861:48455258:1 gene:Solyc02g084710.3 transcript:Solyc02g084710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4BAY6] MAEGGQVFGVHTVDEWHQHLQKGIDNKKLLVVDFTASWCGPCKFIAPFLAELAKKIPTVTFLKVDVDELKSVATDWAVEAMPTFMFIKEGKIVDKVVGAKKDELQQTIAKHISSTSSA >Solyc04g039790.2.1 pep chromosome:SL3.0:4:12545066:12545715:1 gene:Solyc04g039790.2 transcript:Solyc04g039790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFGILAILSMILGNLIAIIQTSLKCMLAYSSIGQIRYELLLEFYYLVYVPKLITFEIMHDYTQKILFLLSLQTYILIPRRFSSTSRFFWKKLFIMAGLYFLVLIGLLTSFLMTRRNQEITPHMRNYRISHLRSNNSIELSMIVCVIASTIPGISIYLIIAFAWDSLF >Solyc02g021360.3.1 pep chromosome:SL3.0:2:23003615:23023210:-1 gene:Solyc02g021360.3 transcript:Solyc02g021360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p80 WD40 repeat-containing subunit B1 homolog [Source:UniProtKB/TrEMBL;Acc:K4B4Y6] MTTTKRAYKLQEFVAHSLSVNCLKIGRKSSRVLLTGGEDHKVNLWAIGKPNAILSLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHSRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSSGPETSGVRCMTFNPDGRTLLCGLHESLKVCSWEPIKCHDTVDVGWSRLSDLNIHEGKLLGCSYNQSCVGVWVVDISRLEPYAMGSTTRLNGHSDVKSNSSMKLSNLAEDASKANLGRLSISQDSDPVKETKSFGRLSVSQNLDQRDSRALATTASAPGTPQRINLSVGSKAAPINTTAVPNTTVLKRNSAKAQSTANSSIINRSEIIPVIVPRNNDRMEQASEPRKEGTATRAIQQLSQTKVSDLRKFPTLKEDLGRSNAVSQSDIEVPKAIEFSSIADKNIFPSVKCSILGAAATERNVKDDNSFVSTKPEMHSAPELLSRNQNENYEARGNVVRSVNPMEGQRRARTRSIVANWEKRERIQYQECLAPSNVVGTVPALNMLPINSRGHPSSAGSVTVSATDEDVITDLMEQHDQFVGSMQSRLAKLQVVYQYWQRHDTKGALNATEKMADPAVLADVISFLTEKNDMITLEICTCLLPLLGGLLESNLDRHQDISLIMLLKLVKVYGSVIYSSISAPASVGVDIEAEQRMERYNLCFVELEKVKNWLPALTRRGGSIAKSAQELSLALQDFS >Solyc01g068520.1.1.1 pep chromosome:SL3.0:1:77565262:77565462:-1 gene:Solyc01g068520.1 transcript:Solyc01g068520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRDGVLGRGMLAGIGGRVILGTEGNVGKEGKGVLAVGSVGNDATLGNVTAVGKFGIVGNFCTVG >Solyc07g007640.2.1 pep chromosome:SL3.0:7:2292433:2297167:1 gene:Solyc07g007640.2 transcript:Solyc07g007640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNNHPIPLESENPQIDNPAVENGSPVVYTATTGGGACEHLSELRSRVGSNPFLDLPDCVKVRPLGRASIQRDPPQALVRCGVCRQAPHRLYTCVTCGVVLCHVHVASHPDMDVDDSDRSLHYIAVDIDRAELFCCGCRDQVYDRDFDAAVVLAQTEAAVVGSMQETPLLPVESTRKRRRVEYKPWTPDVNEQVLIVGNSNPFPSQMSNDTATPEVQWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDKHNRYFCQRKNSTIVTRSTDNTNKNPVLCLACDLDAMFSAVFSGNQIPISPAKFLYSWWKHASNLASYEQQDAHEFFISVLDGIHETMQNDKGKGPSPGSGDCCIAHRVFSGILRSDVMCTACGFTSTTYDPCIDISLDLELSQGTSAKVTSKKSHKSHKKKEAEPGKSSQNGRLSTLMGCLDHFTRPEKLGSDQKFFCQHCQVRQESLKQMSIRKLPLVSCFHIKRFEHSVIKKMSRKVDHYLQFPFSLDMSPYLSSSILRSRFGNRIFPFEGDDQDTSCETSSEFELFAVITHTGKLDAGHYVTYLRLSNQWYKCDDAWITQVSENIVRAAQGYMMFYVQKMLYYKASEKQVS >Solyc05g055550.3.1 pep chromosome:SL3.0:5:65995078:65998883:-1 gene:Solyc05g055550.3 transcript:Solyc05g055550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSISLSYGKLCSGFHYNKQPILSKYFKNSHLSVFLSTQNGHHLKLKVQYPRASFDTEGLPSEITEDSKFVSINAEDPKYGPPALLLLGFEVDEAAKIQQLLKEMDGEFLQVIFCTEDMISRSLWEAVNTKQTNLDASKIAKQLPRICFLSGLTGEEMMMFLDAFEESGLEDPVFAALVPNSAEKPLKELIDEIMGDHELLSAKNSS >Solyc12g005590.2.1 pep chromosome:SL3.0:12:313351:317915:-1 gene:Solyc12g005590.2 transcript:Solyc12g005590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRIISISLDYLNGIVQNLVSSYSAPIWATLIAGFFVILTLALSMYLIFDHLSVYKHPEEQKFLIGVILMVPCYAVESFVSLVNPAITVDIGILRDCYESLAMYCFGRYLIACLGGEKRAIQFMKREGRAGSKMPLLDHGSEKGIVKHHFPMNYIFKPWKLGQWVYQVIKFGIVQYMIIKAFTATLAVILEGFDVYCEGDFKWKCGYPYMAVVLNFSQSWALYCLVQFYTITKDELSHIKPLYKFLTFKSIVFLTWWQGLAIALLSTLGFLKSPIAQALQFKSSIQDFIICIEMGIASVVHLYVFPAKPYELMGECFTGDVAVLGDYVSADLAVDPEEVRDSERRTKLRLPQPDIDDGGGTTIRENVKDIFIGGGEYIVNDLKFTVTQAVEPVEKGIQKLHEISQNIKKHDKGRKTKDDSGVASSSPTRRVIRGIDDPLLNGSVSDSGTSTKKKHRRKSEYTSAESGGESSSDQNFGAIQVRGQRWVSKD >Solyc02g043862.1.1 pep chromosome:SL3.0:2:1270411:1309110:-1 gene:Solyc02g043862.1 transcript:Solyc02g043862.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREKCSFAQPTVRFLGHTISHGEIRMDSNKVDAIKNWQAPTKVPELRSFLGVANYYRRFIFNYSAIAAPLTDLLKKDRDWHWSEACQAAFDRLKAVVTEEPVLALPDFSKAFEKSTQKGGFEKGGGIDETKKILRDRANTSQ >Solyc04g080250.3.1 pep chromosome:SL3.0:4:64577933:64580183:1 gene:Solyc04g080250.3 transcript:Solyc04g080250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKFTNFAVQNGSVDICEVIKSYIPFLNVRRLSENATLPKRMFPHSAAYDLFSARDVMVPARGKAKVATDLSIDLPPGTYGRVAARSSLSWHRSIDVGGGVVDLDKNPVFVILFNHSDVDFEVKVGDNIAQLVIELHAIPEIVEVYQ >Solyc06g075210.3.1 pep chromosome:SL3.0:6:46813804:46817811:1 gene:Solyc06g075210.3 transcript:Solyc06g075210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRLIIFLCFSSLLFLTPSHAASSDDNDEFLSFLEDYEDSDEPDTPNHEDPPEVSSPPFDRAQEAEDINIDDENVVALTDSNINDFLEDNKYVMLEFYTPWNGYCKALAPEYADAATELKTENVVLAKINAAKEVEAADSYDVRSFPTIFFFVNSDPELYKGRRTKNAIVSWIKKKIGSGVYNITTTEDAERVLTSEDKVVLAYLDSLVGSVTKQLAAVSKLENDVNFYQTTDPNVAKLFNIEENAKRPALVMLKKEPEKVVHYDGKFKKSSIAKFVSASKLPLVTTFTKETAPLIFASPIKKHILLFASENDTEKLFPTFQDAAKLFKGKLNFVFVKTNDEEVGRPVSDYFGVTGDGPQVIGYIEEDNRKKFRFNEDITLEKIKAFNEDFLEDKLKPFYKSDPIPETNDADVKIVVGNNFDEIILNESKDVFLEIYAPWCRQCQALEPMINKLAHHLHGVESLVIAKMEGTSNEHPRAKFIGFPSLQFFPAGNKSIDPILVDTEPTLVSLYKFIKKNAAIPFKIERPASSKVGVKRENGNARDEL >Solyc05g014900.1.1.1 pep chromosome:SL3.0:5:9114894:9115163:-1 gene:Solyc05g014900.1 transcript:Solyc05g014900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKKMSITEFVKNYEQQTIEMFEIEAIEDYKFRGDPKIFIEDCGILKHAARVHTRRIYTRSQREILQGTTKRVNNVETEGSLTKYTIL >Solyc02g071510.3.1 pep chromosome:SL3.0:2:41479308:41484446:-1 gene:Solyc02g071510.3 transcript:Solyc02g071510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAQSPKVNSSLHHLELPTMNIGDSVVTKKLRIKISSKGMRTEPRGSSEMSIKVKLPMQPDSKKREPPLVIDNGREKRRKMDRIVKQQCVNILKALMVHPSGWPFLVPVDPIQYNIPDYFTIIRKPMDLGTVKAKLDGNLYFDVDEFAADVRLTFANAMKYNPPNNDFHLMAKRLDNIFNQRWKSLEGKWKAESKKLSQDCVSSGKENHSKNTRETFFKKSAQCANGLNKRPMPLEEKQKLKKELVDLLRGNVIKNMQNALQKFGLMGLKEEKVNLDLDKYDDETLLELKKVVRAYSNLTTEKAEPASVKQSGGCLSSMESVPKDSSTSSICSVNTKRQANIVACHLQGVDTHALPRNFPTKSKLDRDYSGVAKREREVTNSLASVPCRTDLNPHDGRGTLHEENPCSSPGRSTCASAVPYGEGWDPLMNLDLSPSKALRAAMLKSRFADTIIKAKQKSLPVDCDKADLHRMQLERAQLEKQQLEEKARIEAELKAAEVASRRKAEAELKLQRERQREAARIALQKMERTVEFEDNLKILRDLEKLCKCCSEAENLSGNGDGFTIILGENPLERLGLCIKEDYLYDDEDEDAILSGGGDWEDGEIL >Solyc06g073055.1.1 pep chromosome:SL3.0:6:45153148:45158823:-1 gene:Solyc06g073055.1 transcript:Solyc06g073055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPSFFKVGLTWVSFNFTFQTPAPSPRGKNKKENINRKSLVNGVLSNPNERVIGTFMELKRPSNNNIHLCYGCVHCCSNWKDILVKKEVWGMWGVGRAQLLISDPYLKDNPRNHLLIEGKVLTCHDLFNIPNVLRTKHEFANPLYMLMGSTSLEMSCCNATIANDAASIGSTASWGCAACPPFPSIFASKKQTAAKRGPGLVPMPPSDNYRLTSDQRVWFKTECSDGYMQDEAYVVIHKEIQEMVSRSLKEEFRESNYPSNCEASRSLKRRKQLSSTKKHRRMSIMSTSMHFAINFALMFPFNKFLRASISARKATTGGEPLPIRATIPVLAKGKLKQKSNKSTKLGENVNILPVDFADVDFHLIVVYND >Solyc11g020320.1.1.1 pep chromosome:SL3.0:11:10863859:10864686:-1 gene:Solyc11g020320.1 transcript:Solyc11g020320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKIKMELIEDHKKRKSTLVNRKAGLVKKISELSILCDIKASMIIYEGNYNYQIWPNDSNDVQDLINLYKNQSQDGRTKRGKTLSNFFKNDEKKNNEFKVEKYPTWDSRFGYLSQIELQNLVGVVEKRIEKAKEKIELLKSMNDQDPNIGSSSFSHQQQIWNNNNLMNQTTQWPFSYVNPFTHYDNFFQANIPISGANSMGAGMDDGLLTIDDYQFNNTDYSTMIETENCLVKNGIGSSSIMHPMMNNGIDSSSTMEYPFIYNGYTHMPYGFQ >Solyc11g020810.2.1 pep chromosome:SL3.0:11:12215840:12227846:-1 gene:Solyc11g020810.2 transcript:Solyc11g020810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative rRNA methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D732] MGKVKGKHRLDKYYHLAKEQGYRSRAAWKLIQLDSKFSFLRSSQSVLDLCAAPGGWMQVAVKHVPVGSLVIGVDLDPIRPIRGAISVQQDITTPKCRSTIKKLMAENGCRAFDLVLHDGSPNVGGAWAKEATSQNSLVIDSVKLATELLAPKGTFITKIFRSQDYNAVLYCLRQLFEKVEVDKPLASRSASAEIYIIGFKYKAPSKIDPRLLDVKHLFQGGKEPPKVIDVLGATKQKRHRDGYEDGATVLRKVCSVVDFVWSDNPVQVLGTFSSMSFDDPACLAIRDHTLTTEEVKSLCDDLRVLAKQEFKYLLKWRMQIRKALSPEKIKTPTVVESESKEGEDEGEDEDERVLNEIEEKTNILEKKQKKEKKLQAKRRAKEKARKALGIQVDATEDGYGDQDLFSLSSIKGKKDLVVVDNSEYDKETTEVSDESDEEAQEHSSSDLDSEDERRRHDDNIEALFDEAYERYLGRVEGKSKQRKRSKQAHLKDDLQDDNDDSMMIDSAQDSESDMEDNEVNPLVVPLEDAPPQEEIVKKWFTQDVFAEAEEQDVLDKYDSDDEMQIDGGAKKIQKSKELTNDKQQGETKDLTRKKTNLQVSASKTDDDFEIVPAPATDSSDSSSDESDDDIETKAEILATAKMMLKKRPREEMIDDAYNRYMFHDEGLPKWFVDEEKRNFQPVKPVTKEEIAAMRAQFKAIDARPAKKVAEAKARKKRAAHRKLEKFRKKANTISDQTEISEGSKRKMIEQLYRKASSTKKPEREYVVAKKGVQVKVGKGKVLVDPRMKKDARKHGMKKKKQQDKGKKGNQKGKGSGKASAAGNKGGRGK >Solyc12g044450.2.1 pep chromosome:SL3.0:12:60367452:60371248:1 gene:Solyc12g044450.2 transcript:Solyc12g044450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKTVTKIYLFLVFLHLLTVQLRSCSIFEGSWIFDESYPIYDSLQCPFIDQGLNCIKNGRKDNFYLKYRWQPTDCDLPRFIGEDILKNFKGKNFMFVGDSLSNNQWQSLACMLHAAVPNCSYTFDRTRNRSVLSFPEFEFTVTFLKDGFLVDLVVEEAGRVLKLDSLSRTEQWKGVDVLIFNSYHWWIHTGRLQTWDYFQVGDKLYKEMDHMEAYNIALTTWANWVDSNIDPAVTKVFFQGISAVHYFGKDWDEPMVKDCSGQTKPIEGSTYPGERYAGEAVVKSVLSNMTMPVNLLDITLLTQLRKDGHPSRIASGASMDCSHWCVAGVPDAWNELLYTMLLQK >Solyc01g108560.3.1 pep chromosome:SL3.0:1:95717429:95739889:1 gene:Solyc01g108560.3 transcript:Solyc01g108560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNANEVAVDMTPFFVLYKNGTINRLRPADNAPLSNDPQAPVRSKDVVVHPETGVSVRMFLPKITDPKQKIPVVIYIHGGAFCMGSARSSTFHNFISSVVEKANCIAVSVEYRLAPENPFDTTYDDSWAAFQWVISHANGKGPDSWLNDHADFAKLFVGGESAGANIANDVVVRAGVTNLDSNIKILGLYLVHPYFGIENDKLYKALNPTRNGGCFEDPRVNPLIDPRLKSMACKKTLFFVAEKDPLKEGAMNYYEGLKKSEWNGEIEVMETKGEGHCFHFFNPKSEKADELVNKLNFYPFFKVDADGIIHRYQHIKLVPPSNNPKTGVQSKDVTILPQKNVSARLYLPKISRKNQKFPLIFYIHGGGFCTQSAFSSIYDSYLHKLTSEANVIIVSIDYRLAPEHLIPACYDDSWAVLNWAAQGTEPWLKIHANFSRVFLAGDSAGANIAHNLMVRASEENHFVASLVGMALIDPYFGNGKPDSLWTYLCPKSNGINDRRFNPAAHMSVLSELKCSKILVCTAGKDFLRDRAWTYYETLKNSGWKGELRMKEIEGEGHVFHLFNQTSEKAKVYKDGSIEKYRKHDYAPPSDNPITGVRSKDIVVVPENNVTVRLYLPKITQNNDQKFPLLVYFHGGAFVIESAFSTYYHSYLHSLAAETNVLIVSVEYRLAPEHKIPACYDDSWAAMKWVSQQANSEQGTEPWLKNHADFSRVFLSGDSAGANIAHNMMMQASVDEDKLGDGLKLVGMALVHPYFGNNEPDRIWSYCCPENPNTDDPRFNPAAHPSLLSKLVCSKILICTGGADFIRDRGWTYYESLKKCGWKAMAEIVHDFFPLMRVYKDGRIERLAGEGFVPPESDPETGLQIKDIEIDPQINLSARLYLPKNVQKIPLFVYFHGGGFVIESASSPSYHKHLSTVAAEAKVVIVSVNYRLAPEYPLPIAYEDSWLALKWIASHANGDGHEPWLKEHADFSRVYFGGDSAGGNIAHHIAIRVGLEKLDGVKLEGIFLACPFFWGKDPIDGEGENLGAKDFVEKLWLFAYPNSLGLDDPLINPEKDPNLSSLGCDKVVVYVAGKDPLRFRGFYYKEALEKSGWPGTVEVVEVKDEEHVFHLFATETENAMSMMKKLILSHLDRILKPEYKSKTLKLIHKLTYQRDFTCQIYRPTMAEIEHDFFPLMRVHKDGRIERLAGEVFVPPESDPETGVQIKDVQIDPQINLSARLYLPKNVDPVQKIPLFVYFHGGGFVIESAFSPTYHKYLSLVAAKTKVAIISVNYRLAPEYPLPIAYEDSWLALKWVTSHANGDGREPWLKDNADFNRVYLGGDSAGGNIAHHIAIRVGLEKLDRVKIDGIFLACPSFWGKDPIDGEGEIVGAKDFVEKLWLFANPNSSGLDDPLINPEKDPNLSRLGCDKVVVYVAGKDLLRFRGLYYKEVLEKSGWPGTVEVVEVKDEEHVFHLTATETENAMAMMKKLVSFLNQVYKDGRIERLMGEGFVPPESDPETGVQIKDVQIDPQINLSARLYLPKHVDTVEKIPLFVYFHGGGFLIESAYSPSYHKHISKQLKQKLAPEYLLPIAYEDSWLALKWVASHANGDDGHEPWLKDHADFNRLFLGGDSAGGNIAHHIAIRVGLEKLDGMKLKGIFLACPFFWGKDPIDGEGEKLVVIEKLWLFVNPNSSGLDDPLINPVKDPNLSSLGCDKVVVYVAGKDVLRFRGLYYKEVLEKSGWPGTVEVVEVKDEAHVFYLSATETENAMAMMKKLASFLNQDGRIERMMGEGFVPPESDPETGVQIKDIEIDPQINLSARLYLPKNVDPVQKIPLFVYFHGGAFVIESASSPTYHKHLSMVAAEAKVIIVSINYRLAPEYPLPIAYEDSWIALKWIASHANGDGDEPWLKDHANFNRVYFGGDSAGGNIAHHMAIRVGLEKLEGVKLDGIFLACPYFWGKDLIDGEGENLFVKDFIDKLWLFANPNGSGLDDPMINPEKDPKLCSLGCEKVVVYVAGKDALRLRGLYYKEALEKSGWPGTVEVVEVKDEEHVFHLFAPEAENAMAIVYKDGRIERLAGEGFVPPESDPETGVQIKDVQIDPQINLSARLYLPKNVDPVQKIPLFVYFHGGGFVIESAFSPTYHKYLSLVAAEAKVAIVSVNYRLAPEYPLPIAYEDSWLALKWVTSHANGDGREPWLKDYADFNRVFLGGDSAGGNIAHHIGIRLGLEKFEGVKIDGIFLACPYFWGKDRIEGEGENLLAKDFVEDLVLIGNPNSTGLDKDPIDLGSKDLFEKLWLFVNPTSSGLDDPLINPEKDPKLSGLGCDKLVVYVAGKDPLRFRGFYYKEVLEKSGWPGTVEVVEVKGKGHVFHLFVPEAEEAIAMLKKLASFLNQS >Solyc10g085350.2.1 pep chromosome:SL3.0:10:64687052:64688347:1 gene:Solyc10g085350.2 transcript:Solyc10g085350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCFNLSTNVNLDGVDTSDFFSEATKAVSSIIGKPENFVMVVLKGSVDISFGGNKEPAAFAEIVSMGGINSDVKRKLIATLGGICQNRFSIPRTRFFLKVYDTTMATKFSKL >Solyc08g077483.1.1 pep chromosome:SL3.0:8:61563820:61565370:1 gene:Solyc08g077483.1 transcript:Solyc08g077483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDQVAYLLQRYLGNYVIGLNKEALKISVWQDQHQCRIPAPCSSNRGSTRTKMHIKHLYVLDITVSGTDEGSLGERSSSFQQGLTRKMVIETLDEQTLNEGRWNLLWDSAICV >Solyc12g005560.1.1 pep chromosome:SL3.0:12:303380:306073:1 gene:Solyc12g005560.1 transcript:Solyc12g005560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGSMKGHMQSHSRGRTTYAVLLLLAFGVAIFGVIILHKLRERRIFNLLVKDKQIELIHVKLLLQKERDHTKEAKRKTEEMKSKMQWLRMQKRDLESRIMEMRSTISSLKDEQRIIEVSLEEKQYEIKMLREKLTEMNAEEYQAKLSSESLQLNETESDIPVKVWSVSADDPSNPAINFTIKAAGTKEATGGETEELHESIKRNNQKSSIENIHRNTTRQGEDREQAQDGEGSADWRSNTGEQELTTAQEDVSDNIKSSTVFQNLDGERETTDSSKTGEIFLEEKRYDNGDSSAETINHSGRVQKHIKEVGAVDTTDWEKHGAATGGDFVDSQGNNEESEQKYKDGMKLEMKENHKNTDASRVKQEQMRKTKGKSQHIIAKSKVTESGANTEKRSVVSMRNRKFFKEIQESATNERVGGSKQEKQKQKQEKSMKTDSRNKYGPEDHMIGMTYKPQRFKNLEEGFGYQVRSEPEQKLDMTRHRMQDDNSNLDDGPPHKTAHKKSAGTKEGILEGRKPDTNEIAEEEQEREVNNTESEMSQSSQEVPTKRAPSNDNRVSKDTRNKESDETTQSQEAIGITREHQEQEQADSLANSSEHANISDRGVNAYDLQVENDQETGVIDHSKGISQEVRYQKLSSTARAEEENNTIFTDTEQRLAGNLHSSSTHVKNAEMAFKDGNLDTENDKETEEEDHSEGSVANMEEEGEDVN >Solyc02g022890.2.1 pep chromosome:SL3.0:2:24861151:24864806:1 gene:Solyc02g022890.2 transcript:Solyc02g022890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFIMVICECVCYQVGHLGEAYQEWVHQPIVSKEGPQYFESDFWESQTRTVWWVIPVIWLPVVFYSISKSIQMGHTVRKVALITLTEYSLHRFLFHRKMKSYWGSTTHYLLHGCHHKHPMDGLRLVFPPAIMAILCVPFWNLIKRISTPSIAPALFGGGVLGYACHV >Solyc05g054990.3.1 pep chromosome:SL3.0:5:65618787:65622331:-1 gene:Solyc05g054990.3 transcript:Solyc05g054990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYDCMLLLKPHVKKEAMMDLIAKVGKHVYRKNGVLTDLKSFGTVQLGYGIKKLDGRYYQGQLMQMTVMTPPSLNNELHYLNKEDRLLRWLLVKHRDIKFGFDLLGEDDDGKAELSKFRRNINEEEEEEEDDEDDDDDEYDTNEAETNKV >Solyc08g061660.1.1.1 pep chromosome:SL3.0:8:49387739:49387924:1 gene:Solyc08g061660.1 transcript:Solyc08g061660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKFIYCNILSCITPSGLVDVLMTIDKFEVTYCMRYCNNELHNQHMTTETTLRYLDLPS >Solyc03g058970.3.1 pep chromosome:SL3.0:3:29453835:29464350:-1 gene:Solyc03g058970.3 transcript:Solyc03g058970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPNISINDGNLIVHGNTILKSVPDNIILTPGSGVGLVTGAFIGATASETKCFHLFPVGVLEDTRFMCLFRFKLWWMTQRMGTCGNDIPLETQFMLLETKDDQGPIIYTVFLPLLEGQFRAVLQGNQMNQIEICLESGDNAVKTNQGLYLVYTHAGTNPFQVITQAVKSVEQHLQTFHHREKKKLPSIIDWFGWCTWDAFYTEVTAEGVEDGLNSLSKGGVRPRFLIIDDGWQQIGNEAPKDTNCVVQEGAQFANRLTGIKENNKFQKKGLKHVVEEAKKQHSVKYVYVWHALAGYWGGVHPAGPGLEHYDTALVYPIQSPGVMGNQPDIVMDSLAANGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSITRSYIQALEASIAQNFPDNGCIACMNHNTDGLYSSKQTALVRASDDYYPRDPASHTIHISSVCYNSLFLGEFMQPDWDMFHSLHHTAEYHAAARAVGGSPIYVSDKPGNHNFELLKKLILPDGSVLRAQLPGRPTRDCLFVDPARDGTSLLKIWNVNKYSGVVGVFNCQGAGWCKVTKKTRIHDASPGTLTTSVQSTDVETIDWNGDSIAYCFTSGKLVFLPRGASLPVTLKVLEYEVFHFSPVKEVVTNISFAPIGLMDMINSGGAIDQYEVHSDGTSQSRTATVSLKVRGCGRFGVYISQIPVKCSIDGADTLYNYNKEYGLLTMNIPVPQQEMYKWNIEIQVYK >Solyc02g038660.2.1 pep chromosome:SL3.0:2:31728136:31734658:1 gene:Solyc02g038660.2 transcript:Solyc02g038660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRIYLKTEFPTQNFTHLDKTLQFAVEPSHQNPPLEMSTPVEEVSAHLKKFNYEDWISKLDALSIWMYNFGDQHFPSTVEQKISDIEHQICLCIEVLESVPAEKRELNRECAMFEYLKGRFYNAIPDVYKEEAERHLLKATQLDPLLMDAWNCLGSCVAKKGNYQEAKEYYQTALKMGEENAVILRQLADLELTFAQVAENPAKQIDECIKYAERTHALDDMDGGADITYFVRWNHEVAYAQYKRIDTMKSNPHLEYDCSMTSVSPLMSLTGFSNAALKNPASDALHQVKVTLQLLDKLKGLLQIKQGDQVILLEPICKFIDFEWEGKVSISNAMLLQRCHFSCYITFPPL >Solyc05g015000.1.1 pep chromosome:SL3.0:5:9286067:9287896:1 gene:Solyc05g015000.1 transcript:Solyc05g015000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMNNRGTELIIDENEKDKISDLPMDILDEIFKDMSFQDLVKTCVLSKKWGHFWTMHPILVLDWEFFEEKSGTIGLMEDGFSNMIDNILLQHVGSLVKFFLDLSTIDCNDRDLDHWLLCVTSKRVKELTLKNHKRKRYTLPFCVFNSPTLRYIDITNFIVKPLYPKALVPNLLELTLKFIRFCPDKANYVLNTPLLYSLTFIACNDVHFLTISAPRIQFLTIHDVHFLTISAPRIQFLTIHDSHDIHTSFFHNFSNVRELFMVLNVCEESKSYEQERFITWSRLLYSCSNLERLVLSNSCIQVFNTLSEGNIDEVAHYLEDPNCVDKQFEKLVFVELREFEGTLFELLFLKLILAYSPSLSRLIVEPSDALDVAEVLGFYQLLRMSLKASPRAKVSVAPHGQDV >Solyc04g047720.3.1 pep chromosome:SL3.0:4:35884048:35901961:1 gene:Solyc04g047720.3 transcript:Solyc04g047720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKTVVAAGFFLLLILTTSSGFQSDELLVDDEEFGLEGGIRSRSRSDPNNVNAATPQSIRKRLVSDSDSKIQFPLEHAFGDSNFSRAGTFTARLKSSSHGSQMQTLTKLRFSRNAFIGPEKEDFEKLLKGDDFYKIRVPSNVLNLSGREYVVSSVKARCLPRDGLEEHFLIHMDGVNILAVNYGSPGACQYPRQLKFNACKMVL >Solyc11g072630.2.1 pep chromosome:SL3.0:11:56155783:56166404:1 gene:Solyc11g072630.2 transcript:Solyc11g072630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:E2GLN8] MDAENIENSVEIKGIPTRDGKYVEYNVVGNLFEVTSKYVPPIQPVGRGAYGIVCCATNSETKEEIAIKKIGNAFENRIDAKRTLREIKLLSHMDHENVIKIKDIVRPPDREEFNDVYIVYELMDTDLHQIIRSSQALTEDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNANCDLKICDFGLARTTSEADFMTEYVVTRWYRAPELLLNCTEYTAAIDIWSVGCILMELIKREPLFPGRDYAQQLGLIIKLLGSPEESDLGFLRSDNARKYVKQLPQVPKQPFSEHFPDVSPLALDLAEKMLVFDPAKRITVEDALNHPFMISLHEINEEPVCTSPFNFDFEQASLSEEDIKELIWNEALKFDPDTTK >Solyc04g082300.2.1 pep chromosome:SL3.0:4:66100270:66106888:-1 gene:Solyc04g082300.2 transcript:Solyc04g082300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGSNGIGYGLKYQARCIADVKADTDHTSFLTGTLSLKEENEVHLIRISSAGTELLCEGLFSHPNEIWDLASCPFDQRIFSTVFSSGETYGAAIWQIPELYGQSNSPQLERIASLDAHNSKIKCTLWWPTGRHDKLVSIDEQNLFLWSFDTSKKNAQVQSQESAGVLHSLTGGAWDPHDYNALALTSESSVQLWDLRTMKKTNSIDHPHVRNLDYNVKREYTLVTAEDESGIHIWDLRMLKFPVLDLPGHTHWTWTVKCNPEHEDLILSAGTDSAVNLWLASLPSSDEPTPESFDSPNKPVDPLLNSYSDYEDSVYGLAWSCREPWIFASLSYDGRVVVESIKPHLPRK >Solyc02g065730.2.1 pep chromosome:SL3.0:2:37449351:37453984:-1 gene:Solyc02g065730.2 transcript:Solyc02g065730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVEMKRIENKISRQVTFSKRRSGLLKKTNEISVLCDAEVALIVFSSNGKLFEYSTQSSMENILERYENYSYEEMNLNTTYKENWTLEYPKLMARVELLQRNIRHFMGEDLDAFNLREFRGLEKQLDTALKRVRSKKNQLMHESISQLQKKEKELQQRNNLISNKLKENEKKQIVQTNPGQSSTMTFLLQSPTVTNQTIGGPSQATDQSQNRDGYNSLMPPWMFHHVHNKG >Solyc06g034290.3.1 pep chromosome:SL3.0:6:24109861:24112742:1 gene:Solyc06g034290.3 transcript:Solyc06g034290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKNNNKPLGIQLIERITKSSLSFKTYQVIVLIVTFFAYTSYHANRKTTSIVKQALDPQSLGIGPIFPWQGNSIRKSVIDGWFPFNGPDGTTLLGDLDVAFLFVYAIGMYFSGHVGDRMDLRVFLTAGMLGTGLFTALFGVGYWANVHSFYYYLIIQMIAGLFQSTGWPSVVAVVGNWFGKKKKRGLIMGIWNAHTSVGNITGSLVASILLKYGWGWSMVVPGILIAFSGAIVFLLLPVHPESVGGNKDEDEVLLSPGKEDDEVNEQPLLRSRSDREEEACAVGFIEAWKIPGVATFALCLFFAKLVAYTFLYWLPYYISHTAIDGRYLSNEESGNLSTLFDVGGVIGGILAGYISDKLDARAITAASFMYCVIPALYLYRSYGQISMTINIILMLISGVFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSIGAAVGPFLTGYISADSWNAVFVMLMGAALVAGLFLTRLVVTEVNGKIQELRSQGSSRPIMSTHLQV >Solyc06g005710.3.1 pep chromosome:SL3.0:6:759504:763843:1 gene:Solyc06g005710.3 transcript:Solyc06g005710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTLTSNSFLLSTTPHPRLSFKNPRFIVSAKKSGQNQETTTNSGNPFNFDFGKLPDVTSLIPASTNTSSGLSFGRQRAKDPGTVFVAGATGQAGIRIAQLLLREGYSVRAGVSDLGAAQELARLAVSYKVISNDESKRLNAVASSFQDAESIAKAIGNANKVVVTISKGEDGPATEVTTTDAVQVIEAAQLAGVGHVAIVYDESSPVGSTYNVLDGITSFFNNLFSKSQPLTIAEFLQKIVETDLSYTLIKTRLTDDFSPESSYKIVVSAEGSADADAYKVGKSQIAKLVVDVFSNTAVAENKVVEVFADPSAPPKTVDELFSVIPEDGRRKAYAEALEKSKAEEEARGVAEASKRQEQEAKKLEKKEAKAAATIAKEPEEKASSPSVPSVESLLDKAKDFSTGFSFEKLSSQLKSAVEKANEESDAQVATVRGQAKAKNLPAQKAVVKTPPRKPFASKTKKEAPKPAKQTEVASTEKRKIFGGLFQQETIYVDD >Solyc03g032090.1.1.1 pep chromosome:SL3.0:3:4635614:4636897:1 gene:Solyc03g032090.1 transcript:Solyc03g032090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEKDKASSSSHVLQIPREDTPLLSKNHQHLSSPSKTFANVFIAIVGAGVLGLPYTFKRTGWVMGALMLFTVAFLTYHCMMLLVYSRRKIESHLKISQISSFGDLGFAVCGPIGRSAVDVMIVLSQAGFCISYLIFIANTLAYCFNYSKTNPNPKVFGFPPKAVYIWSCFPFQLGLNSIPTLTLLAPLSIFADVVELGAMGVVMVKDVMIYVKSSHVLETFGGFSVFFYGLGVAVYAFEGVGMVLPLEAETKDKAKFGKILGFSMALISLLYGAFGVLGYFAFGEDTKDIITTNLGQGLLSSLVQIGLCINLFFTFPLMMNPVYEVVERRFCEGSYCVWIRWIMVLVVTFVALFVPNFADFLSLVGSSVCIILGFVLPALFHLIVFKDELRWHGLACDGAIIVVAAVFSVYGTYSSLLEILFGAKE >Solyc10g085180.2.1 pep chromosome:SL3.0:10:64574409:64579827:1 gene:Solyc10g085180.2 transcript:Solyc10g085180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSTPRTVEEIFKDYSARRAGILRALTYDVEEFSHMCDPEKENLCLYGHPNETWEVNLPADEVPPELPEPALGINFARDGMNRIDWLSLVAVHSDCWLLSVAYFFGARLNKNERKRLHSLINDLPTVFEVIAERRPIKDKPSAENGSKSRGSIQRTSDVQSKSTAKLADESCDEDEEEHGETLCGCCDGHYNADEFWIGCDICEKWFHGKCVKITPAKAEGIKQYKCPACNLKRHRP >Solyc12g042600.2.1 pep chromosome:SL3.0:12:58884059:58886505:-1 gene:Solyc12g042600.2 transcript:Solyc12g042600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DF51] MANVTRDDEPPHFVLLPFMAQGHTIPIIDIARLLAQRGVIVTILMTPLNATRFNNVIARAVEKGLNIHIIHLKFPSLEAGLPQDCENCDMILSMDMIKKFFNATQMLETQVELLLQDLKPNCLISDLCFPWTTNVAKRIGIPRIVFHGMGSFSLLCLHNLRDVNLLESVESENEYFFVPGLPNKVEVTKAQVKAMVDPSNPEWKKFGDQMKEGEAQAYGIVVNSFEELEPQYVQGVKRAKGKKVWSIGPVSLCNKEKQDKVERGNKASIDEHHCLKWLDSKAQDSVLYVCLGSLSHLPTSQMIELALGLESSKQPFVWVIRHISNGFRKWLNEENFEERVQKQGILINGWAPQVLILSHPSIGGFLTHCGWNSILEGISVGVPMITWPLFSEQFCNEKLIVNVLKTGVKGGMENPVMFLEDEKGCAQVKKDDIKMVIERLMGEEEEAKMRRERAKGLADMATKAVEEGGSSHINLTKLIEDVTQQPKIGGLKSR >Solyc12g010560.2.1 pep chromosome:SL3.0:12:3537263:3539339:-1 gene:Solyc12g010560.2 transcript:Solyc12g010560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKTFFFFFMILCYCNIIVTSQANTNIPKFTSILVFGDSSVDTGNNNHIDTIAKGNHLPYGQDFTNHIPTGRFSNGKLVPDMSKKNGVPPYLQRDLSKDDLLSGVCFASGGTGFDELTSKISGVISMKEELEYFKEYLSNIKDIVGGNSSEVERIVNGALVILSAGTNDLIFNFYNLPNRRLQFSLNGYQDFLLHKVQRFIKELYYLGCRNIIVNGLPPIGCLPMQITAKSPFFRSCINEENSDAEIYNQKLQDLLIQLQSHLPGSKILYADTYNLISELIHNPRLHGFKETKVGCCGTGLLEAGPFCTELSYVCSNPSRFVFFDSIHPSESTYDKAAQYLIDEILPKFGEN >Solyc12g035190.2.1 pep chromosome:SL3.0:12:39284231:39292369:1 gene:Solyc12g035190.2 transcript:Solyc12g035190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFGVTLNPIVFSCKISHFQTQKRRLNCLDNDLHLPHSQSSLHLPILSSQQLMERLLKKEDLNEAEAEASLDFMLKDGSEALISAFLVLLRAKGETFREVVGLARSMIKCCRKVEGLNDSVDIVGTGGDGANTVNISTGAAILAAACGAKVAKQGNTSSSSACGSADVLEALGVAIELNPEGVKKCVEEVGIGFMMSPYYHPAMRIVTPVRRKLRVKTIFNILGPLLNPAWVPFAVVGVYKQDIVSKMAKALQSYGMMRALVVHSEGLDEMSPLGPGVVLDVTPQKIEKFSFDPLDFGIPRCTLRNLKGGGPEYNAEVLRRVLSGERGPIADALILNAAAALLVSGRVGNLAEGVAVARMTHESGKALNTLNHWIAVSKDIVLHL >Solyc02g093700.3.1 pep chromosome:SL3.0:2:55085889:55087978:1 gene:Solyc02g093700.3 transcript:Solyc02g093700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSVPLEVLYNVLGWIAFVSWSISFYPQVILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASMFFSCAVQRQYHNRYGKNEMIPVAANDVAFSTHALLLTAFTLFQISIYDRGNQKVSKIAIAIVSVAWLSVAVCVFVAIPKHSWLWLVSCFNGLQVAMTVTKYIPQAVMNFRRKSTVGFSIGNILLDLFGGLTNYGQMAVQSIDQHSWVNFYGNIGKTLLSLVSIFFDILFILQHYVLYPSTKEVVSPKFDVEEPRDIQGR >Solyc02g031770.3.1 pep chromosome:SL3.0:2:27395679:27401753:1 gene:Solyc02g031770.3 transcript:Solyc02g031770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHLSSSVSKRILSSAMAFYRNRSSFSVVSRQAYRSSTLQQIVDKSPRHAIPSDFLKWRSLGCIRTSKFASGFSPLKQKPLDSIIDMERAKQKSAEELADIWDDYHLGRGHIAASMKSKLYKLLEQRASSCRYFVIPLWKGSGYTTMFVQVQAPHILITGLEDYKARGTQAAPYFTVSYYTEFAESKDLVLVRGDIVFTSKLTDSEAKWLLDTIQSFYLNDVRYKLVERFNRETSEFEFKDVLQTLEMPIM >Solyc02g068863.1.1 pep chromosome:SL3.0:2:39322799:39326293:-1 gene:Solyc02g068863.1 transcript:Solyc02g068863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDVVQPRHSTTFPIPDVRINSIRFFLSATLESERGRGVITILPISQIILNVRNSLGKSYAPTQIQMQRIFKINGIKQDLGLTAQLSFCSCLIFDTNLSPRGRGGRRATPRQARAPGSWCTGHARAPGSWASWGAGDDLEKFKNVIVVSKKEQPTGLLPPLFANTFTSRHHRVTALDISSMQLHGTIPPLLVNRFHGNLPQELAHLQRLRLIDIANNNFTGAIPSFLSLLIDLRILHLWSNQFSGKIPSSLSSLTKLEVLTIARNFLEGEIPRELGNLHYMTALNLESNHTGSIPPSILQILSSPQAFSTCQALRVVILDENMFSGNLPADLGSGISSLETLICANNNLSGFISATISNASRLGMIDLSINNSSQVQFLNHLMNNFVSDSALSYLSSLTHCRKQYSTLVRIPWKAFSLHPLEIGNLTGVIRINFSQNNLTGHIPKTIQGMLNLQEFYLESNKIEGIIPDVICNLKKLGALYLSGNQFSGRVPPCLGKVTMIVEYGQEIVSTSCDVYSSGILMMETFTRIRPGDERFTGDLSIRRWVSDSFPNEIQKVVDANLVQLGDEQIDAKIECL >Solyc04g016510.3.1 pep chromosome:SL3.0:4:7334683:7339259:1 gene:Solyc04g016510.3 transcript:Solyc04g016510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMDAEDEVPVSTSETSTLVDDNSTVVNATGAVNLQPVPAVIPPVVPTAILPPVVPAIAPRLAVPPPIPPPLAPLPVRPPVLRPPVAQNGEMQENDSDSDLEEMVSGRGAAGSTQEYEISEESRIVRERQEKALQELLMKRRAAALAVPTNDMAVRARLRRLGEPITLFGEREMERRDRLRMLMARLDAEGQLEKLMKAHEDEEAAASAAPAEEEDIQYPFYTEGSKALLDARVEIAKYSLVKSALRLHRARRKRDDPDEDVDAEVDWALKQAGSLALDCSEIGDDRPLFGCSLSHDGKMLATCSLSGIAKIWSMPQVQKVSTLKGHTERATDVVFSPTSNYLATASADKTARLWNSEGSLLRTFEGHLDRLARIAFHPSGKYLGTASFDKTWRLWNVESGEELLLQEGHSRSVYGLSFHHDGSLVASCGLDALVRVWDLRTGRSILALEGHVKPVLGVSFSPNGYHLASGGEDNTCRIWDLRQRRSSYIIPAHSNLISQVKFEPQEGYFLATASYDMTAKVWSSRDFKPVKTLSGHEAKIMSLDVASDGQFITTVSYDRTIKLWSSKNIEKEEKMDMD >Solyc09g018420.2.1 pep chromosome:SL3.0:9:14842367:14842948:1 gene:Solyc09g018420.2 transcript:Solyc09g018420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNYYPPCKKPELTLGARSHYDLTSFIGSHQDCVNEFHVFVDNEWYFVTPNFNAFVVTRRHTYGYKIYTQALHNKVMRSAMVDTKVACTKNSYESPSFILYLEKDKVVSPPTKSVNANNPQIYLEF >Solyc08g061630.3.1 pep chromosome:SL3.0:8:49335542:49339841:1 gene:Solyc08g061630.3 transcript:Solyc08g061630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQSSSQSMAETTKQNSVVSNCLISLPFSFSTPPFFKQLPKSNLQLAQTSSNPFLHFHNSLLSTAEKCFTLLHSFLASQPLFNKIMNFSSHFSQVQCRTYQNMGNLSHHNFAAVLPGDSVAGIVVANGIINFLNIYNSLLVVRLVLTWFPNAPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTASALPAELPSTTVRESSDTPHRAPIFHLTTSQKKWMRRLSGNKSKTSDDES >Solyc03g097710.3.1 pep chromosome:SL3.0:3:61485531:61486701:1 gene:Solyc03g097710.3 transcript:Solyc03g097710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITASSILFSWINLLSDHSNVCETDDTFSMIWFNLVQRIMGCRSDVLRWCEANAYCVTFLVGSNVDDAGLFDETFLGIPLIDR >Solyc12g042215.1.1 pep chromosome:SL3.0:12:58288456:58299072:1 gene:Solyc12g042215.1 transcript:Solyc12g042215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDPLVDVHGSPLEKPESQTIEAEIDNSEPADTSIVHEDRQNNETENSSEDQMTRPSTTEEVSENTHAIPSHVDFYVRRSSRSIKEPMWMKYYAISKGHSSTKHPMASYLNYEKLKPDCRSFLSIKVLRSSGGIILNQRKYILELIAEAGLTGAKPATTPMESNLRLTSVEHDQENGYNKDVVLHDITSYQRLVGKLLYATITRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSVGQGVWLHSELTNIITCWCDSDWAACPNTRISITGYVIKFGESLVSWKSKKQQTVSRSSAEAEYRSMASAVSEITWLLGLFKELGMNVQLPYYVNHFLYHMPM >Solyc05g015550.2.1 pep chromosome:SL3.0:5:11410775:11412001:1 gene:Solyc05g015550.2 transcript:Solyc05g015550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVGGVVSVTIKFCRLLIRGTERGLRQAILLHPTNPSNLEDFICPFPEADRFMPIENVVRIMRNILPPHAMISDESKVAVQECISEFIGFVTDQANDDCQHEQRNTIMAEDLLSALKKIGFDDYIEPLTLYLHRYREVDGGADRSLKRESLLLKRPMVCPASGYSITPNHLPPNLDMNHPPPMGDDFMKEDASNTSTSRCTVSTVDNEVNSLAKGGKE >Solyc04g014425.1.1 pep chromosome:SL3.0:4:4690644:4691820:1 gene:Solyc04g014425.1 transcript:Solyc04g014425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVFEGRRLFVLLLVYCPAYFMASLDMSLDDMIKSRRNNEKGGRGQGRARRGRGQGGSVRGGRTTGALRKGALGVNARPSANRIAKASIKLSESFAFPRQFDMLKKSN >Solyc08g079110.3.1 pep chromosome:SL3.0:8:62873572:62878388:1 gene:Solyc08g079110.3 transcript:Solyc08g079110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSISLLPIKSINISSSSIRYYKVFIPQKSSKIVCQVEKSNSNIEIKKWKAIVSTALAAAVITFSSNMAAMADLNKFEADTRGEFGIGSAAQFGSADLKKTVHTNENFRRANFTSADMRESDFSGSTFNGAYLEKAVAYKANFSGWVGMLGHLRVALESSTIVLCADLSDTLMDRMVLNEANLTNAVLVRSVLTRSDLGGAIIEGADFSDAVIDLLQKQALCKYASGTNPVTGVSTRKSLGCGNSRRNAYGSPSSPLLSAPPQQLLDRDGFCDSATGLCEAS >Solyc11g032050.2.1 pep chromosome:SL3.0:11:24515910:24520255:1 gene:Solyc11g032050.2 transcript:Solyc11g032050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFSGIEVKALTPLQPLINYKPSHSLSTKKKNIINIMFHLLFLVFLLVNIATCELGEGGRRRGLIPAMFIFGDSLIDNGNNNNLPSFAKANYYPYGIDFDGGPTGRFSNGYTMVDEIAEQLGLPLIPAHSEASSSGEQMRFGVNYASAASGILDDTGRNFVERIPFNQQIKNFEDTLDEITDNLGAPDVAQALAKCIFFVGMGSNDYLNNYLMPNYDTKNHYNPQQYANLLVQHYTEQLTRLYNLGGRKFVIGGVGLMGCIPSILAKSNSNVCSEEVNELILPFTNNVKSMLTNLNVNLPGSKFIYIDIKNMFQDLLTNYRQYGFSVINRGCCGIGRNRGQITCLPMQTPCPNRNQYIFWDAFHPTEAVNILFARKAFNGGTDVVYPINIHELATL >Solyc10g083730.2.1 pep chromosome:SL3.0:10:63624256:63627867:1 gene:Solyc10g083730.2 transcript:Solyc10g083730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNTAENGTVTGKTGLGDIPESCVACVFMYLTPPEICNLARLNRAFSGAASSDAVWESKLPSNYHHMLDLLPPWRYEGLSKKSIFALLSRPFSFDEDNKDVWLDKISGRICMSISSKAMSITSSEDRRHWNWFATDESRFQVVAYCQQVWWFEVSGTVKFPFPPDIYTLTFRIHIGKFLKRLGRRVSNFEHTHGWDLGPVRYELSTSDGQCAVSECRLHDIEQDDAKGTVKRGCWIEYKVGEFIVSRSNPVTEVRFSMKQIDCTHSKGGLCFDSVSIIPSNLRRRRKTGSFTKYL >Solyc11g045460.2.1 pep chromosome:SL3.0:11:30669129:30669624:1 gene:Solyc11g045460.2 transcript:Solyc11g045460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPHVVEDCLGILQVYSDGSIFRLSDDQIDFHNFPIKDDGSVIWKDIIYNNQNKDGKDSLYLRLYKPKCMAKKQLPIIYFFHGGGFCVGSRVWPNCHSCCIRLSSELEALVIAPDYSLAPEYRLPAAMDDAFTSIKWLQDLAIGFM >Solyc10g080250.1.1 pep chromosome:SL3.0:10:61714339:61718147:1 gene:Solyc10g080250.1 transcript:Solyc10g080250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALNTEEVVHEYSCMTPKGKRFRISENSSCPPAPKKRRPVGATNCVSKRRRLLDDTERAQKMAKY >Solyc01g107960.3.1 pep chromosome:SL3.0:1:95236126:95236645:1 gene:Solyc01g107960.3 transcript:Solyc01g107960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGGENNHDHLCDDMWSHLDWNDHQVESGEIEGNKLLDPTGSDTCQPLTFINEVVDVSVNVAKKRSSANRKKKGKKIAEPNSSVDGAEVRRASKHEVHKWTERERRKKMRTLFETLHALVPNLPVKKQIFIFLLLSSAK >Solyc03g071503.1.1 pep chromosome:SL3.0:3:18808152:18809554:-1 gene:Solyc03g071503.1 transcript:Solyc03g071503.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKSLINNDEDLNMNANISCVVRRIVGALEKEELDQRENLFHARCKIQNKVCSLIIDSGSCTNVVSSSLVERMKIPIIKHLNTYKLQWLNESGDMKVLKQASIWFSVGEYNEELVCDVVPMLSCHLLLRRPWKFDRDVVHQGRSNKYTFVIEGKKYGLAPLTTYQVSEDYLVMKEEYDELFPYEMPTGLPPLRGIEHQIDFIPGSQIPNRPAYRSNPAETKELSRQVEELFEKVAVNNIIVKHRHPIPRLDDMLDELCSSIVFSKIDLRRWYHQIRMKSIDECKTTFKTKFEIYEWLVMPFGLKNAPNTFMTLMNNVLEPFINKFVVVYFDDILVYSKTMEEHVSHLKQVFDVLRASL >Solyc08g081845.1.1 pep chromosome:SL3.0:8:64911419:64913396:1 gene:Solyc08g081845.1 transcript:Solyc08g081845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFPFGNFSDRVLKIEVVPDLPELKTEGGLSLVVDWARKRKRRREEINRRGNDADMVMQHDEQVVNCTALEVEDGLADDEREEEA >Solyc08g045750.1.1.1 pep chromosome:SL3.0:8:16934230:16934400:-1 gene:Solyc08g045750.1 transcript:Solyc08g045750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCACCSASSGSRGLGGSVDLLDHYKLRKHLDFYASDHYHPLYLRQNTIGVWWGTEK >Solyc10g074434.1.1 pep chromosome:SL3.0:10:57950270:57953503:-1 gene:Solyc10g074434.1 transcript:Solyc10g074434.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRGCYAGNTRSSSGGGNTSGFGYYSGYYGGNGYVSGSFGGSGSGGGAGSSGARKNKISAANVQNQHTGDNGITPHPHHVQQPHFTMDQYNQILMLLNKSQLNETSANANMTVARIGKVKIPTGESAMITHSGKSECKETAELWHKRMGHAPMVGGCDIAPLIDSIGFETVVEAASFIDPVGQLPVRRTSIRVSRPSIWQKYFIKNHVPKLDNANVLLDPGEYQRLVGISLYLTITMPDIAFAEQSLTQFMHPHKSSHMEASLRVVRYVKQAPGFGILMSAKPTNTL >Solyc01g006500.3.1 pep chromosome:SL3.0:1:1089014:1092709:-1 gene:Solyc01g006500.3 transcript:Solyc01g006500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFGPSNPIPPSSFGPPKTDPLSRRNDPLTHRKVSVPGSKQESSSMEFEKLKVKEAKERKEETNKKIASQKAVSIILRREAAKAVIEYKKKKGNSKKLLPRTVLEALHERITALRWESALKVFELLQEQLWYRPNPGIYIKLIVMLGKCKEPEKAQSLFEMMVEEGCVVNQEAYTALLSAYSRSGRFHEAFSILEEMKNIPNCLPDVFTYSILIKSCLQVYDFDKVQILLSDMECLGIKANTVTYNTLIDSYGKAKRFKEMESTLVEMLRRRDCKPDVWTMNSTLRAFGGSGQIEMMEKCYEKFQSAGIEPSIKTFNILLDSYGKTENYEKMGAVMEFMQKYHFSWTIVTYNIVIDAFGRAGDLKQMEFLFRLMQSERIKPNCVTLCSLVRAYGKAGKAEKLGAVLRFIENSDVALDTVFFNCLVDAYGMMGCFTELKGVLEMMEQSGCKPDKITYRSMIKAYSVNGMTNKASELRNILASLEKAR >Solyc02g080110.3.1 pep chromosome:SL3.0:2:44969328:44973436:1 gene:Solyc02g080110.3 transcript:Solyc02g080110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMGFEKQRLLGEFEEKGVEEICISDHMNGLQYTSTKSDSFVVDMERFSHIIEKDINANSRITLQRNLSRKGSFRSGEKKTNSNAVTEKDTANSPRASSLLGGGSTPEKAMALTTSSDQHTPQTHNHQITIVAGHGSATATESQIGGRRFSYRRSTNWTIDPRRILLFSATLTCMGTILLIYFTLSIPKVNGEE >Solyc11g039690.1.1 pep chromosome:SL3.0:11:43280040:43280943:-1 gene:Solyc11g039690.1 transcript:Solyc11g039690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRRKLHAAIVDNVITIGRLAKMSDLMIKNNLRLRNFLLSMFVRLGNLSDAWYFDEALDLYQRMLWVGIRPDVYTFPCVLEDLWGLPDWRIVCSAKLLFDGMSKRDRILGMFAVQECCYDFRYVSRFNFNSGLIHLLASV >Solyc05g016330.3.1 pep chromosome:SL3.0:5:15581384:15590519:1 gene:Solyc05g016330.3 transcript:Solyc05g016330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFCSSITCLVLNRRSDSIFRHFPSSYPTSWSTQKRSSIRTMKCRCQSTGTDEPKTKMNLFDNASNLLTNLLSGGRIGSMPIAEGAVTDLFDRPLFFSLYDWFLKYGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGVLAEILEPIMGKGLIPADLDTWKQRRRVIAPGFHSSYLEAMAKVFTECADRTMLKFDKLIEQEESGGGKLIELDLETEFSNLALDIIGLGVFNYDFGSITKESPVIKAVYGTLFEAEHRSTFYIPYWNIPLARWIVPRQRKFQSDLKVINDCLDGLIKNAKETRQETDVEKLQQRDYLNLKDASLLRFLVDMRGVDVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQHPVKMKKAQSEIDAVLGQGRTTFESLRKLEYLRLIVVESLRLYPQPPLLIRRSLTSDILPGGYNGDKNGYEIPAGTDVFLSVYNLHRSPYFWDKPNEFEPERFLVQKESQGIEGWGGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTIALAMLLQKFDVELKGSPEDVELVTGATIHTKTGLWCKLKKRSNI >Solyc04g008340.2.1 pep chromosome:SL3.0:4:1997045:2005120:-1 gene:Solyc04g008340.2 transcript:Solyc04g008340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHYIFLKSSVPFCNSQRTKINTFETPFSRNGCSNFWHNNRNNNTIFLCCLCNHHRRHLQEPLFLIPNTLYPNTPLSSHPSINLGFLADFVLAQIDKMSKKIDALVKQICFFLIVSTSLWLVIFGSSVEAKALEDPKQEFHQCSHGHGHHGHEGHHHDHDHGSSGVEQRKLLEELAEEEDLKLYGFGSHASMDEHHHHHHHDGHGVKDLTGLGLWVNAMGCSLLVSLASLICLILLPLIFIHGKPSKAVVDSLALFGAGAMLGDAFLHQLPHAFGGGHSHSHDDHLHDHSGHSHAHSLEDLSVGLSILAGIVLFLIVEKLVRYVEEFSGGVNERSHGHHHHHHMHYTKLKDDNDADDNLRELSQEKDGSSSEKVAGGSRVDGVSTDSPNGEKPNGGAILRKRNTGSSAAEDNTALDAANCSTNSTSTGEEQAKSRSSLVFGYLNLFSDGVHNFTDGMALGSAFLLHGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFSVSKALFFNFLSALVALAGTALALTLGQDSGHSSLIEGFTAGGFIYISVAGVLAEMNNTGRTTLVNTVIQLISLVSGMAVALCISLIE >Solyc03g117480.3.1 pep chromosome:SL3.0:3:68099605:68113304:-1 gene:Solyc03g117480.3 transcript:Solyc03g117480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLPDLGTEIVIPVCAVVGIVFSLYQWYVVSRVKVSSERGDTSPSNNNKNGYGDYLIEEEEGVNDQNVVAKCADIQNAISEGATSFLFTEYQYVGIFMIAFAILIFLFLGSVEGFSTKSQPCTYNKEKMCKPALATAIFSTISFLLGAITSVISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLHITINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIEHDFTSMCYPLLISSMGILVCLITTLFATDFFEIKAVKEIEPALKHQLIISTALMTIGIAIVTWTCLPSSFTIFNFGTQKVVKNWELFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAIAIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGHAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGVFSALTSSTPLWREKKKACGIMLSSDRARFTHSATIKFVLPPRLGCLYRAPISLGNLSLLIKIYNTTPVAQQEIVSLYQRFCQLDRNSKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSTFSAKASVAQKIELIFKVYDSDCNGKVTFDDIMEVLRDLTGSFISDKQREEVLSQVLHEAGYTRDSLLQLNDFIKWCKGRQGEVPVTMGPASMEKSTAYSHHLAESSSRNVYAEEANYRNKEFVRAEQVKLLDKRLRILEDEAEILKVVFLEGAEERRKLVNEVQNEFQAAFGYPKHQRHGLLQILHEESNPAIVIRKLRASDATECVSEFSGRWFRLKI >Solyc07g007670.3.1 pep chromosome:SL3.0:7:2315103:2323906:1 gene:Solyc07g007670.3 transcript:Solyc07g007670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CBN7] MLLCLFFSLSLFSTFIDNGSAGITSAFVRTQFPSVDIPLENEVLSVPNGYNAPQQVHITQGDYDGEAVIISWVTADEPGSSEVRYGLSEGKYDVTVEGTLNNYTFYKYESGYIHQCLVTGLQYDTKYYYEIGKGDSARNFWFETPPKVDPDASYKFGIIGDLGQTYNSLSTLQHYMASGAKSVLFVGDLSYADRYQYNDVGVRWDTFGRLVEQSTAYQPWIWSAGNHEIEYFPYMGEVVPFRSFLSRYPTPYRASKSSNPLWYAIRRASAHIIVLSSYSPFVKYTPQWHWLKQEFKKVNREKTPWLIVLMHVPIYNSNEAHFMEGESMRSSYERWFVKYKVDVIFAGHVHAYERSYRISNIHYNVSGGDAYPVPDKKAPIYITVGDGGNSEGLASKFRDPQPEYSAFREASYGHSTLDIKNRTHAIYHWNRNDDGNNITTDSFTLHNQYWGSGLRRRKLNKNHLNSVISERPFTARF >Solyc08g078430.3.1 pep chromosome:SL3.0:8:62360609:62365038:-1 gene:Solyc08g078430.3 transcript:Solyc08g078430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLADSFLADLDELSDNEADVIDEENLDVDQMEEDGDLADIEALNYDDLDNVSKLQKSRRYVEIMQKVEDALEKESDVTNKGVVLEDEPEYQLIVDCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEIDLTLVDLEGLLPSAIIMVVSVTASTTSGKPLPEDVLQKTVEACDRALALDSAKKKVLDFVESRMGYIAPNLSAVVGSAVAAKLMGTAGGLSSLAKMPACNVQLLGAKRKNLAGFSTATSQFHVGYIEQTELFQSTPPSLRMRACRLLAAKSTLAARVDSINGDPVGKTGRSLREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGIPEESSLGDGLGEGYGMLGQAGSGKLRVSVGQSKLAAKVAKKFKEKSYGSSGATSGLTSSLAFTPVQGIELSNPQALANQLGSGTQSTYFSDTGTFSKIKRT >Solyc01g108460.2.1 pep chromosome:SL3.0:1:95672553:95697258:-1 gene:Solyc01g108460.2 transcript:Solyc01g108460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQHMKYTFLLTKLNFFFLVTLIFHVLIVHGFQIDTQARRLMSWRRSKIRSRWINSYQDETWSTEKIVFDSDDDEEELHVGNMEDDLIKYGLPGQPKLNVKFNQYAGYVNVDEKNGRSLFYYFAESASGNASSKPLVLWLNGGPGCSSLGFGAMLELGPFGVKPDGKTLYSRTFAWNKGVGFSYSNTSSDYAQSGDKRTAQDAYRFLVNWFKRFPHYKSRDFYIMGESYAGFYVPELADIIVKSNMLATTNSKIQFKGIMIGNGIMNDVTDEKGQLDYLWSHALISDETHLGIQQHCKTQTDETKICEQFQSTAQTEFGNIDPYNIYVQKSLHANLPNRWESCSDLTWKDSPSSMFPIYNRLISSGLRILLFSGDVDAVVSVTSTRYSISAMNLTVIKPWHVWHDDTKEVAGYMVVYDGLAFATVRGAGHQVPQFQPRRAFALLNIKKMKVTSVLQLSLSLILCYLSTQKCYAGETDVVREFLKARRVKSTPSINSGLAAAEKRRAVFVSQVGSKEDDKISALPGQPSGVSFDQYSGYVTVDADSGRALFYYFTESTQDPSTKPLVLWLNGGPGCSSFGAGAMMELGPFRVNKDGKTLWLNPFAWNNVANILFLESPAGVGFSYSNTSSDYTTGDEKTRQDSFTFLINWMERFPEYKHRDFYITGESYAGHYVPQLAQLILSYKKTEPNLVINLQGLATGNGIIDDETMNSGTYDFYWTHALISDEVHDGIVLNCNFSAETTSEACNEYIKQADSCQGNIYAYNTYSQLCNSSAYTSLPIHGFDPCSADYVENYLNTAEVQKALNVKDAPYSWDSCKTPRILFYQSFKSSCKVALGGDIDHMLSVTTSTYAINKIKTPVKTPWYPWFFQGEVGGYAVEYENLTFVTVRGAGHFVPSYQPGRALTILILILCYLSAQQCYAGEVDVLREFLKARRTKTTNVISQGVAVAEKQRSVSEFIVPQVGSKENDKISALPGQPSGINFTQYSGYVTVNADAGRALFYYLAESSNDPATKPLVLWLNGGPGCSSFGNGGMVELGPFRVNKDGKTLWLNQFAWNNVANVLFLESPAGVGFSYSNTSSDYATGDEKTRQDSFTFLVNWMERYPEYKHRDFYIVGESYAGHYVPQLAQLILSHKKTEPNLVINLQGIATGNALLDDETWNSGSYDFYWTHALISDEVHEGIVKNCNFSTETSTSEACDRYTSEADSCQANIYDYNTYSQLCNSSAYTSLPIDGFDPCSADYVENYLNTDEVQKALNIRDIPHSWESCSGYVHGFWQDSPDTVLPIYKELMQSDIRVWIYSGDIDHILPVTTSRYGIDKIKTPIKTAWYPWFFQGEVGGYAVEYQNLTFVTVRGAGHFVPSYQPGRALTIAQQCYAGEADVLREFLKARRTKTRNVMSQGLAVADKQISVSKFIVPQVGSKKNDKISALPGQPKGINFAQYSGYVTVDANAGRALFYYFAESSNDPSTKPLVLWLNGGKYTYKSTCHIDMLKITNFKRYFWMNLIGPGCSSFGNGGMAELGPFRVNEDGKTLWLNPFAWNKVANVLFLESPAGVGFSYSNTSSDYTTGDEKTRQDSFTFLVNWMERFSEYKNRYFYIIGESYAGHYVPQLAQLILSQKKTKHNLVINLQGIATGNALLDDETWNSGSYDFYWTHALISDEVHDGIVKNCNFSTETSTSEACDKYTSEADSCQGNIYSYNTYSQLCNSSSYTSLPIDGFDPCSADYVENYLNTAEVQKALKVRDIPHSWESCSDDIGGYWQDSPNTVLPIFQELMQSGIRVWIYSGDVDHILSVTTSRYAINKIKTPIKTAWYPWFFNGEYEYESSISYLQVGGYAVEYQNLTFVTVRGAGHLVPSYQPGRALTIARQCYAGEVDVLREFLKARRTKTTNVISQGVAVAEKQRSVSEFIVPQVGSKENDKISALPGQPSGINFAQYSGYVTVNADSGRALFYYLAESSNDPATKPLVLWLNGGPGCSSFGNGGMVELGPFRVNKDGKTLWLNQFAWNNVANVLFLESPAGVGFSYSNTSSDYTSGDEKTKRDSFTFLVNWMERFPEYKNRDFYIVGESYAGHYVPQLAQLILSHKKSEPNLVINLQGIATGNALLDDETSNSGSYDFYWSHALISDEVHEGIIKNCNFSTETTISEACDEFTSEADSCQANLYDYNTYSQLCNSSAYTSLPIDGFDPCSADYVFNYLNTAEVQKALNVRDIPQSWESCSGISWEDSPHTVLPIFQELMQSGIRVRESNKLESVTIKLILKTFAITIQNTNIFIFFSSGDIDHILPVTTSRYAIDKIKTPIKTAWYPWFFQGEVGGYAVEYQNLTFVTVRGAGHFVPSYQPGRALTMFSSFINGTLPPREADVLREFLKTRRAKTTIINHGLAASEKQRSVSELIVPQAGSKENDKISALPEQPSAVRFNQYAGYVTVDANAGRALFYYLAESSGDPSTKPLVLWLNGGPGCSSLGAGAMIELGPFRVNNDGKTLWLNQFAWNNVANILFLESPAGVGFSYSNTSSDYTTGDEKTRKDSFTFLLNWMERFPEYKHRDFYIVGESYAGHYVPQLAQLILSHRKTEPNLVINLQGIATGNALLDDETMRRGSYDFYWTHALISDEVHDGIVKNCNFSTETTSEACDKYIKQAGSSLGNIYGYDIYAPLCNSNATSLPVCGFDPCSDNYVNSYLNSAEVQKALNVRGIPYSWAACSTDLIWQDWPPTVLPIFQGLMKSGIRVWIYSGDTDSVLAVTTTRYAINKIKTAVKTPWYPWYFQGEVGGHAVEYENLTFVTVRGAGHFVPSYQPGRALTMFSSFINGTLPPHLH >Solyc02g085250.3.1 pep chromosome:SL3.0:2:48848550:48858501:-1 gene:Solyc02g085250.3 transcript:Solyc02g085250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRQVRKFSSNSSFNAKEKKWDALIIGGGHNGLTAAAYLARSGLSVAVLERRHIIGGAAVTEELIPGFKFSRCSYLQSLLRPCVIKELELKRHGLKLLKRSPSSFTPRLDGRYLLLGSDKEQNYSEISKFSKSDADAYSRYESQLDKFCEFMDPLLDSSTPETLQGSSQLNTRMKHKLRNSAFWANCLRRALHLGQKDLVDLMDLLLAPASKVLNNWFEADVLKVTLATDAVIGTTASVHTPGSGYVLLHHVMGESDGDRGIWSYVEGGMGTVSSAIAAAAKEAGATILTNAKVLLADGTILHSSVVLSNATPFKTFMDLVPDDVLPRDFQNAIKCSDYRSATTKINLAVDRLPQFQCCNLSHPDAGPQHGGTIHIGPESIEEMHSAAQDAENGLPSQRPIIEMTIPTVFDKTISPSGTQESFAQRCFSMIDEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGSMGLDSLFLMRPVKGWSNYRTPIEGLYLCGSGTHPGGGVMGAPGRNAAHVVIEDFKKS >Solyc02g088130.1.1.1 pep chromosome:SL3.0:2:50952068:50952217:-1 gene:Solyc02g088130.1 transcript:Solyc02g088130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFLIGIVGAAFTLGAYSQTWMTPTQSITTGLVTLMFGLLVREGFISL >Solyc09g015690.1.1.1 pep chromosome:SL3.0:9:10714043:10714219:-1 gene:Solyc09g015690.1 transcript:Solyc09g015690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYDQLHFSWCFSKINGAFIYIFFQTRGCLKNFRNLINFLTDVSSPFLHKSRSSRKLS >Solyc01g087240.3.1 pep chromosome:SL3.0:1:82053370:82059917:1 gene:Solyc01g087240.3 transcript:Solyc01g087240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIAKHDGRQLETGLRITSLSTTQMQPWWHGYGDNTMPLASENAVAQEKSEGGNQDKETKALAMESGSDGNNEQYKQHLKHFAPTTAAIMAEQQKELTGHSAMLASYPYPDMQYGGMMTYGAPVHPHLFEIHHARMPLPLDMEEEPVYVNAKQYHGILRRRQIRAKAELERKAIKARKPYLHESRHQHAMRRARGTGGRFLNTKKLNDMDCTPTETQKYGATIATHSGNSSGSGSSDQGGKEGSTVQDMHKGHSQSFTTGNGHGSSVYFSASSGSEQGNGHYGHGSWSLLVNQASQGAASSN >Solyc10g019110.2.1 pep chromosome:SL3.0:10:11723459:11743746:-1 gene:Solyc10g019110.2 transcript:Solyc10g019110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRDGAQSNRTPLALDVDDFKGDFSFDDTFGNLVTEVLPSCLEKEVDSLEGHDNIDGISNGHMRAPSISNAGKLLSSPLFPEVDALLSLFKNFSSQLVEQKRQVDAKLSNLTKEVSVQDLKHRKTLAELEKGVDGLFGSFARLDSRISSVGHTAAKIGDHLQSADTQRESASQTIELIKYLMEFNRYAADLTQLSLLFIDDNRVAEAASIAQKLRSFADEDIGRQRTTVSSAVGNATSPIGLEVAITNLQEYCNVINADAKLVLGDEGAQPSPSKVAHGLSSMFKKIADTVRKEVATIATVFPSLKDVMSILVQYLRFLAVAYEKTQEFDKELRSVGCGDLDVEGAQQLCELIDVYESVPPVTYHSGGLLLNNFEQTSCFNLQMDELRSESQLSNSESCGTIGRSKGASIVLSNPEISVTMVTEFVRWNEEAISGCSLFYLQPAALAASIKPIFTCLLDQVSQYIIGGLERAREGLTEAAALREKYLLGTSKMQLLLVKAVSNLSWHLCKRCGSSVAILQQYFVNSISRRLLPVDGAHAASSEEMATSMSRAESVACKGLQQCIETVIAEVERLLSTEQKATDYRSPDDGIIPDHRPTSACACAVAYLSRVLESAFTGLEGRNKQAFMTELVKLSSCNFKVNAVSRRTITDP >Solyc07g041735.1.1 pep chromosome:SL3.0:7:54196879:54200403:1 gene:Solyc07g041735.1 transcript:Solyc07g041735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLTNRNHKTFRPKKSAQSGSKGAQLKKHIDATLGSGNLREAVRLPPGEDLHEWLAVNTVDFFNQVNILYGTLTEFCTPSSCPTMSAGPKYEYRWADGVNIKKPIEVSAPKYVDYLMGWIETQLDDESIFPQNLGAPFPSNFQDVVKTIFKRLFRVYAHIYHSHFQKIISLKEEAHMNTCFKHFVLFTWEFRLIDKAELAPLYELVESILQR >Solyc03g095315.1.1 pep chromosome:SL3.0:3:57812919:57814907:1 gene:Solyc03g095315.1 transcript:Solyc03g095315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKIQISNVLTGHFDHLIIFAKHPLNYSWARGLGGSGSGRILKECLEKRAAQERTSGAEWDSGEGCSKTDVISKRLDRTQEPDRRQANFQNWKIHGLYWGSYKIHQPNVLRDSLKELLAWLSRGLITVNISHTFSLTEVRSYGLYYLSNP >Solyc09g065180.3.1 pep chromosome:SL3.0:9:63337024:63339567:1 gene:Solyc09g065180.3 transcript:Solyc09g065180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLASSSSLLHSSSSSFNSSSTPSISPVSRISLKFPSSSLSSSLSISPYFVAFSLNSRRVSPKSYSSTSVVQASGAVEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHDVTVLTVGEESSDKMKKTPFTRFSEITGAGGRTVWGNPADVGKILEGEVFDAVLDNNGKDLDSVSPVADWAKSSGVKQFLFISSAGIYKPTDEPPHVEGDAVKADAGHVLVEKYISEIFGSWASFRPQYMIGSGNNKDCEEWFFDRIVRGRPVLIPGSGMQLTNISHVRDLSSMLTLAVQNPAAASGRIFNCVSDRAVTLDGMARLCAKAAGSSVEIVHYDPKAVGVDAKKAFPFRNMHFYAEPRAANEILGWSATTNLPEDLKERYEEYVKIGRDKKEMKFELDDKILESLKVPVAA >Solyc10g009120.2.1.1 pep chromosome:SL3.0:10:3119748:3119954:-1 gene:Solyc10g009120.2 transcript:Solyc10g009120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTALQNQIDLFFFLLQKRVKIDQPFLILTSAVINRRRRRRQQQRRWLFSFLSSIYLILYTFFFPFLF >Solyc08g078840.3.1 pep chromosome:SL3.0:8:62661469:62663655:1 gene:Solyc08g078840.3 transcript:Solyc08g078840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINMSKFLVYLLVCICISLHACSARPLASMDDKENKILLSSKDVKFLTSDISKTEGRIIMSEDIGKDDGKLMWKKRSIVARKQLDDEEDKGNKVKISFHEGAQVKISRLMLESPPSTQHEEEAVNSIEKEPVEDVVVMDYAQPHRKPPIHNTKH >Solyc04g006940.3.1 pep chromosome:SL3.0:4:625533:631366:-1 gene:Solyc04g006940.3 transcript:Solyc04g006940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4BNK1] MKTGRRRKLHFSKIYTFKCGRDSFLGDVDHSQIGGPGYSRVVYCNEPSSFESVIRDYVGNYVSTTKYSSATFLPKSLFEQFRRVANFYFLVIAILSFTPLTPYSPATAVIPLVIVIGVTMLKEGIEDWQRKQQDIEMNNRKVKVHQENGVFNQTEWKNLRVGDIVKVEKDEFFPADLLLLSSSYEDAVCYVETMNLDGETNLKLKQALEVTSSLHEDSHFKDFKAFVKCEDPNANLYAFVGTMEYGEKQNHLSPQQLLLRDSKLRNTDYIYGAVIFTGHDTKVMQNATDPPSKRSNVERRMDKIIYFLFVLLVTMSFVGSVCFGFLTKEDLYDGHKRWYLRPDESNIYYDPNRAFAASVYHFLTAVMLYSYLIPISLYVSIEIVKVLQSMFINQDIHMYHEETDRPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGTAYGRGITDVEKAMAKRNGSPLIEDSAVSPKKSSIKGFNFQDERIMNGSWVHEPHLDVIQKFFRLLAVCHTVIPEVDEETSKISYEAESPDEAAFVVAAKEIGFELVKRTQTSVSVHELDPVSGKKVERLYTVLNVLEFNSARKRMSVIVKDEEGKILLLCKGADSVMFERLAKSGREFEEITREHVNEYADAGLRTLILAYREITKDEYQVFNEQFLQAKNSVSADRDALIDEATKKIEKELILLGATAVEDKLQQGVPECIDKLAQAGIKIWVLTGDKMETAINIGYACSLLRQGMKQIIINLETPDIIATEKGGDKDAIAKTSKESVVRQIIEGKALLTDSKAKAFALIIDGKSLTYALADDTKRLLLDLAIGCASVICCRSSPKQKALVTRLVKFGTGKTTLAIGDGANDVGMLQEADIGIGISGVEGMQAVMSSDVAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNVAFGFTLFLYETYASFSAQLAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARYCLKFPILYQEGIQNVLFSWRRIIGWMLNGVCSAAIIFFICITTLDPQAFDKNGKTGDYSIVGATMYTCVVWVVNCQMALAVSYFTLIQHIFIWGGIALWYIFLVIYGAIPTTLSTNAYQVFVEALVPSALYWLVTLLVVVSALAPYFTYEAIQFRFFPMYHGMIQWIRYEGNSNDPEFCNDVRQRSIRLTTVGFTARLIARSNSSLKRHEWYSRMIFD >Solyc03g007730.1.1 pep chromosome:SL3.0:3:2259424:2263041:1 gene:Solyc03g007730.1 transcript:Solyc03g007730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRCILSVIPTMQTQKIHTLSIKNQIQPETTISSYKPNIWKYDDLLSLTTQYSKRKYQIEAEKLKEEVISCTFSKSDTPVVLLELVDEIDKFGLTDYFDVETKAALEKTITYMKCSNTKDNKDIYATALCFRLLRQHGYYASQDMLKELFDRKKMSDTKTLLELLEGSYLGMDGENLLNDIRLLTTNNLMNTSFNKENLSNYFPLAWRVRWYDVRGHITMFTQQGNNNNNTNQVLLNLAKLNFNIIQATHLKDLKDVIRWWRDLDIVEDLFFTRDRIVECFYFAGGIGSKPQQGSIRKWVTKVFQLVLIIDDVFDIYGSLADAQQFTHAINKWDPNEVKCLPECIQICFRALYDTVEEIYAEIDDQQKGCQHSAFPSLKQGWLNFCKAMLLEAKWYNEGHIPTLEEYLNNGWISSTVPLLSDYVIYGLTNNKITNESLDSSNNFQEIIYHTSVIFRLCNDQGTSAAELERGDVASSIICYMQQENVSEDVAREHIESIILDSWKKINYHFNTLSMSHREIAKHVINIARMGHVMYQFGDGFGVQDGKTRDQILINLMEPIT >Solyc11g006145.1.1 pep chromosome:SL3.0:11:909187:910307:-1 gene:Solyc11g006145.1 transcript:Solyc11g006145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGKTDKDFVSEGDEQCIEAIDALNFGFALHISGMRKQALTRRLCLHKGSQSDTSISTIHFGGRRHNFKDVMDGLEFHHVQKACPEWSLEY >Solyc10g005660.3.1 pep chromosome:SL3.0:10:529451:534514:1 gene:Solyc10g005660.3 transcript:Solyc10g005660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSGLTFKLHPLVLLNISDHYTRVKSQATGADAGAGSSATADSPPAPPRVFGCVIGVQRGRTVEIFNSFELLYDPSTHSLDRAFLEKKQELYKKVFPNFYILGWYSTGSDAQESDMNIHRALMDINESPLYVLLNPSINHAQKDLPISIYESELHIIDGIPQLIFVQASYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGTHSAIKMLNSRIRVLHHYLLAMQKGEIPCENSLLRQVSSLLRRLPTIESEKFRDDFLMEYNDTLLVSYLAMFTNCSSTMNELVDKFNTAYERHSRRGGRTSFI >Solyc07g066560.1.1.1 pep chromosome:SL3.0:7:68084072:68084452:1 gene:Solyc07g066560.1 transcript:Solyc07g066560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIRRLSRVADSSSNYSLLRSESSRPRRLQSFRTGKLRSGTGVPEGHLPVYVGDEMERFIVSADLLNHPIFVELLNKSAQEYGYEQRGVLRIPCHVLIFERVLEALRIGDDLQDLLTALSDDLL >Solyc12g099220.1.1 pep chromosome:SL3.0:12:67419278:67421279:-1 gene:Solyc12g099220.1 transcript:Solyc12g099220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDASGTSEFFLVKESLSSSSGTIRRFYTGFCFWRQHVCCCLASKLIQTNMEKSIIWPFYEPEEKTNSMQEQNEQYSVKLDDWDFDVVDKTTTILSIKEATDDNKRANNSLISSLNILKDFGNGFRKLKGQKIDVAQISENECTSSVCKLSAEEILRFGGQKFMQRIEFDHTFVDSLCSLSEEESRSVMLVERLLASAEKVGEKVYDIAIDLLNECDKLSYKTGHPVERLVYYFSRALRERVDIETGKNCTKGLGIRRMRDLQETLRSINACTIAVQDMPMCEVVKFAGIQAILENVESSKKIHIVDLEIKMGVQWTILMQALATQCSNLEYLKVTALIDVQSRTNVEETGKRLMSFAKSLHFPLCFKIVMVEDIFDLKREDLDIDPEESLAVYSQFLLSNMITHQDRLDFLMGFIKGLNPRIMVVAEVEANLNSPVFVNRFIEALFYHGAYFDLFEDCMRNNESTRNAMEEEVMWHGIRNIIANEGEERTMRHVTIELWREYFKRFGMVEMKMSDSSLYQAKTVVEKFTGKNSFTLEMNENFVTIGWKGTPLNSLSAWKFHKRSTFHIANYLTL >Solyc02g085730.3.1 pep chromosome:SL3.0:2:49169184:49170468:1 gene:Solyc02g085730.3 transcript:Solyc02g085730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Allene oxide cyclase [Source:UniProtKB/TrEMBL;Acc:Q9LEG5] MATVSSASAALRTISSSSSKLSSAFQTKKIQSFKLPNPLISQNHKLTTTSTTASRSFSCKSQSTSTDSTNTEVQELSVYEINERDRGSPAYLRLSQKTVNSLGDLVPFSNKLYTADLKKRIGITAGLCILIKHEEEKKGDRYEAVYSFYFGDYGHIAVQGAYLTYEETYLAVTGGSGIFAGVSGQVKLQQLIFPFKLFYTFYLKGIPGLPSELLCTAVPPSPTVEPTPEAKACEEGAALKNYTN >Solyc04g054830.3.1 pep chromosome:SL3.0:4:53238754:53241288:1 gene:Solyc04g054830.3 transcript:Solyc04g054830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLISYLLSARHNSARIEGLNLSNFDQSTQPATMIKNIRVFAGTWNVGGKTPNHGLNLEDFLQVEGSADIYVLGFQEIVPLNAGNVLVSEDSEPAARWLALISHALNKSSYDNDDSSSSKCNSLFNKHSLKVVKKSLKTNSNLLKTCNCPSTTRRLRKLSDPSCSLLTHFREDDLQSIAQKFPTTNYGLSYHLISSKQMVGIFLSVWARKELAQHVGHLRISSLGRGIMGCLGNKGCIAISMSLYRTSFCFVCSHLASGEKEGDELRRNADVAEILKSIQFPRICRNPDRGIAEKITDHQRAIWLGDLNYRVSLSYEETRLLLEDNDWDSLLEKDQLNVEREAGRVFSGWKEGKIFFAPTYKYSHNSDSYAGETTKCDRILWRGEGIEQLSYIRGESRFSDHRPVCSVFAVDVEVEAELVKKNNSKFRKGFSCIANRMDQYDDFIPQRHSFYDY >Solyc12g035770.1.1 pep chromosome:SL3.0:12:44482507:44482990:1 gene:Solyc12g035770.1 transcript:Solyc12g035770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHLFPEEIEELLRNPTRSARSFFFDRWSKLHLGSMNPTEWSSRDQKLLKKQQHLSFVPSRRSEKKEMVDIFKIIMYLKDTVSIHPIPGCDMVQRMNQIWKGRIHYYDFASEERFQEMADLFTLSITDPDLFYHK >Solyc08g081090.1.1.1 pep chromosome:SL3.0:8:64321540:64323090:1 gene:Solyc08g081090.1 transcript:Solyc08g081090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTTPLLSNHQDQEFPYLNDHFSSLEQTIEPFFDTCNGKIAWPQVLQVILVSLACFFEAQQTFITIFTDAIPSWHCVSTNTSCNSMSNVCQLSLTEWDWDKPIYTSIVSEWSLHCFTSSILQGLPASSFFMGCLLGGLVLGVLGDSIGRKTMLFFGCLIMSIASIFIAFCNNVWMYSALRFVSGYGRAAIGSSVLVLCSESVGKRYQGKVGTIGFFMSTFGFVSLPCLAYFSKDYSWRVLYLSTSLPAIVYCLLIQFCVYESPRWLLSKGKVIEAYAVLNTSHFKNERSNLDHNKLSFTTMRGSDPPLIKILLRKKSILGQLLLAVVAGFGIGLMYYGMPLGLGNGNFSLNLYLSTGLNALLELPSFLIVFFLAEKCKRRSTLVGLSVVSGVCGMLCMIVSERKVLQMVLEFTSFFSACTAFDLLLIYTSELFHTSIRNAAVSIVWQAVVLGGVVSPVLVDAGGDSNNKILAYLVLGIITAIAGCLVVFLPETKGLEICKKIEELEQKGCINDV >Solyc07g052855.1.1 pep chromosome:SL3.0:7:61423924:61425614:1 gene:Solyc07g052855.1 transcript:Solyc07g052855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTTNEELKLRLFPGDISQLGPAERFCKSMVEALLLMCSLQEEVSSIKESFTTLEFFSVDSLLGGLPTIHFFNYFFSSEDILQRMNVHLDTPFKYLDIPTGLASSEGGLRLMRSSEIVLENWRWSWLEKLECCYGLLIFRIRRKIRRGLGKRNICRDQG >Solyc03g120570.3.1 pep chromosome:SL3.0:3:70428713:70436340:1 gene:Solyc03g120570.3 transcript:Solyc03g120570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYVPLSQMEDSEKQNSSIKSRKKGGLITIPFIIANEALESVASYGLLPNMTFYLMRDYRMDITTTQNLLFFWSAATNFLPIVGAVVADSYLGRFLTIGLGSIFSFMGTVVLWLTAMIPKARPPPCNQSGQACKSTTTSQYMLLVFSFLLMSIGAGGIRSSSLAFGANQLDKGDNNPNKYKMMESFFTWYYTLCVASVLIALTGIVYLQDRLGWKVGFGVPAILMFLSALSFYLASPFYIKPKVRSNVFASFIQVIVVACKNRKLHYPNQNSDYHHKNGSGPQVPTEKLRFLNKACIIKRPEDVKPNGVAANPWNLCTVEQVEELKALIRVLPLWSTGIMISINMSQSSFPLLQAQSMDRHLTKGFEIPAGSFGMFMMIALTIWVFLYDRVMLPLASKIKGRPVRLKPIVRMGIGIFVSCMSMVVSGIIEHVRRRKAIYQGLLSNSQGLVEMSALWLIIPSCLNGIAEAFSSIGSTEFYYSELPRSMSSIASALLGLGMAVASLLASVILSAVDKYTKGEGKESWVSSNINKGHYEYYYWFLALLTAFNLIYFVACCWQYGTSVEVDITIKMMELKRQTYSHSSLIPIKSRNKGGLMTMPFIIANEALENVASYGLLPNMTFYLMREYRMDITATQNLLFFWSAATNFLPIVGAVVADSYLGRFLTIGLGSIFSFMGTAVLWLTAMIPRARPPPCNQSGQACKSTTTSQYMLLVFSFLLMSIGAGGIRPCSLAFGANQFDKGDNNSNKRTVLESFFAWYYTSSIVSVLIALTGIVYLQDRFGWKIGFGVPAILMFLSVLFFFLASPFYIKPKVRSNVFASFIQVLVVACKNRKLRYPNQNSDYHHKSGSGPQAPSDKLRFLNKACIIKSPEDVKLNGGAANPWNLCTVEQVEELKSLVRVMPLWSTGIMISINLSQSSFPLLQAQSMNRHLNKGFQIPAGSFGMFMMIALTIWVFFYDRMMLPLASKIRGRPVRLKPIVRMGIGIFISCMSMVVSGIVEHVRRRKAINQGLLNNSQGLVEMSALWLIIPNSLNGIAEAFSAIGSTEFYYSELPKSMSSIASALLGLGMAVASLLASVILSAVDKYTKGEGTESWVSSNINKGHYEYYYWLLAVLTAFNLLYFVACCRQYGPSADVDITKRMMGLSDDDDHLP >Solyc06g072403.1.1 pep chromosome:SL3.0:6:44805961:44806732:-1 gene:Solyc06g072403.1 transcript:Solyc06g072403.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTGSSYAGQLDISHFLRGTGRARFQEIVPLNAGSVIVPENTTICMQWNSLISTALNKLDVNNITLQEAEEEESVKVYPLKKGSSLKFNVENSTQFECIISKQMVGIFITVWARSPLLPYISHTSVSCVGCGIFGYLGNKVQS >Solyc01g057660.1.1.1 pep chromosome:SL3.0:1:63389452:63389691:1 gene:Solyc01g057660.1 transcript:Solyc01g057660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERDDVPEGSFELDPTSLPIAQVDVSSSSVDQSVIFNFMYSINFAENWVAPIPNQVSDFYRFATKRSPDKFQIGQY >Solyc05g032640.1.1.1 pep chromosome:SL3.0:5:46503153:46503368:-1 gene:Solyc05g032640.1 transcript:Solyc05g032640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGCRSSSEFSMEKRRKGDRGRKKRGGGKSERSMEEEKRKKRGRARSRRVSCSPVISLEEEKRKAGRGEKR >Solyc02g090890.3.1 pep chromosome:SL3.0:2:53006909:53012686:-1 gene:Solyc02g090890.3 transcript:Solyc02g090890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTVFYTSVHPSTSVLSRKQLPLLISKDFSAELYHSLPCRSLENGHINKVKGVKVKATIAEAPVTPTEKTDSGANGDLKVPQKKLKVLVAGGGIGGLVFALAAKKRGFDVLVFERDLSAIRGEGQYRGPIQIQSNALAALEAIDLDVAEDIMNAGCITGQRINGLVDGISGNWYCKFDTFTPAVERGLPVTRVISRMTLQQILARAVGEEIIMNESNVVDFEDDGEKVTVVLENGQRFTGDLLVGADGIRSKVRTNLFGPSEATYSGYTCYTGIADFVPADIDTVGYDILTYRIVFSLFMSLAGPLMLMLCCHSKDVGGGKMQWYAFYNEPAGGADAPNGKKERLLKIFGGWCDNVIDLLVATDEDAILRRDIYDRPPTFSWGRGRVTLLGDSVHAMQPNLGQGGCMAIEDSYQLALELEKACSRSAESGSPVDIISSLRSYESARKLRVGVIHGLARMAAIMASTYKAYLGVGLGPLSFLTQYRIPHPGRVGGRVFIDLGMPLMLSWVLGGNGDKLEGRIKHCRLSEKANDQLRKWFEDDDALERATDAEWLLLPAGNGSSGLEAIVLSRDEDVPCTVGSISHTNIPGKSIVLPLPQVSEMHARISCKDGAFFVTDLRSEHGTWVTDNEGRRYRTSPNFPTRFHPSDVIEFGSDKAAFRVKAMKFPLKTSERKEEREAVEAA >Solyc01g057705.1.1 pep chromosome:SL3.0:1:63483353:63486555:-1 gene:Solyc01g057705.1 transcript:Solyc01g057705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDEETSMQKNNKSRWDLSIKQLVKTTRELSTDSELDANRNTVLNDTKASSVTNSSSVVASNHLDNSQENMANQLHLQEIAKRSKAQYKIVLQEVLRFYSIPENMFGRVCIIIDKLDNCFFGTKCEMVMDYIG >Solyc09g075000.3.1 pep chromosome:SL3.0:9:67211433:67215120:-1 gene:Solyc09g075000.3 transcript:Solyc09g075000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAEEMEIEGQSQLLPICNDSKRFGIKNSIQTNFADDYVFQIVPKNDWTSMAVSLSTNAIKLYSLATAQYIGECNGHSSTINQISFSDPSSPHVLHSCSSDGTLRAWDTRSFQQVFSLNAGPSQEIFSFSFGGPNHNLLAAGCNSQILFWDWRTKRQVACLEESHTEDVTQVHFIPGYDDRLISGSVDGLMCLFDTGGDINDDDQMVSVLNVGTSIGKVGFLGEKNQKLWCLTHIETLSVWDWKEEKTEASFEDARSLASKSWMADNVDYFIDCHYSVEEDRLWVIGGTNGGSLGYFPVTYKETRAIGPPEAILCGGHEGIIRSVLSGVPGQTMDNHGIFGWTGGEDGRLCCWLSDGSSTSQSWISTLALKSDKTRRKGRHSPY >Solyc04g078510.3.1 pep chromosome:SL3.0:4:63317272:63321197:1 gene:Solyc04g078510.3 transcript:Solyc04g078510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGFYIITYALGIYIIQLLIAFLSPQVDPEVDGPTLPTRGSDEFRPFVRRLPEFKFWYSLSKAVCIAFVLTFFSAFDVPVFWPILLFYWLVLFVSTMKRQIMHMVKYKYVPFTFGKQRYGKKAPSTDERSVSKP >Solyc01g008610.3.1 pep chromosome:SL3.0:1:2628388:2631211:-1 gene:Solyc01g008610.3 transcript:Solyc01g008610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCYLFTKFGLVAIIFVLIGLLMCNVQMTGAYSNIGVCYGKIANNLPSDQDVINLYKANGIRKMRIYYPDTNVFNALKGSNIEIILDVPNQDLEALTFPSNANNWVQDNIKNHFPDVKFKYISIGNEVSNGQISKFVLPVMKNIYNELSAAGLQDKIKVSTATYSGLLANTYPPKDSIFREEFKGFINPIIEFLARNNLPILANIYPYFGHIYNMVDISLSYALFNQQDENSIGYQNLFDALLDSIYFALEKAGGPNVEIVVSETGWPSKGHPSATLENAQIYYRNLVNHVKSGVGTPKKPGRIIETYLFAMFDENQKQGEVTENHFGLFYPNQTAKYDLKFMYSDN >Solyc12g016000.1.1.1 pep chromosome:SL3.0:12:6069548:6069715:-1 gene:Solyc12g016000.1 transcript:Solyc12g016000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQFQHEVAMLANLKHPNIIRFVGACRKANVSCIVTEYTRGGSVCQFLQTKLCH >Solyc02g094630.3.1 pep chromosome:SL3.0:2:55852147:55856606:-1 gene:Solyc02g094630.3 transcript:Solyc02g094630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQTKVAQAVHVLNHDSQSCNRVAANQWLVQFQQTDVAWEVATSILTSNYHQQFACDFEVEFFAAQILKRKIQNEGSYLQMGAKDALLNALLLAAKRFSLGPPLLLTQICLALSALMLHAVEHGKPIEKLFCSLQSLENHDEGNIAVLEMLTVLPEVVEDENTEYRASSAQRREYGRELLSHTSVVLEFLHRQSDMSFNSSIQLQGRHRKILRCLLSWVRAGCFSEIPPNSLAGHPLLSFVFNSLQVSSSFDLAIEVLTELVSRHEV >Solyc02g036430.2.1 pep chromosome:SL3.0:2:31239985:31247524:1 gene:Solyc02g036430.2 transcript:Solyc02g036430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINGRKIELEVIPEVDLYKCEPWDLPDKSLLPSKDMEWYFFSPRDRKYPNGTRTNRATKAGYWKATGKDRKVNSQMRPIGMKKTLVYYRGRAPHGTRTGWVMHEYRLEERECEVANGLQDAYALCRVFKKSLNVPKIGDHYGSDRSGCSINDQLYTEGRGEDCDYGMMTTTTSSSSRLLHGSPLMNHAAPTPTNDDNKWMQYLSDEANYTFNNNPSFATNGHFPYNHPSKVDIALECARLQHRFALPPLEVQDFPQVGYVMSQSNDVMYHQSTTSQTDIVQEILSVAQASHDLTNQEYSWDGNYNNINYGDDFSFLPHSHNNNHDLGNSFNFMDQLKEDDDQNNSIPIADFDEDFKSETMVERLRWVGMSQKDDKAFLEDYKTVPIENISGPHKEEQDQLQGEASGQQNNMNETEANNFSLGFGNDDNFLDDEETDGFSSSPSFEVYEKIEVNQGMFIATRQVANTFYHQVAPSKTVTIHRDMVTMHDFPIRKITKSATFLDTFMAFSRKTLSRVVMRVNTLVELIAPLHTFWTILENA >Solyc12g010015.1.1 pep chromosome:SL3.0:12:3142379:3144274:1 gene:Solyc12g010015.1 transcript:Solyc12g010015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCLKLSLYPFSEIQYVVHITTKTRKAKSSQEESLAPYMTRANPTLKTYVAAKLYRKVVGFVTRALLLYMCLIVQNVRLWFEVPRSGWAAKKKFVRLDDFDCGLTSASTP >Solyc05g054820.1.1.1 pep chromosome:SL3.0:5:65485396:65487333:1 gene:Solyc05g054820.1 transcript:Solyc05g054820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4C2F9] MAILDMSKREPSSPSTPQRAKKSLFSFAKTTSSLSSFSSHIPPHEPSLTFSRSIMEEHIDNASTIIKKWDHKESSHEKFTSLFQEDRKEAKAYIQCVKDLKKAMHFFVTQHSTCNKLVLAQNLMQKAMKRLENEFYQILSTKKQHLDPESISKSSRSSHTSRSTSVDSEESTDLGNGSSTIQQNGAGSNSEAEHISFLTMCDLKMIADCMIGCGYAKECWKIYRIIRKSVVDEGLYRLGIESRSSAYVNAMRPNDLEHQVKHWIDSTKMAVKTLFYGERLLCDHVFSNSKTINETCFSDVAKEGGIVLLKLPEIVAKTKKSDEKIFVLIDLHEAIAELLPQIESIFSFDSISSVKLQAISLLNKLKISVLNILSDFELSIQRNSSKTTVSGGGVHPLTISVSDYLASLASYSGVLSDIISESTSTKFSETYFESQTSEISSVSGKMAWIVLVLLCKLDSKAELYNDIALSYLFLANNIQFIIERVRGSNLSIILGEEWILNLERKVKLYTTKYENVAWNKVFMCLPQSLDPAISSDTIKEYFKRFNESFEATYQRQKSWVVPDGTLRDEIKVSIARKLVPFYRDFYEYYMVVLSGEKDLEVLVKFSPDNIGNCLSDLFHENVRSRSLILSSSTSLPNSCVWQCIS >Solyc06g075140.3.1 pep chromosome:SL3.0:6:46767856:46771378:1 gene:Solyc06g075140.3 transcript:Solyc06g075140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQNYMDGISMGHIENEDFESILNGLDFSIQNLEADRLDEDWDATVYGELLGPIPSETLMSLPPLELTNVDNVFPEAQGNVIFQTGSPISVLENTRSCSGGRSAISFNFGSKGRRSKRARSSTLNPWLKMAPMPCTTSAAKKNSDSKIGKVNKRKLSSAMASPLFKRCTHCEVTKTPQWREGPLGPKTLCNACGVRYRSGRLLPEYRPAASPTFIPSLHSNSHKKVVEMRRKTVESSPEFDSQNFVPLGSYLLDEYF >Solyc11g005440.2.1 pep chromosome:SL3.0:11:348675:354843:1 gene:Solyc11g005440.2 transcript:Solyc11g005440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLFPRPIFTISLFSNTGTTTTIANPRTCFQIAHFSTNFQNQGQSSYPSRRHEEESRNVKVSVWWDFENCSPPAGVNVFKIAQSITAAIRANGIKGPINITAFGDVLQLSRMNQEALSSTGISLAHVPNGGKNSADRSLLVDLMYWVSQNPPPAHLLLISGDRDFAGILHRLRMNNYNILLASPENTPSVLYSAASIMWQWNALLKGENLVGKHFNQPPDGPYGSWYGHYKAPLDDPFAVTKQTTNLRSEEVSETVSEQKCRPIPKSIVRHIRNILNSYPKGVNITELRAELARSNLNIDKDLYGYKKFSRFLLAMPNISKLQFVSDGKYLVRTTNPKVPEQGDNSSISVEPEPNGEAEFAVNPILNGETGSCMEGKNVPQSPDRKVKTSLRKLQGPQRAQEACTEVHQPPPENVVVEASEGRLQAAEQHGSAPETGFFKRLWNRWFGNKETVSGEMILNVKSKTALKDVELKSHSQHSEGSPSFASGNKTSSKDLSSMHSEDTTDKHNQQSNLLNNIKSWCRSWRSSNLLKETGLESDEEFKKTELCPEAEELFSKESFWRDLGSFLISSHGSVLILQSRTRAKMAQNLQQEGPSFLNSLSEGDALRLVDLLISDKKWVDECLSRTFPYKLIQPAVKASINSNSSNSNGLSSVFRNTRESNLKSSQKLDGEKIHQNPPHTGVSRPIIQGSCSGKSRNEVLTDCQELVDDIVKQYPEGFNMNSFRSLFREKYGYLLDVNKLGYTKLSNLLQIMPGIKIESTYIIPSAKVLKSPDLKTDDPSDQESDLSVTGTNLDSESSSLPGKDNEFDSRWEELGPVSKAGPSNNRIKLGSDGEAKDESSELTHGNYEAPLDRDLSDSDEDTSSSTKLDTGKSKMRDEDSSLLQILDSWYGRKDVDGTLESSIDGSKLDTSISVDQMENSPSGRKQKTYSFVTDQPVDTKDKLIDGILGSLKKSGEKSPETRV >Solyc02g088620.3.1 pep chromosome:SL3.0:2:51289258:51292469:-1 gene:Solyc02g088620.3 transcript:Solyc02g088620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:K4BC17] MSAAQDQLEIKFRLIDGTDIGPKSFSAATSVATLKENILAQWPREKDNGPRTVKDVKLISAGRILENNRTVAECRSPLCDIPGGVTTMHVVVQPPAQEKEKKASDDMKQNKCLCVIL >Solyc08g006050.2.1 pep chromosome:SL3.0:8:802094:823852:1 gene:Solyc08g006050.2 transcript:Solyc08g006050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRILCKFNFRETQNSFKKMSLTASTIMSVTTLPIVRAGDQCQKQFKPIVLHPVGMQLQLNDNRKSLRCSVQERHASIICAAALNARCAEGQTQTVTRESSTITVAPVQGKEKSPDLDDGGTGFPPRDDDGGGGGGGHWKGGFFFFGFLAFLGLLKDQEEEGPYRDQRRR >Solyc11g007810.2.1 pep chromosome:SL3.0:11:2039152:2041648:1 gene:Solyc11g007810.2 transcript:Solyc11g007810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILEANAGALTNFEVLDFLRSRGAGRDPTRVIVPIAPSEFKVYDYLEQTAACNQTRQVIGELMGKCKCIKLEKCECIKPKQCECIKLEGDEIVNIINIRPSSLVELYPILRKYDALLGEAAETVEELVENVVQLLPPSPTQMQSEEGTATDDKEAPDGETMEVAPEV >Solyc02g064660.1.1.1 pep chromosome:SL3.0:2:36317596:36317910:-1 gene:Solyc02g064660.1 transcript:Solyc02g064660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGEEENINGGLEKLGFKVGFFSFQRPICWAYFWALVLHMSGKIYYFSISNWLFYFVVFFLIRFRNNLSPFTKSWSFPLEKISCPDSLRNFPNDTKDWVETS >Solyc02g014660.1.1.1 pep chromosome:SL3.0:2:18053841:18054086:-1 gene:Solyc02g014660.1 transcript:Solyc02g014660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGALSVPPMILKLEAQFLEHHTVSRMQYQDALSTPQWLTEIESRLLERATPAPRSIKFDALNAPTWLRNLEERCPECEN >Solyc12g005300.2.1 pep chromosome:SL3.0:12:184238:187167:1 gene:Solyc12g005300.2 transcript:Solyc12g005300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVRDDGIALDFEVGDEVVKIINVKSSSLPCPLLVFSPTTEGSYPILLFFHGFRLQPDSYKSLLLHISSHQYIAVAPKFSLMTSRCEVKTAKKVAEWLSAKKLNSVLPEKVFPDIQKVAVSGHSRGGKTAFALALAYGSGDSKGESIVTSGRATRKQKQPPLKISALLGIDPVAKGSSCFCSSNILQYIPYSFNHSVPVAVIGTGLSNRRAYGVCPPDAPNGVNHAEFFNESKPPCYYFLAKNYGHTDMLDEGEKIMGIMKKKGKKETKHDLRRTIGGLFVAFFKAYLEGQADDLINIIESPGIRCPIKLDPVISIEEYTISHSSSQRCICGGFEKRNK >Solyc04g081390.3.1 pep chromosome:SL3.0:4:65474951:65477054:-1 gene:Solyc04g081390.3 transcript:Solyc04g081390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEGVTTMMGKAEIDTSAPFRSVKEAVMLFGERVLAGELYSANKLKQKQDGSSHEDESDDSFNDELIETKQRLERAREERLVMATCLSSLEEELERTRNELNNLKFEQQKVMVSEIEDLKYIEKSQIMSHDQNNIEFHKKRYVTFANPSQGDDHDHVVLLQNHPSINKKKKKKISLIIPLIGGIFSRKRGSNSDNNIQSARV >Solyc06g036200.2.1 pep chromosome:SL3.0:6:25776248:25777856:-1 gene:Solyc06g036200.2 transcript:Solyc06g036200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILLEEFLLAIFLMWFYVYCFVFSQLILDFQRNWQLLVLHYHTISEIVKLVEDIVVDYVTNMAHKAQDIATKRGKLLTEDFLFLIRKDSVKLNLCRELLTMHEDLKEAQKAFEFDQEELAHMSEGEV >Solyc01g066160.1.1.1 pep chromosome:SL3.0:1:73033844:73034131:-1 gene:Solyc01g066160.1 transcript:Solyc01g066160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQRDLVTIGSEGFALIDEYFGKKRMNRPPTTVAHNLVAGTATTFHVTQQRYNYHYASSKTQVYRVIPLSGTEDMITTPKPPVAYIFQIVLTHA >Solyc12g036640.1.1 pep chromosome:SL3.0:12:47274844:47277210:-1 gene:Solyc12g036640.1 transcript:Solyc12g036640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTSPVGGGAKSLSGSSYYIPILLSIFTGDGIEDLNAICGGEDIPAATIGVDGTSSVRLRALAGITSASYLAVGGETTVRNVHVEAPFSSAGIPSRERQCEFLRLLSLSGTNWTTAVREGSLSLVHQKPSSTKATRSVLVSPKRGKEGHERHIEDSRTKHYSDPDKFDLHWPCCLHIDYSPLKVKPI >Solyc01g108930.3.1 pep chromosome:SL3.0:1:95977371:95978507:1 gene:Solyc01g108930.3 transcript:Solyc01g108930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENSLLKIDKRAIFYKVFCPSITNELRIPAHFLRHVSEESPDKATLKCLSGGTWNVKLQCDEDGLLIQKGWKKFQKNNQLENGEYLVFRYDGALQFTIRIFSKNGLERQVKSTNMVENQQASIDGGSKRKRPEKFPKKTCANGENETEDVCCVSLEHETRRKRAALVTEEDLLTPNIPQFVKCLKGYNVKKSCFLYVPRWFSEQLSTSGNKRAAVLRNSEGKGWKVNCITQNGYHAFCGGWKQFVSDNNLKEGQVCVFQLVNANELKVSVFNDPSESLLKLAAQR >Solyc12g098480.1.1.1 pep chromosome:SL3.0:12:66959727:66959918:-1 gene:Solyc12g098480.1 transcript:Solyc12g098480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPIDTSKEMKLERYNSYIRRLNSTKLIVASSKLLFRVTLLVALILIFFFIISQPQICQVD >Solyc09g074620.3.1 pep chromosome:SL3.0:9:66864927:66868205:-1 gene:Solyc09g074620.3 transcript:Solyc09g074620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSLSKLRNVLPFSRLFRQLELDMETVVKVLQPGPLGIVEHKFSAEEIQKASDTVKHAVSNWRRQANIEKHSPIMKDFIDV >Solyc08g008160.3.1 pep chromosome:SL3.0:8:2622311:2631607:-1 gene:Solyc08g008160.3 transcript:Solyc08g008160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTTVESSGCPPLRALTFDILGLIKVVEAKGEQKEAPKVVEKWGEPDASRCVLAASLVDREFDPLLGVARKSGKIEVLSPVNGDVRASISIESQNHPGSTDNSIVGLHLFKGERLGSSSRSCNLLTCTSKGQANMTYVKMSESLEDSGGESTQTTWNVCGSGSILFCKVDADESYALFGGKGVEVNIWDLSQCAKVWSAKSPAKNSLGIFTPTWFTSATFLCKDDHRKFVAGTNSHQVRLYDISAQRRPVVSFDFRETPIKAVAEDEDGHTIYIGNGSGDLASFDIRTGKLLGSFLGKCSGSIRSIVKHPELPVIASCEAQVPPQQHDTDETLQTEEEEEKTVKRKKASKEHSGSKKLKTKKKSKRSKGDSNDIA >Solyc01g068110.2.1.1 pep chromosome:SL3.0:1:77044113:77045101:-1 gene:Solyc01g068110.2 transcript:Solyc01g068110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVSLYAAVKYCSPVSRDPKCNSLLTLWTSSVAECNSLSYESLAVHTDCSTIHGGDFIHYILVTGNDGFPCSITIHEDVWLVFLNKDLFNVSTSLDVDNVSALMVCWVSLDSVYNTLEFSASILGNHSIRS >Solyc07g055180.3.1 pep chromosome:SL3.0:7:63425160:63429052:1 gene:Solyc07g055180.3 transcript:Solyc07g055180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENDEYHKKERAIAVTILVFASLAIASLFVAFSYYCYIRNKVAKRLKNRTYTESACEDKGNSFSNLEVIAEKGLQVFTFKQLHSATGGFGKSNVIGNGAFGSVYRGVLQDGRKVAIKLMDQAGKQGEEEFKVEVELLCRLRSPYLLSLIGYCSESSHKLLVYEFMANGGLQEHLYPIKGSNNFCPKLDWKTRLRIALEAAKGLEYLHEHVNPPVIHRDLKSSNILLDKNFHAKVSDFGLAKLGSDKAGGHVSTRVLGTQGYVAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDMKRSPGEGVLVSWALPRLTDREKVVEIMDPALEGQYSMKEVVQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKQPRPTVKPGSSSSFHATQSPSPHATQSPKA >Solyc01g090010.2.1 pep chromosome:SL3.0:1:83591531:83593768:-1 gene:Solyc01g090010.2 transcript:Solyc01g090010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLVNAVNLYFNRNWTRKDMMSSAPITQHAHTSLQKVYLALLCAMSAAACGSYLHFIGEVGGLFTVLSSEASLLWLYHTPPWRVRKRVVLLMYTAFCVGASVGPFTKYFFEIDQSAVVRFLQGAATVFGCFWVAAKEEWERSQIYTIGLFYSLMYLLFGISQWTLKACVLLPLFMVYLVVYSQEILYDARFGGIDFVNCTFTIFLHLPAIVVHAIRLCLVANIEQRRQN >Solyc06g053700.1.1.1 pep chromosome:SL3.0:6:36640527:36640874:1 gene:Solyc06g053700.1 transcript:Solyc06g053700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKPLISSSGDRRKPSRLQRRAPASIQVDRATDWNVAIPLLSPLITSPTSPESDNLKAAINAFSSSVQKEEVKKEHTEKPVMVFKKWQHPASPFCYEPAPLVPFVCAGSSDRR >Solyc11g012370.1.1.1 pep chromosome:SL3.0:11:5213494:5213898:-1 gene:Solyc11g012370.1 transcript:Solyc11g012370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKLTSLLFITLSTIAIASTFCLATNDDQRLLIQPNIWTELDPNDPRVVDIAKYAVKEATKRAKGVRVFKYVKVNQASVMHFDEYDNTYDLLVEATEMKSRVTQEYMAFPAETLDKITRKPIKRYLLSFNPTS >Solyc02g067030.3.1 pep chromosome:SL3.0:2:37829550:37841247:-1 gene:Solyc02g067030.3 transcript:Solyc02g067030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:Q9M726] MDGTAVQGTSSVDSFLRNYKLGKTLGIGSFGKVKIAEHTLTGHKVAVKILNRRKIRNMDMEEKVRREIKILRLFMHPHIIRLYEVIETPSDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSAGARDLIPRMLIVDPMKRMTIPEIRLHPWFQAHLPRYLAVPPPDTTQQAKKIDEEILQEVVKMGFDRNNLTESLRNRVQNEGTVAYYLLLDNRHRVSTGYLGAEFQESMEYGYNRINSNETAASPVGQRFPGIMDYQQAGARQFPIERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGQYNMKCRWVPSLPGHHEGMGVNSMHGNQFFGDDSSIIENDGATKLTNVVKFEVQLYKTREEKYLLDLQRLQGPQFLFLDLCAAFLAQLRVL >Solyc02g086580.3.1 pep chromosome:SL3.0:2:49868099:49870501:1 gene:Solyc02g086580.3 transcript:Solyc02g086580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYALKSVLDLPPVFRSTFSFRYFNSLQSECFPACFQSDVNMVISAPTGSGKTVLFELCILRLLSRFISGEGKFIHIKGSLKAIYIAPSKALIQEKLRNWNQKLGSWGINCLELTGDNENYKITDIQDADVILTTPEKFDAVTRYRINDGGLSFFGDIALVLIDEVHFLNDPRGAALEAIVSRIKMLSHKPELKSSALANVRFLAVSATIPNIDDLGKFQDISNKPTFHDNL >Solyc02g037510.3.1 pep chromosome:SL3.0:2:31450706:31467234:-1 gene:Solyc02g037510.3 transcript:Solyc02g037510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNGGDNSSAAFRCLVRRKRVDAATQTLATHQLARKLSLFDLVAIGVGATIGAGVYILVGTVAREHTGPSLTISFFIGGLAAALSAFCYAELACRCPSAGSAYHYSYVCIGEGVAWLIGWALILEYTLGGAAVARGVALNLASFFGGVDKLPSILARQTIFGIVVDPGAAILVVIITALLCTGIKESSLAQAIITTVNISALVFIILAGAYLGCKTGWPGYEVSSGYFSFGVNGLLAGSATVFFSYIGFDIVASTAEEVKNPQRDMPLGIGIAVSICVILYMLVSAVIVGLVPYYALDPDTPISSAFAGYGMEWAVYIITAGAVTALCASLIGAIIPQPRILMAMARDGLLPSFFSDISRHTQVPVKGTIATGIFIAILAFFMDVSQLAGMVSVGTLLSFTIVALSILILRYVPPDELPFLPSDQQLNVCGRHRDAFETDMAGRPLLQNVAQDKLRRRKLAAWSITMVCFGVIVVVAAISTEGLSSILCFILSGIGGMLVICCLTVLNSIDQAGTKESFTKPGGFMCPFVPFLPVASVLINTYLLLNIGAQTWIRVLIWLGVGVIIYIFYGRGHSLLFDDVRRPITHTDDDHADATLS >Solyc02g066830.3.1 pep chromosome:SL3.0:2:37547134:37557859:1 gene:Solyc02g066830.3 transcript:Solyc02g066830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQTVAQPSAQVVGNTFVEQYYQIQHHSPESVYRFYQDSSVLSRPDANGVMTSVTTMKNINTLICSLDYKNYKAEIRTADAQDSFKDAVVVLVTGCLTGRDKLKRKFAQTFFLAPQDKGYFVLNDVFRYVEDNEIDTVSEVLNGTEDVQSEVLTPDPEPTHVVDPPDLDQAGSPAEEVQHVEEKANDSSVDGRQVADEREIVVETGSYFNEDQHPTNTESVNSVAQEYAPKKSYASIVSSQTKKGPTKIYVPTNTSRVAPPKAVKQPVAVVAQNAAPESSNPTTTSGIDVPEANDAEDEAEGYSIYVRNLPLDVTVAQLEAEFKTYGPIKQGGVQVRSNRQQGFCFGFVEFEDMSSMNSAIHASPIIMGARQVVIEMKRTTTRVGSIRGRFSPRRGVFRNVNFRVRGNFGGGRSYGRSEFGGRDFSGRGRVQGGRGGESYQVRGRGGQRGGPSQSSATA >Solyc10g055715.1.1 pep chromosome:SL3.0:10:57185117:57187106:-1 gene:Solyc10g055715.1 transcript:Solyc10g055715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFCNCETQRSNASHASPTSTLLASTALSPPKQRIQPISSPKPLHSHPDCPLTLPEKEGHSSDLAVID >Solyc03g117150.3.1 pep chromosome:SL3.0:3:67867392:67875242:1 gene:Solyc03g117150.3 transcript:Solyc03g117150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:K4BLL7] MADQKETVSLDDIKKENVDLETIPVEDVFRILVSSKEGLGSQDATKRLQVFGQNKLEEKKENKVLKFFGFMWNPLSWVMEIAAIIAIVLANGQHRPPDWQDFLGIVILLVINSTVSFIEENNAGNAAAALMAGLAPKTKVIRDGSWKEMDAALLVPGDVISIKLGDIVPADARLLDGDPLKIDQSALTGESLPVTKYPGEGVYSGSTCKQGEIEAVVIATGISTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSILVGIIIEILVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSEQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLEVDKSLVEVFAKDMDQDTVILLGARASRVENQDAIDACIVGMLADAKEARAGIQEVHFLPFNPVDKRTAITYIDTNGNWHRVSKGAPEQIVDLCRLSEHVKRKVHSIIDKFAERGLRSLAVAQQTVPEKTKESPGSPWVFVGLLPLFDPPRHDSAETIRRALVLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGQHKDESIANLPVDELIEMADGFAGVFPEHKYEIVKKLQQRKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLIALIWKFDFSPFMVLIIAILNDGTIMTISKDKVKPSPMPDSWKLREIFATGIVLGTYLAVMTVIFFWLAHQSNFFSDRFGVRSIRDNVHKLNAALYLQVSIVSQALIFVTRSRSWSYVERPGLLLLAAFFVAQLVATIIAVYANWGFARIHGIGWRWAGVIWLYSIIFYIPLDFLKFAIRYILSGRAWNSMIDNKVAFTNKKDYGRGEREAQWALAQRTLHGLHPPDSSKMYDNKSYNELSEIAEHAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Solyc02g093620.1.1.1 pep chromosome:SL3.0:2:55053435:55055663:1 gene:Solyc02g093620.1 transcript:Solyc02g093620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLNVWSSRTNCLISEQDLASSSTSVFTWRRTESCVLAYSLSHNFTSDHVSIRNKPKFRNQDFCLRTESVPFRPQKKDSFGPSCALAQASGEKDIDCDIVKQNSLSFTSGEGGVEGFTCVQLEEKGDLTNNVEYDDVVSEEDEAGIVKGEKVDVRALAQSLHFVKTADEVDEVLKDKVELPLQVYSSMIRGFGKDKKLNSAMALVEWLRRRRGKDNIGSISLNVFIYNSLLGAIKEAGKYDFVDKVMDDMVSEGVQPNVVTYNTLMRTYIEQGRELEALKLFREMPKKGLTPSPASYSTALFAYRRLEDGFGAITFFVETRERYQNGEIGNIEEENWEDEFAKLENFIVRICYQVMRQWLVKGENANTNVLKLLTDMDRARLQLSRAEYERLVWACTREEHYVVAKELYNRIRERDTDISLSVCNHIIWLMGKAKKWWAALEIYEDLLDKGPQPNNMSYELIVSHFNILLSAARKRGIWRWGVRLLNKMEEKGLKPSSREWNAVLVACSKASETSAAVQIFRRMVEKGEKPTVISYGALLSALEKGKLYDEALQVWKHMIKVGIEPNLYAYTIMASIYTAQGKFNIVDSIIKEMVTTGVEPTVVTFNAIISGCARNGMESVAYEWFQRMKTQNITPNEVSYEVLIEALANDGKPRLAYELYVRALTEGLSLSTKAYDAVISSTQAYGASIDLSILGPRPPEKKKRVQIRKSLSEFCHIADVPRRSRPFDREEIFTAQTKGT >Solyc11g006880.2.1 pep chromosome:SL3.0:11:1417147:1422037:-1 gene:Solyc11g006880.2 transcript:Solyc11g006880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRSAVRGRPSGTDGSDFSYRMVVDSRYTKVAKAKSRLAKLIIVQVVTQLMIAANVFVSLSKNESPDRVSVVSLGIGFVSVVAGELGRKKSRSNFLKFYVFGSSMAILLSVAYLAMSKFQLEAFQNFTSLETLKIAAVLLGFLVQLFVIGTTISLIKNMAPPKRAS >Solyc08g061160.2.1.1 pep chromosome:SL3.0:8:47023757:47024083:-1 gene:Solyc08g061160.2 transcript:Solyc08g061160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDGECLIKLIKSRRNNVQVYRSVRMPQLHTSLHFDLTPILMINGSSCRDLLLNSQKNFCCSITVGAENPSLSQLCYWKLWGSWNWRALILGWAYYLDAFSCYPLRTW >Solyc11g020580.1.1 pep chromosome:SL3.0:11:11621946:11622146:-1 gene:Solyc11g020580.1 transcript:Solyc11g020580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDVKRQSKGNNLLRSKGNNLLRKEKNMAGGKEAA >Solyc02g031800.1.1.1 pep chromosome:SL3.0:2:27486772:27487050:-1 gene:Solyc02g031800.1 transcript:Solyc02g031800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLKFLDLSQNNFSNLIPSCFGSMTNLMALDLRSNNFTGSSPPLCAQSTSLRTIVLNGNQFERPVLMSLINCDGLEILDVGNNTIDDTFTA >Solyc03g120980.3.1 pep chromosome:SL3.0:3:70689278:70704520:1 gene:Solyc03g120980.3 transcript:Solyc03g120980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDVFNPMPSRRSTRGEEDEEALTWAALERLPTYDRLRKTVLKSFAESENQGNKKVVHKEVDVRNLGFNERQEFIDRFFRVAEEDNEKFLRKFRNRIDKVGITLPTVEVRYEHLTIEADCYIGDRALPSLPNAARNIAESALSCVGINLAEKTKLTILKDASGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVKGEITYNGHGLKEFVPQKSSAYISQNDVHVAEMTVKETLDFSARCQGLARRERDAGIFPEAEIDLFMKATAVEGLESSLITDYTLRILGLDVCRDTIVGDEMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTFQIVKCLQQIVHLTEATILMSLLQPAPETFDLFDDIILLSEGQIVYQGPREHVLEFFETCGFKCPERKGTADFLQEVTSKKDQEQYWVNKHKPYQYISVTEFAKRFKRFHVGLRIENELSVPYDKTRSHPAALIFKKYTVPTLELLKTNFDKEWLLIKRNSFVYIFKTVQIVIVALIASTVFLRTKMHHETEDDGGVYVGALIFGMVCNMFNGFSELSLIIQRLPVFYKHRDLLFHPPWTFTLPTVLLKVPISVFETIVWMVMTYYTIGFAPEASRFFKQSLLIFLIQQMAAGLFRLTAGVCRTMIIANTGGALTLLLVFLLGGFILPRGSIPDWWQWGFWVSPLSYGFNAFTVNEMFAPRWMNRAASDGITRLGVQVMRSFDVFAEKRWFWIGAAALLGFAILFNVLFTFVLMYLSPLNKPQAILSKEQARDMEADQEESRDPPRLRVNRSKRDDLPRSLSAADGNRTREMEIRRMSSRTGSTGLHRNEDANLEAANGVAAKKGMILPFTPLAMSFEDVSYFVDMPPEMRDQGVTEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDVRISGFPKNQETFARVSGYCEQTDIHSPQVTIHESLLFSAFLRLPKEVRKEDKMVFVDEVMDLVELDNLKDAIVGLPGVTGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGRHSQKIIDYFEAIPGVQKIKEKYNPATWMLEASSISSETRLGMDFAEYYRSSALHQRNKALVNDLSTPPPGAKDLYFTTQYSQPTWGQFKSCFWKQWWTYWRSPDYNLVRFFFSLAAALMIGTIFWNIGSKIVTSGDLMIVIGAMYAAVLFVGINNCSTVQPIVAVERTVFYRERAAGMYSALPYAMAQVIAEIPYVLIQTTYYTLIVYAMIGFEWTAAKFFWFYFVTFFSFLYWTYYGMMTVSITPNHQVAAIFAAAFYALFNLFSGFFIPRPRIPKWWIWYYWICPVAWTVYGCIVSQYGDVEATIKVPNMARDPMIKDYIKDHFGYNPDFMGPVAVVLNNSLSGVSRCLTQSRKRVSFPMVVVSVNPNGRSADRNVSVLMENTLKEMKENAPVIDEDSESTVTGGVGDVYGEDTATEDQTITPWNFSVASGYSLLRNPHYNKGLAFSEKERDSHYLRGLLPPVVFSHELQVKKLMNNLRQYDVPLQRYMAMMDLQERNERLFYKLLMENVEELLPVVYTPTVGEACQKYGSIFRRPQGLFISLKEKGKILEVLKNWPEKKIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGIRPSSCLPVTIDVGTNNETLLKDEFYIGLRQRRTRGQEYAELLDEFMSAVKQTYGEKVLIQFEDFANHNAFDLLAKYGTSHLVFNDDIQGTASVVLAGIMAALNLVGGNLAQHRFLFLGAGEAGTGIAELIALEMSKQTGAPLEESRKKTWMVDSKGLIVRSRMESLQHFKRPWAHDHEPVKELVNAVKLIKPTVLIGSSGTGKTFTKEVVQAMSTFNKKPIIFALSNPTSQSECTAEEAYTWSEGRAIFASGSPFTPVEYKGKVFVSGQGNNAYIFPGLGLGLIISGAIRVHDDMLLAASEALAAEVSRENLEKGLIYPPFANIRKISAHIAAKVAAKAYELGLATRLPQPDNLVAYAESCMYSPSYRSYR >Solyc01g097840.3.1 pep chromosome:SL3.0:1:88418969:88423028:1 gene:Solyc01g097840.3 transcript:Solyc01g097840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGVTHTEPEISEFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMTFYTSWVDPANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKRWCRQILNGLLYLHSHDPPVIHRDLKCDNIFINGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEEYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVMSGKKPDALYQVKDPEIRQFVEKCLATVSLRLSARELLDDPFLRTDDSESDLRHTESRRELDYMNPLLRKPVIGLDYEGKSFGNGTYNDYGFDDIHEWASDPNEYEQTGIELFEYNDDEHDEHSADLDITIKGKRKEDGSIFLRLRIADKEGRIRNIYFPFDVEYDTALTVATEMVSELDITDQDVTKIADMIDGEISKLEPDWKPGPGIVETPRFANSSFCHNCSSNNSSVGSFMNFLSKNPVAQNLQLAECNGCAATHGRFEEVTYQNNSPRPIGSTYSDEPASSSHINGVHYNLFKMNGFHHTEIWDRHESFECSSVGSEESHSLEGGEKLYHDPSTEGQKEAGPKSELTSGVKSLDRSLSNPRSLGEIPASYEISEYEHLIEQEMRWLKANYQIKLRELKDQHLGLPPKASKPPTGSSKRKKKTKNKNSCLETLLKSSDCGKTISSESNGLSCPISVSQRARKCEAIKGSPNVRDMVSSAKSFFTRTLLPNSLHRTTSLPVDAVDI >Solyc08g022210.3.1 pep chromosome:SL3.0:8:30401662:30409630:1 gene:Solyc08g022210.3 transcript:Solyc08g022210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGSGGDKTLEAICYRRGSLQLLDQRKLPLETLHLDIHDTNDAWDAIKEMVVRGAPAIAIAAALSLAVEVSNLASFDGTSHDASVFLSKKLDFLVSSRPTAVNLSDSAVKLKEVISKAAAIAKEANSVFQAYIEAAEVMLEDDVTSNKAIGSYGASFIKNYLTDSKNITILTHCNTGRYTPVLVFYFICMVDWFLSYLAWHGFLPYPIILPEIAKYNSVTGLTRTIVIRIRYPDGVILIFLAFLNSLATAGYGTALGVIRALYADGALERAYCTETRPFNQGSRLTAFELVHENIPATLIADSAAAALMNAGRVKAVIVGADRIAANGDTANKIGTYNLAVSAMHHGIPFYVAAPLTSVDLSLSSGQEIVIEERSPKELLHTRGGLGEQVAASGILVWNPAFDVTQAKLISGIITEKGVITKNGTDTFDIAGFVRKTAGNL >Solyc09g059477.1.1 pep chromosome:SL3.0:9:54792158:54796992:-1 gene:Solyc09g059477.1 transcript:Solyc09g059477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEYEMTIDSSSKKRKVSCYKTTVDQQKYPSDEVLHHDDEEVAQKVDDRIPRLLNWQTTNESRRYKKLMNTIFSDVNNKIKFRNITPNQRELAVLQLPPEDSEDDFQAPPLQAVKVKRKEKVGSSTSPLRKRTKKLVTGGSKQRTSGSEVEGWVKELLDFRKEVKQEFVEIRNLINDNFKTVLAAINTKRDEQEHSDDHIVPPKSNDEDGYTPPYTFNKESPSNQVLVSQCDKLESGNSETINNSDGIYPKSDDHEVFCINTPVRNLIEVDYELIAPRHTIPRVNQPSFVFDIPPQKVLGVSEKSHEDDVEQMPCPVPIRILDHMKVTTDSQFELDDQFMPSLNSIKSSITPHSTVIKGHTEQLPTTIAGCITTKADQAIIDVQLGTNGENLMIDQPISNNEQTPLPTRRNRRPGPYNTSPYLTNFGSSVGSSSSQPHIFELKHPFIFDLISGDYDITLWDAFRSWIRDGLLTKHDRKKHDQDHYKKNLAEISVAINLGVLIVDNKNWFFNLFFKGQLLNNSVRVV >Solyc12g014120.2.1 pep chromosome:SL3.0:12:4931113:4934479:-1 gene:Solyc12g014120.2 transcript:Solyc12g014120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKPKTNIMVIEEHTLKCSNSSGGGGGDGGGGGGGGSSGSGGATSRGSKKVKQKKIPQRGLGVAQLERIRMEGEKKHEKDANFQTPNVLVPNSVHSTKTMSKCLAVEGSSFRPSSITLPPPSTMDLLSKNSVFRPDLSALVLDGFRPKTLQSSKPMNMGGGELSWSSVSGPGSDKCPKLWSCEYSPERESKQGNRHGVVFGANVDLPNELHNPILPLPSVLQRSQQYQQPSCSSSMMNISSGISSSSVLNYQMEPPSNQNYYSCNYLPLWPEDVKMVGMKRPYPFTPEFPPVPTFHCKFPPAYVNLASRSPESASCSNECAASLESGNLLKRSSFRIKDSIRVQTKGCYQAK >Solyc12g062160.1.1.1 pep chromosome:SL3.0:12:30763564:30764190:1 gene:Solyc12g062160.1 transcript:Solyc12g062160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVGKDEYLCISFNNYGLVPDIAAKISDECQAEENNSEEDFEFSLASENSDKSIAKFIYDTPTKFQQPIFPLFNRDLLLSDSDLNDIDKSTPLKKLYLEKNKSLEETIPATSYCMRKSKISEPSPRKWNKSKSTGFGPRIRDLLWRSNSGGKEKDNFLFLTRKAKSKNSNEVWKTDGKLLKETKNSRGEKGLPAAESTHRIFCYLYG >Solyc06g054290.2.1 pep chromosome:SL3.0:6:37211911:37212941:1 gene:Solyc06g054290.2 transcript:Solyc06g054290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHQGSSCLLVNHELIDLSPIIRVYKNGHVERILRSPHVPPSPEYSTTGDITISSNLKISRYCYYPLCRTPTCSRASFSEIYEDSWKVLQLVVSHSDENPKMEKEPWLIDHGDFDKIFIGGDSAGGNIANNVILRAGIEGLNGEVKILGMILSFPYFLSSSENRGDCLFSKIWGFVNPSAENGIDDPRIEKPSRLAKLGCLKILVCIAEKDELRNLGICYAQAMEKTGKSVEVIDVEGEGHCFQILNPESDSQKCD >Solyc06g069350.2.1 pep chromosome:SL3.0:6:43212666:43219540:1 gene:Solyc06g069350.2 transcript:Solyc06g069350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLESDMKKKDIEKFKKYEIEGNKYLIPTCFSDKTISGGNIFDVKTTKDGETSKVSRFPGHQSYANFNDGSSSASTPNSTSETPSNVRKRKYFPMRFSYLF >Solyc05g016525.1.1 pep chromosome:SL3.0:5:17403238:17405073:-1 gene:Solyc05g016525.1 transcript:Solyc05g016525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHWSRIDPIGRDLCTLLHRRLSRTACIGRGLCTSLSHSRVWALRISQPTHSGQVISTNERQYYPRPTGISRGMCALGLKHRSLLASFIKVTSAKGTHHQPSQRKAASVKACKLQVTLALAYGRKHKSRPKSFEQMTLSNKNCIAKATFLIDYRFGFYSMSDAGLAASTVEWTACMYHFLCALIAIVGRGLTA >Solyc03g020040.3.1 pep chromosome:SL3.0:3:62644953:62645859:1 gene:Solyc03g020040.3 transcript:Solyc03g020040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKDVSFLASLLVLGLMFLHVSAEIDQGRDIINPKPCTRECGNFSYAICPRSEGSPRTPICTTCCAGYKGCKYYNANGTFICEGQSDPRKPNEHCPKECDRKIAYSKCPHSEGPTKIIPTKCTTCCTGYKGCYYYGKDNKFVCEGQSNEPKVCTQQCDPKVAYMTCPPESTKLTRVCVNCCTAKPGCKLYGHDGSLICIGGVKPH >Solyc04g056495.1.1 pep chromosome:SL3.0:4:54278082:54297327:-1 gene:Solyc04g056495.1 transcript:Solyc04g056495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENVNLKAQLGENGLPPQVVPPPECTPTSSYGFHCTTLYDEATTTTSAIDSHSKHHGRVLTIDGPVNGTGNSRKYGEKDHSHFWDRVSGRNQSIGKEGRKLASFLGIIARNPDLTPLNANDWRVFDEEEKKVLVEFKKISIPTHGEEFVEKSIGKKWRDYKRDLKAMYVTNYKTKDALMKNRPSHIPRDQWSCLISYWLSEKTKAIDGIDPTLAKVYVLTLTKRKVDRPLDEESSNVVNMMKEKLVNGETSEEQSHGNVAWEGDVYSQVLGNEKSGYVRGLGLGPTPSLLWGNDILISAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSADNIHLIAMFAPQSEEEKEYMSRCLITGYSDSDFAGDVDTRRSMIGYVFTLGGSVVSWKTTLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSNLGSICLRNKSGFFLYWVWRI >Solyc03g082660.3.1 pep chromosome:SL3.0:3:53996997:54002314:1 gene:Solyc03g082660.3 transcript:Solyc03g082660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYYVLFGAMAAVVAVLELGGKTNKDRITTSQAFNSFKNNYILVYSLMMAGDWLQGPYVYYLYSTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRKRACVTYCITYILSCVTKHSPQYKILMVGRILGGIATSLLFSSFESWLVAEHFKRGFESQWLSLTFSKAIFVGNGLVAIIAGLFGNFLVDSLNLGPVSPFDAAACFLAIGMAIILSSWSENYGDPSESKDLLTQFKGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEDIPHGFIFATFMLASMLGSSFAARLMAKNSPKVESYMQIVFVVSSAALMLPILTTFLVPPSNVKGGGITFAGSIQLLGFCAFESCVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNLFVCIVLYNVNAFPITVMFGMCSIFLFVASILQRRLAAIADKPKAEDWTAMKERDTEADPLNAP >Solyc03g019650.3.1 pep chromosome:SL3.0:3:62944214:62948127:1 gene:Solyc03g019650.3 transcript:Solyc03g019650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFSEKFRGFMNDRWLVFVASMWVQSCSGIGYLFGSISPVIKSGMGYNQRQIALLGVAKDLGDAIGFLAGILCEVLPIWAVLFIGVVQNFVGYGVVWLIVAHKLPALPLWVLCVLIFIGTNGETYFNTGALVSCVQNFPKSRGPIVGILKGFAGLSGAILTQVYAMFNFPDQASLVFMVAVGPSIVITAVMFLVRPVGGHKQVRPSDHSSFLFIYSICVILAAYLLAVLLLQDLSSLNENVVIFLTIILLILIVLPIIIPLFLVFFSEPRPVLEESLLPEPEKQGSSRMGSFVLSLSEVEDERSSEEDTLPPSERQKRIAHLQAKLYQAAAEGAVRVKRRKGPRRGEDFTLLQALVKADFWLIFLSLVLASGSGLTVIDNLGQISQSLGYSNTHIFVSMISIWNFLGRVAGGYFSENIVKYYAYPRPVAMAAVQVVMAFALFFYAMGWPGSIYVVSVLIGLGYGAHWAIVPAAVSELFGLKSFGALYNFLTLASPAGSLIFSGVIASGIYDYQAKQQHEHRIQGSILGPGVPLLKDDFLTCYGSICYSLTMGIMSGLCIIAFILSMIVVHRTKRVYAQLYGKPLA >Solyc04g026055.1.1 pep chromosome:SL3.0:4:19114492:19115089:-1 gene:Solyc04g026055.1 transcript:Solyc04g026055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFISSVLDPRNKLEYVPFAILDMFGKEVREKLYSEVKKYMNKLFENPPKSSLHVPSSPTSSDNSSSISSVSGCGNFVNRGRMRMKQQFENHKEVSGGSGNKSEFERYLAEDIDPDSDDFDTLMWWKVNEPRFPILAKMVRDVLAIPISGVASECSFITRGLVLDPFRSSLTPKIVQSHICVQDWLRSESSNQH >Solyc12g007080.2.1 pep chromosome:SL3.0:12:1524229:1525408:-1 gene:Solyc12g007080.2 transcript:Solyc12g007080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKSTFDQWRTQKFFTSSSIGLLSQYDFGYKWTKMPSSITNFSS >Solyc09g007170.3.1 pep chromosome:SL3.0:9:792940:804917:-1 gene:Solyc09g007170.3 transcript:Solyc09g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMIRLVEPKAKSVQWVFLGCPGVGKGTYASRLSTLLGVPHIATGDLVRDELKSSGPLSKQLAEIVNQGKLVSDEIILNLLSKRLESGEAKGEAGFILDGFPRTVRQANCDKTSDFSLVSRGIGTGKESEDPLQQFATFLSMKRYPPPYVASGEILTEVTDIDLVVNLKLPERVLVEKCLGRRICSECGKNFNVASIDVAGENGAPRISMAPLNPPSQCISKLITRADDTEAIVKERLSIYWDKSQPVEDFYRSQGKLLEFDLPGGIPESWPKLLEVLNLDEQEHKLASSFNDSVKWACCVFVKFLSLWMIFGASVLHYCFSFTLFREILEELVLWLKLADIALKYGSDKLKAKTLLGSKEYVKDGESGQIGAKIFKFRELAVATRNFRGDCLLGEGGFGRVYKGRLDSNQIVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLTGYCADGDQRLLVYEYMPLGSLDDHLHDLTPGKKVLDWNTRMKIAAGAAKGLEYLHDRASPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIEFSKTGGEHNLVAWAKPLFRDRRKFSQMADPMLQGQYPERGLYQALAVAAMCVQEQPNMRPVIADVVTALSYLAAQKFDSDTEGVQNPRWTPATPPRTRRDADKRQKGGNHEPRW >Solyc01g081320.3.1 pep chromosome:SL3.0:1:80396582:80400875:-1 gene:Solyc01g081320.3 transcript:Solyc01g081320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQSSKQDIPKATRTHSPKMQFKVPVGFNLSRFHSSWTWRFVLSQSYSSNKAAPINGSYSGGGDLKKRILKVVSSGVNPTRSVLENWVDEGRRVCLNELRVIIRQLMKRRRFGPALEILNWMETQHCSQMLRHDYARRLELTVKEHGRIEAERYFESLTSTISLKAASLPLLHCYVEERSTEKAEAFMLKINKLGLALSPHPFNEMMKLYKATSQYQKVPSVILQMKQNRITLNVLSYNLWMDACGELSGVESAEMVYKEMLSNQNVEVGWSSLATLANIYKKAGLTDKAVLALKTAEKKISNSNHYPYFFLITQYASLKNKDGVHRVWEASKAVNSPITCANYMCILSSLVKLCDMREAERIFVEWESQCRTYDIRVSNILLGGYMRNGSVEKAESLHYHTLEKGGCPNSKTWEILVEGWIRSQQMDKATDALKSGLAALKHYEWRPSPSIDVAISEYFEETRQFEKAMEFLTTLRHFGPANLQVYKSLLRMQISREESPLYILEMMQNDGIDVDDETSAICSDVLHC >Solyc07g032313.1.1 pep chromosome:SL3.0:7:38180219:38186410:-1 gene:Solyc07g032313.1 transcript:Solyc07g032313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIEDHSEEQIFKLLKDAASSEGEDDDNGDMCNPKDESEENCSDEDINLDYDSNSSQSEKGCTCTEAFCTCRKELQIRVLSDNSKEALFDVIQHINDDEARNSFLLELKNLILNTDKPKSRPIVEPFSMKQIMNRSENHYEPTIADLRHEVSLLKNEIREIKSHLSMIETDTPIRQISKKPAFLDYESRHSSSKTNSDNEDDINQPDINNNHLVEPEVFTQTNNNASTSATLGLTIRYPIPNKRDLLKMIFHAKIFSQFDMKSGFWQIQIFEKDKYKTAFNVPFGQFEWNVMPFRLKNAPSEFQNIMNSIFNDYSYMLGPKQQGNSAVQNTEKVQTSQKKLSKATLKQKLKEAIDNIADHSEEQIFKLLKDAASSEGEDDDNGDMCNPKGLALAYMDPDYE >Solyc05g051780.3.1 pep chromosome:SL3.0:5:63007577:63011747:-1 gene:Solyc05g051780.3 transcript:Solyc05g051780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLYRVRRRAAALWCNRALLMSKWPCFRFLLLLACLLAISRTSTSLLYDRREVIKGNRGVVATDDGRCSRIGRDVLREGGHAVDAAVAAAFCLGVVSPASSGIGGGAFMLTRSTDGKAQAFDMRENAPNKAFKNMYAQNAALKASGVLSIAVPGEIAGLYNAWKQYGKLPWRRLVRPAAHLAHNGFKISPYLHMQMLRSESDIMADKGLHDLFTSNGSLLRIGDICYNKQLGRTLRVLSAFGIRPFYNGSIGVKLIKDIRKSGGILTMEDLQQYQVRIREPIVADVMGFQIIGMPPPSSGGAAMVLILNILAQYGFPMEGPSSLMIHRTIEALKHAFAVRMNLGDPDFVNVKNVVNDMLSTEFAKQLKKTIYDNMTFSPNHYGGKWNQINDHGTSHMSVVDSERNAVSMTSTVNSYFGAKYLSPSTGIVLNNEMDDFSAPAKRSENVPPPAPANFIHPGKRPLSSMTPTIVLKGGQLGAVVGASGGAMIIAGTIEVFLNHFARGMDPFASVMAPRYYHQLIPNELQYENWTVVTGDHVEAPAKIRADLQKKGHVLKSIAGGTICQFVVQEFKSSKLGELVAVSDPRKGGFPAGF >Solyc07g049680.3.1 pep chromosome:SL3.0:7:60162146:60168408:1 gene:Solyc07g049680.3 transcript:Solyc07g049680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIISPNPKLKGPGPFSPTKFVLGSNDDKLERAQARAARAAVIRRKPIPVAPPPSEDPCLDKEQILELFQNCIKLASENKINQKNTWELGLIDHLCEIVKVEQENDSETNFQKASCTLEAGVKIYSMRVDSVHSEAYKVLGGINRVGNANEQDPAAEDANAENEQQEGHIRKEQDRKLSPLSTLESSFDSLNVKKFDAAFAVDPLYHQTSAQFDEGGAKGLLLNNLGIYGNCRVFFDSFEIPGKSVPEAVRHDRTDSIDVSFAKDCVEEMVLNMLKKDEISPSLRHIICQFSGEMPVPAETDFSDQISPEQNSEPFGPDVDDDAFDNCGAWPMDHDDGISLSEQGSHDVDQISSNQQEDSQPFTFPDAEDDDRSERVDDYLFLSLGFSSKHNSWAGPDHWKYRKRFDVSLVSDMSSTLTVSEEPSNEDGSPVKTKKMKSRKAEPEIDFTKVLDTDLLHVFAPPKNPKLLLLPANRTPCNRLLPEDCHYQPENLVKLFLRPNVTCLGRRGKQIPDEAGQQSEDFGAMPSWDDDGGFPEAFDDGNTFSDVEESSTLVSQPRQVNKIEVQYDKTSKQVDVQALKETLWDQLNQTSVEEEGVSFKHILAAFPNECKASTSVDAISPHLCFICLLHLANEHGLRIQGCHGLDDLSIQLETHERSS >Solyc03g118520.3.1 pep chromosome:SL3.0:3:68848616:68854541:1 gene:Solyc03g118520.3 transcript:Solyc03g118520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGHGVDSLIVVLLLSSMVSTCVAYRPGDIVPMSKMGQYHSSRTVWHDMIGKHCPIFGVNREVLIPIPKPTGFTGADPYKM >Solyc07g042555.1.1 pep chromosome:SL3.0:7:56136407:56137501:1 gene:Solyc07g042555.1 transcript:Solyc07g042555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSPISWKSKKQPTVSLSSAEAEYGAIRQVVGEVVWLERLLGTSITEAAPTKKGTVAAAKASKEEV >Solyc11g011605.1.1 pep chromosome:SL3.0:11:4667763:4668178:1 gene:Solyc11g011605.1 transcript:Solyc11g011605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTKKLINMAKRWQNFAAKQRKRISFPRNGSEAKGYTDQARFVLPLTYLENEAVAQLLNMSEEEFGLPSGGHITLPCDLVFMNYIIYQEKCSCWRSSEGVAPLNSFVLQFNFNFSLHQKN >Solyc07g018000.3.1 pep chromosome:SL3.0:7:8728301:8782393:1 gene:Solyc07g018000.3 transcript:Solyc07g018000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSAVDGGGNSSVGGEDIKFSVMVSLFKWIQKSKSSVKKRSKFRKFMDTFCRKPQDNFVAMRLILPGLDRERGSYGLKEHVLATCLIDALAMSRDSDDARRLLNWRKGGPKTGSNAGNFSLVAAEVLQRRQGMASAGLTIKELNDFLDHLASSENRAEKTSILSDLIRKTNAQEMKWIIMIILKDLKLGISEKSIFHEFHPDAEDLFNVTCDLKLVCEKLRDRSQRHKRQDIEVGKPVRPQLALRVSNVSAAWKKLYGKEVVVECKFDGDRIQIHKNNSELNFFSRNFLDHQEYAHGMSDVITQNILADKCILDGEMLVWDASINRFAEFGSNQEIAKAAREGLDSDRQLCYVAFDILYVGDTSVIHRSLKERQEILQKVVKPIKGRLEILVPNGGLNAHRLSGEPCWSIIAHSVDDVDKFFKGTVENRDEGIVLKDLTSKWEPSDRSGKWLKLKPDYVRPGSDLDVLIIGGYYGSGRHGGEVAQFLVGLAEPPAPNTYPRRFISFCRVGTGVSDEERNTIVTRLKPYFRKYEYPKQAPPTFYQVTNNSKERPDVWVESPEKYISIIVSITSDIRTTRTEVFAAPYSLRFPRIDKVRYDKPWHECLDVQSFVDLVHSTNGTTQREDNYGVEQDHESKTIRSSRKREKKNVFAVPSHFVQTDVSRIKGETSMFSDMVFYFANVPSSHTLESLHKMVVEHGGAFSMNLNKSVTHCIAAESRGIKFQAAKLHGDVIQCSWLFDCCLQKKLLPLQPKYFLFLSDSTKKKMEAEVDEYSDSFFSDISIEEIKLLLRNIEHPEDSKTVEYYKKKYCPKDEWARFHGCCIYFFIPKQCLEYSDCKVLVELAMKRMKVEISVGGGKVGDNLFHATHVVVMSLPEIDVKFNEVLNSFSEAEKHVLYNTKLHVVGARWLEDSLKEDQKLLEESYSLKPSNFQMSISEKSRHDKQKGDSEKCKRPSSLDKHGGQIKAKGISDQGRAITLPKRGRKRDRGRPTGSATAKGKVGINIPRTVKRKVTSSRAKIHENESDESATSCEHLRNDEGEAAVGSYETTAVRSSGVQNEDVQDLELSEDGKTLPPGTAECSVINERLDKAHETSYGSGNIARGKDKVDEKLEDPVDPVQAMLLHMIPHLDSKPTRSIDTLVKDDKPDADTNPSPKKKKKISYKDVAGELLKDW >Solyc03g111100.1.1.1 pep chromosome:SL3.0:3:63251006:63251938:-1 gene:Solyc03g111100.1 transcript:Solyc03g111100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGGCCIARYAGGAYDMSKVDRIMLKFRPIAPKPAAAGTSVSGTTTPPQKSEVPVRTGRWKRRYVKDNKNSNNNKRSSSGGCSPTPSRRKRKARSVEENDSSAKSVSGGETAVTLPLLSEIPERKDNSVDMMKKNAPIWLSFGGNQGNNNDNSNNNNGQLQGVAMDRSVVMFPQPVRVVGSWVKVESVTDAWVEGYGLGRTDEEKLINLERDSCPGFISDGLNRVRWANKAYKGMVGDGAGEVVVWLVMKDAVRLPESKSTAAFTCRVRVVRCGKEKNSLILPCDVWRMDGGGFAWRLDTEAALSLGR >Solyc11g012490.2.1 pep chromosome:SL3.0:11:5324233:5326720:1 gene:Solyc11g012490.2 transcript:Solyc11g012490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPPHVACPDCNGDPPCSDCGRCIHNQFLESELEKWFQNLKIHEGRKIEAQDPEIERLDRLIESRGREIERLHRQWTHLVTDFAKKVPCEIDKEELAEIAKRPCSMESGKQLLEILKKHFPEWRNAGGFMES >Solyc06g060700.1.1.1 pep chromosome:SL3.0:6:38834677:38835105:-1 gene:Solyc06g060700.1 transcript:Solyc06g060700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPFFRVLATTKNLLSSACAVRRKKEHDCYLKNGSAVLEEFLALCDGNCRIPIRYFSAVEINNATKHSKTIILDVYVVTGLLEEDNVLDPIILEEHGTEIQRQLEDYLDLVKKCTADDRPYMIHVARELCRMEMSFRSCSK >Solyc04g010230.3.1 pep chromosome:SL3.0:4:3556512:3561547:1 gene:Solyc04g010230.3 transcript:Solyc04g010230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALPYADVDSSLKALAGRAEGFGRFAIGGLNGPVYSVTTLADDGPGSLRDGCRKKEPLWIVFEVSGTIPLTSYLRVSSHKTIDGRGQRIILTGKGLQLKDCEHIIVCNLEFEGGRGHDVDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITISRYWMVVSQILCYFAQHDKTMLIGADPSHVGDRCIRVTIHHCFFDGTRQRQPRVRFGKVHLYNNYTRNWGIYAICASVESQIYSQCNIYEASQKKKAFEYYTEKAADKEEARSGLIRSEGDMFLNGAQGSLLTGIGGECVFHPSEFYPVWTLEPASDSLKGILHICTGWQSVSLPQEECARQLKPAR >Solyc04g025780.1.1 pep chromosome:SL3.0:4:21039041:21040208:-1 gene:Solyc04g025780.1 transcript:Solyc04g025780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVVIGDMLPCTSMIIIEACTIFLTIMASTTFVFVVYTNALSFILLIPFSIFFHRNNEVTIAQNLAFAGLSYSSPIVARGAANMIPTFSFIIAIILRKIRIDLKRQGSIVRVIESLLSIMGILAMTFYKGPVVKQHSPSFLHLATSPHFFIITSNT >Solyc12g019610.2.1 pep chromosome:SL3.0:12:10866924:10871073:-1 gene:Solyc12g019610.2 transcript:Solyc12g019610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQISPNNTDLGETLCSLNFASRVRGVERGPAQKKTDPAEIMKHKLLAEKAKHDEKETKKLQDNLQSLQLRLATREQTCRSLEDKLGKKVRDLENQLAEERKIRLKQESKALARVHDLENQLAEERKIRLKLERKALAGASRDITTSSLAPSKALRMPLRKISNFVPPPSPLARAPAKTRKSFVPVASHDKENIETTSMTKAVVKPRRGFIIAVRPPPQGTNQVLQPKRRASIATLRPESIYASCLLL >Solyc03g083840.3.1 pep chromosome:SL3.0:3:55187046:55190108:1 gene:Solyc03g083840.3 transcript:Solyc03g083840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4BIF9] MSNYKFNSLLLILCSLSLFLNQSLSNTDDQETSAHDINWWCSKTPHPDPCNHFMSSGDVQPKNKSEFRTMTVQVAMDHVIQVQNHAKNVSQKCRGKRKKLVWMDCEKLMDDTILQLSRSLDGIKSNSSSDFDAQTWLSASLTNIETCLSGSNDLNVSNILQPNLSTNVSQLISNCLAVNGEFMDAENTTQVGGFPNWLSNRERKLLQTSSIDLMATKANYVVAKDKSGRFRSIQAAINYAASKRVGNERIVIYIKRGVYKENILVGPTMGKIMLVGDGLRYTIITGSRSVAAGFTTYSTATVGVDGIGFIARGITFRNTAGPQNGQAVALRSASDLSVFYACGFEGYQDTLFVQSQRQFYKSCYIYGTIDFIFGNAAVVFQNCMIYVRKPLWGQVNVITAQGRNDPFQNTAISIHNSRIMAAPDLRPVIGSFQTYLGRPWQQYSRTVIMKTYLDTLINRAGWLTWLDSNFALSTLYYAEYGNIGPASSTRFRVKWPGYHVIKNGNVASKYTVASLIAGRAWLPSTGVPFTGGL >Solyc04g057920.1.1 pep chromosome:SL3.0:4:54966533:54967726:1 gene:Solyc04g057920.1 transcript:Solyc04g057920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIICDHTNKSRRNSNERIYCRTYRNSVARVQDYILMVYPGGIFSRVRNVLVSDDVNHHFGSTIANTYCGQCGTMIGWKFIEAPRWYEYVREGRFILKLSELSFWNGVSLLHLGANEQNVDQDLGANEQNDDQDEDANEQNVDQDGDASTLRFILLLSVVTFWNGVSLPHLNEEQYLGANEQNTHQDGDATTIRFLLFLGVVTFWNGVPLPHLNEEQDLGVYEQNAHQYFRR >Solyc08g083030.3.1 pep chromosome:SL3.0:8:65737763:65741792:-1 gene:Solyc08g083030.3 transcript:Solyc08g083030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSSSGKLIQDLILCAASAALSMAVFFVGLRHLDPNREASKKAIESRKHLSKRLGRTLIYTTPYEDMITGDVVNPDHIDVEFDSIGGLDGIKETLFQLAILPLRRPELFCHGKLLGPMKGVLLYGPPGTGKTMLAKAIAKESGAVFINVKVSTLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRSTENEMLTSMKTEFMALWDGFTTDQNARVMVLAATNRPSDLDEAIIRRFSQACEIGKPSLSDRTKILKVVLKGERIEDNIDFDRLAGLCEGYTGSDILEACKQAAFIPLGEYLQDEKKAEQSQAPRPLSQSDIERAVAESKRTRVSAKKPTIVSFRLDDYEDLD >Solyc03g121740.2.1 pep chromosome:SL3.0:3:71293550:71296589:-1 gene:Solyc03g121740.2 transcript:Solyc03g121740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSPLILDQMNNINHNGDLNLTNHVIMPNSLPNLSFDSISNDPTWFNFNYPNHLQHDLEYNPSVIKQDNSINSMVTSNISLNCTNGENFMDSTNAAIKNHQDLMMTLPKLSEMIKENEDGILESTPIVSQHSDTMVVKSALP >Solyc12g056280.2.1 pep chromosome:SL3.0:12:63181176:63183893:1 gene:Solyc12g056280.2 transcript:Solyc12g056280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFAGRRRWCSD >Solyc01g017185.1.1 pep chromosome:SL3.0:1:23806879:23807137:-1 gene:Solyc01g017185.1 transcript:Solyc01g017185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCKGTGAGYYETQDLLSKEIRFRTLICPRFSLILPVSTKNSKYLNFFRTRPSQITMIRSASFYTILVTQGLNRMDM >Solyc12g056640.2.1 pep chromosome:SL3.0:12:63573292:63577936:1 gene:Solyc12g056640.2 transcript:Solyc12g056640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSKANKKAAGDVAAWMFNVVTSVGIIIVNKALMANYGFFFATTLTGMHFVTTTLMTIVLRWLGIIQASHLPLLDLLKFVLCANFSIVGMNISLMWNSVGFYQIAKLSMIPVSCLLEVSFDKIRYSRDTKLSIVVVLLGVAVCTVTDVSVNTKGFIAAFVAVWSTALQQYYVHYLQRKYSLSSFNLLGHTAPVQAGSLLLLGPLVDYWLTNKRIDHFDFTFSSSAFIILSCTIAIGTNLSQFICIGRFTAVSFQVIGHMKTILVLVLGFLFFGKEGLNIQVVVGMLIAVCGMIWYGNASSKPGGKERRSHSIKHGSDSSQADDKV >Solyc08g068860.3.1 pep chromosome:SL3.0:8:57999215:58001792:-1 gene:Solyc08g068860.3 transcript:Solyc08g068860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWMYKHIPTICFFLVMCYLTIKTVPILGEKTPHSQSQVEPKPICQSSGPIIGSRRVSNPCSPNANWTKTPSSGELVNENEARVRSINKKQNRHDRYTRSDTEYDPDADFGLFTVKISLGLPQQYYSLIVDTGSRWTWVRCRFCRVGCDSDDPLYDPTKSLCKARLLNHFYVNYGDKSYINGIWGCDTLNIGDDLSSIMNFRFGCALNIFDDSGDNFANASGYLGLGKGYSSLVSQGGASMQVFSYFVPGNGGGADLQFGDKAREKSNTCTNQFTPMVRGSDPEKYYIDLVGISVDGNELNVPSTELMSRGTIIDSGTTITRLPEVVYSAFRDAVRESMSSYTLLDGIDELMDTCYSLEGLIEPIAFPEIKFHFGEVDTIDVILTKEGTIWRKTDTVNCLAFAAAEGCSIIGMVQQRGFNVLYDLEGETIGFGTNCT >Solyc08g066590.3.1 pep chromosome:SL3.0:8:55401040:55403984:1 gene:Solyc08g066590.3 transcript:Solyc08g066590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSFGLLATVLLLLLVVLAEGGPPKPMKVKCQDKKFASCYHKDLFCPVNCPRTCIADCDSCQPICVPQLPPPKPRSPKKVKCQDKNFPKCYHKDLFCPVNCPRTCIVDCDSCQPICVPPPLPPPKPRSPMKVKCQDKKFPKCYRKTFACPANCPRSCIADCDSCQPVCLPLSPPLPTPPTPPSTPNSPPPPDQAAEGKSVSCKNKLYPQCYRQEHQCPSACPNTCEVDCVTCSPICDCNRPGAVCQDPRFVGADGLTFYFHGKKDDNFCLVTDSNLHINAHFIGRRNENMKRDFTWVQSLGILYNNHKLFISAKKTSTWNDALDHLDLAFDGQSITLPNREGVKYLEPNSNTTITRNRDTNSVVIEVEGNFQIKARVVPITEKESSIHKYGITEDDCFAHLDLGFKFYSLSEKVNGVLGQTYGSNYVSRVKMGIEMPVLGGDKEFASSSIFSTDCAVSRFSTTNNQEYDDFKCSSGMDGKGVVC >Solyc12g006770.1.1.1 pep chromosome:SL3.0:12:1197775:1198026:1 gene:Solyc12g006770.1 transcript:Solyc12g006770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIFKIIGFEKIKRSCLDGDDDGDYDFAPAACLERDGDDDGDYDYAPAASLEGDDDDRDYDYVPAASLEGDDDGDYDYAPAA >Solyc05g041270.1.1.1 pep chromosome:SL3.0:5:51808236:51808448:1 gene:Solyc05g041270.1 transcript:Solyc05g041270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVKVGGIIVYDNTLWFRTVSMHEECAKETMKSNRQHIIEFNKFLASDTRVQISQVPIGDGITICCQL >Solyc04g007530.3.1 pep chromosome:SL3.0:4:1219373:1236070:-1 gene:Solyc04g007530.3 transcript:Solyc04g007530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKIPFTFLIQQQKKMEGPQVPLLLNQETSHDFDNTTTIIEEIKRQLRLAVPLIAVNILQYCLQVISIMFVGHLGELSLSGASMATSFASVTGFSVLLGMGSALETLCGQAYGAKQHHMLGIYTQRAMLVLLSLSILLLLIWFNTSTILIACGQDRDISNEAGQFNRWMIPGLFAYGILQCLNRFLQTQNIVMPMMLTSGFTALSHILICWIFVFKIGLGSKGAALANAISYWINVFLLAGYVKFSPACRKTWTGFSKEALDDILDFIKLAIPSAVMICFEYWSFEMVVLLSGLLPNPKLETSVLSISLNTCWMVYMVSVGLGGAISTRVSNELGAGRPQGARLALHVVVIVAISVGLIIATTTILVRFVWGKLYSNEEEVIKYVAKILPLLALSDFLDGFQCVLSGAARGCGWQKLCAFINLGAYYVVGLPSSVLFAFVFDTGGLGLWMGIICALLVQNVALIAINICTNWDKEGLLVKEKLLEENNIEVRDEEVKWDVIWMEIKRIGYLAGPMASVTLSQYLLQVISMMMVGHLGQLYLSSTAIAVSLATVTGLTLLLGMASALETLCGQAYGAKQYKKIGTQTYTAIFSLFIVCIPLSMLWIYIGRLLVFIGQDPHISHEAGRFIMCLIPTLFGTAALQPLVRYYLMQSMILPLIISSCVTIAIHVPLCWLLVYHTSFKNIGAAFAMDISIWLNVTILASYMSLEGWSFEFIVLLSGLLPNPELETSVFLNTIATLYSIPYGLSSAVSTRVSNELGAGNPQRARISVFTVMLLTVMEAILISATLFGFRNIFGFIYSSEMEVVNYVAKIAPLLSLSVIMDALQASLSGVARGCGWQHIGAYVNLASFYLFGIPIAILLGFWLELRGKGLWIGILCGATLQTILLSIITSFTNWKKQAEMAKERLLEVK >Solyc04g064690.3.1 pep chromosome:SL3.0:4:55843110:55845970:-1 gene:Solyc04g064690.3 transcript:Solyc04g064690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNKDAKSFIKLISIICFLQISALNGQLRVGFYSESCHNVESIVSSMVKEASQREPRMPAILLRLHFHDCFVQKGCDGSILIDNVKEAEKNAFGHEGLGGFAEIQKAKTQLEVQCPGVVSCADIVALAARDAVVMAGGESYEVETGRRDGRVSDLSFASKMPDVDDSIEVLKEKFKTKGFSEKDLVTLSGAHTIGTTACFFMPKRLYNFTGKSDADPSINPKFLSELRSKCPKNGDVNVRISLDNLSERKFDDQIMHNIKNGFAVIASDARLYDDNATRAVVDSYLEITEKLNPNSSSFGTDFGLAMVKLGRLEVKTGLLGEIRKVCNSFNKM >Solyc10g078280.3.1 pep chromosome:SL3.0:10:60249747:60255601:1 gene:Solyc10g078280.3 transcript:Solyc10g078280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFSLIFTVLVSLFFFYYFNKNLQKKGFKIYPLVGALPGFLHNRHRFHDWMTDVLSNCPTNTAVFHRPVNVHGIMTANPLNVEHMLKTNFENFPKGFRFYTRLQDLFGDGLFNVDGESWRTQRKSASYEFSTRSLRNFVMETAQVEIHTRLIPILEEASQRGRIIDIQDILERFAFDNIIKLAFNVDANCLGGAESEFMQAFDIATTLSSGRFMYAIPFLYKIKKILNIGSEKKLQESIKVVHEFADNIINSRMEERDEKKDEDLLSRFMGDSNEVSAKFLRDIVISFILAGRDTTSSALTWFFWILSSRKDIEQKILEELVEVRGRNGKKIGEAYNFDELREMQYLHAAISESMRLYPPVPIDTRSCLKDEILPDGTFIGKDWFISYQTYSMGRMENLWGKDCCEYKPERWFDENGVYKQESPFKFPVFHAGPRMCLGKDMAYIQMKSIAASVLEMFEFDVQLENGKCPEYVLSLTLRMKGGLPVEVKERC >Solyc07g017733.1.1 pep chromosome:SL3.0:7:7889555:7898842:-1 gene:Solyc07g017733.1 transcript:Solyc07g017733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLAQTKTQVCHSRKQVCCDGLKKERLVERWWREKSRWETRNAAEGCFSYVASAFSGDFWKWRRQIQQQRTPFSRRNQYWWIRQIALDGVESGLTLLSPLSRGIFLQQLEDRLTYHSLLVFGGGNS >Solyc01g110330.2.1 pep chromosome:SL3.0:1:96972498:96973952:1 gene:Solyc01g110330.2 transcript:Solyc01g110330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINNFFKPLSSSSLHGRVQTHLNVAWLSSIMGGYSQKFWSHTSEDLKIQFGVMKSGEVLNKKRKYAQFYLEMGQSDFCLHTCTVCGFKYARGDEEDEKFHKTSHKNYTRGIPFKGWRNERNLRIPSLEIGRIILVLDDDPPPQRNKVYMFIFSQRISGCLVAEPIKKAYKILSKPVGSRCNVSPEKEVRRTSTTLQFGGVSFQREMIGRNHSIRSHKQSDESVSGIVLCEKQAVSALCGIRAIWVTPSNRRKHIASYLLDAA >Solyc07g005530.3.1 pep chromosome:SL3.0:7:421039:429025:1 gene:Solyc07g005530.3 transcript:Solyc07g005530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAIIDKMEENLGQEISGLIGSDPGLDTSSSVFHRKIEFHLARKPFNGFISGKNNGGFQLETLNPSSETRKENGSLVGAGKKGGGDVVMESNGMDPEVSFGIAFRKIMRIGAGLQNLGNTCFLNSVLQCLTYTEPLAAYLESGKHQSSCRMAGFCALCAIQKHVSRALQATGKILAPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPSAYEKSLVHKIFGGRLRSQVQCMQCKFCSDKFDPFLDLSLEILRADSLLKALDHFTARELLDGGQRQYQCQQCKQKVKATKRLTIDRAPHVLTVHLKRFGSHVPGQKIDKKIHYGPTLDLKHFVSDTYSGELKYTLYGVLVHAGWSTHSGHYYCFVRTSSGNWYSLDDNQVVQVSERKVLEQKAYMLFYVRDKKSPVPKKSVDVARNDNVITNGIGNKISSNHSQRFKDTAQNGFHVKNEGSLSCTKDQRETLSAEVSKGTSTKDLTPPKVNGAVVNGFSSHGGAIQPENLPKVQETGDSVKDPSVVDAEDGPSLLKANPAVPVCNGTHRLDNKGDARCGDSTPLPNGNVTIKELTCSAAIPFHFSSVNSDKDPSTPAKLLNKQVECSKADTNIQVVKGISNGSLGGSAVEVNNDVGQRKAVAESAGVLSQPTMTSSAEKVAIDKACLKAKKKSFKSRVTKLHLSFMILDPALGLNRKKKRMNHRIGKRKRSNPSSMDESNSDLGPSTSKLSHTLVSSPMQSQRKKSKLGSNEKVSSTAGHNGDLLRNTVNDERVVNNGTVLANDKQPQKSSRFAPVGSQGVDNRQSTDSKETKVVATRKGLLNMLTRGLESSVARWDDVEVRSLKGVEAQNGNCVTIGYIGDEWDEEYDTGKRRKIRSSKIEFGGPNLFQDFASKKTKVKKARLERSSSANQPFRIL >Solyc01g110682.1.1 pep chromosome:SL3.0:1:97215607:97216282:-1 gene:Solyc01g110682.1 transcript:Solyc01g110682.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCARIGTDINGSMLEIEQLVDCIILQKLSAESFHSSHPINVINLQPQVVVTVSSLMKGSVIQFLGRVVRPSVKRELINTWNSKLQAQGECTCTHDGELKEGKPFLPLVRDLNKS >Solyc05g047680.3.1 pep chromosome:SL3.0:5:60015087:60016969:1 gene:Solyc05g047680.3 transcript:Solyc05g047680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMMTGLAHQKIAIMAQLFKAKRLMSFSLGETRFIVTCNHVVAKQVLNSKSFVARPVNESAYGLMFDRAIGFAPYGVYWRTLRKIASTHMFCSKQIKTSEAQRFEIAKQMVEIFNGRSEGLRVRDVVKKASLNNMMCSVFGRKYSLVDYFNDEMKEVSELVDEGYDILGILNWSDHLPWLAEFDPQKIKYRCERLVPKVNRIVGRIIDEHRAQPSNVHRDFVDVLLSLQGVEALSDSDMIAVLWEMIFRGSDTVAILIEWILARMILHPDVQSKVQEEVDRITEKSRPVKESDVTNMVYLQSVVNEVLRLHPPGPLLAWARLSIEDTIVDGYHVPAGTTAMVNMWAITRSEEVWSEPLEFKPERFMNQIEPVVFSVLGSDLRLAPFGSGRRSCPGKTLGLTTVTFWVASLLQEFKLKTADGSKTVDLSEVLRLTCEMKTPLMVKVQARNLTN >Solyc04g014576.1.1 pep chromosome:SL3.0:4:4861469:4865849:1 gene:Solyc04g014576.1 transcript:Solyc04g014576.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILVNIECGYYYRLKTSRTHLNPGRRFFGCKSSKENGGCGYFRWIDPSLENVDESSSMNRLIDGQNPIDRLKRKPLSNLTVVWVVLGTTLGTALKSDASSPLRLSSTSSPPRLTCSPSPPSPVRLTTSPSPPSPLRLQASPSSPKLTTSPSSPLVRYPIVTSVSSKDLSNT >Solyc01g006620.3.1.1 pep chromosome:SL3.0:1:1216795:1219101:-1 gene:Solyc01g006620.3 transcript:Solyc01g006620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGSIHEEFALKQTAPKIVGSGVMIGGDKVTVAYDLVEQMEYLYVRVVKAKELTKDVTGSCDPYVEVKVGNYKGVTKHFEKKINPEWNYVFAFSQDRIQASYIEVCVKDKDVLLDDMIGRVVFDLVDVPRRVPPDSSLAPQWYRLEDKRGEKLKKGEIMLAVWRGTQADEAFCDAWHSDAAAVGSEGISRIRGKVYLSPRLWYIRVNVIECQDLVPSEKNRQPECCVKVMCGNQVLKTKISSIRSCSPMWNEDLVFVVAEPFEEPLVVTVEDKVGSNFEFLGKCVLPLSIVPKRLDNKPVPSTWHNLEKHTVVEGEKKETKFASKIHMRLSLDGGYHVLDESIHYSSDFKPTSKLLWKSSIGLLELGIISATGLSAMKSKDGRGTTDAYCVAKYGPKWVRTRTIIDSLSPQWNEQYTWEVHDPCTVITVGVFDNGYLQGGKCTSIGKVRIRLSTLETEKVYTHSYPLIVLHPSGVKKMGEVQLAVRFSCTSYVNMLSKYTQPLFPKMHYAHPMSITQQDFLRFQTIQILSTRLGRAEPPLKKEVVDYMLDVGSHIWSVRRAKANFFRLMYVVSPILAIGKWFDQICHWKNPLTTILIHILFVILVLYPGLIVPTFFLYLFLIGIWHYRLKPRHPPHMDIHISHAHGVFPDDLDEEFDTFPTSRGSDKVKMRYDRLRSIGGRIQTVVGDLATQGERFHSLLSWRDPRASALFVTFCLFAAIVMYVTPFQVIVILIGIYVLRHPRFRHKVPSLSTSFFKRLPARADCML >Solyc01g094570.3.1 pep chromosome:SL3.0:1:85867038:85873728:1 gene:Solyc01g094570.3 transcript:Solyc01g094570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACCIPVVECVYCLGCIRWVWKKFLYTAGRESENWGLAIASEFEPVPRFCRYIMAVYEDDIRNPISTPPGGYGIDPDWVIVKRSHEDTQGKVSPYLIYVDHQNADIVIAIRGLNMAKDTDFLVLLDDKLGQAEFDGGYVHNGLLKAAEWVWEAESQLLRELVERYPDYTLTFAGHSLGAGVVTLLTMLTVKNREKLGFLDRKRIRCFAIAPTRCVSLNLAVRYADIINSVVLQDDFLPRTTVALEHAFKSLLCFPCLMCIMCLKDTFTMEEKMLKDPRRLYAPGRLYHIIVRKPFSSANIKPIVRTAIPVDGRFEHIVLSCNMTSDHGILRILTESQRTIDLMLERHQSTDSMNIPEQQRMERRDSLAKEHMEEHKAALQRAVALDVPQAYSPSAYGTFRNIEQGPDFGQPGESSLPISQKRREIWDELAGRLFLDR >Solyc02g084740.3.1 pep chromosome:SL3.0:2:48479752:48485938:-1 gene:Solyc02g084740.3 transcript:Solyc02g084740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSENWIWICMVTVFWLIYKIRKKQKEDKEREIGVPRGNSGWPLLGETLDFIASGYTSRPVTFMEKRKSLYGNVFKSNILGKGIIVSTDAEVNKVVLQNNGDVFIPCYPKSITELFGKNSILQMNGAVHKRLHGLIGSFLKSPQLKARITRDIEASVRHFLSTWLEKQHNVVYLQDEAKKISFEVLVKLILGVDPGEELNLLKKEFEELTKGLICLPIKLPGTTLYKSLKAKERLTRMVEKMVEERKLSMEKKEEKGFPNDAIDVLLGNADGAKQPLPYDFTSGNLIEMMIPGEETVPTVITLAVKFLSDNPVALHRLLEENLELKQQKISCCEDYSWTDYLSMPFTQNVISETLRLANIINAVWRKSLKDVKIKGHLIPKGWCVLASFTSVHMDEENYENPYNFDPSRWEKVGVAVTSNTFTPFGGGQRLCPGLELSRLEISIFLHHLVTTYRWVAEKDEIVYFPTVKMKNKLPINIMPLQQNPLIQTKQN >Solyc10g052864.1.1 pep chromosome:SL3.0:10:53771214:53772180:1 gene:Solyc10g052864.1 transcript:Solyc10g052864.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDNNIVKGSSPPHVLDWVLQLGKEFAMKNLGPLHYFLRVEVKYFEGGININQSKYVVELLSKTEMTFAKVVATSLVRLQYLILTRLDITHDFIQSPNILHLQEVKRIHRYIKGTIHFRLKIISQSPCRLYGYSDADLGGCTTTRRSTTGYSINRGANCISWTSKKQNTVARSSVETKHKETSLHYRRNDLDFLRVTVPPLTSLIGSV >Solyc02g086650.3.1 pep chromosome:SL3.0:2:49940409:49945542:1 gene:Solyc02g086650.3 transcript:Solyc02g086650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSTFYMSLPTPFLKPQKPSNKIFITSSNKFNSALQHYALHKPKSCSIRKTSVAILAKSSCRLDLFKVRAVAENAGESEVVKPKEVIQTLQLGAMFGIWYLLNICYNIYNKQVLKVFPFPATVTASQFGCGTLLILFMWGFRLHPIPKISKSQFKAIFALAAIHTLGNVLTNISLGRVAVSFTHTIKAMEPFFTVLLSALFLAERPSPWIVSSLVPVVGGVALASMTEASFNWIGFGSAMASNLTNQSRNVFSKKFMVKEEEALDNINLFSMITIISFILLVPAAILMEGIKLTPSYLQYTAASQGLHVRELCVRLLLAGFCLHSYQQVSYMILQMVSPVTHAVGNCLKRVVVIVSSVIFFQTPVSPINSLGTAMALAGVFLYSRVKRLRGKPKDA >Solyc07g021050.2.1 pep chromosome:SL3.0:7:15732100:15732900:-1 gene:Solyc07g021050.2 transcript:Solyc07g021050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTGSTTAFLLGESCLLQVFLVAGHNLVRLVRSLEMTLSSPNIPPEILATLLNLDGDAEYSLLANLKRLNDLQLSRRISIEILHNDLAQT >Solyc03g113320.1.1.1 pep chromosome:SL3.0:3:64964855:64965007:-1 gene:Solyc03g113320.1 transcript:Solyc03g113320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVGSTGCPELASKDIILRPNEEISDIRCKGTPIELSISRRLSLEHQS >Solyc06g005430.1.1.1 pep chromosome:SL3.0:6:428838:429149:-1 gene:Solyc06g005430.1 transcript:Solyc06g005430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc07g043120.2.1 pep chromosome:SL3.0:7:56821354:56831779:1 gene:Solyc07g043120.2 transcript:Solyc07g043120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTKQLVFIPGPGMGHLVAAIEIGKLLISRANWLSIVFFVIDLPIETRVHTYTNQSQLINQRLQFLHLSSPKTQTNHLSNRETPLVETFNNSKELVRNAIIQNFMTTSLVGVVVDMFSDKMIQVATELGLPSYVFFTSSAAFLGLMFYAQILKDEHNQDISDFKNSDTLLPVSTYIHPLPAKVLPNAMLDKIGRLHLPLSTARMLRKVKGIIINTFVEFELHSMNTLDNDDGVPVLYPIGPIINLNQEPDESINSWLDEQQDSSVLFLCFGSYGSFDEEQLKEIAVALDHSGCRFLWSLRQPQGKGEIGALDDVAHPEQVLPDGFLTRSMNRGKVIGWAPQVVVLSHRSIGGFITHCGWNSILESLWFGVPIATWPMYAEQQVNAFEMVVDLEIAIDIKMEYRSESPVLVTAKEIECSIRRLMFDSKEEKNGIRKKMEELKEKSRKTLLEGGSSYCFLDKLILIPSPAMGHVAQMLELAKLFINRNHQLSITVLIMKLPDYIDPVSGPFVDSVIDSSSSERLRFFELPPVDPTPEWSSKTRGHFVNRLVQTQKSRIREFLISQRGGVKLAGFVVDMLCTPLMDVAEEFGIPSYVFFTSPAAFLGLMIHFQFLEDECSRDVSSFKNSDDGTALLSFPSYAYPVPTNVLPMVLVDRDTWLGRFLDFARGYRKAKGIIINTFAELEVYALDAYNNNNLSRSEQAHPLPSIYPIGPILNQSKSQSESEEAEITNWLDKQPPNSVVLLCFGSQGSLPTDQVKQIAIALDNIGCRFLWSLRRPPQSNNAQFPGEYTSYSEILPEGFLKRTEKKGKVVGWVPQLKVLSHEAIGGFVSHCGWNSILESLWYGVPIATWPLHSEQQVNAFQLVKEIGVAVEITLDYCERNKDQAIVTAEAIENGISKLMETNSAVRHEAKMMKEKSRASVTEGGSSYLAFSKLINELLKNAAL >Solyc10g017755.1.1 pep chromosome:SL3.0:10:5941990:5942953:-1 gene:Solyc10g017755.1 transcript:Solyc10g017755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNILAHHEKNRSIKVDYIRSGWSVSQAFNECLRAILKLTPLFLVNPKPILENEIEDRWKLFKGCLGALDGTYIHIRAPSVYKPRYKTRKGDIATNVLGVCDRNLNFTYVLPGWEGSAADGRVLRDAVVRRNGLKIPEGNYYLCDGGYTNGKGFLSPYRGYRYWLRDWQGRWGFLRSSSWYSVKVHNRITSACCLIHKYIRGEMDVDPLDMDMEEQV >Solyc06g008295.1.1 pep chromosome:SL3.0:6:2159631:2159994:-1 gene:Solyc06g008295.1 transcript:Solyc06g008295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLNDLYAFIGSIILTSKNLRELAHDRRDRTYKEEYITTLGFTGYLTPQSLLLWRERPSFIFRYGEPWRAGKVVVDKFVIFGGLKLNRCTSVRERA >Solyc01g104520.2.1 pep chromosome:SL3.0:1:92874750:92875744:1 gene:Solyc01g104520.2 transcript:Solyc01g104520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPYFSIAKRRMPPCEPSSVGSSTSSSNFGNISPPASVIPIQKELSNDQVDELDHQFKFWRDVKAQEEKLEAMETGPSKENVLENEKSALEEDVKKFHAMIEQLEGHMMAMEKGLETMVAEKEMICVENEELKNRVEDQANNARDAERMKREVLTLERDIENQRNRWEEKAWTSTLQLGMTTRIWRS >Solyc11g008890.2.1 pep chromosome:SL3.0:11:3058849:3064078:-1 gene:Solyc11g008890.2 transcript:Solyc11g008890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNPKFIWILLLLISIPLILAQEAGDETQIVESGGRFKDLGRRSKIIVEKIKTGAVRGDDPDSIDFGLDVDSNLGTLDALFASLSMILVSEIGDETFIIAALMAMRHPKSIVLSGALSALFVMTILSTGLGRIVPNLISRKHTNSAATVLYLFFGLRLLYIAWRSSDSKASQKKEIEEVEEKLEAGQGKAAFRRFFSRFLTPIFLEAFILTFLAEWGDRSQIATIALATHKNAIGVAVGATIGHTICTSVAVIGGSMLASKISQRTVATIGGLLFLGFSLSSYFYPPL >Solyc05g010810.2.1 pep chromosome:SL3.0:5:4976917:4991108:-1 gene:Solyc05g010810.2 transcript:Solyc05g010810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYIAELKYSIGGEEKDGVFVPEKKVRYRGTISLRSGHLDGVPCMSPNLDSPVQTQMAVAVFKSPLGGEYHGSSRMEGKSTGRRRVFVQTDTGCVLGMELDRSDNAHTVKRRLQIALNVPTEESSLTFGDVVLKNDLSAIRNDSPLLLTRNFMHRSSSTPCLSPTGRDVQQRDQSGPIEILGNSSHFAKTKQLVKEIVKAVKIGVDPLPVHSGLGGAYYFRNSRGESVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPATALVKITHSIFNINDGVNGDKPFSKKKLVSKIASLQQFIPHDFDASDHGTSSFPVAAVHRIGILDIRIFNTDRHAGNLLVRKLDGVGMFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELKYIEKLDPVRDSDMLKSELPMIREACLRVLVLSTIFLKEAAAYGLCLAEIGEMMSREFRRGEEEPSELEVVCLEARRLIAEREILSPKAEVDEEEFQFDIDYEEAGYGSSPKMASEDFVSRNPFQFPFGGGNGRTQLFKLDESIEEEESEEEEDTSVNCVPAPAKNVEELKLSMSLKNISLGDKNQKHPYFSGTKPENAHLANSSSGHRSANEQLPVSSSFVKLADMGEEEWRLFLEKFQELLYPAFAKRKSVALGQRQIQRLGSGKILRGDPCFKISILELNSSEIEDIVEKLSFENSESALEFFFLLRNDYGFNHSRASHIAVAHVLAKKQRFRALKIHLQHLVQQEGFGSAHSMCELLLIHFQKWDSNHVVWDVLVSAYSHCQMVDDALFVFAKMKDFDIQASVFTYNNLLYNLRHTDYIWDVYYDMKDSGINPSEHTNSILIDGLCKQFLIQKAVNFVRGTECRESEPCVVSFNALMSSFCKMGSVDVAKSFFCMMFKCGFYPNIYSYNILIHGLSVAGAMEEALEFIDNMKKHGLEPDLETYNVLAKGFHLLGMMNGIRKFINKMLHKGMNPDIFTYTMLNCGYCKEGNIDEKSIKLRKEMFSKEGVHASAISDNMLLSSLCKSGRLDEAMNLFHEIESNGRKLDHIMYSILIQGLCKQGLVDMAFQLYKDMCCKRIIPNIVAHRSILKSFCEKRYIYEARVLFDALIYCDLIDDIFLVNIMIDGYAKLGDIGEAVQVYKLITGKGITPSIATFNSLIYGFCKARKLDDARKWVDTICAHGLIPSARTFTTLMNAYGEEGKMQTVFELLDEMKARGIEPTHVTYTVIMKCLCKRRQMHESIEILKSMLPDDFQRDEVFYNTIIKSLCEARDVEGACKLYKEMAVHKLQPSRVTYNILLNGYCTHGELKDAEELFSELQDVGLMKCDYTILIKAYCAKGSVHKAVVLFQKMIEKGFEITIRDYSAVINRLCKRNLLAGVDIFLRMMLFHGISVDSQICFLMLNSFRDHNSVFQLASLMIKCGLDTDSNCVYETPIQDDYCALVQYVSFLLHVWSSETPVQDDYCALVQYVSFSVHVWLSARDTNSG >Solyc09g091320.1.1.1 pep chromosome:SL3.0:9:71069100:71069534:1 gene:Solyc09g091320.1 transcript:Solyc09g091320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINIFFFLLITPLNLSIAKHCPFGSRYTVYVTNKLPFDSSKLSFHCASKNDDLGYHDLAINQVFNWSFCEAIFSRTLFFCHFWWGSKEKAFDVFNDPYTCVKGTGNPNILTNCKWEARADGFYLELFNSTSETYYMYHYLEWS >Solyc04g073950.1.1.1 pep chromosome:SL3.0:4:60049149:60050543:1 gene:Solyc04g073950.1 transcript:Solyc04g073950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNSGSMQSSSGGDEDYDDSRGESISTFLNNSSNNNSLAHFGSIPSHNNSNNPSFLSHHHQQHNPNNTFFDTAASLFPQSSNPPFNANDLIWSSSSTTSSSRALRSDQSLNFISSAPSSNVTASGQLFHHPNQNPFPGSSSLQAMQQPSMEPTNVARASSSAQPDQQPNVAKNPKKRTRASRRAPTTVLTTDTTNFRQMVQEFTGIPTAPFTGSPYTRRLDLFSTAGSGMRTGHLDSLGPLYPLRPSAQKVQVSPFMSQLSSPPASSLSSSMIDALMPGNNNSMVGTTSGSTSTSTNFQLGNSNHVGIQKQAQNLFNMQNQILSFNTGSTIFNTKPSGGGSSTTMNVPSLDELGISHEQQVSANLISGFQGGNNSNNNVSSQGRNDGNNLSRLWRNSNNNHDGGQENQRLRSFDGNNSNAGNYNKLNSGNSSTSEFHPEINNGLENVCSTGEGPVSSWTCPD >Solyc05g007920.2.1 pep chromosome:SL3.0:5:2350044:2351488:1 gene:Solyc05g007920.2 transcript:Solyc05g007920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTSEGIGIKMYSTSKRVDNSSSMYPTNLPQGEIIPELPIPIGGKKRRAMANGVQKTLSKTSLLVNFLPTGTLLTFEMLLPSVFGKGDCSPITTFMILTLLGLCTLSCFFFHFTDSFRGPDGKIYYGFVTPRGLKVFKTGLGVDVPKDERYIVGVTDFVHAMMSVLVFVAIAFSDHRVTLCLFPGHAKELDEIMRSFPLMVGVICSGLFLVFPNSRYGVGCMSA >Solyc12g008930.2.1 pep chromosome:SL3.0:12:2244000:2246655:1 gene:Solyc12g008930.2 transcript:Solyc12g008930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAFTRFRSPNNYASIGATRSCSQNMLKRSITFFKNLYTINRQEGTQVNRAMSTQVHHMISERRRREKLNENFQHLRSLLPPETKKDKASVLASTTEYLSSLKDQMEKLYKRNEILEAQLLIKKENSQFQQNESGRIDVYITNIEEKIVDLQVIAKGKYNTLDLVICLMEFLKVASYVNLMAIDANTTMVHSCPLTRITLRLRTQGDEWDESTFLEATKKVIGDVT >Solyc04g080260.3.1 pep chromosome:SL3.0:4:64583946:64586870:-1 gene:Solyc04g080260.3 transcript:Solyc04g080260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLHNSSFFLLLCLLHFVLVAKSQSFIGINYGQVADNLPAPEATAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIMIGVANGDIPAMASDPNFAKGWLSSNVLPFYPASEIIVINVGNEVMSSNDQNLMTNLLPAMQNLRKALNDVSIGGKIKVSTVHSMAVMKQSDPPSSGSFDPNIGDLLKGLLEFNKATGSPFAINPYPFFAYQSDPRPDTLAFCLFQPNAGRVDAGTKIKYTNMFDAQVDAIRAALNAMGFKEVEIVIAETGWPYKGDSNEVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFAIYDEDLKPGPTSERSFGLFKPDLTMSYDIGLSKANNQVPTPKTPVSPSPNASPKAPVSLSPNPAPKAPVTPTLVPTPNTTVTPPSPKTEKSVKAGVSDSQSQANINVGMLVLSQILWYPMLHMR >Solyc03g007775.1.1.1 pep chromosome:SL3.0:3:2326797:2330303:-1 gene:Solyc03g007775.1 transcript:Solyc03g007775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSQSLSIESFSYSWLVDLGDSFRASMDDYETAFIEMDPALPPSKRFFNVNPQDLNFNFPTSDSTLVHADELISNGLLMPLFIKKPMKMESDYDVTESDSIVNSPACSAAAQQEDESRCSSRVNRCVSLRRCRSLSRRILVKYFDFLRPFCQKIRRCSRIGRCRSSSGKEVMKKWEYCSAATSPRISVAYSVDNWRRSCDSESSIYEAVLHCKRNH >Solyc02g076920.3.1 pep chromosome:SL3.0:2:42593748:42598569:1 gene:Solyc02g076920.3 transcript:Solyc02g076920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRNLGEFCENEAKGVVQSLVLDSEKGELVKASGRVEKKIGKSEGKTIAALKSHSEAERRRRQRINAHLSTLRNLVPSSDKMDKAALLAEVVRQVKQLKETATHDSERFFIPLDSDEIKVEIIAENAIDGTCLFRASVCCEYRTHLLSDLKQTINSLHVNLVKSEISTLGSRVKNVFLFTNSIHGGGGCATIQARDIFLSSVRQAFSSVLDKVSAFPEYSAYPNKRQRVSCFDSSSLLF >Solyc03g081230.1.1.1 pep chromosome:SL3.0:3:53555121:53555762:-1 gene:Solyc03g081230.1 transcript:Solyc03g081230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIDVKSPKHCEEKGFKVEKLFPKKKLFFCFSTISLSILSFIFLLYLTLHPTKPNFTLREADIYQLNLSGPRQLLNSSIQLTLVSKNPNKKVGIYYDELQVFASYKGQQITLHTSLPPFYQGHEDSNFLSALLIGNGLPVDPYFGYEVQRDQIVGKLIVNLKGSGRLRWKVGTWVSGKYRFNVDCVAIMPFGSSLPSGPLSFRQGAQCSTTL >Solyc12g005000.1.1.1 pep chromosome:SL3.0:12:1065:1337:-1 gene:Solyc12g005000.1 transcript:Solyc12g005000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIRKESSMQWGGEDWGFTMKLDEDDDDKDDIHQEVKVKVKITKKQLTELMMGKADVQGLSVVHQLLMNVSERFEPWRPSLQTIPEDT >Solyc05g015868.1.1.1 pep chromosome:SL3.0:5:12615942:12616457:1 gene:Solyc05g015868.1 transcript:Solyc05g015868.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKILLNQRKYALELIADSGQGGTKPASTPLDFNQRHTSYEFDVATGSTTNDKLLIDPERYQRLVGRLLYLVMTRPDISYIVQVLSQFRHKPKESHMLAIIRVIRYIKNAPGFCLFMSSTTSHQLFAYCDSDWAACSQSRKSVTGYMIKFGSSFISWKSKKQETISRSSA >Solyc03g111700.3.1 pep chromosome:SL3.0:3:63836622:63839012:1 gene:Solyc03g111700.3 transcript:Solyc03g111700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDCKFVPYLTPKQIVFPFPATEKVTKFKLSPACCFPFLISSYSSSLPLRPTVISAYKKNVVESNYYEDTFTLTYLEGNSWLWEVGGIKILVDPILVGNLDFGIPWLYDAAKKFVKNFQLDDLPLIHCLLITQSLDDHCHLNTLKPLSRKFPNLTVIATPNAKTLLDPLFTNVIYLEPGQDSAIEVSNGFQVKIKATAGPVLGPPWQRPENGYLVTSPKGALTLYYEPHCVYDKSTLEKHRADIVITPVIKQLLPNFTLVSGQEDAVQLAKCLFARFVVPMKNGDLDSKGLLASIVKSEGTMESFKQLLSKELPDAKVVEPTPGEPLDISIPVHGQ >Solyc04g025550.1.1.1 pep chromosome:SL3.0:4:21942110:21942265:-1 gene:Solyc04g025550.1 transcript:Solyc04g025550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIWLPNDIDFFLEQVCIFFVLVYFQSSLVVFRVAFKLLFSWLLCKLLISG >Solyc04g024605.1.1 pep chromosome:SL3.0:4:29844712:29850971:1 gene:Solyc04g024605.1 transcript:Solyc04g024605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYPRIHKWKKPLCIPKQKVKSSSHKQVNKESTRRSYQLGETCPTIQEYGRDKQDTACNNVAEKKKGSSTVFLGVYVDDIILTGTDSEEIPPLMVVYHSFELQHHSFELQHYL >Solyc09g008690.2.1 pep chromosome:SL3.0:9:2133003:2136805:1 gene:Solyc09g008690.2 transcript:Solyc09g008690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLMSVLSGFLLAMVFSVSNGDPLVPALCIFGDSVVDVGNNNNLSTLIKANFPPYGRDFVNHKPTGRFCNGKLATDYTAEYLGFTSYPPAYLSREARGKKVLTGVNFASAASGYYERTARLFRALTLTRQLKYYKLWQRKVVNLVGRTNATNIFSRGIHILSAGSSDFMQNYYINPLLNRVYSPAQFSDILMKSYSTFVQNLYDLGARRIGVTTLPPTGCLPAAVTLFGRGTNECVAKLNKDAISFNKKLNRTSEKLKSKLPGIKLVVFDIYQPLFDLIIKPAESGFFESRKACCGTGTLETSFLCNARSIGTCSNATNYVFWDGFHPSQSANEKLAQSLLEQGFDLIS >Solyc02g005365.1.1.1 pep chromosome:SL3.0:2:8461142:8461492:-1 gene:Solyc02g005365.1 transcript:Solyc02g005365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKIYYQVRITEGDMPKTACVIGYKAYEWLVMRFGLTNAPASFFMLMNKILYPYLDHFVVVYLDEIVIYRNTLEERVEHLRKFFQVLRENLIYGKRQKCEFSQHDLHLLGHVIS >Solyc02g088517.1.1 pep chromosome:SL3.0:2:51227249:51228634:-1 gene:Solyc02g088517.1 transcript:Solyc02g088517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHIALLPSAGMGHLMPFLRLAAMLASRNCKVTLLTAQPTVSAAESKHLNSFFSAHPHIQRLDFQLADHPSLSISYYILSTTSARFFSLITHLPHLTLESSCVNLKLHGLPSFSISNIPPPIFNPQNLFTTQMISNARAISRVKGVVSNTFHWFEAETIEPLNSGKTSITLPQFLPIGPFKHYEDPGKCSSLSWLDEQPAKSVVYVSFGSRTAMSKDQIKEIGEGLLKSKQKFLWVLKSVKVDKAEETELKELVGHSLLEKIEEKKQGIVVKEWVKQEEILTHHAIGGFFSHCGWNSTMEAAQRGVPMLAWTLNGDQRFNAEVVEKAGLGLWPKHWGWLGERLVKSEEIEEKIEELMQDHKLRSMVPKVGGRGQNGLGKFGGTSEKVVGQLIEMLKLKSFGMFNLT >Solyc10g006710.3.1 pep chromosome:SL3.0:10:1168359:1174330:1 gene:Solyc10g006710.3 transcript:Solyc10g006710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CXK2] MNKILLFLFTILPLFQKVESQSDTLTTSQILKDGETIISSDGTFELGFFSAGKNSSSRNRYIGIWYKKISALTPIWVANRQIPVKGISGILKIVEPGYLVLINNVTNDTIWSTNFSSISVKNPVAKLLDTGNFVIKDANDDLLLWQSFDYPSDTLLASMKLGRDLVTGLERYLRSWKSDDDPAPGDYTYHCDPTGYPQDLMRRGPNVVYRAGPWNGLRWSGAPNMVNNSVTSFGLVMNDQEIYYKYELVNKSLLTTLVLTPNGNAMRMIWIEKREGWVNYHSADADHCDTYKLCGAYGTCTMFSDPVCRCLDKFVPKHPDDWNRADWSSGCVRNHPLNCSEDGFIKYTGVKLPDTRYSWFNETMTLDECKLVCLRNCSCMGYTSLDIRNGGSGCLLWIGELVDLRQLSESGQDIYIRMAASEISPIDGSSRKKSIILAIALPLSIAAILLMVGVCLILRRQKKRAETMLIEKRKLDDSNNKDKNNQIRREALELPLVDLSTIMKATNNFSLENKIGAGGFGKVFKGVLEEGQEVAVKRLSETSRQGNDEFKNEVSCIAELQHRNLVKLLGCCIEEEEKILVYEYMPNKSLDLFIFDQRRSTLLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDFEMNPKISDFGMARSFGGNETGDNTNRVVGTYGYMSPEYAVDGIFSVKSDVFSFGVLILEIVSGKKNRRFIHPDHNLNLIGHAWMLHREGRSSEIIDPNLVESCHTSELQRSIHVGLLCVQQSPEDRPNMSSVVLMLTNEGILPQPKPPGFFTERNIDDATGYSWSDQTPCSVNDVTVTLLDAR >Solyc04g077500.3.1 pep chromosome:SL3.0:4:62528844:62536492:-1 gene:Solyc04g077500.3 transcript:Solyc04g077500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGTMSLLAQAPAGALSATHRCSLTFTHPIPPLFSHRRPTQISTKNPIHGSKNGQKPITLANAESSSSGAGVPREASTATTSTVGSSNSSNGSASFSTEDSISFVGQDSVPLEGVIQFEKPDSNSISDKINKWGWVALLAGGDVAVLLLFSAIGRFSHGFAVFDSETLRTADPFIAGKEIVVGSLGWLLSAYFLGGFGEDGKGKNGLFKAFIAATKSWSLGIPLGIAIRAASVGHIPPVNFIIVTMGSTAVLLIGWRTLLFSILPTDKPKKNDVYKSGNPFEFLELLTSLVRRW >Solyc12g099920.2.1 pep chromosome:SL3.0:12:67856017:67861246:1 gene:Solyc12g099920.2 transcript:Solyc12g099920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRGLEGCDILFDISRLGRKRAYSWNKESEFENSDIFRFKRQIRKTRNIDEDYVQYLMLLLDYDEESKCCTNEGGKEGPAVARDRFNFDMDEDVNEYEDDEDEDEDIDPEYKKFLANTKPNGISYMVKIDRSVGFPVFVEFEKEDGSDGEFEYLGRRKQQGSGDEEDLGNTSSKDKVESQPFSRVVLENDDNRSAGDNNAIFEPSDPVTPMEKGLMSLKPSSGENGECKRHQKGETKNGSNKEEKKGKGRKSAKVTAKEEGEDIDEDYSYLLEKFICKNWSTTPSFMRKYNLKSKKSLTTVLENVSNISVGDKDTTHEISDTLSPKKKKKRGLTSQKNSTKANGAFKRQENSDKRKRSNKKQAGRKRRKLADVPLNEDLAGRKSVDVTVKEEIADVDEVSFKSGNKFECEAADEDLQIVVSGDRTFGKEGDSNLVEASLSKHCENVEDSFPRKSERSDFWWNVKALLERPYDQKEYIALWKAVKSRKPTLKDMDLRNGKFYSTRRLGKSYLDHYKDLHEKLKQVDNDNIKQLNILRSFFFWLQNLTQKGAFRPWTDPEWLSLVDNSIVPMITSDE >Solyc09g072990.3.1 pep chromosome:SL3.0:9:66078242:66084216:1 gene:Solyc09g072990.3 transcript:Solyc09g072990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERCLMASHGYPPWLFSPPELRVLKDSLPFFPSPGVNQDIVKSSCASVRFNQRLDLWKPMSRLLAGNPFVRILSTSEGPELIDARDDHLNSVLLSFGIAEQCTRQENILKYLRSGSNDVESGEIDIAILFDLMGPLVHAINMHQQQFPSYLEQQSRDAQPSLVYPSAALHLWEPSSNLIGLDSGKMIHSDGRLLVSGVTASIEMKDILSIISEFYFSKDSMKCTKHAMVVPYFDRKTCKTKSKGESSAQKLDVNASSLRSPQKTKYQTSPQRKSNKRAVKESEIYRNNYLHACESLLSIIVDKKRHGKTAILSLKKSGPQLPNFLTTFSATIAGTGIAVLFSIACRLACGRIVFSAPRLLNTGLGLGLIWLSWAVNNLRDTVVVINRSSGKLDMIEDDMMNNLDKNVKEIYFRAATLLAVVVLRLA >Solyc04g070985.1.1 pep chromosome:SL3.0:4:57920835:57926124:-1 gene:Solyc04g070985.1 transcript:Solyc04g070985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNGEERDIRTKGSETSCYPMGPGRKQPKEYNLIQTHPTPKFAKTTLDVPNLKVAERQVSNVPNLKLDEGQAAALAIQRSTSSLRWPGYNFLNAVKA >Solyc04g071300.2.1 pep chromosome:SL3.0:4:58321474:58321913:1 gene:Solyc04g071300.2 transcript:Solyc04g071300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGELVEYEEGTIGIALNLESNNVGVVLMGDNLLIQEGSSVKATGRIAQIRVSEAYLGRVINALAKPIAGRGEISSVYEPRQTGLIAIDLMIPIECGQRELIIGDRQIDKTAVATDTILNQ >Solyc05g012180.3.1 pep chromosome:SL3.0:5:5446292:5449751:-1 gene:Solyc05g012180.3 transcript:Solyc05g012180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLACFSKKNQATKIRKKFNKNNIPSTRRSSSNIRRIPPPPCISRSTSRKSDRIIYRSSSRIIDHDIDHDYIREQARVAAALLLQHHHQNGTFSQFERSVSLRDPLNCSSRKQKRYNNNNIMPRSSSSRRARSQSDSLPHHLELLRQGSRNVEDLNHKHFVLVHGGGFGAWCWYKTTTLLKESGYHVDAIDLTGSGAHFFDSNNISTLSQYVKPLIDFLEKFDDDKKVILVGHDIGGACISYAMELYPSKVSAAIFVAAAMLKSGQSTLDMFSVQLGLNNLCQRAQIFRYANGKDQPPTSIDYDKSLVKEVLFNQTPTKDVELASVSMRQVPFGPLTEKLSLSSTNYGSIPRFYVKTQDDFAIPASLQEVMIDSNQPEQVFQIKGSDHSPFLSKPQALHKILVEISNIPPKMNLKTI >Solyc03g098410.1.1.1 pep chromosome:SL3.0:3:62163214:62163567:-1 gene:Solyc03g098410.1 transcript:Solyc03g098410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPYERVTGGRLMFKGGAVASRSKAIDKKKKKKKNKSAEDIVSDEPLTGDAALAATEQQADAPEDMFTIDAAKRRKYDDLFPVEAKKFGYDPNAKAKSVEEALDDRVKKKADRYCK >Solyc04g008090.3.1 pep chromosome:SL3.0:4:1744882:1748325:-1 gene:Solyc04g008090.3 transcript:Solyc04g008090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGGEIRVRSKVDWIENLLNIKFFESCGYHRELRRNEKNMFCIDCNLCFCKHCVSSSSHCFHEWLQICKYVYHDVVRLHEIQKHLNCAEIQTYKINGEKAIHLNPRPQSKDSKTSKLKGSVTCEACGRHLQDLPNRFCSIACKVSVDANICKGRQKNYISNQITKFDHSNENESCISLNESSEVIQTWCISPLKPKKNLHKRKGVPRRAPIR >Solyc06g065940.3.1 pep chromosome:SL3.0:6:41448063:41449081:1 gene:Solyc06g065940.3 transcript:Solyc06g065940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGHNYIGYGFYYFINDQTRSLLSYGKKGIEQNLAAVTQVSRRKVEQITLGQKQELVVLQCLLIKLEDSKRWRIVEAVLQHNHTVSAEIRRFYKSHKKMILASKKQQELTPVTEVHTIKLYHTSIDAAYNGSKKSRKQIVGFLLIPQSI >Solyc12g044580.2.1 pep chromosome:SL3.0:12:60523748:60532484:1 gene:Solyc12g044580.2 transcript:Solyc12g044580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKLNSWTEKDHFHIPFIDHMFDRLAGKGWYGFLDGYSGYNQISIAPEDQEKTTFTCPYGTFAFRRMSFGLCNAPATFQRCMMSIFYDMVEDTIEVFMDDFSVRIPKWWIWYIWIHPVAWTVYRCIVSQYGDVEDTIKVPGMSIDPKIKDYIIDHFGYNPNFTGLVAIVLVDFAIFFAFVYFYSIKTLNFQRR >Solyc12g038900.1.1.1 pep chromosome:SL3.0:12:52345344:52345826:-1 gene:Solyc12g038900.1 transcript:Solyc12g038900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKNLPLKTFSVVESSKHLRTQDSNPVNDEDIVGFENEVEELIDYLTRGTRELYVILIVAMGGQGKTTIARKLYNNDIIVSRFDIRAWCIISQTYNWRELLQEIFSQVTCSKDEGDRDDILADMLRKNLMGKRYLIVLDEMWDCMAWDDLRLSFPDLGK >Solyc02g073578.1.1 pep chromosome:SL3.0:2:42331627:42332232:1 gene:Solyc02g073578.1 transcript:Solyc02g073578.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRIFGGYRKQHECSCTFIPQLVDQELKETCIGMKFQSLDIEFKFYLEYAYCNGFSVHKNQISRLRKDKSIIGQELFVQKKDFVQRKVLTVISNEMRLEKVQKILAIKKKKKSEALNNLIDVLNNFDIRPSKITLVLITQAGGVENLNLTGRDIQNFLRTKRKNYLEK >Solyc12g017960.1.1.1 pep chromosome:SL3.0:12:8877966:8878229:-1 gene:Solyc12g017960.1 transcript:Solyc12g017960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVCKTFKRNKTLRKYESLSSETNVINNIEDFYPEYANRENYPEYRRTQSVRASEKSVYYTQKDKQLVRFTSHRMFSCVTGA >Solyc10g081010.2.1 pep chromosome:SL3.0:10:62317274:62320188:-1 gene:Solyc10g081010.2 transcript:Solyc10g081010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKPVSLMEDYIVQLEDDIFDEISVSNTKVEVISLENVAGSNITRVVFAVDSDMKNMRISPTALSLVRSDLETVITHQSFLHLTSLFGDPFSFDVLKLRGGITVIPKQSVFLMQNVQIQFNFTLNSSIDEIQDKFDDLTSQLKSGVHLASYENLYIQLTNTRGSTVDPPTIIQCQVYLAVGIPSNSRLKQLAQTIGSNSKNLGLNNTVFGKVKQVSLVAPAISPAPRAEKGGSVHRKVSPVSAPVPASAPVLAPAPVHPTHKRHTAQPPCHFGKYPRKAKSHPPVLAPVQAPVPAPHIAPSPHQQIHAPTPVLHKIPVSSPLPSVVYAHAQPPPRTPSVAEPPQRTPSVSNLTSSSSPGIFSSNLWVLPLFLLLVPRI >Solyc01g009890.1.1.1 pep chromosome:SL3.0:1:4358475:4358867:1 gene:Solyc01g009890.1 transcript:Solyc01g009890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQESNSSRGNHRTTRVKRRSSCSDSLERVVKLASVSAVVIFSSSSCCMCHAMKRLFCELGVNPTVYELDHDPNGKSMEKALSKLLGNSPAVPVVFIGSELIGSMDRVMASHINGSLVPLLKEVGALWL >Solyc05g010170.1.1.1 pep chromosome:SL3.0:5:4359763:4360299:-1 gene:Solyc05g010170.1 transcript:Solyc05g010170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSASSAITIFMFFLASIYILKKTLKMVHRSSSITIVLNYVVFIVTQLKWAWNVLLLQSFTHPHNLYTISSQNDDDDDHELEVMHYEPEPGSSNSGSIECSVCLCKIEEGEEVRELRCDHLFHRVCLDRWLGMGRMTCPLCRNHLKQPRLLVNLHQEVVLFDFVEGTRSRDRCQWWLR >Solyc07g005560.3.1 pep chromosome:SL3.0:7:446832:450767:1 gene:Solyc07g005560.3 transcript:Solyc07g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A-2 [Source:UniProtKB/Swiss-Prot;Acc:Q9AXQ5] MSDEEHHFESKADAGASKTFPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTESGNTKDDLRLPTDENLLKQVKDGFQEGKDLVVSVMSAMGEEQINAVKDVGTKN >Solyc07g008910.3.1 pep chromosome:SL3.0:7:3900062:3905217:1 gene:Solyc07g008910.3 transcript:Solyc07g008910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQSFFTKFIVNLRSSCKYYTGYPKDLGPSKVIHFTSEREFVQLLHQGYPVVVAFTIKSNYSKHLDKVFEESAAEFYPHVKFLRVECPKYPGFCITRQKTEYPFVEIFHSPEQAAAQGRVADPNVTKYSVKVLPFNYDLSAYGFREFFKRHGIRSSDSN >Solyc05g016670.1.1.1 pep chromosome:SL3.0:5:17796704:17796853:-1 gene:Solyc05g016670.1 transcript:Solyc05g016670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHFFYMNFNIIVGDSHHISFFGSYMLHIYPSHLHNSSLSQKLRTPK >Solyc04g072620.1.1.1 pep chromosome:SL3.0:4:59669198:59669356:-1 gene:Solyc04g072620.1 transcript:Solyc04g072620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRMCLARRQNVHDFVAESEEGAVTGCTFLNILVNQSPEEEEEEDDDFFD >Solyc09g005360.3.1 pep chromosome:SL3.0:9:277449:286938:1 gene:Solyc09g005360.3 transcript:Solyc09g005360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISSSPRSVEEIFKDYSARRVGILRALTDDVDEFYNLCDPEKENLCLYGHPNETWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDCWLLSVAFYFGARLNRNERKRLFSLINEVPTVFEVVTERKPIKDKPSADSGSRSRGSSIKRSSDGQVKSTPKLEDESYLEDEEEHGETLCGSCGGNYSADEFWIGCDICEKWYHGKCVKITPAKAESIKQYKCPSCTLKRSRQ >Solyc01g005960.3.1 pep chromosome:SL3.0:1:625153:632593:1 gene:Solyc01g005960.3 transcript:Solyc01g005960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSWADSVSAAENPAGAPSKSAYVPPHLRNRPSTDPPAQASYAGAAASLGNDHSGYGVPNMVGSRWSGQRNEYQSGYAGGGGRGGGWNGRSGGWDRGRVREVNPFTNDDSEPVTEQESSAINFDAYEDIPVETSGDNVPPPVNTFAEIDLGEAVNENIRRCKYVKPTPVQRHAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGQFPRPPRPRMAFPLALILSPTRELSCQIHDEAKKFSYQTGVRVVVAYGGAPINQQLRELERGVHILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVQQMDMPPPGVRQTMLFSATFPKEIQRLASDFLSSYIFLAVGRVGSSTDLIVQRVEYVQETDKRSHLMDLLHAQKENGVHGKQALTLVFVETKKGADALEHWLCMNGFPAAAIHGDRTQQEREHALRSFKTGYTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNSSLARSLSDLMQEANQEVPAWLSRFAARSTYGGKNRRGGARFGARDFRRDSSYNRGASGGSTDYYGGGANMNSGYASGGYNASYGTAGVTSAWD >Solyc03g097160.3.1 pep chromosome:SL3.0:3:60914979:60925209:1 gene:Solyc03g097160.3 transcript:Solyc03g097160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSLKKSYKCMQSLQQFYTGGPYAVASDESFLVCACDEKIKIVDLSNASIKSTIEGDSEAVTALALSPNNNILFSASHSRQIRVWNLSTLECIRSWKGHEGPVMGMASDASGGLLVTAGADRKVLVWDVDGGFCTHYFKGHKGVVTSIMFHPDPNRLLVFSGGDDGSVRVWDLIGKKCLSTLEKHQSAITSMAISEDGWTLLSAGRDKASGQVEKSLIVSEVVNLWNLHNYGCMTTIPMFESLEALCIIGPESPFAASLSSLTYLQTKKRSDVPSINFITVGERGLVRIWSADRALCLFEQKSSDIAVSSGDEDSKRGFISALLMPASQELLCVTADQQFFLYCPEESEGGLNLVLRKRFIGYNEEIVDMKFLGDEEQFLAVSTSVEQVRVYDLTSMSCSYVLAGHTDVILCLDTCLSSSGRTLIVTGSKDNTVRLWDCQSKACVGVGIGHMGAVGAVAFSKKQRNFFVSGSSDRTLKVWNMDSVSDNNEEVLTLKAKAVVAAHDKDINCLAVAPNDSLVCSGSQDRTACIWRLPDLVSVVALKGHKRGIWSVEFSPVDQCVMTASGDKTIKIWAISDGTCLKTFEGHQSSVLRASFLTHGTQIVSCGADCMVKLWTVKTNECIATYDQHEEKIWALAVGKKTEMLATGGGDAVINLWHDSTALDKEEAFRKEEEGVLRGQELENALIDADYIRAIRIAFELRRPHKLLELFRELCRKNDMDDQIGKAIKALGKEELQLLLEYIREWNTKPKFCQIAQYVLSRAYSVLPATEIVEVPGYKELLNGLIPYTQRHFNRLDRLARSLCILQYTSAAMSVIQPEGNEGKLEGKDAKLPNAADIDELSDITSVEEEQHHKELKEQKSSKKRKNKSRHSASKKVKGGD >Solyc09g064550.1.1.1 pep chromosome:SL3.0:9:62071877:62072062:-1 gene:Solyc09g064550.1 transcript:Solyc09g064550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALKKLGVPEFEIAGVLDFEKLGVGVLEKEGYRGFDKLGVSGFEKLGLSSFEKLGFCRM >Solyc02g068920.3.1 pep chromosome:SL3.0:2:39370501:39379215:-1 gene:Solyc02g068920.3 transcript:Solyc02g068920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lecithine cholesterol acyltransferase-like protein [Source:UniProtKB/TrEMBL;Acc:Q71LX7] MAMLIEELIKSIEMWLKLIKKPQEYIDPTLDPVLLVPGVAGSILNAVDKKTGRTERVWVRILGADHEFCDKLWCRFDPSTGKTTNLDPDTSIEVPEDRYGLYAIDNLDPDMIIGSDCVYYYHDMIVEMLSWGYQEGKTLFGFGYDFRQSNRLQETMECFAQKLESIHTASGGKKINIISHSMGGLLVKCFMALHSDIFEKYVKNWIAIAAPFQGAPGYITSSLLNGTSFVHGWEERFFISKWSMHQLLIECPSIYELMGCPDFHWEHAPVLEIWKEKSNSNGESSVVLESYSPLEAVSVYELALANNKVTYNGEKISLPFNLELLKWANKTREILCHAKVPDKVKFYNIYGTNYETPHSVCYGSQNAPISDLQQLPFVQSNYISVDGDGTVPTESAKADGLKAEARVGVPGDHRGIVCDRHVFRVIKHWLRADHDPYYNPINDYVILPTSFDIERHHEKGLDVTSLREEWEIVSESQDGKENADSGKTKVGSISVSHVGDDNTTWEEAHATLIVHPKSEGKQHVELNAMSVSARA >Solyc10g017990.2.1 pep chromosome:SL3.0:10:6307681:6312000:-1 gene:Solyc10g017990.2 transcript:Solyc10g017990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFISYGYNLIIFFIISHLMSILGNLNPWNPSIPFEILSLNISSKLSINSHAIKESSKDFGKIIQEILPAALLYPSCVNDIIDLIQFSYGLSIPFHIAAKGHGHSIRGQAMAKNGVIVEMNTLNNNNNNNNNNNNNNNNENYGVRVSWDSNLGFYADVGGEQLWIDVLTCTLEYGLAPISWTDYLYLTVGGTLSNAGISGQNFRHGPQISNVHEMDVITGKGELVTCSKDMNSELFFGVLGGLGQFGIITRARIVLDKAPTRVSMIVFDRTRNKEEKKRLLELELKKLVKGLKYLGGFMFKKNVSFVEFLNRVRSGELELQSKGMWDVPHPWLNLFVPKSSILHFNAAVFVDIILRQNKTTGPILVYPTSRKRWDDRMSATIPEEETFYCVGFLHSSSGYNECKILDDQNEEILDYCDKVGLNVKQYLPHYKTKEEWIKHFGKKWNIFQQRKELFDPKMILSPGQRIFN >Solyc10g081810.2.1 pep chromosome:SL3.0:10:62914740:62918933:1 gene:Solyc10g081810.2 transcript:Solyc10g081810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKLFVVALFSALCILFPMASANSTDFQYCNKKTDYVVKVRGLDITPYPVKGGKETTFSIAATTDENISGGKLVIDVKYLFLHVHKESHDICEETSCPVSGDFVISHSQALPGITPPNRTNIISSPRTPALKKPNKNSQRTFIFFSVFPFPHQNKAMAVKLIVILVLSMSSTFMLRLNYGNAPPWHMGGRNCFDSDKKADYAVKVNGVDITPYPVKGGKEATFSIAAITDDNISGGKLIIDVKYLFLHVHHETRDICKETSCPISGDFVLSHSQALPGFAPPGSYTLTMKMVDGNNQELSCITFGFSMSLIADSKAVADA >Solyc02g055550.3.1.1 pep chromosome:SL3.0:2:2248657:2249324:1 gene:Solyc02g055550.3 transcript:Solyc02g055550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCTLLALTALESRLFHAGFLHKCCTIYSSKAILLFECIFNFQMAALRCSQKV >Solyc03g006717.1.1 pep chromosome:SL3.0:3:1273391:1274618:1 gene:Solyc03g006717.1 transcript:Solyc03g006717.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVFVDVRHDLVYAYGWPSRLVRPIWKVKRAPKRAYPSLRRFSCAIAYDFLGDPDSYVKNSNFFRRRPSRPCLCIRLSITACPTHFKGQTSPEASIPLFLTIFMCYSTRFFGSSGFRRQKCQIFSWTSVKTFSMHTIDHHGLSDPFGRSNELRSEHTPHFYDFRVLKHTIFWVIRISTSIMPNFFVDVHQDLVYAYVWPSRLFQHIWKVKRAPKRAYPSFRRFSCAIAHDFLGDQDSDVKNAKFLNGRSSRPFLCIGFAITACPTHLEGQTSPEASIPLISTIFVCYSTRFFGLSGFRRQKCQIFSWTSVKTLSMHTIGHHGLYDPFERSNEPRSEHTPHFDDFRVL >Solyc12g014380.2.1 pep chromosome:SL3.0:12:5260496:5274446:1 gene:Solyc12g014380.2 transcript:Solyc12g014380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSALISDTQSWKDLRAHVEEINKTHLRDLLNHTDRCQSMMVEFDGILIDYSRQRATLDTLKKLFNLAEAAHVKEKIIRMFNGEHINSTENRPVLHVALRAPRDAVINSDGKNVVPEVWHVLDKIRDFSERVRSGSWVGATGKALKDVVAVGIGGSFLGPLFVHTALQTEAEASECARGRQLRFLANVDPIDVARNITGLNPETTLVVVVSKTFTTAETMLNARTMREWISSALGPQAVAKHMVAVSTNLKLVEQFGIDPNNAFAFWDWVGGRFSVCSAVGVLPLSLQYGFSIVEKFLKGASSVDQHFLSAPFEKNIPVLLGLLSVWNVSFLGYPARAILPYSQALEKLAPHIQQACYSNLLACLYVSMESNGKGVSIDGVPLPYQTGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPIYLKDEVVNNHDELMSNFFAQPDALAYGKTPEQLQKENIPEHLVSHKTFSGNRPSLSILLPSLSAYNIGQLLAIYEHRVAVQGFVWGINSFDQWGVELGKSLATQVRKQLHASRKKGESVEGFNFSTKMLITRYLEASADVPSDPSTLLPNI >Solyc03g078480.2.1 pep chromosome:SL3.0:3:52416738:52419500:1 gene:Solyc03g078480.2 transcript:Solyc03g078480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGDIQVFLLKPQHSNSHRTSMATREQLGTFEFSFDEICQATGNVSAVHKIGEGGFGTVYKGKLKNGFPVAIKRAKKSETNRLFKNEVQALSKIEHLNLVRFYGFLELRDERIIITEYVSNGTLREHLDGRSRKSLEGTEFEVAERLSIAIDVAHAITYLHSYTGNSLQKNFHAKVADFGFARLATDDPCLDPEYLRTYQMTGRHPIETNRTIDETVSIKWIPTLHTIDKECTIFLSEVQAMRIIKHGDSVIAMDPRLRASPSSIMAVEKVLKLARQCLAPFRLARPPMKKCAEVLWGIRTQFVEKSIPKTSTSSHSTNFLGRNNGVVKTQKLPREWIDLRTEIRGNDMEPGISDQENTRREYVFKEPDPPDMREFTNIISRVLSEELLPMDKYG >Solyc08g082545.1.1 pep chromosome:SL3.0:8:65421608:65422297:-1 gene:Solyc08g082545.1 transcript:Solyc08g082545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLFDDEFSVKLMIDMCFEKQDNPNLALRGFSFIDGVKRLVEVECPGVVSCADIIIYRVGC >Solyc11g045465.1.1 pep chromosome:SL3.0:11:30683154:30684752:1 gene:Solyc11g045465.1 transcript:Solyc11g045465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESVKAKEIWALEGRLRQRLIRTSAREGKILVFWRLSLPIGATPDHPLANPFGPLSPKLQPKKIDPLLVIVGGNELLKDRVEYYASKMKELNEDVHYFEFEEMQHGFFTNDPFSQVADLVLQEIKCFMCKTSS >Solyc11g040370.2.1 pep chromosome:SL3.0:11:38006344:38013007:1 gene:Solyc11g040370.2 transcript:Solyc11g040370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEVMVEATSPVPSDHHKRKLEDLELNALEPHTEIGSDPNTETVSDSVQKLDGTIEEEAADVDGSEPKRPRLEDKANGLASQNGYEEKVEEELKVDDIEQREVAQEAPESCKEQPADGNQQTGDVEQSDAKTFLEIENSTQFNEPSKGDIQESEAEKSTQVDEPSKGDIHEPSAEVPGDIQKEPSAEHPEMEGVQLDQEHSASDDQTITRKMEVPNNKVGVLIGKSGDTIRYLQYNSGAKIQIMRDADTDPHAASRPVELIGTLENINKAEKLIKDVIAEADAGGSPALVARGFGTVQAVVGEQIEIQVPNEKVGLIIGKGGDTIKSLQTRSGARIQLVPLPSDGKESKERTVRVTGDKKQIEMAREMIKEVMSQPVRPASLSSGYGQQPFRPRGGGAPPQWGPRGGHPGQFPGYDYHQRGQYSSRSPQYPPPAYGNYPPQQAPRGGFGPGWEQRPQASMQGPPSQANYNYGQQHGPDYGHSYPHQTQHGQGYGHGYTDVKYDHQMAPQNQYGGHGPSQPTSYPQSGAHPSYGTHEQYGKPPSYGMHPQASQSYSHPRANQPGEVPYQGPAPSTQGYGASMPHQQQYPYAASGQVQQTYPAYGSSTAADGYNHPQGAPASGPGYPQQSAQPVPGYGQPVPQQPLAYAQAAPVGGYSSYPSQPTYTEQQATNNAGYGYQGPVDQTYSGAQASTTYSGQQAYAQPAPVPSSAPAQPGYDQSMTQPSGGYAPVPAAAAAGGYVKSVSPQPGYAPQYDANQMYGAPR >Solyc01g005340.3.1 pep chromosome:SL3.0:1:240684:249595:-1 gene:Solyc01g005340.3 transcript:Solyc01g005340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREVLEGSKKMVRDAIIEKFDIKTMLSSSNTLCIVEFGCSVGPNTLIAMQHVVEALKDKYLSQIITNSTNDNLEIQIFFNDHVNNDFNTLFRSLPIDRSYYACGVPGSFHGRLFPSRSIHFAHSSCSIHWLSKIPKELIGEKSPSWNKGLIHYIGTSNVEVVNAYFDQFEKDMEMFLNARAEEIVHGGMMVLITPFSTSYIRLVKFFGSSLTDLVNEGKLDESLVDSFNLPMYFPSVEDMTKVVEKNGCFSIERIELTYPKSKLVDEADAKTLIINLRAVLEGVLINHFGKEIAKEACERTILKSDEISAWMKANYEKSSQLFVALKPVGSGDLESLKIIIKNDGLDLFSLLALQNDAGETALYIAVSNNYEEIVSYLLGFCNLETAMIRSKADFDAFHVAAKSGHLVVDNRTYISIVVPYSRNLVRAGEEIDDMLDCKFVCPGLNCRVLSIVRELLAMWPGLCKVCNSSNTSPLYSAAVKGHLDVVNAILDADVSSIRIVRKNGKTALHTTARYGLLHIVKALIERDPDIISIKDKKGQTALHMAVKGQDTFVVEEMLDTDSSILNERDKKGNTALHIATRKCRPQIVSLLLSYTSLDVNAINNQKETAMDLADKLQYGDSALLIKEGLTEAGAKHARFVGQFDEASELKRTVSDIKHEVQSQLIQNEKTRRRVSGIAKELRKIHREAVQNTINSVTVVAVLFASIAFLAIFSLPGQYVETGPEAGKARIADTVAFRVFCLLNATSLFISLAVVVVQITLVAWDTRAQKQIVSVVNKLMWAACVSTCGAFLAVGFVVVGRKSSWMAITITVLGAPILIGTLVSLCYFVFRQHFGICGSDSQRRIRRASGSKSFSWSHSANISDMDDYNSDDRIYAL >Solyc08g015940.3.1 pep chromosome:SL3.0:8:6378782:6379940:1 gene:Solyc08g015940.3 transcript:Solyc08g015940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTKYSSQELRVVVIASGLLIIAFLYHVPITGGVEVPNWGKGATGVKVGSRPPRCSVDKCLNCSPCMATLIAPIHQGKHKASAASSQHDTYYLLAWKCKCGNKLYHP >Solyc09g065890.3.1 pep chromosome:SL3.0:9:64416162:64419554:1 gene:Solyc09g065890.3 transcript:Solyc09g065890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKNSILTFQTCTPHKHQGTTNFKPADSFLPPSYPLTRVKSSTKTRSLSSRTSQSFTSIINEKEKTNLSIDQLEESENTSNRTNIYEAEVVDRWLEIHGQDDWVGMLDPMDPLLRSELIRYGEMAQACYDAFDFDPYSKYCGSCKFPRRKFFDGLGMAEYGYDITRYLYATSNINLPNFFKQSRWPKIWSKNANWIGYVAVSNDETTKRLGRRDITIAWRGTVTRLEWIADLMDYLRPISSDNIPCPDPNVKVESGFLDLYTDKDESCRYCKFSAREQILTEVKRLIEMYPDEEMSITVTGHSLGSALAILSAYDLVETGLNVKADTSGVPICVFSFSGPRVGNVRFKERIEKLGVKVLRVVNVHDIVPKSPGLVLNEHSPSMVMKICEKLPWSYSHVGVELALDHKNSPFLKPTSDLVCAHNLEAHLHLLDGYHGKGRRFVLEKGIDIPLVNKSM >Solyc05g041700.1.1.1 pep chromosome:SL3.0:5:54250612:54250875:1 gene:Solyc05g041700.1 transcript:Solyc05g041700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIASATAKLAFCFIFSKALCKSVYICSMSVIPHRRCYNLLLLILMFEPMSVSLNILQSLKHAIINKVYLSFCFSFLSPNLCVIDR >Solyc09g074140.2.1 pep chromosome:SL3.0:9:66305405:66311084:1 gene:Solyc09g074140.2 transcript:Solyc09g074140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNSVARLIMLVKEMLPFLIEEVVISLEANGQEAFREENIPKNNIVVLFSGIELFLTMMVSHNSITLVHLKKIWSVSSFWSYKVLGFVWTCNVPNPVKLLNSLICTFEDIESCFMSGTHGEIILRMISSSVIKVIIYDLCLRISTPFTLSNDNLYPTMNPKFSVCDSNIINMVDDNISDDADEKSLAISDKEKGSRNKRKFESKIPLGTSSDSHGSSLTEFQKSDLLEKPTKGVTLFEFDPLTSRCPQYDAEQEVETAPNIDSEDMSAYCSFVLDKKLDSSFSGATRKSSHSKMKILVKCNQPLAKESFDSQCLSSPIAPASDTSKVPPITDNISEKDLDSLSMEPKSSKKIPANTTISSAVQDYFVGIPYDESLGKYVPRNERDETILFLTSHLKTLQEELQGWSDWANEKVMQATRRLCKDQAELKRMRQEKEDAENVHQEKNMLEETTMRRIMEMEQALVNTNSMGETINSLLNTLEMDNVGLKKDLEAVMPSAGKHAMNVNNALAKEQEALKKCQAADMEKRSFDEDLSAIKQEKTSLQQQQEKANKVLHQYKDLFNHEESVKQKFQQQVDCLKNEREQLRVKGKVQRHNFREKVERNKQKYKEETQKCESEISQLRFQSQRSITEALKRGIPQLTKGLSTYAESSDSNVVKMERECVMCMHEHISVVFLPCAHQVLCEDCNVLHQKKGMDECPSCRTPIKERISVHFPDSE >Solyc05g008600.3.1 pep chromosome:SL3.0:5:2916661:2920226:-1 gene:Solyc05g008600.3 transcript:Solyc05g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fructose-bisphosphate aldolase [Source:UniProtKB/TrEMBL;Acc:K4BX34] MASSSLVKLNASSSSWIGQQSFNQRSGSSCRLPVSNRRVSVIRAAGSYTDELIKTAKTIASPGRGILAIDESNATAGKRLASIGLDNTEANRQAYRQLLLTTPGLGDYISGSILFEETLFQSTTDGKKFVDVLRDQKIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIERTLEVAERVWAEVFYYLAENNVVFEGILLKPSMVTPGAEHKEKATPETIAKYTLTMLRRRVPPAVPGIMFLSGGQSEVEATLNLHEMNQSPNPWHVSFSYARALQNTVLKTWQGRPENVDAAQRALLIRAKANSLAQLGKYSAEGESEEAKKGMFVKGYTY >Solyc11g020620.1.1.1 pep chromosome:SL3.0:11:11776332:11777360:-1 gene:Solyc11g020620.1 transcript:Solyc11g020620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSKIKVELIQDDKKRMKTLVTRKAGLFKKISELSILCDIKACMLIYDEGNNNNCEMWPNDPNELINLYKNQPFEGPTKRGKTLSGDEIKVEKDPDSRFDYFENNEKKKADAIQVEKYPTWDSRFDYLSQKELQNLAGVLENRMENAKGRIELLKSMNGSCSLSHQQQIWDYNNLMNQTTTLSNNNLFQSNIPISSTVNSMGAGMDYHFCNANYCKMNESENWYGVGSSLTMMQHNMANNGIGSSSTILHLMGDDYGIIDSSSTMMLPMGNNEIGSSSTMQQPMYQYPFMYNGSTHVIGSSSTMQPPMGQYPFTNNDDSTGILVQVQQCSLWGIIMRLVQL >Solyc12g014610.2.1 pep chromosome:SL3.0:12:5610137:5616649:1 gene:Solyc12g014610.2 transcript:Solyc12g014610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSQSHSHSHPNQYNLSAPLLSINESSQQLKFASSDAAFSHTVSSAVGSNTGARYKLMSPAKLPISRSSACITIPPGLSPSSFLESPVLLSNIKAEPSPTTGSFSKFQVMQGSSGSAAFSYLRSCSSGNVYGETTGEFEFKFAIASNSTSGSLANEAAVISAGSNQQQSEPLIQVQDRYLSQSLAPSVLVETEMPDSKELSLPAPVSLEASSISTSAAATDNEETNQRGQSNTSTQGSHADNKDVSSITAERSSEDGYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPDGQITEIVYKGSHDHPKPQPSRRFTPGVLTSIQEDRGERDACLTGQEDKFNTNAQTSNTEPGGNPLSPRQAGDDGLEGTVSQLHSANDQMDEDDPFAKRRKMDGGMDITPIVKPIREPRVVVQTVSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKSVITTYEGKHNHDVPAARTNNHEMAGSASVTGGSRVRTEENGSVSLNLGVGIGYGMENRRNGQLHTLPAETAHSQVQVSSSSMMLVQPATVAACYNIVNGGMSRFGTMENRVQGTGFETLPLQSSAQCPQNYGRILLGP >Solyc07g008505.1.1 pep chromosome:SL3.0:7:3414095:3417873:-1 gene:Solyc07g008505.1 transcript:Solyc07g008505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQLPEGATSFVHITQNEILGRKHKKLKEEDIAVCECKYNVNNPETACGERCLNVLTSTSVHKDTVSVVKIARISPYILHPSNSPGMSLVNSTFEEKVYGGWIRSMIIVLLTKNKFRYNNGSCKSLVADDELWNDFEIRFGQSNRSQLFHLQKEMSDFLQGSLNIVAYYTKIKRLWDELDTLNTKSVCSCTCYYGGKEKIVKAQQDERAIHFLMGLSEAYVVVRSTILMISPLPSIILAYSLLVQDEKQREIFVSFYPTGNHSSYLMLDGNGPSQYLGHGNRQCCLNVLKLKEEDVAVCKCKYNVNDPETACGERCLNVLTSTECTQGYCQYGENCKNQVI >Solyc07g064840.2.1 pep chromosome:SL3.0:7:66966061:66968169:1 gene:Solyc07g064840.2 transcript:Solyc07g064840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQAVTSSEDIFDSSLNLEDIHYKEGYSVGYTDGLNSGKDEGKQVGLKKGFEVGEELGFYKGCIDVWNAAILVEPTCFSSRVQKSIKQMDELLNKYPFSDPENESIKPEYDGYPKASGIDNSGF >Solyc04g074800.3.1 pep chromosome:SL3.0:4:60794350:60797866:-1 gene:Solyc04g074800.3 transcript:Solyc04g074800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTAEAQLVQNNLENVDGFQLMPKQDTACLPNMQMQPEEIERKARVDAVWEQMNKGVSTRTLYSIINKPTSASNKSSSKSSSKSSSSSQQSWMTVLGLSQKKPSEPGRSTPEKCLRTTQNDTSEESKKLAAAALSAVKEAATAAASAGRVKVITEVRDFAGEDVEIKKYVDHNSAEASDKGKGPAAPASAVDIILEQIKKKQKLSVLDKTKKDWEGFKGENRGMEEELDAYKKSSNQYLDRVGFLERADYREFERERDARLAMHAKRKPESMREDY >Solyc09g091750.3.1 pep chromosome:SL3.0:9:71446416:71455605:1 gene:Solyc09g091750.3 transcript:Solyc09g091750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:26S proteasome non-ATPase regulatory subunit 1 homolog [Source:UniProtKB/TrEMBL;Acc:K4CWK1] MVTATMVSSAGGLLAMLNESHPQLKLHALSNLNTFVDYFWPEISTSVPVIESLYEDEEFDQRQLAALVASKVFYHLGEHNVSLSYALGAGPLFDVAEDSDYVHTVLAKALDEYASYKIKAAESSDEATKVDPRLEAIVERMLDKCIKDGKYQQAIGMAIECRRLDKVAEAIVRSDNVDATLAYCSNVSHNFVNRREYRSEVLRLLVEVYEKSPSPNYLSMCQWLMFLDKPEDVASILEKLLRSENKDDALLAFQIAFDLVENEHQAFLLRVRDRLSSPNLQPSDTVQSLPADSDRAATEDDEASEDVPLLEESRPLGGTLTAADPKEVIYAERLGKLKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHSGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRSTNVEVIQHGACLGLGLAALGTADEDIYDDIKNVLYTDSAVAGEAAGIGMGLLMVGTASEKASEMLAYAHETQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYRGTANNKAIRRLLHFAVSDVSDDVRRTAVLALGFVMYSEPEQMPRIVSLLSKSYNPHVRYGAAMAVGISCAGTGMSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQISEASDSRVGAFRRQLEKIVLDKHEDTMSKMGAILASGILDAGGRNVTIKLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFVSLAFSPTALIGLNSDLKVPKFDFVSHAKPSLFEYPKPITVTTTTSAVKLPTAVLSTSARAKARASKKEAEKASAEKGAGESSSGATNLGKGGKSTDKDGDSMQVDAPAEKKNEPEPSFEILTNPARVVPAQEKFIKFLEDSRYIPVKSSPSGFVLLRDLRPDEPEVLSLTDAPSSTASSTGGGSTGQPAPASAMAVDEEPQPPPAFEYTS >Solyc10g086565.1.1 pep chromosome:SL3.0:10:65477131:65481540:-1 gene:Solyc10g086565.1 transcript:Solyc10g086565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATRGCRFSFHVTLVSAAIAYIYFSTVFVFIDQWLGLWSSPGILNAILFSVVALFCILSYPLAIYTDPGRVPSSFIPDVEDPENTVQEIKRKGGDMRYCQKCSLYKPPRAHHCRVCNRCVLRMDHHCVWMNNCVGHANYKIFFIFVLYAVIACIYSLVLLVGSITIDSPKHDQQSEGSYRTVYILSGLLLVPLSLVLGFFLGWHVHLILQNKTTIEYQEGVRAMWLAEKGGHVHSHPYDLGAYENLISVLGPNVCCWACPTTRHIGSGLRYRTPFEGFSSTSR >Solyc01g016410.3.1.1 pep chromosome:SL3.0:1:19416250:19418172:-1 gene:Solyc01g016410.3 transcript:Solyc01g016410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEATLPTAGNRRSYRCYNCNDAFHITTTVGVSSSFRCPRCFHRHLLPNYTIASFIPFPQHLTLTDYTLSIYESITFNYSDSDTESDESNSDDLSFELFNPPQFRSPTLQSFLNSLPSVKINESSKNCSICMEEFGIDTEASQLPCKHFFHNDCIIPWLNRSNTCPLCRYKLPQEDEGEDGDEIEVILDGEIGGIEFQENFTASTLSEVGEDDDLRERDLDAMRDEDGDIMMVDA >Solyc07g054340.1.1 pep chromosome:SL3.0:7:62826912:62829576:1 gene:Solyc07g054340.1 transcript:Solyc07g054340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSMDYILYGFQILEELTLQFCYGHRRVVLLNLNLKTLVLGIRWFVTRTHVSCPTLLSLNMFETVEVRGLGYYKCSIYCLSVCQLYGRIWLRRVQGLPREENIPPNYYGGQMSQATFLCPLYARVCTTVDGIFSLVLILEHTLMDSPYEFDADEYSNGRFSCPVLDSSSQEGGGSWSCNEEAGDLVSGIFVRAFRGVRGSEDIRGKAGPLAQLISSLMKAP >Solyc11g062070.2.1 pep chromosome:SL3.0:11:49214918:49229847:-1 gene:Solyc11g062070.2 transcript:Solyc11g062070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFSPLSSSNPKTLVEHSTMHQNEDVRFTSSSIKLPQFTPLTLHHHTLNPFFTNLHLILQNFPKFQHPFHRNGGISQNLSKFTHPFHQKFNPQNAILQFLSKPRNINPFSWSLSNTPLLCCASIALAQSNLDGTPLSGPKTGSGNEERVLISEVLVRNKDGEELERKDLESEALNALKACRPNSALTVREVQEDVHRIVASGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGASVLPARFIEDSFRDGYGKIVNIKRLDEIISSINGWYMERGLFGARYRV >Solyc02g091340.3.1 pep chromosome:SL3.0:2:53300849:53308053:-1 gene:Solyc02g091340.3 transcript:Solyc02g091340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWATSQQYSPSNCWAMMWTQSILYSSQITQANPTFKGQVLNGEQLWELIEGLEANGLLYYTHLLTGYIGSVSFLNTVLKVVDKLRSVNPKLKFVCDPVMGDEGKLYVPPELVTVYREKVVPVASMLTPNQFEAEQLTGSRITSEKDGQEACNILHAAGPSKVVITSINIDGTLLLIGSHQKEKGQSPEQFKIVIPKIPAYFTGTGDLTTALLLGWSNKYPDDLDKAAELAVSSVQALLLRTLADYQKVGYDCQSSSLEIRLIQSLDDIRNPEVKYRAKRYN >Solyc02g067020.1.1.1 pep chromosome:SL3.0:2:37828480:37829382:1 gene:Solyc02g067020.1 transcript:Solyc02g067020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKRKAEGLMKIQRPRKKFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGPNTRTNFWTSSSPSSNSALPQKITKLLLSRLREQNKSAAAAAADSSSSTTSLAEIDHQQQKQQEKIGNRVVDFSDSLYTDYLNYPEDNVTENNVIAPITRELTSIVQNQEINFQPVNNYEIIEIGEAINIDVEDIESDIDFQFRFSPFDLAEELSMDFGEETSIVSEAMRRMNYERKFSASLYAFNGITECLKLKMKSGGVTRSDQLSRIQNACKRNLVKERENEERNAGND >Solyc01g095190.2.1.1 pep chromosome:SL3.0:1:86417802:86419167:1 gene:Solyc01g095190.2 transcript:Solyc01g095190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSKIYSFRSFLASNSFCNCNTSINCYTINHHVDLTLDTVIQKIQHEIDILRQLPNEKAFGKQAIFLADILNLVESVQNLLVQNSEPGAVLHSLSRQTEQVNEPAEYFLTEEIRKYVRIKPNRLKKQNFMGANGTFTSIGHACFSMKSELEEYMDYDVGEMCNDDWRLAQKLMVHGCDPLPRRRCFARAPQLYNKPYPINESIWKLPDDKNVRWSQYRCKNFTCLARNSSVKGFFKCTDCFNLTHHESPRWIVHSYQDANSEMTADILIAEVLNLKPGEIRIGLDFSVGTGTFAARMREHNVTIVSATINLGAPFNEMIALRGLIPLYMTINQRLPFFDNTLDLIHTTRFLDGWIDFVLLDFVLYDFDRVLRPGGLIWIDSFFCLKEELNDYLEAFKILRYKQHKWLVVPKIDKDDSEVFFSAVLEKPPRPFR >Solyc07g049370.2.1 pep chromosome:SL3.0:7:59771013:59773690:1 gene:Solyc07g049370.2 transcript:Solyc07g049370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTICLLLFAFSFSLFSSIADAGSIGINYGRVANNLPSAVKVIALLKSQGVERVKVYDTDPAVLKALSGSDIKVTVNLPNELLYNAAKRPSFAYSWVEKNVAAYYPSTQIESIAVGNEVFVDPHNTTRFLVSAMKNVHQALVKFNFHDKIKISSPVALSALQNSYPSSAGSFRSELVEPVIKPMLDFLRQTGSYLMVNCYPFFAYESNSDVISLDYALFRENPGVVDAGNGLRYFSLFDAQIDAVFAALSALKYNDIKMVVTETGWPSKGDDTEIGASVDNAASYNGNLVRRILIGGGTPLRPKEDLTVFLFALFNENKKPGPTSERNFGLFYPNERKVYNIPLTMEGLKHYVDRRSPSAGEQRTQKGGKVNVSGQTWCVASGEAEKDHLQAALDYACGEGGADCRSIQPGSTCYNPNTLEAHASFAFNSYYQKKGRAMGSCYFGGAAFIVHQQPKYGHCELPTEE >Solyc08g082630.3.1 pep chromosome:SL3.0:8:65481099:65486743:1 gene:Solyc08g082630.3 transcript:Solyc08g082630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINGWCYESQPNMNSPGKKDALYHELWQLCAGPVVDVPREGERVYYFPQGHMEQLVASINQEMDQRVPSFNLKSKVLCRVINSHFLAEEDNDEVYVQITLMPEAPHVPEPTTPDPLIPQDVKPRFHSFCKVLTASDTSTHGGFSVLRKHANECLPPLDLNQQTPTQELIAKDLHDVEWRFKHIFRGQPRRHLLTTGWSTFVSSKKLVAGDSFVFLRGNNGQLRVGVKRLVRQQSSMPSSVMSSQSMHLGVLATASHAVTTQTMFVVYYKPRTTQFIVGVNKYLEALKHEYAVGMRFKMQFEAEGNPDRRFMGTIVGIDDLSSQWKNSAWRSLKVRWDEPAAIARPDRVSPWEIKPYVCSIPNVLVPPTAEKNKRHRLHSEIKISEQPSSSNASAVWNPSLRSPQFNTFGINSSTNCALASLTESGWQLPHLNTSGMLVDEPEDGRSAPTWCGFPCVLAPQFGQGTNQPIVIPTDGRKCDTKKTCRLFGIDLKSSSISTTEARLQLQPAGISCVFAERAPPNTVPAGDSDQKSELSVDFKDQMQGHLRLPLKEVQSKQSCSTRSRTKVQMQGVAVGRAVDLTILKGYDELTKELEEMFEIQGELQSRQKWGILFTDDEGDTMLMGDYPWQDFCNVVRKIFICSSQDMKKLTLSRADS >Solyc01g110930.1.1.1 pep chromosome:SL3.0:1:97316137:97316388:1 gene:Solyc01g110930.1 transcript:Solyc01g110930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGMIKKSSTTKDVPKGHFVVYVGETQKKRFVVPISFLNEPLFQHLLSQVEEEFGFNHPMGGVTIPCSEDFFIDLTSRLRK >Solyc11g063520.1.1.1 pep chromosome:SL3.0:11:49916015:49916374:1 gene:Solyc11g063520.1 transcript:Solyc11g063520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSPHGPYGAGPHTCYNGNYNRKQGCKAERIRKDCLSSDCSLQLGNMKLESLVIADQHAAVNMYPGSVHTACHTLGIGFARSIGPMITHDFCGTLVPQRPLVVLLAHTTVGSSTGVKS >Solyc06g076150.3.1 pep chromosome:SL3.0:6:47417212:47422992:-1 gene:Solyc06g076150.3 transcript:Solyc06g076150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit C [Source:UniProtKB/TrEMBL;Acc:K4C9X5] MASRFWTQGDSETEEEEESDYEQQDDEPAETTKTDGGSRYLAADDSDSDESDGQKRVVRSAKDKRFDELSATVDQMKNAMKINDWVSLQETFDKINKQLEKVMRITEAVRAPNLYIKSLVMLEDFLTQALANKEAKKKMSSSNAKALNSMKQKLKKNNKQYEELINKYRENPPVSEDEGGDDEESEEEFEEDPTKIAVAPNDDTNRSLDPANEWEIILNKKDKLMDKQFKDPSQITWDIVNKKFKEIVASRGRKGTGRIELVEQLTFLTKVAKTPAQKLEILFSIVSAQFDVNPSLSGHMPVNVWKKCVQNVLTILDMLTQYPNIVVDDMVEPDENETQKGSDYTGTIRIWGNLVAFVEKIDIEFFKSLQVIDPHTREYVERLRDEPLFLVLAQNVQDYLVRVGDSRAAAKVALKQVEFIYYKPPEVYDAMRKLAELAEGEGEGESADENKVAEESKGPLAFVPTPELVPRKPSFEEDSRSLMDVLVSLIYKHGDERTKARAMLCDIYNHALFDEFSVSRDLLLMSHLQDNIQHMDISTQILFNRAMAQLGLCAFRAGLITEAQGCLSELYSAGRVKELLAQGVSQSRYHEKTPEQERIERRRQMPYHMHINLELLEAVHLICAMLLEVPNMATNSHDTRRKVISKNFQRLLEISERQTFTGPPENVRDHVMAATRALKQGDFLKAFDVIKSLDMWRLFKNKESVLEMVRAKIKEEALRTYLFTYSSTYNSLSLDQLAGMFDLSDKQVHSIVSKMIISEELHANWDQPTRCVVFHDVAHTRLQGLAFQLTEKLAILSETNERAMESRIGGGLEGLPPRRREGQDYAAAAAGGAGNKWQEFSFSQGRQGSSGGRTGYNAGRFASSRDRTGQARTHGGRYQTNAAAKGGHNMDGSRMVNLNRGIRA >Solyc10g085480.2.1 pep chromosome:SL3.0:10:64762553:64765768:1 gene:Solyc10g085480.2 transcript:Solyc10g085480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKHYFHNKLKPSKLTWTAMYRKQHKKDIAQEAAKKRRRTTKKPYSRSIVGATLEVIQKKRTERPEVRDAAREAALREIKERIKKTKDEKKAKKAEVQAKSQKAGGKGNMSKGGASKGPKLGGGGGKR >Solyc06g008860.3.1 pep chromosome:SL3.0:6:2799886:2804822:-1 gene:Solyc06g008860.3 transcript:Solyc06g008860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U1 small nuclear ribonucleoprotein C [Source:UniProtKB/TrEMBL;Acc:Q53U41] MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKGNVRTYYQQFEAQLNQSLIDQKVKEHLGAFRPVGLPFPQLRPGLPGLPTPPMQMPGNPQMPAGAQWVPGMRPPVLPRPMPGLPGYAPPPMPQMLAPPGAPMPGQVNNMQRPAGPPSAVPGSMGMPAPTGGPPMFAPPPVYQGSTTVPTTGGVDNSSTNAQAADSNQ >Solyc10g009160.3.1 pep chromosome:SL3.0:10:3146978:3151035:1 gene:Solyc10g009160.3 transcript:Solyc10g009160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVENRTRMREEVGPRQKMWGTWEELILGGAILRHGIQDWNVVALELRSRNFYFTPQACKAKYEDLQKRYAGCNAWFEELRKRRVEELKRELEKSESSIGSLITKIESLKAEKERSSQINYGSCIHTESPARVKSECMESIGKDGICAGNFMLDSTRTNFSPELESPAVASANEIDSKLECSESCEPDEILNISNQAETTNGNGRGALSKRKGKRKRKEVVSDVKRSIQESDNVCSISGISPSNGKDTSTSCDPSIKPTATEDDKEGLSRLMNEDLMAIFNSITQNEAAMVFRHRRDSQKRAKYKEMIKQHMDIETVRSRLVSCSIKSPGELFRDLLLLATNAIVFYSKRTTEHKSAVALRDVVTKVYHDHYKSSYHTTTSSQLTFSTTGNLPVKPRSARPRPSKFKLQSNS >Solyc09g075860.3.1 pep chromosome:SL3.0:9:67932645:67945638:1 gene:Solyc09g075860.3 transcript:Solyc09g075860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVKKTDIATVTLIGKMLEKWLGVVCGKNNIDTIDDNNIHINGQKVRGTVVLMKKNVLDFTDVGASLLDRFHEVIGKGVSLQLISADHAEPGNGCTGKLGQPAFLEKWISTLTSISAGDATFNVTFDWDESMGVPGAFIIKNYHHSQFYLRTVVLEDVPGHGELHFVCNSWVYPEHRYKYDRMFFANKTYLPSNTPEPLRPYREQELLSLRGSGSGKLKEWDRVYDYAFYNDLGFPDKGPDYVRPVLGGSKEYPYPRRGRTSRRATKTDPMSESRLPPLGLDIYVPRDERFTPMKLSDFLAYAVKSLGQVLVPEIVALFDKTINEFDSFEDVLKLYEGGIKLPDHHLKKLRQCIPWEMLKELVRSDGEPFLKFPMPDVIKVDRSAWRTDEEFGREMLAGVNPVIIRRLQEFPPASTLDPEVYGNQTSSIKREHIEKNMDGVTVDEAIEGNRLFILDHHDALLPYLRRINTTKTKTYASRTLLFLQDNGTLRPVAIELSLPHPQGDKHGATSLVFTPADEGVEGTVWQLAKAYAAVNDSGYHQLISHWLNTHATIEPFVIAANRHLSVLHPIFKLLQPHFRDTMYINALARQILINAGGILELTVFPGKYAMEMSSIVYKSWVFTEQGLPADLLKRGIAVPDSSQPYGLKLLIEDYPFAVDGLEVWAAIEAWVDDYCSFYYSTDEVIRDDTELQSWWMEVRNEGHGDLKDEPWWPQMQTRSELIETCTTIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELESNPDVAYLKTITAQFQTLLGVSLIEILSRHSSEEIYLGQRENPEWTSDVEPRQSFQRFHDRLVDIENRIVERNSDSRWKNRNGPVKVPYMLLYPNASGDNSESGLTEILEKLLETVCGKQRDVPVERDAVKIKGTVVLMKKNVLNFKDAGSAFLDRMHELFGKRVTIQLVSAEHADPENGSKGKLGKPATLEWASSKTWISVEEAAFNITFDWDESLGVPGAFIVKNNHHSQFYLKTLTLHDVPGDGEVHFVCNSWVYPSHRYNNDRVFFSNKTYLPCNTPEPLVAYRNEELVNLRGTGSGMLKEWDRVYDYAVYNDLGYDRPVLGRSKEYPYPRRVRTSRPPTKRDSSLEKRLPRLSLNIYVPRDELFNHVKFNDFLTYSATSIIRVVIPETASVLARPFNEFKSFERVLEFYKDSDEERSRECLPWKILKGQFHKYPIPHVIEEDNSAWRSDEEFGREMLAGVNPVIIQRLQEFPPTSKLNPEVYGNHTSKITREQIEKYMDGLTVDDAIKNDRLFILNYHDLLMPYITRINSTTTKIYASRTILLLQDDGTLKPLAIELSLPHPQGEKHGFTSQVFSPSNDESVEGYVWHLAKAYVAVNDSGYHQLISHWLNTHAVIEPFIIAANRQLSVVHPIYKLLQPHFRDTLYVNGLARQILINAGGILESTVFPSKYAMEMSSVIYKNWVFTEQALPADLLKRGIAVPDSSQPNGLRLLIKDYPFAVDGLEIWSAIEAWVDEYCSFYYSTDDMIRDDTELQSWWMEVRNEGHGDLKDEPWWPQMQTRAELIETCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEPGTPEYAELESNHERAYLKTITAQLQTLMGISLIEMLSMHSEDEIYLGQRDNPEWTCDRQPRQALQKFSDKLVEIENRIIDRNNDNILKNRNGPVKLPYTLLYPNATGDKSATGLTGKGIPNSVSI >Solyc12g042590.2.1 pep chromosome:SL3.0:12:58877736:58879852:-1 gene:Solyc12g042590.2 transcript:Solyc12g042590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLLDTCLDLSLNSTTSLDELPIKHQEVIGLGGDMPSNDEAASDHFAKEVKRLSGENKKLREMLSVMYDNYNTLRKQYMNNCSTSGTSRKRKAEKITSRVNSELSDEDSSCNKSRVLVQHHRIQRSDHTTLLVKDGYEWRKYGQKVTRNNPYPRAYFKCSFAPTCPVKKKVQRSIDDMSIIIATYEGEHNHSKVVLEAGEGSSATTIGHSHKQPEFNKLLIDQMASSLTRDPKFKAALAAALHEKNYPYT >Solyc01g066100.2.1 pep chromosome:SL3.0:1:72907538:72927407:-1 gene:Solyc01g066100.2 transcript:Solyc01g066100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKIEKSRVLIALFPGQGQLNPCLQFSKQLINLGIGVTLTTSLSAFSKLKNLPNVEGLSFAPFSNGYNGQFQLSSVGNFHMFYSSVKSRGSKFIFNLIQSNAKNGTPFSHVIYTIIMEWVGLVAKKINIPSTLFWIQPATVFYVYYYRFTDYSDYFKNSDAKDKIIELPGLPPLSPIDFPSFVFDNVESSNWAVKSIKRQIEMLSSEENPRVLVNTFDALEFDALRILKHVTMEGIGPSIPSTFIDDNTFRADMIEISSKNYMDWLNSKDKGSVIYIVLGSYSKISTQLMEEIGHGLLKCGSPFLWVIREEQDGDKMEDKLSCKDELENWCSQVEVLKHPSVGCFLTHCGWNSTLESIASKVPIVACPLWNDQVCNAKLIQDIWKNGVRVNVSEGGVVKRDEFYRCITIVRGDSEEGKELRRNVKKWSDLAKEAMKENGTSSVNLKAFANEILHGLSFNPFFDGYDGKFQLSSVDDFHMFYSSVMIRGSKFIFNLIQSIAKNGAPFSHVIYTIIMELNCPPLGPIDFPSFAFDNVESSNWAVKSIKRQIEMLISEENPRVLVNTFDAFDSDASRILRRVMMIEKSHVLIALFPGQGQINPCLQFSKQLINLGIGVTLTTSLSAFSKLKCLPNVEGLSFAPFSDGYDGQFQLSSVDDFHMFYSSVKSHGSKFIFNLIQSNAKNGTPFSHVIYTIIMEWVGLVAKKINIPSTLFWIQPATVFDVYYYRFTDYSDYFKNNDAKNKIIELPGLPPLSPIDFPSFVFDNVESSNWAVKSIKRQIEMLSSEENPRVLVNTFDALEFDALRILKHVTMVGIGPSIPSIFIDDNTFRADMIEISSKIYIDWLNSKDRGSVIYIAFGSYSKISTQLMEEIGHGLLKCGRPFLWVIREEQDGDKMEDKLSCKDELEKQGKIVSWCSQVEVLKHPSVGCFLTHCGWNSILESIASKVPIVACPLWNDQVCNAKLIQDIWKNGVRVNVSEGGVVKRDEFYRCITIVMGDGAEGKELRRNVKKWSDLAKEAMKENGTSSVNLKAFANEILLGYNEY >Solyc01g079860.3.1 pep chromosome:SL3.0:1:78850875:78860927:1 gene:Solyc01g079860.3 transcript:Solyc01g079860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent (S)-NAD(P)H-hydrate dehydratase [Source:UniProtKB/TrEMBL;Acc:K4AXN1] MQSVMSSGPSLEADSVSILRSIIPGLESTKHKGQAGKIAVVGGCREYTGAPYFSAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPILEESYSIRDEEKSSISAKVIAEVEKWIERFDCLVVGPGLGRDPFLLDCVSNIMKHARERNVPMVIDGDGLYLVTNCLDLVSGYPLAVLTPNVNEYKRLVQKVLNSEVNDENGTDQLLSLAKGIGGVTILRKGKSDFVSDGKTACAVSIYGSPRRCGGQGDILSGSVAVFLSWACQCAAKGEVSMNPTMLGCVAGSALLRKAASMAFDNKKRSTLTGDIIECLGISLQEICPV >Solyc04g049100.1.1 pep chromosome:SL3.0:4:39624756:39626881:-1 gene:Solyc04g049100.1 transcript:Solyc04g049100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIAIEDVRREVKILRALTGHRNLVQFYDAYEDGENVYIVMELCKGGELLDQILARDGKYSEDDAKAVMVQLLSVVSYCHLQRVVHRDLKPENFLFVSKDENSPLEAIDFDLSDYVTPDERLNDIVRSALCVTVYAGSDS >Solyc07g044740.1.1 pep chromosome:SL3.0:7:57922715:57924894:1 gene:Solyc07g044740.1 transcript:Solyc07g044740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGLLSIRVAMSLKNGDEDTIRSVINEFSSNIHIDERSILNKLSIINDCFSKNSVEEIIESFGIRAHIIDKDKSPKWNPSTLDKVHDDQLDLIFKPFEDHDLELQIPVDEEELYRWRGKYENSSCSRLN >Solyc02g082410.3.1 pep chromosome:SL3.0:2:46656765:46660836:1 gene:Solyc02g082410.3 transcript:Solyc02g082410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVLVALTAAIGNLLQGWDNATIAGAVLYIKKEFNLQTQAGIEGLIVAMSLIGATVITTCSGPVSDMFGRRPMLIISSVLYFVSGLVMLWSPSINVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGRLNTFPQFTGSVGMFLSYCMVFSMSLTVSPSWRLMLGVLSIPSLAYFFLALFYLPESPRWLVSKGRMKEAKKVLQRLRGRDDVSGEMALLMEGLGAGGEVSIEEYIISPDDELDDNQEHAVEKDRIKLYGAEEGQSWIAKPVTGQSTLGLVSRHGSVANQNALMDPMCHEKLPKMGSMRSMLFTNFGSMFNISESQVKHENWDEESQRDGDKHSDASGAESDDNLRSPLLSRQGSGTEGPPTSLSMRQGSSYNTANAGEHASMGIGGGWQLAYRKDEKKEGALKRIYLHQEASVGSRRGSIMSLPGCDAHGEGDTVHAAALVSQSVLRTESILAQQTIEEAVEKQTGPITKAIGWRALFEPGVKHALIVGVGIQILQQFSGINGVLYYTPQILEQAGVGVLLSNLGIGSESASFLISGLTTLLMLPSIGIAMRLMDIAGRRLLLLTTLPVLLLSLIVLVLGNVIDMGEVTHAVISTISVVVYFCCFVTGFGPIPNILCSEIFPTSVRGICIAICALTFWIGDIIVTYSLPVMLNTIGLAGVFGIYAVVCAIAWVFVFLKVPETKGMPLEVITEFFAVGANKQPGKE >Solyc01g081030.3.1 pep chromosome:SL3.0:1:80182387:80193532:-1 gene:Solyc01g081030.3 transcript:Solyc01g081030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQNSNNSSNNIPVSEVYWTLVAKADKKFSKIRDLPYYQRNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGDIASRIGQLYFGQYMRTSEASYLSESYIFYEAVLTREYFKDGMFQDVNLSNKQLRFLARFMTVCLVLNRHDMVFQLVNQLKMLLDECKRAFQETDFKEWKLVVQEIVKFRKAYPAFMNIRPLRYSVVLDLHPDCLPQVVEAKRKLRLRDALLCSYHPNEVKYSELTLDTFRMLQCLEWEPSGSFYQTGRVPPSGVGTGIGQNGAPGPSRVNYSQDISDPTLPPNPRKSILYRPSVTNYLAVLATNCEELPHDGVLLLYLSAAGSSQNSFSSPSHGAGRSFMSRVGDSEASSTSSLNVEFEIANPISAKGNCLSEQSSCLYIGSRSSRGMNGIYPCDLLPFTRRPLFLVIDSDISKSFTALSGSEKGESVIMLLSPAMSLPIPTVDSSRQLSGSSFTSFLTVPLQAFVLMLGFTGSDIDMDMYDKADKLLSSSLNEWGLLLAASDNLDPVWAQTLGDPFLRRLILRFIFCRTVLALYAPTFNKTEFLPECIPSLPDAFLPTSDTCQRIVLQLADIFGATSKFNFSEETPMLSDSRCQEN >Solyc06g064880.3.1 pep chromosome:SL3.0:6:40559427:40562698:1 gene:Solyc06g064880.3 transcript:Solyc06g064880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAVQSFVSAPLQIPNVTQFKIGICQLIVTTEKSANIFNARSLIQTAAEQGATLILLPEMWNCPYSTDLFAKFAEEFSDIDSAPSLLMLSEVASSLGVTIIGGSIPEKDAGQLYNSCPVFGPNGELKAKHRKIHLFDMGKPLPGEVQFKESVNISAGEKPTVVDTDFGRIGIGICHDIRFPELAMSYSARGAHLICYPGAFNMSTGATLWELEQRTRAVDNQLYVASCSLSRDSASSYMIWGHSTVVGPMGKIIATTGHEEAALIAEIDYAAIQWTRESLPLESQKRNDIYQFVDLLRESLNS >Solyc10g055810.2.1 pep chromosome:SL3.0:10:57363714:57364923:-1 gene:Solyc10g055810.2 transcript:Solyc10g055810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHI9 description:Basic 30 kDa endochitinase [Source:UniProtKB/Swiss-Prot;Acc:Q05538] MRLSEFTTLFLLFSVLLLSASAEQCGSQAGGALCASGLCCSKFGWCGNTNEYCGPGNCQSQCPGGPGPSGDLGGVISNSMFDQMLNHRNDNACQGKNNFYSYNAFVTAAGSFPGFGTTGDITARKREIAAFLAQTSHETTGGWPTAPDGPYAWGYCFLREQGSPGDYCTPSSQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGVDLLNNPDLVATDPVISFKSAIWFWMTPQSPKPSCHDVITGRWQPSGADQAANRVPGFGVITNIINGGLECGHGSDSRVQDRIGFYRRYCGILGVSPGENLDCGNQRSFGNGLLVDIM >Solyc03g119340.3.1 pep chromosome:SL3.0:3:69466727:69471740:1 gene:Solyc03g119340.3 transcript:Solyc03g119340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFSMGFLSSLCSCFEKRQKRRGGDEADDNGEESFNLFYELRALQIATNFFSDLNQLGHGGFGPVYKGLMPNGQEVAVKKLSVDSRQGIREFTNEVKLLLKIQHKNLVVLFGCCIEGPSKMLVYEYLPNKSLDCFLFAKEKSPSLDWTKRFQIITGIARGLLYLHEEAPVRIIHRDIKAGNILLDEQLNPKISDFGLARLFPEDGTHVNTFKISGTYGYMAPEYALHGYLSVKADVFSFGVLLLEIVSGRKNSDGRLGPQKADLLSYAWGLFQERKTLELVDSSLEDYDADEAVMCIQLGLLCCQSTVSDRPDMNSVNLRLSSDSFTLPKPGKPAIQGRVSRWTTDSSSAFTKNTTTNASSTLTGATNAISTTRASGGSSFVEDFSRNSISCSSMNEGR >Solyc05g018350.3.1 pep chromosome:SL3.0:5:20599911:20604818:-1 gene:Solyc05g018350.3 transcript:Solyc05g018350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSDDETPYSSRPNAAIYNTSSLRSRRSIRNPTSYLRNTHYEYNNEFQYNHHGGQEFSSGENDVDNFQGKKKRKLETLVSNYNFAPRSGSKDIWSEEESFVLLEVWGERYLELGRRSLRAEDWGEVAEKVTEMVGVDKTEVECRNQLDVLKKKYKKEITKMEKTGGGFHSKWTFFKKMDMLMNLRMKGHCGLGCGLDSGEYVFMDPRMYLDRSNVLDEMRDSPAGSDADNDEEEDEQGSGGWEGDDASAKLLADSIQRFG >Solyc09g091240.3.1 pep chromosome:SL3.0:9:71006843:71013648:-1 gene:Solyc09g091240.3 transcript:Solyc09g091240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGLALQTSNSIASSYGGKKKFSFLNVLDSLPSKKSIPTRRISPFSAGISSLLRCRALPKLQRRHSRIIPYASNVGVGSGSFEESQGNESHNVSVNQPSTDGSSKIEALPSKIPYPLAIALVLCGCSLVFSVIAFTKGGPSSLIAAFSKSGFTAAFSLIFVSEIGDKTFFIAALLAMQYKRILVLLGSMGALSLMTVFSVIIGRIFHSVPAQFQTTLPIGEYAAVALLFFFGLKSIKDAWELPSNDVETGEKSSQELDEFAEAEELVKEKASKRLTNPLEILWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVASGAIAGHLVATSIAILGGGFLANYISEKLVGYLGGVLFLIFAVATLFGVF >Solyc08g062970.1.1.1 pep chromosome:SL3.0:8:52518796:52519215:-1 gene:Solyc08g062970.1 transcript:Solyc08g062970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNHLYGEGGVRLELTPTTTSPLAIDVTESAEMRIQRLISENPVVIFTRSSCCMCHVMKKLLSAVGVYPTVIELDEEEIAALPTSAAEDLHVNNIPADAPAVFIGGTRVGGLESLVALHLSGRLVPKLVEVGVITHVV >Solyc03g119810.1.1.1 pep chromosome:SL3.0:3:69872214:69872669:1 gene:Solyc03g119810.1 transcript:Solyc03g119810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTPPRTPARAATAIAGSSKRLMVNFIGSMSLLALWLKKASRGIKTHKLGSDSPKSPLAKPKKFLATISNKAVNLRHKKKAEREIVDEDFSDGGLWQRGILMGDKCQPLDFSGVIYYDSEGNRLQEVPMRSPRASPMPNYLNYASPKVN >Solyc03g093340.3.1 pep chromosome:SL3.0:3:56043258:56045776:1 gene:Solyc03g093340.3 transcript:Solyc03g093340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDELGRDSKLGIRKVLEPTYFAYSTNDGLGYKSNEDTSNELTSSINLTHEVLSSSGSVSDWIEPSIHICNSKLLQSYGSNRVYDAFYLLQTEPSIQKMVISLSSDKAVWDAVLNNEAVREIRDSLKQVDAADNGLQAGNSEEGLDKSDSDGTVDIIGWIILNTKEKVLEIVEKIIDFVNEWFQPPEEEKTSNGDTDPFEEKLRTSFFLSIVVLLVVVVARAQCA >Solyc07g054470.1.1.1 pep chromosome:SL3.0:7:62917093:62917299:-1 gene:Solyc07g054470.1 transcript:Solyc07g054470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFCFLVDQTKQVCKSKPVAGTCSRCGGGASVADMKTATRFCYVPFYWKNWRAIICTFCGAILRSYR >Solyc05g009430.3.1 pep chromosome:SL3.0:5:3608272:3611490:1 gene:Solyc05g009430.3 transcript:Solyc05g009430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYKFHVFLAMVAVLILSPVVHGWGLDGHYTVCKIAQSRLSKTAADAVENLLPKSANGDLASVCIWADRVKFHYHWSSPLHYIDTPDNLCNYQYKRDCKDEDGVEDRCVAGAIYNYTNQLLSYNKGKDHVSTYNLTEALLFLSHFFGDIHQPLHVGFTSDRGGNTIDVHWYTRKTVLHHVWDSNIIETIEERYDDSNVDELVDAIQKNITTGWADQVNSWESCSGNKTTCPDIYASEGIKAACDWAYKGVSEDSTLEDDYFLSRYQIVLWRLAQGGVRLAATLNRIFK >Solyc07g016030.1.1 pep chromosome:SL3.0:7:6181696:6182743:1 gene:Solyc07g016030.1 transcript:Solyc07g016030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVKIAIEELEQKGKKAARVFITSPTYNGLFLKEQILSYNLHTVLCSFSQSSMLHLFGDRIDRDRVHKCLQSLQTTSPNWLLLASLDATRDELSKNPNTLFNEVMELVQQVKELINHIPGVSLFDLSCFSNNFSYMDPLRMTIGVQELGLSGLEAYNILSASHGNQPELIGTQSFTLAFSLGTTKDHTQRLANGLKYLSNNFFQEEMEIIEKKSQLYHGIERLPLGEVYMSCTPREAFFARKKKVNFEKSIGEVCGEFICPFPPGIPVLIPGEIITKRAMDYLVQVRDQGAFLKGAVDPLLSTVVVCDF >Solyc06g059720.3.1 pep chromosome:SL3.0:6:37698463:37699853:-1 gene:Solyc06g059720.3 transcript:Solyc06g059720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTISFSLFNIPSIVRGSPPPTTTILRRKTTSSRRLSPVIAVASPTSRHQVTHSMPPEKLEIFKSLEPWVSENVLSLRKPVEKCWQPIEFLPDPSQGPEQFEEEVRALRQRVLGLSDEYFIMLAGNMLTEDALPTYQTLFNTFDGVRDETGSSPCPWAIWTRAWSAEENRHGDLLRTYLYLSGRVDVLMVEKTLQYSIGAGIDIGAENNPYMGFVYTSFQERATFLTHGNMARLAREGGDPVLARICGTIAADEKRHENAYTRIIDKLLEVDPNTTMLAIANMMKKRITMPLHLMYDGQDPNIFEYFSTISQNQGVYTSRDYAEILEFFIIRWELEKLQGLTTEARRAQDFVCGLPRKIRKLEDRTKKLESRLIKFSWIFNKQVNV >Solyc06g069680.1.1.1 pep chromosome:SL3.0:6:43518057:43519061:-1 gene:Solyc06g069680.1 transcript:Solyc06g069680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDYSSVPMQGSGKIIRRSIFIFLQNYQFYTTIAAVLAFPFAASVLLLQAFVPSTCFLLAIHDHLHSLFDAVGLPSSSKLFTLLNSKLSQTFAISFLAFPFTLTSLLFAKASVIEALSDQKSFKKPAFFSFCSLYSSLLLTQLCNTIVIISANATCFTLLFFTFNIFNYGFNLSSPSPILFISATGAIICSIISASTLIICNLALVLSGLENIGGYMAILKACFLIKGKNATALSLAVIFNLAFAAVEVLYQYRIVKSYHHKRTGFSAIALEGMFIAYLYAILLVLDTISSCLFFECCKKSCQMDEEGCFPYQIEIEDRDHHTVLLIKNLEELS >Solyc07g066410.3.1 pep chromosome:SL3.0:7:67968924:67981227:-1 gene:Solyc07g066410.3 transcript:Solyc07g066410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGGRSLISSQPAFSNDAKKLLVCTGNTVSIFSTSTGLQIAELEGHRGLVTSVVVVPSTTPAGKLLCYCWTSSEDGTIKYWDFSVPELMRSIDVKLPIYSMVIPGLSSELRESSEKPSDLFAYVSVIVLKEQLGGSCALWWQIRKCNLTKCTLVGGVTLAESKNALSITLSCSGRYMGVCDKRKLRIWEIPTKDSDHSVLQNIRLHHTKTLSTLAFHPTDRIVSAGDVTGRILIWRGVGEHNLSSGNKQRSGGLMEDMEENPGVREKDDADICTTRHWHSAEVKVLFFSSDGAYLYSGGKEGVLVVWQLDTGKQKFLPRIGSPLLYFTISSDPSLSSISCADNRIHLLKMPSMEVLRSIQGIKLRSTVLDMSDGSSEGIVFDSATGLVAICSENYCIQFYSLFDDREVSEVQVCERSHQPADEVTVVVDLVALSPEGSIMITVETRFAEEGIGGLVSLKFWSCSSSNKEFSLSTVVYEPHRDSGISSIAFHPLRHMAVTTSQGADFKVWIGSQEMQQKDQMQNAGWTCHSVGSYKKKPMTAAAFSGDGSVLAVAAEKVITLWDPERNILVATVGESLEPISSLAFIGKSEYVVTTSQGSKAQVSVWSMSKLSVSWSYKLKIEAVTCAMDDSLFAVLALLPKPVGPVMSNEATTLDGDGVILLFRATDHVPVGIWFVRKAKGASLAFIRGSPKSEDDVTDGRSVQLLLAYLSVDHEYMLFDPLDGHTHIHKISRGNLADLEETGKFGYASLYGDLPDFSLERKQAASITSVPSERYWETLFSGPSHNLPPLAKLCSTFLESLLQKSSAVE >Solyc06g083920.3.1 pep chromosome:SL3.0:6:49259842:49265936:1 gene:Solyc06g083920.3 transcript:Solyc06g083920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRSLKRFLNNIEFSSGISRKHVSNQRSVYNILNRCQSNYSNTDGHKPEEKSISNGRERSKYDIDDGDFHDNKWKLELDWLSKAVEPAVQLCRWALSTGNGNGDKLPPTNKSLAEIFASIQRSKLGLQDWSLTDLTIGLYLIYLQQASTSPIEDVKGEQIYSDLIVQDLIYHTELAKGSYKDCTAALSRNCMLRESNVVKFIKNSSVLRPGYYIGIDKRKKLVVLGIRGTHTVYDLITDIVSSSHEEITLEGYSTHFGTSEAARWFLTHEMGTIRNCLEKHKVKACWSFPWRCNSFVAGYHASKYVGPRTWF >Solyc07g020990.1.1 pep chromosome:SL3.0:7:15293489:15294044:-1 gene:Solyc07g020990.1 transcript:Solyc07g020990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENSNFANRNVDYNVPRSKDSIKGVLSGEIIYLNKMPTSETFVALMDGFPSHSLKDEEIEVGLVSVIGGIEQLMKDCFGDVIPKWCSGLLDYAYNYFLLYEYINFTVELATKSRIPTKPSVSRVIFIGTSLVGLVAARQLMLFEFEVIVLERLKSASGRFYT >Solyc12g014110.2.1 pep chromosome:SL3.0:12:4926514:4930803:1 gene:Solyc12g014110.2 transcript:Solyc12g014110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKLFFLALFLLLVFSGAVYGRRFISDDSAGVISDGVHSEIGRDLEILRLDRNFAGGEEESCEQSYGFLPCTKTALGNVFLILVYGYLMFLGATYLSSGSELLLEILGPGIIGGLFLPVLGALPDAMLILVSGISGSTAAAQSQVSVGMGLLAGSTVMLITVIWGTCCIVGKCDIQNSVAVDMKDTNGFSLTGSGVSTDIWTSHAATIMAASVTPFLVVQLPQLLHSTSGRHLSVLIGLIISLTLLVSYCIYQVFQPWIQSRRLAYVKHKHVISGVLRQLRMRALGRLCTDQGAPNIEVLEKLFNAIDENEDGHLSRTELKALVVGIRLEEINLHENDAVEKLMKDFDTSHDHQVEMSEFIAGVTKWLTEARGSEAPSPEAGPDTMKYLDDLHEQTRREHHFLGDQSDESVETVENPRSTAIKAVLLLLLGTVIAAVFADPLVDAVNNFSSATSIPSFFISFIALPLATNSSEAVSAIIFASRKKLRSASLTFSELYGAVTMNNLLCLSVFLALVYIRGLTWDFSSEVLVILIVCVVVGVFASVRSTFPLWTSLLAFLLYPFSLVLVYVLDYKFGWS >Solyc01g106910.3.1 pep chromosome:SL3.0:1:94514944:94516451:-1 gene:Solyc01g106910.3 transcript:Solyc01g106910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGMMGGDSECSSGCESGWTLYLENSYITCCKGEKSLKQKQEETEEEEDLSMVSDASSGPPNFHQEEEYGHNNIINGAHYYAPISNPKRQKSKDKKQKHKQKQLSVLDDTASSPIFDFSNNNFSNINNNNNTKSVENNVLDFSQGYSATHFQGRSTYQEHYGYFQSSLLPGNKFQENQ >Solyc12g099470.2.1 pep chromosome:SL3.0:12:67590281:67596659:-1 gene:Solyc12g099470.2 transcript:Solyc12g099470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEEDRCPRPMCYKAYGSAKEDSPMCDVPGFETCRMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVAEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDDIRGGVAGGSILKGVLKVNQLIEVRPGITVKDESGNIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVFVELEVNFFLLRRLLGVRTKDTERQGKVSKLAKGEILMLNIGSMSTGARVVAVKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGQIQAGITLDVPPCPI >Solyc05g012205.1.1 pep chromosome:SL3.0:5:5462446:5464029:-1 gene:Solyc05g012205.1 transcript:Solyc05g012205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCSSKRVSSKDTKKSSKYEIRRAILDEAPKLRILGLSKQGLRQKCKPPPTTQLESIGLSSIL >Solyc04g082845.1.1 pep chromosome:SL3.0:4:66458379:66462328:-1 gene:Solyc04g082845.1 transcript:Solyc04g082845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEPLILENEFDMCSAEEEDNLNASGVDLGQQCSSSDLEPYEGMEFESEQAARIFYNSYARRVGFGTRVSAYRRSRRDNSISTRQLVCSKEGFNPRPDNGAQHKPKRQRIVSRVGCKAHLTVKKLTSGKWAITKFIKDHNHELVPPDQVHLIRSHRHVSGPARSLIDTLQAAGLGATGVMSVLIKQSGGLNNVGFTKVDCQNYMNQSRQRTLGSGAHYIFEYLKQKQSEDPDFFYAVQDGSSGNIFWADSTSRKNYSYFGDTVTFDTTYRTHRYRVPFAPFIGVNHHCQPVLFGCALLLNESESSFIWLFENWLAAMSGCHPISITSDHDRIIRSAILDVFPGARHRFCKSNIFREAQERLSHSIQSFPTFEAEFQKCVNLTETIAEFELCWGSLLGRYNLIDDEWLQSMYDARQQWVPVYLRDTFFGDTSIAKTSDSTSSFFDGYIDASTNIHILMSQYEKAIASRHEKEVKAEYDTINIAPILKTPSPMEKQAANIYTREIFLMFQQELMETLAYPATSINDAGSDVIFQVVKFGEDHKVHYVQYNVVEKKASCSCQLFDFSGILCRHILAVFRVKNVLRLLSHYVLKRWTRKAKSEVLLDEDKLGLPSSHNDSFTDRFEKLSLEATNYVKEGVDSKSVYLVALDALCEASKKVAAAMCGTPAQPLSQDMNKEKEFNLNGNQTDCDDPSLLSEDEKIKELTTAVVYATETCEAYRAKLLSILSEMEEQKLRISLKVQSMRVHRIT >Solyc02g005100.2.1.1 pep chromosome:SL3.0:2:6547254:6547681:-1 gene:Solyc02g005100.2 transcript:Solyc02g005100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSWLLRFIVRSGLVRFYAEVRMLVELSVKLAGALIMSW >Solyc05g013380.3.1 pep chromosome:SL3.0:5:6449720:6458261:1 gene:Solyc05g013380.3 transcript:Solyc05g013380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKALDYENLNENVKKCQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGLIFPADAIARAKQFLGMTSGGLGAYSDSRGIPGVRKEVAEFIERRDGYPSDPELIFLTDGASKGVMQILNSIIRGPSDGILVPVPQYPLYSASIQLLGGSLVPYYLEETANWGLDINDLRQSVAQARYKGITVRAMVIINPGNPTGQCLSVANLKQIIQFCHQENLVLLGDEVYQQNIYQDERPFISARKVLLDMGLPLSKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKAVEEIYKVASISLSPNVPGQIFMGLMVNPPKPGDISYDQYVRESKGILESLRKRAHMMTDGFNSCRNVVCNFTEGAMYSFPQIRLPPKAIETANKLGKAPDVLYCLRLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPAIMESFKKFNDEFMEKYEDHKGYSRM >Solyc01g091090.3.1 pep chromosome:SL3.0:1:84682698:84688407:-1 gene:Solyc01g091090.3 transcript:Solyc01g091090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLKMGVTEVEEDDEHDSKESVLQRYFLQEWKLVKSLLDDIISNGRVSDISSVHKIRSIMDKYQEQGQLLEPYLESMVSPLMSIVRSKAVERVAASEEILEVINPVCIIIYSLVTVCGYKAVVKFFPHQVSDLELAVSLLEKCHNTQAGTSLRQESTGEMEAKCVILLWLYILVLIPFDIASMDTSAGNNNYAGGDEPPPLVLKILEISKDYLSNAGPMRTISGLLLSRLLTRPDMTKAFTSFVDWTHEVMSCMSNDVVNHFQLLGAVEALGAMFKNGSPKVLLSVVPGVWNDTSALMKSNTAARSPLLRKYLVKLTQRIGMICLPPRHQSWRYVGRTSTLGGHITADRIETNQYNNYRNNDLSNFDQEPDCHDEEDMDVPDIVEEIIELLLSGLRDTVCLKGDTGYCCALVCCKRHWSCYFTVNLLAFR >Solyc01g014210.1.1 pep chromosome:SL3.0:1:11781789:11783191:1 gene:Solyc01g014210.1 transcript:Solyc01g014210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQHPTVYQKVAIQMPLSSSISQDVHAHYGSIQRLALSQRRFQNVFVQALQEKGVSAFATNFLMGGVSAAVSKTTDAPIERVKILIQNQDEVIKAGRLLEPYKGIGDIFRRTIKDEGFSSLWRGNTANVFRYFRTQALNFALKDYFKSLFNFNKDRDGCKEGSGGRQFDGLVDVYRKKLKSDGVVGLYCGFNISCVGIIVFRGLYFGMYNSLKPVLLTGKMEVSYPSIFIISRFKRRMMMTSGEAVKYNRLFDAFNQILNEGPKSLCKGAGANVRRAVAGAGVLVGYDKLQVIIF >Solyc05g014260.3.1 pep chromosome:SL3.0:5:8044515:8050118:1 gene:Solyc05g014260.3 transcript:Solyc05g014260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENSKTSVGFSSPRTDTFPAGLRVLVVDDDPTWLKILEKMLKKCSYQVTTCGLAREALYVLRERKDGFDIVISDVNMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVLRKKMQEARDIGNHELDQYDEVWILNGAEILSGKKRKDFENKHDEREMSDSRCVDSSSMKKARVVWTVDLHQKFVKAVNQIGFDKVGPKKILDLMGIPWLTRENVARHLQKYRLYLTRLQKEDEAKASFIGTKHPDVSSKEICSSLSLQNSLDACTDVTNEKYGCVTGDKTIVQNGKSNICESKVKGVVSVPAAEPRSVVEDNFDPQTTGSKIGLNDSFGLVNTNVKSAKVPIPYCSTGEAPQPQYKQQDFKPHFRSANGLCHQLLPVVSHQIPVDRTQETCFVNHTPSHEERDRHPDTKNRPSFFKTKNEVVGKFSPVESNVNLYQTVSHQQTNFHTLEQMPSTTWRATSHNVVNGPQSSPGNLTLRSGSIVASVGEEIHGASIQGECFLANNDLPNIEQFDYNDPQPISGVPTYLYDTLRFDYEYPIDSLEGNVIDQGLFII >Solyc06g082560.2.1.1 pep chromosome:SL3.0:6:48384779:48387340:1 gene:Solyc06g082560.2 transcript:Solyc06g082560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPENFTDKTNEAVGEAHELAVSAGNAQLTPLHIALVLLSDHSGIFWQAIVNAAGIEDTANGVQRVFNQAKKKIPSQLPPPDQVPPSTSLNKVLLRAQSLQKSRGDSHLAVDLLILGLLEDSQIVHLLNDSGLSAAGVKSEVEKLRGKDGKDVESATTFQALNTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRVIALDMGALIAGTKYRGEFEERLKDVLKEVEDAQGKVTLFIDEIHLVLGAGRIEGSMDAANLFKPMLARGQLRCIGATTMEEYSKYVEKDAAFERRFQQVYVAEPSIPDTISILRGLKEKYEGHHGVKIQDRALVIAAQLSSRYITGRHLPDKAIDLVDEASANVRVQLDSQPEEIDNLERKRIQLQVEHHALEKEKDKASKARLVEVRKELDDSRDKLQPLMMRYNKEKERIDELRRLKQKRDALTYALQDAERRYDLARAADLRYGAIQDVEAAIANLESSTDESRMLTETVGPDQIAEVVSRWTGIPVSRLGQNEKEKLIGLADRLHQRVVGQDEAVKAVTEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDDKLMVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTKAVRRRPYSVVLFDEVEKAHPTVFNALLQVLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEYLLSGTREMVMQDVRKQFKPELLNRLDEVLVFDPLSHDQLRQVCRHQLKDVAGRLAERGIALGVTEAALDVVLAQSYDPVYGARPIRRWLEKKVVTELSKMLVKEEINENSTVYIDARRGDKDLTYRVHGE >Solyc01g111340.3.1 pep chromosome:SL3.0:1:97530953:97534980:-1 gene:Solyc01g111340.3 transcript:Solyc01g111340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMGPREEPIYDHSAYTECKSVPEDALYNGGIMKDYRTNENKVIRSETNSYNFVLNNLSGWIRILDADSAVMKASLKTDDGTVKCVGNVIARAGCWSFLKGGFVLDLPSSYGLLYLQRSDGEKLNISISSVSLQPFTYQQWKKNQQDAIDKGRKCTAIIHISDVQGERVPGASIIIEQLSRDFPFGSAITKTILGNPAYQTWFKERYNAAVFEDELKWYSTENQQGVVNYTIPDQMLEFVRKNQIITRGHNIFWEDPRYIPSWVRNLTGPDLKSAVTSRIQSLMNKYKNEFIHWDVDNEMLHYDFYEQRLGINTSLEFFQTAQQLDPLAKLFMNDYNVVETCYDMNSTVDAYISKLRDLKQGGIFMDGIGLEGHFTVPNPPLIRAVLDKLATLKLPIWLTEVDISKNLDKETQVRARYLEMVLREGFSHPGVNGIMLWTGLHSNGCYQMCLTDNDFHNLPAGDIVDNLLKEWQTGVVHGETDEHGEYKINVKSGNRTTNSTFSLYRGDDTRHLNIHL >Solyc07g006035.1.1 pep chromosome:SL3.0:7:867293:881195:-1 gene:Solyc07g006035.1 transcript:Solyc07g006035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTGKAKPHKAKGEKKKKEEKVLPNVIEITVETPNDSQVMLKGISTDKILDVRKLLAVNVETCHVTNYSLSHEVRGTRLKDTVEIVSLKPCHLSLVEEDYTEEQSVAHIRRLLDIVACTTSFAGSSSSTKPTNRTGTEPGSENALSEPKSGKTKPQEPKKAGAKPSKPDGVAAVCDGVDAGEAAEKGDPAMMCPPPRLGQFYDFFSFAHLTPPIQYIRRSSRPFLEDKTEDDFFQIDVRICSGKPTTIVASRTGFYPAGKRALSSHSLVGLLQQLSRVFDAAYKALMKGFTEHNKFGNLPYGFRANTWVVPPFVADNPATFPPLPMEDENWGGNGGGQGRDGKHDHRPWAKEFAILAAMPCKTAEERQIRDRKAFLLHSLFVDVSVLKAVASIKHLVDNSSSCTIPYEEKIGDLLISVTKDIPDASKKLDNKNDGIQVLGMSPEDLAKRNLLKGITADESATVHDTSTLGVVVVRHCGYTAIVKVAADVNWGTNLIPLDIEIDDQAEGGANALNVNSLRMLLHKSSTPQPSNQVHKLQGADVEDVLATKSLVRQVLDDSLQKLQEEDSIQVKSIRWELGACWVQHLQNQASGKVESKKTDEAKVEPAVKGLGKHGGLLKEIKKKSDDKSSKASSGNEVSSGDANNKELEKLDEEMEILWKKVLPEAAYLRLKESETGLHLKSPDELISMAHKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMVVRAYKHILQAVVAAVDNIANVAASIASCLNVLLGTPSAENGDSDDDLKWKWIETFLLKRFGWQWKDESREDLRKFAILRGLCHKVGLELVPKDYDIDSPFPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVTFGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGPDDLRTQNFLQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYIAPDAEMKAREAQKKQARAKVKGKAGQNGGLATDEFEKDELLSPTSPVVENSSDKENKSELENKSELKIAEPTPKESEHILIEQTLLEKNDDVILEDTSEEGWQEALPKGRSTMGRKISSSRRPNLAKLNTNFTNASHLPRARGKTTNFPSPRLTPNESAASSGLSPASKKFVKSASFSPKLNSAASPSGGTERSSKPKSAPLTPAQAEQVVKTNSIVSSISVQAAGKLFSYKEVALAPPGTIVKAVAEQLPKDSNSEQNKETVATDSTLPTTARTNDGEKAQKVGEEKQHDDSGEKTNQAVNDAQQSKEKAPVSSESSEGTKADTSGEMDGVVTASTNSSIPGIQNNGSSDSDATSKVNILESKAATDLVTEKDACLTNEGAAVKEKNDDEPGDLGSVTLPTGVDKDITSNASTVPTESDQQGDSETVKEASKKLSAAAPPFNPSPIPVFGTIPAPGFKEHGGILPPPVNIPPLLPLSPVRRSPHQSATARVPYGPRLSGGYGRSGNRVPRNKPAFLNAEPNGDASHFAIPRIMNPHAAEFVPGQPWVPNGFPVAPNGYMASPNGMPVSPNGYPISPNSIPVSPDGSPASLNSTPVTEDGISISPVEAGESPLAVTVEEAAENHDKAMAGGTEVDTSSSLVTDETESQQIMQAQEEDVEKLHDIPNDDEKSPCENGEMSVDTPALSDEITASKETCNTVVLEEKGTKRWGDYSDGENEVVEVAS >Solyc08g008260.2.1 pep chromosome:SL3.0:8:2687713:2691553:1 gene:Solyc08g008260.2 transcript:Solyc08g008260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRSWKESMKAFGSHIDPEKEEQWKWMKIGNYSIPEQPRPFECYWRLWDNALEAKRRQYKASVRAQIYPQRGGFMWYTECQLVRKIENKVKRIAKLIKKINQGSREGNLRRRSELLQLVDEFHKQYKSLYSVYDNLRGEVKNKLNEEHEDDLSSQSSSSCSNSESYFSPEELTAKSSSSCSYYEPLDEYAILKDKVITSNIEVKGGSVESYDMENFETPMSYSRGSESGEFFKDLRIQGEEKFIDESEWFQERVKEKEDEILYLTDDFELREKERLSQMKGLEDQIASMKVELYNLCVQKREVEEQLECKSNELKQMEDSNSRLQSRVLALEATFTEVDENLLNSTSRIDDLMAKSHVLQQEVDRLNTEKHELEQKLLNETKKGSEQVKDLEDKAHSSELLQHVNGPLQQEVDRVRVQRNDLQLETNKGSKQVKDLDFSELQKSDLELSLEKKSHEASDRVKDLTERTTYLQHELEALRKQNCELELSLQEKTQELSECHLQIENLKGELTSTTSSEKGLTKATEGLKSEVNSLMDAKCDMEEKIMDMNQEAYHSELQKEKLTDKIMELETKLLGKEAEVGILQKKHEVYMNDMSAQGSTLTDRINNIQKQMQTAETEKSRFQSQLEKEKHEFSQSLMQMEKKNTELTIKIAEQEKTLKGMQDVVNKSKGEHKQMEIKLEDSKLNFHNAERKLGEMTEELRKSFEDSLRILSRRIRVAEQMHIENKEWYQKTRNSYVKENKDLKEKNARNEMGLRGIKDISLTASDMLGSLDTVALKFEECTAHFLNRISKNSCELQFVKDWVMRKNKVMAHVKDDFDCLLAQCDDKESEILKYREKVWKSENKVRELEKMIKDREESMLSLKEEKREAIRQLCVWIDYHRSRSDYYKRILIAEFGRRSAP >Solyc04g011545.1.1 pep chromosome:SL3.0:4:3981805:3983685:1 gene:Solyc04g011545.1 transcript:Solyc04g011545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQHQDQAADIFREENAARTAPLKFLRSASSEHRSVFPRSEFVGVIVPYRTDGDKQRFSLCCHD >Solyc05g055940.3.1 pep chromosome:SL3.0:5:66220445:66227541:1 gene:Solyc05g055940.3 transcript:Solyc05g055940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRALGIQRSSETQHSNMGVCGAMSTSLSILPSFEEKHLKVTDSLHVLTEKEQTTNLISSRAIPSASKSGTVGHLLSSTSGPHKHFHFSSTPSRESRKHNYPFISSEASAATCQSSLSNIHSTSLDNYPMENSNNSWGEDAYHDCINFSTNVPVQNDQVESLAVVMTSDDQVKRSDWQDWADQLINDDDVLDSSWSNILVDINPPDTKFLRASEVHAGQSDTLPPPLPAAAASSGQNYPVGSPSSTAAQTKPRMRWTPELHEVFVEAISKLGGSEKATPKGVLKLMNVEGLTIYHVKSHLQKYRTARYKPESSEGTPEKKTTSVTEMPSLDLITTMGITEALRMQMEVQKQLHEQLEIQRKLQLRIEEQGKYLEMMFEKTKDIGKDLKVSSSSRTDEHPSPSNKMKHTSPND >Solyc07g065165.1.1 pep chromosome:SL3.0:7:67175416:67178000:-1 gene:Solyc07g065165.1 transcript:Solyc07g065165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVREPRSIARKFLARPQQEGLGAVVRRSIGRFEIRYFDPFIVLDEFSVSAPAGFPDHPHRGFETVTYMLQGAVTHEDFDGHKGTIKAGDLQWMTAGRGVVHSEMPAAEGIQKGLQLWINLSSQHKMIQPRYQEISSANISEATKDGVKVRVIAGEALGIKSTIHTKTPTIYLDFTLKPGSQIQQPIPKSYNSFVYILEGEGIFGQDSRTNSPISSHNLLLLSGFGDGIVAYNKGTKILRFILVGGEPLGEPIAQLGPFVMNTQEEIDQTIEDFENYTNGFEKARYWRSQARVELGY >Solyc04g074630.3.1 pep chromosome:SL3.0:4:60650743:60655122:1 gene:Solyc04g074630.3 transcript:Solyc04g074630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKLESSERQKLIEEGKNLKEELVTLEENLLKLTDELQQEAQSIPNMTHPDVPLGGEDSSTVRKMVGKPAEFSFAIKDHVQLGKELDLFDFDAAAEVSGSKFYYLKNEAVILEMGLVNWAVSEAMKRGFTPLTTPEIVRSSVVEKCGFQPRGTNTQVYSIENSDQCLIGTAEIPVGGIHMDSILSESSLPLKYVAFSHCFRTEAGAAGAATRGLYRVHQFSKVEMFILCRPDESDSYHKELIEIEEELFSSLGFHFKTLDMASEDLGAPAYRKFDVEAWMPGLGRYGEISSASNCTDYQSRRLGIRYRPESSSASLKKGKNAAPTQFVHTLNATACAVPRMIVSLLENFQQEDGSVIIPEPLRPFMGGLQIIKPKHIQN >Solyc01g111880.3.1 pep chromosome:SL3.0:1:97955743:97963709:-1 gene:Solyc01g111880.3 transcript:Solyc01g111880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKDNESCGSRVVDSATTSGRFHRKKLEVYNEVLRRLKEESDNNDTLQSAFDDELWAHFNRLPTRYALDVNVERAEDVLTHKRLLHLAHDPANRPAFEVRLVQVAPIPDENSVDSVYSSSAKEQISRSIHPPPAFGSSPNLEALACEVVKSEVQDEDAAPTCANISRPMHEITFSMVDKPKLLSQLTSLLAELGLNIQEAHAFSTVDGYSLDVFVVDGWPYEGMVGWSVIPSDLISYQEVVQLRAALEREISRTELSVRFVYLSFNKGSSMQKSWPSPSKSLKKQEQDMIKCEFDHLTIPFDGVDVWEIDHQLLKFEYKIASGSYGDLYKGTYCSQEVAIKILKSERLNTELQTEFAQEVYIMRSVRKVRHKNVVQFIGACTSPPNLCIVTEYMSGGSVYDYLHKQRGSFKLPTVLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGVVLWELLTGKIPYEYLTPLQAAIGVVQKGLRPTIPKHTPPKLADLLETCWQQDPTSRPDFSAIVDILQQIAKEVGHEKADRCKEKASGGFFSALRRGHH >Solyc06g008600.3.1 pep chromosome:SL3.0:6:2501329:2508287:1 gene:Solyc06g008600.3 transcript:Solyc06g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQLVKRESIVACMSCPLCHKLFRDATTISECLHTFCRKCIYKKLSDEDWECCPVCNIDLGCVPLEKLRPDHKLEDVRAKIFPYKRRKVKEPEIMPSVTLPVRRKERSLSSLGVSTPRVSTHTGMTGRRSKSVARKALRGSTFSTEKAIKNEEDYGEESDSSSSPDTLTKSSQSKRQNYSNAEPSSHPTSDKGTDNGTEQQEGKVDLWKPLDCLVEVANKNKCSRFTSQGSVYKTESLHCHDKEHGQKLKVQAEKYSCVPVPAESEKTKKLRRIRRKRASTFGEFSISPQAVLDSISVKCERRTNPIWFSLVASEDQDGSAPLPQISAGYLRIKDGNIPVLFIQKYLMKKLNLSSEDEVEIRYMGQLIVPTLQLNSLVDMWLQTTSSERVPAIVGSSANDFVMVLAYARKVPSLMSS >Solyc02g083380.3.1 pep chromosome:SL3.0:2:47365088:47365675:1 gene:Solyc02g083380.3 transcript:Solyc02g083380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEMVPKNISEINENMKEKRVGIKDWWTKSKYAYLNQPALKSMERHGSTYIPQLYCYKAPPPPVTSTFGIF >Solyc04g007120.3.1 pep chromosome:SL3.0:4:832060:846167:-1 gene:Solyc04g007120.3 transcript:Solyc04g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGTWDRETVVRALRAAFNNPERAVEYLYSGIPEAAEVPPVGGSPPSVQAGNQPAQPQPAAQPAPVPAGGPNADPLNLFPQGLPSVGSNTAGANTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQADFLRLINEPVEGGEGNNVLGQLAAAMPQAISVTPEEREAIERLEQMGFDHDLVLEVFFACNKNEELAANYLLDHIHEFEE >Solyc10g007700.3.1 pep chromosome:SL3.0:10:1943006:1948953:-1 gene:Solyc10g007700.3 transcript:Solyc10g007700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKENGLIFMEASAKTAQNVEEAFIKTASTIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGAASQGGGCCT >Solyc02g061720.2.1 pep chromosome:SL3.0:2:33789513:33791675:-1 gene:Solyc02g061720.2 transcript:Solyc02g061720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTYQTVKDDSGDIAGGGETVTINIRCVNDSKLSVQVSLDSTVGLFKSILSQPTDIPAEEQKVIYNGRILKDDQTLKSCGLEADHTVHLIRGSAAAASASATNVVNPNANQDAPRVAVPTTGGLFIRVGGGPLFSGLGSRGGSFGAGLPDFEQVQQHDSNMMREILNMPLVQDLVNDPEIICNFIVSSPQMREYVNLNPELPQIFNDPAIFLQTWEAARNELMHETIRTIQWSLSHTESSLEEFNMLRHMYENVQEPFLNATSMAGDTRNDSGTNPFVALLGAQEQGRN >Solyc09g018890.3.1 pep chromosome:SL3.0:9:17729504:17731327:1 gene:Solyc09g018890.3 transcript:Solyc09g018890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTGGSISLSSFPSSSFCRKPKPILPADSLELRHLKTCKNLVCFAAQESSSLTVAEEKKESQTAEEKTKAKAPDKPLHQMMEENIIPSLKATLESQNDILELELSFSENKLEGSFLKKGNPYSFWAFFPDGLTGPKGFSLSSYGSGASTVEPFLVDEKKITAKHVVFWVEKRLAAQGIIPVWKE >Solyc04g081190.3.1 pep chromosome:SL3.0:4:65277264:65280536:1 gene:Solyc04g081190.3 transcript:Solyc04g081190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor VSF-1 [Source:UniProtKB/TrEMBL;Acc:O81998] MAQSNSKPPMSSQNFGVGAVSHVRSLSQSSIFSNSCLPPLSPFPPSEPGMVSGHSSLKDISMEEADVNSQGVGVVSSFTRDGLPPRKGHRRSNSDVPLGFSAMIQSSPQLMPISGQKVLGRAVSLGDSNGKIDERKPKGEVTDELLFSYMNLENIETLNGSGTKDRDKDSIVSGTKVTGSESSNNEAESVMKGNNVSIQPTNLREGTKRSADANIAPAARHFRSLSMDSAIGNFHYGDESPNLPTSLMMRSGQLSPSNSGNESSSKHNLDFGNSEFSEAEMKKIMADERLAEIAVLDPKRAKRILANRLSAARSKERKTRYISELEHKVQKLQTETTTLSTQVTILQKNFVEISSLNSELKFRIQAMEQQAQLRDALHEALTAEVQRLKLAAGEHREEGRLPNNMTQQTPVKHNIFQMQRQQPSQMQQLSVGKASAASATPASA >Solyc08g061030.3.1 pep chromosome:SL3.0:8:46496790:46498596:1 gene:Solyc08g061030.3 transcript:Solyc08g061030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWARSNSQNMCMPVVFQIWIYKCMGKRQTNLARKISDRIPRILNWQTVGAKPRLKTLMKDTSNDGNREIKWKNVVPSLMEIAILQLPLEGVEKSTEGVQTEPHRDIDEQALFGQNSDDDFVNPPPRSMKVTGKRKKGQSVTLAKIVRKKDSNITDQMERNEHIDPVANQTGKKLQLEKLCGRKKWCSKKKTTRTCVPTKDFQIPSVREEFKDIRKLMNDNFNIIMYTLKDKKNNENVGQRSQPFTSPILSENQNQNNINNHNATQGRQHFTSPVVSENQNR >Solyc03g114770.3.1 pep chromosome:SL3.0:3:66145410:66148466:-1 gene:Solyc03g114770.3 transcript:Solyc03g114770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPYTVTKLVRWRIRDWVSCFYACRFPLEEESNKLCAMTPQKPSRKMVFDPIGDSRKNRKKKLNKKMEQRKKEKVKVSAEKGEKEGENDSSWPRFSEEDYIVFCFEDDGGIHIVEDRKSEVFHQKIDHANVTSKSVCRKLKYVEDVSEFLPQSKNDTISVDGENSFESAEEQIPVIDDKDQGKGIDDMEDEWPPAVVKEISHIGEVSDSKTTPSAESSDSNYSTGSTGSFAFPVLGWELMGSPAQMPKPEEDDEDEEEEEGGPRFGKHKAWCSVRHHCCKF >Solyc02g071970.1.1.1 pep chromosome:SL3.0:2:41870862:41871458:1 gene:Solyc02g071970.1 transcript:Solyc02g071970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLSVTKNGANLATIFMVGMIAASISMMAIVIFACAKSSTKRKNKNNSYSRGDGGDDNKAQKTRAKEEMLVSTIEIATTIVDIPSTFDVCDNNNDGAKDTRCHDGDTMKNEVSIDRNDNNYVSGDNNNNNMGTSHTHDVSTNSTSGGGGGHHHGDTSGNTGGGWSNDHGGASWSGGDHGGGGFSGGGDTGGFSGGGW >Solyc10g051370.2.1 pep chromosome:SL3.0:10:51940347:51941257:-1 gene:Solyc10g051370.2 transcript:Solyc10g051370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVKIYKGMSLSEERKALFLLRSTLGLRRNEWPRPRTVNPCTDWKGIRCKNGRVAEINISGFRRTNVGQDNPQFSVEALQNITFLERFNALNFKLPGPIPVWFGSIVAPSLQILDLRFCSITGLIPSSLGMLGNLTMVYLSNNAITGPLPMSLGQLWNLAVLDLSHNSLVGSIPSSFRYLDNLIMLDMSSNHLTGPIPPSVVTLPHLQYLNLFNNSFSYLSKD >Solyc04g049070.3.1 pep chromosome:SL3.0:4:39265293:39273779:-1 gene:Solyc04g049070.3 transcript:Solyc04g049070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAPLLLYPLLLLLLLATSAYSWKKEEFRNCDQTPFCKRARSRKPGSCNLRVVDVSISDGDLIAKLVPKEESEQPNKPLVLTLSVYQDGVMRVKIDEDQNLNPPKKRFEVPEVIEEDFLNTKLWLTRVKEEQIDGGSSSSSVFYLSDGYEGVLRHDPFEVFARESGSGKRVLSINSNGLFAFEQLREKKEGDDWEEKFRSHTDTRPYGPQSISFDVSFYGADFVYGIPERATSFALKPTKGPNVEEYSEPYRLFNLDVFEYLHESPFGLYGSIPFMISHGKARGSSGFFWLNAAEMQIDVLGSGWNSNESSKIMFPSDKHRIDTLWMSESGVVDIFFFIGPGPKDVVRQYTSVTGRPSMPQLFATAYHQCRWNYRDEEDVYNVDSKFDEHDIPYDVLWLDIEHTDGKKYFTWDRVLFPNPEEMQKKLAAKGRHMVTIVDPHIKRDESYHIHKEASAKGYYVKDATGKDYDGWCWPGSSSYTDLLNPEIRSWWSDKFSLDSYVGSTKYLYIWNDMNEPSVFNGPEVTMPRDALHHGGVEHRELHNSYGYYFHMATSDGLLKRGDGKDRPFVLARAFFAGSQRYGAIWTGDNTAEWEHLRVSVPMVLTLSISGIVFSGADVGGFFGNPDAELLVRWYQLGAYYPFFRGHAHHDTKRREPWLFGERNTQLMREAIHVRYMYLPYFYTLFREANSSGTPVARPLWMEFPGDEKSFSNDEAFMVGNGLLVQGVYTEKAKYVSVYLPGEESWYDLRSASVYKAGHTHKYEVSQDSIPSFQRAGTIIPRKDRLRRSSTQMENDPYTLVIALNSSKAAEGELYIDDGKSYEFKQGTFIHRRFTFSNGKLISSNAAPSTAGSDTFSSECTVERIILLGLSPGAKAAIIEPGNKKVEIELGPLFIQGNRGSVPTIRKPNVRIADDWSIQIL >Solyc02g065225.1.1 pep chromosome:SL3.0:2:36961249:36966394:-1 gene:Solyc02g065225.1 transcript:Solyc02g065225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVGKPNLVDYFPFLRKIDPQDDDYFSKIINLMSDLINERLKERELGSCENVDVLNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKSLGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMVLTEAAKEGIWLKGLLILD >Solyc02g032580.2.1 pep chromosome:SL3.0:2:29226119:29230346:-1 gene:Solyc02g032580.2 transcript:Solyc02g032580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQNNFPLPFLVLLFLDLLPIGNHIEYFLAINPGLSSYANNPKAGALSLKPLLDKAESVVPKDLQPQTPLKLGATAGLRLLKGDAAVKILQANETTLNYKAEWASILDGTQEGSYFWVALNYLLGNLGKNYERTVATIDLGGGLVQMTYAI >Solyc01g104935.1.1 pep chromosome:SL3.0:1:93154020:93155956:-1 gene:Solyc01g104935.1 transcript:Solyc01g104935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGQLGLNVIQRRYAKVSTGDSISVSRFVPTQDFNLALLTLDLEFVKKGTKEEQVKLSTVSVDAYSLADQVRKRFANQIMTTGQNVTFEYHAMVI >Solyc01g067400.3.1 pep chromosome:SL3.0:1:75690682:75701486:-1 gene:Solyc01g067400.3 transcript:Solyc01g067400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCFPCFGSSNKETGKDEVKKESFKDASSAAQSIHLTKVNSDKSKSRGSHDPKKDPAIAKDGPTAHIAAQTFTFRELAAATKNFRPESLLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRSHGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQASTRPLIGDVVTALTYLASQTYDPNAVGAQSNRVGSSTPRSREDRLHSVDGVDSPEYTSAHHGSPSIQRNSPDSRKRDSARDFNTGIELRKIATSGGSGRKWGVDESERPDSQRNSPVSAGRTRETPRNRDLDRERAVAEAKVWGENWREKKKTNARGSSFDGIND >Solyc04g058140.3.1 pep chromosome:SL3.0:4:55179114:55182590:1 gene:Solyc04g058140.3 transcript:Solyc04g058140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSQKVLYLKAWQRCLRSPWLIQTYSFSDIVHKKMKLAPLQERRMIDRFRIWAKGGDGGNGCNSIRRSRHDRRGRPDGGNGGRGGDVILECSPTMWDLSALQHHINAKRGGNGSSKNMIGSRGADKVIQVPVGTVIHLVEGELPSAVEKSSSSKLDPWEIPGTVDIDSSEFSTQSISAEQTSSKVEKKIKSSGCRASRAEEYSTPRTQNFGLPTNSRTTCSIASDKFESDDDDLSDWEEESCDEMEDQDGDDIMGTTCEDESEETELIEYNVAELTEQGQRIVVARGGEGGLGNLSMRKASKITQKGANSDDEVSDDYDHASPSVGLPGSEVVLVLELKSIADVGLIGMPNAGKSTLLGALSKAKPVVGDYAFTTLRPNLGNVNYYDFSLTVADIPGLIRGAHENRGLGHAFLRHIERTKVLAYVVDLAAALGDNKGIPPWEQLNDLILELEYYSEGLSDRPSLVVANKVDEDGAEEVYKELKRRVSGVPVFPVSAILEEGVPELKDGLRMLISGEQTHRLQLDGIVLHQDAAHVSI >Solyc08g062820.3.1 pep chromosome:SL3.0:8:52201270:52204657:-1 gene:Solyc08g062820.3 transcript:Solyc08g062820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:K4CL66] MESNSRSKFKKICVFCGSNPGHRKVFSDAAIDLGNELVSRKIDLVYGGGSVGLMGLISQRVQEGGCHVLGVIPKALVPIEISGESVGDVKIVSDMHERKAEMAIQADAFIALPGGYGTMEETLEMITWAQLGIHKKPVGLLNVEGYYNSLLALFDNGVEEGFIKPGARDIVLAAPSARELLSKMEQYTPSHDHVAPHESWHMEELAYPKEQSP >Solyc04g081530.1.1.1 pep chromosome:SL3.0:4:65562982:65563449:1 gene:Solyc04g081530.1 transcript:Solyc04g081530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSFLLSTSITGSKLSAAAPPRSSVSFKQRPFSVSAAYSTAERTSTATTSSSTIASHTSLYEVLGIQFGANSHEIKSAYRKLARILHPDVRNSSAEDFIRVQSAYATLSDPEKRANYDRNLFGNRIARPVDFSTAGARSHYTVRRGWETDQCW >Solyc10g083130.1.1 pep chromosome:SL3.0:10:63118133:63118758:1 gene:Solyc10g083130.1 transcript:Solyc10g083130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLIQSSPSYFCKQSIHQD >Solyc02g089580.3.1 pep chromosome:SL3.0:2:51994418:51995706:-1 gene:Solyc02g089580.3 transcript:Solyc02g089580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSQNLSYQAGQAKGQAQEKGNQMMDRAANAAQSAKDSLQEAGQQMQAKAQGAADAVKNATGLNK >Solyc08g007000.3.1 pep chromosome:SL3.0:8:1575893:1582799:1 gene:Solyc08g007000.3 transcript:Solyc08g007000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFSQLFPCLDPAVNRGEPEVIFTGSEPLDETLGHSFCYVRSSARFVSPTNSDRFVSPSQSLRFDEPGRNRLTGSTETGFRAISGASVSANTSTPRTVLQLDNIYDDATGSDGSVVGFGGGVKGSVVNGFESTSSFSALPLQPVPRGGEPSGPMERAFFMSGPIERGALSGPLDAAASSDGGGGVPFSAPLGGAYVKKRRKNGIAGIRKAFYRSFSEKKRPWVVPVRNFIGRKEMTAAGNCTRDSDAGSDSNVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDGPEFLMSHLYKAMYKELEGLFWDSEETSNQEAENAGLENEVITEDSNKPNSNREANPTSRGAEEGGNEVNLEHLDKGSEKKVTFQSGEIVVRRRRLWEYLAEAETEDGLDLSGSDRFAFSVDDALSVNSAGSAVNRRSLLLSKLKHGLLIKHKESKRLFPWKFGLQAKEKVEVGENRVEERTNRSERRRKVGPVDHELVLRAMSRALEFTELAYLDMTDKVLDRYPELALMGSCLLVALMRDEDVYVMNVGDSRAIVAQYEPEEVSSSSESRGPGNSELAVEGIVEEPVVAGDEENRVIDDIPIQDAKLTALQLSTDHSTSIEEEVTRIKNEHPDDSNCIVNDRVKGRLKVTRAFGAGFLKKPKLNEPLLEMFRNEYIGDAPYLSCTPSLRHHKLCPRDQFLVLSSDGLYQYLSNQEVVCHVENFMEKFPDGDPAQHLIEELLFRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVVSLEGRIWKSSGKYL >Solyc06g072070.2.1.1 pep chromosome:SL3.0:6:44557219:44561335:1 gene:Solyc06g072070.2 transcript:Solyc06g072070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWGPVLIAVVLFVLLTPGLLFQLPGRGKTVEFGNMQTSGVSILVHAVIYFGLITIFLLAIGVHVYVG >Solyc02g086110.3.1 pep chromosome:SL3.0:2:49520397:49527772:-1 gene:Solyc02g086110.3 transcript:Solyc02g086110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANWEVKDCCNHDQVIFLVTIAVYTVFIFILWRTFIITPFKLITVFLHEVSHAIACKLTCGEVVGIKVHANEGGVTQTRGGLSWVILPAGYLGSSFWGMVLILASTNVLSAMIAAGCFIAALLIVLCLAKNWTLRGLCIGFIIFIGGIWALQIQTEVRVMNSLFSVYDIYDDLISRRVNTSDAEKFAELCPCCCAGPFWGVLWLGNDIFCISFWSYVSWTCPFILRLANQRNETMHYYSNRSSSSTDIRYKETGNWDRSKLQITYVTTNVWSSHTSYKGVRQSYI >Solyc01g098813.1.1 pep chromosome:SL3.0:1:89101471:89104253:-1 gene:Solyc01g098813.1 transcript:Solyc01g098813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTKRHTHKRTKLHRGPEINSSIAPSLLKFGLSPTIYKQKREFSLMLAMNDNYFNIQKHNSSFDDQLDLVAGMKQKILPVGIAISTDPTSGPTFPNKADPVSATPPLAQFMRLSHSSLAGQAWKNEQARDREIKIEVTMPLLFKLIPAVIHLGVLLRWFPPDSLSEVITLMVMPQGQRFANSTSLGSWFDWFSLEDSPLALTLLDFICSKWLMHYPESDLVLFNKMHLFIPVPRRIKKMYLLS >Solyc04g016530.3.1 pep chromosome:SL3.0:4:7355309:7364173:-1 gene:Solyc04g016530.3 transcript:Solyc04g016530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-(apurinic or apyrimidinic site) lyase [Source:UniProtKB/TrEMBL;Acc:K4BQF3] MLQSFKFGLYNFITSNSVGVISRIPKFQVLVSVNLTIMGSKKRPFSTSSATGKSNEQVSVAAEKGVSKENVGKSGEILVEDMGTVEKMTVPQLRVKLRSLGIPSRGTKQELVASLKTFLDSKLDDAGNGSVAQEDKVSSEESLEVAANPSKKKSRKLPSKNRNQSNVTSEVPTTRQTKRRVTKGQTEDTSFDIIVKQTEITQEMSIESNDIKGKKNVRAKRKVSSATVSAHIGVSEAADLSVNQDEPWTIFAHKKPKDGWIVYNPKTMRPPPLSKDTKHVKLLSWNVNGLRALLKLKNLCIQQLADREDFDVICLQETKLQEKDVEAIKESLHDYKNSFWTCSVSKLGYSGTAIISRIKPVSIKYGLGIPDHDTEGRLVTVEFDNFYLLCGYVPNSGDGLRRLTYRITEWDPSLGSYMKELEESKPVVLTGDLNCAHEEIDIYNPTGNKRSAGFTEEERQSFETNFLNKGFVDTFRKQHPGVVGYTYWGYRHGGRKTNKGWRLDYFLVSECISEKVHDSYILPDVDGSDHSPIGLVLKL >Solyc06g009980.1.1.1 pep chromosome:SL3.0:6:4551882:4552031:-1 gene:Solyc06g009980.1 transcript:Solyc06g009980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVQSTATVMGIATPNRDNRSIATRVVVPVSITVALDLQLRKESLLQR >Solyc04g070990.3.1 pep chromosome:SL3.0:4:57926785:57935974:-1 gene:Solyc04g070990.3 transcript:Solyc04g070990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPIDDTIWRGSFMISRPEMRLNLTAHLSSKACAKVWLAAKEMAEVIYPEFLPKRDVWPKSFKSAKLIDDNIAIYFFSVKGRDDQVFENLLYNLRHYDIALRALVGDSELLIFTSAHLPEKHHRFEGKVYLWGVFSGRQAPPQESPDDCFIHNTRVTQASIPNSANDGKDRSCKEFSNRKDPSTASKSARKGRPLDDAWQHATPVDGKKQRTVCNYCGFISSSGGITYLKTHLGGGDPTGSLKGCPNVPPEVKRVMKEWLLGTIRGGKAPQLQEIRTDFEVPASKKSVRRGRPLDAAWDYATPVDAKRQRAVCKYCGFISSSGGITHLKAHLAGGDPKGPSKGCPNVPPEVKRAMAESLNRTVKGAKSMQPDEIRRYMKAENDWSPPRSDDYSLNQHRIVKNAQYSHFANGNSVNDMTLSKQSETAHVDSYMEVMSSHNACKSSFLSKPSTRVGFI >Solyc10g048060.1.1.1 pep chromosome:SL3.0:10:43820727:43821170:-1 gene:Solyc10g048060.1 transcript:Solyc10g048060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPGEKEVNTLLRNEVARLKGEERSFVFVFLQTPIRSRSPLLTGSQLNSLPLATKMFQFAKFEKSKERRLATELGYGFVIGDPWITDGISPWPFASESVLPSQCPGIHPMHSFRSCTLCKLAKHRLDKRYTSHQPNLDEKKEKQVHP >Solyc01g049685.1.1 pep chromosome:SL3.0:1:45786978:45792778:-1 gene:Solyc01g049685.1 transcript:Solyc01g049685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEHKLFYFDLKENPRGRYLKISEKTSATRSCTLRRC >Solyc03g062930.2.1 pep chromosome:SL3.0:3:34723246:34733667:1 gene:Solyc03g062930.2 transcript:Solyc03g062930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSESHLLHLEDTWMVSFGMEEFAEKYGGLKPSQFVDLTSLMGDKSDNIPGVHGIGDVHAIQLIAKFGTLENLLECVEQVEEERIRKDVHTLKQAIASRNSQSFGLDPFLKVALLSDAELARLSKDLAILRCDLPSYMVPFVPDDLIFEKPEDGGEKFTSLLTAISAYAEGFSADNIIRRALYLWKKLEKQTVDTIHQKVVSADKRLES >Solyc05g016380.3.1 pep chromosome:SL3.0:5:16041409:16043864:1 gene:Solyc05g016380.3 transcript:Solyc05g016380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFTWDATSFRLHTVTEYYRLDRKAPVTAALVAANTIIYVRPTFLHSILPTINQHKDLKRFFLSALYHLNGSHLFYNMLTSVEGDSIGDINRKCRICIDSCRP >Solyc07g056010.3.1 pep chromosome:SL3.0:7:64040902:64045913:-1 gene:Solyc07g056010.3 transcript:Solyc07g056010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDEGTNLDSSRLKFGTPEALEEVRNLTDVGDMTRLLHECIAYQRALDLELDTILSHRSDLDKQLSGLQKSAQVLDIVKADADHLFSNISSTSLLADQVSAKVRQLDLGQSRVNDTLLRIDAIVDRSNCLDGVRKALASEDFESAANYVQTFLQLDAKYKDSAASDQRDQLLASKKQLEGIVRRKLAEAVDQRDHSTVLRFIRLYPPLALEEEGLQVYVMYLKKVIAMRSRLEYEQLVEMMSDQQGSSQNQLNFVSCLTNLFKDIVLAIEENDETLRSLCGEDGIVYAICELQEECDSRGSTIIKKYMEYRKLAKVTSEINSYKSDLLSVGIEGPDPRDIEVYLEEILSLTQLGEDYTGYMISKIRGLSSVDPELGPRATKAFRSGNFSKVVQDITGYYVILEGYFMVENVRKAIKIDELVFDSLTTSMVDDVFYVLQSCCRRSISTSNINSVIAVLSSAVSLLGGEFNEALQQKVREPNLGAKLFSGGVAVQKNGTEIATALNNMDVSGEYALKLRHEIEEQCAEVFSAPADRERVKSCLSELNETSNGFKKALNIGLEQLVATVTPRIRPVLDTVATISYELSESEYADNEVNDPWVQRLLHAVETNVAWLQPLMTANNYDSFVHLVIDFVVKRLEVIMMQKRFSQLGGLQLDRDIRALVSYFSNMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLSLRVDFKSEAISALKL >Solyc02g083940.3.1 pep chromosome:SL3.0:2:47733827:47741615:1 gene:Solyc02g083940.3 transcript:Solyc02g083940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGPTDREDKVSLETTEEILQSMEVGMTFRDYSGRISSMDFHKTSNFMVTASDDESIRLYDVANATCLKTINSKKYGVDLVSFTSHPTTVIYSSKNGWDESLRLLSLHDNKYLRYFKGHRDRVVSLSLCYRKEYFISGSLDRTVLLWDQRAEKCQGLLRVQGRPATAYDEQGLVFAIAFGGYIRMFDARYFDKGPFEIFSVGGDVSDANSVKFSNDGRLVLLTTKDGHIHVLDSSRGTHLSTYNVQPVSSSSTLEASFSPEGMFVISGSGDGSVYAWSVRSGKEVASWMSTDTEPPMIKWAPGSLMFVTGSSELSFWIPDLSKLAAYVGRK >Solyc04g005140.2.1 pep chromosome:SL3.0:4:93107:98653:-1 gene:Solyc04g005140.2 transcript:Solyc04g005140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKDGKYNLMFEEWVTDSPFVISPRISFEVSVRLLGGLMALGYTMAAILKRSSHVFSDERVCVKIDWLEQLKRHYVQVQGRDRVVVKGIAEQLGLEGSYTPRTYIEQIQLEKLVNEVMALPDDLKTKLSLDEDIVSSPKEALSQASAQRAWRNKNIRSGLSHSYSTNRDKNLNVSFDYQRNDRIEESGTRLANQGAITRLLEQISTLNDRMDNFTSIMEELNSKLCSRIASPRNKQASPCIQNLTLDSEACVGSAPTNYFISGLENGSLTGSIMPNSSSFTSTIGKESALMEEISNIARGQRQLMHQLDNVSNVLREGLGEQSRQARVNKKSDTIKTIRKPLIVTLAVGGLGILLFKSLQRRN >Solyc10g055790.1.1.1 pep chromosome:SL3.0:10:57354823:57355041:-1 gene:Solyc10g055790.1 transcript:Solyc10g055790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSACCLLFSLLLLTVSAEQCGRQAGVAHCAAGLCCSKFGWCGYTDAHCAPGNCQSQCPPRNYLHLLLLET >Solyc08g079000.3.1 pep chromosome:SL3.0:8:62782027:62782951:-1 gene:Solyc08g079000.3 transcript:Solyc08g079000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPVTQLTPGRTNPSSPHVIVSWKYSLEISPYSNRVQVLLSNSVVSLTAAPAAATFSSSEKENENGRDMARYKNDRGESDEDGQGG >Solyc06g074990.2.1 pep chromosome:SL3.0:6:46631149:46633554:-1 gene:Solyc06g074990.2 transcript:Solyc06g074990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVEGSPGSSMHGVTGREPVLAFSVASPMVPTDTSAKFSVPPHGLTFQLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKMDVGNAGVASVSGSILSRLTMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVSSAGGYVAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLIMPLLYDIIRRAGATPFTAWRIAFFIPGWLHVVMGILVLTLGQDLPDGNRGTLQKTGTVAKDKFGNILWYAATNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFDLKLSTAGIIAATFGMANLLARPFGGFSSDYAAKKFGMRGRLWVLWILQTLGGVFCVLLGRSNSLPLAVTFMILFSIGAQAACGATFGIIPFISRRSLGIISGMTGAGGNFGSGLTQLLFFTSSKYSTATGLTYMGFMIIGCTLPVTFCHFPQWGSMFLPPTKDPVKGTEEHYYTSEYTEAREAKRDAPKQLEIR >Solyc04g016020.1.1.1 pep chromosome:SL3.0:4:6667585:6667857:-1 gene:Solyc04g016020.1 transcript:Solyc04g016020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPSIDVVDSTETLMRNFIAYEQQSTDLEYLQFSDYATFMDHLIDSDKDVNLLRRNKIIANWIGEWLASSTKSETGSLFTPTSITEKYS >Solyc01g109190.1.1.1 pep chromosome:SL3.0:1:96140545:96140745:1 gene:Solyc01g109190.1 transcript:Solyc01g109190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLKLGIIGLHSTTRLDMCLTHLPQCHLFIFLLHFAYHMSSSSKRFKFTQPIKFTKHLTDAWKTG >Solyc02g050320.2.1.1 pep chromosome:SL3.0:2:5253881:5254045:-1 gene:Solyc02g050320.2 transcript:Solyc02g050320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AMTEVINLWPIRASHDRCCPIDAHTPMSPNRCAHAIAKDLDAVIIRWPMRVGHE >Solyc09g090090.2.1 pep chromosome:SL3.0:9:70115433:70117524:-1 gene:Solyc09g090090.2 transcript:Solyc09g090090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTENVMSEELRRDYRVCEEIGRGRFGVVFKCYSPETGQNFAVKSINKLLIADDSIDRQCLYNEAKIMHLVSPNPHIVSIADVCEDDTYLDIVLELCNSSDLFQRLSTQRLLSESDAIAVMVPLMEAIAHCHRLGVAHRDIKPENILFNEWNDLKLADFGSAQCFREGELMSGVVGTPYYVAPEVLAGRDYNEKVDIWSAGVILYIMLAGMPPFYGDSTEEIFEAVLRANLRFPTRTFRSVSPAAKDLLRRMLCKDVSRRFSAEQVLRHPWITSNDGTKEDGVVA >Solyc11g044313.1.1.1 pep chromosome:SL3.0:11:33275256:33275531:-1 gene:Solyc11g044313.1 transcript:Solyc11g044313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINILIVEPPSYKPKSDDTDDWMDEYLELESSNNDFDLYFNQAQEKIRHEEGQLQPPILVWWKNRENQFPTLARIVRDVLAIQASYVASE >Solyc03g112890.1.1 pep chromosome:SL3.0:3:64657442:64659552:-1 gene:Solyc03g112890.1 transcript:Solyc03g112890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDNQDVFCTPPKDYLQEFRHLDQNFMIDMNNGYDPLFDPLSNHDDNFSSIGQDFNFGYEFKPFDQNGSASGSTLIMKNFESSMDSMNYNFMCNQDMKPINFNVVPDESSSCVSNNIDINGSKDKEIDGKNKKQILFSSSSMRKIGRVTRNKSKSVKGQWTIEEDRILINLVERFGDRKWSQIAQVLKGRIGKQCRERWHNHLRPDIKKDLWTEEEDMILIKAHSEIGNKWAEIAKKLAGRTENSIKNHWNATKRRQFSRRKCRTKWPRPSSILQNYIKSLNFEKSNNNNNNAGKSTVEEVPTFSDEFGLDKKLFDDLEIPYELMQDGEVNHKDLDLMDFDYSE >Solyc03g043960.3.1 pep chromosome:SL3.0:3:7769584:7777274:-1 gene:Solyc03g043960.3 transcript:Solyc03g043960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDALLNWLRSLFFQQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHDNVSISRSEIHDLLSKPSLNGIPLLVLGNKIDKPGALSKQALTDQMDLKSITDREVCCYMISCKNSTNIDSVIDWLVKHSKSKS >Solyc02g089320.3.1 pep chromosome:SL3.0:2:51815727:51821350:1 gene:Solyc02g089320.3 transcript:Solyc02g089320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGVVVEESTGKLKLTEVAAKENVDVLKLPTKKGTEIVAVYIRNPAATLTVLYSHGNAADLGQMYELFVELSHLLRVNLMGYDYSGYGRSTGKPSEQNTYADIEAAYRCLEETYGVKEEDVILYGQSVGSGPTLDLASRLSRLRAVVLHSPILSGLRVMYAVKRTYWFDIYKNIDKIPLVECPVLIIHGTADDVVDCSHGKQLFELSKQKYEPLWVKTGNHCDLELFPEYIIHLKKFISAIEKSTSFRNVSALSINQIDKPRSSTDCRPRSSTDQREKSRLSTEKREPRISTDQREKSRASIDRKEKSSKSVDLSDKANDNTEQQEKARKSIDRLALAT >Solyc05g012860.1.1.1 pep chromosome:SL3.0:5:6009278:6009976:-1 gene:Solyc05g012860.1 transcript:Solyc05g012860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTENRSSLWREILISSNLVTKPNSGHFRALSILFLCPVLFTLIVYPSFHLSLFHPDYDFTISTQLSLSNFEIIALIICTLFLVLFYLCTVATFTYSTIQASYGRPINVVSSIKSIRNSFFPLLSTFVISHAILISITLIFALVLSRLIELKYYLINHLIIFAIIVLVPILIWLQVNWSLAYVVPVVESKQGYESLRRSAYLVKGMGSIALSMVLFYGLVMGCDRIYAFT >Solyc04g049720.3.1 pep chromosome:SL3.0:4:43175471:43180503:1 gene:Solyc04g049720.3 transcript:Solyc04g049720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDPHSFTDSTHPLTTHISLTFYFDFPSSTISSATLVSFSTPYTGPLTLDTRSLSVSSVLDPLSLSPLPFSLSPTDPILGQSLNISISKQTHQILILSKTSPSSSALQWLSPTQTFNKNHPFLYTHCQPIHARSIFPCQDTPAARVKYTAKLNIPRELSAVMGARHVERRDPIPSEGGGLCEDEVWCGDDRVVEEFVMEQPIPPYLFAFAIGELGFREVGPRTRVYAEAVPEVLDAAATEFAGTEEIVMVGERLFGPYEWERFDLLVLPPSFPLGGMENPRLVFLTPTVIKGDASGSQVVAHELAHGWTGNLITNKNNEHFWLNEGFTTYAERRIVEAVQGEDIASLNIGIGWMGLVKDVERFKDNMEFTKLKTSQAGVDPDEVYSVIPYEKGFQFLQRIERQIGRPAFDVFLKKYIATFKFQSIDTDMFLNFLKANVPGIENEIDLKLWTEGTGIPPDAMEPVSNLYSKIVSLANEFKLGRIPREDEVAEWKGQEWELYLENLPKSVEASQVRALDALYHLSESKNYEVKVAFLQLAISARCRDYYGVVERTLKEVGRILYLRPLYSALIQGDRKEEDRDFARRVFSEACDSYHPIAQAVVEATLAKNV >Solyc03g058160.3.1.1 pep chromosome:SL3.0:3:25297704:25298321:1 gene:Solyc03g058160.3 transcript:Solyc03g058160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDTETRDFMNVESFSQLPFIRPVPKEKTGIRLFGKEFVAGTTTTHHEESETIEAEETKENSNTNRKFECHYCCRNFPTSQALGGHQNAHKRERQHAKRLHLQSAMIQGEANNSYGMMNYRLPNYHHPSTWITNTSRFYATPSHHHQTPPINGSPLALWRIPASYNYGRPLVFPANNHQDFKSSPIINTNSATQDHVSLDLHL >Solyc08g065695.1.1 pep chromosome:SL3.0:8:54022616:54035419:-1 gene:Solyc08g065695.1 transcript:Solyc08g065695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVVNKEEGHTKDICFQLVGYPSDWKGKKRVNIVQASPAEGIPQMQGQQRSEVESQFGPSTSGGVGPVPYFTLNQYNQILQILKKHNMNEVNEHMAGTFADILQSPSTEVLHDTNLEFMEDSQAEISMNANAVEDYTAPLPAVETASTYNVPGEEGNHIDNHVVMTQRRSSRSSKAPLWQQDFVLTKSGKSKHQSNCLYSISDNIDYSGSSCQLIEETKQVLKDHFRIKDLGDLRFFLGIEFARNSEGILMHQRKYALELISDLGLGSSKHMSTPAELNLKLTTPEFDDLVRDTSDSLLLDPGEYQRLVGRLLYLTLTRPDISYAVQSLSQFMQAPKVSHMNAALRVVKYVKQSPGFGILLTTQSNSTESLQAYCDADWGSCDSSRRSITGYIIKYGDSPISWKSKKQSTISRSSAEAEYRSLASTVAEITWIIAETAIPDGPAPSTKT >Solyc01g065575.1.1 pep chromosome:SL3.0:1:71763670:71764104:1 gene:Solyc01g065575.1 transcript:Solyc01g065575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKSLLIYPVLAIIHKNILGGRVKDLPSVRYRIVQGTLDVVGVKDHPQGHSSALTLICSRFNIEIISNGFLEFFHVHKQFEKCELY >Solyc02g082700.3.1 pep chromosome:SL3.0:2:46910194:46911778:-1 gene:Solyc02g082700.3 transcript:Solyc02g082700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGAKSKAKADTKLGVRKKATESKKAKNAAKDPNKPKRPPSAFFVFMEEFRKTYKEKHPNNKSVAVVGKAGGDKWKQLSDEEKAPYQAKAEKRKAEYQKSMDAYNKKLAAGDADDESDKSKSEVHDDDEDDDGSEEEDDD >Solyc10g080210.2.1 pep chromosome:SL3.0:10:61686894:61693945:-1 gene:Solyc10g080210.2 transcript:Solyc10g080210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PG2 description:Polygalacturonase-2 [Source:UniProtKB/Swiss-Prot;Acc:P05117] MVIQRNSILLLIIIFASSISTCRSNVIDDNLFKQVYDNILEQEFAHDFQAYLSYLSKNIESNNNIDKVDKNGIKVINVLSFGAKGDGKTYDNIAFEQAWNEACSSRTPVQFVVPKNKNYLLKQITFSGPCRSSISVKIFGSLEASSKISDYKDRRLWIAFDSVQNLVVGGGGTINGNGQVWWPSSCKINKSLPCRDAPTALTFWNCKNLKVNNLKSKNAQQIHIKFESCTNVVASNLMINASAKSPNTDGVHVSNTQYIQISDTIIGTGDDCISIVSGSQNVQATNITCGPGHGISIGSLGSGNSEAYVSNVTVNEAKIIGAENGVRIKTWQGGSGQASNIKFLNVEMQDVKYPIIIDQNYCDRVEPCIQQFSAVQVKNVVYENIKGTSATKVAIKFDCSTNFPCEGIIMENINLVGESGKPSEATCKNVHFNNAEHVTPHCTSLEISEDEALLYNY >Solyc12g100100.1.1.1 pep chromosome:SL3.0:12:67936891:67938183:1 gene:Solyc12g100100.1 transcript:Solyc12g100100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQSACALAFFLIASCTMAYSPYNSYDSSDSTYNKIPTTEVKSDDFKAPEKEYKESFLSKNNYYKKPLVSEDNYKKVPSVSEQEYKVSFLPKNDYNKKPLFSEDNYKKASYVPEEPSKAKPEYKESFLPKFDYFKKPSVQEEDSKKASYVLDVPSKAKPEYKESLLPKFDYFKKPSVSEDSYKKESYVPEEPSMPKEEYKVPVLPKNDYFKKSSVPEDSYKKVSFVPKVPSVPKEEYKVSSLSKNDYYKKPSVSEDNYKKVSYVPKVPSVPKEEYKVPSLSKNDYYKKSTVPEDNYKKPSFPEDNYKKVPYVPEVPLVPKPEYKVPSLPKNNNYKKSTVSEDSYKKVSYVSEVPSVTKPEYKVPSFPKNDYYKKPSVSEDNYKKVSNVIPEVPSVAKPEYKVPALSKNDYYTKPFPSPSPPPPYY >Solyc08g075300.3.1 pep chromosome:SL3.0:8:59508686:59517820:-1 gene:Solyc08g075300.3 transcript:Solyc08g075300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSGNNQPPSTAAAAVSQSPSSTPDSYIGSFISLTSKSEIRYEGVLDFLNTKDSSLGLKNVRSYGTEGRKKDGPQIPPNDKVFEFILFRGSDIKCFGPDPELKVNIPPKDLQVKSSPSPQVEESLDNDPAIIQSRYAGVSPSSSKSVSLNGGSFTEYGSYKGPASLNSVPPLHQSVNQTEYGTSQATQSNMGSYAPSYQQRYSEPSSSHHAPQHSLPPSASHVMMQDLKQAYPLQGPEHFTSAILPKSVAPVPVVTTANSLSLTSRQGLAPQQLPMAPTTNIGSPLLSVTSSMSSYAYTPAFPQSSQTVGNSGAPIFSRIGSNASPLYPLSPVVDSSSGVFPQQPPRLLTPDQLSLPSFSGQLYLDQKDLGVLSSEPQNPSLSFTTSAVQAPLLPLPPAAKKLQSSSEFTEEFDFVAMNEKFNKDEVWGYLGKAKQTAKKMEGVDIALVNEDKGNEVDHGLDSNADPKPAYNKDDFFDNISRNTVARGGRNGQNRISQRMRQDSETFGNHQQRPYANGVFGPGHGGHRGAYGYGPGNGGHRGAYGYGWGRGFNNYGGRGRGGAHMRM >Solyc03g031680.3.1 pep chromosome:SL3.0:3:4160553:4174425:1 gene:Solyc03g031680.3 transcript:Solyc03g031680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVSESFRLHLLLVVFILFLCLQESSCSSLNHLRSSLIMDIKEDTLLLETLPTAAPQPLLPLRAPSPLAPFTNSTSLRLSGLCTLNFDAVKSMMAVTSIDCVAPFAEYLANVICCPQLETTLVILIGQSSKHSNMLALNGTLAKHCLSDFQQLLVSQGANDTLQKICSLHPENLTEGSCPVKDVHEFESTVDSSSLLAACGKIDLVNECCEETCGNAITEAAKKLALKAYDISRMGGPHVLSDHTTRVNDCKRIVQRWLANKLEPAGAKHVLRGLYNCKNNKVCPLVFPNMKNITKACGDGMNNQTRCCNTVERYVSHLQRQSFVTNLQALDCAASLGLKLQKDNVSKNVYNLCHISLKDFSVQVTSEVSGCLLSSLPSDAILDKTVGISFVCDLNDNIPAPWPSMSQLPASSCKKSVRIPALPAVASGQISFRGLNIWSYLLLAASMILEVCCIYNAAILPY >Solyc04g025280.3.1 pep chromosome:SL3.0:4:24308791:24312179:-1 gene:Solyc04g025280.3 transcript:Solyc04g025280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYFGNCLGGGHVITPTKHDKPSIVKHMISIDWKLWKPYMKKGATVSMTIRFLERIAALREMFKAKEGNYFSELSPAVLTSDIDLPVIEKEEIKIEVDYKMITDERVNEAKNPVSSNLTRLDDAADEFYDVPEPSDDEHSDLAWASNASPEVGCMESYHTKLTTANIVKKLQDLTVQKKGYMDLQEMARDQECVSFFYGATLQKDKSFGIPCSWAAADPSSFLIRADSYLADQEKPKKVLRIFMVKAKSTLLQMVAADWLRSDRREDDLASRPGGIVQRYASQGRAEFFFIINIQVPGATTYNLALYYMLTSPLEETPLLERFVNGDDSFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEVHYFQGRNYLELGIDVGSSTVARGVVSLVLGYLNNLVIEMAFLIQGNTPEELPEFLLGTCRLNHLDVSKSIQTDSVRIS >Solyc10g054880.2.1 pep chromosome:SL3.0:10:55993273:56006076:1 gene:Solyc10g054880.2 transcript:Solyc10g054880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRVFSVFLALILFSAPFIQVARCQSDPETDVVEGTGEAGDLGIVDEDVRDFGSDSFSPAPGIETPGSFDLVGIIVYEIDQNPFQSTFYNGTIEVTEPGGLLSVESVFLFCLGIALLALLGFWIRGQIQNLSKKTKRAPKAKVEVGTATTDASTDEWLEGLVLPSIAGMQMER >Solyc02g064980.1.1.1 pep chromosome:SL3.0:2:36673056:36674135:-1 gene:Solyc02g064980.1 transcript:Solyc02g064980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGEAIGHGSFGKVNLARPRKQSTQFLPLMVVKSSGVSHSVSLVNEKKILDELNGCSEIIRCFGESYSFEKGENLYNLLLEYASGGSLAEKLKKSENYKLSEFEVCGYTKGILKGLQYVHEIGYVHCDIKLQNILLGEDGKVKIADFGLAKRVGIKKDDDLRCELRGTPLYMSPEMITGGEQGTPSDIWALGCVVAEMVAGVPAYKFSGVTELLMAIGVKNQLPEIPQELSEEGKDFLNKCFIQDPSKRWTAEMLLKHPFVSDHDAVTLLNEEIKNGVPSISPKCPFDFPDWVSDECVQSSVTCSITFLPSPENQENLNMSCGYWSTSPAERLRGLMSEFGPESEWCSDDDWVTVR >Solyc04g045475.1.1 pep chromosome:SL3.0:4:33496673:33498258:1 gene:Solyc04g045475.1 transcript:Solyc04g045475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQISPSHRTRTCKTEKRQYTAGSIIRWNDFKSQLQDMLHHSDTKGVELKKPSSSLYTFPMPDCMCKHADVKSASGNRRRLV >Solyc05g021417.1.1 pep chromosome:SL3.0:5:27498719:27504334:-1 gene:Solyc05g021417.1 transcript:Solyc05g021417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLTGIHQEEPYKGVDHLKVGNGASLSIHHTTFSNDHRVFFEFYPAYFPVKDQATRKVLLSGPSKDDLDTLLFKLSSTFRSSAYLSAKASKNCWHIRLGHPHKQSFIKSSNMFYHFLRFVKNQFNTTIKSIQTDGGGEFCVLTPVLNKQWILHWLSCHHTHEQQAKVEQKHGHIVETGSDSEFLDNIILRLGYVFAFKDLGPLNLFVGIQVERTKSGLSLYQHHYISSLLERAK >Solyc05g045945.1.1 pep chromosome:SL3.0:5:58590476:58593004:1 gene:Solyc05g045945.1 transcript:Solyc05g045945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGLNYTNRDDFEECINQSLELLEIKDGNEDLLGWWSRRSDAFPTLSKMVRDVLAIQASSVASEAAFSAASSDDPSEARSCGPTSVPAQDLNPH >Solyc01g109170.3.1 pep chromosome:SL3.0:1:96129879:96133945:1 gene:Solyc01g109170.3 transcript:Solyc01g109170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYWREASVGSDMIDSDLKEIGIAAKKLANHAIMLGGIGFGTSFLKWIASFAAIYLLILDRTNWRSNILTTLLIPYIFLSFPSLLFGLFRGDFGKWLSLIAVITRLFFPKHFPDWLEAPAALVLLMVVSPSFLADTIRDNWIGTFICLVIGCYLLQEHIRASGGFRNSFTKAHGISNTIGIILLLVYPVWALILHFL >Solyc08g006780.3.1 pep chromosome:SL3.0:8:1340673:1346303:1 gene:Solyc08g006780.3 transcript:Solyc08g006780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISPSATLTSQFLNYQRPNDHKCPSSSSLPFSKSNSSTLLIGPSSVSLRNGQKSRRSLHVHGLFGGKKDNNSDDNSSKAGVLGNMQNLYETVKKAQMVVQVEAVRVQKELAAAEFDGYCEGELIKATLSGNQQPVRIEITDAAMDMGSDKLSLLVTEAYKDAHQKSVLAMKERMSNLAQSLGMPAGLEGMK >Solyc04g009130.3.1 pep chromosome:SL3.0:4:2646978:2649523:1 gene:Solyc04g009130.3 transcript:Solyc04g009130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAFVSFAVQKLGDFLIQEINLRLSLREDIQWLRNELLFMHSFLRDAELKQCGDQRVQQWVFEINSIANDAVAILETYSFKVAKGNDNGFSSRLKACACICRSEAGFYKVSTEIESLKQRIMDISRKRDTYGIRDINNAGEGPSYQSAMVRTLRRTTSYVDDQDYIFVGFQDVVQTLLAQLLKAEPRRTVLSIYGMGGLGKTTLARNLYINPNIASSFSTHAWICVSQEYNTMDLLKTIIKSIRGRTKETLDLLEKMDERDLENHLRDLLKERKYLVVVDDVWQREAWESLKRAFPDGKNGSRVIVTTRKEDVAERAADRGFVHKLRFLSQEESWDHFLRKLLDVRAMVPEMESLAKDMVEKVGLPLAIVVLSGLLSHKKELDEWQKVKDHLWKNIKDDSTEISYILSLSYNDLSTALKQCFLYFGIFPEDQELEAENIIRLWVAEGFIPRGEERIEDVAEGFLNELIRRSLVQVAGTFWERVILCRVHDVVRDLSIQKALEVNFFDIYDPRNHSISFLCIRHAIHDQGEKYLSLDLSNLKLRSVMFFDFLNMSLINFSSVFQHMYVLYLDVSGGTIPDSIGRLYHLKFLRLTGIRCLPSSICNLKNLQTLCVVNEKNGCLCQLPSETADLIDLRYLDAPYSKPLKRISKLSSLQVLKSLACDQWKDVDPVDLVNLRELSMHDINKSYSLNNISSLNNLSTLTLCCEDDESFPALDSTSCGLKGKLRNCLCPDAFPNSITMMVLVDSKLIEDPMSTLGMLPNLRNLDLFRAYEGNELTCSDNSFSQLEFLRLDGLEKLERWHIGTSVMPLIKGLGIYDCPTLKEIPERMKDVERTPYR >Solyc02g082930.3.1 pep chromosome:SL3.0:2:47109617:47110752:1 gene:Solyc02g082930.3 transcript:Solyc02g082930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHI17 description:Acidic 27 kDa endochitinase [Source:UniProtKB/Swiss-Prot;Acc:Q05540] MVLCCVFLLFLTGSFAQDVGTIVTSDLFNEMLKNRNDDRCPAKGFYTYDAFIAAANSFPGFGTTGDDTARKKEIAAFFGQTSHETTGGSLSADGPFAGGYCFVREGNQMGSGFYGRGPIQLTGQSNYDLAGQAIGQDLVNNPDLVATDATVSFKTAIWFWMTAQGNKPSCHDVITGQWTPSAADASANRQPGYGVITNIINGGIECGKGQNPQVEDRIGFYRRYCTILNVAPGDNLDCYDQRNFAEA >Solyc08g080130.3.1 pep chromosome:SL3.0:8:63590193:63596289:1 gene:Solyc08g080130.3 transcript:Solyc08g080130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:K4CP13] MAHFSYSESMSGSSQHGVQVVPFKTSAGSLRVLLLHGNLDIWVREAKNLPNMDLFHKKLDNLLGGLAKLGSKKEGSPKITSDPYVTVSVSNAVVARTYVINNSENPIWMQHFYVPVAHYASEVHFVVKDNDVVGSQIIGAVGISVEQLCSGAMIEGTFPVLNSSGKPCKEGAVLTLSIQFTPMERVPLYHGGVGGDHEYQGVPGTYFPLRRGGKVTLYQDAHVPEGSLPNLWLENRVQYQHGQCWQDIFDAITQARRLIYITGWSVYHLVTLVRDNDNAEKSMLGEILKRKSQEGVRVLLLIWDDPTSKSILGYKTEGIMGTNDEETRRYFKHSSVQVLLCPRSAGKGHSWAKKQETETIYTHHQKTVILDADAGNYQRKIMAFVGGLDLCKGRYDTPAHPIFRTLQNVHKDDFHQPNYTGPTTGCPREPWHDLHSRIEGPAAYDVLTNFEERWLKASKRHGLQKMKASHDDALLKLDRIPDILGIADVPCLREDDADTWHVQIFRSIDSNSVKGFPKDPKEATNMNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFLGSSYNWSNYQNLGANNLIPMEIALKIANKIRANERFAAYIVLPMWPEGNPTSTPTQRILFWQYNTMQMMYETIYKALQEVGLENTYEPQDYLMFFCLGNREVPENGITTVVRSSKPNTPQELTQKSRRFMIYVHSKGMIVDDEYVIMGSANINQRSLEGTRDTEIAMGAYQPHHTWANKHSGPHAQVYGYRMSLWAEHTGTLEQCFEHPESLECVRRIRVFGEHNWLQYAADEVTEMKGHLLKYPVEVDRTGKVRSLPGCETFPDIGGKIIGTFTGVQENLTI >Solyc05g013285.1.1 pep chromosome:SL3.0:5:6386897:6387495:1 gene:Solyc05g013285.1 transcript:Solyc05g013285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRAVHVRVLCTQEVLRLEPSMSKAVEMLVKKEEDEPAQINPPCMNERMRKRWSFMNQNFECSFKEVAKQINHITRPDLAIPIAKY >Solyc01g112250.3.1 pep chromosome:SL3.0:1:98236325:98249196:1 gene:Solyc01g112250.3 transcript:Solyc01g112250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNACRGSFGGKTFQGYPQPQDHSESNSNPKHNSDSPNPKKEQQPLVTMNRTSTNQSYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTELSSGIDYACKSIAKRKLISKEDVEDVRREIQIMHHLAGHKNIVSIKGAYEDPLYVHIVMELCGGGELFDRIIQRGHYTERKAADLTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISESAKDLIRKMLCMRPPERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKHFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRKYGSTLKDIEIRELMDAADVDNSGTIDYGEFIAATIHLNKLDREEHLMAAFQYFDKDGSGYITVDELQQACADHNITDVFFEDIIREVDQDNDGRIDYGEFVAMMQKGNPCIGRRTMRNSLNFSMRDAPGAH >Solyc01g108670.3.1 pep chromosome:SL3.0:1:95790876:95807333:-1 gene:Solyc01g108670.3 transcript:Solyc01g108670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRDFKFLRRNSGKNNTQPEEIENVPVNPRDSMGPPASTDSTRPPLNAIQETTRNLKGGVDQQGGVRATKIDKTPTKPRASRYSDINRTPEKPVSLPKGRYGWVQKAGSSSNSIEVGDEGKMDAGTCGSQSRIVAVNATPRSTRTTGRANSNYSESHSNQNTPSKSVTKPPNPAFSLASSSRPLASGAARTANYTALYRGIPISGNSPTVLDTVEIPHFDLKENPSFWLEHNVQVLIRVRPLNSMERSTQGYTRCLKQESAQCVTWIGQPETRFTFDHVACETINQETLFRMVGLPMVENCLSGYNSSIFAYGQTGSGKTHTMLGEIEELEIRPSPNRGMTPRIFEFLFARIRAEEESRRDERLQYSCKCSFLEIYNEQITDLLDPSSTNLMLREDITKGVYVENLSEFEVQTVGDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCIIESRWEKNSTDNFRFSRLNLVDLAGSERQKASGAEGERLKEAASINRSLSTLGHVIMVLVDVANGRPRHVPYRDSKLTFLLQDSLGGNSKTMIISNVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSSADVSALKHEIRLLKEELSSLKRQNISRALSFGQTTISGGSRLEDDSSYDEKALETDQHGSLMTKEAKGIIRLSSKQFKSLETTLAGSLRREQMAETSIKQLEAEIEQLNRLVRQREEDNRCTKMMLKFREERIQRMESLVNGLIPADSYLLEENSALTEEIQLLHAKVDRNPEVTRFACENIRLLEELRRFQDFYEEGEREILLTEVSNLRNQLLVNIDGNLKQHSHLDMTIPSQEPVHVCDEQTTLHLELKKTLYELEQYQTNLNCCLEKNEKLSREIDELRGSLNSINSADNDRDGGVEFIKESTSEALALNGKSETSDEKEKEDTRKEEMMGHIEEIMDLQLELDILKVIIQEERLCHNELQQHAQSMMQDRDSSKEQLLLVTQKCEDVHAELGEAKSIIEALESQHLLAITEVEDLRNSSSRYAEVVRKLELEISSLKEKMFHQGSRDLSSSKLLESDDSPLQAKLKKMHDSLEKAKMLNRRYQSDSEFHVSNEEVMDEISRQAEAETAEVIVCLQEELLNLQQEVENSSLKEMESRKRLTELEIEVKNLEAKLSLMTEENQKLGESVYDKEKELRSMSEEWEQVNNEIEAIVCGGNDALKDACEQLDFISSTFPDKRSRISEQFGRMTKYIVEKELFIEELNQSLENALNRRNDMESMLRSLRGAALVMTEAHQFDCHEKDAELFSLTSQLSSKAHVISELENKIKHGEDLLRKVSSSATVAFLVVNWLSEQNSNYVDALNQKDMQLMESLETSRQKDAILWDQASVVAAAENQNESLRMKLHTLEKTCTDLRLQLFEEQRQKLDENDMLKTIEKLTELKAGVSTVHSHLRECVERSGSHGKDTNETHASFSSDDKFETLTNRETRQHSQHLESFILEDRTAEKPGCSFDKSCNMLGSASKQDTLQINWKDKSRDATVILLRKEMESALDCLKGVQAEMARLHVEKEALWSSEQKSRERIGDFLAAATCLQTYMDKFEQELVLKVELVDNKLRTIEGAVLESSSSWYEQKKLLEAELCDAKAVASQQATEASCILAKFEEVQDTMKEADIMINELMIANESLKLDIKRLKKKEISLTEKRDILVNENQSLQSANDLKDMHYQRLENEFESDLEMMLRLVLELEDIVSQAATTSTDELKAVTSDVLIIKSQLHSSTKYMKSWLEEIWSDIIVKDCALSVLHLCHMGILLEAATGLNVENGLLNHGLSESNSLISKLKEQNFKAQKELEMCRTLKGKLLADIKNNFDRVLKKESDAGDLTSKLGSFEKKIFDLQLQEESMLERSEQMGSELVELMKEIDLSNKTVLASLINQERVLKDKEEALKSLEDSLTMEFSAKDFESLILSSELEERTILISELERKNKHFYEVAEGLKREIIFDNLDVALTASILHDKEVEVSKLQEEVAEAGRNQQNLLAELSVMDSMIAKVHSRKNALEKDMCSLMEASCLNETLKHELGELKEGKIVLTTQVQELSSKNEKLLEELQKKDSALESSSSRIFVLDQQNQMLQNETCLLEAASCRLQNDMEELKHEIAELKGERCQFFSELEVKKEEIERINVLAAENTSLRMQLRSCEKGNNDTFDMVLKVDSIGSKALNAFQNRSAELDAMLQNIHEELERASKFIEEFESLENSAEEILIQSASLQTELVRKDDIIKGLLFDLSLLQESASNHKDQKDEIDDLMASINFLENELDEAVCKGQTLEVQLQEKISTIEILESDISQKCKDIELLSHKNSELAASAKDTMEEKCSIEEELLEKREVCEKLEIEITNFGDIVGEMSNSIEWRNSHVEKETRDNTNSCGGK >Solyc08g041823.1.1 pep chromosome:SL3.0:8:25746600:25747435:1 gene:Solyc08g041823.1 transcript:Solyc08g041823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKTAPTPMAVRSLTNSNNRLFDNPTLYRSIVGGLHYLAVTRPEIQYIVNSVSQSMHDPTEQNFQSLKWILHYLKDAGSRSSTEVEYRAMAAGVAEAMWLHHITDALDIPTKTLPLPVFYYLATKLSLVPVDQLAGDNRSNYN >Solyc01g067413.1.1.1 pep chromosome:SL3.0:1:75803273:75803827:1 gene:Solyc01g067413.1 transcript:Solyc01g067413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDFILNYLSKREDPNNGVLVKGEANGKNINYYDVLTRIIELQYFEGKWIVLFECDWWDVAHIGIGVKIDKHDFVSVNTKQKLVTDEPFVFASQFFYVKDHLHPSWSTVLNGHSTYFIGGAIDEDTFRQDAYNESLHICEDDKDIMNLKRNDLDVIRTDVTLVDDIIEVRKSEPETDDEDFLL >Solyc07g056670.3.1 pep chromosome:SL3.0:7:64601986:64603605:-1 gene:Solyc07g056670.3 transcript:Solyc07g056670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase 2 [Source:UniProtKB/TrEMBL;Acc:A0FK57] MVILSKQTSFFNGVPLIDLSKPDSKNLIVNACEEFGFFKIINHDVPMEFISKLESEAIKFFSSPLSEKLKAGPADPFGYGNKQIGTNGDCGRVEHILVSTNSEFNYQKFASILGVNPENIRAAVNDYVSAVKKMSCDILEMLAEGLKIYPTNVFSKLLMDENSDSVFRLNHYPPCSEIERLNDNNNDLIGFGEHTDPQIISILRSNNTSGLQILLKDGHWISVPPDENSFFVNVGDSLQVMTNGRFKSVKHRVLANSVKSRLSMIYFGGPPLSEKIAPLASLMEGEDSSLYKEFTWFEYKKSAYKTRLADNRLILFEKIAAS >Solyc01g016650.1.1.1 pep chromosome:SL3.0:1:20856949:20857209:-1 gene:Solyc01g016650.1 transcript:Solyc01g016650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNIHPFILLFFGVTSLEHPSKNRAATTLFFSKDSTLNTHKKHLKPLKISPFSMNKLQLTNTSKRLSFFFTFLVKKVTKNNPTTT >Solyc01g106460.3.1 pep chromosome:SL3.0:1:94243411:94247284:1 gene:Solyc01g106460.3 transcript:Solyc01g106460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYGFNQHWPISSYDELSAISIANSFGHENNLHESFISHHQPLLCHKRPIELSQIIEERPLKNSKTSHSWNNTIEIDQILSSQSVVSPNYSHLMNSSNNYTNQQVVTMKPKEETTNLSSSSITFAADHCHNNMVCHQDSFANQNYMMFKAASCQGANKSVSTNGKLTQAQDHIIAERKRREKLSQRFIALSALIPGLKKMDKASVLGDAIKYLKQLQEKVKTLEEQTKKKSVESVVFVKKYELYGDGENSSSDENYSSGTVPVDEALPEIEARISEKDVLIRIHCEKSKGVVEKTVAEIEKLHLSVINTCALSFGTSALDITIIAQMDEEFAMTVKDLVKNLRSALKVFM >Solyc06g005910.3.1 pep chromosome:SL3.0:6:898138:904921:1 gene:Solyc06g005910.3 transcript:Solyc06g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGAYHGESDIQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGTYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFVPLTSRGSQQYRALSVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSERRDIFLVLPNMELIIFLVFSFVIHGALASNGEIVCEDLSVGLCAYSVASSGKRCSLESYESIKGTRGYQCKTSDVLVSNIDITNSIESDECISACGADRNSLGISSDSLLESTFTSKLCSQECNQKCPNIVDLYYNLALGEGVYLPAFCKGRNLNGRREMSQIQSSGVAWGPSAPSSGYGRQLSEGPAASVALTFEADAPW >Solyc05g005230.3.1 pep chromosome:SL3.0:5:189285:190277:1 gene:Solyc05g005230.3 transcript:Solyc05g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQNQEYYHFPCLCCNPHTYIRMVQNMIERCLVVGMDRDECIKTLAIHARIRPLVTLTVWRELIKENKDFFQAYFTNISTPLPSLGFQREPRFGRRKLYYWQ >Solyc09g010250.1.1 pep chromosome:SL3.0:9:3635640:3635844:-1 gene:Solyc09g010250.1 transcript:Solyc09g010250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIDAEEPDCYDLYLNTNDDFRMCSSTKQIGQGMDDKLQEVKKSLIFPRLVL >Solyc08g075750.3.1 pep chromosome:SL3.0:8:59988707:59995761:1 gene:Solyc08g075750.3 transcript:Solyc08g075750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4CMU2] MESLTLSTSLSPHCPSFNLRHASLPKLSPTFSPYPSRKTPLSLKSSLTSHQSTSKPLSDSHLVADDKLSLLLASAPQSPGMAMRGAEGDAMGLLLRERIIFLGSSIDDFFADAIISQLLLLDALDSTKDIRLFINCPGGSLSATMAIFDVVQLVRADVSTVALGISASTASIILAGGTKGKRYAMPNTRIMIHQPLGGASGQAIDVEIQAREIMHNKDNVIKIFSNSTGRSYEQVQKDIDRDRYMSPIEALEFGLIDGVIDRDSIIPLMPVPEKVKRTLRNQDLIQDPMKFLNPDIPDDEIY >Solyc03g118225.1.1 pep chromosome:SL3.0:3:68632001:68633328:1 gene:Solyc03g118225.1 transcript:Solyc03g118225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLYKAFTFACNGSIVTAQPNPSKFTLPVPQFFFFKEKGLVSGQLSRPTGKPIEMGVAGHSGADIVVCPGQYLFRAATDISIRVHAVNFFGLRPQYSSQ >Solyc01g109040.3.1 pep chromosome:SL3.0:1:96023435:96024471:1 gene:Solyc01g109040.3 transcript:Solyc01g109040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYYLIFFCFKFGQKYHANHLNTPLIHCSHIHLTKNNIPCSPFYYLSTLANLKHSTSHHNQVGGQKTMATMTTFSAATVSSAATIGTGRSSSQKVTKVKYISGLNSFEGLKANNHVASLGLPMSTEQSFAKIVSSLKTPSSQAKGGALSSTCNAALEIFRIASIIPGLVLVGVAVGFVLLRIETAVEESE >Solyc11g007450.2.1.1 pep chromosome:SL3.0:11:1752417:1752716:1 gene:Solyc11g007450.2 transcript:Solyc11g007450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPNFSKILQNLKPDLVIYDILQQWAERVANEQNIPTVKLLTSGATVFSYFTISLNKPGDVFPFPEIYLRKSEQVKMNDTLKCAKEIEKPDDGDRQAD >Solyc06g066835.1.1 pep chromosome:SL3.0:6:42158383:42166661:1 gene:Solyc06g066835.1 transcript:Solyc06g066835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNEAVIDTHTSATFIDSNRPKDQLGIDYHHPLYLHASDAPSSMSIGIPLVGMENYSIWREAMQLSLLTRNKLGFVDGSISRGKIVVILVYVDDLLITGDDLDMIQHTKISLHSHFKIKDLGELRYFLGIEFARSAEGIVMHQRKYTLDLISDLGPDISFAVQTLSQFMHSPKLSHMAAATRVVRYLKKSPGLGIFLSSDCGSTLTAFCDADWASCPNTQRSVTGYLIKFGSSPVSWKSKKQSTISRSSAEAEYHSLASIVAGIIWLEE >Solyc11g010450.2.1 pep chromosome:SL3.0:11:3499515:3507220:1 gene:Solyc11g010450.2 transcript:Solyc11g010450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4D5K6] MESLSPAIGSNPIRPTTTISGENELLISSVKSIKLPPVARRKMRMRVAAQSSNFVVPNNIDFNDPDWKVKYEREFEARFNIPHITDLFPDAVSYPSTFCLKMRTPVTEDFAQGYPSDEEWHGYINNNDRVLLKTINYSSPTSAGAECIDPDCTWVEQWVHRAGPREKIYFKPEEVKAAIITCGGLCPGLNDVIRQIVITLEIYGVKQIVGIPFGYRGFSNNDLAEMPLSRKVVQNVHLSGGSLLGVSRGGPKVSDIVDSIQERGINMLFVIGGNGTHAGANAIHDECRRRRMQVAVVGVPKTIDNDIMLMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRSSGFIAMHASLASGQIDICLIPEVPFNLHGPHGVLQHLKYLLETKGSAVICVAEGAGQDFLEKTNAKDASGNAVLGDIGVHIQQQIKKYFKEIGVTTDVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVIAQPKVVDPNSRMWHRCLTSTGQPDFL >Solyc05g025670.1.1 pep chromosome:SL3.0:5:35768102:35769451:-1 gene:Solyc05g025670.1 transcript:Solyc05g025670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLAKELKKLSQEVTYKTSTRFEFHKELSTSRS >Solyc02g030650.1.1 pep chromosome:SL3.0:2:27097193:27097848:1 gene:Solyc02g030650.1 transcript:Solyc02g030650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGDTQQNRWDKLKNVIVVEENEGNGTSSLPSPGGSESVGSFGSSGTSSETQQHLPNQVDGTFIEGASSSSNEIPPVSGTLEQMRHLVLAAIEATNEQSPHFSGKEGLRNFLLKMPGVFTKGDGPNGKKTEGFLYAYKRGGEVKIVCIFHGYFLTPTEFVKHAGGCAVENPLRLLTVDPN >Solyc01g007890.2.1 pep chromosome:SL3.0:1:1994874:1998989:-1 gene:Solyc01g007890.2 transcript:Solyc01g007890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPNLHNNNASVATGSSSMFNLNFFQNNNNNNNIVDNDHNNTISGGLGGLLLPSPHQFSNNTSGEGSNNSSNIFSSGTILNDHHHHHHHHMSSSIPSLYVSSSPAPPMSATALLQKAAQMGSTTSCNNSSTSASLLKAFGSVAGVGADVGGSSSSMTKSDLHPPPAVNFGGVFGHEDPMGAGNHLHDLVVNAYGQQDQNQDYGGVVGGYNTNNNKKMNSYDQPPPQKKQMISKPFSMDIGMSEEANRLTRDFLGVGEIVRTMSGGGGGFSSSQQQMSSLDNNNNFESRKNQSHHQQQPFGGGTFQ >Solyc01g020445.1.1 pep chromosome:SL3.0:1:30778528:30780434:1 gene:Solyc01g020445.1 transcript:Solyc01g020445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPSFDLGYTAAMELHKKSKDEIVRFPSKRKVGSTVKAIEKNCYKRRKVNVVSDMIGEHAVNEEQDEVSEKLKGIRFHVLARPIKPPRMGSLQRPNSINLKIHVLEHIQKCTYVERNHKGLGVSWYKKSSSIPRIHFDLVESGRYHEYPWGKDVFYKLLKSVTKKIDEKKNAIRDYIKGYRLMTVIPWNRIDNVFIPVNVEHKNHWVLAVLSLIDQHIYVYDSYKAAGHNYHVREEIQKLAKLL >Solyc11g039970.1.1 pep chromosome:SL3.0:11:40541354:40541783:-1 gene:Solyc11g039970.1 transcript:Solyc11g039970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLRTFKNSCDSLASINAPVSQSDMFTFLLAGLPSDYESFVTTAKLQRPKPTMAELRSSLLLHESWLQQMHPITLLMILYTNRVRTRPRTRPTSTRMESPSVLVQEVNTSESPTATLVLPCFPSLA >Solyc07g065420.1.1.1 pep chromosome:SL3.0:7:67317183:67317896:-1 gene:Solyc07g065420.1 transcript:Solyc07g065420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPKTLPSKPRNVVAPDSSSPRLPPTPVLLQQPSHKKGQWKSTKLFRRVKSVFRSFPVINPPCKMPVSNRLHEGHIHGGKQMTGTLFGFRKARVNLSIQENPRGVPLLVLELSIQTGKLLQDMGSGLVRIALECEKNHSEKLKLIDEPIWTMYCNGRKVGYAVKRGPTEDDLKVMQNLHAVSMGAGVLPSESSEGELTYMRAFFERTVGSKDSETYYMMNPNGTSGPELSIFFVRI >Solyc05g046120.3.1 pep chromosome:SL3.0:5:59106724:59113453:1 gene:Solyc05g046120.3 transcript:Solyc05g046120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4C0U5] MGVGRVSSVFTFIAFVCVLAANCSKGNRKLPQNCVDNGSVQYIDEHTAEQIWVHCIEPSHKNTETANFLDLSLYQAATGTYTYLKSDIPLLRKRILQKAISDLPPEVKQILLECLKRKSLPLHRLGSEAASIAWFIKYQKLFSEWSSSVPRRHLRERKLEDESKDDGEVPFLEPPSGDEIVSPTPAPVPSSEAPTEPPAEAPTPQAPVIPPAKAPTPPTHGSAPAKAPTTRTRVNAPAMAPTPPTHVNSSKPLPVTHPPAKPKNNGTNASENNNQNRTYKIAAVSGGVAVGIALLALLLILCLIKSKKKETGPQHGKRDEKPLLNLCSDSSQKSSSIGSSIKKDFKSSSTANNLSVPANSHNHSELEAKTDAPVNALPLPPGKSAPPPPEAPPPPPPKPPAPMPPPPPKAVRPPPNPPKLGNPPKPLPLGAHRRRSSSTGEGTESSDDPDGQKAKLKPFFWDKVLANPDHSMVWHDIKAGSFQFNEEMMESLFGYNAANAGKNDGAKVTSSFEATPQYIQIIDAKKSQNLAIVLKALNVTTEEVCDALKEGNKLSPELIQTISKLAPTTDEELKLRLYCGEISQLGPAERFLKSLVEIPFAFKRMDALLLMSSLQEEVTSIKESFETLEVACQELKNSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGTDGKTTLLNFVVQEIIRSEGLRAARKLRESESLSGLTPEDLAEDVSRDSVDYHCNLGLQVVSGLSNELENVRKAALIDGENLTASVSKLGRSLVETKGFLDTDMKSLDEDSKFRDTLTNFMQNADDEIKWILEEEKRIMALVKSTGDYFHGTAGKDEGLRLFITVRDFLVMLDKSCTLLRKSTKMPANTSRKGALTVSPSQEARPDSLPEVHQRLFPAIQERRMDDDFSSSDDESSSK >Solyc11g072240.2.1 pep chromosome:SL3.0:11:55749727:55751867:-1 gene:Solyc11g072240.2 transcript:Solyc11g072240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Solyc04g071755.1.1 pep chromosome:SL3.0:4:58798799:58799845:1 gene:Solyc04g071755.1 transcript:Solyc04g071755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSNFLGTKFTIYDSQPPSDAAIQHRGRLSRRFSAKQVSPTVSACNYSIATISYELNVLRTRGPRRMHCAMHSIPFSSIQEGGSAPTPTSFPQSFDERPSKAKEPAVNISSSVSGPSREPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLAAAVDPSHNIPAAVQETTILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFDTKPACE >Solyc08g014510.3.1 pep chromosome:SL3.0:8:4640564:4643593:-1 gene:Solyc08g014510.3 transcript:Solyc08g014510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMIFASSNKIIQNSSISISISISNFHTKNSAIQFVLEEGKTLQSQQTTKQNEEVEFSRKPNSGIQTPQLWPEWVNLMDKLLKMGCFDGFGNPFGNAQWDANLIRTACLNFARHRFDLIRYLSPQDIEVLASCGCPSMDRKVVNSGKRLRLLFGIEEGNVCSFCILRGSCERAYAVPHKDQGGRTVDVMRLLLTYGLNPITGAVENKPSLNKRVEESARKLLKEIVNFSSEELFCETKKSTNPWNPSVQRDHEEGQINALRKHGDWVCPECNFLNFSRNAKCLRCNGLNHERLNKLRQEQDHLPLKKGDWICSKCHFMNFAKNTRCLQCTEKPPKRHLNPGEWECESCNYINFKRNTVCLKCDHRRPKASSCAGSSCGSANQSRTISRTRPYFGELNEETDEEWQLIESEREDRLSSMPENEVVDFPVLGGNSELSRDAKKQERWKTGNKRENGDELNKKYFQNSGNEEMDEWFGHKNV >Solyc03g114330.3.1 pep chromosome:SL3.0:3:65820675:65828730:1 gene:Solyc03g114330.3 transcript:Solyc03g114330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSDNPPNVDSQNPATMSQPAAKDHDANPEATRENSPASVFVNSEPVREEQVENAVKFLSHPRVKESPVMYRRSFLERKGLTKEEIDEAFRRVPDPAPTVSTTQPVSANEDEKVKSPSTTQALSASQNLQFASAQQSNTMRKRGYFSHFHWIHAVMAVGIMAASGAGTALLLKHIIIPRFKSWIRKVVMEEEDEKGTLKGRPSLAEEAAVAAKAAAAAAVDVARASQEMLASKTEEKRYFEELTNLLNYQVQEMKSMSSALQKLEGKSSTSGRIPAMERDDKRVSISNSTDINDQPPNPYQPVPNPRFGPKPKPWEIGQNQNSSRSFFQSQGSGDGLNYEYQDSSTPWWQRKSGRITVTEAENEEKFGYTAAPTEVRPVQRSWVPPQPPPVAMAEAAAAIRQPKKSLLQKEKLTSDELRASSSEMSDDDLQRITKISESGGLTETNRSGFGQQLSGTPITNGDNVLNS >Solyc10g007810.3.1 pep chromosome:SL3.0:10:2017981:2023409:-1 gene:Solyc10g007810.3 transcript:Solyc10g007810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEEAVIVNSSRLKSVVWNDFDRVKKGDTFVAICRHCKRKLSGSSTSGTSHLRNHLIRCRRRSNHDISQLLTRGKKKEGPLAISNFSFDQEQRNGDAVSVVRTKFEQGHTRDGLFNNGIVNFDNRRSRLDLARMIILHGYPLSMVEHIGFRIFVRNLQPLFDIATFDGVEADCREIYLMERQKVYEELDKLPGKISLSADTWTANGDAEYLCLTAHYIDDSWHLKKKILNFLTTDPSQTEDMLSEVIMTSLRNWDIDRKLFSVTFDNYSTYDKIVSRIREQLCQHRFLYCDGQLFDTRCAANVIKLMVQDTLETASQIIHKVRESIRYVRSSQATQEKFTEMAQIAGVDSRKCLNLDNSFYWNSTYIMVETALEYKDAFPLLQEQDSRYAMCPTVTEWDRISAIASFLKLFVEVSNVFAGSKYPTANTYFPDICDIHLQLIEWCQNSDDFVNSLALKLKSRFDEYWKKCSLALAIAAILDPRFKMQLVKYYYPQIYGDSAPDCINIVSDCMKALYNGHAIYSPLAPNGQAEASQVGGVNNDRLTGFDKFIYETSVSNNIKSDLDNYLEEKLFPRKDDFNILNWWKVHTPRYPILSMMARNILGMPMSKASLEYVFNTGNKALEPYRSSLRSDTLQALMCAQDWMRDEFEDSKASSSTVTLALCYDAK >Solyc05g016530.1.1 pep chromosome:SL3.0:5:17414506:17416330:1 gene:Solyc05g016530.1 transcript:Solyc05g016530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVGNARCRLINNFMPRVAVSSHLMIGVRCAQDKEDANNARMMSLAQSTHALDDASCCWQTTMSHGRWKHVIADMDKTCKPGWMSPSRYTHPAKGKEGRPRLTSADYFMEAKGDADRQYSSLADHYV >Solyc09g012070.2.1.1 pep chromosome:SL3.0:9:5432788:5433328:-1 gene:Solyc09g012070.2 transcript:Solyc09g012070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIISVCLPRIRSTVHQTKARRRHCERFSTTFYLGFFKSFPTFSNSKTLHYTYLKKDFQINSFTSTLSN >Solyc03g058950.3.1 pep chromosome:SL3.0:3:29218522:29248427:-1 gene:Solyc03g058950.3 transcript:Solyc03g058950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDGKKIKIGVCVMEKKVKSGPEVFSAPMGQILDRLNSFGEFEVVHFGDKVILNDPIQSWPCCDCLIAFHSTGYPLDKVEQYAALRKPFLVNELEPQHLLHDRRKVYEHLEMFGIPVPRYACVNREVPYQQLDYFEEEDDFVEVHGNRFWKPFVEKPVDGDDHRIMIYYPSSAGSGMKELFRKVGNRSSEFHPDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPSEKQMAREVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSTIPPTLPWKVNEPVQPSEGLTRQGSGLIGTFGQSEELRCVIAIIRHGDRTPKQKVKFKVTEEKLLNLMLKYNGGKPRSETKLKSAVQLQDLLDATRALVPRTRPGRGSDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLQWVKVPKSNGEGEEERPTEALMVLKYGGVLTHAGRKQAEELGRYFRNDIYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLANASVEIKEAKARLNDIITSGPKTSGSSEKPWMVDGGGLPPNASELMPKLTSSYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYVRWRKLERDLYNERKDRYDITQIPDVYDSCKYDRVHNSHLKLEDLDELFEVAKLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTREEALSVADLKSSQDHDSSVNETGNEDTEYHPKLNNRSDHKLKKRGSFTSEKSTDQDDDEDKETKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVIRYCNLDESLHGEASLVCDNALDRLFRTKELDYMSHIVLRMFENTEVALEDPKRFRIEMTFSRGADLSSLEKNDKEATSWHQEHILPVMVPERLQEVGSHLTWDNMENMIHPFAMPAEDFPPPSTPQGFSGYFSKSALVLERLANLWPFHKYGNTTGK >Solyc11g006010.2.1 pep chromosome:SL3.0:11:817261:822391:1 gene:Solyc11g006010.2 transcript:Solyc11g006010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIEMLKGLPFSVDTWSSKSRRKRHHFLTHAHKDHTQGICIYGSYPIYCSRLTRTLVLQHFPQLDGSFFVGIEVGQCIVINDPDGDFTVTALDANHCPGALMFLYEGKFGNLLHTGDCRLTIECLQQLPLKYVGTPGKEPKCQIDCIFLDCTFGQSPLKMPSRQSAMQQIINCIWKHPQAPTVYLTCDLLGHEEILVHVSQTFGCKIYVDKAKTPECFQALELMVPEILSEDSSSRFQLFDGFPKLYQRAEAKIAKARSDSQHEPLIIRASAQWYVCDDGISDIESRKKGRCDQPVRDIFGVWHICYSIHSSKEELEWALQLLAPRWVISTTPSCKALELNYVKRLFNQHRNFDDPFWQLLGFSMNEESEVDAETPPDVVEISSSPMVKSNAQDCTGHSKSMTSSFSNCRQSYLSPPSKTAPVTLFGRARLGLNGSCFKHEEKEPILPDENAVIRCSDKLEAISFKQEEVVVDTGKTLAVSESSDIRSKESLLHRKTENCIFESAVGLSNSYNPSLRKLYRSMHVPVPRPLASLTELMNATKRARRRL >Solyc06g007740.2.1 pep chromosome:SL3.0:6:1705534:1710954:1 gene:Solyc06g007740.2 transcript:Solyc06g007740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHMVEKGVEPNIITYNVLMDGYCLRGQLDRARRIFDSLRDKGIEIDIFSYNILINGYCKKKKLSQAMQLFCEIFKKGSKPDNFTYNTILQGLFEVGRTGDAKQIYAEMLSAGTKADTDIHATLLNGYFKYGLVEEAMSLFNKLERNRVYTDIACYNVVINGLCENDKLDEAHAVFKKLCFTGLLPDVRTYNVMFNGFCLEGLFDEAKCILRKMEDNESISIKDKLGVNSSNLDDAVTLFHQMVTMKPLPSVVDFSKLFKTMIKMKHYSAAISLFRQMLKLGIPINNFILSIMINSYCLMCRVDCAFSVLPIYLKNGIPFNAVTFNTLLRGLFSENKVKDAVELFKKLVREKICEPDVVTYATVMNGLSKRGHTQKTLSLLRLMEQGNTEPNIYIYNIVIDALCKDGNLDVAINILNEMKQKDIHPDVLTYNSLIDGLCKLGQWEKVTSLFSEMVNLNMHPDVRTFTILTDGLCKEGKVEEAEEVMKHMIEKGVEPNIITYSALMDGYCLRGQVNRARRIFDSLREKGIETNIFSYNILIKGYCKKKKVDEAMQLFCEISQKGLKPNIVTYNTILQGLFEVGRTGDANQLYAEMLSSGTKPTIQSHVILLNGYYNYGLVEEAMAFFNKLERNREYTDIECYSVVINGLCKNGKLDEAHAVFEKLCFTGLLPDVITYTVMINGFCLKGLFDEAKCILRKMEDNGCPPNNVTHNVIVQGFLRCKKISEMASFMKEMDGRGFSFDAGTTALLVNAVRVNPSVLDMIPELHSKSKK >Solyc06g075610.1.1.1 pep chromosome:SL3.0:6:47138761:47140632:-1 gene:Solyc06g075610.1 transcript:Solyc06g075610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4C9S6] MTGFFSSSKHSSPPHASSQSTTHKFSDTLMEDTLKNAEEIIKRWDLDDSSSNLFQDSRTEAKQYLEAVIDLQHAMQFVVKESATSQLLVPAQNLMKIAIERLQKEFFNILAGNRYFLDSEGGSRESTRSSGSDEDQGSEDDNAEIESRFPELSIDDESEKVSVAVDLKAIADCMIEAGYGKECAKIYELNRKSVIEETLYYLGVENISPSTVQKMNWKDLEKKIKIWLNAVKVAVSTLFYGERILCDQVFSISDSVRESCFTGIAKDSALTLFTFPEMVAKYKKLSLEKMFRILDLYDSISELLSEIEVIFGFDSMVAVKSQALTSMAKLRDAARAMLAEFESAIKKDSSKVVAGGGIHPLTRYVMNYLIFLSDYSGPISDIIADWKAVVKSPLPESYLLSPIADDGDSPSCIVSVRLTWLILVLLCKLDGKAGFYGDVPLSYLFLANNLNYVVSKVRQSSLKLLLGPDWLSNHEAKVEQYMANFKRMGWSSVMTSLPENSTAEISPAEAKECFCKFSLSFEETYWKQRSWVIPDPKLRDEVKISLANKILSAYQPFYQKHGEKVARMESGGGVESIVRFAPDNVQNYLSDLFHGSTENSSTSSSHGSSSRSTPTWSSPLRGR >Solyc01g087095.1.1 pep chromosome:SL3.0:1:81902335:81914428:1 gene:Solyc01g087095.1 transcript:Solyc01g087095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRRVPEILWRLFHDRARTLGATILSLIPLKSSTNCPCKGRRCLGCGGEYGAISFLLRENDPDDYRKLLNHCFVVVSDQAPPLHGYDPHCRWTHLEKQSEDFGGKLLKFSPVIEFWRDTVHLYMRRCSDIVEVLTLPSWNLLLTRIGDVLMVYLLKNTSIFLRLPRNKYHQVAGFPISDICLKSQLHISATTYKPTLLHPESRKKRSVDEVYSSARKEMGIRIIVSMPWRCRKKCETEKLSAETTVSVEVNSACCEVLPSREGQCYSNRHTPLHGKCKRLFKWLRQRKLRQLKAQGTHSLSPCMSFNTKDESLGDVKSDVILNSRPPLEKPNSTGARELFKEIFGSLGVNVSTELLKSLIRKAHHCQHLRLLEKHCSAPSVDQDAKNIAATILKVHLVKAEKSMNDPTQFSISSIQIYNLFNANKSEASVPANDCPENGIAHSDFKSDSCNTTSESQSSFVEPTKCYCLKKQVVSFVWATCRNIVPIDLLGTPSNWRVLTNNIYKLVKLRKFEKFMFKQCMRKVKLSSYPLLSDENSILSKHKLLEHWIFWLFSSFIVPLVQANFYATEAEHKKQDILYYRKPTWENVISKAVTYLRDQGHKELTAESVRKIIRTRSFGFSKIRLLPKRRGVRMLANLKASQNLRVNHPSRPRGWRKVGARKKGKRHNYKSVNEVLKDFHLVLKHIVAKEPERLGSSVFGYNDVYKKLIPFLSNLKSRFSVKPGVFIVVSDVERAFDSVYQDKLLSVLDDLNLEDEYFFSQVVQVVCTKRSLKVPENWVLGSKENISGPANVISFLPTHSSHGILVKQQGKGRRVRKEQFQNDLKEHIKRNVLQLGIKFFLQSVGIPQGSVLSTQLCSLYYGHLENSVLFPFLEKACIPAPRFPSDEPLLDDSAARHDHLVACKPISLLLRLIDDLLFISTSKEQASKLFSRLQRGFRAYNCNMNEQKFGTNFQMNIIPGLGSDRLYVVEDGTSFLQWCGLLINCSTLEIQADYTRYLNSPLSSTLTVSWLNKPGRNFKARLCSYLKPKCHPIFYDSNINSAVVVRLNIYQSFLLCAMKFHCYLYDLSCLHRFSTKFYVDALQKSLRYMKRLIKRRMYSFKTGSDFRPVLEVEKEEIEWLGLTAYKQVLKRKPAWYKELLHVIEAKLKALDYLNLVPTRASVLKHATDIKRSSVLWKIKY >Solyc12g036470.2.1 pep chromosome:SL3.0:12:46823800:46826446:1 gene:Solyc12g036470.2 transcript:Solyc12g036470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHYFNPDMQIIIPPSSVFNTDTNLNFHNQFPEQNYLNTISLEMSNFNFHKHSDEHILNQTSDFPVYLTENFQQDMFNLPVPTRNDINESKKRKTIETPESSSAYSSPAVSSRRKTGKGRGKRVKRDENEEEKLRQVVHVRAKRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKSMGMAVMLDEIINYVQSLQNQVEFLSMKLSAASTYYDFNSETDILETMQRAKAYEANMMQKLKKEGCEGMGSNQVGPLIDRTFGCYPKLSYNT >Solyc09g057940.2.1 pep chromosome:SL3.0:9:52699910:52701258:1 gene:Solyc09g057940.2 transcript:Solyc09g057940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:K4CTI3] MDAYTYVSELWRKKQSDVMRFLQRVRCWEYRQLLSMVRVTRPTKPDKVRRLGHKAKKGYVVYRVRVKRSGRKRPISIGVVYAKPTN >Solyc02g068400.3.1 pep chromosome:SL3.0:2:38920867:38922844:1 gene:Solyc02g068400.3 transcript:Solyc02g068400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTWALSLFFIFACLSNVYGNVHSYDHQLRKLQAFTAEIASRDSISPFPSPSPTRFSPSPSSPSVITVTPSPKVYHVTSYGADPTGKLDSTQAILQAISDALEGPSNGFLMQGISNLGGAQINLEGGNYLISQPLQFPIVSRGNLMIHGGTLKASDDFPRDGYLLDLSTSSSNGPEFLFEFITLRDLLLDANFRGGGIQVINSLRTSIDNCYITHFTTNGIIAKGGHETYIRNSFLGQHITAGGDKGERNFSGTAINLAGNDNSVTDVVIFSAEIGVIVSGQANLLSGIHCYNKATGFGGTGIYLKLPGLTQTRIVDSYLDYTGIVAEDPVQLTISNTFFLGDGFVKLKSINGVVNGVNIVDNMFSGSNKGIDIVQLDQSNGPFKTIEQVVVDRNNVKGMNLKGTIGSGVVEGNGTSWTMDFNPILVFPNLIKHVQYTFFPSGNVFVNHALRNISNNKVIVESNVQVPARVLVTVDQGK >Solyc12g036000.1.1.1 pep chromosome:SL3.0:12:44568724:44569110:-1 gene:Solyc12g036000.1 transcript:Solyc12g036000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIQFSGILHSHFFHQERFLKLFNPRIWCILLSRNSQGLTSNRCFMIKGVILFIVSVLIYSINNQYMVKRKNLYLIGLFTIPMNSIGSRNDILEESVGSSNINRLIVSLLYIPKRKKISGSCFLNAK >Solyc10g050610.1.1 pep chromosome:SL3.0:10:50087491:50088958:-1 gene:Solyc10g050610.1 transcript:Solyc10g050610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSAVKRIANCGYSQEIAKRVILKSGLYHGSKDFVSNVDDGALDLLSRKKVLNIGRPVVFADLPSLVDYTLLEMECVLREAKSKATVFEDKSGAGKKALNSKKDLRRRKTYQFEKNCRSRTSKIIKANMTSWGTLVLHKKLDLSFSGATKKSLQSKVKIFVKCNQPLSKERFDGPCLSSPIAPTSDTSEVPPIQDNINEKNSYSLSNGTLQKELQGWSDWENEKVMQATWRLGKDQIELKRIRKEKEDADNVHQENQILVETTTERIMVMERALVNTSSMGETINSLLNTLVMHNVQLKKDLEACNALYQRACFERQ >Solyc01g007030.3.1.1 pep chromosome:SL3.0:1:1582086:1582763:-1 gene:Solyc01g007030.3 transcript:Solyc01g007030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGEFIESLTRVMQHGSYESRAYVVMLMKDMFEVSTPTPLLSLKQEFFTQVVQVLRDEISQKATKASLQVLVHACPFGRNRVKAAEAGAIRVLVDLLLDSSEKRVCELMLILLDQICQSAEGRAELLNHPGGLAIVSKKILRVSKVGSERAIKILHSISKFSSTPSVVQEMLSLGVVAKLCLVLQVDCGSKAKERAREILKFHAKAWRNSPCIPMNLLSSYPF >Solyc01g100770.2.1.1 pep chromosome:SL3.0:1:90594584:90595351:1 gene:Solyc01g100770.2 transcript:Solyc01g100770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIIHYKVKNPLQNMSLITEEIRASATEFYTGNDICQEKSKFLLTEMNLPNGLLPMQDMLECGYVKDTGFVWLKSKKKTEHKFEKIDRSVQYATEVTAYVEPNKIKKLTGVKAKELLMWLTLNEICVDEPSTGKIHFKSPTGLARTFPVSAFELDVPKKEVKEEANEVSVATVEVKEV >Solyc05g053040.3.1 pep chromosome:SL3.0:5:64039971:64046550:1 gene:Solyc05g053040.3 transcript:Solyc05g053040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVFRGARADIEPGFPGLIPERRAVRVHAGRPVNTNSLAFLVTVLLLFMILNSHQMSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAVAASGGLLGPTELRLHMPPSIALATRGRLRGLRLQLALLDREFDDLDYETLRALDSDDAPTTPSMTEEEINALPVHKYKVSGPQSAGTSTHPTSSSGSTEKKQEPANAVGGTKNSDDELTCSVCLEQVSDGELIRSLPCLHQFHANCIDPWLRQRGTCPVCKFRAGSRWDENEQGGEDAYDMV >Solyc01g009080.3.1 pep chromosome:SL3.0:1:3024793:3027789:1 gene:Solyc01g009080.3 transcript:Solyc01g009080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTTTSQSISYANLPKIPSFFPSRVTISSSNSINFLGYNCITKKIKCSARQLRHFGPIYASMVESNSTNGSVSWILEFIGDGDTRHIGSPTAKPRSLEIPSGAVIVGRVADKADVVIPVPTVSAIHARLQNMEDYLVVTDLDSTNGTFIGEKRLVPGVAAAALPGSLVTFGDTNLAIFRVAKFEKMETNASEVEEEEPSST >Solyc10g007830.1.1.1 pep chromosome:SL3.0:10:2028837:2030165:1 gene:Solyc10g007830.1 transcript:Solyc10g007830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPFKLSLFFPLLLILFLSTSISSNINNLTQSNMNPHELETLYKIMESISNDQDWRRSYPNPCQQSSSWLGIECKRNTNNTYHVTRLDFGTHPNPTCKKTSTFPSLIFQLPNLESLFFVQCFTHTKTTISIQENTILQQLSIRSNPSLIGTIPPQISSLKSLQILTLSQNNLLGQIPPQIFTLNSLIHLDLSYNNLTGQIPYQIGNLNNLIGLDLSYNSLTNPIPSTIGLLKSLQKLDLSSNTLTGRIPQTIEKLQSLNFLALSNNKLSGNFPKGIHKLQALQYFLMDDNPMMFITLPDELSQLHKLQELSLSSSGYSGEIPSSYSQLMNLTTLSLQNNRLTGKIPVELSRLTHMYHLNLSRNLLDGVVPFNTSFLKRLGRNLDLSGNPGLCLMMNEGVYVGVNVCSNTITMPLKNRSCEASCGVVTSLLFLVCVFQLLFCV >Solyc04g026300.1.1.1 pep chromosome:SL3.0:4:17110066:17110341:-1 gene:Solyc04g026300.1 transcript:Solyc04g026300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNFHFPATVFSGEATIPENPTPNPAAQTIPKYLNPFNFPPQFTQGTRFPPSHSPCIPLCPVHVYVAQFLKLLGELWISWLFPYGITVS >Solyc02g011790.1.1 pep chromosome:SL3.0:2:14138020:14138520:-1 gene:Solyc02g011790.1 transcript:Solyc02g011790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAILSSTMILNSSIIVDIVEAKSKYGFWGWNLWRQPIGLSFDLQEAEEKLVGEYQTEYSVFYLGGWNHSITYIFVPEIFFINKGGKVFATLICIIITLAKACLFLFIPIATRWTLPRLRMYQLLNLV >Solyc11g062050.1.1.1 pep chromosome:SL3.0:11:49163561:49163842:1 gene:Solyc11g062050.1 transcript:Solyc11g062050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQTRDRSQGLFRISQFIWDPADPLFFLFKDQPPGSVFSHRELFADEDMSKGLLTSQTDPPTSLYKRWFIKNTQEKHFELLINRQRWLRTNS >Solyc07g042240.1.1.1 pep chromosome:SL3.0:7:55433303:55433539:1 gene:Solyc07g042240.1 transcript:Solyc07g042240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIFLFHPNTDIMKSVFHSNTDIMKSVFFLHSNTDLMRSVFLLHLNTDLMRSVFIFHLNTNLIRLVFLFHPYPNTNI >Solyc02g093980.3.1 pep chromosome:SL3.0:2:55293174:55301648:1 gene:Solyc02g093980.3 transcript:Solyc02g093980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGLRAKTRKGPSVQVDYLIHIQEIKPWPPSQSLKSVRAIVIQWENGDRNGSTSQVVPFLGSGVGDGRIEFNESFKLPVTLLKEISNKGGDGNSFQKNCMEFNLYEPRRDKTVKGQPLGTAVINLAEYAVIKEGLNVSAPINCTRAYRNTTQALLFLKIQPFEKGRVSSSSSSHILTREVSIDRNGVESLSTLTSEECAEEAETASFTDDDGSSHSSVAVSSSANGSNCGSLPQGEDEAEGVKSNPGQHEDEHLLHSKKKSVDLDEKQVVKSLSDLKGSPSPSSTDLSSDLAWLSRKIGGSGSNKFSTSSENEITENSQNPRVMTKHVEPERILANSESDGEIYTPQKSDEGRVNSHPDQEGFPISHITDESKCFMNSASHFSSSENADNASTPIADRHEDVIDIVTKNGSYEGENSENYQERRQESGVYNIENYQENGKVQEIVEEEDSEDSMKNDSEKSDVNSTDSENAFTPLGNRHEDARAVVTKNGSCEGQNSENYQERENYQENEQVQEIVEEEESEDAMKNVSEESDVNSTDTDSYGAKSSILNNERLKHVKSVRSSAEPNRVRGSVRGNQLLAQDKLISTQDLGNEWKNRNAHSTILLENKLHKLEQRVKMAEGELREAAAIEVGLYSVVAEHGSSTNKVHAPARRLSRFYFHACKDDSLLKRGSAAKSAVSGLILVARACGNDVPRLTFWLSNSVVLRATISKFQRQLCLPRTTETILGEAVSKDKKKISSPLKWETFSSNVTKDDFCESFGNWEDPRTFTRALQRTEAWIFSLIVESIWWQTLTPHMQSGAAKEIRESMNSLISKVYRRTATSDNEEHGSYSSELWKKAFKDACERICPVRAGGHECGCLRFLSKLIMEQCVARLDVAMFNAILRESADEIPSDPISDPISDADVLPIPAGQASFGAGAQLKNTVGNWSRWLTDLFDIDDGESLKNSNEENGSKELDTSAKSFYLLNALSDLMMLPKDMLLSRTMRKEVCPALGPLLIRRVLNIFVPDEFCCDSIPEAVFEVLSEEPSEAEGDSVTNYPCTAAPVAYMPPPIASVAGMLGDGYSYSMLTRSASSVLKKSYTSDEELELLDSPLNFIISDGTEASHSLVKQSSMPKNSGRQRYQLLREVWINSE >Solyc12g008710.2.1 pep chromosome:SL3.0:12:2074089:2086770:1 gene:Solyc12g008710.2 transcript:Solyc12g008710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPAESSPILPKKHRFRSLKLVNVNMDEVLSETPQGVEYGKLENGLTYYVRSNSKPKMRAALALAVKAGSVLEEEEERGVAHIVEHLAFSATEKYTNHDIVKFLESIGAEFGACQNAVTSADETVYELFVPVDKPELLSQAISVLAEFSSEVRVSPDDLEKERGAVMEEYRGTRNANGRMQDAHWVLMMEGSKYAERLPIGLERVIRTVSPQIVKQFYRKWYHLQNMALIAVGDFPDTQSVVELIKTHFGQKISAVDPPLIPYFSVPSHDETRFSCFVESEAAGSAVMISCKMPVEELKTVKDYRELLTESMFFHALNQRFFKISRNKDPPYYSCSAAADILVRPVKAYIMTSSCKEKGTVEALESMLTEVARVRIHGFSEREISVVRALLMSEIESAYLERDQMQSTSLRDEYLQHFLRNEPVVGIEYEAQLQKTLLPHISASEVSKYSEKFRTSTSCVVKTIEPRATAAVDDLKAVVMKINSLEREKSLPPWDDENIPEEIVCAKPDPGHIIEQLEYPNIGATELILTNGMRVCYKSTDFLDDQVLFTGFSYGGLSELPENEYFSCSMGSTIAGEIGIFGYRPSVLMDMLAGKRAEVGTKLGAYMRTFSGDCSPSDLETALQLVYQLFTTTVEPGEEDVKIVMQMAEEAIRAQERDPYTAFANRVRELNYGNSYFFRPIKYNDLRKVNPYKACEYFNSCFKDPSTFTVVIVGNIDPSIACPLILQYLGGIPRPPEAVLRFSRDDLKGLPFQFPTTITREVVRSPMVEAQCSVQLCFPVELKNENMMEDVHFVGFLSKLLETKIVQVLRFKYGQIYSAGVSVFLGGNKPSRVGNIRGDISINFSCDPDISSTLVDLALEEILHLQEEGPSIEDAMAVLEIEQRAHENGLQENYYWLDRILRSYQSRIYSGDIGNSFKIQEAARSKVRSILTPLTAQLALQKLLPFPCKKQYTVVILMPQASRIKRLKSLMQSVPKSYSRDAKILAGIAGVTILSLSLWKYSRSTLKS >Solyc11g011420.2.1 pep chromosome:SL3.0:11:4482864:4494183:1 gene:Solyc11g011420.2 transcript:Solyc11g011420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYTKFNSSAEKSCSSSKREMAVVADDDHEQQVKQPEKKKPESEDDKRRKKIVPGSLMKAIVRPGGGESKPAEGNQVIYHCTVRTLDGVTVESTRSEFGGKGTPIRHVVGKSKIILGLLEGIPTMLKGEVAMFKMKPEMHYGEKDCPVAAPDNFPKDSELHFEIELIEFSKVKARMLLTSLLTISAKSADGKVILSWTKDEPYFFTFGKPEVPKGLELAIATMPRGEKAVIYVKSQYYTESTLMPVVEGVDEVHFEVELVHFIQVRDVLGDGRLIKRRIRDGRGEFPMDCPLQDSLLRVHYKGLLLNEEKTVFYDTRIDNNGQPLEFSSGEGLVPQGFEMSVRLMLPGEVSLVTCPPDYAYDKFERPANVPEGAYVQWEIELLDFNTPKDWTGFSFREIMDDVEKIKGTGNRLFKEEKFELAKAKYEKASVLREFNHVHPQDDEEGKEFANTRNLLHLNVAACLLKLGEHKKSIETCNKVLDANPVHVKALYRRGMAYMASGDYEEARADFNKMMSIDKSSEASAKAALLKLKKEEQEVERRVRKQFKGLFDKRPGEIAEVGTNDGQDDEATSENVEKDDLDNLDDQEERTRQTTVPPPPPGILSQLRKLFASVGLNRCTIL >Solyc02g083840.1.1.1 pep chromosome:SL3.0:2:47661365:47661520:1 gene:Solyc02g083840.1 transcript:Solyc02g083840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAIMQLCGQRSYGRKGLSVFMYLVSHDFCLSDSSIQFVVSIHILCFCLV >Solyc12g099360.2.1 pep chromosome:SL3.0:12:67519755:67523117:1 gene:Solyc12g099360.2 transcript:Solyc12g099360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPERDIDDLPRNKANYTALTPLSFLERAATVFPNRKSLIHGSVEYTWHQTYRRCRLLASALTKNSVTFGSTVAVIAPNVPAMYEAHFGIPMAGAVINAVNVRLNAETIAFLLGHCSASIVMVDQEFFPLAEEALRVWENNSKGNFNAPLLIVIADKNCDPTPVQYALEKGAIEYEKFLETGDPDFTWKAPEDEWQTIALGYTSGTTASPKGVVLHHRGAYIMAMSNAVVWSMPEGAVYLWTLPLFHCNGWCFVWTLAMVCGTNICLRQVTAKAVYSAIAHLGVTHFSAAPVVLNTIVNAPKEETILPLPRLVHVSTAGASPPPSVLAAMSRRGFRVLHTYGLSETYGPSTLCTWKPEWDLLPPDIQARLQARQGVRYVGLEHLDVVSTNDMKPVPADGKTIGEIVFRGNIVMKGYLKNPKANEEAFAGGWYHSGDLAVKHPDGYIEIKDRSKDIIISGGENISSVEVENFLYLHPAILEVSVVARPDEQWGESPCAFVTLKPDLKDTDQRKLADDIINFSRSKMPKFWVPKSVVFGPLPKTATGKIQKHLLRAKAKEMGPMKKSRL >Solyc01g016520.1.1.1 pep chromosome:SL3.0:1:20370710:20370859:-1 gene:Solyc01g016520.1 transcript:Solyc01g016520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLMSDPQGQMIDLPIQSNLHEGLSLTKYIISCYGACKGVNKFTNPVK >Solyc02g069350.3.1 pep chromosome:SL3.0:2:39840563:39841531:1 gene:Solyc02g069350.3 transcript:Solyc02g069350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLHEYLRNSIRGHSHAHFRYSLGFWSSVSSWCNEHNVVWQNYQGVEKESFEKGVTRCQLKVEQFNEKPQRCKCSNVEKCEFCVLLQVFIVLHQRDEKFA >Solyc02g063030.3.1 pep chromosome:SL3.0:2:35595007:35597192:-1 gene:Solyc02g063030.3 transcript:Solyc02g063030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMEQSRKQDEPEFNLREWALKAKLNREKTNSRRYSASYIRSFREETKSFRSNVTISSTASSPGYTLREEIDPSKYSFTTALKALQAKTIYSWEYMSPDGLALNSKWNEAEKYICNPLSGEVPLECLSTKILNGRSFRQTASRITISGPLIYPSHIQSSTSQVHTKHHVKKPSFPTHEVVEIKIPTKEKKEVSIRRDVGTQSISAYVSSNSPSPAPTPSIEEMSMKLSEAADSSPSIKEISSDHSPVTSPKSKSEQEVRN >Solyc01g073900.3.1 pep chromosome:SL3.0:1:81105409:81107119:1 gene:Solyc01g073900.3 transcript:Solyc01g073900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFLQAKSRLVKGSAWLFWIKGLNWMSDNLMDDVVMDLDLNQEPLDPSSGSALGLGSLLSDLESAHSRIEERIRQLEAVTARALQRHRWRQARSTIDTGNVSVDPVVNVDSDMQGQNRVSNSDMVERTVERGKGCKRDSSHLVAKALEMDLVVNKVDDDSGSFFDCNICLDMAKEPILTCCGHLFCWPCFYQLPYVDSTTKECPVCKGEVADANVTPIYGNGDGESITELESGLKIPPRPKARRVESVRQQRATQGLSHIPVAEALRRIRTSIGLGHHLQQQDSGGVNLSFGSNSHVLQTADTLSSRRLRSRLFSRVLSEGAASLSSELDNAQRMFEGLAASFSDRLLQGSNVDVIPAVHGATGDGDSFRRDAALIQSNYRSLDAVTRTGSTASVPSSSQANEVSVAAVQLENLTTDTADLPAIRSSLASRRRNILSRLSDVDLRESRRRRLN >Solyc01g109490.3.1 pep chromosome:SL3.0:1:96336256:96339491:1 gene:Solyc01g109490.3 transcript:Solyc01g109490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYFSSIAPNRSSNNLPAIGMASPVVQYNDETCGTFLVEGTREGENVCLRRCTIWAMPGPCLCRLQHSLQKEKPNPADFALGKAAKNDEDTRLYHPLGLRYFLLGSHYCSAVNNSESSESVF >Solyc02g089450.1.1.1 pep chromosome:SL3.0:2:51907122:51907418:-1 gene:Solyc02g089450.1 transcript:Solyc02g089450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNCSSPYSCSVWRWNSTVPYLFGGLAFIFLLISVALVILACAYCRKKSRNSRGENNGGNGTMINEIRMIVTMKPKIVVIMAGDDKPSYLATPSLA >Solyc06g024388.1.1 pep chromosome:SL3.0:6:11257597:11258318:1 gene:Solyc06g024388.1 transcript:Solyc06g024388.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKAPFGAPFLFQKKKEGTLRLCIDYRALNKVKVKYKYPIPLIADLFDRFGHAKVFTKMDLRKGFYQVQIAEGDEPKTTCVTRYGAFEWLFMPFGLTNAPATFCTLMNKLFHLYLDQFVVIYLDDIVVNSNSIEDHIEHLRKVFNVLRKNEFCVMREKCSFSHPTVQFLGHTISHDDIRMDGDKVEAIKNWEAPTKKNREWEWLDAC >Solyc04g051800.3.1 pep chromosome:SL3.0:4:51036398:51041856:-1 gene:Solyc04g051800.3 transcript:Solyc04g051800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATKLQVIDLRSTFLTGRTNLLCPGGVKTTAVTVFNNPRRRKVLRISSKLQAVAVETAETEVKEDIESLFSSNSSDEFNYSRRGNKQSGNGASSISSGVRLENVSKSYKGVTVLKDVSWEVKKGEKVGLVGVNGAGKTTQLRIISGLEEPDSGNLIKAKPNMKIAFLSQEFEVESTRTVKEEFMSAFKEEMEVAERLDKVQKAIEKSVDDLELMGRLLDEFDLLQRRAQAVDLDVVDVKINKMMPELGFAPEDADRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLNKQEVPMVIISHDRAFLDQLCTKIVETDMGVSRTYDGNYSDYIISRAEWIETQNAAWEKQQKEIEQTRDLISRLSAGANSGRASTAEKKLEKLQDQEQIDKPFIRKQMKIRFPERERSGRTVVNVKNLEFAFEDKVLFKNANLTIERGEKIAIIGPNGCGKSTFLKLIMGLLKPTRGEVVLGEHNVLPNYFEQNQAEALNLEKTVLETVAEAAEDWRLDDIKGLLGRCNFKADMLDRKVSFLSGGEKARLSFCKFMVTPSTLLVLDEPTNHLDIPTKEMLEEAITEYQGTVITVSHDRYFIKQIVNRVLEVKDGTLHDYEGDYDYYLEKNLEARERELEREAEIEDKSPKAKAKSKMSKAEKEARKKQKMQAFQAAKQKSKKSKNSKRWN >Solyc10g074790.2.1 pep chromosome:SL3.0:10:58568481:58573793:1 gene:Solyc10g074790.2 transcript:Solyc10g074790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVSRILFSVLTEPQRGGQSFITSIKIAVLPIAKVFTLCFLGFLMASKYVNILPANGRKLLNGLVFSLLLPCLIFSQLGQAITYEKLLQWWFIPVNIVLATIFGSIIGLIVATIVRPPYPYFKFTIIQIGIGNIGNVPLVLIAALCRDPSNPFGDSEICARDGNAYISFGQWVGAIILYTFVFQMLSPPPEGSFDVEDANLPIKVPNKERLPSHPSGSSAEQVPLLATNVAPADSSSSNKEKVKQFFKFLYETLKLKQLIQPPIIASIIAIIIGCVPVLKRLIFTSDAPLYFFTDSCLILGDAMIPCILLALGGNLVDGPGPGSSKIGLKTTVAIVFARLCLVPPTGLSIVMLADKLGFLPADDKMFRFVLLLQYSMPTSILAGAVANLRGCGKEAASILFWVHIFAVISMAGWIILYLNILF >Solyc07g063700.2.1 pep chromosome:SL3.0:7:66222825:66231472:-1 gene:Solyc07g063700.2 transcript:Solyc07g063700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLFICCQFLFMLLTSAALDTITTNKSIRDGNTIVSAGGVYELGFFSPGNSKNRYVGIWYKKISPTTVVWVANRDIPLNDTSGVLTLNPNGILVLVDKSNVSIWSSNSSRLLKNPKARLLDTANLVVSDGNDRDQGINFAWQSFDYPGNTLLPGMKVGIDLVTGMDRYVTSWKSTDDPTPGDYVDRVDSHGYPQLFLSRNSSVVFSSGPWTGAAFSSSPSNKPSLYYTFEFVINQKEIYFKYELKSDSLPTRVVLNPDGVIQHLIWIEHTQSWFLYLTAQLDNCDRFALCGPYSSCNINNSPPCDCLKGFEPRYPQESAADWSSGCVRRTSLNCTHDGFLKFTRIKMPDSRNSWYNERMNLEDCEKMCLADCNCTAYSDLDVRNGGSGCLLWFGELIDIREFSQNEQNLYVRVAASELGECILTGSKVENEDMELPLFDLVTVTSSTGNFSSANVIGEGGFGPGILPSGQEIAVKRLSKYSGQGIQELKNEIVLISKLQHRNLVKLLGCCLEGEERMLIYEFMPNASLDYFIFDPSRKASLGWKNRFEIAMGISRGLLYLHQDSRLRIIHRDLKTSNILLDTDMNAKISDFGLAKIFGGDQEEGKTKRVIGTYGYMSPEYAVDGKYSVKSDVFSIGVIILEIVSGRKNRKFRHLEHHHNLLGHAWLLWIEGNALELIDECIKESFSESQVLRCIQVGLLCVQKLPEDRPTMASVVFWLGNEGLVLPQPKQPGFFIERNSMESTESSTDEVYVTLDTITTDKSIRDGDTIVSAGGVYELGFFSPGNSKNHYVGIWYKKISNGTVVWVANRSIPLNDTSGVLTLNPNGILVLVDKSNVSIWSSNSSRLLKNPKARLLDSGNLVVSDGNDRGLENNFAWQSFDYPGNTLLPGMRLGKDFVTGMNWHLTSWKSTDDPTPGDYVDRVDSHGYPQLFVWKNSSIVFSSGPWNGIAFSGSPNNKPNTYYSFEFVINQQEIYYTYTIKNDSIPTRVVLNPSGVLEHLTWIERSQSWFLYLTAQFDNCDRFGLCGPYSSCNINNSPPCDCLKGFEPRYPQDSATEWSSGCIRRTSLDCTHDGFLKFSGIKMPDSRNSWYNDSMNLEDCEKMCLADCNCTAYSDLDVRNGGSGCLLWFGELIDIRGFSQNEQNLYVRVAASELDRKGRRKRAALIGVISAVVATFILSFLAWFYFRRRKRRRGLEVENEDMELPLFDLVTVTTATDNFSSANVIGEGGFGPVYKGILPNGQDIAVKRLSKHSGQGFQELKNEIALISKLQHRNLVKLLGCCLEGEERMLIYEFMPNASLDYFIFDSSRKASLAWKNRFEIAMGISRGLLYLHQDSRLRIIHRDLKTSNILLDTDMNAKISDFGLAKIFGGDQVEGKTKRVIGTYGYMSPEYAVDGKYSVKSDVFSIGVIILEIVSGRKNRKFRHLEHHHNLLGHAWLLWIEGNALELIDECIKESFSESQVLRCIQVGLLCVQKLPEDRPTMASVVFWLGNEGLVLPQPKRPGFFIERNSMDSTKSSTDEGYLSNNVSITILEPR >Solyc12g049180.1.1 pep chromosome:SL3.0:12:61536973:61538224:-1 gene:Solyc12g049180.1 transcript:Solyc12g049180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQMKKQWLQFACVLAFFSTATCTMAYSPYNSYESSDSTYNKVPTTVVKREDFKMAKPEYKESFFPKFDYFKKPSVSEDNYKKASYVPAVPSIAKPEYKESFFPKFDYFKKPLVPEDNDKKVSYVPKVPTKPKSEYKVPSLQKNDNYRKSSIPEDNYKKVSYVPKVPVVPKEEYKIPSLPKNDYYKKPSATEDNYKKVPFVPKVPLVTKEEYKVSSLPKSDYYKKSSVSEDKKVSYFPKVSSVPKEEYKVPSLPKNDHYKKPSLPEDNYKKISHVPKEEYKVFSFPKNDYYKKPIVSEDNYKKVSYVPKVPSVPKEEYKVPSLSKNDYNKKPSPTPSPPPPYY >Solyc05g054870.3.1 pep chromosome:SL3.0:5:65520472:65522058:1 gene:Solyc05g054870.3 transcript:Solyc05g054870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREKSSKRERGSRDGDDDDHRRNRHRDEENRNRSDDRRRQERSIEREGNRDVGHDREDENQHQSDDRRRDCESEGSRDRAYDRERREKSRDRDRREKSFELEVNREGSMERRNSRKRKDRGENVDKRNVDEKRARDSEVKKDNLRVGDVKLMDKEDVEIDEEKKGKGCEMRTVKEEPKPEPYDDGQDVDTIDTSVAMGSFSAASRTSPDKPLTLSDSPATKMGQLMTLARVQSYPLMLKEMHTSSNKGIKC >Solyc12g016203.1.1 pep chromosome:SL3.0:12:6330199:6332046:-1 gene:Solyc12g016203.1 transcript:Solyc12g016203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIIEKDGNKNSRPGSSRRNLVVIIKNLQGRVGKMEFWATRDPDESWMTFGGVRVGERHRNSSSIRRWVVGLLE >Solyc01g005170.2.1 pep chromosome:SL3.0:1:141246:144909:1 gene:Solyc01g005170.2 transcript:Solyc01g005170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYICINQIMQNRIKKVFTSLREHTCVSYAKFATIGGFCDLDHIVVKATSPDDTPLPDRYVLEILQIFSICPSSFGPFALSFSQRFANTRCWRVALKCLHLLHRLLKALPHTSPLREELMLARSNGLMCLYPCNFKDRSSSASLDYTYFIWSYARLLDESLDCCATQGKEIDDYHSTSHEIFIDKMDEVRLMLEFLPQLQSLIDRVIDCRPTGQATRNAIVQSVMKHVIRDSFTCYTTFRKELVEILDHLIQLPYINCSAAFEIYKKAASQANELSEFYDWCKSLGLCGIYECPFIDKIPQIQITALESFLNGMWQQSADDPSSSTSVSSLSSNEDGNDCKQKQAMMFSTEKLSTAKSAGVPLLIYCSVVPTAVKPIRYSTGFLLSPFAALLLVLLHFGHPNLILYAKSASIVPFSCNI >Solyc03g118060.3.1 pep chromosome:SL3.0:3:68528501:68529796:-1 gene:Solyc03g118060.3 transcript:Solyc03g118060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSVSSLKSTVNHLNRRCSSLNYATSATSKLKGASPLHLKEIVPKSRKGDLVPVCMAVGMIGLSTSFGIHTAMQQLRRAPNVHVKKSRRETLPEIVEPEDVAEEAEKFVNKSLFRKVAHASTSSTRGHIYTKKPGVETLKTIGVDPMM >Solyc08g075620.2.1 pep chromosome:SL3.0:8:59884850:59886305:1 gene:Solyc08g075620.2 transcript:Solyc08g075620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYQVKYQMEGLLVIFTAESFIGNEELCGPPRFQVKVCEIQNNVTRRNRKKTVLKFVLGPVAAGGLVIGVLGMIWLLNYRRRNNQLIPLTDWYDQLSHKRFSYYELVRGTNNFDESNLIGKGSLGMVYKGTFTNGTIAAVKVFNAQLQDAFKRFDLECKVLRNTRNRNLVKRLKIMFDVACAVEYLHQGHSLVVVHCDLNILLDEDMVARSRDSVNYGGCLQLRHFIDGNLHKKETS >Solyc02g078080.1.1.1 pep chromosome:SL3.0:2:43437927:43438808:-1 gene:Solyc02g078080.1 transcript:Solyc02g078080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIISTKWLFVVSVLIVISFLELTNGEEFSDEYSSHDVALHESLRSRFKPPTPQRHRYRPRHPPTPRPKRPSPFPPPVQPLHHPPTPRTKRQPPSSPVLPPPVQPRHRTPTPRTKRPPPSPPVLPPPVQPRHRPPTPRTKKQPPSPPVLPPPVEDPPMIPPPVIPPKGEDPPVLPPPGEEPPVMPPQGEDPPALPPPGEEPPVMPPQGEDPPALPPLSEEPPVIPSPPVLPPQGEDPPVLPPLGDEPPVMPPLGEEPPVIPPPPVLPPQGEEPPVMPPQGDEPPMLPTMSLRI >Solyc01g087190.3.1 pep chromosome:SL3.0:1:81997902:82002516:-1 gene:Solyc01g087190.3 transcript:Solyc01g087190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTISGSGRSLAETHSTPCLCLNPSRNSGSTNFRSNRELGLWRTFKGRKQLNLSSSFLEAWCEWRLSAKMVSLALNGGSRKRQKIRKLTVVGGLEVEDDDSGEDVKNEIINVITYKAVRTVLQQLYEMNPPQYTWFYKVLQEKRELAERVMITRLSLYSIWMQKCDHAELYNRISDENVELMRERLAQTVIWPSDDDQIAGSLD >Solyc05g056450.3.1 pep chromosome:SL3.0:5:66587020:66588956:-1 gene:Solyc05g056450.3 transcript:Solyc05g056450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFAYYILFLFLSSILFALQVSSTSRHVVNNHKGFRLSLKHVDSGGNFTKFERLQRAMARGKSRLQRLSLVATLSSRDETNDVKSTIHAGNGEFLMQISIGSPSESYNAIMDTGSDLIWTQCKPCKECFDQSTPIFDPSKSSTFEKISCSNKLCEALPISSCGGSNCEYMYTYGDYSSSEGFLASETFTFGKVSIPNVAFGCGNDNEGSGFSQGAGLVGLGRGPLSLVSQLHMSRFSYCLTSINEDADSTSSTLLMGSMARDDYNNIITTPLVKNPTQPSFYYLSLKGISVGDTQLAIKKSTFSLNKDGSGGMIIDSGTTITYLEESAFSLLKKEFSSQVNLAVDDSSSTGLDLCFKLPSNTNNIQVPKLIFHFEGADMDLPAENYMIADSRMGIACLAMGSSSGMSIFGNVQQQNMMVIHDLDKETLSFVPKQCDKL >Solyc02g089570.3.1 pep chromosome:SL3.0:2:51992186:51992920:-1 gene:Solyc02g089570.3 transcript:Solyc02g089570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSQNLSYQAGQAKGQAQEKGNQMMDSAANIAQSAKESLQEAGQQVQAKAQGAADAVKNATGLNK >Solyc01g014410.1.1.1 pep chromosome:SL3.0:1:13449095:13449334:1 gene:Solyc01g014410.1 transcript:Solyc01g014410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTWKSLLHYKFHDPSLFIKALTHGSYILSEIPRCYKHLECLGDIVLDYVVTTHLYFKYSRLIVRLITYLRSTFVNNE >Solyc09g082870.2.1.1 pep chromosome:SL3.0:9:68973896:68976985:-1 gene:Solyc09g082870.2 transcript:Solyc09g082870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCDNILQVQLTPILTSPARKKWHWAYKIVCNARALLYTAKKIVAENKPDISSNYSRSRSYTAVDIEPGCFSKINKQVLANLVQERDVEPFGGVERVLLLLKADADKGILGDVEDITSRRKHLGTNTFKKPSVNLLQILLKSLKDPNIIVVLVYAVLSLGFGVKKHGVKGCLDGGIILISLFLAISLSAFCNYWHKQQLYQLCRPIETVPILVIRDGKDTRIALSEAVVGDIIRLKAGDQVPADGICISDQTLHIDESTITRKNDLVEVNSSTNRFLLSGSKVLRGNGRMLVTAVGMDTALAEIISPACVNHDHKSLLQKKLHKLTSCIAKVGLAVSFLVFLVLLIRYFTGNMRNDGRKLFIGGKTSIQDVWKAFLGILATPVAIASGAIPEGLTLACALTIAYSTKKMIADQALVRSLSAFEAMASATVICTNKEGVLTENTLQVSQFWLHEEYFGSCAFPSFAPEILDLLHEAMALNTTKISPGSSVEHMEDQIQNAILAWGIKSMNMNVQQLKERCTLVHAESFNSEYQGRVLIRRNADSRVHVHHKGTPEEILAMCSRYYEKTGDVKDISDDTRALLQERITQMKMDGLHCVGFAYRSVTAEHQIDHEGNFHPKLKEDDSILLAFLGLKAPCREHARKAVEDCQDAGVNIKIITKDDIQTARASAVDCGIIDPHNTSTGEVIEGTTFQEYTEDERLEKVDNIRVIARASTLDKLLMVRCLQKKGHVVAVTGDRVEDAEALREANVGLSLGTQGADAARNSSDIVIMDDNFASIARVLSWGRTTYNNVQIFTQYQLIATIASLVIDFVTAISANEPVTINIVTVISAGNVPYAMLQVLWVKLMVGTLAAVALTIDGPGTKLMQQPPTNQNEPFITNIMWRNILGQASYLISVLLTIQFTGESGYQLSDKEKDTMIFNIFVLCQLCNIFYLRKYEGGLLRELKTKRLFWGIVGMIVVIQFAMIEMLKRFACTERLNWQQWKVCIGIAALSFPVSLLIKCIPLPKTPLFSRWNRQNFSYSRFRSSVTL >Solyc08g061480.3.1 pep chromosome:SL3.0:8:48835692:48842518:1 gene:Solyc08g061480.3 transcript:Solyc08g061480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNFMDGDWGSSEMFPIDPLMPYGFGANFLSHLSLIVDGSRNLYVPGSQALQEAFKCFSKYAGALLVWFATVTNSRGNNQISGGYRSSRNTRSGMSIQTQETTSSRHYFMELFWQSRCKGKIIIPVIFRRISKFTVNQMYKEAKHLQSIPVLSLAAALVPPFENFAMESHSGEDHRPCEVDHRGCDNSFFQNLNWSRHAVEPRTGIEFPTILDNLIAGEQNSSFTSEVLVGTGSRIMKIIRIKSLKVYAFGFYVHPFDVCQKLGWKYASVPFCELNKQQDFYQDLLREDISMTVRLVVSCNGIKINTVRDVFEKSLRARLFKANPDTDYHCLETFGSMFSQDIPIHAGTTINFRRTTDGHLITEIGGNHIGAVQSRELCRAIFDMYIGDVPICEDTKEEIGKNVASIIRGC >Solyc09g083180.3.1 pep chromosome:SL3.0:9:69277478:69279158:-1 gene:Solyc09g083180.3 transcript:Solyc09g083180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRMEKATLIKKVFFVSFLIILIGQTINGVTWCNDSDICIKNLKCNEGHPVCYYISNMCYCRLPHDTKPFRCQTTADCARQLKCVKGVPACFASTKNCYCKLPNSKPNINEKMCKNDLDCTVLLKCSNPAQNPTCYLKTSKCYCKSPSRPPVGAPPAVNANEQTKDL >Solyc12g042930.2.1 pep chromosome:SL3.0:12:59310219:59313621:-1 gene:Solyc12g042930.2 transcript:Solyc12g042930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAMKMKKVVIVLVILCATLQIGSSLIDGLLPNGNFEQGPKSSQMKNTRVIDPHAIPHWELSGYVEYIKSGQMQGDMLLPVPQGDYAVRLGEGASLKTKVTSVTKGTFYSLSFVFARTCAQEERLNVSVSPNSEPKDWGMLPLQTMYSSDGWDTYSWGFLAEANEIDIVLHNPIAHEKDPACGPLIDFVALKALKTTHRPKDNMLKNGNFEEGPYIFPNTSWGVLIPPNIEDDHCPLPGWIVESLKAVKYVDADHFTVPEGKRAIELVAGRESAIAQQVITKTGRIYDLIFSVGDANNACEGSMVVEAFAGNVALQFQYVSKGKGGFKRAKLRFTAMSQRTRVRFLSSYYHMKSDNSGSLCGPVIDDVRLVSVRNPRIP >Solyc02g069100.3.1 pep chromosome:SL3.0:2:39631615:39635305:-1 gene:Solyc02g069100.3 transcript:Solyc02g069100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTLKSLITPLLFGAFFILILQVAAEKPITEAKLESAILQDSIVKQVNENAEAGWKAAFNPQLSNFTVSQFKRLLGVKPAREGDLEGIPVLTHPKLKELPKEFDARKAWPQCSTIGRILEILMFSIFAHQSPGQICITDQGHCGSCWAFGAVESLSDRFCIHYNLSISLSVNDLLACCGFLCGSGCDGGYPIAAWRYFKRRGVVTEECDPYFDTTGCSHPGCEPLYPTPKCHRKCVKGNVLWRKSKHYGVNAYRVSHDPQSIMAEVYKNGPVEVSFTVYEDFAHYKSGVYKHVTGGNMGGHAVKLIGWGTSEQGEDYWLIANSWNRGWGEDGYFKIRRGTNECGIEHSVVAGLPSARNLNVELGDAVLDASM >Solyc11g022470.2.1 pep chromosome:SL3.0:11:14036047:14042385:1 gene:Solyc11g022470.2 transcript:Solyc11g022470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPANEGNNLNNNPSLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKQSDKKESGDMLSSLDGSSTGVQINEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLSEVPDSGVTPSAAGDNGLDSDNRTDPGTPAPTSESPHIDTSVQEHGRSKSLSIDQSFSSQHEPLTPDSGCRETSPINSSEGERSSKKQRVGTFTKADMLLPHQILESSLSSRYEQPNPVFVAREQFNLSSGLSLGNEGPNVRGSNI >Solyc09g074690.3.1 pep chromosome:SL3.0:9:66941540:66957588:1 gene:Solyc09g074690.3 transcript:Solyc09g074690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQLQLALTSPTTPFSSLFESQKEILNSQIYQLQNIVVQQCNLTGVNPLSQEMAAGALSIKIGKRPRDLLNPKAIKYMQSIFSIKDAINKKETREISALFGVTVTQVRDFFAAQRTRVRKFLRLSREKPITTNLSIEGPIPLSSDPSSQTEPVPLDSAVPISTEEGPSCSTQDEVLTAMDERDRHFVDNILTLMCKEETFSGRVKLMDWILEVQNPSVLYWFLTKGGVMILSAWLSEAAGEEQTSVLHLILKVLCHLPLHKAFPGHMSAILQSVNSLRFYRTPDISNRARILLARWSKIFAKSQALKKRNGIKSASDMHDELLLQQSISEVVGDEIWNSKIEDEEGHANLCGTSENSRKLDSPQPVKLLMASSDDSNKRLKGALVTSILIFPYETRERRKVQLMEQPSQRTTGRSLGRPAPATQGRPLSADDIQKAKMRAQFMQSKYGKTNNDDSSRVKPQAPNGITSSPNGILLGAPKFQDRPKVEECEKKLNNVASKEPNQLENHLKLSFDVEEPSPKRCKKMQIPWRKPPEMQPSDAWKVCAGGESKEVDVQNKRIRREREIIYRTVQEIPLNPKEPWDREMDPDDTLTTELPLEQLPDAEGETDVLPQEDRETEAAALASTSNGIATTAEPDVELLAILLKHPELVYALTSGQGGNLSSEQIVKLLDSIKADGRNSLSIQTNLARDAEKKVEVSLPSPTPSSDPGTSGLSMQNFAKNPFSQRSSMVVPEANGVHQHAALVQSQEMLQASSLVHQQVTLAPQLAQQLALLQAAAGSYGNDHRPSPLNPSINQTVLTNPMHSQLSAASEPAVNRNNYSPFGLTEYNQQSATAAAAVRIQGETYGNIRSSQMPIANVQQRTISLHASQRPQLQTQAQPGYAPEHMWGTIPGSALNRGYQENAIPNHYNPHVTGHVEPGLQQATWRGNTNYAEGAGFESWSPDDSPVRRQEQVARWNYTQPQMNMRDSYIPNWSASRNPGHYSGYRGPDDGDGIRASRLTFSSSCLSRRPLRYDGERIFWLGLAADIGLAARKAFTGLVCGSTAVNADAAYSISDVVLCGVSLLSFQAARIPKDKEHPYGHGKFETLGDLGISGVLLATAGGIGWHAFDVLLGLWRQLLKVVNQSMNSSARA >Solyc09g097830.3.1 pep chromosome:SL3.0:9:72328235:72339291:1 gene:Solyc09g097830.3 transcript:Solyc09g097830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRVKTTFTVILVPSGFEKKTTMSDYNMCMYDMNQACAVKRSAQPGFDWALELKRTSNKPYRGVLNFGTTRDEAMCVDEDALLTLAHQNYKAGNYKQALEHSKAVYERNPVRTDNLLLFGAIYYQLHDFDMCIAKNEEALSIEPHFAECYGNMANAWKEKGNIDVAIRYYLIAIELRPNFADAWSNLASAYMRKGRLNEAVQCCRQALALNPRLVDAHSNLGNLMKAQGLVQEAYNCYVEALRIQPAFAIAWSNLAGLFMEAGDLNRALQYYKEVIKLKPNFSDAYLNLGNVYKALGMPQEAIVCYQRALQVRPDYAMAFGNLASVYYEQGNMEMAIFNYRRAITCDTEFFEAYNNLGNALKDAGRVEEAIHCYRQCLSLQPNHPQALSNIGIIYMQWNMMSAAAQCFKATLAVTTGLSAPLNNLAIIYKQQGNYAEAISCYNEVLRIDPMAADGLVNRGNTYKEIGRVNEAVQDYMRAITVRPTMAEAHANLASAYKDSGNVEAAIKSYRQALMLRPDFPEATCNLLHTLQCVCDWDNREKMFIEVEGILRRQIKMSIIPSVQPFHAIAYPLDPMLALDISCKYAQHCSVVATRYSLPPFTHPPPLPIKGGGRINRLRVGYVSSDFGNHPLSHLMGSVFGMHDKENVEVFCYALSPNDGTEWRIRTQTEAEHFIDVSSLTSDVIARMINEDQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPMKYAHIYSEKLVHLPHCYFVNDYKQKNCDVLDPNSQLKRSDYGLPEDKFIFACFNQLYKMDPEIFITWCNILKRVPNSALWLLRFPAAGEMRLRAHAAAQGLQPDQIIFTDVAMKQEHIKRSSLADLFLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGLGAEMIVSSMKEYEEKAVSLALNRPKLQDLTNRLKAVRMSCPLFDTTRWMWNLYCSGQHPQPFQVTENDSEFPFDR >Solyc01g100990.3.1 pep chromosome:SL3.0:1:90736833:90739000:-1 gene:Solyc01g100990.3 transcript:Solyc01g100990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGKYYCDYCDKEFQDTAAARRRHLQGVQHQRAKALWYDSLRNPQLFNDPDSFGKGVCNHFVRTGYCQYGDSCKYYHPKQNPQTVNQTGLPPGENSREGFHSSSQPFGSASFPGDVFREKAGASLSNLPPSLRPPPEGGYPPLPFVEWG >Solyc02g078450.3.1 pep chromosome:SL3.0:2:43687775:43690214:1 gene:Solyc02g078450.3 transcript:Solyc02g078450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVKSYLRLSLKITNITIGFMGIAMLIYGVWMIRVWQRDAANSPSSPDYAQFPWFIHAFLGVGTALCAITFLGHVAASTANSYCLSFYMFFIFVLLLAEIAITADVFLNSDWEKDLPEDPSGRFDDFKDFVDSNSDVCQWITLLCVLTQGCCILLATILRTLGQVKENSHEYEGEYAEPSAPLLRPPQLPPNPLYPYVIGEPVHIEPHSAYKNV >Solyc11g073200.2.1 pep chromosome:SL3.0:11:56534499:56545578:-1 gene:Solyc11g073200.2 transcript:Solyc11g073200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLTPKLAKQIYGGDGGSYHAWCPNELPMLKEGNIGAAKIALSKNGFALPRYSDSAKVAYVLQGCGVAGIVLPEKEEKVIAIKKGDAIALPFGVVTWWYNKDDTELVLLFLGDTKTAHKAGSFTDMYLTGSNGIFTGFSTEFVSRAWDVEESVAKTLVSSQTAKGIVKLDAGFQMPEPMQSNRDGMVVNCEEAPLDVDIKGGGKVVVLNTKNLPLVGEVGLGADLVRLDGSAMCSPGFSCDSALQVTYIVRGSGRVEVVGPDGKRVLEAHLKAGNLFIVPRFCVVSKIGDPDGMDWFSIITTPNPIFTHLAGKTSTWKALSPQVLQAAFKVSPAVEKLFSSKRKLVAAEAEVIGWDMAEPPLLPLKENKIAKGTFAVVGIMSTLVIYGILQQNICASIYNLMYFLSSTWWWNLYLQEKIMRVPYGLNKEFFSYSLFLVFCNRITTSAVSAGVLLASKKALDPVAPLYKYGVVSVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMIWGAIIMQKKYQGQDYLLAFLVTLGCSLFILYQGAGDISPFNRGRESTVWGVSLMIGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTVCSCLLSFIGLILQGSLLMAIDFVSRHHDCFFDIALLSTVATASQFFISYTIRTFGALTFATIMTTRQLVSILLSCLWFGHPLSWEQCIGAVIVFGSLYARSFLKTKEKAVAPSLEMAETKAPGGS >Solyc06g009190.3.1 pep chromosome:SL3.0:6:3128765:3134636:1 gene:Solyc06g009190.3 transcript:Solyc06g009190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4C3U9] MYIQKEKPSLSLALSFIFLLTFFFPPKSLLVSSTQQIHVHKNIQIANSHCQDTLYPKLCISTLSLIPNLHKKSIPEIISSNVNVTMNEVKSSAENCSNILHHMSKLDPIEKRALDDCIELLGDTTIDELQTTLNDLSTKNSSNSPLKHYNDLQTLLSGAMTNQDTCLEGIHKSKHKLSQYIEKNLHTISHHVSNSLAMLKKLKTGSSQGSSSNSNEVFPEYGTMKNGYPKWLQKKDRTLLQAPLNQIKFNLVVAKDGSGNFTTINAALSAAPNSSSTRFVIYIKAGTYFEYIDVERKKSMIMFIGDGIGKTVIKGNRNVVDGWTTFRSATVAVVGNGFLARGITFENYAGPSKHQAVALRSGSDLSAFYQCSFIAYQDTLYVHSLRQFYRDCDVYGTVDFIFGNAAVVLQNCNLYARKPNPNQKNMFTAQGREDPNQNTGISILNCKVEAASDLVPVVSSFKNYLGRPWKQYSRTVILISNIGSLIDPAGWLEWSGDFALNTLYYGEYLNRGPGSNTSARVKWPGYRVINSSSEASQFTVGNFIQGSEWIPATGVPFYSGLTSS >Solyc02g037550.3.1 pep chromosome:SL3.0:2:31570246:31574092:-1 gene:Solyc02g037550.3 transcript:Solyc02g037550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVELFVVALMPVLKTLIITAVGLLLALEPVNLLGSTARHHLNNLVFYIFTPALVASSLAETVTSSNIVSLWFMPVNILLTFIIGSILGWILVKITKTPIHLHGLVISCCSAGNLGNLLLIIIPAVCEEKNSPFGDSITCSTNGKAYASLSMAVGAVYIWTYIYNMIRASGSQHNNASTHVPKLPPEEMDHQSQLALPLISYQTIHEHHQGHVVKKMKQHIKIWTQRINFKMLFAPSTIATIVGILIGVSSLLRNLMIGNEAPLHVIDSSASMLGEAAIPAMTLIVGANLLKGLKKSEVGVWIVIGIQVIRYIALPLSGICVVKVARHFGLVGSDSLYQFVLLLQYALPSAMTIGTITQLFEVGESECSVIMLWNYALASVALTLWTTYYMWILS >Solyc10g048097.1.1 pep chromosome:SL3.0:10:44050774:44052198:-1 gene:Solyc10g048097.1 transcript:Solyc10g048097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLVSDILKEGVIRACTSSFSSPVLLVRKKDGTWRFCVEYGSLDVLTMSFFDELHGAQYSKLDLLSGYHQIRVKPQDVARTAFRTHEGHYEFLVMPFGLTNASFYSPSKQNTVDDALSRIPDACLMLLTVSTFEVGHELKALN >Solyc06g083130.3.1 pep chromosome:SL3.0:6:48717483:48718798:-1 gene:Solyc06g083130.3 transcript:Solyc06g083130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGKEEMTSVTLDLLKKKMDDFAKERDWEKFHSPRNLLLALVGEVGELSEIFQWKGEVPKGLPDWKENEKLHLGEELSDVLLYLVRLSDICGIDLGQAALRKVQLNAIKYPIKKSNDE >Solyc12g062223.1.1 pep chromosome:SL3.0:12:31494843:31498321:1 gene:Solyc12g062223.1 transcript:Solyc12g062223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALPLAAAVVAYSDFKPSNCDRRSAARPVSHKGEHLVESISNCLLDWKLDNVFTVTVDNASSNDVAVLELSKKLDMWGTNFMEGKHLHITEKFEKAFESFDLYDDWANVRNVTKFLEKFYELTLKVSGSRITWGINGNVVNTKVEANLRDLFAIYVSKYGKDSKSQPSSSDSCDSSACGISQNVSKNSLRTKLHMKKQKKDLESLGVKSELDKYLIEDQEPESGNFDILSWWKVNSPRFSVLSQLAQDLLSIPMSSVASECAFSTGDRILDPFRSSLTPK >Solyc06g076860.3.1 pep chromosome:SL3.0:6:47904777:47911714:1 gene:Solyc06g076860.3 transcript:Solyc06g076860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell division control protein [Source:UniProtKB/TrEMBL;Acc:K4CA44] MPTIVDRRSTRVSGGSKVADPIASEIESTPQKRKLRSSSSSTTEDSRITSDLTPSPLKSSPSKWKSPRRCVNDSPKSTLNANRGAKAVNLSKSPVKRRLSESFLEKPMWNPRDMEQLNGVKEALHVSRAPSNLVCREVENNRILEFCKQAVEQEKAGSLYICGCPGTGKSLSMEKVNKVLVNWAEESGFQAPDTLSLNCSSLSNTSDVFGKILDKITLRRKINTCTSPLQYLQKMFSEKQQSAVTKMLLIVADELDYLITKDRAVLHELFMLTTLPFSRFILIGIANAIDLADKFLPKLQSLNCKPAVVTFRAYSKDQIISILQQRLKAFPYTIFQPQALELCARKVASASGDMRKALWICRSAIEMLESDIRDSINSLDLPSLHGGVSDQQRDCACDKSLIHESNVVRVDHVAIALSKAYRSPVVDTIQSLPQHQQIILCSAVKLFRGKKKDATIGELNISYLDICKSTSIPPAGIMELSNMCRVLGDQGILKLGKAREEKLSRVTLKVDEADITFALQGIRFFRNCLQQS >Solyc02g068730.3.1 pep chromosome:SL3.0:2:39233231:39238683:-1 gene:Solyc02g068730.3 transcript:Solyc02g068730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSMCSPLYSPLKLSFKEDKGKGNSWGLRQSLGKKKLMVVRAGPKRIAFDKECRRALLSGINKLADAVSVTLGPRGRNVVLSEAGGLKIINDGVTIAQAIELPDTIENAGATLIQEVATKTNRLAGDGTTTAIVLAREMIKAGLLAVDFGANPVSMKKGMDQTVRELVNTLKKKSHPVRGNDDIKAVASISAGNDEFIGSLIAEAINKIGPDGVICIESSSSAETSIMVEEGMKIDKGYMSPHFINNPEKSIVEFENAKVLVTDQKICSVKEIVPLLEKATQLSVPLLIFAEDITNQVLETLVINKMQGMLNVAVVQCPGFRDGKKGVLQDIAMLTGADFLSGDLGLTLESATSDQLGIARKITITSNSTTIVAHPSTKAEIQARIMQIKKDLAETDNKSISEKLSQRIAKLSGGVAILKVGAHTETELEDRKLRIEDAKSATFAAMDEGIVPGGGATFIHLSEQIPLIKESFQDPDEQIGADIIGTALLAPAKLIAANAGVDGDTVVEKVRGCDWKMGYNAMTGRYEDLLASGIIDPCRVSRCALQNAVSVAGIVLTTQAIMVEKTKEPKPLVPYVPGITP >Solyc06g075420.1.1 pep chromosome:SL3.0:6:46953561:46957988:1 gene:Solyc06g075420.1 transcript:Solyc06g075420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRKLRNSQEKAKADAAPLKDKSSSCIFRGNDEKSPSKLQASIESSPVNNSSNTGVEMNRNATLPSTRAHSSESHDVCTPFVLPLPHRTRTAVSSMPYLPAQAMKPSGLRRPSPSLGFFRQTKASDTHRLSKVESNLCPLQRSGDLRPPETLARQEGNDDLANLNSKVLGSESESSTSSYRVSKTGLEGNSVERVNIPSSVIKKLDLVSDNFRDHAGNFDEQVLDHIKHEENKLQDTELLMNGKQHPPQTGKLEYINKNGDLMNFTPSFIENKGSAGSGFRDSELPQASYNSESLVLHRLEDGNPNEAEESDISSVIDGLICNSKYGNMIHLSGEVMKEGFMGGCNNLTGGEFEKVKSFAVEDDGIFDNDKYIMKIKSNLSKVQRELRNIGGGNCEPLNLTGSNFSEVKIEMLEVAYQHEDSHNLKEAFTCKQITDRAQTGGLVADISSVTLSGENCETDPDGLSIYSEHKSHPGSVLHSSGQSLSKHVYIDQSQDGQIDETGFSGLPYRSDESVFDRFDVTSVQTMVGEDPAEIMCPSPVKITLPSNCNHSTDEEVNPDKILASSTTCLEFGSSSSRTRDKMVSDVPGSIGECRKPIIESVLKVVDETEIHNSLNCHNDGNLTSSMEFGSSSRGTQNVIGSGILGPVGEGNKLINGVLLSKGMNELEIQDSSIKLNDGNETITLKLGMLSPESLKVEESHMCSHADFIPSSSTEPGRHKVVLESLHTPPKLRDARQANEVGKTTNALTNIIQIEDAHVETYNKDAHLLPKKAPITVVEECESSNAFENFREVFPSQRSVDAGGLKSPSFLNQESSPIHGSDNDADNLDTIHCVAGDQTSSRIKRTVDDMLNEDILVKESETGKLSGSESSQNELCPGLEDTEQCTNCATILPVKDAESCLKKANLLILPPRDAVPFSDEWLAAMEAAGEDILTMKGGAVQNSPQEKSLPEPSPWSPVKKKNNQLGPYDCTKFHHNGPPDS >Solyc04g082930.2.1 pep chromosome:SL3.0:4:66488639:66497551:1 gene:Solyc04g082930.2 transcript:Solyc04g082930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQLPHLSSSVPKDQRLYRNASALDPFSRHKASWQELAGVLVFSAIPFTAVKAIANSTFGETLRKRLEERKKVAVENSAKFKALALMARNDSIWYGEDRPRWLGPISYDYPAYLNGELPGDYGFDICGLSKDPVALQNYFNYEILHGRWAMLAALGALIPELLNLTGLFQFVEPVWWRVGYSKLKGDTLDYLGIPGLHLAGSQGVIVIAICQALLMVGPEYARYCGIEALEPLGIYLPGDINYPGGVLFDPLNLSKDPMAFEELKVKEIKNGRLAMVAWLGFYVQAALTGKGPVQNLLEHISDPFHNNLLSILKSL >Solyc07g051950.3.1 pep chromosome:SL3.0:7:60632183:60634858:-1 gene:Solyc07g051950.3 transcript:Solyc07g051950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIMDTKESQKNHFSSILSRFHAGYFRISLSLCSQALLWKTLSDPSDDIHKIRNIFRMLPSAAFILLWSLALFSLISLSSLYLLRIFFHFDMVKREFLHHVGVNYLYAPWISWLMLLQATPFFKHEGVCFFILWWIFIVPILALDIKIYGQWITKGKRFLSGVANPTSQLSVIGNLVGARAAAEMGWNESALLLFAIGMSHYLVLFVTLYQRLPGSSSIPAMLRPVFFLFLAAPSMASLAWNSIYGKFDSSSKMLFFLSIFLFLSLVSRPALFKRSMRKFNVSWWAYSFPLTVMAMASTKYAQEMKNTASHILMLLLSALSVIVSLVLMIFTALHSTSLLPCDADDSMHCISINQRTSIV >Solyc12g063010.2.1 pep chromosome:SL3.0:12:36201807:36203294:1 gene:Solyc12g063010.2 transcript:Solyc12g063010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDDEISPLYQAPFIGKLSTFYREMAMKLKLPKFYGHPLNFLKLMEISDQIRWL >Solyc03g098220.3.1 pep chromosome:SL3.0:3:62009742:62015628:-1 gene:Solyc03g098220.3 transcript:Solyc03g098220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITIPYLTALTTYFSYGLLFAFGQFREFFRKIFDWWRGSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPICSPPDAWFDVVERVSNDNNKTLKRTTQVSRCLNLGSYNYLGFAASDEYCTPRVIESLKKYSASTCSARVDGGTTSIHMELEECVANFVGKPAAIVTGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATIRVFQHNTPSHLEKVLRELIAEGQPRTHRPWKKIIVIVEGIYSMEGELCQLPEIVAICKKYKVYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIEYLKYSCPAHLYATSISPPAAQQIISAIKVILGEDGTSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMIYNPAKIPAFSRECLKHNVAVVIVGFPATPLLLARARICISAAHSREDLNKALEVFSEVGDLTGIKYFPAEPQKQQVEENRVKLE >Solyc09g065020.3.1.1 pep chromosome:SL3.0:9:62999962:63001089:1 gene:Solyc09g065020.3 transcript:Solyc09g065020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSVTSAESRGSLSSDLFYDILRRLDGATLASAACACEAFCSISKEEKLWENVCSSMWPSTNRDDVKSLISSIGGFKKFYADCFPLIVNKEVPECRWNEYLEYPEELTEAEYYGDMDEIEIVAPSDFVSIVDVRYKDKTICSKVLWGIPNANGFPHWFYSCPFRIDLFTYSTRDDEHAGEVTLSVSDGLPPITSMEKERKDGKLWQELRDGIRLSWIVVNTKIKQAANLSSWSPLGGQRHWPTDKDFLLRFGSVLPAKDILPCQAVECIVLMKFRVIYTEEGGVPTTLKLTELSMQVGDMEGAHLNGRNSLLVLKEALSCKRSKNYDEALESCQLYSKVQSELREEKMRNESRLDRLYILGGIMACITVCFYLW >Solyc01g097660.2.1.1 pep chromosome:SL3.0:1:88304066:88304718:-1 gene:Solyc01g097660.2 transcript:Solyc01g097660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSFSIGSTLVLCIIFASLIALTTSNSLADLCIKCQSPRFCLQVFGLNPHRNPYQLTVEAIHLTFTNASDTTNKIHTFLDQTNDDNLKEIYNYCLNYYEASIDILSDAEEHLLRQGIYDTLSNIGAFVQEVGFSCEDRFQEIVDDSYVSTLTQNNNNMKNFGSIIIAAGNLLSNSTSTNNN >Solyc12g007290.1.1.1 pep chromosome:SL3.0:12:1696956:1697831:1 gene:Solyc12g007290.1 transcript:Solyc12g007290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKTPERDEERKENAKRKHHNVPSETEPKRLKLDRDLDGKLTTPPIEFSESSDDKEEAEEEEEDDDEEETEAEEEEEDDDEEETEEQEEEEDDDEEETEEEEDKKMKSENSRGTFLGEFQGKFRTPRIDFTKSSDDDEEGEEEKKMNSENSKRTFFGEFQGKLRTPRIDFPESSDDDEEEEDKKMKSESSDDEEEEEKKMKSEISQTNEFRRIIFPETSELAKARFKDGINLSASLENNTILDDNDNDEEYDDDDDDDEEEEEEEEYDDDEEEDYDDEDEEGTVTDEEDL >Solyc09g008380.3.1 pep chromosome:SL3.0:9:1843221:1849887:1 gene:Solyc09g008380.3 transcript:Solyc09g008380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4CQM6] MFQISYIVVFLILTSFFPLGFGIFLNVTTLPGQHPDPESVALEVNRKVNASLSIFQSRRKMLSYTQSSCQTGNPIDDCWRCDHSWQLNRQRLADCAIGFGQYALGGKGGRYYVVTSSSDPDPVDPPPGTLRYGVIQEEPLWIVFSASMEIKLSEELIFNSHKTLDGRGVNVHITGGGCITLQYISNVIIHNIHVHHCYESGDTNVRSSPTHFGYRGKSDGDGISIFGSRDIWIDHCSLSNCKDGLIDVVMGSTGITISNNHFSHHNEVMLLGHNDDYLPDSGMQVTISFNHFGKKLIQRMPRCRRGYIHVVNNDFTRWEMYAIGGSGNPTINSQGNRYIAPFDPFAKEVTKRVDTDEGKWRNWNWRSEGDVMANGAYFVASGEEVEIKYEKAYSVEPKSADFIDQITLNAGVLIHRGSNSGKWTATTNNDTESAGDDGGGEDLVAISGDSDDDYGGDEESRSSTIYSNFSLLFNLLMALLALL >Solyc11g012030.2.1 pep chromosome:SL3.0:11:4970867:4973375:-1 gene:Solyc11g012030.2 transcript:Solyc11g012030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRNIDDFLILYIFGIAFMGMFLRCFGSVGVNWGTMATHQLPPNSVVKMLIENGFDKVKLFEADEVILNALIGTEIEVMLAIPNYMLEDLSSNPIIADSWVEANVSVYAYPHGVKIRYVAVGNEPFLRTYNGTYLQYILPALKNVQEAINKAGLGAKVKATIPFNADIYFSPESNQVPSAGDFRPEVRDLTLQIVQYLYSNDAPFVVNIYPFLSLYGNIYFPLDFAFFDGSNKPVRDGDNVYTNVFDANFDTLVWSLKKAGFTDMKIVVGEVGWPTDGDKNANIENAMRFNQGLIKHCLSEQGTPARKGKKIEVYLFSLIDENAKSIAPGNFERHWGMFEFDGKPKYELDLSGRMQKDKGLVAVEGVNYMHKRWCILKPENAVTDADNAEDLPKNIDYACSLSDCTALGYGSSCNHLSTEGNASYAFNMYYQLKNQNSWDCDFDGLAVVTDEDPSDDKCRFPLMIAVGHSLEMLLPKKLLYVILVAILLLMG >Solyc08g013758.1.1 pep chromosome:SL3.0:8:3232100:3233483:1 gene:Solyc08g013758.1 transcript:Solyc08g013758.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDQLSNLPNSILLHILSMLPNNKEVVRTSVLSERWRFLWKSVPISLNFKFPASDNEIDTLDYLVSIHRELYYWRSYEKIQKLNVQDLKYVQRFSKDVDLWVHFATKLANVENFGLEFNTDNQRYEFPQFAYKNASLKSLFLVHFQLNPFGNVNWSSLVSLSMYNMEFIDGVMEKVLSEVYLELDEFSGIHRLEISSVKLRELIIAPYIKILEIMGWCSEIRIINVASLVTAMLCLDFDFDFDLGKEQYLEKECSLFKELFHSVAHVENLTLGSWCVECLSILELKGWEFPPSSRKFLKLDVEFNQLDFPGICCFLQSSLDLETLVIHWYDVELGLFILSLLNIVDSFLHIQVLNIYNT >Solyc10g062113.1.1 pep chromosome:SL3.0:10:24515492:24517035:1 gene:Solyc10g062113.1 transcript:Solyc10g062113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSPLFKPFLSINQVRVAMIEKITSCSSILPSFYYSRLPCIVHRSQAERWHLAAVRTASRFPRHPQFIWINSAEPSECNVSDIREVYANWKADAYSLYVTVWGVEAPLTPTVLNKLLWTVNRHSDVLTGIYISSLYQDIGHNLCGAQSIAKWI >Solyc06g071980.3.1 pep chromosome:SL3.0:6:44478141:44489045:1 gene:Solyc06g071980.3 transcript:Solyc06g071980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEKRLTEESIHASTSSRMSSIASVFTEKIQATIIDGKDIEVSFDDFPYYLSETTKAMLIADTYIHLKHKEQLKYVSELPAVNSRILLSGPAGTEIYQEMLVKALARYYGAKLLIFDSDAFLNGLSLKEAEPMKEACSAHKSSSSGASNVFRTGDRVKYIGSAPGELHLSPIRSVKVGSTGSVALSFNDNLFAKVGVRFDNPFTYGIDLGGLCDDSHGYFCKVSELCLDAPAVEDPDKLLTNTLFEVVFNESRKSPFILFMKDADKVMAGNSKLSSTFRSRLEKLPDNVSTIGSHAHTDNHKDKDSPEKTHDKGKEVAKNSKFLTELFPNIVAIHMPQDEALLSIWKQQLDKDADALKVKEIFNSLQTVLSRTGLECNGLETLCIKDQNFSVESVEKVFGWALSHHLMQNSQADPDMKLVLSPESIQYGLEILQAKQNNTKSLKKSLKDVATENEFENRILDDVILPGDIGVTFDDIGALENVKDTIKELVMLPLQRPELFCKSQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISISSITSKFFGEGEKYVKAVFSLASKIAPCVIFVDEVDSLLGRRENQGEHDASRKIKNEFLVNWDGLRTKDSERVMILAATNRPFDLDEAVIRRLPRRLMVKLPDALNRAKILKVILEKEDLSEDVDLNSIANTTNGYSGSDLKNLCVTAAYRPIKEIVEKEKKEHAAASVDGRPPPALYSSADIRPLNMDDFRYSHQQVCASVSSESDNMTKLLEWNDLHGEGGSRKKKSAFSYFI >Solyc07g063610.3.1 pep chromosome:SL3.0:7:66172733:66174036:-1 gene:Solyc07g063610.3 transcript:Solyc07g063610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain [Source:UniProtKB/TrEMBL;Acc:K4CH44] MLEGKAVIGDTDMLGTMQQDALDLAAKALDFFDVTEATEIARFLKKEFDTMYGPGWQCIVGTDFGSFVTHCYGCFIHFYIGSLAILLFKGSAALEDPKAEAEADRFSTLQEIA >Solyc12g056527.1.1 pep chromosome:SL3.0:12:63427307:63431017:-1 gene:Solyc12g056527.1 transcript:Solyc12g056527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMREQSTGKKPSEPPPKPKPNSRSSGRRVGSIDATPNRKVQQKHRKGVEEEEDDSDLEILSISSGDDDHGSSKKESKGASKRRAPKGGKDDDAHWQGGEPDCWKRVDEDELRRHVRDMREARAIPATQIKAEEEKMALAKKALQSLQSFPRGMECIDPLRLGIVDNRTLRMISEHSSSSPTVGDLDPKTREGLNYFSEKFDSKLFISRIHQDTGAADLEGGAVSLKTDLKGRMQQKKQLVKENFDCFVSCKTTIDD >Solyc01g014820.2.1 pep chromosome:SL3.0:1:15399995:15400912:-1 gene:Solyc01g014820.2 transcript:Solyc01g014820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMMGRDDIVVGVEGEGGILLNGTILPDVGGYLPIIDQGNAPAGYCRYRQAIPVDPRGRSDIDSSFRFIKSFLPQVSFCIKTEWRITLSMFLNHIFSGFTQEETELDTSIKSHGKNCQGPRDAYGSQCWSYHQLIGFSIWVFRKTK >Solyc05g016510.1.1 pep chromosome:SL3.0:5:17390508:17392155:-1 gene:Solyc05g016510.1 transcript:Solyc05g016510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLWCVCISGATFASAYFILHLPRQVFFGQGTFPMASNICHGIKHQQRQASFSQATVAYRRHVSISRGRCTSASANGRQYLPSPLGFRQMTSPSDKYPRRSYIGRGLPHQKLPMHIPKMTSGMDLSH >Solyc05g026600.3.1 pep chromosome:SL3.0:5:42103091:42112673:1 gene:Solyc05g026600.3 transcript:Solyc05g026600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERNTNNLVLVDTLQRMGIEYHFQEEIESILHKEYDQSACFLKYQTPFDVSLCFKLLRQEGYHVSADVFKKFKNSDDSLRQDISGLIGLYEAAQLGVEGKHILDEVAKFSSDHLNACLAHNDSCDQATIIKETLKYPYHKSLASYKAKSFINNFKGINGCGRTTLQELANIHYSIKREIHQHELIQISRWWRSLGLAEDLKLLRDQPLKWYAWPMAMITDPIISQQRIEIAKCFSFIYVIDDIFDIYGTVEGLALFTQAINRWELDVMVDLSEYMRPPFRAFYDTINSIGYNIYKIYGQNPTPNLHNVKQNGLPLESYQQHMSHVILTHIFFSLGFGLTNQNSMNLEDTSDMVSSVATIFRLWNDIGSAKDENQEGNDGSYLECYLKEQKDGSMELAREYVVKLIENEWKKLNKESLHLMSQPNLRSFSKISLNFARMIPLMYDYDDNQSLPILQEYIKSMLYDDLSSE >Solyc04g064660.1.1.1 pep chromosome:SL3.0:4:55830711:55832297:1 gene:Solyc04g064660.1 transcript:Solyc04g064660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNPLNNWSYSIKNCISQGKFKEALLTYTHNRINGSFIMGVVPLVLKACASLSMLSLGKALHAESVKSGFDCNVMVGTALLDMYGKCGEIRSARKVFDYMPERNVITWNAMIGGCIKSGDIKTAFLLFENMSEKTTVTWNEMIDGYARNGDMVMARSFFDRVPDESRNVVTWSVMVDGYASHGDMDAARELFEIMPTRNFYVWSSMVSGYFKKGDVKGAEAIFDRMKMRNLVNWNSLICGYTQNGLCEEALEAFTKMQDEGLEPDEVTVVSVLSACSQLALLDIGKDIHEMIIQKGIELNQYVLNGLVDMYAKCGDLSNARLIFEGMLLKNDAAWNSLISGFANHGHCVEAINFFERMASSGVKPNDITFLSVLSACAHGGLVEEGLEIFSRMEKYALTASIKHYGCLVDLLGRAGRLEEACDLMKGMPVKPNDTVLGALLGACRVHSDTNMVENVLKEVRKLNYISDSGDDAHYVIFSNIYAAAERWEKAERMRYALSNKGSQKTPGCSVVMLDGPETSFMQVLTA >Solyc11g020007.1.1 pep chromosome:SL3.0:11:10024816:10027512:-1 gene:Solyc11g020007.1 transcript:Solyc11g020007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSAYRLVADPLEPSVLIQQLTHRSRDIWNGSVNMILNTRSCDGKFWDLVKKYPIHPRVLEMIELSGLYGVYRSNRPSIDRSLITSLVERWRPETHTSHFRTGEATITLQDVEVFYGLPVNGDPVLGDESIRTIGDWQNICQRLLGFIPRPQDFNRSSLKIWAWERVTVLRPQVIAQRDIENNFLACLPRGPRATRWFAHFSWTGTTKHVLKVFRDALDSMTEDQFIWEPYLDDLIESRPDYCQIERDIWRVRAPIFCWDIVEVHLPDRVMRQFGLKQTIPTPFLFDATHFHHDRRGRRNTNWYLEHAQWLPLWNQRLQYVCDAPVNPSSQSNGYPEVSSSDALQRQLQQLFHLHDSGLDQTFIDALPVFLPVFYKD >Solyc03g119900.3.1 pep chromosome:SL3.0:3:69914473:69919874:1 gene:Solyc03g119900.3 transcript:Solyc03g119900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHYCKCNEGSSQYMNMSKLPCLDKCVFGADCKGVAVEPTPSLPHDGPCKFVDCAKGKCVETGEFAGLGFECICDPGWKQIQLGPITFPACNAPNCTLHLGCGSQAALPPPSSLAPVNIFDPCSFVWCNNGKCEVNGTKHYCQCNEGSENLMDVPELPCFDQCVFGADCKGVQLLVPSPPPPPPSRDGSSGVPKDPNCSMSLRAFSVLLLFTIFHVLM >Solyc05g056580.3.1 pep chromosome:SL3.0:5:66674875:66680046:-1 gene:Solyc05g056580.3 transcript:Solyc05g056580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKYSRVDGRKSSNYCSTVTIVVFVALCLVGVWMMTSSSVVPDQNLDLSSQGKKTDLSTQVTEGKESYNGGNESNNKAGDESNPTDEGKSKQFEDTLGDLPEDATKGDALVSQEENVSNPQQTESTSEVKQEEKSTEQKEDAGESESETQSEKATDGSDDKKEDGPNKVDDKDSEAGEKTENKSVGEEIKEGSDEKKSIENSVELNDKKDQEVGQSSDEKSDGEKKDLSSSAVLSSGTQSDLLNETTTQNGAFLTQASESKNEKEMQKSSESDKESSYIWKLCNSTAGPDYIPCLDNLEAIRNLRSTKHYEHRERHCPDNPPTCLVPLPEGYQHSVEWPTSREKIWYHNVPHTKLAEIKGHQNWVKVSGEYLTFPGGGTQFKHGALHYIDFIQQSFPEIAWGKQTRVILDVGCGVASFGGYLFERDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPSRVFDVVHCARCRVPWHIEGGKLLLELNRVLRPGGLFVWSATPVYQKLPEDVEIWEAMQKLTKAMCWDLVSKTKDRVNGVGVAVYRKPTSNECYEQRSKDAPPICQGSDDPNAAWNVPLQACMHKAPVATSERGSQWPEPWPARLSKSPYWLLSSQVGVYGKPAPEDFTADYEHWKHVVTNSYLNGMGINWSTVRNVMDMRAIYGGFAAALRDLNVWVMNVVSVDAPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLVHADHLFSKIKTKCGLPAIVAEVDRILRPGGKLIVRDKEETITELESMLKSMQYEINMTYSKDKEGLLYCQKTMWRPKDVETLTYAIA >Solyc10g049427.1.1 pep chromosome:SL3.0:10:45780075:45780919:1 gene:Solyc10g049427.1 transcript:Solyc10g049427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRENGVVHLSQKRYIRKVLERFNMDMSKPVSTPLASHFKLSELQMPQSMDEVEHMSKVPYTSAVGSIMYAMVCTRPDITQSVSVVRKYMANPGKRHWEAVKWILRYLKGAPDVGLTFRKSEGRIYGSNGGSERSYRLKGLVAELSSAQLKSILKCDSQSAIHLIKNQRFHERTKHIDVRFHYIRDVVEKGAIKVEKVITDDNAADMLTKIVLLAKFAHCKDLAGVCIN >Solyc04g080390.3.1 pep chromosome:SL3.0:4:64667272:64673237:-1 gene:Solyc04g080390.3 transcript:Solyc04g080390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSITLIPSSTVPPTPLKPPPSVKQPPPTPPIEAKFFSRRNAVVWLSLIAPLTHPASAFSFGISGPKEWLRDQKKKTAKYLLAPIEASRNILRYANLLLTRTEPEFGEKELEEVQSLLRSAARDCVPQERNSFVQFQSKSGVEVCTFQLVVKNASSLLPDKDPVKLAAEAKLIDLIRSFASLSDMANEFDVQVASNRQKVANALMDTLTCLDNFEQGVKECLEV >Solyc01g106177.1.1 pep chromosome:SL3.0:1:94019646:94020526:1 gene:Solyc01g106177.1 transcript:Solyc01g106177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISFYLATGHESKLQLLGEELSGLSTKDLTNLENQIEMSLKGVRKQKEQILMDEIRELNQKGNLIHQENIELYKKVNLIRQEYTELQKISEQGYGSAPNGGVQATHTISNGYDLHAPINLQLSQPQTQKNGTSTSVMQLG >Solyc09g011360.3.1 pep chromosome:SL3.0:9:4697429:4700826:-1 gene:Solyc09g011360.3 transcript:Solyc09g011360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDIAVLTKPSICREALRIVNEEGFRAFWKGNLVTIAHRLPYSSVNFYAYERYKTILKSIPGLDGKGRYAGADIFVHFVGGGLAGITAAAATYPLDLVRTRLAAQRNTIYYQGICHSLRTICRDEGFFGLYKGLGATLLGVGPSIAISFSVYEALRSYWQAQRPDDPTIAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYNTGIMGIFKHIIRTEGLRGLYRGIMPEYYKVVPSVGIVFMTYETLKKLLSQGPFDS >Solyc02g078690.2.1 pep chromosome:SL3.0:2:43923319:43929001:-1 gene:Solyc02g078690.2 transcript:Solyc02g078690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4B993] MFTQYSLLLIIIISLILFLEPIEIVGRRSHELSNNNKPDSSSRVGSYTEDLVTNLPGQPSVNFKHYAGHVTVNENKGRALFYWFYECSIDPQDKPLVLWLNGGPGCSSVGYGATQEIGPFLVDFDGFGLKLNPYSWNKEANLLFLESPIGVGFSYSNTSGDYYNIGDDFTANDTYTFLHKWLLKFPSYKKRPFYIAGESYAGKYVPELAEVIVDKNKDPSLFIDLKGILLGNPETCDAEDWKGLVDYAWSHAVISDETHKTISDTCNFHSDDTWSNQTCSEAVDEVLKQYKEIDIYSLYTSVCIRDTATSQQQTTQVLFDTKSKMMPRIMGGYDPCLDDYTSSYFNRLDVQKALHVISDGQHLKNWSICNMTIFDNWSDSKESVLPIYRKLINAGLKIWVYSGDTDGRVPVLSTRYSLSALGLPITTKWRPWYHQKQVGGWVEEYKGLTFATFRGAGHAVPTFKPSESLAFFTSFINGQSLPFQRI >Solyc09g074950.3.1 pep chromosome:SL3.0:9:67170907:67186647:1 gene:Solyc09g074950.3 transcript:Solyc09g074950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRSSSSSKRSLSPSSSSLQNNGKRSKGGVNDSGPESAEQEVRSADLVGASVLKSSDDAAATPAAAAAPQKSMETEGANEPLVSPMTLGDSAIDVDKSKSNGSALNRGKKRQLKSNGAAWGKLLSQCSQNPHLVMHRPTYTVGQSRESDLWIGDSTVSKDLCNLKHTETEKGVSITLLEITGKKGDVQVNGKVYPKNSTVPLKGGDEVVFGSSGQHAYIFDNDLSATSLAHPVSILEAHSGSIKGLHLEARSGDPSTVAVASTLASLSNLRKDLSLLPPSSQNGKDGKQGSEVPILPSASGLSLTEKDDLDTDMKDASDGNDEPGVLVDEKNDVISPGVENGNLNLDNVVLDSVDAEIGKVQPLLQVLAGSSASEFDLSGSISKIFEEQRNFRELLKDFDRPVSALTRRQTFKNALQQGVVDFNTIDVTFENFPYYLCENTKNVLIASTYIHLKCNGFAKFASDLPTVCPRILLSGPAGSEIYQETLAKALAKYFCAKLMIVDSLLLPGVSSSKDVEPVKVSSKPERASVFAKRAAQAAALHLNKKPASSVEADITGGSILSSHAQPKQEASTASSKNYTFKKGDRVKYIGSLTSSFSPLQSPIRGPTYGYRGKVVLAFEENGSSKIGVRFDRSIPEGNDLGGLCDEDHGFFCAADLLRLDSSSNDEIDKLAINELFEVALKESKSGPLVLFIKDIEKSMVGNPEAYAAFKIKLEHLPENVVAIASHAQSDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDNFGRLHDRSKETPKTMKQLTRLFPNKVTIQIPQDETLLSDWKQKLDRDMETMKSQSNIASIRNVLNRFKINCDDLEILCIKDQALTNESVEKIIGWALSHHLMHKSESAMKETKLAISSESIAYGLSMFQGIQGETKSLKKSLKDVVTENEFEKKLLGDVIPPSDIGVTFNDIGALETVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFTLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDASNREKILGVILAKEELAPNVDLEAIATMTDGYSGSDLKNLCVSAAHCPIREILEKEKKEKTLAIAESRPSPALHSSADIRPLNMDDFKYAHEQVCASVSSESSNMNELLQWNDLYGEGGSRKKTSLSYFM >Solyc10g086350.2.1 pep chromosome:SL3.0:10:65322571:65333554:-1 gene:Solyc10g086350.2 transcript:Solyc10g086350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPARARADYDHLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIKTVELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNMNVQEAFFSIARDIKQRIAESDSKAEPQTIKINQPDQTAGGIQSAQKSACCGS >Solyc06g071720.1.1.1 pep chromosome:SL3.0:6:44325692:44326138:-1 gene:Solyc06g071720.1 transcript:Solyc06g071720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPTVNIDTLWSLLPQEVKDKAAANKGTAPLIDVTQFGYFKVLGKGVLPTGQPVVVKAKLVSKNAEKKIKENGGAVVLTA >Solyc01g103415.1.1 pep chromosome:SL3.0:1:91900088:91901034:-1 gene:Solyc01g103415.1 transcript:Solyc01g103415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITIGGDFRVQYLLNTKKKMHFDPVVVLNHFVAQGRSLDKRIRSEKPVDRQPPTRSPDSGLPFKKRKFGQVH >Solyc08g068850.3.1 pep chromosome:SL3.0:8:57995725:57998786:-1 gene:Solyc08g068850.3 transcript:Solyc08g068850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNSQFLTNQQIEKRIEAAAKFIHQQNEVRAPIIEKIAEKKSDKYFVLKRLKQLLPDEYIIWPQRKKYGYKTQYIWDTKITSDEQVEKELDEPLSIKTLYYKTQHGSKNLADEKRIFREMRRAEEIVCPKDTKMNQNQVTFAEIQNMRSEKRIFNLNVKSLKRKLESIKNDIKSLQNSLDAINRKKGKIYAAILQLKSQNYTS >Solyc06g071860.3.1 pep chromosome:SL3.0:6:44413757:44419320:1 gene:Solyc06g071860.3 transcript:Solyc06g071860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQSPYMHNLSQPTLDKQYLGFDPQPPDTASFSSEPNFQNPSRDLPEVEEDEFDDDDEIALQIIFKEVQNLVLNQAFSEARAKGGVYESAMVKSGSEDEKDVRMIGEELQNLVVDQAFSEERAKGDINEGNGREEVGNEYGGNRYEDGAGWSENVNVIDVKNEKGGEVISREWGFDNDEDGDYSWSESGIVVDVENEKAGDAGSKEWGFDDYEDGDLSWTGNEIDVVNESGSMAGSKEWGFNANGRRLSYPLRPDAVDCAYYMKTGTCQYGLNCKFNHPSRRQNQQWAMEKGKQKDESEERAGLIECKYYLTEGGCKYGNACKYSHSKGKGAISPVLDFNFLGLPIRQGEKDCPFYMRTGSCKYGSSCRFHHPDPSTVTGNNPSLGYNNGGSAPVQSASYSPVSSWSSPRASNETSPFVPVVYSANQGILPLSPEWNRFQAPVYPTSEKSLPTPPAFTVKDPATKTNIYSRPQPPWLVEEYPERPGQPDCSYFIKTGDCKYKSYCKFHHPKTQKSLTNPPSVLNDKGLPLRPGQAVCSFYSRYGICKYGPACKFDHPEHIDNAPASSPRPAFYQPPFGISSASDGLRMARKGNGSGSLVHQSV >Solyc01g108440.2.1.1 pep chromosome:SL3.0:1:95664658:95665943:-1 gene:Solyc01g108440.2 transcript:Solyc01g108440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLAYFPYIIILLFPCFSKTKTFSIFLFKKIYRTVGVHKMETEVMMQSPAPVDFNIDSGCTTPYMSAPSSPPRAATLFYSAPASPTRISPLYDEFNWEEIPKEKDNPDEDDEDFAFDFSGQLERISLSAADELFDCGKIKPLKPPPRFQYEGKHMDSPKSPKKSFMETFSPRHKKKDFDPSVTALQKQSRTEKPQQNSSNLSPLKVSDLLFDHESNQENTKKSASVSSSSSSSSSSSSSSSVSSMISLWSKKWKIKDLLLFRSSSEGRPSSTEQLNKYELLKKTHEEDVKNSSFRSTESVRSRKKGPISAHELHYTMNRAVSEEMKKKTFLPYKQGLLGCLGFNASLQDSVSKSVANSMSMSRR >Solyc08g080280.3.1 pep chromosome:SL3.0:8:63706348:63713078:-1 gene:Solyc08g080280.3 transcript:Solyc08g080280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKLMKPLPKTLMLKDYLLDDLSSCSSSGFRSYPRRQCCTTVRFLLEIDLKNKYQPALPPPPYKNKPILRSKQSPPSAKVSAFHKASVAVINAVKHLPFAGARSSSTLKKKKPMMRTIFPRSISRKLKRSFWKRGDHKEIYWWTAFNRLDKEELKSPVLSPVVIGKITGDSNSSTTTVSKSKCNSNTWSSDSDYTASTDNSLQTSSGNSEVNSSETVNDAVASKKFGTENVTCSKKVGATTGDDSSDSTISSHGSTTNSPNTKKPWPNEEKEQFSPVSTLDCPFDDEDEVSSPFQHRLSRVEGTTTKKLMKKIKRFECLTELEPLNLDKRIASSESESESPLNNSSETEEDKQTVEDMVQELKASMPSYSLKFTTEKLLFDFFKERILNGDDELKNKLLESALEWINGKPIDVLLDWKVQENRMAYIRAIENRGEWKNTELEKQQVILELEVEIFGSLMNEVLVDVMLS >Solyc05g009940.3.1 pep chromosome:SL3.0:5:4157874:4168252:-1 gene:Solyc05g009940.3 transcript:Solyc05g009940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAHSFSLLVLARLSASIVAFLVLTWALYFKTSFYPHSSSTQEEDLIYAVLHPLLMVIGFILISGEAILVHRWLPGSRNLKKSVHLCLQGLALACGVFGIWTKFHSRDGIVTNFYSLHSWMGIICVSLFGAQWLMGFLSFWHRGEVRMTRIRILPWHVFLGLYTYGLAVATAETGLLEKLTFLQTNGAVQKRCTESMIVNGMGLSLALLSGMSCWFFFSVLSTLLDTCDVTRIPKFSLSASVSEKVAAVSWGVSDPNAIDEYNGWDFVEPPVEKKKKKGLSKFLVIGMSVSLAAGLGVASYFSLYQKGFKFQFSGPLHESHDSLASNEASNKGEDGETVDLSMGSDEISETVEGGSDFDDKNVVLETHNVSTKGVTRGVLGRITIPFAVDSTQEEALFMLKKLKIIEDDVKADELCSRREYARWLIKANTQLERSRKHRIVPSVVLSGSTIAAFDDVGVEDPDFATIQSLAEAGIIPSKLPDKKFASTPNVSEDQGVCFSPDRFLSRQDLISWKAKIEYEIMPVIDKEISRKNIAFLDVRDINSEALVELFVDLRAGEQSILRRVFGQAKRFQPDKPSTKAQAAVSLTSGRMEEYIQSELAKLEAENLSRLMTMEEIKSDLLDRGEIQRIWESNMEVERSRGLEVESAYLSSIGDLEQEKIVHENARAELLKQKAALDCQKQLLSSLKEEVDEMSEKLACEKFKHVDEQCDLSGMIHDLQVKHEALLDKKSMLEAESEALRKLRSWVEDEARRSQARAKVLEEVERRWRWEEQ >Solyc08g081497.1.1 pep chromosome:SL3.0:8:64666629:64670671:-1 gene:Solyc08g081497.1 transcript:Solyc08g081497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKIIAICQSGGEFVTNNEDGFLTYIGGEAYALDINDQTILAEFKKEVAENFQRGTEGMTVKYFLPGNKKTLITISKDKDLKRMMNFVKDSDQVEIFIIYDEAVVENVPNVSASRSTTASEAALTPATPVDMIHCDDLLGVDAAIDTTPLCPYPGSNDENNEKHRRAATQWENTITDVGQRFSSFAEFREALHKYSIAHGFTYRYKKNDSRRVTAKCKVEGCSWCIYASRLPTTQLICIKKMNAKHTCDGAAVKAAYRSTRGWMGSIIKEKLKVAPNYKPKDIAKDIEREYGIQLNYSQARRAKEKAREQLQGSFKEAYSQLPLFCEKIRETNPGSVATIATKEDSSFHRLFIAFHASISGFQQGCRPLLFLDSTLLYAKYQGTLLAAIGIDGNDGVFPVAFAVVDEETSDNWQWFLSELKSAVATSCPITFVSDFQRGIRESLQNVFGEECYHGYCLHYLAEKLNNDLKGQFSHEARRLMIQDLCAAAFAPKLESFERCVENIKAISPEVYNWVSRSEPEHWANAFFGGARYGHLMSNFGKLFYDWVAELNELPITQMVDGLRGKVMELIYARRVESSQWLTTLTPLMEQKLQIDASKARSLHPLPSHGSTFEVRGESVEVVDIDQWDCSCKEWQLNGLACCHSIAVSEYLGRSPYDLCSRYFSTESYHATYAESINPIPHLEKPIKGEPDMEHMVIVVTPPPTKRPPGRPKMKKADTFDIVKRQMQCSKCKGLGHNKKTCGKVNNIDEQDPLLLNGLITVELEETSVSMELEPSLPT >Solyc01g057903.1.1 pep chromosome:SL3.0:1:64178356:64179150:-1 gene:Solyc01g057903.1 transcript:Solyc01g057903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding WDDVNVCTLQGAAQSNYKEQVSGSIGAGFDGQVRIVEDDEPKTTCVTRYGSYEFLVMPFGLTNAPATFCNLMNNVLFDYLDDFVFIYLDDIVIYSRTLEEHGHLVSKNQVRIDPKKVQAIVDWQAPRHVKDLRSFLGLANYYRKFIAGYSKRAAALTDLLKKDVKWVWSERCDEAFQNLKNAIAFEPILKLPYFELPFEVHTDASDKAIGGVLE >Solyc07g025105.1.1 pep chromosome:SL3.0:7:24476515:24479343:1 gene:Solyc07g025105.1 transcript:Solyc07g025105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDKIINTLIKEMRILGRVQKLSRPLYTISTFPLDVAEEILHRLPVYPVLRCRCVSKAWLNLIYTPQFSLNSRVVTPKELTNPLISSQFNTNILGSCNGLLLISNTLDEIALWNLGYDVINDDYKVVRIVQFPGSEKGSFQSHVMNYSLKSSCWSRVDEQLPPYHLKYVDLPGTYLNGSLHWVVTMNCILNLAVLESSLCTYKTYMIDSYGDWGNVDLFMDHVDIWVMKEYGVKDSWTMVASLDEPNKERKFLWNK >Solyc01g073695.1.1 pep chromosome:SL3.0:1:80954516:80956511:1 gene:Solyc01g073695.1 transcript:Solyc01g073695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTKKSFCFYFCLHSSFQRSTQSLFLIKIKLYLHPPNYTLISQTSSPFLSSLFSNKQSSNMEILSQIWSFLGLLTVVQNVLPTQLLSLFHSFYESIQDFFSPYSYFEIPEFNGYCGVDVNDLYRHVNLYLNSINSSSTCRRLTLSRSKSSNRISYTVAPNQMVHDAFRGHHLTWTHQVDNVQDSVEEKRSFTLKLPKRHRLELLPPYLEQLTARAEEFERVSRERRLFTNNGHGSYESGWSSVPFRHPSTFETLALEPDLKTQLMDDLTAFSQGKEFYHNIGRAWKRGYLLYGPPGSGKSSLIAAMANFLCYDVYDLELSKVSDNSELRALLIQTTNRSIIVIEDIDCSINLTGDRMAKMRSNHKKNRNVMMSDNAEDNGRVTLSGLLNFTDGLWSCCGEEKVIVFTTNHKDNVDPALVRCGRMDMHVSLGTCGMHAFKVLVKNYLGLDSHVLFDVVESCIRSGGTLTPAHIGEILLRNRRDADVAVKSVLTAMQAKILGADVDATEGGHENDDMARTPESIGRRMMESPDHWPEGSPEKKKKKEGSTRDKNVKFLVRLRSLTKSDSGRRGLDIIYFNISQMNSP >Solyc02g068300.3.1 pep chromosome:SL3.0:2:38849957:38854514:1 gene:Solyc02g068300.3 transcript:Solyc02g068300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade XVI lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4B7G2] MGLLTPTTLTIFIMLFSLLQLKLQAQKMEHLNLKYASFDETFFDIFEVEKPATISNAALQVTPDSASSDFNRYNNSGRILFKQPFKLWDGDVFDNTTRVASFNSSFLVNIYRPNNETAAEGLAFLISPDLEKPDNSQGQYLGLTNGSTDGISSNKVVAVELDTSKQSFDPDDNHIGIDVHSVRSVKVESLTPHGIELAPIGARFYNVWVQYDGIKKVLDVYIVEQALKNGSTPPIPKTPILTYDLDLKEHVNQESYFGFSASTGTNYQLNCVLRWNLTVEYFPEKKNPWLKIVLGVGIPVVSLLILGAACLGYCYHKKRIDRSQSNILGALKSLPGTPQEFQFKALKKATNNFDEKNKLGQGGYGVVYRGFLAGEENKDIAVKWFSRESIKGQDDFLAELTIINRLRHKHLVKLLGWCHKNGKLLLVYEYMPNGSLDMHLFAGPDKEPLSWHVRYKIVQGVASALHYLHNEYEQRVVHRDLKASNIMLDSKFNARLGDFGLARALDNERTSYAEAEGVLGTMGYIAPECFHTGKATQQSDVYAFGAVLLEVVCGQRPGTKINGFQFFVDWVWYLHRDGRILEAVDPRLGDDYVADEAKKLLLLGLACAHPIATDRPKTQAIVQIISGSAPAPEVPPFKPAFVWPSMVPIDIDSSVMDTTSITTSHFNSGWSLDYQSRETPTYADHSLV >Solyc09g082170.3.1 pep chromosome:SL3.0:9:68411650:68415671:1 gene:Solyc09g082170.3 transcript:Solyc09g082170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMTHPFTTPPTTHPNTTHIHLSTSISKATSLPQLKQVHTQILRQNLSDSDSGSLLFDLILSSIPLPSSLQYSLSIFSTLQNPRTHLINKLFRELSRSKEPHNALLFLENGRRNGLEVDRFSFPPLLKAASRAFALREGMEIHGLGCKLGFISDPFIQTALLGMYANSGQIQDARLVFDKMSERDIVTWDIMIDGYCQNGLFDDVLVLLEEMRSSNVEPDSRVFTTILSACGQTGNLALGKVIHELISENNIIADSRLQSSLISMYAGCGCMDLAQNLYDELSQKNLVVSTAMISGYSKAGQVEAAHSIFNQITDKDLVCWSAMISGYAESDQPQEGLKLLDEMQASGVKPDQVTMLSVISACANLGALDQAKRIHMIVDKYRFREALPVNNALIDMYAKCGYLDGAREVFGRMRRKNVISWTSMTSAHAIHGEADQALMLFRQMKEPNWITFVAVLYACSHAGLVDEGQQIFSSMVNEYKITPKLEHYGCMVDLYGRANRLREALELVESMPMAPNVVIWGSLMAACRIHGEYELGEFAAKRLLELDPEHDGAYVFLSNFYAKGKRWENVGEVRQLMKHKGILKERGHSKIEMGNEIHKFLTADKSHKHADDIYAKLDEVVCKLMQVGYAPNTSIVLIDVDEDEKKDIVLLHSEKLALCYGLLKSSRGSPIHIIKNLRICEDCHNFMKLASKVFEREIVVRDRTRFHHYRDGSCSCKDYW >Solyc02g077730.3.1 pep chromosome:SL3.0:2:43175705:43178369:1 gene:Solyc02g077730.3 transcript:Solyc02g077730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAARSVLRSATSSARTAATRFAAGAKPKAAPSPFRMPTQKPLAARIFRSPVEMSCAVETMLPYHTATASALLTSMLSATPRSYGWTLEDCNDDL >Solyc01g066680.3.1 pep chromosome:SL3.0:1:74662632:74663714:-1 gene:Solyc01g066680.3 transcript:Solyc01g066680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVFLIFILAALIATPVAVAQLGGLLGGLLAPTSIEGVLFCSLNGKIDVLNGATTPIFPDASVQLRCGAGNVVSSTTTNGSGAFSLVTSPVQSLLSSLLSDCNIVVTTPLSTCNATLPSVGVLQAPLQIVGKTAGGGLLNIVKLVTGAFQLIN >Solyc11g072055.1.1 pep chromosome:SL3.0:11:55632951:55635659:1 gene:Solyc11g072055.1 transcript:Solyc11g072055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKLIFSSFGTDHQWFGLRQLVKLLLFRTILKLSFEELITSSLVQDIVGNFFSCCHSGCIFSIFFKIYVVPLLSVDIISIVVYESWLLTNEVFPANLR >Solyc04g048975.1.1.1 pep chromosome:SL3.0:4:38690917:38690958:-1 gene:Solyc04g048975.1 transcript:Solyc04g048975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLVQQMTHQLQ >Solyc07g041280.3.1 pep chromosome:SL3.0:7:52306648:52318986:1 gene:Solyc07g041280.3 transcript:Solyc07g041280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIGITRLCHRNLLPTSNPSLTSTFISSFVIGRTSSHNYNRNFPKFLVSATMESSFKPEEARVPVELPLPAPPVTKFKIALCQLSVTTDKERNIVHAQRAIEDAADKGAQLILLPEIWNSPYSNDSFPVYAEDIDAGGDASPSTRMLSEVSRSRKITIIGGSIPERSGDKLYNSCCVFGKDGKLLAKHRKIHLFDIDIPGKMTFKESRTLTAGERPTIVDTEVGRIGIGICYDIRFQELAAIYAARGAHLLCYPGAFNMTTGPLHWELLQRARAADCQLYVATCSPARDGGSSYVAWGHSTLVGPFGEVLMTTEHEETTLVAEIDYSVIEQRRTYLPFQKQRRGDLYQLVDVERLTCKGAK >Solyc01g066090.2.1 pep chromosome:SL3.0:1:72887631:72889620:-1 gene:Solyc01g066090.2 transcript:Solyc01g066090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCPCAFFTTQNMKILKNEKSHVLIALFPCQSQINPYLQFSKQLSNLGIGITLTASLSAFSKIKKLPNVEGLSFAPFSDVFYKVAWIKKSDAKDKIIELSRLPPLSPIDFTSFLFDNVERSNLAVKSIKRQIEMLSSEENPRVLENTFDSLESNDFENSEACDYGGNRSYSEISIQLMEDIGHGLLKCGRIFLWVISGCVLTYYEWISILESIASMLPIVPWPLWNNQICNAKLIQDIWKNGEFNRCITIVMGDGEEGKDLRRNVKNWSDLAKEAMKENSTVNLKTFGNEILLDHNEY >Solyc07g019577.1.1 pep chromosome:SL3.0:7:12316984:12323327:1 gene:Solyc07g019577.1 transcript:Solyc07g019577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLKAEFEMKDLGAARKTLGIEIIRDRERRKIFLSQRSYIQKVLARFRMSSSMPIDTPGAVNIHLTTMFTPQSEEKKEYISRVPYVSAVGSLMYVMVCTRPDLAHVVSVVSRFMGQPGREHWQAVKRIFRYLRGTFDVGLINGGYTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLRGSAVSWKASLQPTVTLSTKEAEYMALTEAAKEGIWLKGLVSDLDNMLIAEKKKYDIQKLKGLLSAEFEMKDLGAAWKILGMEIFRDRERRKLFLSNRSYIQKVLARFGMSSSKPIDTPSNEEKEYMSRVPYASAVGSLMYAMVCTRPGLAHAVNVVSRFMGQPGREHWQAVKRIFRYLRGTSNVGLIYGGDALCLVTLYSDSDYAGEVDKRRSMNSYVFNLGGAVVSWKATLQPTVTLSTTEVEYMALTAAAKEGIWLKGLVSDLDNMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAQKILGIEIIRDRERRKLFLSKRSYIQKVLASAVNIHLTAMFAPQSNEEKEYMSRVPYASAVGSLMYAMVFTRPGLAHSVSVVSRFMGQPGREHWQAVKRIFRYLRGTSNVGLIYGGDAQCLVIVYSDSDYAGEVDTRRSMNSYVFTLGGSDVSWKATLQPTVTLSNTEAEYMALTAAAKEGIWLKGLVSDLDNMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAQKILGMEIIRDRERRKLFLSKRSYIKKVLARFGMSSSKPIDTPSAVNIHLTAMFAPQSNEEKEGTSNVGLIYGGDTQCLVTVYSDSDYAGEVDTRRSMNSYVFTLGGSVVSWKATFQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSDLDDMLIAAKKKFDIQKLKGLLSAEFEMKDLGATRKILGMEIIRNREKRKLFLSKRSYIQKVLARFAMSSSKTIDTPVLSISISLPCSLRSQKKRRSTSDVGLIYGGDTQCLLTLGGSLVSWKATLQPTVTLSTTEALKDDSFVYLVLYVDDMLIAAKKKYDIQKLKGLLSAEF >Solyc10g084070.1.1.1 pep chromosome:SL3.0:10:63869816:63870058:1 gene:Solyc10g084070.1 transcript:Solyc10g084070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAEQKIKVKVESPTSEFYVEVKASDKVKDLIKIVKKVWGSDYMLLSHNSVEMKGDQTLSTYGVKNGSIIKVTVFAEEP >Solyc06g053245.1.1.1 pep chromosome:SL3.0:6:36057167:36058237:-1 gene:Solyc06g053245.1 transcript:Solyc06g053245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSTDTIFSFCDGFNSSHLTLISSFISVST >Solyc10g049580.2.1 pep chromosome:SL3.0:10:46082039:46084089:-1 gene:Solyc10g049580.2 transcript:Solyc10g049580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNYAVLLGFIICIIVAGVGGQAPPTPPTNQAPPTQAPPTQAPPTNQAPPTQTPPTQAPPTQAPPTPTNQAPPTQAPPTPTNQAPPTPTTQAPPTPTTQAPPTPTGSPPPVNTQTPPAQPPPTASPPPTVSSPPPVVTSPPPAPPTPIASPPPPVNSPPPPAAPSPAPLASTPAPAPAKKLTSPAPSPLGFSPPAPALAPSLGALSPAPSATDQSGVEGLKLSTMIVKSLVLGWGLLSFLM >Solyc09g040190.1.1.1 pep chromosome:SL3.0:9:22124763:22124966:-1 gene:Solyc09g040190.1 transcript:Solyc09g040190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKDEKKPAEIRPAPEKTNIAEKSHEEQNAQDEKKLTKNNIVVVGDIKKKRLKQFKQLFALLFLLN >Solyc08g081650.2.1.1 pep chromosome:SL3.0:8:64757972:64760518:-1 gene:Solyc08g081650.2 transcript:Solyc08g081650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFVNEWQMREKGAFLGTDEEFKGFSFNMHKFQEKEPFMHKSCLMSNVHGDTESSNKAKVSLIGVRRQKNGRYGAVITDQIRHKQVWLGTFDTVEEASQAYFSKKSEFEILRQQGNKDNKPKENSDQIQLPESPVVLSSMSVASDQTLDSASVGCRNRRIDSHETTPHIVEVHKSKMSGEVPESSKETQCLVASVVQNTESYDECNTSTSNLKAKISLLGVRKQKNGRYGAVITDTTKHKQVWLGTFDTVEEASQAYFSKKSELENEKLNQQHNKENRPKKNYGQIQQPESPVVHASLSVEGVQTLDTASVGMRIERIDFHGTTHVVEVRKSKTSEKEPEFSKDASCLMGSVHATESSDQCNTSTGNPKAKNLIGVRRQKNGRYGAVITDTTRHKQVWLGTFDTVDEASQAYFSKKSELENQKLNQQGNKETRDQIQQPESLVAYLSINNDQTLNAASGGRRNKRIDSHKATPDIVGVHNNKTSEKELESSRETTHLMDNVHGTESCDECNTTTSCDPKAKISLIGIRRQKKGRYGAVITDRIKHKRVWLGTFDTVEEASQAYFSKKSELERLGQQGNQENKLKDCDQVQQPESPVLPSVSVANHDQTLNTSRVRRRNEKIDSHKTRTRFFRVHKRKDSGKYTSEIRNPISKKRIWLGTFGTAEDAFQAYQSKKLEFDRLVHAKRQRGNEQTHSTQDEKLEKLVNAESRHVNVNRELESAGGSDINVPISNSSNGGNEERIDSHEISTAEEAFHAYQSKKLDLQSSKKAELQSYMPTDSSAGEKQEGQEDDENLWKGEWMQLPGDNKAVKFSLKLGLPIIDNYGSLLGEFSTLDDLSLCKTDYDNET >Solyc09g064742.1.1 pep chromosome:SL3.0:9:62319479:62324769:1 gene:Solyc09g064742.1 transcript:Solyc09g064742.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSIFIIAVFVMLFFSVSHGVGTNRKMVGSFSHGDIRGVLHQGSFSHGDRHPWSSTPGVGTGRKMVGSFSHGDTRGVLHQVIFYQR >Solyc01g112245.1.1 pep chromosome:SL3.0:1:98198553:98210352:-1 gene:Solyc01g112245.1 transcript:Solyc01g112245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGNKFCFDLRYLLVVAALAFIYIQMRLFVTQSEYADRLAAAIEAENHCTSQTRLLIDKISQQQGRVVALEEQMKRQDQECRQLRALVQDLESKGIKKLIGNVQMPVAAVVVMACSRSDYLEKTIKSILKYQTSVASKYPLFISQDGSNPDVRKLALSYDQLTYMQHLDFEPVHTERPGELVAYYKIARHYKWALDQLFHKHNFSRVIILEDDMEIAADFFDYFEAGATLLDRDKSIMAISSWNDNGQRQFVQDPYALYRSDFFPGLGWMLSKSTWSELSPKWPKAYPFQLMCLFLA >Solyc07g061920.3.1 pep chromosome:SL3.0:7:64931517:64943197:1 gene:Solyc07g061920.3 transcript:Solyc07g061920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIVLVEPIQESTASTSRRAYTTSNERTYTRSITYGRDHVPEPFDSEKLPVTLISEIQRFLRVANLIESEEPRVAYLCRFHAFEVAHNLDRNSNGRGVRQFKTALLQRLEQDEEVTLRKRKERTDLRELRRAYREYKEFIIKHGGESNLENRERLTKARVIASVLFEVLDTVSRAAGVQALAGSDHDAKSELFVSYNILPLDQGGIHHAIMQLPEVKIAVAAVRDVRGLPFLEDCRKQETNLDLFKWLQFCFGFQKGNVANQREHLILLLANTHVRQTQKQVLVPKLGDVAVDELMKKFFKNYTDWCKFLGRKSNIRVPYLKQEAQQYKLLYIALYLLIWGEAANLRFMPECLCYMFHHMAYELHSMLTGAISMTTGEKVMPAYQGDSESFLNNVVFPVYDVIYKEAMKNGKGTADHSTWRNYDDLNEFFWSPDCFQIGWPMRLDHDFFCTGTPNNVKDKKEKVSASNVEENKDANEDEEMGILVDEVREPKWLGKTNFVEIRSFWQIFRCFDRMWTFFILSLQAMIIMASHDLESPLQVFDATVLEDVMSIFITSAVLKLVNVILDIIFSWKARCTIDPNQTLKHVLRVVVAMMWTIILPVYYASSRKKYTCYSTQNGSWLGEWCYSSYMVAVAFYLMTNAVDMVLFFVPVVGKYIETSNYRICMFLSWWTQPKLYVGRGMQESQLSLLKYTIFWLFLLISKLIFSYTFEIKPLISPTRQIMAIGVKNYDWHELFPKVKSNAGALAAIWAPIVLVYFMDAQIWYSVYCSVFGGVYGILHHLGEIRTLGMLRSRFYSLPEAFSDHLVPPEAKDSRNTLMNWLIPLTFQFQKNFHLSEREKSNVVKFALVWNQIISSFREEDVISDREMDLMKIPVSPELLSGRVYWPIFLLADKLANALSIARNFEGKDETLLRTIKKDTYMYLVVMECYESLKYILEILVVGDLERRVISGILDEIDESIQKSTLLKDLKMSQLPVLCAKCITLLQLLVNSLTSINLLLSSYNQFLHLLMLSVLTHWFIKVEGKESLHNKVVLAIQDIFELVTTDMMLNGSRTLESLDAHLYSEKEVVECFDSIEVPLFASKNSIHFPLPDSDSLLEKIKRFRLLLTVKDKALDIPTNLEARRRICFFATSLSMNMPSAPKVRNMLSFSVLTPHFMEEVKFSKKELNSRKQAGVSILFYMKKIFPDEWENFLERMEKEGIDESSDEIEEEERSWASFRGQTLSRTVRGMMYYRKALKLQAFLDMAEDEDILQGFDAIERKNDTLSAQLEALADMKFIHVVSCQIYGLQKTTGDPQAQDILNLMIRYPSLRVAYVEEKEEITADKPRKVYSSILVKAVNGFDQEVYRVKLPGTPNIGEGKPENQNHSIIFTRGEALQTIDMNQDNYLEEALKIRNILQEFLKHSGRRPPTILGMREHIFTGSVSSLAWFMSYQETSFVTIGQRLLANPLRVRFHYGHPDVFDRVFHLTRGGISKASKTINLSEDVFAGFNTTLRRGHVIYLEYMQVGKGRDVGLNQISKFEAKVANGNSEQTISRDMYRLGHRFDFFRMLSCYFTTVGFYFNSLISVVTIYVFLYGQLYMVLSGLQRALLVEAKLQNIKSLETALASQSFIQLGLLTGLPMVIELGLERGYLNALKDFVLMQLQLAAVFFTFSYGTKSHYYGRTILHGGAKYRPTGRKVVVFHASFTENYRLYSRSHFVKGFELLLLLIVYDLFRRSYESNLAYVLTTYAIWFMSFTWSFAPFLFNPSGFDWGKIVDDWKDWNKWINQQGGIGIQQDKSWQSWWNDEQAHLRHAGLFSRLIEILLSLRFFLYQYGLVYHLDISNQSKNIVVYVLSWVVIAFIFLLMKMLNIGRRFLSANHHLTFRLFKACLFLGVVATIITLSIICHLSVKDLIVCCLAFLPTGWGLILVGQVVRPKIEGTGLWHFTRVFARAYDYGMGVVLFAPLASLAWLPIISAFQTRFLFNEAFSRRLQIQPILAGKKKH >Solyc03g112530.3.1 pep chromosome:SL3.0:3:64399598:64407535:-1 gene:Solyc03g112530.3 transcript:Solyc03g112530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETMEVEVEAAAAAEREATNSVICQLADPEGNPLGAALYLPENASPKELNQVVNKLLSNEEKLPYAFYISDEELVVQLGSYLEKNKVSVEKVLTIVYQPQAVFRIRPVTRCSATIAGHTEAVLSVAFSPDGRQLASGSGDTTVRLWDLNTQTPLFTCQGHRNWVLSVAWSPDGKHLVSGSKAGELICWDLQTGKPLGNPLTGHKKWITGISWEPVHLSAPCRRFVSASKDGDARIWDVTTRKCLICLTGHTLAITCVKWGGDGVIYTGSQDCTIKVWETTQGKLIRELKGHGHWVNSLALSTEYVLRSGAFDHTNKHFASPEEMKKVALERYNKMRGNAPERLVSGSDDFTMFLWEPAVSKHPKTRMTGHQQLVNHVYFSPDGQWIASASFDKSVKLWNGTTGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKVWDIRTKKLKQDLPGHADEVFAVDWSPDGEKVASGGKDRVLKLWMG >Solyc01g068640.3.1 pep chromosome:SL3.0:1:77653961:77665075:1 gene:Solyc01g068640.3 transcript:Solyc01g068640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKDIARIADGLSLVAKETIYRQRRSEGGNNLQSLIKNTLLSATDLTGLTKGKVRQINADSAVRTDSNKESVVYFTDEKPSTSEPQIEPHLEVKEVSEAEIRESPVPVQSAGTTYEGDVQIDSISSESKAAASEVVAPVTPQLKRQRKLRERKVPTTSFSRALGFAGLGAGLAWGTLQESAKRIVFGEPNVQGNQSAVSPYLSEKNAERLALALCRMRGAALKLGQMLSIQDESVVPAPILAALDIVRQGADVMPRSQLNQVLSSELGHDWSSKLKSFDYEPMAAASIGQVHRAFTKDGIEVAMKIQYPGVADSIESDIENVKLLLSYTNLLPEKLFLDNAMKVAKEELSRECDYELEAKNQKRFGMLLSGTKGFYVPVVIDDLSSKRVLTSELVPGIPIDKVTLLDQETRNYVGRKLLELTLMELFVFRFMQTDPNWSNFLYDESEKVINLIDFGAARDYPKSFVDDYLRMVFACANNDREAIIKLSQRLGFLTGEESEIMMETHVQAGFIVGLPFSKPGGYDFRANNITQSISNLGATMLRHRLTPPPEEAYSLHRKLSGAFLACIKLGAVVPCREILLEVYEKYEFGEHDNERLASGSE >Solyc07g041430.2.1 pep chromosome:SL3.0:7:53271622:53276287:1 gene:Solyc07g041430.2 transcript:Solyc07g041430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSEIEIRRTNYADEAHASGVAGIDLCVEVSLPNITQVRKSYKQKGKHSIKINEELPEEVCVMNDVGKGGDRVLMWQLWEEENNRWMEEKHTLYLDFNSQNEFMSETAEQPSDFIIPLLRYQKEWSDWALKQEESTATGGILAHDMGMGNIVKAITLLLSKSEIGQAIFYSSFLSPAPYVLPAVKGTLVICPVVALIQWVNEIERFTTIGSNKVLVFHGANRKKDIDRFAEYDFIITTYPTLETDFTRKTFYHNFLTHCILVEQAHCVKDTRSNTTRAILVLESCFKWALTYYFYIDCDCRLFMFPHCFYYIALPIQFQGNHVFGRDAMILLKHKILKTLMLRHTKKGRAAYLALPPKISFDSNEEDYYTSVREESRAKFNCQDGTFMNNYADIFYLLTHSSTIVDKSGSTNNIDYMVDISNLNVRFFNPSLSVFCKLCQISFTAVVGQISCSLCSKSFIMDFMENDQKTKAKIKGFSYSSILNKIRLDNFQTNTKI >Solyc01g049918.1.1 pep chromosome:SL3.0:1:47256313:47265687:-1 gene:Solyc01g049918.1 transcript:Solyc01g049918.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYYSRYPPFKKVHHLHCTILSVTSKVFKRATSSKQPPSRASNGCSNAMLTRPGGPVLSSFFTGQRSFRTLEEHVNHLSLVLSKLTKYTLYIKMEKCEFAQQEIKFLGHLISKNHVRMDPKKVQAIVEWQAPPHVKDLRSFLGLANYYKKFIAGYSKRAAALTNLLKKDTKWNLKNAIASEPILKLPDFELPFEVHTDASDNAICGVLVPEGHPVCRVYLLGTRFVVRTYNVANTFFKTQKKLSPKQARWQEFLAEYDFIWEQKQGKHNQ >Solyc02g068825.1.1 pep chromosome:SL3.0:2:39303409:39304524:-1 gene:Solyc02g068825.1 transcript:Solyc02g068825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYIGMLLEFRPEDTAKYKMSEQKKSSESRYSAISKYDFIPNTVVTKSSSLKQLKLCRYKQNFFWE >Solyc03g006600.1.1.1 pep chromosome:SL3.0:3:1179830:1180849:-1 gene:Solyc03g006600.1 transcript:Solyc03g006600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVLSSIEKAPPNSNIELQSASTHRSPPLTLPFLEQEEEVKEILSEIPIIINNPINHSIHDQQNNILEKKFSIEKSQSRNIHDTHVFKIARIFNPKDEFSRVGSDQNEIAREPSKRIRVGSDPKEMTKELSKSIRVESDTIKDLGGHIRVGSDPKEMITEFRQRSPANYRNNTSPVRRSGNLSGSGRVRNTSPARKSDQLSGSGQIRNTSPARKSDHLSGSGLIRNHSPGRVGSRKNTGGLSRKDNGESSCRRSRSPVICGDQNNGGTRNSISRCPSTRKSGKSPGRVRSELGDRRRSPAEAGNGNRENNNNYKRSLTSGNNESIENPLVTLECFIFI >Solyc09g061535.1.1.1 pep chromosome:SL3.0:9:59826956:59826961:1 gene:Solyc09g061535.1 transcript:Solyc09g061535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding D >Solyc05g045670.3.1 pep chromosome:SL3.0:5:58269732:58273318:1 gene:Solyc05g045670.3 transcript:Solyc05g045670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSAGQSYAFSTNFDPLQQNLWGCKPHISSFSLKDINFKQCDKPNILSKKPLYISAVLSGFGHADESKEFKSRDPLVQCNAYEASRPQSIPINIEFGQEAQAAATQKLKIGLYFATWWALNVVFNIYNKKVLNAFPFPWLTSTLSLAAGSLMMLVSWATKIAETPKTDFDFWKALFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRLLGETFPLPVYLSLLPIIGGCGLAAITELNFNLIGFMGAMISNLAFVFRNIFSKKGMKGKSVGGMNYYACLSMMSLLILTPFAIAVEGPQVWALGWQNAVTQIGPNFICKNKWVVAQSVFYHLYNQVSYMSLNEISPLTFSIGNTMKRISVIVSSIIIFQIPIQPINALGAAIAILGTFLYSQAKQ >Solyc07g032730.3.1 pep chromosome:SL3.0:7:40992326:40994198:1 gene:Solyc07g032730.3 transcript:Solyc07g032730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKDSSTFSCYPDMELMKERFSKMLLGEDMSGGGKGVSSALALSNAITNLAASIFGEQSKLEPMSQKRKERWRKEIDWLLSVTNYIVEFVPGQQKSKDGTNMEIMITQQRKDLLMNIPALKKLDAMLIDCLDNFKDAKEFWYVSKDADDSEKGVQRSDKWWLPTIKVPPEGLSDESRRWLQYQKDCVNQVLKASMAINAQILAEMEVPENYIDSLPKNGRSSLGDSIYKNITVEFFDPEQFLSTMDMSSEHKVVNLKDRIEASIVIWKRKMHQKDAKSSWVPAVSFEKRELFEERAETVLLLIKHRFPEIPQSSLEISKIQYNKDVGHSVLESYSRVLESLAYTVTSRIEDVLYADSVAQDPSLAIAKWNRSANSSPQKRQSVVSTPKEEFERLSCTAETPNSMTLFDFMGWNMEQGDGDVNKTNLEALPQT >Solyc04g078640.2.1 pep chromosome:SL3.0:4:63416461:63418883:1 gene:Solyc04g078640.2 transcript:Solyc04g078640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFLNHPHKREQRSGIMMEGEKRKQRQHQQDKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSSPVAAARAYDTAVFYLRGPSARLNFPECIVDDHEIHDLSAASIRKKATEVGARVDALQTAIHNSTVNSVESNCNSNSKSTRMMMKPDLNEYPSPESCDEDN >Solyc03g119060.3.1 pep chromosome:SL3.0:3:69256602:69262331:1 gene:Solyc03g119060.3 transcript:Solyc03g119060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-dioxygenase 2 enzyme [Source:UniProtKB/TrEMBL;Acc:Q5WM33] MAFSISLPDFVHPQLRHVVAKMSFFDTILFYVVHLVDKFDLWHRLPVLLGAAYLGIRRHLHQRYNLLHVGKVNGKKYDTEEFTYRTADGSCNHPVDHLVGSQGTFFGRNMLPSTSSYALLEPHPVTVASKLLERRKYTDCGGQFNMIACAWLQFMIHDWNDHMEDTEQVELRAPQDVAAGCPLKSFKFLKTKKLPTGSPDLKFGHLNSRTPWWDGSVIYGNNEEGMIRVRRFKDGKLRLSGDGLLEHDDKGIPISGDVRNYWAGYSLLQALFVKEHNAICDMLKEHYPEFDDEKVYRHARLITSAVIAKIHTIDWTLEIVKTDTLMAGMRINWYGLLGKRVKDLLGPKFGPVLSGLVGLKKPRDHGTPYSLTEEFVSVYRMHSLLPDTIVLRDLKSTTSEDKSLPIQDEIPMREMIGKEGEKNLSKIGMEQMLVSMGHQSSGAGTLWNFPSWMRNLVPHDIDGDDRPDSIDMAALDIYRDRERGVPRYNEFRRNLLMVPISKWEDLTNDEEVIEALQEVYGDDIEKLDLQIGLHAEKKIKGFAISETAFFIFLLIASRRLEADRFFTTDFNSRTYTEKGFEWVNKTETLKDVIDRYFPEMTEKYMRCTSAFSVWSSDPDPKHYLPLYLRPAT >Solyc10g045775.1.1 pep chromosome:SL3.0:10:36489929:36492826:1 gene:Solyc10g045775.1 transcript:Solyc10g045775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLARKIFHCERRAQEYNNAIQHLQTKRTKLVNNPPQLKAWQSGDSLASQLHSIATKINEYQRLISSIDLKLRALKKENVDGFILTEFITFCILNLGNCDFMATKVDIGDTVINCILIKAEHTFWRKLVEEEGTVRFVNELKGEDWISQF >Solyc08g065360.3.1 pep chromosome:SL3.0:8:53342558:53363142:1 gene:Solyc08g065360.3 transcript:Solyc08g065360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRRRSSSRLQSLFLKFSTISAITLLLLCLALAFAFALPELDQLLSGGSNGTRKGTEFSSGPRSKPKEDSFADMIDRALEKEFTENDKDEVNDAGSFSNSVAEQQAVLETVARVKPKKNDTKKEDKSFQLHHVFKLDNDHGAEETPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSVVGPGGFNVVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRIVRAVAVLGGLLQVLLFICLCGITASLCGGKPSEGVFVGAFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPILGGTSNVLQGLISMTKSLVMLLSFLAILSILSRKCVPWFLKLMISLSSQTNELYQLASVAFCLLVAWCSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVEPIRNFFAALFLASIGMLIHVHFLWNHVDILLASVILVVIVKTVVTSAVVKAFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTPLLFKLIPGVVHLGVLLRWFPPDSPSEFGFKSDNFRSDSAKQRIALVSKDLIHEG >Solyc09g005420.3.1 pep chromosome:SL3.0:9:304357:305535:-1 gene:Solyc09g005420.3 transcript:Solyc09g005420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVLCAKIEMKADKDVFHDVFTNKPHHVSTMSPLHVQGFDLLEGDLGTVGSKICWTYTLDGEKRISKQILEDVDHENKVLVLKEFEGDIIDKYDSFKATLHIETKDEIDLITWTLEYERPNETVPELISLLDFVVGMTKGIDDHHAN >Solyc03g019910.3.1 pep chromosome:SL3.0:3:62751562:62756240:-1 gene:Solyc03g019910.3 transcript:Solyc03g019910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRRGRHRKTTEVVKDANEEQHQVKMEDDIFKQEAERQSTAIRAIRDVEIEHLKDMLQLLRSNFSNEQLQVPVTQFFEEKFPNLAIVRNEKNGQYEVQRKDNAVNLSMDQFDGRNLHASLLNNLSMVYPDCSSAMPSLGGFELSNKSVKTSCYGVENLQIKGFVLEEPSDSQMLDLPDTLQTPGAYNNRLSVGMTPKTLRLPKPGEMLLSVHGSPLGVYKEDNMEAIHESGE >Solyc08g080030.3.1 pep chromosome:SL3.0:8:63502458:63504989:1 gene:Solyc08g080030.3 transcript:Solyc08g080030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADQHQSPGTTNIRQVLVHRYPRFGNSFSSKLKTWYRVFDPLDESDPSLPPISGSVRVMLCVGPTPVTSETLDKYPNLECIVGTSAGYDHFDLAECRRRGIRVTGAGDSFSEDAADFAVGLLIDVLRRVSVANRFVCAGSWPVKGEFPLGSKVGGKRVGIVGLGSIGSRVGKRLEAFGCSIAYTSKRMKPNVSFPFHSNIHDLAINSDVLILCCALTKETHRIVGKEVLTALGKEGIVINVGRGALIDEKELVQFLKRGEIGGAGLDVYENEPHVPEELFGLDNVVLSPHVAVLTPESFKALEELFTYNLEAFFSNKPLRAQIQCE >Solyc07g007720.3.1 pep chromosome:SL3.0:7:2370732:2371371:-1 gene:Solyc07g007720.3 transcript:Solyc07g007720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSPPRRCSILCSTIVASSPFSYGGLTFPTLMLLSLISNFKGVLQIIKFWRKWQRWNKIDVGILYRIFN >Solyc01g010790.1.1.1 pep chromosome:SL3.0:1:5790210:5791274:-1 gene:Solyc01g010790.1 transcript:Solyc01g010790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPIPEEIVIQIFTWLPVKSLMRFKCVAKFFNSLVSESYFTEIHTSHSMIHQGGTKYFLNGTEFYCIADQQKEYGKSSASRLVQIRSSVEFPFYIPVGSNISCVNGLFCIQKPPAILNPSTGEVRYLPKLNDDRCLLYYWLGFEPEENKYKVLLTRDGSGLYIKQCVFTLGVDKSWRKTQSISRCVSYKPGVCINGVIYRFVFHGGTLAIDAFDLKTESFKLIVLKNSSNWWYYELIEVRSKLAIINCPTWSCEFFNLRVLRQIQKEEEEEWESHIIHYPSMWKHIPPKIIPHVILSCMFCDGQILFILNLESGALWSSYNITRRSWRKLEMKGLSTNHRITGIYSYRERLVM >Solyc04g024970.1.1 pep chromosome:SL3.0:4:27158773:27159457:1 gene:Solyc04g024970.1 transcript:Solyc04g024970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITFMRMVKSFMLKGFSQMVIIIVIIGFTISLMDKVNYGGRVNVFPLEIGFVAKQWGNVCLVCPQGQCMKVISRLDLWMEMVHILVSVGRPGPPPSLDLKPSTFDLQEKYWTRFTTEGSKITPPHLSYLCSAGKSEFFYLTNDGRYMMKTMKKADTKVIL >Solyc10g006430.2.1 pep chromosome:SL3.0:10:1033852:1035811:1 gene:Solyc10g006430.2 transcript:Solyc10g006430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMDNSSTCNSFSNYSAKNSNYDSQEESSWTFYFQDFMSNNNNSNEQNSLCSNELQINKNNGLDIYENSNNNNNNNNNNNNTNKFVFKKRKMKGVAREVDDDLEDTASSPVNSPKVSYMNELCNNSQKGKSSASIFEGKGNNISGQQMMTSGLNNSTKENDSTQLKKKGLCLVPISMFTK >Solyc04g050900.2.1 pep chromosome:SL3.0:4:48899467:48900730:1 gene:Solyc04g050900.2 transcript:Solyc04g050900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELKPSVVACLEEFSLMVGKLGLEYVKEKELYYVKLSDNMHTDLTVTSKCMAVKDQEKIQLHKVNQGCRHELSWQKLRPEVDATC >Solyc10g050970.1.1.1 pep chromosome:SL3.0:10:51186360:51187031:1 gene:Solyc10g050970.1 transcript:Solyc10g050970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSTKLSGEEEFSIMVAALTNVITGAATISSFPCTSGMSPPLFRVPEETERCQYCKINGCLGCNYFATSSAAAGVVNNNKALKIVGKTKKKKKNYRGVRQRPWGKWAAEIRDPRRAARVWLGTFTTAEDAARAYDRAAIEFRGPRAKLNFSFTDYTSIQQHNTTTPMQVLQQQQPAPSQLQQGINTEEEEFWDQLMNSDNEIQHYLYRESSDSANGYIAHSF >Solyc04g024880.2.1 pep chromosome:SL3.0:4:27805110:27830796:1 gene:Solyc04g024880.2 transcript:Solyc04g024880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFKKTSSDHCVFVQKFSDANFIIVLFYVDDMLVVGHNTCRIQKLKEELSKSFAMKDLGPARQILGMQIVRDRKAKKLCPSSDDEKEDMKKVPYASAVGNLMYAMVCTRPDIAHAVGVVSRFLSNPGREHWNVVKWVMRYLCGTSSLSLCFGIGKPILCGYTDSDMAGDVDNHKSTSGYLVTFAGGAVSWQSRLQKCVALSTTKAELIAIVEACKELLWMKRFLGELGCAQERYVLYCDSQSAIHLGKNSTFHVERWDRTPKAKTHLQWQLIEFVVLVKSVIASVVTYLFSRNYLLTSTQSAQNTKNEQNLKSPMPVVAKVIQGEEQKLGRPVCRIEVVLSTLLKKNCNYEEGKADKISEHLPESQEQAATLGVPMKILDHPNDAIGKVYGVEHSGRVRGIGGNICPSDVFGMPRHSISHANVGSSSNTSHQRVEELQKHAEIVEEKLTGYEEAKEKLEETKKRLAQNENHLETLHRFLQAKFATVLGVANARVFCSAVFIDLMNRVFRNYKDAFVVVLKDDILVYPKNEARFFGLNLWRVIDENHKMLARGIGQPKVFLGLNLGRVTNENHETLAWAIGQPKEHGLHLQFLKIYNETPSTNRMSDYRLSVASVVPELDLTTHLGDIGLVNLVPEFSNESEVLSRS >Solyc06g066700.1.1.1 pep chromosome:SL3.0:6:42025343:42025591:-1 gene:Solyc06g066700.1 transcript:Solyc06g066700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYPRERPGRFVPPDEGQRREQVEIQVVSREVSNGNGSSAEAGTMKQCVCSPTHHPGSFRCRHHHADYKWAAQQGTIKTADH >Solyc02g063544.1.1.1 pep chromosome:SL3.0:2:36202196:36202585:1 gene:Solyc02g063544.1 transcript:Solyc02g063544.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGLKQIGIRCLESNAGLFCWVDMRHLLSSNTFDGEMELWKKIVYEVGLNISAGSSCHCTEPGWFRACFANMSEDTLNIAIQRLKAFVDSRVNNKDDIQNQQQCSNKKKSFSKWVFRLSFNERQRER >Solyc02g021780.2.1.1 pep chromosome:SL3.0:2:24367810:24368076:1 gene:Solyc02g021780.2 transcript:Solyc02g021780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVYEFMQNVSLRDHLYGKKMTPLSWKKRLDIYFGAARGLHYLYTGASTGIIHRDVKTTNILLYKNFVANIDDFGLSKDGTTTDRLM >Solyc01g111750.3.1 pep chromosome:SL3.0:1:97845268:97859185:-1 gene:Solyc01g111750.3 transcript:Solyc01g111750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLSVRPNRTIISSDPAGSTRPNPIRRPPISAHLPKIPNSDSFRRRRLVFTSHRRKLNPAVQAGSRADDSAPFEMSVENALKLLGVTEGASFDDILRAKKSIISSCKDDPDTIAQVEAAYDMLLMQSLSQRRSGKVVDSSVRFADVKAANASGMGSMPKWLQTTVKSSPVAVEAPASKELGVQAGVYGALMVLTYVNGASTPLGVSYGADVPGLILATSFGATLYFMTKKNVKLGKEDTLLLFVSLLGKASVITIGGLVAGAVVGSAVENWLQVDIVPLLGIHTPATVGNSKACMNCYCKKVYGYLLPTQILSFALLSTKGTLIITGLDSCSEVQLLATLQINAFRV >Solyc12g027650.1.1 pep chromosome:SL3.0:12:25389064:25390291:-1 gene:Solyc12g027650.1 transcript:Solyc12g027650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERGGNCAESSSSTLMPMPMNKEQDRFLPIANVGRIMKKVIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITILGFEDYVLPLKQYLNKYRELEGEKLNVPKHVNQQQQQHAADQIKPNFSYNTTTTVYSPTPLLPQTSFVPTDQPFPLPFSPNSQLPKQEHLDSMGHWMIRRSKFPCLGRMAIIF >Solyc09g011530.2.1 pep chromosome:SL3.0:9:4828959:4829868:-1 gene:Solyc09g011530.2 transcript:Solyc09g011530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENFHLHAFLGKGKEQEKGKEEVCEMLKVLDNDFKDKKLFVGDKFGFVDIVANLVELWMGVFQEATGVVLATNENFPNFCARRDTYMNCSQVKEYLPSRIDELLVFYQAYIPK >Solyc04g045620.3.1.1 pep chromosome:SL3.0:4:34430611:34434366:-1 gene:Solyc04g045620.3 transcript:Solyc04g045620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIMEFAENLVLRLMEDPKERDRKFREHVYATKARCDKTLEMWSYPLRPYGFWTFDRHNAQNFWDPQISQVQGRRDPYDDLLHHHK >Solyc06g068940.3.1 pep chromosome:SL3.0:6:42880998:42883341:1 gene:Solyc06g068940.3 transcript:Solyc06g068940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFGLLRIKIKRGINLAVRDVRSSDPYVVVKMGNQKLKTRVIKKDVNPEWNEYLTLSVSDANLPVKLTVYDHDRFSMDDKMGDAEFDIKPFLDALKMNLDSLPCGTVITRETPCRTNCLSEESKVTWQDNQVVQDLCLRLRNVECGEVELQLQWINIPGSKRL >Solyc06g069720.3.1 pep chromosome:SL3.0:6:43544270:43545202:1 gene:Solyc06g069720.3 transcript:Solyc06g069720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSSGFSVSGPCFNSRAKGSAHHCYSNGNLQLKDLTSREFLGKSLNVSDHIQQVFCQMFQALEEICVESGQGWWEKTLKPNMVEINSAQQHVDSLLKAGDRLVVIDFYSPDCGGCKTSHPKVWYQNDQGISFLQLS >Solyc06g059690.3.1.1 pep chromosome:SL3.0:6:37670831:37671108:-1 gene:Solyc06g059690.3 transcript:Solyc06g059690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGRGEAHTIDGMLNWEKLVVCMTNTKFNDRV >Solyc06g010130.1.1.1 pep chromosome:SL3.0:6:5012155:5012349:-1 gene:Solyc06g010130.1 transcript:Solyc06g010130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRCRAWHAIIAIGNHTHCRLRLGVACHQRPWTAHTIGLGRALHSIIAPRMHTRSDDFECGMS >Solyc01g103380.3.1 pep chromosome:SL3.0:1:91874165:91877192:-1 gene:Solyc01g103380.3 transcript:Solyc01g103380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRAEEEYDYLFKVVLIGDSGVGKSNLLSRFTRNEFLLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSFAEKEGLSFIETSALEATNVEKSFQTILSEIYRIISKKPLSSEEPANANIKEGKTLVVGAEEPAPKKACCSA >Solyc02g078950.3.1 pep chromosome:SL3.0:2:44113170:44119766:-1 gene:Solyc02g078950.3 transcript:Solyc02g078950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKLLLVIILSMLLVFEKGASTTVTYDHKALVIDGKRRILQSGSIHYPRTTPEIWPEIIRKAKEGGLDVIESYVFWNYHEPVKGEYYFEGRFDLVRFVKTVQEAGLYVHLRIGPYACAEWNYGGFPMWLHFIPGIKFRTTNELFKESVDQSLKKPVLFSMQNEMKLFLAKIVDLMKDENLFATQGGPIILAQVVSFIFVMMDVFVVENEYGNVEWAYGVSGELYVKWAAETAVNLNTTVPWVMCAQEDAPDSVINTCNGFYCDRFTPNSLSKPKMWTENYVGWFLAFGYPVPFRPVEDLAFAVARFFETGGTFQNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFISQPKWGHLRDLHKAIKHCEEYLVNADPIHLSLGLKLEAHVYYKSSNDCAAFLANYGNSSDANVTFNGKSYFLHAWSVSILPDCKNVIFNTAKVVSQKTTGSTAFTHNTVTIENSLESDPWGWYQEKVGIANNHSFASPRLLEQINTTKDTSDFLWYTTSINVEENIKKRKAKELQLMVGSLGHAALVFVNKKPVADLEPSLGFGYGNHDDASFVLSKKIHLKQGNNTVDILSMMVGLQNYGPWFDISGAGVFSVIFSDLKNSKNFSSTEWIYQVGLEGEYLGLDKVSLANSSLWIQGSSVPVHQSLIWYKTSFSPPEGRGPISLNLSSMGKGQAWVNGQHIGRYWSSYRSPSTGCSDNCDYRGAYDSWKCLKKCGQPAQVLYHVPRSWLKPEKNLLVLHEELGGDPSKISFSTRSGQTICAHVSELDPPPVDTWKTDKDQTSQEPSLQLNCEQGWTITAVNFASFGTPTGDCGAFIEGSCHWDVLSIVHQGCVGKSGCSIPITMAKLGDPCPGVQKSLAVEAFCSV >Solyc03g079890.2.1 pep chromosome:SL3.0:3:53178562:53181013:-1 gene:Solyc03g079890.2 transcript:Solyc03g079890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVVSVFPNGIKELHTTRSWDFVGLPQQVTRRTSVESDLIIGMLDTGIWPESQSFDDQYFSAPPTKWKGTCQSSLNFTCNKKIIGAKYYRINGEFPSGDIQSPRDTEGHGSHTASIAAGRSVSNASLYGLGSGIARGGVPSARIAVYKICWSDSCYDADILAAFDDAIADGVDIISLSVGGSSPYQYFEDSIAIGAFHSMKNGILTWNSAGNSGPDPQTVTNLSPWSLSVADSTIDRRFVTDGVSINTFDLEDQYPLVYGGDVPNTEAGYSGSESRLEAKLSSAIGLNGTIIAGAVGAIMQDDFNDAAFSFPISSTYLRTNDGTEVYNYLHKTRKPTGRILKSSEKGLESAPFVVSFSSRGPNAITSDILKPDLSAPGVDILAAWSEGTTVTGNIHGDKRVVPYNIISGTSMACPHATAAAIYVKSFNPTMSPTAIKSALMTTVQTVRQNLLRVWSNKSNKSCTSCTSQTDATVWDLNYPSFTISSSKNSKITRVFHRTVTNVGTPVSTYRATIVAPERLNVRVEPSLITFRSIGQKQLFVVSITAEINDSMISGSLLWDDGVHLVRSPIVAYAST >Solyc10g008500.3.1 pep chromosome:SL3.0:10:2608994:2613730:-1 gene:Solyc10g008500.3 transcript:Solyc10g008500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNNFATHILSSSSKRSFQESRKTQTLSINFSPTFTIHNIPKTPSRTSLVCSINAVTEDKEAEANQENEKKESNGTEIEEKIKNSFLYILLDKDRFFNASIVLGAGTLAVTRLLTIDHEYWHGWTLYEILRYAPEHNWIAYEEALKANPVLAKMAISGIVYSVGDWTAQCYEGKPLLEFDRTRMFRSGLVGFSLHGSLSHYYYQFCEALFPSNDWWVVPAKVAFDQTIWSAIWNSIYFVVLGFLRLESASNIFGELRATFLPMLTAGWKLWPFAHLITYGVIPLEQRLLWVDCVELVWVTILSTYSNEKSEARISEESSEAKSDSSSSKLPED >Solyc01g108150.3.1 pep chromosome:SL3.0:1:95399793:95416387:-1 gene:Solyc01g108150.3 transcript:Solyc01g108150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKPGLSALVTGGASGIGKALCLALAKKGVFVTIVDSSEERGKEVAGLAEKECAEFHSGLKFPVVMFIRSDVTNTGELKAAFEKHCTTYGGLDICINSAGIGDYVQFHNDQTDGSKSWRHVVNVNLVAVIDSTRLAIQAMQTAQKPGVIINLGSASGLYPMFTGPIYSATKGGVVMFTRSLARFKRQGIRINVLCPEFVQTDLAEKAAASSIARFGGYLPMKLVVEGAFELIRDESKAGSCLWITNRRGMEYWPTPAEEAKYLLRSSKARKKSLVTVPMDLQIPHSFEKVVVHTLSHNFRSATRIVRTELRLPLKPDHVLLKVIYAGVNASDVNFSSGRYFSGNGKDITSLLPLDSGFEAVGVIAALGDGVRNLKIGVPAAIMTFGGYAEFVMVPTKHILPVEKPDPEVLAMLTSGLTASIALEKAAQMESGKVVLVTAAAGATGQFAVQLAKLAGNTVVATCGGYEKAKLLKDLGVDRVIDYKVEDIKAVLKEEFPKGADIVYESVGGEMFDICLNALAVYGRLVVIGMISQYQGEHGWKPRNYPGICEKLLAKSQTVAGFFLIQYAHLWQEHLDRLVNLFSSGKLKVAIDPKQFVGLHSVADAVEYLHSGKSAGKVVVCVDPTYAKQPAKL >Solyc04g080910.1.1.1 pep chromosome:SL3.0:4:65045683:65046429:1 gene:Solyc04g080910.1 transcript:Solyc04g080910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSKKLIPATSRKGCMRGKGGPENANCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDNSYDAAVVYDAAALKLYGAEAKLNLPHLYNNQAQAQAQIQNSKPITIMSPSLSPVSTTTAQASSPSVTSVYNVASPSTWSVGSDDSSFYFNSHDFGIHNDIPSAFNLIDINKTADDYSVDNATNNNQSSEVLGGEMFRDLNMNLPEIDDSSIWEEAKATTSFQEAVNDPGIGGYNLDDDLNFPPWCG >Solyc11g043210.2.1 pep chromosome:SL3.0:11:33541519:33563119:-1 gene:Solyc11g043210.2 transcript:Solyc11g043210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMSYIKRPSCLILAVTPANADLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNFLLGKVIPLRLGYIGVVNRSQEDIKMNRSIKDALIAEENFFRSRPVYSELADRCGVPQLAKKLNQILVQHIKTVLPGLKSRISAALVSVAKEHASYGEITESKAGMGALLLNILSKYSEAFSSMIEGKNEEMSTSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDDDIRTAIQNATGPKSALFVPEVPFEVLIRRQIARLLDPSLQCARFIYDELIKMSHRCMVNELQRFPILRKRMDEVIGYFLREGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVEMAMQQVKSNRITVPAPRQKDGVDLEKAPASERSLKSRAILARHANGIVPDQVARPAAEEKTTTSGSNVSSSWGISSIFGGSDSRTSIKDNPISKPFSEPVQSMNHAFIHLREPPSVLRPSETHSDQETIEIAVTKLLLRSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIKKLYSYLKMLWHIVYGLVVILISVLSTCDRDNLLEEMLQEPDEVALKRKRTRETLRVLQQAFKTLDELPLEAETVERGYSIGTDPTGLPKIHGLPTSSVYNSSGSTDSYTASPKNPRSRKSSHSGELQSPMYAGADSNGGGRNSLGLYPTVDM >Solyc10g045350.2.1 pep chromosome:SL3.0:10:32716471:32723337:-1 gene:Solyc10g045350.2 transcript:Solyc10g045350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGSKEGPTSPQAANAQLFGVLSSLLQQVESLTNQEEVELRSKIQALGLEVTKVPSKSTQHLDETEIAKQMDKLSEKLDDVDRMISSAVAADTQVGSLLSSTADLWMPVITAGSEERRNLNASVEDDVEVKDKNFQ >Solyc02g070410.2.1 pep chromosome:SL3.0:2:40705922:40709044:1 gene:Solyc02g070410.2 transcript:Solyc02g070410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPITIELLSKSMVIFDEDEQLLMENILGGTDQLGVIAIVGMPGHGKTTLAKKLYRHENIVNRFDVRSWCTCVSKVYDKRKILLELLGYEYEHRVQVEKSVDELIQQVRMCYEKRRYFIVIDDVWSTVVYDELVSLFPNNNSRSRIVITTRLDELVDSSVNYSFCYTHRLSFIAEEKSWLLLRNIVFKQENNCCFREFEEIGKQIAKSCAGLPLAVVLIGGLLARLDKKKGIWTKVAQWLSASAKVAGEAEWYMDIIELSYKHLPSYLKPCFRYFGMFLEDEEVSVNKLMRMWAAEGFVQSDEVRSAEIVAKDYLIDLITSNLVLVAERFPLGDIKSVCLHDLVQDFCLKKAKEENFLLKVDRSHALDPATSNSASDVQRFLVCSKMQHFIKWLHPTQRVHTLRLHAHNINEFYNITDGETNKFILPSGVFSSDLFKLSLTVLDLRNIMIDASALEDITSLIHLRYLSIFGNFSEIPRAISNLTNLETFVARPKSGTLTLPRSIWNMINLKHVYITEAMIHFNSTVEAGKEGVFELEKLESFSKVVIVNGDDICEMCSKAPNLVQLELIVEQWDNLFSSLNCLIYLETLSIYHRGDFPMSGINMMFPQCLKELTLSSCGFSWDEISTIATLHNLEVLNILLSAFTGKKWTVGVGGFLNLRLLKLEHSSIKHWNMFVDSFPCLEQLVLRWCGKLEEIPASFGSMPSLQKIEARACCPSARKSAVKIRNMQRDDMKNLDFKVIMYLD >Solyc07g021415.1.1 pep chromosome:SL3.0:7:17985187:17991137:-1 gene:Solyc07g021415.1 transcript:Solyc07g021415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSGLYDVYISNRPSIDRSLMTSLVERWRPETHTFHFRMGETTITLQDVEVLYGLPVNDFIQRPQDFKRSSLKVTALSAHMLEQQQLPDLATLDMIDQMARCYMGTCATRWFAHFSWTETTKIVLDVFRDALDSMTEDQFIWEPYLDDLIESLPDYCQIGRDIWRDIIEFHFLDRVLRQFGLKQTIPTPFLFDATHFHHDRRGRPNTNWKLEHAQWFPLWNQRLKYIFDAPQNLEMANMPHDQLDWRQGHVAMSHVPLLALSP >Solyc10g033575.1.1 pep chromosome:SL3.0:10:16009895:16011168:1 gene:Solyc10g033575.1 transcript:Solyc10g033575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYKGRTILRNTQEAVASYIDFVKRNLADESSFVVAKVPDIQRKPATIVSLKERAGDTDVVFDSVIKRLSNVTTEDNKLNTIMQETATVAHADIEEGEAYDKQIKPLPDLEKTSGAEKGPNVGATNTNKEKKKPKYPKIFDPTNPKRWFPKREMSSYRRILRERCQ >Solyc04g056457.1.1 pep chromosome:SL3.0:4:54179368:54181611:1 gene:Solyc04g056457.1 transcript:Solyc04g056457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTATYQENVEDFPMEFQNFNFKTNDIVLYESFNDIFPNPTNDDAFQNPSFLPDFLGSELGLDSSQMNLHSFGQPESQLMGISDDASRTFNSTSGYCLGKAPISNKLEHFPLNLGNNSVKGGVDEKRMDRKIRNKVSAHLSRQRKKHYVKELENKFRIFHSTIQHLNANLSYAMTENITLKAQLGGNGVPTQVPPPLGINPYPPPWMSYTPYYMMNRQGYQVPLVPIPKLKSQALAPAPKSNKEVKKKKSGVKTKKVASVSFLGVLFFILLFGGLVPLLKDRYGDKGEPFMSGESFVSGFYEKHHGRVLSIDEPVNGTGYSEKYDGKNHSSHCGQRGQGESNKQNTNKTGDEFVHVGNSSHPLVASLYVPRNDKLVEIDGSLIIHSVLASEKAMAFLGSAEKKNKEAGLAVPGDMAPTIPTVHPRHYRSPEVGQITLVSDENENVKSSILGWYLNGVAGPLLSSGMCTEVFQFDASSSAREAVVPVTNVRDTSMEQRHNDTCLHRNRRILNGPPVSLSRPSHNISKEQTGTNGKQENPNRNNSLSSLVVSVLVDGDGDGDGIMRTKSVSRIFIVVLIDSVKYVTYSCMLPFIDSAHVAEASSKNNFVDTIRSTNSPH >Solyc02g030360.2.1 pep chromosome:SL3.0:2:26017700:26025799:1 gene:Solyc02g030360.2 transcript:Solyc02g030360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTFGGNESGIMTKRVVGTYGYMSPEYAEERIFSVKSDVFSFGVLVLEILSRKTNRGFFHPNHNHNVLGHVYILFKERRVTKVIDAQLRQSCNLSEVQRSAHVGLLCVQQCPEDTPSMASVVLMLGSDVVLPLPKEPGFLMEEANPQPPMQTLHLASMAKLLSMN >Solyc06g048733.1.1 pep chromosome:SL3.0:6:31718023:31720960:1 gene:Solyc06g048733.1 transcript:Solyc06g048733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPSATESRNHSSYLVADGNAPPQYPVHRNKKVGHSIDKSYRITSFSNDFNFTKSKRYPNTIRSNIMIPSDLPCFPSSLPNFAGIAGNQLTQEHVHQLVHLLNQAKVTQPDANPVDQNTMTACAGNNFVSCLLSFNSKPWVLDLVASQNMFSDSNMFHALKSLPQPINVTLPNSSIVRVTHSGIVVRGDIQRAGVDFTETYSHVIKMTTIRCILAIAAKKDTPNTFLSTRREQCVFS >Solyc11g022480.1.1.1 pep chromosome:SL3.0:11:14045013:14045399:-1 gene:Solyc11g022480.1 transcript:Solyc11g022480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYHFHSSAKERLQFFLRFVAKSAMNDDGLITTNLDVYGEEEPWGIYSQGVPTGGLEADDDDYSYRYFITKKNNNNGNWKQQGEEIPIFFKIGNVSTSLVMGTKKKMHYVHEFGHWIMKQYKLSPVFF >Solyc11g012745.1.1 pep chromosome:SL3.0:11:5494088:5502018:1 gene:Solyc11g012745.1 transcript:Solyc11g012745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKHPTLNEKRVGNLVITRGWVLPAMSGANRGFTAAVLLELSADGPVHRIQYQKDGKIRCWQAAAEIVAVLAGVVEEEASVPLQFEKTQGNLLDVMMEGEGEEVEAELVSEKVIGLSNLSRFSGDAKGKLEVKPVDFNPLLSDAASSATRDERTEEVTGLGGGGRGGMLINCTEGGVGSVVLVTSIGRIPVFTGGAATLGDATFNENSSRESAWSAMPMLAFGHMQLLKLRRYNDNSNLSISSEPWRFPEQESAENTISKVLKGTNTPYSNNDLVPRMQAISIITKQ >Solyc05g006645.1.1 pep chromosome:SL3.0:5:1303840:1308772:-1 gene:Solyc05g006645.1 transcript:Solyc05g006645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGWRGSGSAGGADAAMRNRIASSKNIRLGKVQPQAPGHRTYILQEFTRSTKTVVIPIQHGYIKVLPRFTAYTCNVTRQFSADIVLYNINFVPRCAHLPVEALIGDGNSVSTTKYDIITFLPKGLFEQFRRVANLYFLMISILSCTPVSPVSPITNVLPLSLVLLVSLIKEAWEDWKRFQNDLLINNTSIDVFQDQQWVSVPWKKLQAGDIVRCELGECGRIPEREATPFYLQFWKEIVSTPQILEIQVKQDEFFPADLLFLASTNPDGVCYIEVVLDLINTIFYNFLYEKN >Solyc01g086880.2.1 pep chromosome:SL3.0:1:81678771:81680543:1 gene:Solyc01g086880.2 transcript:Solyc01g086880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDCEVFVCVCPIDLPVFDWKLESHVRKKRLLNYSIEFGIFIFSGNCVEYYLTNCLPMTEEYELSTYDCHKLNYNHLKKFYIFKFYSIYT >Solyc01g111200.3.1 pep chromosome:SL3.0:1:97451367:97453786:1 gene:Solyc01g111200.3 transcript:Solyc01g111200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVSAWAKPGAWALDSEENELELQKEESVKVENHSNGGGAGGLADFPSLAAAATTKTKKKKPQTLSLQEFSTYSAAKQSQTAAAASTKGLTPEEVLMLPTGPRERTAEELDQSRLGGGFRSYGYDRQGGRGSSDDSRRQGGFRRDTDREIAPSRADETDDWGAAKKTSAGNGFERRGERGERGGFFSDSQSKADESDNWGANKAFVPSSGRRFDRRVSFGSNGSDSDSDRWTKRKEEEGGRRFASGGGAFDSLRERRGGYDSNGGVDSENWGKKREENGVSAGGGRPKLNLQPRTLPLSEGQQNGNEPVPAPVPAPVAKPKGTNPFGAARPREEVLKEKGRDWKEIDQKLESLKVKEASESSDGAPIPKKAWGSPNGKLIFREDKTEKSWRKPELNEVPPSSAEETVNETAAESGGEPQI >Solyc07g040780.3.1 pep chromosome:SL3.0:7:49834512:49866881:-1 gene:Solyc07g040780.3 transcript:Solyc07g040780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMDCRNWEEDIYRDSIILERESQCRTVFRTAFAPNPDHNSYPDLIVAAISDGSIAAYSISSCLGLNSAGNSLVPVAEPSWLVQGHDGPAYDVKFYGNHEDSLLLSCGDDGRIRGWKWKEMLGSTESAQGGVSKPVLDLVNPQKKGPWGALSPIPENNCVAVNTQTGSIFAASGDSCAYCWDVETNEIKMVLKGHSDYLHCIVARNSHNQVITGSEDGTARIWDCRTGKCIQIIDPQKDKKLKELYPYVSCIALDASESWLACGSGQSLSVWNLPACECVSGITTSAATQDVSFDDNQVLSVGAEPLLSRFDLNGGIISQIPCAPQSLFSISAHPSGVTAVAGYGGIVDIVSQFGSHLSTFQCRGVQKGLPMQLI >Solyc03g006130.3.1 pep chromosome:SL3.0:3:782757:790306:-1 gene:Solyc03g006130.3 transcript:Solyc03g006130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRWNIYGIKLLFLTILILLLEVHECCTLNSEGLSLFEFKLNVYSDPNGVLENWNADHCDPCMWSGVECLDSKVHMLNLHGCSLEGTLASELGNLTHLRSLVLSGNHFFGAIPKEFGRLGMLEVLDLRDNNLSGTIPAEIGDLQSLRILLIHNNNFEESVPLEIGKLHVVTELQFDENLTSAFASGTLCIRKFRHCIWQGSMKPFKTITSLIEPIKCTLMHYLSFFQLPSLGTGFMVDQKDCSDSLPRLSRPHIVQTIQHQEEIARRKLAEQSSNLAAAPANIKGPLGPVIQMPRSSGSFRAVPNSDGKHPTNLPSPPPPHESQDKLHPAGQSASAVKQSPAAKNPTNSQGPPAGKSESTWKYVVGICIGVVLLILVASVFLICRIRAARTIVPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIITSGNSYIVYKGTLSSGVEIAVISTTISSLQDWSVHSETAFRKKIDTFSRVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLFEHLHVEEADHLDWPARMRTVMGTMYCLQYMHELNPPVPHCNLNSKSIYLTDDYAAKITEIDFWSELTAKSKSSSDDLENSVLPPLADPETNVYSLGVLLIEIISGKSPYSEEKESLLNWAAQYLRHDKQNIASLVDPSLKSFKNNELMVICEVIEQCLQEDPRKRPTIKESIDKLREAIDVSPNAAVPRLSPLWWAELELLSSEAA >Solyc03g111975.1.1 pep chromosome:SL3.0:3:64027789:64028256:-1 gene:Solyc03g111975.1 transcript:Solyc03g111975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPWYSVVVPLFVFIFFLHNCFTTSNNNKKNIPPSPTKLPIIGNLHQLGSYPHLMLLHLGSKPVIVVSSAEVARDIMKTHDLVWLNRLKSSIADGLLYGSTDMTFSPYGEYWRKIEALLCFTFSATKEFNLIMILEKKKCQT >Solyc06g067960.3.1 pep chromosome:SL3.0:6:42237042:42243202:-1 gene:Solyc06g067960.3 transcript:Solyc06g067960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLSQMMLKRFAAYPLARSSAITSRSIHQGEVRYSTSVPSDPDTHEDFRPTSKLESSGLSLENIVEQDVKDNPVMIYMKGVPDLPRCGFSSLAVRVLKEYNAPISARNILEDPELKNAVKAFSHWPTFPQIFINGEFIGGSDIILNMHQSGELKEKLKTIVNNQGKEE >Solyc09g074630.3.1 pep chromosome:SL3.0:9:66876331:66881676:1 gene:Solyc09g074630.3 transcript:Solyc09g074630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISDVSSDLTIEVGSASFALHKFPLVSRSGRIRKLLLDAKDTKISRLNLTGLPGGSDAFELAAKFCYGVNIEITILNVALLRCAAKFMEMTEDISEKNLETRTEVFLKDSVFPNISNSISVLHRCETLLPVSEEVNLVSRLINAIASNACKEQLTSGLSKLEYNFPPKPVQCLDSETPSDWWGKSLAVLNLDFFQRVLSAVKTKGLKQDIISRILINYAQNSLQGIFIKDPQLVKGSFLDLDLQKRQRIIVETIASLLPTQSRKSTVPMAFLSSLLKSAIAASASTSCRSDLERRIGLQLDQAILEDILIPANPHGNNHSPLYDIDSILRIFSFFLNLDEDDDEDNQLRDESEMVYDFDSPGSPKHSSIVKVSKLLDNYLAEVALDSNLTPSKYIALAELLPDHARLVYDGLYRAVDIFLKVHPNIKDSERYRLCKSIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFGMNNQFPQRSGSGAGSGCISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVKSHPANKLFKSFTKKLSKLNALFRIRDLKPIGGKANSESRLLFQKRRRRSVS >Solyc09g082360.3.1 pep chromosome:SL3.0:9:68526718:68527457:-1 gene:Solyc09g082360.3 transcript:Solyc09g082360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGDLRILEKFTQRSQLLQGIEKIRVAVLELEPHTFLIPHHCDGEIIFVVVRGQGTISIAEQDDKNSFNLEKGDVFRVPAGSIIYLINRDKEEKFFVYGLAKSINAPGKLHEYFSAGAENPESFYRAFSSDIVESAFNVSPA >Solyc08g082060.3.1 pep chromosome:SL3.0:8:65086446:65091173:1 gene:Solyc08g082060.3 transcript:Solyc08g082060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKMLFHWKSWWKSVYKQNHFVLKLGFSILLVGLAFRLLFSRSDVISEVQETPFVQKTLFSPPPVSFSLPEVADQIGPEEQAGTCNLFIGDWIPDPDGPVYTDETCKFIEDHQNCMKNGRPDNGYLYWRWNPRNCKLPRFNPLKFLQFMRNKTWALIGDSISRNHVQSFLCVLSKVDEAVEVYHDKEYRSRRWLFPSYNFTVSVIWSPFLAKADIFEDYNGVSTSEIELHIDKLDTSWTDQFKDFDYMIFASGEWYVKTAIYYENDTVLGCHYCPKKNFTELGFNFAYRKVLGNVFNYILSSNHKGMIFFRTATPDHFENGEWFSGGSCKRTKPVKEGNFTLSEVNKILHEIELEEIGKATAKASEKGLNLKLFDVTSLSLMRPDGHPGPYRHFHPFAKDKNAKVINDCLHWCLPGPIDAWNDMLMEMVLNGQ >Solyc02g078970.3.1 pep chromosome:SL3.0:2:44129551:44135491:-1 gene:Solyc02g078970.3 transcript:Solyc02g078970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLQSSYEDESPDSSPIPKPLDPTQHVVGFNPTYEQLWAPICGPAHPYAKDGLAQGLRNHKLGFVEDASIEPFVFDEQYNTFQKYGYAVDPSENSYIGDLEKMKECDAISVYNIPQHEQKKRKLEKKKEKMEEEGDEQDVDMTEVENPSTDTWLMKNRKSPWAGKKEGLQVELSEEQKKYAEEYAKKKGEERGGDKDKAEPMVEKSTFHGKEEKDYQGRSWIAPPKDAKAANDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVYNSGKCMRTYMGHTKAVRDIWFSNDGTKFLTAGYDKYIKYWDTETGQVIQTFTTGKIPYVVRLNPDEDKQNVLLAGMSDKKIVQWDINSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEYGIPVVIKYISEPHMHSMPSISPHPNGNWIAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVFSGDGEGRCWFWDWKSCKVFRTLKCHDGVCIGAEWHPLEQSKVATCGWDGLIKYWD >Solyc09g055263.1.1.1 pep chromosome:SL3.0:9:38611381:38611905:-1 gene:Solyc09g055263.1 transcript:Solyc09g055263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFHPYLDQFVVIYLDDIVIDNNSMEDHVEHLCKVFKVLRDNHFCVKRENCSFAQPTVQFLGHTISHGEIRMDGDKVEAIRNWQAPTKVPELRSFLGLANYYRRFIFSYSVIAAPLTNLLKRNREWEWTDACQTAFEKLKTTVTEEPILTLLDFTKALRFIRMLQILLLVAS >Solyc03g034240.3.1 pep chromosome:SL3.0:3:5963049:5967947:-1 gene:Solyc03g034240.3 transcript:Solyc03g034240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSCFCHFSIPHKLQRNQTAPFGHGSKSSVLSRQQYFLGGSRVITSPYLPKTILQRRSSRVSASWLASCEVVKSVFPLGTIAVLPFYVFMVVAPKAKLTQKMMESNIPYIVLGLLYSYLLYLSWTPDTLQLLFPSNTSWIPEVCSTIFFTDSEFTFTLAGIAKMFSREITLASAWIHLLIIDLFAARQVYHDGLQNDIETRHSIPMILLCCPIGLVTHLVTKKLYSLREIKNN >Solyc02g084075.1.1 pep chromosome:SL3.0:2:47826495:47827874:1 gene:Solyc02g084075.1 transcript:Solyc02g084075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTNTQTGSFQDVATPNSLFGLGIEKISVPSTVSQKFDLNDNWRVVGGDESKEGGVKEHRGKKVLETSLVALIIIKS >Solyc04g080450.1.1.1 pep chromosome:SL3.0:4:64712231:64713784:1 gene:Solyc04g080450.1 transcript:Solyc04g080450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4BVC4] MNGATGTQVNTANGGGGEPVGVQIQQSRRLPDFLQSVNLKYVKLGYHYLISHLLTLCLIPVMAVILIEASQMNPDDIRQLWLHLQYNLVSVIICSAVLVFGSTVYIMTRPRPVYLIDYSCYKAPEHLKAPYERFMQHSRLTGDFDESSLEFQRKILERSGLGDETYVPEAMHQLPPQPSMQAAREEAEQVMFGALDKLFANTSVKPKKIGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKSVDRRRAKYKLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFGSLIIKKIFNKHIKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLTQVHVEASRMTLHRFGNTSSSSIWYELAYIEAKGRMKKGNKVWQIAFGSGFKCNSAVWQALRNVKPSPDGPWEDCIDRYPVKVVS >Solyc07g049650.1.1 pep chromosome:SL3.0:7:60147955:60148551:1 gene:Solyc07g049650.1 transcript:Solyc07g049650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCLPSSQDADELIVPFHASSRAI >Solyc06g036460.3.1 pep chromosome:SL3.0:6:26111403:26113072:-1 gene:Solyc06g036460.3 transcript:Solyc06g036460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYPKILANNFNSNMEEEEEEESAFIEMDPSLPLSKRYFINFRIPDQEKMEADKRSFSIIQKLLDFLKKMSFGCKSGTSTGVVEGAMLMKKWESSEGTTLTPRTSIANYSEEDWRRSCDSDASIYEAVLHCKRTINGVMSNK >Solyc01g098090.3.1 pep chromosome:SL3.0:1:88561649:88576267:1 gene:Solyc01g098090.3 transcript:Solyc01g098090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYFLRVASAGNTSNSVRPRKEKRLTYVLNDAGDTKHCAGVNSLAVLKSPATDGCEYLFTGSRDGTLKRWALAEDGATCSATFESHVDWVNDAVLTGSNTLVSCSSDTTVKVWNGLSEGYCIKTLRQHSDYVTTLASAEKNSNVIASAGLGGEVFIWDLEAALTPTSKSGDATEEDCSNGVNGSGSSLPMTSIRPISSGNNISLQTQSQVYIPVTAKGHKESVYALAMNESGSLLVSGGTEKVVRVWDPRTGSKTMKLKGHTDNIRALLIDSTGRFCISGSSDSMIRLWDLGQQRCVHSYAVHTDSVWSLASTPTFSHVYSGGRDLSLYLTDLATRESVLMCTKEHPILQLALHDDGIWVATTDSSVHRWAAEVRNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPSLSIPGTPGIVQHEILNNRRHVLTKDTAGTVKLWEITRGTVIHNYGEVSFEKKKEELFEMVSIPAWFTVDTKLGNLSVHLDTPQCFSAEMYSADLHIPGKPEDDKVNLARETLKGLLAHWLAKRKQRFGSQALANGEAPAGKDVPTRNLTASKIEVDGSADNDAAVYPPFEFSAACPPSIITEGSQSGPWRKKITDLDGTEDEKDMPWWVVDCVMNNRLPPRENTKCSFYLHPCEGSTVQILTQGKLSAPRILRIHKVVNYVIEKMVLDKPLDSLNSDGAAGVSGGQVTHPANGGDGIFRTGLKPWQKLKPSIEILCSNQASLIP >Solyc08g062280.2.1 pep chromosome:SL3.0:8:50901368:50902822:-1 gene:Solyc08g062280.2 transcript:Solyc08g062280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSVSTRLYKEMMSLENRTKLAIYIHVNQPFMKNKKIQVKMSIILDNDFYLNRGDPKGTDWVPPECDVSIRPGWFLHKSQEPKSLSELLEIYYNSIGRNCVLLLYVPPNTQGLISNSDVQRLKEFTSAIDTIFSTNLAKECSIEASSQRGGKNGGFGPENVLDDDNLWTYWDPKDDDKEHN >Solyc09g005030.2.1 pep chromosome:SL3.0:9:21537:28217:1 gene:Solyc09g005030.2 transcript:Solyc09g005030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEDSGETGCNSKTSCNFENEVLEENDASSKFKDGGSSSESTLEESEKIKPCVRPYVRSKMARLRWTPELHLRFVHAVERLGGQDRATPKLVLQMMNIKGLSIAHVKSHLQGCLSKILMSPTSLPKGLDIITSFLWKVEIQIFNMIQFPRFPTYHQRLNSTFRYGDASWNCHGNWMPSNTMGQRVPSFINERSTIQRNEIKDATGSSIGSPSGELTRLFHIASKAQARAFVGNGITSPPNLERTITPLKRKVSYSDQVDLNLYLGMSRPRRGYNQNGKNNKRVKNKTAQVIPEPKNDWLCRQCNNMISAKITTAHAHRDACNKIKEAQVRVAANARQGIY >Solyc01g103240.3.1 pep chromosome:SL3.0:1:91769569:91771815:1 gene:Solyc01g103240.3 transcript:Solyc01g103240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKVTNVYLFVQDRIHVEKELSGFPPYGKWKTALSIFTLSVDSVNISVFAMKKSILFISCKLFDSSSSFLYSRLLIFVFDTKKNQDWYSKCSCLKPTKWLKGKVIGSGSFGSVHLAMDKATGGFFVLKSTESEAGFKCLENEIEILEDLDSPHIVKFIGKDLSFEANGKRKLSLFLEFMTGGSLADVAEKFGGSLDEEVICLYTKGILKGLRYLHENGIVHCDVKCKNILLGSSGEIKLADLGCAKRIKDYYHEVKCNTKSLSKSTGGTPLWMAPEILRNEELDFAADIWSLGCTIIEMATGKTPWGGDICTNPLAAVMKIACTNEMPQFPSNFSDVGLDFLAKCLERDSKKRWNVEQLLHHPFVSKGTSVKNNNFKVASTPASVLDGGIFSEMDFSDDLSSSDEDEYTSGNALRDRGIRKLDQCEELLVKFPRKSCFFFEEVVNRLGELLYFMICILSIRLFIILFSFIFSNRGDQIIYDIILINRK >Solyc07g040990.3.1 pep chromosome:SL3.0:7:51606095:51609075:1 gene:Solyc07g040990.3 transcript:Solyc07g040990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMFPTLAVSYKQGKLIYDESVLPTCIDFAGYELIPNTTSLLSEPNKCKLPCSVSNHRVSRDKANLFMTVADCHEIRIGKSFSSTVVGNGNCLIASEVEDGRSMDNNLISPTDELLGNMTCSDSLVDERVSMPNQECTGLEVKVGKMPPRDEEKKVGVSQILRKSFSCSLANELVNESQLVSDIVSTMVVGAEDYKRKLSPSHLETSQEIKISRPNTLCFDSVPLWGLITIQGKRPEMEDTAIALPKFLKIPSHILTDAPVSHALSQTLTAHLYGVYDGHGGSQVANYCHERLHMVLAQEIDIMKEDPHNGSVNWKEQWSKAFLNCFCRVDDEVGGFCSETDGIEPDLSVIAPEAVGSTAIVAVVSPSHIIVANCGDSRAVLCRGKLPMPLTIDHKPNREDECSRIEELGGKVINWDGHRVSGVLAVSRSIGDRYLRPYVIPDPEMMFVPRAKEDDCLILASDGLWDVLTNEEACDVARRRILLWHKKNGGTLSRERGENVDPAAQDAAEYLTRVALQRGSRDNISVIVVDLKAQRKFKKKT >Solyc12g094670.1.1.1 pep chromosome:SL3.0:12:65812267:65813739:1 gene:Solyc12g094670.1 transcript:Solyc12g094670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDWEIFVIVSPEHTHVISRNDPYICLYGTGEISPAIPAGELNFPVRSMFKCEFPKRARRRLPFKPPVLMKSTENRNVSMISTMPELLRWTFLVYDSLTTDEDIVLFVKGLNNRQGINREPNEFNCIFGYGGNNVIRTNVTSSIQEVFRCNRPDPNVLGGEGVSISLENLLPVPMVVPSVAYYNAPRKLATNKKSEKARLCACTMVYNVGKFLKEWVVYHSKIGVEKFVLYDNASDDDLGKVVDELVHDGYDVKTYFWVWPKTQEAGFSHSAIYAKDSCSWMMYFDVDEFVYSPLWANLSRPNESLLHSLLPHHKNIQDPLLDKRQVGEISIPCYEFGPSNMNAHPITGVTQGYNCRRKFENRHKSIVFLDAVHHSLLNMIHHFILNKRYRGKKMSVHDMAVNHYKFQAWPEFKAKFRRRVSAYVIDWTKELNPRSKDRTPGLGFSPVEPKGWPLKFCEVYDNGLKDLTRRWFTLKSPTPLHDYRMEWQR >Solyc01g091700.3.1 pep chromosome:SL3.0:1:85173585:85175504:1 gene:Solyc01g091700.3 transcript:Solyc01g091700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVSSIDTNCDDGLNYLPFKPKLDDIPEACVALLLSYLDPPEICKLSRINRLFRAASSADFIWEPKLPSNYNYILQKLLALNVDDALCKKDIFAKLSSPRSFDGDTKQVWIDKKNGGVCLAISSKGMSITGIDDRRYWNHIPTDESR >Solyc02g065800.2.1 pep chromosome:SL3.0:2:37508100:37513299:-1 gene:Solyc02g065800.2 transcript:Solyc02g065800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKKWDQARFEYLVNRWLEKLLPCSLVMANSSCCNNVPGVCVFSGVNWSEFKDPRIVRVSRAFGGKDRHSKVLTVKGLRDRRVRLSVPTALQVYDLQDKLGLDQPSKVVDWLLNEAKHDIDELPPLQIRDQTGLPLTGLRKEEEETMVVSDEDRVKLDVRNT >Solyc05g008010.3.1 pep chromosome:SL3.0:5:2433476:2436216:-1 gene:Solyc05g008010.3 transcript:Solyc05g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPTAIKEIRKFAVKAMGTKDVRVDVKLNKHIWSRGIRSVPRRVRVRIARKRNDDEDAKEELYSLVTVTEIPPEGLKGLGTKIIEDEE >Solyc10g012140.1.1 pep chromosome:SL3.0:10:4483839:4485061:-1 gene:Solyc10g012140.1 transcript:Solyc10g012140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFTFSSLKSLHVLDLSRNKLSGIVPKYLEGFALHFLNLWFNDFEGAFPQRGIFENASVDSFVGNPRICGGIPGLKLPNCNFSHLKKINYKLVILVILGILGLVVTVCALFFYRFGRSKRTFHNNLNQLIAMSYQSILKVTNEFSTSNLIGVGSHGYVYKGILETDAIKSFIGECEALRNVRHQNLVKLLTACSGVDYRGNEFKSLVYEFMAQPRRVRICTKAEYLTLDATTLTQLPLYEYGMGSEASTQGDVYSFGIVLLEMLTGKRPTYDMFGGNLNLYYLVRNAMLDGAIEIVDPRLMRRQKMKEIVRDSLVGCTI >Solyc03g025300.1.1.1 pep chromosome:SL3.0:3:2769084:2769269:-1 gene:Solyc03g025300.1 transcript:Solyc03g025300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLIKIIQNHIRRSNLIPRINNVANSVTSTCNRYKTYKFAISFHTIDIYSNNFIIIELKL >Solyc01g010540.3.1 pep chromosome:SL3.0:1:5497777:5500679:1 gene:Solyc01g010540.3 transcript:Solyc01g010540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRCKRELWRVQYALSRIRNAARMLLTLDEKDPRRIFEGEALLRRMNRYGLLDESQNKLDYVLALTVENFLERRLQTLVFKTGMAKSIHHARVLIRQRHIRVGRQVVNVASFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKSAAKKAAGGDGDEEDEE >Solyc02g005510.3.1 pep chromosome:SL3.0:2:9436522:9452499:-1 gene:Solyc02g005510.3 transcript:Solyc02g005510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTLQARLISFTSLSQTLYRNNGSSNTHVAGTGVMCSNLAVSAKTNTHYGIKTLSSAAVLSTKKCVPCNTKDLRPMTEEAAYQLMPQVSGWDLVNDGGTLKLHKSWKVKTFTKGLEFFQEVASVAEAEGHHPDLHLVGWNNVKIDIWTHSVGGLTENDFILAAKVNGLDVQDLLSKKLSKPAQSSG >Solyc04g007450.3.1 pep chromosome:SL3.0:4:1112941:1119528:-1 gene:Solyc04g007450.3 transcript:Solyc04g007450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSFYLNIPFYVSNLLKSKEKKIYQTNFLYPNSQKKIKMGYPIQSDIRSAIQIAAAFRHHNQYRYRRLPPAVISYRNAPNCCFTVNSSFKLRAFSTNDGDTTVQKVCNKPSLCTADELHYVSVNNSDWKLALWRYIPPPQAPKRNHPLLLLSGVGTNAIGYDLAPGSSFARYMSGEGFDTWVLELRGAGLSVQESDSTNIEKTAIAVSEQMEAAADNATDKVLSAAQQSTDSQSTLEESDTAVVKEESTAISTLWDESRVVNELTETFILLSERVSGFLNESQSRIMSAKLFDQISKLLEDSFLYERFNETRGKLLSLLETGQNSAVVDQVKDLSQKLVNIIEEGQRSVSPLVDLHERLTTTIEDFQKQLDLIVKYDWDFDHYLEEDVPAAMEYIKAQTAPKDGKLLAIGHSMGGILLYAWLSQCGLQGREPGLTAIVTLASSLDYTSSKSALRLLLPLADPAQALNVPVVPLGALLAAAYPLSSRPPYVLSWLNDLISAADMMHPELLKKLVLNNFCTIPAKLILQLTTAFREGGLRDRSGKIFYKDNLHKSNVPVLAVAGDKDIICPPEAVFETAKLIPEHLVTYKVLGDDNGQHYAHYDLVGGRMAAEHVYPCIIQFLSRYDDTI >Solyc09g031523.1.1 pep chromosome:SL3.0:9:30814407:30817862:-1 gene:Solyc09g031523.1 transcript:Solyc09g031523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLGLRESQTENRENSHRREVTLCGFHVRSSTFTASTTTIIALNIVAIVIPLPTISIALSNPISYNKTNFDFGMGPHFRPFFQKTSSIYAPLLVVALNSKFRQGFLVRDFTFMVEGSQRLARCLFVITEKHPQVTYTLLLRVPRLTVINALSLVAQSLSYRIGLAIYMPMYYFFPNK >Solyc04g015500.3.1 pep chromosome:SL3.0:4:5715812:5718766:1 gene:Solyc04g015500.3 transcript:Solyc04g015500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQKLMQKIGNSLPEEEQPVEMVDRREDHLAETAARREKQPAGMASRQGQGEPRPVDIPERTPHFFKIILSPHASKLHIPDEFVTEHGANLGDIVLLEVPNGVVWKVRLLNSSGMVWLNEGWNKFKEYYSIGCGYFLLFRYKGNSQFCVFIFDLSASEIEYPPGPNEDMTPENRSVLCVRLEENGINLPPPGEPPKEKRINLTPPNDPPEEDVIYLPPPGIYTMEELSLISMPSRPKV >Solyc06g074010.3.1 pep chromosome:SL3.0:6:45891545:45903264:1 gene:Solyc06g074010.3 transcript:Solyc06g074010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNQQRPSKRQLPFTSSKPPLGDYHRFSTDPLHPSSQELEGIAVKSTPLKRKSGTINYEQGVGAQVSGCESVYVSSPMLTPISGKAGKSQKEPRASKARSAAQATSSLGSPSGNNVTPVGPCRYDNSLGLLTKKFINLLKHAKDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNMIQWKGLDVSRPGEVDESVTSLQAGVENLTIEESRLDEQIRKMKERLRDLSGDENNKRWLFVTEDDIKSLPCLQNDTLIAIKAPHGTSLEVPDPDEAVDYPERRYRIVFRSTMGPIDVYLVSKFEEKFEEINTVEEPSTMPSTSGFIENETATLPVDDGGGVGVGVLEQENQRECPDAGTSQDFVSGITKIIPDVDNEADYWLLSDADVSITDMWTESALNWNALDAINEDYSIADVSTPRAQTPPSSTIEVPSGKSTGRSWQFESQGNELHCTPLKRKSETANHEQGVGAQIAGCGNANVSSSMQTPISGKAGKSQKAPKSSAQATSSLGSHSGNNVTPVGPCCYDNSLGFLTKKFINLIKHSEDGVLDLNKAADTLEVKKRRLYDVTSVLEGIGLIEKKSLNMIQWEGLDVSRPGDVDESVTSLQAEVENLTIEESILDEQIREKQERLRVLSEDENNQRWLFVTEDDIKSLPCLQNYTLIAIRFLHGTSLEVPDPNEAVDYPQRRYSIVIRSTMGPIDVYLISKFEEKFKQITTVEEPSTMPSTSGFIEKETAALPVDDGRGVGVAMEEQENQRECPDAGTSQNPVSGIIKIVPDFDNQEEDYLFLSDAHVSNTDMWTESVRDALDSIYEDYSIADVSSPHAQTPLSSTTELTSANTTEAE >Solyc05g041140.3.1 pep chromosome:SL3.0:5:50437498:50441219:1 gene:Solyc05g041140.3 transcript:Solyc05g041140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLCVVGFVVLLAIAGADGAGECGRNSPDMEAMKLIPCAEAASDENASVSRSCCLQIKKLGQNTKCLCAVMLSNTAKSSGANPVVAITIPKRCNLANRPVGYKCGSYTLP >Solyc05g012040.3.1 pep chromosome:SL3.0:5:5269164:5272957:1 gene:Solyc05g012040.3 transcript:Solyc05g012040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLSYALEGRGLIFPDNVELSVDTVSRNRSIVKEWNLNPFCDVDKSICGFSQEVTENTEFLGSGIADILKKSAASNPCPGVLSGEMSDGCGKMLSSTSMFNSFEPIPGEVGLGAMFNNSATKSNNPMSSLIDLKLEELTDHGELRTNQSSKESSILSSPESSLAAGKAQTKSSHSRFSISQVHDQKEEESTTVYREVKSNQSLKRNSALTSVNSSLQGKRLRTTNFHSEIPVCQVHGCNKDLSSSKDYHKRHKVCNEHSKTAIVIVNGIEQRFCQQCSRFHLLAEFDEGKRSCRKRLAGHNERRRKPQFDTHWGSRFLDMTSQRRVPFLFPEIFPGSFFYQENYEDNNNSKHPKLEHKPFGISQLAISVKNEQFPAKSIQHQYGMRKQDPSKVHTGGTTLSIQEFSKGQNSSCALSLLSAHSQNLLHNSTDVSPTLRWTVEPNHHVYVKGRDHNLEKSPRVSVVKSLTPTELYSSDVAEQDVVVQVPDCEAVSFGIQRDHGHDQRSNSINSKNCLSLEGGPTMDLVQLSSHLQRVEQQKNSVQVKQENDIFCSFTST >Solyc11g006800.2.1 pep chromosome:SL3.0:11:1382719:1383863:-1 gene:Solyc11g006800.2 transcript:Solyc11g006800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEKVCTFEQFVSELNPEEKIVLVTQWYLQTLIDEGDSYFQTPPPSIDPKGNDEVKKANDEKTDRKGKGIAFEK >Solyc06g072370.3.1 pep chromosome:SL3.0:6:44784286:44786257:-1 gene:Solyc06g072370.3 transcript:Solyc06g072370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNGGMHIRNWSYFEPTPTVPKGHLGLQFVSSMNEKPPHFRNIHDNHQQQQQSHQPDHPSVMASTNGGAFHHHRVCGLSESPMPMEYMRDSWVNQKDYREKYLNVLSSMQMHQQPNLVKVETAPLVEEVCQEGDNIGGLAKKRGAGQSQELKSPKPKKAKKATRAPKDESTSSPPRARAPRKSAEVVINGINMDISVIPIPICSCTGAAQQCYRWGCGGWQSACCTTNLSSYPLPMNVKRRGSRIAGRKMSLGAFKKVLEKLASEGYNFSNPIDLKPHWARHGTNKFVIIR >Solyc04g025110.1.1.1 pep chromosome:SL3.0:4:25534673:25535065:1 gene:Solyc04g025110.1 transcript:Solyc04g025110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRINKGSQRVDMVKMKNARNLQVTFSKRLAGLLKKALELCMLCGAKIIIVAFSPSDNGDFSFGPTSISPSVERFLGRKFPQPNNDVHNQQIVALIEGGICELNTKLRNLEGILEMEINRGPSLGELGR >Solyc07g021385.1.1.1 pep chromosome:SL3.0:7:17942396:17942413:1 gene:Solyc07g021385.1 transcript:Solyc07g021385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSISL >Solyc01g110880.1.1.1 pep chromosome:SL3.0:1:97295719:97296015:-1 gene:Solyc01g110880.1 transcript:Solyc01g110880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRLLSMISSVKQFNKLHSVVTRKQISDVPKGHLAVYVGETEKKRFVVPITYLNHASFQELLRKSEEEFGFQHPMGGLTIPCNEEAFFHVTSRLNRA >Solyc09g050060.1.1 pep chromosome:SL3.0:9:35566078:35566407:-1 gene:Solyc09g050060.1 transcript:Solyc09g050060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNDEIFHAESFLPNGKYWLMDVCMYVGDWFRCKTMGKGIFSWPSREISRLDLWMEMVPIRVLVGRPGPPPSLDLKPSEFGAPPPYPSCEFR >Solyc02g032015.1.1 pep chromosome:SL3.0:2:27782699:27791938:-1 gene:Solyc02g032015.1 transcript:Solyc02g032015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAECLTSVKTLDSCHLHLVANCCIVRYFLGRSPRGLFFSDGFEIQLNAFRDFDWAGCPDTRRYVMGWCRFLGDSLLFWKGKNQDRVSKSSTKNEYRAMSTCLLTSTITKGRLLATALAYECPWLQRIRATGLRVRREAYVFLELFNTLSILFRKGS >Solyc08g007030.3.1 pep chromosome:SL3.0:8:1596800:1602535:-1 gene:Solyc08g007030.3 transcript:Solyc08g007030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIEEKKMVEIFLKIIGPSPPCRLNVPSSIKVHELRNMIAGNRHMPIENLRLVLQGRVLHDSENGDDEVVQLNNGDSLIVAVKPKPPPRHFRDEFEDDDDDELRFQLSPATSKWKRKLFYLLRDKLKFPDMLLMVIFSISPKVWVIIMTWFILASIARRYEVAPLFLLATGFGLIFYNLGHRKQGELSAYSVFNEDFRELPGTLNAEHIDRDIRAGRF >Solyc09g009900.3.1 pep chromosome:SL3.0:9:3382841:3385824:-1 gene:Solyc09g009900.3 transcript:Solyc09g009900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSNPAVFVNAEFLKEHVGRKVRTVVQVMQSDGDKVIGKSTDEKELVVKGNPPNALSTFVEVIGIADTIQSIEAEIWTNFGDTFDVNSYDKVCRLANGDSKHLFI >Solyc07g042100.3.1 pep chromosome:SL3.0:7:55201715:55203543:1 gene:Solyc07g042100.3 transcript:Solyc07g042100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWIQTKFNGKQTTCKSNPVAVTHHMLHQEFSDWPNELLAIGTFGSLKNDGKLKQQDQSLTVEEVGQLHKELKHLFPDHEESNKIGSNKDLDKFFDCLQNLVDDDDHRVIENKENIFKRSNSTLVHVRSQEQSSKLDNMTNTGISKKSLSYLLKKTLFCSAGFAAPLRDNPLLPHSKIQKSRMEKILRAILHKKIYPQANSPRGNGSTTRKRYIDNTCVIESDTDQDDEAFDTTVKNGSKWDKSDSDFIVLEI >Solyc10g051393.1.1 pep chromosome:SL3.0:10:52005803:52006020:1 gene:Solyc10g051393.1 transcript:Solyc10g051393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIKGTINYGVKFHKCQKFRLNGFSNSDWGGALDMSTSDFCFNFGSFFLVFQQTRYSSTINNREKIYHCNNS >Solyc11g018710.1.1.1 pep chromosome:SL3.0:11:9245468:9246061:1 gene:Solyc11g018710.1 transcript:Solyc11g018710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTNTTPRYGQKATTFSTPIFTLSSTMPYHSSFGSLSLHDQKGVPFSWEHIPGIPKQQSSRKNSSSLSQLLPLPPPSRKPPNSSKKIQRLHDEFSPRKISSTTSEGFKKDPFFAAFVECSKDHQEHHENFSDMWKNTTTSSSKVMNSSARTSLSDKFGFMNMYASCKRTCTVSESVVYLPRSRNYDLLRTRRTSQ >Solyc07g042470.3.1 pep chromosome:SL3.0:7:55781800:55786710:1 gene:Solyc07g042470.3 transcript:Solyc07g042470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEHGGGGSKVTGIRQIVRLKELLHKWQNVTLSPKGTNSCHEHDQHPLQSSNIDSSSGNFHGGISPAITKRLKNSINVYCDSDDESCQSPEPPHGVPKGYLGVYVGPELRRFIIPTTYLSDPLFKVLLEKVEEEFGFDHTGGLTIPCEIETFKYLLQCMESHQRGVDPQHDSSGSSLAIKGGSRFNMGTTKSAYLEVGLIELW >Solyc03g114420.1.1.1 pep chromosome:SL3.0:3:65905819:65906334:-1 gene:Solyc03g114420.1 transcript:Solyc03g114420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKRGKQIMSRLHVDQLDQLRNIFSRFDMDDDGSLTHLELAALLRSLGLKPNGDQIHVLLAKMDSNGNGSVEFDELVNAITPDLINEQVLVSQGQLLEVFQSFDRDGNGYITLAELAGSMAKMGQPLSYRELTEMIKEADVDGDGVISFNEFATVMARSAADFLGVPIL >Solyc09g015473.1.1 pep chromosome:SL3.0:9:8940423:8942119:-1 gene:Solyc09g015473.1 transcript:Solyc09g015473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKAIKTKKESDDKFWDFARQFFFGIWGFRQRPYTPGRPINAAQAIGYKRLEKRYHDFIMKSGGWFYKDRLGRSRGPMEFIQLKTAWDAGIIDKHTFIWGEDMDEWAPIGMVYGLGKAIATWEVRLGAAATALLHKLQKGIPPWVPLRVHEPDI >Solyc08g074560.3.1 pep chromosome:SL3.0:8:58784142:58799712:-1 gene:Solyc08g074560.3 transcript:Solyc08g074560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGICTSCLYSSITPLSIEYRNRRKLLNTVRKNRSLSTRICAASSVSTVQTPSEKTALLVKDISRTSALEQLDIERGVCIPFRKYSPESVRNKVLESRGQIFSLVGRGIEIVWSLGLYWTTLVYDYLVGRDEEVVPFRARQLRKLLCDLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPAFPNQVAFNIIEEELGQPLEAVFSKISSETIAAASLGQVYRATLRASGEEVAIKVLRPQIEPIIYRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYRQLSGSRVLVMEWIDGIRCTDPQAIRDEGIDIDGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASGTDVAPIVPALEAIWQNSLEKGLADFNFRSVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICLTLEPGFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGLFQWKRLENLISLAKENVTKLSKNPAFRGNNRGASNHLKNEQKLDLTDTIKDGARLFIIDEGIRRQLLLALTEDSKLHIEEVVDVYRLLEDQVDVPSMAMELAQDLPSVARDILLSWSSSVLSDR >Solyc01g087210.3.1 pep chromosome:SL3.0:1:82008206:82016312:-1 gene:Solyc01g087210.3 transcript:Solyc01g087210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4AYB2] MDPEGDVKGKSLKTLGGQVCQICGDGVGTTVNGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRHKGSPAISGESVEDGDADDGASDLNYSSENLNEKQKVADRVLSWHATYGRGEETGAPKYDKEVSHNHIPLLTNGTDVSGELSAASPGRYSMASPGPAGGAKHIHPLTYSTDANQSPNIRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMTTSQPPSERGVGDIDASTDILGDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRIMNPVPNAIPLWLLSVICEIWFAFSWILDQFPKWLPINRETYLDRLALRYDREGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETAEFARKWVPFSKKYSIEPRAPEWYFSQKVDYLKDKVQTSFVKERRAMKREYEEFKIRINSLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFMLNLDCDHYINNSKALREAMCFLMDPNLGKYVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKPKHKKAGFLSSCFGGSRKKGSKSSKNGSDKKKSSKNVDPTVPIFSLEDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKSEWGTEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKWLERFAYVNTTIYPITAIPLLIYCMLPAICLLTGKFIIPQISNLASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYMFKWTTLLIPPTTLLIVNLVGVVAGISYAVNSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRIDPFTTRVTGPDVQACGINC >Solyc11g027665.1.1 pep chromosome:SL3.0:11:18770065:18779309:-1 gene:Solyc11g027665.1 transcript:Solyc11g027665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDQKHVEHLKKVFKALRENQLYVKREKCEFAQPKIHFLGHVISQGELRMDEAKLRSFLGLTNYYRRFISGYSGIDAPLTELLKKNRLWLWSEECQEAFEGLKAAVTEEPVLMLPDFTKTFEIHTDASDFSIGGPLENRYGRTTSGMACHHRLWTSRHSQTTSGLTRSDDVGRGMPSSPLGSTDGRKASSVACHNRPWTAQTVSRRRAWHAVIALGQHKRSTVVGRAYTQRSAVGRLQKHIGDVDGSSEAKVGGRQGRPVGLVGVFCGRLMACMAHPYYVVGRLQKHASDVCGAAEAKAGERQGRPVGLVGMLRGHLTACVACPCYAAGRLQKHARDVYRAFVMVAGKRHGRPMGLVGVLRWRLTTCMACPCYAAGRLQKHVSDVCRAFEAVAGGRHGRPLGLVGVLHGRLTTCVACPCYDVGRQQKHASDVCGATEAKEGGRQGCPVGLVGVLCGRLTAWMARLCFAVGRLQKHATDACGETVLRRGNFSRSVYTSVWCGNCSAFEQVASSRAPVTANSRRRTHGARKAMYGHRAVQRRRREPQEDTARRVVYGALSAALENLETECRPCWVVLVTASGLQGKGSVQSGSITSGKGFALRDGHVDPSPKPVVGLLELLPRRERVAACRIGDGQGESHCLIKTKHCDGPKGCLRNVISAQCSECQSEEIQPCAVNGGSNYHSLKVAKCLVIKLVTRMNGLTRFPLCLSTRAGLAESGGKEDPFELDSSPTLSNDLRGVLGTAPHFGPKSRFAGRSRKETLSVGAYGPQGHVSLAKLPQHKCRSDRLEVQFPWSSG >Solyc02g043870.2.1 pep chromosome:SL3.0:2:1237831:1238920:-1 gene:Solyc02g043870.2 transcript:Solyc02g043870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GEHPFHNYTIRSKYRKQSPARILLKNDSLLKKGRPSSEKEISGSEECDGEQIGKEQGVLTIVEAENQNTEGNCNEKVNHSKDLNSAIPIQARWLHESDVRDKLNSAHFRRIFHRHCEKLENLLDINYVEVSICGESFTLHQGTLCNLLKIFISPGYLSIEQRCRLVSKDLSIRRKKCIVVALPRFSFALMYTFQLGWLLMSFLWILQNTLIREMVGTTIAIKHNLLPHDILRMSLCKKVYFIYI >Solyc05g050790.2.1 pep chromosome:SL3.0:5:61829956:61830974:1 gene:Solyc05g050790.2 transcript:Solyc05g050790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENSTQFDLSILDSPLEHQFNGFNLESISFDRSIDHTWEKIDKNEDNIPRSSSQVSNNWKRYRGVRRRPWGKFAAEIRDPKKKGARLWLGTYVTPEDAALAYDRTAFKLKGTRALLNFPHLIDTNVAELNRVRPKQRSRLSEIIKGEEIPSPKRRNPQLINNIAKVNSIIHMFEITSL >Solyc03g119550.2.1 pep chromosome:SL3.0:3:69608788:69612557:-1 gene:Solyc03g119550.2 transcript:Solyc03g119550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDDLYPHVTTIGATDTLMSVSEVYGRLVSAEQIGNANGIDEENTLSSGQSVVIPLPCTCFNNSNNGADSVYMSYVVQSGDELTKIATEYSVTIGNLEIINGLGQNQIDPGDILAIPLAACSSANLNWYNESLIVPKGSYALTANNCIKCGCRPTDLSLDCSPSGIVEKCSHLQCKDSNIFIGERHENHTTSGCNVTACIYRGHLGGKIFRSLVNSTDVKCLGKESEGTESPMESPPSNYIAPYLGPSHYSHSPKNHSLGLLNSSSAHRYTQKSLSQPLHFIILLLFELIFEIFL >Solyc03g063140.3.1 pep chromosome:SL3.0:3:35558304:35560715:-1 gene:Solyc03g063140.3 transcript:Solyc03g063140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLSSYSPCAACKFLRRKCQPECVFAPYFPPDQPQKFANVHKIFGASNVTKLLNELQPHQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQLDLSCAKSELSKYQNVGGGTTPHLIGGGGRDHNQLLYHHHQFFPRDQQQQQQQQHIIRRTSLDGGSNNFDTGIAAYNMMKITGRHYHQCNYISRAFYRPILLLLLLHAFNYSI >Solyc02g080790.3.1 pep chromosome:SL3.0:2:45471221:45473114:-1 gene:Solyc02g080790.3 transcript:Solyc02g080790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNLMESVRSAVLKESSEDLEGSCTKIVGYDFNKGVNYSELIKSMISTGFQASNLGDAIDIVNQMLDSTSLKFVFLKLNWRLSHEVPTEDCSARERDIAYRESITCKIFLGFTSNLVSSGVRETIRYLVQHRMVDVLVTTAGGIEEDLIKCLAPTYKGDFALPGAALRSKALNRIGNLLVPNDNYCKFEDWIMPIFDQMYDEQTNQNVLWTPSKVIARLGKEINDETSYLYWAYKHGIPVFCPSLTDGSIGDMLYFHSYQKGDQDRNPGLVLDIVGDVRAMDNEAVHAGLRKTGIIILGGGLPKHHICNANMMRNGADFAVLINTAQEYDGSDSGARPDEAVSWGKIRVGAKSAKVHCDATIAFPILVAETFAAKRKNLCQNGAKFEH >Solyc12g055810.1.1 pep chromosome:SL3.0:12:62755344:62757796:1 gene:Solyc12g055810.1 transcript:Solyc12g055810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELWTTLASMMGVWAFSQSLIQVVFPPEIRFAILKIIHRICNWFSAYCYYDITEIDGVNTNELYNAVQLYLSSYACVTGNRLSLTRGLNSSSITFGLSNNDSLIDAFNGVKVHWEHVVTPRNSQTFSWRPLPEEKRGFLLRAKKKDKSVVLGDYLDFVMEKANEIRRKNQDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFETLAMDPVKKAEIMADLLDFANGESFYQRTGRAWKRGYLLYGPPGTGKSSMIAAMANFLGYDIYDLELTEVHTNSELRKLLMKTSSKSIIVIEDIDCSINLTNRKNNSSSSNSSSNYNVSPPGSGGGGGSSEDGGGNTITLSGLLNFTDGLWSCCGSERIFVFTTNHIEKLDPALLRSGRMDMHIHMSYSSFAALKILLKNFLGYNEDDVEKELLEQLEQVMERAEMTPADISEVLIKNRRNKDKAVWELLEALKARAEMKDNKGSNNNAGKNNTIEDEEQEKRALLVESSNEIGGDESQEKCNKCDDVNDDEKANTKW >Solyc11g010870.2.1 pep chromosome:SL3.0:11:3943458:3945438:-1 gene:Solyc11g010870.2 transcript:Solyc11g010870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFVILLKSSTKDYCYSSKFLKSLLRQVPLHGASFLFVKYVKEAHIQGSRNKEQGKTFSAYHI >Solyc03g007460.2.1 pep chromosome:SL3.0:3:2015021:2016211:-1 gene:Solyc03g007460.2 transcript:Solyc03g007460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHSLCPIKYTEHRNVIRKVTKPSLVKSKKLSEAAKSSQLNPSVPRTVRISVTDPDATDSSSDEEDLLFGRRRVKKYINEISIETAVKCEVSSGNGKTVNKRAPEPLQTKQKPMKVQPPPSAGAARKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFSTPAKAEPEPEPEPEPEISALSHSGYESGNESRNIPSPTSVLRCTMSQSESGSGQVHVSEECPSVQGSMECEQTVQPFVQCAAEPLIPSAIPQDVEECQGETSMIPDYSSDYLPTDIPFLNDFFNFDGSAAEQTFDDLGDLGMDDFSQDNSVVDYSSVDSLLAI >Solyc02g062390.3.1 pep chromosome:SL3.0:2:34531756:34533219:1 gene:Solyc02g062390.3 transcript:Solyc02g062390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHKGTSQGQLHLIDEHGNRVHQIDDPNAIQGISMGFAPAGTSSDIMKKDHHEGQQLRHSCSSNSSSSEDDGERGRRKKKKGIKKKIKETLTGGAEEGIQTTIPISIPTPTSSGEVEKKGIMEKIKEKIPGMH >Solyc12g062340.2.1 pep chromosome:SL3.0:12:31975801:31980335:1 gene:Solyc12g062340.2 transcript:Solyc12g062340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLWMRDISCIVVSRQTVQDYFPSWIIRFE >Solyc10g007480.3.1 pep chromosome:SL3.0:10:1814148:1820355:-1 gene:Solyc10g007480.3 transcript:Solyc10g007480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESILWNLEDKWKLTTQKSIAFFICICSLIIVICLVTICFKRRSTTRRKGLVGQDPTDIDVEWSESKLLSLNSSVKKLLTSTVRWSGPSKWEERRNTERVSPLLEGESGQWHSHNSDSPVWQRPILMGEKCELPRFSGLILYDERGRPVHHVDNDSFIVNQAEALGDFVLFFSSSSLSVGVDPDRDLNQSCFKMSTYTPKNILITGAAGFIACHVANRLVRSYPDYKIVVLDKLDYCSSLKNLIPSRSSPNFKFVKGDIASADLVNYLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMRGKPLPIHGDGCNVRSYLYCEDVAEAFECVLHKGEVGHVYNIGTTKERRVIDVAQDICKLFDIDSDKVIQFVENRPFNDQRYFLDDQKLKNLGWSETTRWEEGLKKTIEWYKNNPDWWGDVSGALLPHPRMLMMPGDIERNLDGAEKYDSGSTEFSGKSNETKTAAPASKTSNVPQKSPFKFLIYGRTGWIGGVLGKLCEKQGIPYEYGKGRLEDRSKLLADINSVKPTHVFNAAGVTGRPNVDWCESHKPETIRTNVAGTLNLADVCRENGLLMVNFATGCIFEYDAAHPEGSGIGFKEEDTPNFAGSFYSKTKAMVEDLLKNYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKKYIDPAFTYANFTVEEQAKVIVAARSNNEMDASKLKKEFPELLSIKESLIKYVFEPNKKTSA >Solyc01g109160.3.1 pep chromosome:SL3.0:1:96118731:96128661:1 gene:Solyc01g109160.3 transcript:Solyc01g109160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVSSKYPAIASSSDNESCKPLLQVREIPGDYGFPFFGAIKDRYDYYYSLGADEFFRTKSLKYNSTIFRTNMPPGPFIAKDPKVIVLLDAISFPILFDCSKVEKKNVLDGTYMPSTDFFGGYRPCAFLDPSEPSHATHKGFYLSIISKLHTQFIPIFENSVSLLFQNLEIQISKDGKANFNDISDAMSFDFVFRLLCNNTNPLDTKLGTTGPKCFDLWMLPQLAPLVTLGLKFVPNFLEDLMLHTFQLPFFIIKSKYQKLYDAFNEHAGSTLDDAEKSGIKRDEACHNLVFLAGFNAYGGMKILFPSLMKWVASGGKSLHTPLANEIRTIIKEEGGSITLSAINKMSLVKSTVYEVLRIEPPIPFQYGKAKEDIMVQSHDSNFLIKKGEMIFGYQTFATKDAKIFENPEEFIAERFMGSEGEKLLKYVYWSNARETDSPTVDNKQCAGRDLAVLLCRLLLVEFFMRYDTFTVESIGEIPGDYGFPFFGAIKDRYDYFYNLGTDEFFRTKSRKYNSTVFKTNMPPGPFIAKNPKVIALLDSKTFPILFDNSKVEKKNVLDGTYMPSTNFFGGYRPCAFLDPSEPKHARLKGFYLSIISKYHTQSIPIFETSVSALFQNLEIQISKNGKANFNDISDAMSFDFVFRLLCDKTTRNVGPKYFDKWMLPQLVPLVTLGLKFVPNFMEDLILHTFPLPFCLVKSNYQKLYDAFSEHAGSILSEIEKSGIKRDEACHNLVFLSGFNAYGGMKVVFPSLIKWVASAGKSLHTRLANEIRTIIKGEGGSITLSSVNKMSLVKSTVYEVLRIEPPLPFQYGKAKQDIMVQSHDSNFLIKKGEMIFGYQTFATKDAKIFENPEEFIAERFMGSEGEKLLKYVYWSNARETDDPTVDNKQSPAKDLVVLLCRLLVVEFFMRYDKFTVESNKFLFGSSVTFKTLDKKTT >Solyc02g062700.3.1 pep chromosome:SL3.0:2:34974266:34981821:1 gene:Solyc02g062700.3 transcript:Solyc02g062700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLSSMAAMAAAAAIAATSSTIPNRAYADSPFRFNPFSSPSPSVPSSSSESPQADSSESDAKDSSEDSRGGFNPESLERGAKALREINSSPYHKQVYDVMRKQEKTRLADIAAEKVHFEAIQAHADIDKQRQWGEDQRNLYQQQSQAKAQMLRYEDELARKRMQTDHEAQRRHNAELVKMQEESSLRKEQARRATEDQIQAQQRQTEKERAEIERETVRVKAMAEAEGRAHEAKLTEDHKRRMLMERINGEREKWLAAINTTFSHVEEGFRILLTDRSKLVMTVGGVTALAAGVYTTREGARVTWGYINRILGQPSLIRESSMSRFPWSGMISQVANKGLKFGTAAGLSATGQSSSAFGNIILHPSLQRRIEHLARATANTKSHQAPFRNMLFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGAQAVTKIHEIFDWAKKSKKGLLLFIDEADAFLCERNSTYMSEAQRSALNALLFRTGDQSRDVVLVLATNRPGDLDSAVTDRIDEVIEFPLPQEDERFQLLKLYLNKYLAGEGDSDSDSKWGHLFKKSPQRITIKDLSDEVIREAAKKTEGFSGREIAKLVASVQATVYGSPDCVLDSQLFREIVDYKVAEHHQRIKLAAEGAEPTYQGN >Solyc04g080175.1.1 pep chromosome:SL3.0:4:64514385:64514727:-1 gene:Solyc04g080175.1 transcript:Solyc04g080175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIAKGMYPAIQADILSDLSPIQRNGWYLCDILTQCSHQQGWTILENEKSYRKSHCPLWLEIPIV >Solyc06g051350.3.1 pep chromosome:SL3.0:6:34745485:34748774:-1 gene:Solyc06g051350.3 transcript:Solyc06g051350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHGGQKNNNLSIVVVVFSIFLFSCFIYNEDFKSIAEFPFSRPKIQLESDENRVSPSMVMNSRTIVETEIEQSIEMAEDENIELPPDDCDLFTGNWVYDNISHPIYKEDQCEFLTSQVTCLRNGRKDSMYQNWRWQPRDCSLPNKKNFRFKPRLLLEKLRNKRLMFVGDSLNRNQWESMVCLVQSVVPSAKKSLNKIGSLSVFTIQDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIDKHGNNWKNVDYLIFNTYIWWMNTFSMKILRGSFDEGATEYDETDRPTAYKRVLTTWSQWVDKNIDPNRTQVFFMSMSPLHIKSFDWENPDGIKCAKETSPILNTSMPLNVGTDKRLFVIAANVIRSIKVPVYFLNITTLSEYRKDAHTSVHTIRQGKMLTPEQQDDPATYADCIHWCLPGLPDTWNEFLYSRIVSHS >Solyc12g033130.2.1 pep chromosome:SL3.0:12:38320848:38323181:-1 gene:Solyc12g033130.2 transcript:Solyc12g033130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDDGFEDAGTGTPLSAIAEAFEELSSNHDLMLKPFCHACSLLSVLFGSLGIAFKFAELEYVSKVRDLTEASEIFGSLNSILDYDVRNDTVRTPGSLSRNLRRVRQGLDLIRALFQNFISTYDDSLKEAASMAYAKVCAPYHTWAVRTAVSAGMCALPTRDQLLIKLNETDDSAEREMRRYIDASLPIIEYIDKLYISRNVSLDW >Solyc08g082440.3.1 pep chromosome:SL3.0:8:65368902:65373626:1 gene:Solyc08g082440.3 transcript:Solyc08g082440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSILVTGGAGYIGSHTVLQLLLGGYKTVVIDNLDNSSEIAVKRVKEIAGEYGSNLSFHKVDLRDKPAIEEIFRSNKFDAVIHFAGLKAVGESVEKPLMYYDNNLIGTITLLEVMAAHGCKKLVFSSSATVYGWPKVVPCTEEFPLSAANPYGRTKLFIEEICRDVQNADSEWKIILLRYFNPVGAHPSGRIGEDPRGIPNNLMPFVQQVAVGRRKELTVYGTDYGTKDGTGVRDYIHVIDLADGHIAALQKLSDPSIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPMVMSGRRPGDAEIVYAATEKAERELKWKAKYGIEEMCRDQWNWAKKNPYGYEGTPESNNCH >Solyc05g050070.1.1.1 pep chromosome:SL3.0:5:60928005:60929078:1 gene:Solyc05g050070.1 transcript:Solyc05g050070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSANNLRLKLSGGSKNKVSLPPLNFTLTQDNYLLWETTIRSSLEVFNWEKYLYSTQMPTRMVVSPKIDSSTNKTHVPNPEYETWKSHDRVIILWIKTTIDRSILGHIIQSRTAAEAWTILHHIFQTQSLDRVMTLRLQLQTMTKGSLSIMEYVQRKRTISNNLVMALQPVTNYELVTYIMYGLDPSYGSFRTAINLRTPPVTCEKLFVLLLEEEQKFSDESPHVTLSANIANRQSFQYRLSYDSIPIMNQLQQRNPKCNDNKRPSNCPLCQICEKIGHVAKNCYNRYNYQYPPTNTPLPQANVSTSSSAFLDPSWCLDSGATNHVIADVGNLSIASNYSRNDSLAVGNGSNSQ >Solyc03g051693.1.1 pep chromosome:SL3.0:3:22366519:22368118:-1 gene:Solyc03g051693.1 transcript:Solyc03g051693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFIGICVEEDQLRKFPIRLKYQSSKNTSIWWNGQISCPKKKSLQIIMFIEGDSLPIKGMYHPSEDLHMLMIGWMFIPLFADFFESLGAPLNVAKPTKDSSFFTFSFGQAADGAKIASASRIICVDLNANTKKFCVKKFMNPKD >Solyc03g063900.1.1 pep chromosome:SL3.0:3:39129443:39130653:-1 gene:Solyc03g063900.1 transcript:Solyc03g063900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWLPSTMEITKHHYKPQSLNKRSSISINLVNAPPREMENMLNDGEGTIEVRTKRPNVGSFNCCCSKSFNIGPFSPNHTCSFLSIQHASPLLGGAFTEWTGALLAQNPKISVLAVGLTSLRTRRGRKEQGGNT >Solyc04g012160.3.1 pep chromosome:SL3.0:4:4446523:4450057:1 gene:Solyc04g012160.3 transcript:Solyc04g012160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEIQKDIGSGNFGVAKLVKDKWSGELFAVKYIERGKKIDEHVQREIMNHRSLRHPNIIRFKEVFLTPAHLAIVMEYASGGELFERICSAGRFSEDEARFFFQQLISGVSYCHSMSAALHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDDPRNFKKTITRILSVQYSIPYYVRVSKECNHLLSRIFVADPEKRITIEEIKKHPWFLKNLPKEFMKKGEEASLVQMNSEEKPLQSIEEALAIIQEARKPGEGSKASDYFVNSSIIS >Solyc01g098150.3.1 pep chromosome:SL3.0:1:88602354:88605324:-1 gene:Solyc01g098150.3 transcript:Solyc01g098150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFYSPNNAIPLSLLPPRPFNLHSLFTCTSSLTTTKKTKSYIKLGCLNLAERVFDSLRSPDVVSYTAIISAFAKSNREREAFELFLEMKDLGIEPNEFTYVAILTACIRSLNLELGCQVHGLVIRLGYSSYTYVVNALMGLYSKCGLLEFVVLLFNAMPQRDIVSWNTVIACMVEHSMYDRAFEMYSELCRNKCLIADHFTLSTLLAASSRCLAVREGQELHRHALKRGFHGNLSVNNALIGFYTKCGTLKNVVDVFERMPVKDVFSWTEMIVAYMEFGHVDLAMEIFNSMPERNSVSYNALLAGFSQNHEGFKALALFCRMLEGGMELTDFTLTSVVNACGSVMERKISEQIHAFILKCGLKSNDRIETSLIDMCTRCGRMDDAEKLFDDLPLDHDNSIALTSMICAYARNGQPEEAISLFLVRHSEKSLVVDEVALATILGVCGTLGILKLGEQIHCYAWKHGLMSDAGVGNAMISMYSKCGETQSAVKTFEAMPTHDLVSWNGLLTCYVLHRQGDGALDTWAKMERLGVDPDSITCVLVISAYRHTSTNLVDCCQKFFSSMQSSYNVNPTSEHYAGFVGVLGYWGLLEEAEKIINAMPFEPKASVWHALLDGCRLHVNAIIGKRAMKNILSIVPQDPSTFILKSNLYSASGRWQCSELVRAEMREKGIQKIPGRSWIIFGDKVHSFFARDKLHSQSKDIYSGLQILILECLKAGYVPDTSLVLHEVEEHQKKDFLFYHSAKLSVTFGLLMTRPGKPVRVMKNVLLCGDCHTFFKYVSVITKRDIHVRDASGFHHFVNGKCSCGDNWC >Solyc01g010065.1.1 pep chromosome:SL3.0:1:4698396:4700634:-1 gene:Solyc01g010065.1 transcript:Solyc01g010065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSSHESYQDKIEVIQQWLPPKTVKEVRSFLGLTGYYRRFIHHFAAIAGPLYNLLQRDSYQWTEAEQQAFDTLKAKLVSTPILCLPDFSQEFQVETNASGKGIGAILSQKGHPIAYFSQQLSSRMQKVSTYHREMFAITQAVSKWRQYLLGRKFTIITDQQSLRSLTNQTIQTPEQQKWLTKLVGFDFHIVYRPGKQNAVADALSRSFEAAYMSISITSLELEQELRQLNTNHYELIEIQQAKQKLDEDFVDYKFKEGILFYKCRIVIPTDSPLRHKLMFEFHATSIGGHVGVARTYHRLASNFFWKHMRKDVQSFVVTCQIWQ >Solyc06g008830.1.1 pep chromosome:SL3.0:6:2776502:2777871:1 gene:Solyc06g008830.1 transcript:Solyc06g008830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIAIEDVRREVKILRALTGHRNLVQFYDAYEDEENVYILCKGGELLDRILARGGKYSEDDAKAVMVQLLSVVSYCHLQRVVHRDLKPENFLFVSKDENS >Solyc05g011980.3.1.1 pep chromosome:SL3.0:5:5192172:5193155:-1 gene:Solyc05g011980.3 transcript:Solyc05g011980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIESVAKAAVEKLKEMNMVENAKEIVLKTTPSFVSEYARILFNWLSGNLKQIAVKMMPVVRISSDWLLKNTKEIIVKTAPFVRTSSHWLLEKAPPLNSIRETPLYISIPIGFLLILFLRRCFSGGDGGGNGKTMKAPGRNNVRIQRRDFESSPKSYFRNLRSRK >Solyc10g047240.2.1 pep chromosome:SL3.0:10:40162722:40164510:-1 gene:Solyc10g047240.2 transcript:Solyc10g047240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:K4CZY7] MATLICPEEYSPVTDAEAIRKACKGWGTDEKALISILGHRNASQRKIIRKTYEEMYNEDLMKRLESELSGHFEKAVYRWMLDPQERDAVILHVAIKEKPILDYTSIIELSCIYSPHDFLSVKCTYQARYKRSLEEDLAQHCTGELRKLLLSVVGTYRYAGDEIDVKLAKSEADVIYNAIKSKEFNHEEIVRIITTRSKTQLRATLNRYKDDYASSLTKYLRDDGEKTANAFLGALRTIIRCITYDPQAYYEKVIRRALMKSGTDEASVTRVIVSRAENDLGVIKELYYKRNSVSLDHAISKHTSGDYMNFLLTLLGNKK >Solyc03g013100.1.1 pep chromosome:SL3.0:3:48956778:48957886:-1 gene:Solyc03g013100.1 transcript:Solyc03g013100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVILCRVSLEYMMEGERIAAQFVADNLHSDVFEMLVNSSTSITEKAIKSCLCRNGVTKALTRDHRAEREDERKIIEDKGGYAELHKVGWRVHGVLTPSRSIGDWVNLTPRHSL >Solyc11g021360.2.1 pep chromosome:SL3.0:11:13617668:13620132:-1 gene:Solyc11g021360.2 transcript:Solyc11g021360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRITRKDGYNDFNTFYMQMYIRGALGVSEDITNIEDFFEVVDLG >Solyc10g086620.2.1 pep chromosome:SL3.0:10:65513526:65516294:1 gene:Solyc10g086620.2 transcript:Solyc10g086620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQSSSSNRVQKWSLHGKTALVTGGTRGIGSAIVEELAGFGASVHTCSRNKKELDDKIQEWEAKGFKVTGSVCDLFFKEQREQLIHNVSSVFEGKLNILVNNAAISMIKRTADISVEDYSKIMGTNVDSPFHITQIAYPLLKACGNTASIVFISSLAGSLALPALSVYGASKGAINQLTKFLACEWANDGIRVNTVSPFAVKTSILKAEDIDPSLVGNYSELMCRTPLKPIAEPDEISPLVAFLCLPAASHITGQIILVDGGFSAGSFKFQS >Solyc10g055180.1.1 pep chromosome:SL3.0:10:56382081:56384472:1 gene:Solyc10g055180.1 transcript:Solyc10g055180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHDVVRDVAISITSEGEHNFMMDDYDRNITLEVMETRPLGNWICHLLKESEFIHSTGKGSNNVLTELKQDKLQNVKCLHLARIDLVTHLLKSSGRRHEIIKFPNLYDLKLQYLKCLTHFCSDNVEAIKFTQLWRMIFDELPKFQNFWPTAKNSITISNSLFHEKETNASEGTTESDESEANDDEKSEVVDDSEG >Solyc02g079770.3.1 pep chromosome:SL3.0:2:44782860:44785095:1 gene:Solyc02g079770.3 transcript:Solyc02g079770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLPSFSKTLNPNSQFQNLPKTPTFLLSLSYPFLTTSLSSIPPSTSSRFPVVRAISDGEYSSKRSSNSDERETIMLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLDTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVSEETSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYINGEIIPCQYPTYQPKQANRTRSKSKAYVRRRDGPPPERTRRAAAPESSS >Solyc10g075160.1.1.1 pep chromosome:SL3.0:10:58944359:58944793:1 gene:Solyc10g075160.1 transcript:Solyc10g075160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:K4D1V7] MASIPSTIVNTSFLPRRSVVTSLKSIPNVGESLFGLKSGRSGRITCMATYKVKLITPEGPFEFDCPDDVSILDRAEETGLDLPYSCRAGACSSCAGKVTAGSVDQSDNSFLDDDQIDEGFVLTCVAYPKSNVTIQTHKEEDLVG >Solyc10g079090.2.1 pep chromosome:SL3.0:10:60840293:60845333:1 gene:Solyc10g079090.2 transcript:Solyc10g079090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKKKARVPVENFEEDADSDDVEMNGNEENMNGSSSSEKSLYEILGVETTASQQEIKKAYYKLALRLHPDKNPDDEEAKEKFQQLQKVISILGDEEKRALYDQTGSVDDADLAGDVVDNLKEFFRAMHPKITEADIDKFEASYRGSESERTDLIDLYKKYKGKMKRLFCSMICSDVKLDSHRFKDMLDEAIAAGEIKSTKAYEKWAKEVSETKPPTSPLKRRQKSKKEPDDLFAIISQRQNERRGKMNSMFSSLASKYGGDPSATEPSEEEFEAARRRLEAMTETSAQELGLAPAPAPDAGAAFSLPSSSVLVGTSLILCVAAIFRH >Solyc10g017720.1.1.1 pep chromosome:SL3.0:10:5846988:5847146:-1 gene:Solyc10g017720.1 transcript:Solyc10g017720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILLSLFNFGDILIVGFPFDFTFHDINFVILYSESNVLFIRLSCDFCIFIL >Solyc04g057960.3.1 pep chromosome:SL3.0:4:55008293:55028974:-1 gene:Solyc04g057960.3 transcript:Solyc04g057960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVRVVAGIESCFVSLPVTLLQTLESTTASGYLPPVLALELRSGNNLWRLAWSGSASSNPFPNSIQIAKQYAECIGLLDRTVVQVKVVSNLPKATMVTIEPDTEDDWEVLELNAEHAEQAILKQVAIVYGAMRFPLWLHGQTIITFKVVSTFPLTPVVQLVPGTEVAVAPKRRKRNISSGEESMMQDDELSVSKALLRVQDTDDQCIHKYEAEGVEMSVVLTSAIFIHPETASIYSFEPLQTVVIIPRLLPRETKKNHETYSRRGKSSVTSKEGSVGVLPDKHDIHQAMVRLIFSESVAKGHIMLPRSIRLYLKAELHSCVYVKRFNVKLKKEIPPVLLSPCEFKIFQETGVSEENNAEALGKNNNNKTLTTVLRTNSDIEMGSSDWSIHEEIAAAFSYESSKEDKEMSIKSDIKKDIAAILHRWCLAQLHAVKIKAGVEVKSLILGNTTLLHFKAKDSRSIKHGVQTMNGGETSLDAMYVLSTTDGSLRDEAIDAYEVAFDEGSKLTTSPKSFEPWLGKLQLGNGISIRTVREKLFAKSTSLTTSSLDWMGTAAPDVINRLVVLLSSASWMLSSAYDFPLPGHILIHGPSGSGKTLLATVAAKFAEESEDILAHIIFLSCSKIALEKPSAIRQALLSYVADALDHAPSVVVFDDLDSIVAASSESEASQPSSSSAVLAEYFADIMDEYEEKRRNTCGIGPVAFIACAQSLTNLPQKLTSSGRFDFHVKLSAPATTERGALLKHIIQKRSLQCSDDTLLDIASKCDGYDAYDLEILVDRSVHAATARFLSSDLAVGSQEKPVLFQDDFLRAMHEFVPVAMRDITKPAADGGRSGWEDVGGLNDIRNAIIEMIELPSKFPNIFAQAPLRMRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCLLFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSQHERSEILSVLSRKLPLASDVDLDVVARLTEGFSGADLQALLSDAQLEAVHDLLDSENAGKPDKKPVISDALLKSIASKAKSSVSDAEKQRLYDIYSQFLDSKRSVAAQSRDAKGKRATLA >Solyc03g031830.2.1 pep chromosome:SL3.0:3:4332626:4339330:1 gene:Solyc03g031830.2 transcript:Solyc03g031830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGDRVLRRRKSLTERLGLKRIGCCGSTWGIMPATTFDVVDDEDENDNVEVMSHHIHTPPQTPCLAVAGGGSSGMNLAAALAAERHFRADNNEFNTLSGSRPGPNSNSGPLRPDESSPTNVPTYDDNEVNIHSGRRLRPGPNSVSGLPRTDGGVSGNIPGTPTRMSLMRLLEETEVYEGELLIEKGEEGVGSDSVCCVCMRRKKGAAFIPCGHTFCRVCSRELWVNRDPRDVVGGACGYGDLERSGYGKSTAGLSTVLFEKGQICGACFEVRCVEELRWCIPGTSIIVTATNFCAPNYGFEPDGGGHCNPPNAHFVLPIEAFEKIAIWKASNMPVQYRRIKCRKEGGVRFTINGAGIFLSVLISNVAGAGDIVAAKVKGSRTGWLPMGRIWGQNWHISADLKNQPLSFEITSSDGVTLTSYNVAPKIWNFGQTFQGKQFES >Solyc09g063153.1.1 pep chromosome:SL3.0:9:61455034:61457225:-1 gene:Solyc09g063153.1 transcript:Solyc09g063153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLSIPVSSVASECSFSTGGRILDSFRSSLTPKLVQALVCLQDWLRSEPQPISIEEDLDFLEQLKEVLSLDLLYLSLDLAKLGNELCIDDISEVKK >Solyc09g089650.1.1.1 pep chromosome:SL3.0:9:69891337:69891687:-1 gene:Solyc09g089650.1 transcript:Solyc09g089650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINHRTSTGDRRNPSRLQRRAPASIQINRPTDWNVAIPLLSPLITSPVSPDAGNLKSVINRLSNSNSNSNSSNSKKEEVKKELPVFKKWQHPAAPFYHEPAPLIQFLCTGTADRR >Solyc08g048370.3.1 pep chromosome:SL3.0:8:13396275:13402426:1 gene:Solyc08g048370.3 transcript:Solyc08g048370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHSTIIMSNKEDEQYDVGEVKKSGDLGGGIGKLYGWPTSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVNTAIQFYDLQDRLGCDQPSKVVEWLLKAAAPSIAELPPLEDLQDTLQLSNEKRSSEHGFDSADVEMDDDLNYNNQQQPSCSNSETSKGSGLSLSRSDSRVKARERARERATEKVANHHRNMHPSSSFTELLTGGMSDNNNNKTSVNDDQNTPRQWSTNPLEYFTDGQIYLGNTLRPVSPPMFSITGGPFSPILPLLCLVIRGTDHILVPQLDMTTSIQIRKEKKRSNFKFHLVILNGSSLKVPKEAPIYAFLCYRLIILY >Solyc01g080870.3.1 pep chromosome:SL3.0:1:80039492:80043712:-1 gene:Solyc01g080870.3 transcript:Solyc01g080870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNQIRFNMCIETCINRPPISISPPCFSPHAFPLLPMIRKQIVSLPTEVQLANFVVYNTKQTMECLNIGKMEVKLGNMPHEEFTLDGTVDQHGCPAVRATTGKWFAGVLLLVNQGLATLAFFGVGVNLVLFLTRVMGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVAGLVLLSLCSYIFLIKPNGCGDEEAPCGSHSSLHTMLFNVSIYLIALGNGGYQPTIATFGSDQFDEDHPQESHSKLSFFSYFYLALNLGSLFSNTILVYFEDKGMWTLGFSASAGSAILALVLFLIGTPWYRHFRPTRNPISRFCQVVVAAIRKWNMEKPSNGDELYEGDGRELADNSSRNILHTEEFSFLDKAAIITSKERLDNPWRLCSVTQVEEVKCILRLFPIWLCTILYSVVFTQMASLFVEQGDAMKTTVSGFHIPPASMSSFDILSVAVFIFIYRRVLDPLVASLKKTSPRGLTELQRMGVGLIIAIMAMVAAGIVEHFRLKHANKDCSHCTNSSSLSIFWQVPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVSIVIKISTNDKMPGWIPGNLNKGHLDRFYFLLAALTIADFVVYLICAKWYKYIEFEQRSSGKQDEEKQGDLRFYAQN >Solyc02g081300.3.1 pep chromosome:SL3.0:2:45868353:45875277:-1 gene:Solyc02g081300.3 transcript:Solyc02g081300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:K4BA00] MATTPALKRSESIADSMPEALRQSRYHMKRCFAKYIEQGKRMMKLHNLMDELEKVIDDPAERNHVLEGLLGYILCTTMEAAVVPPYIAFATRQNPGFWEYVKVNANDLSVDGITATEYLKFKEMIVDESWYRKHCSFYNTFYGFIPPIRKVKTHKDYLCVNMKCRAKDEYALEIDFGAVDFSTPRLTLSSSIGNGLSYVSKFLTSKLNASSMSAQCLVDYLLTLNHQGDKLMINETLSTVAKLQAALVVAEASISSLPTDTPYQSFELRFKEWGFEKGWGDTAERVRDTMRTLSEVLQAPDPSNIEKFFGRVPTVFNIVLFSVHGYFGQADVLGLPDTGGQVVYVLDQVVAFEEELLQRIKQQGLNVKPQILVLTRLIPDAKGTKCNQELEPINNTKHSHILRVPFRTEKGVLNQWVSRFDIYPYLERYTQDASDKIIELMEGKPDLIIGNYTDGNLVASLMARKLGITLGTIAHALEKTKYEDSDIKLKELDPKYHFSCQFTADLIAMNSADFVITSTYQEIAGSKDRPGQYESHSAFTLPGLYRVASGINVFDPKFNIAAPGADQSVYFPYTEKQKRLTDFRPAIEKLLFSKVDNDEHIGYLEDRTKPILFTMARLDTVKNTTGLTEWFGKNKKLRSLVNLVVVGGSFDPTKSKDREEAAEIKKMHVLIEKYQLKGQIRWIAAQTDRYRNSELYRTIADSKGAFVQPALYEAFGLTVIEAMNCGLPTFATSQGGPAEIIVDGISGFHIDPNNGDESSNKIANFFQKCKEDPEHWNRISAQGLKRIYECYTWKIYANKVLNMGSIYTFWRTLYKDQKQAKQRYIDTFYNLEFRNLIKDVPIKIDEKTEGPKERPERVKVKPQLSQRRSQSRLQKLFGSSNSQS >Solyc04g055150.1.1.1 pep chromosome:SL3.0:4:53712941:53713348:1 gene:Solyc04g055150.1 transcript:Solyc04g055150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQDSINMMKQGTIIFELRSRRYVPLLRKNIGGSLLLGKVEIPWRRVFESTRMEIEEWAIFMTTSKNINEDVKPPAVKIGMKVKVNETITKINKLRRSLWDGSCNCRGYCECNSSIFSLDDYDIFALGVALDSL >Solyc02g062350.3.1 pep chromosome:SL3.0:2:34497057:34502588:-1 gene:Solyc02g062350.3 transcript:Solyc02g062350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGVAASPIKYCLQLPVQCPGLREKRVSVSNLGRSRSHCTVSTQKYHPRRMPLVKCAMEASFGGSTDDSAAIFPRINVKDPYKRLGINIEASEDEIQAARNFLIQRYAGHKPSVDAIEAAHDKIIMQKFYDRKNPKIDVKKKVREMKQSRVVQAVTSRFRTPATKFIVKTSIAFIVLGALTVLFPTEEGPTLQVAISLITAMYFIHDRLKNKLRAFLYGAGAFIFSWLLGTFLMVSVVPPIFKGPRSLEVTTSLITYVLLWVSSTYLI >Solyc06g073530.2.1 pep chromosome:SL3.0:6:45468333:45473590:1 gene:Solyc06g073530.2 transcript:Solyc06g073530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVANISLLKLVVFFIQKIVVMAEQEYGGSTEALPPPPPVPLDFSPEKAEPAPVEKKLLRVPMARRGLGKKGRNIQILTNHFQVNVSNVDGHFFHYSVALFYEDGQPVEVKGIGRKVLDRVHETYHAELAGKGFAYDGEKSLFTIGSLPRSRFEFTVVLNDITSNRNVGTSSPPNEADRKRLRRPYQSKTYKVKISLAGKIPMQAIANAFRGQEYENSQEALRVLDIILRQHAAKKDCLLVRQSFFHNDSNNFVDVGGGVHGLRGFHSSFRTTQSGLSLNIDMSTTMIIKPGPVLDFLIANQNSRDSVSLDWAKAKRVLKNLKVQTATSNQEFKITGLSEKSCREQTFILKRRSKDEDCEVQTSEVTVYDYFVNHCNIDLRYSADLPCLNVGKPKRPTYFPIELCTLVSLQRYTKALSTFQRASLVEKSRQKPQERMQILSHALKMNNYDAEPLLHSCGVTINSDFTQIEGRVLSAPELKAGNGVDIFAQSGRWNFNNKRFFESAKVEKWAVVNFSTNCDIGKLVECLTGLGETKGISVEAPLKVFEESRHHRRAPPVGRVDKMFEEIQSKLPDAPKFLLCLLPERKNCDIYGPWKRKNLADHGIVTQCLAPGRVNDQYLTNLLLKINAKLGGLNSMLAVEVSRSIPMISKVPTMILGMDVSHGSPGQSDVPSIAAVVSSRQWPSISHYRASVRTQSPKVEIIDNIFKEVSDTRDDGIMRELLLDFHASSQQRKPQQILVFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPKFVIIVAQKNHHTKFFPYGSANNVPPGTIIDNKVCHPRNNDFYLCAHGGMIGTTRPTHYHVLLDEVGFKPDELQELVHNLCYVYQRSTTATSIVAPIGYAHLAAAQVGQWMKSEGTSETSSSQDGLRNAGPVTVPQLPRLQKNVASSMFFC >Solyc02g078285.1.1 pep chromosome:SL3.0:2:43592811:43603899:-1 gene:Solyc02g078285.1 transcript:Solyc02g078285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSAGPSSKARVGASQPSESSLKRKRGMFQKDLQHMMYGFGDDSNPLPETVSLVEDIVVDDVTDMVHKAQDIASKRGKLLTEDFLFLIRKDLPKLNQCTELLSMNEELKQARKAFEVDKEKLASHQ >Solyc05g013330.3.1 pep chromosome:SL3.0:5:6419655:6420522:1 gene:Solyc05g013330.3 transcript:Solyc05g013330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDFSAIGVRSLISLLTIQLLMIQSGFLELGIRSVLCYLNEVLLVKRKLSFCSRLM >Solyc11g069120.1.1 pep chromosome:SL3.0:11:54020118:54021135:-1 gene:Solyc11g069120.1 transcript:Solyc11g069120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQHKLFVSEEIVINILNRLPLKSLAKFECVSKNWQKVIAEIYRNRLWWPKPYQIGFFCVERRSQSRFFFSSKESPLLVGAILDKSINFIGERVYIISSSNGFLLCNKLRSRQRVYYVYNPATRQRLDLPKTQICMKDPYVGFTCKVDEDNSVSFTIVRYETPPSTWHELQYYLIIESFSSETNVWTANKQILDVPLQLYPSRDKISSSSSGVVNGVFFWLDNNEERITVFDSVEKSFWAYVLPEWRTPNYSNSCCLGFTGGELCFAYNRLNIITCWRLSNFRSRDNTVWVCKILDLEEAVILVWRFRTWFFILLFRTSCICK >Solyc11g012813.1.1 pep chromosome:SL3.0:11:5592997:5594701:1 gene:Solyc11g012813.1 transcript:Solyc11g012813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLSVVKVDSTMGQEWHQYVGSAVCRKENVNREFMVQISDFLDLTSGNGVLAISSLESCKSFVRLTHLVNHQMELNMFPLNEHRDHLFIDHLGKWY >Solyc03g031755.1.1 pep chromosome:SL3.0:3:4245304:4248073:1 gene:Solyc03g031755.1 transcript:Solyc03g031755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATHFPLFKAASSISSLCTYCLPCYSSFELLSAMFMIFEDSRLDLMHFSLMSVTKISIFARVPFSSPTLL >Solyc07g027010.1.1.1 pep chromosome:SL3.0:7:33157038:33157256:-1 gene:Solyc07g027010.1 transcript:Solyc07g027010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFASCVKGIALNLENENVGIAVFGSDTAIKEGDLVKRIGSIMDVPAEKAMLGRVVDVLGVPIDGRGALQR >Solyc02g078410.1.1.1 pep chromosome:SL3.0:2:43674258:43674746:-1 gene:Solyc02g078410.1 transcript:Solyc02g078410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSAAAAAGVKARVLDIPLHGIGFEFVEITPHKITGRLPVTDKCCQPFKVLHGGVSALMAESLASMGAHMASGFKRVAGVHLSIHHLKSAHLGDLVFAEAVPINIGKSIHVWEVCLWKIDPANEEKKTLLASSRVTLKVNMSIPENAKDAAVNLKKYAKL >Solyc11g066207.1.1 pep chromosome:SL3.0:11:52242996:52244173:1 gene:Solyc11g066207.1 transcript:Solyc11g066207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEYQVLRRNCTWTHVPCPPNANVVDCKWVYRIKRRADGSIERYKARLVAKGFHQEEGVDFHDTFSPVVKPSTIKLVLSYAVTKGWDLNQLDVNNAFLNGDLTEVVYMSQPPGFIDKSHPHCVCRLLKALYGLKQAPRAWFLKLKTFILSHGYTCCYSDSSLFVRHTPSSTTYLLVYVDDIIITGSDPSYISSFTQSLDLQFSLKDLDNLSFFLGIEVSRVGSGMHLSQTSYIRDLLTRTKMTDCKPSPSPVDTTFRLSKHGETFDFDYPSLFRSIVGALQYATIARPEISFSVKSYIGSLESCETILRYLKGSLTHGISITPSTINVYCDAGWAADPDDRRSYHDFSIYYGPNLINWSSRKQKVVARSSTGAEYHAIAFAAS >Solyc11g018760.1.1 pep chromosome:SL3.0:11:9408843:9409569:1 gene:Solyc11g018760.1 transcript:Solyc11g018760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWVCESYRLLLFLGIGLFVLAPFNSRKPETLSSFRFYADFILRFLP >Solyc08g043170.3.1 pep chromosome:SL3.0:8:22910383:22923245:1 gene:Solyc08g043170.3 transcript:Solyc08g043170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Delta-1-pyrroline-5-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:K4CK76] METVDSTRAFVKNVKRLIVKVGTAVVTRADGRLALGRLGALCEQLQELNSQGYEVILVTSGAVGVGRQRLRYRKLLNSSFLDLQKPQTELDGKACAAVGQNGLMALYDSLFSQLDVTSAQLLVTDNDFRDPDFRRQLNDTVNSLLSLKVIPIFNENDAISTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVDGLYSGPPRDPDSKLIYTYIKEIHERVITFGDKSRVGRGGMTAKVKAAMYAAYAGIPVVITSGFATDNIIKVLHGERIGTLFHCDANKWASIGETDAREMAVAARACSRRLQALSSQERSKILQDIADALEANEKAILAENEADVVAAQQAGYEKSLISRLALNPGKISSLANSVRVLSNMDEPLGHTLKRTEIADGFILEKSSSPLGVVLIIFESRPDALVQIASLAVRSGNGLMLKGGKEAKRSNAILHKVITSAIPVSVGERLIGLVTSREEIPELLKLDDVIDLVIPRGSNKLVSQIKASTKIPVLGHADGICHVYVDKSADMDMAKRITVDAKIDYPAACNAMETLLVHKDLAQNGGLNDLIVELQTKGVSLYGGPKASSLLMIPEARTFRHEYSSLACTVEVVEDVYAAIDHIHQHGRHVIAHTDSIITEDQEVAEVFLRQVDSAAVFHNASTRFSDGFRFGLGAEVGISTGRIHARGPVGVEGLLTTKWLARGSGQIVDGDKSIVYSHKDLTQQG >Solyc06g051150.1.1 pep chromosome:SL3.0:6:34374456:34374964:1 gene:Solyc06g051150.1 transcript:Solyc06g051150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFEEGNFYLNDCPNSSSNQHKNQNFMNPKIRVCRYVSRKSHLHGKKYLNALNNDVISAHKLFDKTPHRTICLWNSLIRGYARAHKFRNAFSLFNDVLHSEIMPDNFTYACLVKASSENFDLHSLRVLHGGVVLSGLQLDFICRDLRESL >Solyc02g090400.3.1 pep chromosome:SL3.0:2:52601857:52604266:1 gene:Solyc02g090400.3 transcript:Solyc02g090400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNSKEMNIDLNFVYVPKLISDVLTEVSAMDDISKKLKKLNQFLVPLEEELTKIEAFKRELPLCMLLLKHAIERLKAEALLYKEKDKSPVMMEEFIPLKKGNSDEIGRVKKSNDLSDKKNWMSSAQLWSTPVQYESFNLQNLKRSGVEDKAKEKQYQLGACKLTSERGAFLPFQRQALKVDKKCLAVKDLSLSMAVTVGEGENRENPIDVSVKRENGPSNSNGCDGFSHDKSLQRKQRRCWSPELHRRFVDALHQLGGPQVATPKQIRDIMQVDGLTNDEVKSHLQKYRLHVRRVPASGCSWSTVDENGESSKNNGTQSGSPEGPLHFTGSGSAKGVSVNEEEDNKSESYNWNGQLHKSIEGSTIRSSSH >Solyc05g052320.3.1 pep chromosome:SL3.0:5:63438130:63442075:1 gene:Solyc05g052320.3 transcript:Solyc05g052320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAISSVKLTGELPGAVSEKCYAEIPLHSPGIWGLPDASLFLDFNLPLLLLQLALIFFLTQSLHLVLKRIRLPRLISEILAGIILGPTMLGKIPNFTENLFPQSGEIFIDLMSKIGYIFFIFLSGVKMDPKVVLRSGSRAWTVGLLAVILPVASFASFYFGFFSDDANLHRYRQPAAQNIFLIQSLIAFPVVASLLVDLKIMNSELGRLALASSLISDLFSNLGLTIFSTLRIGLLAEITTVISVQSFVLLLGIILLIVFTVRPISLWIIKRTPEGRPVNSIYITWASVCVLLAVILVDNAGLNYQYGPFILGLVIPDGPPLGSTLVDKLETLVSGLLAPLLVTYCGMKVNLVDLYDLVFLNWVWVMVFFCLTVKYASVFLSSLACKVPPKDAAALAFIMTSQGVIQMSFYLNNVINQTVDGETFSMLTASVLLIAALSHFCVGTLYDHTRIYAGYQKRDIQHASSNSELRLLSCAHRFDDVAGVRKILDASFPCKESPLSVYALHLVELAGRASPVLIDHQLGQKNTSGVARSQKMVEVFIAFETQFLGSASTHFFTSMSLPRFMHQDICSLAFDKLASMIILPFHRKWNQQGKIILDSSNLRTINNNVLDLAPCSVGILIDRQKIKRLASQSGNESSMYQVAVVFMGGNDDREALAYAKRMSRSPELQLTVVRFVSWDIDVRENQWDAVLDAEMLKEVRLLGQHQDNIVYREERVKDGAETALIIHAMEEAFDLIMVGRRHRDDLQQLLGLNEWNDLPELGQLVTCLLLQRSIDQFQSWWCNNKLLRTNEKSNPLFEKLHMLNAKFLCVDFITQLKLKAM >Solyc10g044865.1.1 pep chromosome:SL3.0:10:28592829:28594374:1 gene:Solyc10g044865.1 transcript:Solyc10g044865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDVDQEPLELIPERNFQASNNYGSSYLDGVEQQTERKSTREKRQPISDADSSLFIKLEPNVNVMVLLYVDDMIITGNNDAEISMLKNDLDSIPDEEFGGNWVGDVNDRHSPLGYCFNTGSAMVSWCSKKQPMVALSSTEAENVAAIMAAQVCMWLKTLIGEMLGKVDYVIQIRCDNESVVKLA >Solyc02g069190.3.1 pep chromosome:SL3.0:2:39722725:39724911:1 gene:Solyc02g069190.3 transcript:Solyc02g069190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYNFKHIAIIFILISIFIPRVLSVVEDCGAQEDNSCVNKSKALPLKIIAIVSILITSMIGVCLPLVTRSIPALSPERNLFVIVKAFAAGIILATGFMHVLPDSFDMLSSSCLKENPWHKFPFTGFVAMLSAIVTMAIDSIATSMYSKKHRAGLVNPETGGADQEMGAVNGGHSHHHHGSLSTKDGVEGTKLLRYRVIAMVLELGIIVHSIVIGISLGASNNTCTIKGLAEYKFLKKTLMAFFFAVTTPFGIALGMALSTTYEETSPRALITVGLLNASSAGLLIYMALVDLLAADFMGDKLQGSVKLQIKSYMAVLLGAGGMSLMAKWA >Solyc01g103910.2.1 pep chromosome:SL3.0:1:92293260:92298339:-1 gene:Solyc01g103910.2 transcript:Solyc01g103910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSVDNWLQRQASAPVGGVPGMPLRSSENVQDLTGNAVLLHGELDLCIIEACSLPNLDAKCLPMFSWKSKDSTNASGLVDTSDPYVSVCLAGASIARTAVIPNNENPTWNERLCIPVAHAVDKVEFIVKDNDKVGAELIGIVAIPSTKIAEGKQINGWFPICGSSGAPLETDAQLHLSIHYTPATENPLYKNGVGTEAEQKGVPHTYFPLRKGGDVTLYQDAHAPDETLPEILLDNGKVFKHNKCWEDICHAMLEAQHLIYIVGWSVYHLVRIIREPTRPVPSSGWLELGEMLKYKSQEGVRVILLIWDDKTSNDDLFLKTEGVMQTHDEETKKFFKHSSVNCILCPRSASSKLSIFKQQVVGNIFTHHQKCVIVDTQAAGNTRKITAFVGGLDLCDGRYDTPEHRLFSDLDTVFENDIHNPTFTSSSGGPREPWHDLHSKIEGPAAYDVLTNFEQRYRKAIKWIRIKKCKPGLDSLLKLDRIPSIHMPAAGPDGDQVVHVTKEEDPENWHVQIFRSIDSGSVKGFPKDAKEATAQNLVSGKNLRIDRSIHLAYVKAIRSAQHFIYVENQYFLGSSYCWPSYRNAGANNLVPMEIALKIASKIAASEPFAAYIVIPMWPEGIPTSNAVQEILFWQGQTMSMMYKIIAQALENAGISQFFHPQDYLNFYCLGNREAKKRGGDGDNPTPQEHTHELAQKFRRFMIYVHSKGMIVDDEYVLLGSANINQRSLSGSRDTEIAMGAYQPHYTWAKKEAHPHGQVYGYRMSLWAEHLGRVEEGFMDPQTIECVRRVNKIARRNWQAFVADEYKPMKGHLMQYPVHVSKNGEVTALPGFECFPDVGGKILGAPTNLPDALTT >Solyc03g006560.3.1 pep chromosome:SL3.0:3:1128728:1130774:1 gene:Solyc03g006560.3 transcript:Solyc03g006560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding STHFCCLLHNQREENSIAIMEEEQNKSPLHHYWGNTSEEDYYTLQGIKSTKSFFTSSRDLTLFTRSWLPPTKTPPKGIIFMIHGYGNDISWTFQATPIHLAKKGFACFALDLEGHGQSQGLKAYVPNLDLVIDDCLSFFSFVLTQNTEFQDLPKFLFGESMGGAICVLIHLKRPKEFNGGILIAPMCKISDKVKPIWPIAQFLTLVARFAPTLAVVPTADLLEKSVKVPEKRIIGGRNPNRYFGKPRLGTVVELLRVTDYVSKVSRELYKLAKSTDKTLKIYDGMMHSLLFGETDENVEIVRGDILAWLNDRC >Solyc01g099760.3.1 pep chromosome:SL3.0:1:89784870:89790228:1 gene:Solyc01g099760.3 transcript:Solyc01g099760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HRKRREYKAFRLYKVTQIDSTPISFRNPIQTILSTMATPMAEDSNFEDDQLHAMSTEDIIRASRLLDNEIRIIKEELQRTNLELDSFKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEEEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDNLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHQERFQKLGVRPPKGVLLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVNPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKASLNYYA >Solyc01g079940.3.1 pep chromosome:SL3.0:1:78950261:78952701:-1 gene:Solyc01g079940.3 transcript:Solyc01g079940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFKLPLPMLLLFLLLNIFVCSSEVLYIPVTKDASTLEYTIEVGQRTPLIPIKLLINLGGRSLWVDCDKGYKSSTYKPAVCNSTQCTFSKSHACGDCIFKPQVQPGCSNNTCYIWGENPLINSFHDRAELAEDVLTIGSTPGVPLTWPRFIFSCLLDQDMMRQFANGVTGVAGFGRESPVSIPNQLALDSRFTKKFGICLSSSTQSRGVIFIGSGPYSVYNPKKIDISNDILYTKLIANTRGGFVTSEEYYIQVSSIRIAGQDIPLNKTLLSINKKNGVAGTRISTATPFTILHTTIYDAFKTAFIKALPKNVTIVEPPMKPYGLCFSSKNIKSSNVGPDVPVIDFVLHKPSAFWRLYGTNSVVQVSKDVMCLAFVGQDQTWEPSIVIGGHQMEENLLVFDLVRRNIGFSSSLKLQQASCSKYDNTIPV >Solyc02g094752.1.1.1 pep chromosome:SL3.0:2:33990026:33990325:1 gene:Solyc02g094752.1 transcript:Solyc02g094752.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSLAQVLFFVYKLMTYFGRSLKQIFLLIGRICDAVEVAGKNLISTSGTMTTEFVSHRYGEEAAKATSEGLHALLVFFAEKAPQPSSFPSAQFCYCSC >Solyc10g047130.2.1 pep chromosome:SL3.0:10:39803948:39809663:1 gene:Solyc10g047130.2 transcript:Solyc10g047130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDDEYRCFIGNLSWSTSERGLKDAFRKFGNLLDAKVVVDKFSGRSKGFGFVTFDEKKAMEEAIDAMNGMDLDGRAITVDKAQPQQSSGRDYDSNRPHDRDRDRGRDRSRSDREYGGGRGSGGGECFKCGKPGHFARECPSEEGRGGRYGGRDDRYGGGGGGGSRGSGYGPERNGDRFGNCSSRDGGGHGGGERYNRDRSGPYDRRGSGSRAAEELGANQAGYRGFEVCLMASCFLGL >Solyc10g005730.3.1 pep chromosome:SL3.0:10:579492:585152:-1 gene:Solyc10g005730.3 transcript:Solyc10g005730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQQEDDADYMANEYDMEAMDDDMDDEFHGRDVGGSDSDADDYDFTNNKMQDTSAAEARRGRDIQGIPWERLSITREKYRQTRLEQYKNYENIPQSGEASEKDCLATKKEVSYYDFRRNSRSVKSTILHFQLRNLVWATSKHDVYLMSHFSVIHWSSLTCSKSEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLTVKDKLLVAGGFQGELICKYVDRPGVCFCSRTTYDDNAITNAVEIYNTSSGALHFIASNNDSGVRDFDMEKFQLSKHFRFPWPVNHASLSPDGKLIAIVGDNPEGLLVDSRNAKVVSPLSGHIDYSFASAWHPDGLTFATGNQDKTCRVWDIRNLSKSVVALKGNLGAIRSIRYTSDGRFMAMAEPADFVHVFDVKNGYEQEQEIDFFGEISGLSFSPDTESLFVGVWDRTYGSLLEFGRRHNYSYLDTIM >Solyc02g071290.1.1.1 pep chromosome:SL3.0:2:41353385:41353540:1 gene:Solyc02g071290.1 transcript:Solyc02g071290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMAQGLIFNAKQNISSESLFCVSSHAGKAEARNKQLSFPKFMIKFLVSVY >Solyc06g062887.1.1 pep chromosome:SL3.0:6:39815894:39817830:1 gene:Solyc06g062887.1 transcript:Solyc06g062887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPGGHNLLSLTGSEPDATGSGTTTPGSSSSQGAEASNQFLGKRKIQDLVSQVDPQGRVDPEVEQFLLEIADDFIDSVRHRNDFFFVIVIFFVRIASGGWETAGYYIFLQFGEASEIFDSGVQRYTVTFRQQLSALPNAVDLLFLAERLLHDLINAVVPGNVYIRSITA >Solyc08g023633.1.1 pep chromosome:SL3.0:8:26888000:26889623:-1 gene:Solyc08g023633.1 transcript:Solyc08g023633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVFDDTIQVSVPVLNIQDDDHVCMHDHTDSTTRYSIDHTSDEPNMNPFSVVQEPTISSTIEKRQSTRTSRPPFSQKDFVTSTKSGSNLSQFMNAPKRSHMDVVVRVVRYNKQNPGSGIFLVAQSSDSLQAYCDADWGSCLDTRKSITGYMVKFEDSLLSLKSKKQSTVSRSSAEAEYRSMVFTIAEVT >Solyc10g054330.2.1 pep chromosome:SL3.0:10:55197801:55204794:1 gene:Solyc10g054330.2 transcript:Solyc10g054330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGSRSRSRSRSRSRSPMDRKIRTQRFSYRDAPYRREPRRGFSSQSQSSLCKNCKRPGHFARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMAGNCPNEGICHTCGKAGHRARDCTAPPLPPGDLKLCNNCFKQGHIAVDCTNDKACKNCRKTGHLARDCQNDPVCNLCNISGHLARDCPKSGALEERGASRPYESRLHGTDDLSQLRGKRTPSI >Solyc03g082920.3.1 pep chromosome:SL3.0:3:54223228:54226999:-1 gene:Solyc03g082920.3 transcript:Solyc03g082920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALTRRASSIVFAIVLLGSLFAFSIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDGERLIGEAAKNQAAVNPERTVFDVKRLIGRKFEDKEVQRDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILVKMKETAEAFLGKTIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRVMEYFIKLIKKKHGKDISKDNKALGKLRREAERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMTPVKKAMEDAGLAKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPSKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVTISVFEGERSMVKDCRLLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNDKGRLSQEEIERMVKEAEEFAEEDKKVKERVDARNSLETYVYNMRNQINDKDKLADKLESDEKEKIETATKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPVITAVYQRSGGAPGGASEDSSEDDDSHDEL >Solyc10g054550.2.1 pep chromosome:SL3.0:10:55521134:55522803:-1 gene:Solyc10g054550.2 transcript:Solyc10g054550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIPKKFYQCICKNSYFSDPFSSALYTPNHASFNTMLNSTTQNLRCLMPSVPKPDLIFTPITEPHVQASVICTKKLNLQLRVRSGGHDYEGISYISEMGFPFVIIDLLKLRGIDVNTDENSAWAQAGATVGEIYYRISEKSKTLGFPAGLCTILGIGGHITGGAYGSMMRKFGLGVNNVIDARIVNANGAILDRQSMGEDLFWAIRGGGGASFGIILSWKMKLVLFHRLQVTKKEVKGERTVQTAYNSLFLENGETLLRIMNESFPELGMTRKDVTKMSWIESILYIGGYANNTPPEVLLQRKSQFKNYFKAKSEFDSPLMIWNPYGGMMANISESDTPFPHRKGVIFKIQYLTLWNQPNKELATRHLDWMRKLYNYMTSYASMYPREAYVNYKDLDLGMNRNSSFAQASVWGNKYFKNNFNRLVQIKSIVDPQNFFKHEQSIPVKV >Solyc07g043203.1.1 pep chromosome:SL3.0:7:56934264:56943728:-1 gene:Solyc07g043203.1 transcript:Solyc07g043203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSIFNQPGKGSKKRTRRNLSAIELQSASTHVLLNCPQVKPFVEKFSWGPIKTYSMNKYVVNGFKFTTEEYSKYKKTNNSGVWVKGGDGNLDGVDYYGVLKEVLEMEYSEQSC >Solyc12g014500.2.1 pep chromosome:SL3.0:12:5468388:5473436:-1 gene:Solyc12g014500.2 transcript:Solyc12g014500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDNKDNVVVSNLKLERMLSMKGGKGEASYVNNSQAQGQHARSMLHLLKETLDGVQLIRSSDNDDIPFVIVDLGCSCGSNTVYIIDVIVEHMRKRFEKADQQIPEFSAFFCDLPSNDFNTLFQLLPPLANNGMEECLASNSHRSYFAAGVPGSFYRRLFPARSIDVFYSAFSLHWLSQVPDVVLDKQNVAYNKGRIYIHGANESTTKAYKKQFQSDLANFLCARSKEMKRGGSMFLVCLGRTSMDPIDQGGAGLLFGTHFQDAWDDLVQEGLITSEKRDNFNIPVYAPSIQDFKEVVEANGSFTINNLQVFRGGSPLVVNHPDDAAEVGRALAISCRSVSGVLVDAHIGEQLGDELFTRVERRAARHAKELIEKLQFFHIVASLSLV >Solyc01g059847.1.1 pep chromosome:SL3.0:1:68765962:68766660:-1 gene:Solyc01g059847.1 transcript:Solyc01g059847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARPPAAEARLQMGATVTCWNHLIILTNVGAHTLGITHCYNIESRLYDDPKNDEPNEHEFKIYLKLSRPKGSLTSNISFVLNEPTTLTFDNHYYINAINEIDAKIPFHLLTTPYVQCFAADQDNFLRLSLLLLSSFLLMEFSLVHKASLKEVVMR >Solyc10g085430.2.1 pep chromosome:SL3.0:10:64735999:64743958:1 gene:Solyc10g085430.2 transcript:Solyc10g085430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSNYPPQTPAPMPVPNPVAIINPQFCAPYPVDLNVVRKLMTWSEGAFGVTDVNGNIVFKVKGKFFSLRDRRILLDAAGNSLVTFQQKMLSAHRRWQVYRGESTDSKDFLFSVKKSSLLQFKTKLDVFLAHNTSEDVCDFRIEGSWLERSCVIYAGNSSSIIAQMHRKHTAQSILLGKDNFGVTVYPNVDYAFIVALVVILEEINEDRSVILVSLCERNVDYAIVVALLVILEGFIRLTVSLDSMDVKVCLFLVLLGAVYCTARELAAPDLLIIETEDVSALWTSNLQAQKQLQPLKDVSNIKGLCTLCEEYTATALDYLSNKQTQAKILEVLLMTCSKMPIYKEECTAMVDQYAHLFFSEISTIKPDDICQKVDLCRKVVSISQKFSPNGCDLCHQVVEETVSKLKDPDTQLDILAILLKACGSAEKYSNKCKKMVFEYAPVILINAEHFLEKNDVCTIMHACQPAVDKEDALPEMQTSLHSAS >Solyc10g051015.1.1 pep chromosome:SL3.0:10:51310980:51321791:-1 gene:Solyc10g051015.1 transcript:Solyc10g051015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRNNSSYLVADGNAPPQYPAHGNRSQTPEYKSKKGNSSLICSHCMKVGHTVDKSYRIIGFPSDLKFTKSKRDPNAIRSNVVLPFDLPCFPNTLPNFAGIYGNQLTHEQVYQLVHLLNQDKITQPYANPVDQSAMVAYADCLLTVIAIYVDEIVIKCDYATNITQLKLFLDAEFKVKDFEFSHYFLGLEVFRETQGLIVTQRNFSLELLSDFECEHLPLASSPFFPSIKVSARSGELLTYPTTYRRLIGKLNYLTHTRPDLLYVVQHLSQFMQKPRLPHFDATLHVVRYLRLHPGQGLFFTAETSVSLLAYCDADWGSCIDSRRSVSGYHIILGSSPISWKSKKLHSVSLSSAEAEYRSMRCMVAELTWLNRLLHDLGVPPTLLVHVHSDSQAAIHIAKNPFFHKQTKHLELDCHFLVDLLTKPLSGPSHHTILGKLGLVSPPSILRGGVENDNMNQHCIIKEHVADNMNQPCITKEHVAESVPEAAPKV >Solyc05g051550.2.1 pep chromosome:SL3.0:5:62779597:62782171:1 gene:Solyc05g051550.2 transcript:Solyc05g051550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCDENGLKKGPWTPEEDQKLTNHINKHGHGSWRALPKLAGLNRCGKSCRLRWSNYLRPDIKRGKFSQEEEQTILNLHAVLGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGYDPMTHRPRTDIFDSLQHLIALVNLKELIESHSWEEQAMRLHYLQNLLQQPHNNMSTLSGIQNVEAYNLLNSLGDSQFLSTNNNNLGNHIVQQIPSSLDQPIIQDSISFSHLPELHTPSSFQTSLNKDRVRTEDTEFRIMSQGETSPASPWLPSLSPPPPPQVMNDQRSKENSSEVVISSGLSGESKNSNHLFLPDNKQSLNNIEEAPPSIWSDLLEDSFFQDIDKF >Solyc08g082970.3.1 pep chromosome:SL3.0:8:65721703:65725432:1 gene:Solyc08g082970.3 transcript:Solyc08g082970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVADKIAYFQAITGVEDTDLCTEILAAHGWDLELAISVFTSQNHHPATEYASEPTTSDTPPTSTNQPPAETGLVAGGSGGPPGLAWKIITLPFSIISGSLGLISGAVGLGVWAAGGVLSYSLRMIGFNLGRNSESSSPLVSVTASAAEAMSFVDSFDRDFGSIRPSFIAEGFMDALQRSRHEFKLFFVYLHSPEHPDTPVFCERTLCNEALVAFINENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQVEGPKSPDELLTALQRVLEESAPVLVSARLEAEERRNNIRLREEQDAAYRAALEADQARERQRQEEQERQEREAAEAERKRKEEEEARERAAREAAEREAALAKMREEKLQSLGPEPAKGPDVTQVLVRFPTGERKERRFQCSTTIQSLYDYVDSLGCLEVEKYSLVSNFPRTVYGSEKLALSLKDAGLHPQASLFVELNS >Solyc08g005250.3.1 pep chromosome:SL3.0:8:166960:168143:1 gene:Solyc08g005250.3 transcript:Solyc08g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVFSYRARSHSFATHTLSIQGYKRLRKHQTEGVGSLYSSDRSNANSSHSKEDKSSRGGTLAISVKFKKGANEEASSKQNEHKRDEKSGYELGKTVGEPPPIEIGPKRLKVKVLQYLSLEEELNNS >Solyc01g107130.3.1 pep chromosome:SL3.0:1:94640512:94646947:1 gene:Solyc01g107130.3 transcript:Solyc01g107130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSKTSKATIFLGFLWLNLFQSVVGRFVVEKNSLRVVSPDDIKGTYDSAIGNFGIPQYGGSIPGTVVYPKENRKGCRNFEDSGTSFKSKSGAMPTFVLVDRGDCFFALKVWNAQNAGAAAVLVADDVDERLITMDSPEADGSSAKYIENITIPSALIEKNFAEKLKKAVSAGEMVNVNLDWREAVPHPDDRVEYELWTSSNDECGFKCDMLMKYVKDFKGAAQILEKGGYTQFTPHYITWYCPQAFTVSKQCKSQCINHGRYCAPDPEQDFSSGYEGKDVVVENLRQLCVFKVANETNKPWVWWDYVTDFQIRCPMKEKKYNKECADAVIKSLGLDSRKIEKCMGDPNADEDNPVLKEEQDAQASFFIFPKRLKQFNFVQTLRKVEFLCRLVKEQEEMSPSYLLLLSTIDNIEVSAHTYSCLQLRTFILSFLMLLLSGKLEKGAVLKAICAGFEETTEPAVCLSDDVETNECLDNNGGCWKDKAANITACKDTFRGRVCECPVVDGVQFKGDGYSSCTGCLGPAEFVEDCSLSNYCRPVGVKYIILSQEAGSASGRGRCKLNNGGCWHDTRDGHTFSACVDNEEGKCTCPPGFKGDGVKSCEDIDECREKKACQCPECSCKNTWGSYECSCSGELLYIRDHDTCISKRAREVKSAWAAVWAILIGLAMAGGGAYLVYKYRLRSYMDSEIRAIMAQYMPLDSQNEVQSHVNEGHA >Solyc01g006270.2.1.1 pep chromosome:SL3.0:1:873680:874392:-1 gene:Solyc01g006270.2 transcript:Solyc01g006270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKIEFLSNLRNMKRFNRVSNIYICWNRRNNFKFPCCLSHDFLYTPYLKTSKS >Solyc11g008280.2.1 pep chromosome:SL3.0:11:2493628:2506361:1 gene:Solyc11g008280.2 transcript:Solyc11g008280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDTGNSCFIEKLPVERYASTRETKKPLETNKSPAAFVNHAAIAWHESRRKWVGEASRKSERTPKDPIISALGSPSEKSSIVRDMVVSGSLDLYCTVEDNLTVRNSCRGGLVAMHLENTKISVLPSRFATKQAEKLIQELNLFPKESDNIVDRDPFQTDDEGSRIVEKRFSFPNLANSSVTIEDLGHHAGYYKIKHSHAARLFYFFFESRGSKDDPVVIWLSGGPGCSSELALFYENGPFSISKNLSLVPNEYGWDKVSNLIYVDQPTGTGFSYSSDRRDLCHTEACVSDDLYDFIQAFFEEHPELVKNDFYITGESYAGHYIPAFAARVHKGNKGKEGTHINLKGFAIGNGLTDPKIQYPAYTDYALDMGLISNSTHDRINKLIPVCEVAVNLCGTDGKVSCLAAYFVCNSIFTAVRASAGVDINHYDIRKKCEGRLCYDFSDMEKMLNLRSVKKALGVEDIEFVSCSTTVYQAMLVDWMRNSEVGIPTLLEDGIKLLVYAGEYDLICNWLGNSRWVQAMEWKGQKEFVASIEVPFEVNGTEAGLLRSHGPLSFLKVHDAGHMVPMDQPKAALEMLKRWTRGTLSQQTTEPENLVASI >Solyc08g076020.3.1 pep chromosome:SL3.0:8:60192736:60199445:-1 gene:Solyc08g076020.3 transcript:Solyc08g076020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKYLLSKSSTPLKLSNPLHLHRSNNNFNRISKFLRALNSFASGQFHCHSPNSRSFSTSPEYVAQDSDSSVAAALNLDSRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSSSNEEILMVNNGCLCCTVRGDLVKMLLELVKNRRDRFDHIVIETTGLAKPGPVIETFCSDELVSRHVKLDGVVTLVDSKHALQHLNKVKPRFVSNEAVEQVAYADRIILNKIDLVTESELEVLTKRIKLSFFYNCSAVLIAVTFQHINGMAQIKKAKHGVVDMDFVLGVGGYDLDRVDSEVQSEGSHCGHKHEDEHEHHKGHHHDHVHDSAVSSVSIVSEGTLDLDEVDDWLERLIEENGDDLYRMKGVLSVSDSEQRYVFQGVHSVLDGCPGKTWEPNEKRINKLVFIGRNLDETALRKGFKGCLCEE >Solyc10g079540.1.1 pep chromosome:SL3.0:10:61191773:61193226:-1 gene:Solyc10g079540.1 transcript:Solyc10g079540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHNENLTPSELLQAETQSWNQLYFFIEHVTLKCALQLDIPNVITKHDKPMTISELMSSLPISPSKYPYFHRLTRILVHYGFLILQKHDDNNVDDDKGCYSLAPADCYVVKDGPWNSMEDQDTFFFKAWSCLGDWFKNDDPSAFYTAYGDLFWSKLSSDSSTSNWFNENMSRDSRSFMNVLIGNEYKDVFKGLTSLVDVGGGTGTVAMSIAKSFPDMKCIVLDLPPVVANLQGSENLEFVAGDMFQKIPPANAVLLKSILHDWNDEECVKILKNCKEALRGSGKVIIIDMVMENTELDDESVQAQLFIDMLMMVFVGSKERNEKEWEKLFSISGFTSYKIVLTLGLRSVIELYP >Solyc03g063580.1.1.1 pep chromosome:SL3.0:3:37280138:37280464:-1 gene:Solyc03g063580.1 transcript:Solyc03g063580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECTLAYIETIRERYQGKWICGLCAEEVKDEMMRCERLINAEEALAHHLHFCKKFSSSTPCPDPTIHLIAAMRQLLRRTYGDRVLLFGEKSFNVLLIIFSNRNGRGS >Solyc02g077570.2.1 pep chromosome:SL3.0:2:43034981:43036223:1 gene:Solyc02g077570.2 transcript:Solyc02g077570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNNSRVITLVTTPTWAIAVVCFILITISILIEHVLHLLAKLAGCLTFVYIKFLFQELMLLGFISLLLNVLQKPIAKICIPKGAAQTFLPCQSFTTDDVEEESNCEQQGKKSLIWKFWEAETTTLDYQFSHGKLSHPPVVNN >Solyc06g009963.1.1 pep chromosome:SL3.0:6:4373001:4373521:1 gene:Solyc06g009963.1 transcript:Solyc06g009963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKELPQRLPPRRKVDHQIEKIPRAKPPAMTPYRKAAPKLEELRKQLKELLDSQHIRPSNAPLSAPVLFQKKKEGMLCLCIDYQALNKAKVFTKMDLRKSYYQVRIVEGDEPKTTCVTRYGTFEWLVMSFGLTNAPSMFCTLINKLVHPYLY >Solyc06g063080.1.1.1 pep chromosome:SL3.0:6:39948941:39949738:-1 gene:Solyc06g063080.1 transcript:Solyc06g063080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4C739] MASSSRNRRHWDGSNDNGDDYDSPMQLLDSEDDDDGDIQLQQILFYSAMSKPKSNPNDVKKKGIDYSCSFVETDGVSLRNSEYEKGGPSYVVCTMCNEPTPPNDDDAKHGPNCGHIYCKECFFGYTKKNIKETLTYVKCPVSDCKEYLLINENFIPPEFRNQWRETVREAEALYSCRIIECPFLGCLGYLIDDKKGFLIRTCPKCWTLFCVMCRDNWHKGMDCRTNYQWKRKIRSLVDAKKDDDDKGAGDEYSNLLSLLRHFKNS >Solyc08g068040.3.1 pep chromosome:SL3.0:8:57190052:57198699:-1 gene:Solyc08g068040.3 transcript:Solyc08g068040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDTELLCKVSANHLFLAQFEPFRATIRSLRVRNPELSRVILQTIVANGGRFDSIIWSQSCPSPALLTFLCTLELLQFNEPTSQLWSFDAGALKLRAEFCLILQNVISRVSESISSSELGAEAVDDVELNGHVSGINEDLKGLGECLRVLVKISDMGLRRLRPDLIEIDDVIDTGGDIVVEEEEMMCLSRVFLENADIFDVLSLNIEKQVGWIENEDSDRAITVRTVVNHKEVEDNGLKSLQKCIQTAHLDAMRECLMDNDVDGAVSHIRFLHLNYGITEEEYRVVSKDLLRRVLPGKDDYGDARREMRSKFLSVYGEALSSRCTPLVKMIQVIHDEMLLEEIESVKGSESDQIPLPLQHLQNFIQELNSETTLNSTNSLLETVITSCMREMYQYARVHGVHLLECVMDAALSAVRKQELHEASNILLLFPRLQPLLAVLGWDLLSGKTDLRRKLMQLLWTSKSQVLRLEDSPNYGNRSDEVSCVEHLCDLLCYQLDLASFVACVNSGRSWSLKSSLLLSGKEYLQQENEDAHWDPFVENFVLERLSVQSPLRVLFDVVPSIKFQDAIELMSMQPITSNLSAWRRMEDIELMHMRYALESAVLALGEMEKNLGEGVGNDQINLCYLKDLKNHLDAINNIFRKVSAI >Solyc08g054577.1.1 pep chromosome:SL3.0:8:10441640:10442467:1 gene:Solyc08g054577.1 transcript:Solyc08g054577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRFDNTYDRMTSGVTCHHHLWTAHTIGMTSPPLDNSLGRTTSGLAHHRWAANTNEQCRAWHDITSLGLHARSDDVGRGMKSPPLDCKHGRQRRSWITITALGLHARLEDVGRGMTSPPLDSTDGRQRRVWTSKVALHHRPWAADMVELRQAWHRITAL >Solyc08g007170.1.1.1 pep chromosome:SL3.0:8:1738337:1739563:1 gene:Solyc08g007170.1 transcript:Solyc08g007170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVDSTVVVAEDAVPEEKPPPPVRRFPPPCWTQEETLALIEAYRERWYALRRGYLRTADWDAVAATVTSRCPDASPAKTSAQCRHKMEKLRQRYRAEKQRSLSCPTGRFFSSWFFFDNMDAMENGTTVAAIRSNNQQIAEKQQAVTAVSGDGYALKTMMDQNFLKLKINQKNGIDSPPNFMFNHALAATKSEKINFATRIPNGYCSYMDMGSNKQEPPHDVEFSSGYRMKNGISMKAKRTGRIIGDVNGFDRGGGNFVMNPNFDCDEGSEYNGSNASDGFHIRNMGVSSSRRSYNSGNVEQQPNLDSRFSPGLSKFGKKGGGGGGLGMKRGRDPVEEMVLSIKLLGEGFMKMEKMKMEMAKEVEQMRMEMEMKRNEMILESQKQIVEAFVKVLGEVHKNKNAKPES >Solyc01g017240.1.1.1 pep chromosome:SL3.0:1:23814222:23814578:-1 gene:Solyc01g017240.1 transcript:Solyc01g017240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLNIQCVLLNNLIFKLFNHFILPSSMLARLVHIYLFRCNNKILFVTSGFVGWLISYILFMKWLGFLFVWIWKNHSIRSNKYIQFNRYLVLELRNSMARIFSILLFITCVYYLGRIP >Solyc05g054350.3.1 pep chromosome:SL3.0:5:65123826:65127013:-1 gene:Solyc05g054350.3 transcript:Solyc05g054350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIEHKMVAVNGLNMHIAELGQGPTILFIHGFPELWYSWRHQMVYLAERGYRAVAPDLRGYGDTTGAPLNDPSKFSIFHLVGDVVALLEAISPNEEKVFVVAHDWGALIAWHLCLFRPDKVKALVNSSVHFFPRNPNMNTVEGLKAVYGEDHYISRFQVPGDIEAEFAPIGAKSVLKKILTFRDTAPFYFPKGKGLEALPDAPVALSSWLSEEELDYYANKFEKTGFTGGVNYYRALPINWELTAPWTGAQLKVPTKFIVGEFDLVYHIPGAKEYIHNGGFKNDVPLLEEVVVLEGAAHFVNQERPHEISKHIYDFIQNF >Solyc03g045070.1.1.1 pep chromosome:SL3.0:3:11504655:11506037:1 gene:Solyc03g045070.1 transcript:Solyc03g045070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AMT1-3 description:Ammonium transporter 1 member 3 [Source:UniProtKB/Swiss-Prot;Acc:Q9FVN0] MDSSWEASVTDSINAIYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSLSYYLFGFAFAFGDSNPFIGASYFALKDIPSSSYDYSFFLYQWAFAIAVAGITSGSIAERTQFTAYLVFSFFLTGFVYPVVAHWLWSSNGWLSPNSTYLLFGSGAIDFAGSGVVHLVGGIAGFWGSIVEGPRVGRFDAFGNPVKMRGHNATLVVLGTLLLWFGWFGFNPGSFNKILVAYPHMADQGNWTSVGRTAVTTTLAGSTAGIVTLFGRRLLVGHWDAMDVCNGVLGGFVAITSGCSVVEPWAAILCGFCAAWVLIGLNILALKFKFDDPLEAAQLHGGCGAWGLIFTGLFAKEEFVLQAYNSGKTQIIRPSGLILGGGWGLFGAQIVELLSIVVWVSLTMGPLFYLLQKLGILRISSDEEVAGLDISSHGGYAYDASQEESNARFYGEYLRMQQQ >Solyc12g040310.1.1.1 pep chromosome:SL3.0:12:54248599:54248799:1 gene:Solyc12g040310.1 transcript:Solyc12g040310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYLAFREHKRYILQVIESGLPYKDAKVVCLNYAEFEKFLANIDYARTLYKHSSQFTNPRSDPSL >Solyc02g091510.3.1 pep chromosome:SL3.0:2:53420304:53425653:-1 gene:Solyc02g091510.3 transcript:Solyc02g091510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVKRVPTLVSNYQEDVLEGNVMGCGRKCLGKCCMPVSVLPLYAFKNDDNEPIENDVQTLPEEECQMSFLNDLLLGLWEERMSQGLFRYDVTTCETKVIPGRCGFIAQLNEGRHLKKRPTEFCIDKVLQPFDENKFNFTKVGQEEVLFRFEPSTDYKAHYFSGMRVNSGISPSIVAINVSPIEYGHVLLIPRVLDCLPQRIDRDSFAIALHFAREVADPFFRVGYNSLGAFATINHLHFQAYYLSVPFPVEKAPIQKILARKGLGGAGVIVSKLLNYPVRGFAFEGGNGSTARDLSDAVVNSCISLQNKNIPFNILIAQCGKKIFLLPQCYAEKQALGVVDQELLDTQVNPAVWEISGHIVLKRTKDYNDASEEYAWKLLSEVSISEERFEEVKGYISEAADLQADEDENINPEKEIPDSPGPQVASHIPPDCLVLQ >Solyc02g079170.3.1 pep chromosome:SL3.0:2:44337085:44343054:1 gene:Solyc02g079170.3 transcript:Solyc02g079170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVTVIERFSKTFRDNPSLSKLLIVFTVSGGGLVAYSEAGKSNDNSNAGALVEANNRKKKVVVLGTGWAGTSFLKNLKDPSYDVQVISPRNYFAFTPLLPSVTCGTVESRSVVEPIRNIIRKRYAEAYYWEAECIKIDPENKKVYCRSNLSTNGNGKEEFAVDYDYLVIATGARVNTFNIPGVEENTFFLKEVEDAQKIRRTVIDCFEKASLPTLSDEERKRLLHFVIVGGGPTGVEFAAQLHDFVNEDIVRLYPKVKDLVKITLLEATDHILNMFDKRITAFAEEKFHRDGIDVKTGSMVVKVGEKEISTKDVKRGEITSMPYGMAVWSTGIGTRPVIMEFMKHIGQGSRRVLATDEWLRVEGQENVYALGDCATINQRKVMEDIAAIFQKADKDNSGTLTVKEFQEVLNDICERYPQVELYLKNKKMSNLVDLLKESKGNGVKESIEVDIEEFKSALSQVDTDMKNLPATAQVASQQGVYLAKCFNRMEECEKNPEGPLRFRGEGRHRFHPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKQVSWRTRALVVSDWVRRFIFGRDSSQI >Solyc02g011900.1.1 pep chromosome:SL3.0:2:14161203:14162621:1 gene:Solyc02g011900.1 transcript:Solyc02g011900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITEFLLFVLTSILGGMLLCGAKDLIIIIVSPESFSLSILSMILVNLSAITQTSTKCMLAYSSISQIRYVIIGIIVGDSYDGYASMITYMMFYISMKLGTFSCIV >Solyc10g085070.2.1 pep chromosome:SL3.0:10:64513151:64517149:1 gene:Solyc10g085070.2 transcript:Solyc10g085070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENDEVEQSAQHCTLPPGMNPTTADPTQPPPLPPPQPPRSSFSCDRHPDEDFTGFCPECLCERLTTLDQTAASSNNPSSSSRRPSTSSASAIKALFSKTSTFSTFNQKHPPLPPKPSKPTSFFPELRRTKSFSASKNEALSYLGGSGFEPQRKSCDVRVRNTLWSLFSLDGEAKNPHSEDTGDAFVNRPVIELKEEEEESPENDQISHIGNGDVVNEITEESPVENSFCREVDSEIVEEELVNGDILKPMKDHIDLDSQVKKPSGGRDLKEIAGSFLSAASVFSKKWHNWRRKQKVKKRNNCENSSTLSLEKPISRKFRDTQSEIADYGFGRRSCDTEPRFSLDIGRISIDDPRYSFDEPRASCDVHFMGRSIPRVPPMVSVIEDAPVVHVQRADDQIPVEESPVSANCIGEETDLPGGSAQTRDYYLDSSSRRRKSLDRSNSIRKTAAAVVAEIDEMKAVSNAKVQPERDLNSISNSNSLRDDFSETFELTGFKDTASGIGNGERKGSKKSKKWAWNIWGFIHRKGNGNKDEEDDRYSRSNGVERSFSGSWQDMRRETNGDLKGSLNRNMFRSNRILALILMAMVKRKTISCWRGTGVQGIRLIISIMGSCGSILLP >Solyc10g079980.1.1.1 pep chromosome:SL3.0:10:61543295:61544710:1 gene:Solyc10g079980.1 transcript:Solyc10g079980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D2N9] MASNLHICKNNQKGQKIVVVIVPFLAQGHLNQLLQLSCLIASYNNIKVHYVSTKTHNLQAKVRVVNSFDSFSKIHFHEFPIPNFISPNPNPNSRMKFPSHLQSSFESSSYLRNPVKRLIQTLSSKYQRVVIINDSLMGSVVQDYVSVPNAEAYTFHSVSAFALLLFIWERKKRPFLIDSEILIDLPSLKDCFSPEFENLMRKEYECMNFNSGKIYNTCKVIESPFLDLLSKEVTNNNEKQWALGPFNPVTFPKNGPYTKSHTCLLWLDKQEQNSVILVSFGTTTSFSDEQIKEMAIGLEQSEQKFIWVLRDADKGNVFTKDSTRKIELPKGFEERVKERGILVRDWAPQLEILSHCSTGGFLSHCGWNSCMESVSMGVPIAAWPMHSDQPRNTVLITKILKIGIVVRDWADRNELVKSNVVKNCVEKLMASKEGDEMRNKAKILSVEIRKSVAEGGIKRIELDSFISHITR >Solyc03g097320.3.1 pep chromosome:SL3.0:3:61073961:61079156:-1 gene:Solyc03g097320.3 transcript:Solyc03g097320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMATTAVIGLSAGKRLLSSSFYYSDLNEKLSCSSDHSLPCHQVPTVKNVISAKKSSDYSPSCVSSRKLQSVKALKEHVDIASDPSDVQSWFERFEQLQNESDDEEDDSVEVLLLLQKSMLEKQWNLSAEETLTASTQRKKNCKKMHIACSGTSARRRRMDSRQRVLAQKSSATPISATKPLRSILGPDLLQNRLKGYVKGIVSEELLTHTEVLQLSKKIKVGLHVEEQKSRLKERLGCEPSDDQLAVSLKMSRTDLQSTLIECSLARERLSMSNVRLVMSIAQRYDNMGAEMADLVQGGLIGLLRGIEKFDPSKGYKISTYVYWWIRQGVSRTLVENSRTLRLPTHLHERLGLIRNAKMRLEEKGINPSVNNIAASLNMSQKKVRNATEASSKVYSLDREVFPSLNGLPGTTLHSYIADNHLENNPWHGVDVWALKDEVNNLISSTLREREREIIRLYYGLDSECLTWEDISKRIGLSRERVRQVGLVALEKLKHAARKRRLDAMLIKQ >Solyc01g038230.1.1.1 pep chromosome:SL3.0:1:37085215:37085649:1 gene:Solyc01g038230.1 transcript:Solyc01g038230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVMEYPHVPWEGKTIGRRRPWHVIIALGQHTRSDDVACVMLSLPWDRTHGQTASGVACNHIPSTAHTVERHRVCHAIIVFGLHTQSDDVRHVMQACPLGSKHGRTTPRKACHHHPWTTYKVSRCRAWHVIIAVGQNTQLEDV >Solyc09g005880.1.1.1 pep chromosome:SL3.0:9:612339:613697:-1 gene:Solyc09g005880.1 transcript:Solyc09g005880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKTHPPSSKIKNSNEEQQEQEQEEEEDYIDMEVTSSSCCSSPQISREFEFQMASISINDKEAAATTTLTSPADELFYRGKLLPLHLPPRLEMVQKLLKNSKIESFQQQQEDDERFSISTTTPFDSCNISSPQMVEKLLQTSKIQSFQEQEERFNSSTRNPFDSCNITPSQMVQKLLQTSKIQSFQQQEERFNISTNTSTPFESCNISPSESCRVSCELNPDEYFFEWSTEFSTFFKDNHPKMKSWSKKLKLVKQSLISQKLKSSTSKAYLNLKSLFNKSTCSLEQQQQEVPKECSNKYIKVCKRTPFGHIGKCTHSPTLASMIRDNEGEGVHEDNVNSNHRRSFSAAIKKHSPTKCLSSSSSNGSTSSSSSSSSFSLNSNGFYELNFLKRSSSVSTEIEGSIEAAIAHCKKSQELCNPKRKLNEAEGISSMSISKIKVTEIQQRSDLCRF >Solyc01g067515.1.1 pep chromosome:SL3.0:1:76068077:76072350:-1 gene:Solyc01g067515.1 transcript:Solyc01g067515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDVFRTAFRTHVGHYEFKVMPFGLTNAPATFQTPMNQVFRPLLRKFVLVIFDEILVYNRSRSNHVVHLRVVFEVLRKQSLYAKRSKCSFGQSQVKYLGHIITSEGVSTDPSKVRSMTEWPTLPPCEHLEYVRNYDIICRPLTNLLKGDTFRWNEEADQAFIALKHDMSTTLVLALPDYTKEFNVEADASLTGIDKWRHYIQYKHFVVKTDHHNLKYLLEQKVASVAQQKGLTKLLSLDYKVQYKKGAENKVADTLSRQFEDKEGSMLHALACVSSISSMVPTWVREIHKSYKDDLEVTALISEFSVSHLDPHLFHYSSSILRRKGKVYVGNTVVTYKRLAQVFYWPRMRQMVIQHVEACDVCQRSKDENVPYPSYKAKDGECKH >Solyc04g081655.1.1 pep chromosome:SL3.0:4:65694931:65695417:-1 gene:Solyc04g081655.1 transcript:Solyc04g081655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAEDWSIVDIDSPDKKNSLAVVEYTDDIYAYYKKAEIVSCVPPNFM >Solyc01g108730.2.1 pep chromosome:SL3.0:1:95849447:95850075:-1 gene:Solyc01g108730.2 transcript:Solyc01g108730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKTFQSFSVGLNGLTLTNTFVLVHGACHGAWPWYKVVALMRSSGHNVTAIDLGASGINPEQVLEVPRHSFGGFASSKAMESFPEKISVARCFNAWSNSQRDHTLHS >Solyc12g027840.1.1.1 pep chromosome:SL3.0:12:27721003:27721266:1 gene:Solyc12g027840.1 transcript:Solyc12g027840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRRLLLLRELAMSERVARAVLLRELAAERVERAVLLLRELAAERVAMSERVAMSERVARAVRKRERRSRAVRKRERRAVVTKN >Solyc01g087630.2.1 pep chromosome:SL3.0:1:82453854:82459189:-1 gene:Solyc01g087630.2 transcript:Solyc01g087630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNGGEGKTMCNRGIWFHSFMDGQVIATPSTPLFAISQWYNLSKTLAEEAAWEFAKQNGIDLITLHPVVVIGPFLQPTLRGCAQLHKTSFEENGFPNIGGTSKNYRRQILEFSVKDSVESLVQKNFLKILPQN >Solyc07g065160.3.1 pep chromosome:SL3.0:7:67174082:67174684:-1 gene:Solyc07g065160.3 transcript:Solyc07g065160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRAGESICGNECKDGQMELDVQNEIQEPEDAVKEENVEEGQKEIDGKNEIEESDAKMEEKTEEEAVKDENEEEGQKVLDGQNENEESDDKIEETEEEAGKDEEQKEVDGQNENQESDAKMEEKTEEEAVKDENEESDVKLEETEEEAGNEEDNGNNEENQEEETGVSDLKDQTFMILLIGTDSN >Solyc08g016750.3.1 pep chromosome:SL3.0:8:9120313:9130067:-1 gene:Solyc08g016750.3 transcript:Solyc08g016750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:K4CJJ4] MAAIIQGIGAATALTSANSLDTKKSLFANSRRSLSERKGRLFVARSDGRLSSGLNGRGGRAEQLITNAVAAKEDAAAASTSFKPGHELLLFEALREGLEEEMDRDPTVCVMGEDVGHYGGSYKVTKGLAPKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIKSDNPVILFEHVLLYNLKERIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLYTIGKSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLENWTVVQPPQIVTAVEQLCQ >Solyc04g071860.3.1 pep chromosome:SL3.0:4:58945113:58958862:-1 gene:Solyc04g071860.3 transcript:Solyc04g071860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BTH3] MAKRVYQVWKGSNYFFLGGRLIFGPDAKSLLISLLLIIVPVTVFCVFVARHLRHEFPSYNAGYAILVVAIVFTIHVLVLLLLTSARDPGIVPRNSHPPEEEFRHELSASVEGGRQTPSLQFPRTKEVIVNGMPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFCFVSSAMLLCIYVFAICALYIKVLMDNNQSTVLKALKESPASVVLMAYCFISLWFVGGLTGFHLYLISTNQTTYENFRYKAENRINVYDLGCVNNFMEVFCTKVKPSRNNFRANVQEEEPRPPLPTTREAEVEMGEDQRVKVEDDLEFGGDLLKISQRHNIEDIEAEIRSRESDVHHHNSSEADSVLGSDRRAPNVQADIRNSSWGRRSGSWEIASEVIGVKSNVTESRSHVAPKEQYQ >Solyc03g005530.1.1.1 pep chromosome:SL3.0:3:399304:400890:-1 gene:Solyc03g005530.1 transcript:Solyc03g005530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inorganic phosphate transporter [Source:UniProtKB/TrEMBL;Acc:O22549] MAVGDNDNNNLQVLNALDLAKTQLYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRLYYTKPDLLKPGTLPPAVSASVTGVALVGTLAGQLFFGWLGDKMGRKKVYGMTLVLMVVCSVASGLSFGSTPKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILFSGIVALITAAGFDHAYRSPTFEENAALSTVPQSDYIWRIILMFGSLPAALTYYWRMKMPETARYTALVAKDAKRAAQDMGKVLQVEIESEEAKIEQISRNETNQFGLFSWEFVRRHGLHLFGTCSTWFLLDIAFYSQNLFQKDVFSAVGWIPKAPTMNAVQEVYKIARAQTLIALCSTVPGYWFTVAFIDIIGRFAIQLMGFFFMTVFMFAIAIPYHHWTLEANRIGFIVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAYGFLYAAQSKDPNKTDAGYPAGIGIKNSLIVLGCINALGMLCTFCVPEPKGKSLEEASQETITGEA >Solyc04g014380.3.1 pep chromosome:SL3.0:4:4631537:4636650:1 gene:Solyc04g014380.3 transcript:Solyc04g014380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWAASHIRTKQSKWLEQSLQDMQGRVETVIKLIEEDGDSFAKRAEMYYKKRPELINFVEESYRAYRALAERYDHLSKELQTANNTIATICPEQIQLAMEEEDEYGAPTPRMPKDFTQIPPNGSSNIPKAPIKDLKGFMSTTTKQRQGKKLTDDADKNDVAKSGLSKNEAIEEIDKLQKDILALQTVKEFIRSSYKNGLERYRGIENQIMEKQQKICTLEDEFGEGQVIEDAEACTLMAEAALQSCQETLNHLQEKQDVYTQEARDEFNKIDDSCKKLKSFRHKYLPGQIDELKADRVKFPNQQVSKEIESLQDKIKDQMDASSKGSLTMSQLAEKIDELVNKVISLETEVASQTLLIDRLRREANELQTQVQSLEDDKAAQTGDTYNLNIRVTAIEAKLATIDNINKDVVNQDSSLRTHFVETRANIEHLSGKLSSVQPDEELDGTDSSPNEVTMRQDPVTQKDYPSSGEGHKEHNSSQSNKGEFKQSTKKHVTFLQPITAGKGNVKVSAQSGTSVYETKIEEVAEKDDDLNWQQMLLSGLEDKENILLNDYKIILKDYKEVTKRLSDMEKRDREIEFDLTLQIREFKYAITKRDEEIHNLRRKLSLMHQGHASDQGKELKEENPSSDRSLKPDDLPQRKDNDTPIVEHDEEDIKTILVDRRASVLSPIEGEIRFSINSILDENLDFWLRFSSTFHQVQKFKTTIHDLQLEISKLKDKEMQDKSEIRPLYKQMKEIHSELTMWLSHTLLLKDELDRKFSALCSIQDDITKALKEGVALDGIGLSSNEAAKFQGAVFNMKQENNKVREELEAGVRRVTTIQLDVEKTITQLDQEFGLNGNQSQLMHTVSKSRIPLHSFIFGTKSKKQKRSVFSRIHLNRKY >Solyc03g063563.1.1 pep chromosome:SL3.0:3:37191252:37192678:-1 gene:Solyc03g063563.1 transcript:Solyc03g063563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTILKGHLGLQKSLLCDGEFFHVHCSAHILNLIVQEDLKVANDALFKIRESVKYVKGSDGRMRKFEQCVKQVGISTNLGLCLDVATTWNSTYLMLESALQYEKDFSSLQRVQSNLDEVMKEMVVRMFEKFQKYWNRYSLVLSFGVILDPRFKMQLLEYRFSKVDSSSAKDQAATIKLKLYNLYDQYANNQIGATAPTTRYKPSEEGSQSKQKTSTLFEVMAQDVLSVPITTVASKLTFSIGCRVVTKYRNSINHENVQTLVTTRNWLHGFTQSNE >Solyc04g024520.3.1 pep chromosome:SL3.0:4:30306339:30310671:-1 gene:Solyc04g024520.3 transcript:Solyc04g024520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDESFRARLHKVFGCLSPSASVWSLSDEDVEKKEWNRSALKQGKDDDDHNLCSSSYDELFKKKCRNIQNVINDDENGVDDVWEIRSSIGLDSTLDNEEEEDEYDRVAEGREDAGDRLYMKNIIDQEISCDPPEYNIRRDPCANHMAAQVRLKEDADAAEKCETDFDGITLTGGQHSAKSLQDHCDVKPILKKARKSVNGELKSTKRVRFDPGCKDGSDGASEVKVSWAAPASANSTSEGNESISSRLKIPDYVLNPSYYTCYSLDSPSELIDEPSQAFGYVLEEVEKSKDDVLEQQTIDTSDTNPKSVVFVPRHKNMDAITGASLSKGIIEDACNESLHPKGFPVCIAAGEAQQTEDPMEEDMEARVAENNIVTLKPERRYRIKVGMEDS >Solyc12g098280.2.1 pep chromosome:SL3.0:12:66836823:66840918:1 gene:Solyc12g098280.2 transcript:Solyc12g098280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEVLIRAKPFFAVVFLQLGLSGMYILSKMALNEGSSNYVYVVYRQAVATLFMAPFAIILDKGKRPKMTFSIFAKLVLLSILEPVIDQNLYSLGLKYTTATFAAAMYNTLPAITFIMSWIFRQEKVKFTSIGSQAKIIGTIATFGGAMIMMLVRGPEVQLFPTNEYYVNNNDASDQISGGIILNDAIKGSLMIMLGCTSGAAFIIYQTKILQTYPAELSLHTWICLLGTVEGGIIAMIMERGNSAVWIINLDSKFLAVVYSGIFGSGLAYYIQGVILEDRSSLFISAFNPLNILIVAIISSIILQEQMNLGRYFLYLRKLQEFNK >Solyc12g035366.1.1 pep chromosome:SL3.0:12:40044703:40047163:-1 gene:Solyc12g035366.1 transcript:Solyc12g035366.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSSGASFHLENGEKNLWGRLVNPQAKGVLSHYTDVFAQPKSLPPTRPLSYYSLKPGTSLVSLRPYKYNYYQKEEVEKQIEETLRSVISSKVDLRVGYHQIRIKPKDLLQTAFRTHVGYYEFTVMLFGITNASVTIQALINQFRFLIENPTPTTFRALRGILGLTRYYSMSATMTSFAGP >Solyc01g011098.1.1 pep chromosome:SL3.0:1:7927099:7928483:-1 gene:Solyc01g011098.1 transcript:Solyc01g011098.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDNVIRKNHPNEVLKSAIFSGATTKIVTLSIIFDETVEIFNVSSDKNLTLAPAEVLALNNRNSDISMARRNSLLRFLEKRKERLCGDLKIDMKIVLLTIRCNGRAVNFNVSSSKEKDIVKFAKNLKIKNVLPLKKELYVETSSGG >Solyc03g111280.2.1 pep chromosome:SL3.0:3:63384630:63391525:-1 gene:Solyc03g111280.2 transcript:Solyc03g111280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPIIFFILGFLTHYLKLHLKFTPIYGPSSYPILGCLISFYKNRHRLLDWYTQLLSESPTQTILVQRFGAPRTIITANPDNVEYMLKTNFINYPKGKPFTDILGDFLGRGIFNVDGELWSAQRKLASHEFSTNSLREFVVKTLEEVVENRLIPLLIQTANSGKVLDLQDVLRRFAFDTICKVSLGTDPHCLDDLSHVPVLVDSFDTASLACAMRGMAPVYAIWKSKRALNLGSEKKLKENVKRVHCCIDKIIEEKKQKIATENGGNHMDLLSRLLLAGHENEVVRDMVISFLMAGRDTTSSALTWLFWLTTNHRDVKDEMIKEITSINNGDKALEFDELKEMKYITACLNESMRLYPPVAWDSKHAAKDDILPDGTRVQKGNRVTYFPYGMGRMEEIWGKDRLEFKPERWMEENGELKIVSPYKYPVFQAGPRVCLGKEMAFTQMKYVLASVLRRFEIKPVKLEKPVFLPLLTAHMVGGFNLNMFLSLFIFFILGFLTHSLKLHLKFTPIYAPSSYPILGCLISFYKNRHRLLDWYTQLLSESPTQTILVQRFGAPRTIITANPDNVEYMLKTNFINYPKGKPFTDILGDFLGRGIFNVDGELWSAQRKLASHEFSTNSLREFVVKTLEEVVENRLIPLLIQVANSGKVLDLQDVLRRFAFDTICKVSLGTDPHCLDDLSHVPVLVDSFDTASLACAMRGMAPVYAIWKSKRALNFGSEKKLRENVKRVHCCIDEIIEEKKQKIATENGGNQMDLLSRLLLAGHDNEVVRDMVISFLIAGRDTTSSALTWLFWLTTNHRDVKDEMIKEITSIYNGDKALEFDELKEMKYLAACLNESMRLYPPVAWDSKHAAKDDILPDGTRVQKGNRVTYFPYGMGRMEEIWGKDRLEFKPDRWIDENGALKMVSPYKYPVFQAGPRVCLGKEMAFTQMKYVLASVLRRFEIKPVVNVEKPVFLPLLTAHMAGGFNVRIYHRDC >Solyc01g058367.1.1 pep chromosome:SL3.0:1:66337498:66339810:1 gene:Solyc01g058367.1 transcript:Solyc01g058367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITAEIPDMELYPDGYKAVKNYMMHGPCGDLNPGCPCMKQGKCTKHFPKKFNNQTNFDADGFPIYRRRNTGTKVDKNNVFLDNRNVVPYNRNLIVKFDAHINVELCNYSRSVKYLFKYVNKGSNRATIGIECSDTPTERDEIKRYLDCRYISTTEACWRIFSFDIHHRQPEVERLPFHLQGENTIVFQEESADKPFGGLTIIFGGDFRQILPVIPKGTRADILDASLNSSCLWPFFKIYELKQNMRLCCGRVSDSEAAEVTTFDKWLLQIGDGSFYSDVDNDLIKVPTDICIMPSNDPIGSIIDAVYPSLLQKYSDPTYLQERAILTPKNEMVHELNDKIMKMIQGEGRTYFSSDNVCKASVNTNDEDLLYPKEFLNSLRFPGIPNIEVQLKVGTPVILLRNQNQSEGLCNGTRLVVTHLGNWSISANIISEKNIG >Solyc09g005660.3.1 pep chromosome:SL3.0:9:447175:451417:-1 gene:Solyc09g005660.3 transcript:Solyc09g005660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDKIDIRQHGVPVDQKKLKVKCNYCGKVVSGFSRLKQHLGGIRGDVTPCLKTPILVKEALEAEILNKKNENLIKKVGQLQHPSLPLKRNWCPRDGEPNKTSESVNKKHNGVNSNVAGTSVVDSSSQEISKSIGRFFYEAGIDFDAIRLPSFQRMLKATLSPGKTIKFPSCQELKGWILQDAVKEMQQYVTEIRKSWASTGCSILLDGWIDSKGRNLINILVYCPRGTIYLRSSDISSFNGNVDAMLVFFEEVLEEVGVETVVQIVGYSTSACMMEAGKRLMEKCKTVFWTVDVSHCMELMLQKFTKMNPIQEALEKAKTLTQFIYNHATALKLLRDACPDELVKSSKIRSIVPFLTLENIVSQKDCLISMFQSSDWHTSIMASTNEGKRISEMVKNESFWSEALMAVKATIPLVKVIKLLNGTNKPQIGFIYDTLDQIKVTIKKEFQGKESLYAKFWAAIDDIWNGYLHSHLHAAGYFLNPIYFYSSDFYADAEVTSGLCCCVVRMTEDRHIQDLIALQIDEYRKGRSTFHFGSFKEKLINISPALWWSQYGVQYPEIQRFAFRLLSQTCNGASHYRLKRSLVETLHTEGMNPIEKQRLQDLVFVHCNLQLQAFDPDGSNDNTDYVVDPMDEWIVRKEPNLVHENTQLTWMDLELASRNGKVKDVIYVKDEADEDEPKYI >Solyc01g066283.1.1 pep chromosome:SL3.0:1:73645072:73649686:-1 gene:Solyc01g066283.1 transcript:Solyc01g066283.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKDYVNYDFPIVFHDVHSSVVMIFSLLGITQPRSHIYILEIFLDVDMVDCKDMTTPMCSSSPPKAGDGSPLADTTLYQHTLVNKLSQFLQSLILEHYKELNKASSTSSLQISRHSDNNLYMYADVNWAGDSCARISISGYILIFGPNPIFWSSRKQQSRKDIFFAVEVVCRFMKDPTSTHLIVAKRILSYLKGTIDLGLIYSSSNDFNLVRYCDSDYMGDVDARKNTFSFVFFLGGCLIS >Solyc12g035826.1.1 pep chromosome:SL3.0:12:44502005:44502723:1 gene:Solyc12g035826.1 transcript:Solyc12g035826.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHVFLVPLANTEFSRVPFVIPTFFFYVKYQKLHANSNWMRYHYVFYKVEILVFHIHVPAARMSIILLEGFGGTHVGHILGVGCLFNLCIYLVPYLPGCTAFSKAFYRIGSYFNPCWTNRYTNNQSIGGRHCINLGALADLVHQYIFLCLFQSCPTLLTPPSKPVSCSFSKHVILFHLFLNLL >Solyc10g007930.3.1 pep chromosome:SL3.0:10:2088930:2092430:-1 gene:Solyc10g007930.3 transcript:Solyc10g007930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGIITLEASEGRISFFNMEISYYNLKIAIFSFAIIFVLRWTWRILNYVWFKPKKLEKQLRQQGLKGNSYKLLYGDMKEMKMMIEEATSKPINFSHDLIWPRINPFIHKTITNYGKNCFVWIGPKPAVLITEPKLIREVLTKNYVYHKARGSPLLKLAISGLAAHEKDKWATHRRILNPAFHFDKLKVTMILNEVLRLYTSVYAINRMVNTETKLGDLCLPSGVQLILATMLVHHDTEIWGDDAMEFMPERFSEGISKATKGQVVFFPFSWGPRICIGQNFAMLEAKMAMVMILKHYAFELSPSYAHAPHPLLLQPQYGAQLIMHKL >Solyc03g096780.1.1.1 pep chromosome:SL3.0:3:60555428:60555769:-1 gene:Solyc03g096780.1 transcript:Solyc03g096780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTIAVPSGKANPHRREVSAVPESEVLRRRNEELEKELKKSIEREEKMKEELQKTWDRLRVAEEAEERLCSQLGELEAEAVDQARAYRTRVINLMDQLSLAQKLLESASISR >Solyc05g009360.3.1 pep chromosome:SL3.0:5:3502085:3505491:-1 gene:Solyc05g009360.3 transcript:Solyc05g009360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCAIQQGAFAACEDMWSSVSSISDKKDAVVCPKPRRLGLLNATITEPIRPLRWHVSHQQELCDSRAGADLLDIILAKGGGGADQSSAAQVASSPPFFCGSPPSRVSNPLIQDARFGDEKVTPVSPRAIPIPSGLASSPSTSTRKGGCVRANFGNNPAVRVEGFDCLDRDRRNCSIPTLA >Solyc03g098300.1.1.1 pep chromosome:SL3.0:3:62077456:62078622:1 gene:Solyc03g098300.1 transcript:Solyc03g098300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKITVVAKDGMTNLIRSIAAETHEAGQPFYVLDLAIIERLMDKWNHSFPNVKPFYAVKCNTEPALVTKLANLGANFDCASLLEIDTVLNLGISPNQIIFANPCKAVSHIKHAAAVGVNLTTFDSKLEVDKIKKWHPQCHLLLRIKAPSASGSLRPLGKKFGALPEEIEPLLHYACNVAGLKVVGVTFHVGSIAQDPTIYRQAIANARAVFDIAHYLGIPKMQILDIGGGFRSTPLFEEIATVVNEAVQEFFPDPNLKIIAEPGRFFPETAFTLVTHVIGKRVRGDKIEYWIDEGVYGSFRPTLYNSCFVGIKPISTKESCEIRESTIYGPSCDCLDKVAEDIKLPELQLDDLIVFYNMGAYSKCVGTKFNGFDMLSTPTYLVTTNST >Solyc01g108975.1.1 pep chromosome:SL3.0:1:96004608:96012185:1 gene:Solyc01g108975.1 transcript:Solyc01g108975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKCDNKKEEVNVEHRQSIEPKEKNKTRDNNNISFDDDSTDNYMLDVEKLLLASKDASKNEKSTGN >Solyc06g065410.3.1 pep chromosome:SL3.0:6:40945598:40949715:1 gene:Solyc06g065410.3 transcript:Solyc06g065410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDSCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVITDIDLYRIEPWDIQDRCKLGYEEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKEKIIGMRKTLVFYKGRAPNGRKSDWIMHEYRLQSSEHAPPQEEGWVVCRAFKKPSPNKQPNLDAWQNAYYIRGANTTNYRPNLGITTTPMQPLNNINVFNQTTNFPQFTFNPSHNHHDYQNMMINNSSTQNFFENQVLVHELPQLDSPTISTSFCTKDNISLGEQSYTVNYNNEDDRGDEEKHSNGINNNQFNGDWKNFDTLIEPPSHISHLLECFPDL >Solyc02g078860.3.1 pep chromosome:SL3.0:2:44066609:44069986:-1 gene:Solyc02g078860.3 transcript:Solyc02g078860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTTSFSTKVSPTFRKNDIFLKKQMLGTISFSSKKDSYLGFTAQTSSQTDFVSAMDEDCKLELKSNYISDGYCKELEIAVRAVHMACLLCQRVQENLLSETSEHVHSKEDDSPVTIADWSVQAIVSWVLSEAYGSNVSIVAEEDVEALSKASAAGLLEAVVRTVNECLADAPRFGLKGPGTDLDAKAVLEAISRCSLAGARGSKFWVLDPVDGTLGFVRGDQYAIALALIEDGEPVLGVLGCPNYPMKKEWLSYQNGYRRILSRLSSSTSESSDRGSVLYARKGGGRAWMQPLLRGESKFVWPNAAREIRVSSIDNPALATFCEPVEKANSSHSFTAGLAHSVGLRNQPLRVYSMVKYAAIARGDAEIYMKFARAGYKEKIWDHAAGVLIIEEAGGVVTDAGGQPLDFSKGEYLEGLDRGIIACAGAKLHETIIGAVDASWNSSSL >Solyc02g085950.3.1 pep chromosome:SL3.0:2:49352761:49353728:1 gene:Solyc02g085950.3 transcript:Solyc02g085950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS-3B description:Ribulose bisphosphate carboxylase small chain 3B, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P05349] MASSIVSSAAVATRGNGAQASMVAPFTGLKSTASFPVSRKQNLDITSIASNGGRVSCMQVWPPINMKKYETLSYLPDLSDEQLLSEIEYLLKNGWVPCLEFETEHGFVYRENHKSPGYYDGRYWTMWKLPMFGCTDATQVLAEVQEAKKAYPQAWVRIIGFDNVRQVQCISFIAYKPEGY >Solyc02g093930.3.1 pep chromosome:SL3.0:2:55244153:55250853:-1 gene:Solyc02g093930.3 transcript:Solyc02g093930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNMNSMESVVAQIQGLSSNSSDITQLHNFLKQSEELLHSDFSRLLSSLAELDPSIHSLGFLYILEACISFPVAKEHVSELLVSVARFINSCSTEQIQLAPNKFISVCKKFKDHVFGLETPIRGVAPMLTAIRKLQSSPEQLTTLHADFLLLCLLAKCYKTGFSVLEDDIYEIDQPREFFLYCYYGGMVCIGQKQFRKALELLHNVVTAPMSTLNAIAVEAYKKYILVSLIHLGQFSTSFPKYTSSVAQRNLKNFSQPYLELSNSYGTGRISELETFVQTNKEKFESDNNLGLVMQVVSSMYKRNIQRLTQTYLTLSLQDIANTVQLRDPKQAEMHVLQMIEDGEIYATINQKDGMVRFLEDPEQYKTCGMIEHIDSSIKRLMAVSKKLTSMDELMSCDPMYLGKVGRERQRFDFDDFDGVPQKFTI >Solyc09g009750.2.1 pep chromosome:SL3.0:9:3235241:3240817:1 gene:Solyc09g009750.2 transcript:Solyc09g009750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQDVISVFPNTIRQLRTTRSWDFVGMPLNVERNQVESDIIVGVIDTGIWIQSESFNDKGFGPPPSKWKGKCAQGANFTKCNNKVIGAQFFNLGGAGNDNELSPADFEGHGTHVASTVGGVPVPGASLYGIAEGTARGGVPSARIATYKACWSMGCTDMDILAAFDAAISDGVDIISLSVGGGGREFFEDSIAIGAFHALKKGILTSCAAGNSGPDLGTIENVAPWIFTVAATSSDRKFETDAMLGNGVAISGISVNTFEPTKKWFPLTSGTLAQAKNASYYGNYSACDYGTLDDTKVKGKIVYCLGSNQQDYTLKALQGAGTIILSDKMTDTPFITYISSTSINTTYAAQVDKYIQSTKSPQAVIHKTRTVNMTAPFVPSFSSRGPQSISLNILKPDITAPGLSILAAFTGLNSINSDGTKDKRIVKYNVDSGTSMSCPHAAAATAYVKSFHPDWSPAAIKSALMTTATSMKIRPVGDELASGSGQINPRKAINPGLIYDLDINSYIGYFCKEGYNSTNIALLTGSKMYNCSSIPKALGADGLNYPSMHLQLQNPNETDISAIFYRTVTYVGNGNAVYKAKVRGPKCLSINVVPNILSFSKVNEKKSFTVELKGKFTRDKSWYISSSLVWGGNKPNVKSPILVYRPLFNVVY >Solyc07g005210.3.1 pep chromosome:SL3.0:7:202262:203432:-1 gene:Solyc07g005210.3 transcript:Solyc07g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature-induced lipocalin [Source:UniProtKB/TrEMBL;Acc:Q38JE1] MTTKEMEVVKNLDVEKYMGRWYEIASFPSRNQPKDGVNTRATYTLNQDGTVHVLNETWSGGKRGSIEGTAYKADPKSDEAKLKVKFYIPPFLPIIPIVGDYWVLYIDDDYQYALIGQPSKKYLWILCRQPHLDEEIYNQLVEKAKEVGYDVSKLHKTPQADPPPDGEDAPKDTKGFWWIKSILGK >Solyc11g010850.2.1 pep chromosome:SL3.0:11:3870328:3875317:-1 gene:Solyc11g010850.2 transcript:Solyc11g010850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSGTVFRINQHPSSPYMKTPRFYNFTKKKFLVKASVNGSDEINGKIVRKEEKDSLQIDFSGEKPPTPFLDTINYPKHMKNLSKMDLEQLAAELRAEIVYSVAKTGGHLSSSLGVVDLTVALHHVFDTPEDKIIWDVGHQAYAHKILTGRRSKMHTMRKTSGLAPFPKRDESVHDAFGAGHSSTSISAGLGMAVARDLLGNNNHVISVIGDGAMTAGQAYEAMNNAGFLDSNLIVILNDNKQVSLPTATLDGPATPVGALSSALSKLQASLKFRQLREAAKTITKQIGPQAHEVAAKVDEYARGMLSASGSTLFEELGLYYIGPVDGHNIEDLITILEKVKALPAPGPVLIHIVTEKGKGYLPAEAADDKMHGVAQFDPKTGKQFKAKSPTLTYTQYFAESLIKEAENDNKIVAIHAAMGGGTGLNYFQKQFPERCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGAILPLNNKGIPIEVGKGRILREGERVAILGYGSIIQQCLGAADILNSHDIRVTVADARFCKPLDADLIRSLAKEHEILITVEEGSIGGFGSHVSHFLSLNSILDGPLKLRSMLLPDRYIDHGSPVDQIEAAGLSSRHISSTVLTLLGRPKEAMVVN >Solyc04g080550.3.1 pep chromosome:SL3.0:4:64770651:64772751:1 gene:Solyc04g080550.3 transcript:Solyc04g080550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSKVLIIGGTGYIGKFVVEASAKSGHPTFALVRETTVSDPDKGKIVENFKNLGVTIINGDLYDHESLLKAIKQVDVVISTVGAMQLADQVKIIDAIKEAGNIKRFFPSEFGMDVDKINAVEPAKSTFAVKVQIRRAIEAAEIPYTNVSCNYFAGYFLPTLVQPGVTAPPRDKVIIPGDGNVKAVFNEEHDIGTYTIKAIDDPRTLNKTLYIRPLKNTLSFNELVAIWEKLIGKTLEKIYVPEEQILKDIQTSPIPINIILAINHSTFVKGDQTNFEIEPSFGVEASELYPDVKYTTVEEYLGHFV >Solyc09g060150.2.1 pep chromosome:SL3.0:9:58067064:58069610:-1 gene:Solyc09g060150.2 transcript:Solyc09g060150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLAPQISTLGSPSNSSDGSLLNKDTCGSPIRYPPLRQENNQNTNNVHAHSMISQSPQEHDECNQHSRQQKIMFETVVASMNEMVELWKMNDPFWVDSSSDRRCFIYREIYGRKFSNQVLPPQTSTGRIESSKDCGIVLMTAVELIHNFLDPVKWMNLFPTIVTKAKTIEVLDSGTWGGSMQLMYEKLHILSPLVEAREFLFIRGCRQLDATTWIMVDISYDIFNDIQSGEPYYSLKFPSGCAIQDMGNGQSKNTYSTDT >Solyc01g079100.3.1 pep chromosome:SL3.0:1:78025183:78029562:1 gene:Solyc01g079100.3 transcript:Solyc01g079100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AXF6] MRRHGWQRPLHTLQIVGISVFCFLLVFFYTFIGLLLGNRVVEITVTSIFSFVALSAAFLFIRCAAIDPTDKTRFRMRRKSTRTAFSNLNYGFVLGQIVVRFLRRIERRVLKTFIRRKYLDPLKSNLHLEPLLTFPLLVKDDLVAQQTKDDDISFCSLCDFEVKKRSKHCRTCNRCVEGFDHHCRWLNNCVGKKNYTSFIFLMIFLLIMLLIEGGTAAAIFVRCFANKRSIELEIQRRFSAKFPRGVLATICVILFFMTSYCVAALGQLFLFHIVLIRKGMRTYDYILAMREENQSMELLESSEDSDSSSDESIDFDSPEKPSLVSKLTCREKRMNQSLETVSIKIDGESSTLKEKRGFRANIDPWKLISMSREKALKAAEKAKERLIKQKEIVDPLKPLPLETRSGPLMNLDANRVPLSVASSRELALSKDVIITKGRVIRSGGSPMQLSSPRRRHSYSPTVVPTPRSGNTTVPSPSPKHRYKGNFDLKLTQVSKELETHISRQVLFSALKKENETAASPR >Solyc03g113030.3.1 pep chromosome:SL3.0:3:64810253:64812865:-1 gene:Solyc03g113030.3 transcript:Solyc03g113030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:K4BKF6] MAKNSFFYFFAFFTLFLCKNSCKATEEIKVYELKKGDFSVKITNYGATVLSVILPDKNGKLDDVVLGYDSIDDYKNDTTYFGGLIGRVANRIGGAKFELNGVEYILPANDHGNTLHGGSKGFSSVIWTVEDFEEDSHLTLTYDSFDGEQGFPGNVSVEVTYMFIETNKLALIMQAKPHNKATPVNLASHSYWNLGGHNSGDILSQTIQIFGSKITPVNDKLIPNGEITPVKGTGFDFLQPRTIGSKLSEIPGGYDINYVLDNTEGKHLRRVAIVEESKSGRKMELWTNKPGVQFYTSNMLDNVKGKGGFVYSKYAALCLETQGFPDSVNHPNFPSQIVNPGETYKHIMVYRFTAS >Solyc06g009125.1.1 pep chromosome:SL3.0:6:3074830:3077710:1 gene:Solyc06g009125.1 transcript:Solyc06g009125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSCICLYCKFPIDPFANLGFILIFSCAPTILESVAHFLFYYFGSCLTPFVGECSIKRHVSVTWVPIVPTNNMIERTDYRKIYGDTLFSNYYSTQLGRVLGSRHLRCTRIEMLSGSNLCAVNKTNMVANAISGNVKLGDIVLASFLEVYRSLLQMYKTLLKLHDAHVCATSVPLRTDLVHGVLLLLLDVMRTVLRLSLDFNYQQLLHKWQVRIRPKE >Solyc03g013040.1.1 pep chromosome:SL3.0:3:49120917:49122174:1 gene:Solyc03g013040.1 transcript:Solyc03g013040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKILFLCPLLVLILNQKIDVVDYSVTNTVANTPGGASFNRDIGAQYGQHTLAAAASYIWNIFQQQNFSADRKNVSKVSLFIDDMSGLAFAINNEIHVSARFIQGYSGDVKREITGVLYQESAHIWQWNGNGKAPGGLIEGIADYVRLKVGL >Solyc01g067530.3.1 pep chromosome:SL3.0:1:76085056:76096489:1 gene:Solyc01g067530.3 transcript:Solyc01g067530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLFTTTSTFSVSLRCQARPNTSRSRTNPKRLSTNTNRRRQKPNPPQRKSGEFRPPSPITTAAGDTATTFTRLPPKDDFFLPSLQDSTEMTLSELTIPTPAKNRKQELDGENVENVRFDYGKFELYEVDDDIEDESEDDFDENDDVDGQMEGTGSRVFEGIGKFELYEVDDEFDEDDDEGIEMEGSTSGVFEGGDVFEGEGSDIKEKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPSGGDGGRGGNVYVEVDGSMNSLLPFRQSVHIKAGRGGHGHGKKQFGAKGEDVVVKVPPGTVIREAGEGGIQGNVLLELLHPGQKALLLPGGRGGRGNASFKTGLNRVPKIAENGEEGPEMWLDLELKLVADVGIVGAPNAGKSTFLSVISAAQPAIANYPFTTLLPNLGVVSFDYDATVVVADLPGLLEGAHRGFGLGHEFLRHSERCSVLVHVVDGSSPQPEYEYEAVRLELEMFSPELAEKPYLVVYNKMDLPDAYENWKTFRDSLRSRGIEPFCMSAVKREGTHDVICAAYDLVCRREASKEEVRRDPVNLNYVADMVKKQRNAPINEFEISHDSSSKTWYVQGLGLQRFVQMTNWRYMDSDRRFQHVLEACGVNKSLLKLGVKEGDTVVVGDMELEWHDYDSAGSISRRKWSSEPSR >Solyc01g067810.2.1 pep chromosome:SL3.0:7:66857134:66857459:-1 gene:Solyc01g067810.2 transcript:Solyc01g067810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXRRKRKAVNIESEENASEVVGSERT >Solyc03g115163.1.1 pep chromosome:SL3.0:3:66449195:66455225:-1 gene:Solyc03g115163.1 transcript:Solyc03g115163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILENLNATKYVEKAGFSMAELNEMEHLCNSLGHLDSLLTYGKNSSYATDKSSRVEVYRMILAATIYRIFRVRAVLYAVVTSDAVRVHKEHIDVVQGDEARMDIRVMAFSSLN >Solyc11g063540.1.1 pep chromosome:SL3.0:11:49918485:49919439:1 gene:Solyc11g063540.1 transcript:Solyc11g063540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKISEVAFLTGQPLGYYGSWSLFSLSHHYIVWLATLKAYPLRSTPFVDYDLVGDDILITDKKVANQHSRLLDRLSVTISFAKSIVFENGTIEFAKRFWIRDMQKHISPISLKALTSCRTTVGLCQLSCRYSIEISTLQRLAGAGYKVRSRLMSTQSPRWERLKAAAWLKVAPQSLLKAKIIDYLREAVKPKLIQIFPKDLVFDGEREILERAVILNLMKQWLTWLSWYHTVALSPDVTIDQLFDVPICATSWKRSNEDYELINFGYVWKCYDMGAGWNLSTTPRWLFGPPTLSFILVDQ >Solyc05g009280.3.1.1 pep chromosome:SL3.0:5:3427315:3428799:1 gene:Solyc05g009280.3 transcript:Solyc05g009280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAQTSVKLKCAKLGYQYVVNHFLTCLLLPIMAMVFVQAIQLSPEKISNLWNNSIQFDFTKTVCSSVVVILVSILYFMSRPRGVYLVDYACYKPPLSLRVSFSIFMEHSRIILSSEPKSVEFQLKILERSCLGEDTCLPPAIHYIPPAPSLDTAREEAQMVIFSVMDSLFKKTELKPKDIDILIVNCSLFSPTPSLSAMVVNKYKMRDNVKSYNLSGMGCSAGLISIDLARDLLRVHPKSIAVVVSTEIITPNYYRGKDRSMLLPNCLFRMGGAAILLSNKWWIDLRRAKYKLLHTVRTHKGSNDKSYNCVYETEDSEGKTGISLSKDLMAIAGEALKSNITSLGPLVLPPSEQLLFLLSLIGRKVFNTKLKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELSYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNKTIETPTDGPWSDCIHKYPVYIPEIVKL >Solyc02g068780.3.1 pep chromosome:SL3.0:2:39262599:39272179:1 gene:Solyc02g068780.3 transcript:Solyc02g068780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDNEEHGFISVPAPEFGAIFMSNIATKRECFKHSVFGLPLSMGNFVKEVKKGMILFLFEYERRQLFGVYRAISDGGMNIVPHAFSSSGKQFSAQVQFELIWRCSPLSEDEFCDAIRENYFSARKFHFGLSDEQVHRLLRLFSSRKLKNKLPPRKLTTGVSNGIDKDLIMVSNKSYTLSGGSNIKRSNADLRPSLSRGHPRSFHGVKRVPDDMFSIDHREKNEDIVDSAEYLYYNDKKRRIGYDEAFSRDNAAEDKLHVHSPTEELGFPGDDEWSLNDRVKRKHKINTNCTPAPSNYMEDSDLRRTVHDANLVVRDQIVKENNMDSNFGPGRSNESDNARTRLGAHYAGFSRNNHVDRAHSMDISHEPSLSRNNRSDPFWNVGTASDNWPKTLDDRVGGKKSHLDPDINSTIVSERFVNSPYKKKGMRKDGRLFRREISENESKFRTGIIREFDHQESTDDDDVCFLSMRKGANEKCADRFLIPTASNGNSAYAGDVGRQMAEVGSYPMNDFDGLVPGTENFKRPLSGADCTVYSPMKKRTPGYSTKFLAGTEFPQSTEGQNLGQSCSKFHDATITRVMPYKDELPNSCYGHTETYEVEQGSIFVRGPPSSNVYRENNFASSKGISSPYSHPEFTKRGLESASEGGKMVLLSQDGFSNPLINVGISESVEPYRSGSFGYRTAFISRASIVPQLTRDDINEGETWRFSSQAALGSIARNSFSGNYQCADEQIADGHVIWQGSDATHVGRRCRSPNTSWLLQGNVLTNLDYANRPGADVVNDEYENNRLTKVLHSDTRNSRRSVFSRLSLAPKVHKPREQEFDYSMSFDEHYYMDTTVDEIMDSLYEDQKIVPKKPLNRKPFIRKVGSGETNRSGKHASVVKNDAEQTADSMMRVLKGSANEVLEETMNHILAETRMVDFKRRRETNRTSEQSTVKLNKEEETNANEHTVLQNAQGNSSQTAVAKDSADKPFKRRKLVRPVFDENNCRSDLNHQLPCQTLDTAKTDKVITLGMLCSHRVTGDPSDPLDWISLLGGLHMGITCYFHPNTLLRPKPSVTSEVATAYRPPYHMLRNLRTRRRPLYGAHICALAAAILLLLSVSLLYSRLNFFLQPNNPHPHPLQYDTISLNNPLVDDLADADYRSSDDRIDELDVADSNNNNDDEFLLSNESEEDDEEIINQYPRVSSTYFYDQRHGVVRRAFNKRSIEEWEDYVNFESRMKLGLGFKSDESKAAFGSDDLPVDVQMRMKLSEIESVEDALLLKGSPLREGWGEWFEKKSDFLRRDRMFKSNLEALNPNNNPMLQDPDGAGTTGLTKGDKIVLKGLMNEFKKVPFLVKKPLSVSELTKSELVNDALELQKMAGLAKNDVFESKELKFNSDLVKTNDEDVNRGKRVKRRTLNDDARIGKRVVHDSGGDSAPRSKEDIRNGNMKVVEDDSRGEVSGLVFADGKRWGYFPGLHPRLSFTNFMDSFFRKAKCTMRVFMVWNSPAWMFTARYQRGLESVLNRHRDACVVVFSETIELNFFSGFVKDGFKVAVVMPNLDELLLGTPTHVFASF >Solyc04g007370.3.1 pep chromosome:SL3.0:4:1065652:1072779:-1 gene:Solyc04g007370.3 transcript:Solyc04g007370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSKMEGSSAPAVRRDPYEVLSVARDSSDQEIKSAYRKLALKYHPDKNANNPEASELFKEVAYSYGVLSDPEKRRQYDMAGFEAIDAEGTDMEIDLSNLGTVNTMFAALFSKLGVPIKTTVSANVLEEALNGTVTIRPLPIGTSLSGKVEKQSAHFFGVTISNEQAEAGLVVRVTSAAQSKFKLLYFEQDANGGYGLALQEDSEKTGKVTSAGMYFLHFQVYRMDSTVNALAMAKDPEAAFFKKLDGLQPCEVSELKAGTHIFAVYGDNFFKPATYIIEALCAKSYEDTTVKLKEIEAQILRKRNELRQFEIEYRKALAHFQEVTNRYSQEKLSVDEMLKQRDSIHSSFTVSRSIANLSGSGSGHFSNGSSSKVPGEDYKTDSPGEDGSSDSKDKSSKKRWFNLNLKGSDKKN >Solyc12g042340.2.1 pep chromosome:SL3.0:12:58409207:58414302:-1 gene:Solyc12g042340.2 transcript:Solyc12g042340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRRRRFLAPTSSPSPSIGDLRVKFSRIVSHQEQLKLAFNQLDFQIRTGLQEAADVFESLANPLMKLVGLKTVEMAEEGKINTVVFDYDHFYPDDCKRNENGAERTVRKEASEIEEEGYMNRAKTIGRELIQKQEMQLMQLIHLLRQVESQVNTSQTNILQTLSDHQNSIHNVFKKAVAYVSAIHQTGENNDTSLITIQLLKHIFRLVVTTLSSVEYGVDNLVDELATRMCSPMVDYVKGLKLEVRSGRCHHLLSIVEEMGGAMRTGRTELEEARKKARIAEHNRLEVLYKLKKSEEMARKYQGFLSKAKNGSKELFEQEKIVVKERDHAKEDNLLWELLQKKRKLGMGNGSSKPCGSLRQLKELGPRTPHLGPSSSPTYSSNGQRLLPMIPLGTSPSVTYPQHKPQKKVKSGFHT >Solyc09g082470.3.1 pep chromosome:SL3.0:9:68606738:68611942:-1 gene:Solyc09g082470.3 transcript:Solyc09g082470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSKEKVEEGSKLDGVGTVSNNNMESKSLRSISSKDMIFRADKIDLKSLDVQLEKHLSRVWSSNLEKQNQQRPKEVWEIDPLKLEIKYLVAKGTYGTVYRGTYDNQDVAVKLLDWGEDGMATAAETASLRASFRQEVAVWHKLDNPNVTRFVGASMGTSNLKIPSKNPSDGYTTLPSRACCVLVEFLHGGTLKNYLYKNRKKKLAFKIVHQLALDLARGLSYLHSKKIVHRDVKAENMLLDTSRNLKIADFGVARVEAQNPKDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDLPYLDLSFAEVSSAVVRQNLRPNIPKCCPSSVSNIMKKCWDANPDKRPEMDEVVKMLEVIDTNKGGGMIPEDQGNSDVSNPLARLTLIVPEKASMGILAFPIEEKISFLKQFFKYIVWLKILLCHMAMAMARLQIVFWFFC >Solyc11g042803.1.1 pep chromosome:SL3.0:11:34820595:34821389:1 gene:Solyc11g042803.1 transcript:Solyc11g042803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTHPFLYRELRLAKNPTMGIWAEEFEEIRSMFFEKPFNGNNKPNGYCDEPNDIYSKMDAFYFTTSWKKLRSFTVVANFIVTSPIIMEDIIPSTTFLANSNMKV >Solyc01g014020.1.1 pep chromosome:SL3.0:1:10990916:10992293:-1 gene:Solyc01g014020.1 transcript:Solyc01g014020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLHEFKCKTLIEFVLKQNQLEGAIKISLIDQKLLNRFEGHIPSIIVYLVGLRTLNLSHNSLEGHIPASQHQLSVLESLDLSSNKISGEIPQQLASLTSLEVLKRSHNHLTIILLDDCRGDDKVLQTTTPVDLDQEGGGDSSMISWKAVLLGYCCRLFIGLSKIYIMLSTQYPTWFSKDGFKIGTQNYYNNEKSQETILVIVTSKYSV >Solyc06g065030.3.1 pep chromosome:SL3.0:6:40656544:40667620:-1 gene:Solyc06g065030.3 transcript:Solyc06g065030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVAALSSHFLIANSTIKAMFFVFQFFTIFIICSCFLACPILAKYQENDSVTLWVNKAAPYVNPQETYSYYSLPYCRPAADGDHKWGGLGGVLGGNDLIDSRIDIKFKRDVEKRSICELKLDASKIARFKYAIDNSYWFEFFMGFVGEVHPHRVGHNTHALYTHKMIQIDYNRDQIIHINLSHEMPKPLEEGRTLDMTYSIKWFPINISYEQRFNVYLNNYFFENEIHWFSVFNSIMMVIFLTGLVSMILMRTLRNDYAKYARENDDMETQERDVLEESGWKLVHGDVFRPPQNLALFSAVVGTGAQLATLVLLVILSAYFGKMYMRRGAIITTFVVCYALTSFISGYVSGGLYSRNAGENWMKSMFLTASLFPFLCFGIGFILNTIAIFYGSIAAIPLGTIVVVLVIWAFISLPLSLLGTVVGRNWSGTPNNPCRVKNIPRPIPEKKWYLRPYVISLVGGLLPFASIFTEMYYIFASFWTYMCSYILAERAFQFLHYYVYGFLLLVFIVLIIVTICVTIVGTYYLLNAENYNWQWTSFFSAASTALYVYLYAIYYYHSKTKMSGLLQTSFYFGYTLMFCLGVGILCGGFLETSNVIKCMTMHKTEHVTSTIHGSNTINPIDGTNSISIQSVVSNLAVPMANGVAISDAETPFLGNVVEGSVDYKGRPVTRSKSGGWRSASFIIGVEVAERFAYYGIASNLISYLTGPLGQSVASAAENVNIWSGTASLLPLLGAFIADSYLGRYRTIIISSVLYILALIYFAEADHNFKSTVVEYWTRSHLVTSSARKGHGLGFLTLSTVIPYSHSECQDTATCSPPTFQIIFFFFSLYLVAIGQGGHKPCVQAFGADQFDAQDPQESKAKSSFFNWWYFGMCGGLFMTLYILNYVQDNLSWGLGFGIPCIVMGLALVVFLLGSFTYRFHQSSDDKNPFIRIGNVFINAARNWQTTTSSVEQEVQGILPHEGSEQFKFLNKALLAPNGSKENGKICSISEVEEAKAILRLIPIWTTCLVYAIVFSQSSTLFTKQGATMDRSLGSNFEVPAASLQSFISLSVVIFIPIYDRILVPVARAITGKPSGITMLQRIGTGIFLSILSMVVAAIIEKKRLQTALEHSLVDMPKATVPMSICWLIPQYILFGISDVFTMVGLQEFFYDQVPVELKSIGLSLYLSIFGIGSFLSSFLISVTESITGKDGQTSWFSDNLNRAHLDYFYWVLAVLSTIAFTAYLLLSSLNNLALETSFQQEHYPKTCQINCKANTNRETHLLFKAKCPHATHKLKWFLSFSLQEFLVHLETVAFAIDFLNCSESNLYSRGATSTSESDLIAQQDSMERTVYDDFHQPSSPMTQGLLGGVSHSIKSFEKEPILVVDGRHGKPQKYHSIRWNSKKKTRKNSFNNATIILCCKFKKGFKVVRCNDVWSSIPQYFSILFVGQFRRMKRVEKQVIFHVFT >Solyc04g063230.3.1 pep chromosome:SL3.0:4:55381819:55388491:-1 gene:Solyc04g063230.3 transcript:Solyc04g063230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESYSHSGGRKSSDFCSKLTVVTFLGISCIGIWMLISSSFSITLQNSEISSTNILGQYSGSSADFLVNTKRGEDETFQGEKRFRRGRYLEEIGDEKGLDSYQNEEREGESSNLRNEEENQENSESSPSGDESQSGDESSNRESEEVDSREKDSTSGDGESDTGGEDSNLDASETTEKAKKGETENEVFPAADQSEILKEATTQNGPWSTQAAESEKENESQGSSSSTDDKKGDKWKLCKTDAGPDYIPCLDNVQAIRKLQHTSHYEHRERHCPVEASTCLVPLPSGYKKSIGWPRSRDQIWYSNVPHGKLAEVKGHQNWVKVTGEYLTFPGGGTQFKQGALHYIDFLQKTLPQISWGKQTRVILDVGCGVASFGGYLFERDVLAMSLAPKDEHEAQVQFALERGIPAISAVMGTKRLPFPGKVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGHFIWSATPVYRKDEENVGIWEAMSELTKSMCWELLEINEDKLNEVGVAIFRKPTTNDCYQSRTQNDPPMCEEADDPDAAWNITLQACLHKAPADASARGAKWPAKWPLRSEKLPYWLKSSQVGVYGKAAPEDFAADYDHWKNVVSKSYLNDLGINWSSVRNVMDMKAIYGGFAAALKDLKVWVMNVVPIDSPDTLPIIYDRGLFGIYHDWCESFSTYPRSYDLLHADHLFSDIKKRCTIESVFAEVDRILRPEGKLIVRDNAETILEIENMARSVKWKVKMSYSKNGEGLLFVQKSFWRPNQEQIVKSAIA >Solyc08g061000.3.1 pep chromosome:SL3.0:8:46406944:46414325:-1 gene:Solyc08g061000.3 transcript:Solyc08g061000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENKENDAYEEELLDYEEDDEKIPDSTTKVNGESSKKGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVAGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKLHKELLKNECPHIVVGTPGRILALARDKDLSLRNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSETEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLMECNFPSICIHSGMSQEERLTRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEVLNQVQERFEVDIKELPEQIDTSTYMPS >Solyc09g015350.3.1 pep chromosome:SL3.0:9:8593236:8599553:-1 gene:Solyc09g015350.3 transcript:Solyc09g015350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLIDTAIHDSSLKSMNYRYNTSLIIFKVKDYNATIEFYWEPLLVESNSDDPVHHRLPERIVRANSIEKHGSRWTNADFIVFNTYLWWRRPHIKVLWGSFEKSDGIYKEVKMLRSYEMALKAWADWLEIHVNRTKTQLFFMSMSPVHERAEEWGKAKGENCYSEKELIEEEGYQGNGSDPKMMKIVESTMDELKHRGLNVHLLNITQLSEYRKEGHPSIYRKQWDSLTEQQIANPSSYADCIHWCLPGVPDVWNELLYAYIFNNY >Solyc08g067245.1.1 pep chromosome:SL3.0:8:56334719:56335957:-1 gene:Solyc08g067245.1 transcript:Solyc08g067245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFFFNILLSIIRKEDKIPVGFFIFPLFEMGGGGRVEVVSSKGCSKLLVDFSSSFRGIPSYSLEPFMSPASSSSVMSQSILTTPSNCPFSGLVICVIPEARKQVKEATERLGGKYSPHLHPQCTHLVFFWT >Solyc10g084320.2.1.1 pep chromosome:SL3.0:10:64040422:64042632:1 gene:Solyc10g084320.2 transcript:Solyc10g084320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFATHQNWHSYIIDTIKIEAPTTQNTHHPLPKLLYSYDNVFHGFSAVLSKDELEALKKSPGFLSAYKDRPVEAHTTHSPEFLKLNPASGLWPASGFGEDVIIGVLDTGVWPESASFRDDGLSAIPKKWKGICKPGTDFNSSLCNRKLIGANYFNKGLLASDPTIVLSMNSARDTRGHGTHVASIAAGSPVKGVSYFGYAPGTARGIASRARLAVYKFSFEEGTVTSDLIAAMDQAVADGVDIISISYGYGFGPLYEDAIAIASFGAMMKGVLVSASAGNNGPEMGTLSNGFPWIFTVASGSTDRSFSGTITLGNGLKITGFSLFPVKTNIKDFDLVYNGSLSTCDSSDDLALVPNKARSITICYSTAQEDLSVSDQMGAISEAKFGGALYVYGDPDVLSSNYFTTPGAVISSKDWKKVVDYAKTSAKPKVSISLQETHFSVKPAPVVSAFSSRGPSLSYLRVAKPDIMAPGELILAAWPSNTSAAVIGVNTFLNSDYSLLSGTSMAAPHISGIAAMLKGVHPDWSPSAIRSAMMTTANPLDNTEKPIKTIDYLRTSYATSLSMGAGLVDPNRAVDPGLIYDATPQDYVNLLCSMNFTAKQFKTIARSSAKHNCENPSDDINYPSFIALYVPNGDYAWLEQKFKRTVTNVGPGAAIYKVKVKAPINSTISISPQTLVFEKKHQKQDYTLTIRYRGIEFDQAQSGSVTWVEKNGHHTVRSPIVVAPALDVPNEND >Solyc10g017690.1.1.1 pep chromosome:SL3.0:10:5825227:5826327:-1 gene:Solyc10g017690.1 transcript:Solyc10g017690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNPFSAKTEIGSSSKQQTDIGEDGSSSNQPRPGVTITNQCTKDTYLLIVKIGSFSNGRCPVYKALFSRNKPRSSGPVPRGYVSLKIIDMKLHRNEFKLIQHQLQTHLYLPECPKIIRSKKTFFASNLLCVSFPYMSEGSLRSILSTRPEKKLPENLIPVVLKQVLIGLRDEIHDFCRPTVHKSLNAGDIFVNINDDTNEVSINLAFELSVYDSGSPFEQGRLFLNPNNISMWGAAPEVFGSENEDNRGEKSDMWLLGITALELVYGNLPVKNRTDLNYIINKLREKKKFPKSLEKMIIKRDNKLKKVMDLVKRKKSVFSREFEEMVLSCLRENPDDRPTAFELLNTPFFNDFEKFKQFVLIDQ >Solyc03g063550.1.1.1 pep chromosome:SL3.0:3:37091657:37092121:1 gene:Solyc03g063550.1 transcript:Solyc03g063550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSSHPKTSLKSSSTSINSLKLKSLVQSFIFSHIYRAITKAKSLLLQIMKKKKNNVKLFVGSFRLHYNWCSSHVMPVPVPTAFDVYYDSTWNSIISSACDESELSGYLQWLEEKDNNDIDKLADMFIANSHERFRLEKVESYRRFQEMLARSV >Solyc10g079075.1.1 pep chromosome:SL3.0:10:60830289:60832909:-1 gene:Solyc10g079075.1 transcript:Solyc10g079075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTISKEPIVQGIKLLHISFKSYQIGQVTSGWWLGLDALGREHMVASRRRLLSGLEVAGDELWLHSESRGSCLTNSDFDPEFERVELDSGELPAAAKTENLEALSIKAISEGKIGGGGG >Solyc02g068840.1.1.1 pep chromosome:SL3.0:2:39314348:39314518:1 gene:Solyc02g068840.1 transcript:Solyc02g068840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKNDLRLCVYTSFIIFSTTSSCISETESTVHFFGIIISMEILKSYFFGICGLLI >Solyc04g049180.3.1 pep chromosome:SL3.0:4:39965327:39971939:1 gene:Solyc04g049180.3 transcript:Solyc04g049180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNMYTGFTRLCKGLAVVLVGGHIVVQILPSALSYLALIPAKTIPFAWNLITAGYTEQTVYGAIISTIGLLFLGKLLEPIWGSREFLKFIFIVNFLTSVFVFITAISLYYVTRLEIYLYMPISGFQGVLSGFLVGVKQIMPDQELSILKLKAKWLPSLALLFSIAISFFTADSVSYLPTIVFGTYLGWIYLRYWQKKPETKLKGDPSDEFSFSSFFPEFLRPVIDPIATIFERMLCGRRSETSNEERGYTLGGSTLPGSDPIEASRRRERGARALEERLAAELLASGKKGEESERGVNDNV >Solyc10g047730.1.1.1 pep chromosome:SL3.0:10:41991845:41992099:1 gene:Solyc10g047730.1 transcript:Solyc10g047730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIGRGHGRRKVNSGSDGAGGGHENFQEREHFGTTSQPPLQQIRVAEVSLETGHAPNPSQRRLHAEQISGDPLQRTSLGTQSA >Solyc04g064720.3.1 pep chromosome:SL3.0:4:55872472:55877836:-1 gene:Solyc04g064720.3 transcript:Solyc04g064720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLPVGKAVLRSRQPSKCYMFNADLQHPKSIPILPPYKKRVKQNNTLNKSVLLSPLVCQYRLKPVSALDSDVPYPIEQSSEGLKSSESFKQWDSLTAKFAGAANIPFLILQLPQIILNARNLLAGNQAALFAVPWLGMFTGLLGNLSLLSYFIKKRETEVVVVQTLGVVTIYVVISQLAMAGSMPLPHYAVTSVVIACGLVVNFMNYFHLLNPVIWRYWEDFITIAGLSALPQVMWSTFIPYVPNTILPGAVAFVLAILAVFMSRTGKLPEKGIKFVGSLSGWTATLLFMWMPVSQMWTNLLNPDNIKGLSALSMLLAMIGNGLMIPRALFTRDLMWFTGSTWACVFYGWGNLVCLYCCEVISREFFLASTTAFVAWLVFSFWRDTQVYGYNSPLKSLKELISGS >Solyc08g065480.3.1 pep chromosome:SL3.0:8:53648908:53657909:-1 gene:Solyc08g065480.3 transcript:Solyc08g065480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferrochelatase [Source:UniProtKB/TrEMBL;Acc:K4CLC8] METGTISRLLKPTKVPSSSFSSFTSNSSICCSRTRLMPPFHSSHGGREMNSDEREITILSSELTLKKSSVVEGLSLHRPVHKRDVVGKTFCSVGLSTFPGSIVESSSQTTEEKIGVLLLNLGGPDTLHDVQPFLFNLFADPDIIRLPRLFRFLQRPLAQLISVLRAPKSKEGYAAIGGGSPLRKITDEQASVLKIALETKEVPANVYVAMRYWHPFTEEAVHQIKRDGITKLVVLPLYPQYSISTTGSSVRALQNIFKGDSYLSRLPVAVIESWYQRQGYIKSMANLIEQELHNFTKPEEVMIFFSAHGVPISYVENAGDPYRDQMEECIFLIMKELKAREINNDHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALNCTSSFITDLADAVIEALPSTMAMSTSTGTEEEVDNNPMQYFMKMLFGSVLAFVFLFLPKMVSAFNKNIL >Solyc02g089300.3.1 pep chromosome:SL3.0:2:51800961:51802589:-1 gene:Solyc02g089300.3 transcript:Solyc02g089300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLGFNIQLVLVIALLILQPQQHTSANVLFGKLRKKEWLKFTSIEKDHDSHVDGIVASAGGIRKMLVYQFSVKGDAGFDSKKTSHMNVQADIKKNHEIGKKEESKEFVDVEDEITKLLTKDYSGRSKPRRSKPPINNHKPTD >Solyc03g005000.3.1 pep chromosome:SL3.0:3:16601:18989:1 gene:Solyc03g005000.3 transcript:Solyc03g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCFSPSVLSCKIKPPITGFRKQRLSLFVCRAASLPFRDLDADDFRHPLDRQNTLLLRAIPGLKDIGKALLGTVSEQIMLLENIGTSVLVSEDQLPELHYLMVEASRILNIEAPDLYIRQSPVPNAYTLAVSGKKPFVVVHTSLVELLSRNELQAVLAHELGHLKCDHGVWLTFANVLTLGAYTVPGVGSLIAQRLEEQLLRWLRAAELTCDRAALLVAQDPKVDESRIVNSV >Solyc03g096050.3.1 pep chromosome:SL3.0:3:59297434:59300953:1 gene:Solyc03g096050.3 transcript:Solyc03g096050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTRDYHWPEPIVRVQSLSDSGICTIPTKYVKPLNDRPCLSNFSDVNIPTIDLEGMNNCKEISEACRDWGFFQVVNHGVPPELMDEARQVWREFFHQPMDVKQSYGNTPKTYEGYGSRLGVEKDAILDWSDYYFLHYLPAYLKDYNKWPALPSSLREVMEEYSKQVVNFGGRLMKILSENLGLNEDVLQNAFGGEDIGACLRVNFYPKCPQPDLTLGLSPHSDPGGMTILLPDKHVAGLQVRRNANWITVKPASHAFIVNIGDQIQILSNAIYKSVEHRVMVNSTEERISLAFFYNPKSDLMIEPVKELLTTHNISPLYPPRTFDQYRLYIRTKGPQGKSQLGESNKSNPQHDKCN >Solyc12g036320.2.1 pep chromosome:SL3.0:12:46247089:46251099:1 gene:Solyc12g036320.2 transcript:Solyc12g036320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTQLFFISLLFLFIINSSKADNTTSFGYCPSSVCDNGLNISYPFWRLDKYSSDSPQYCGYPGFGINCSQIDSILYISSDSYNVTNIDYSVYSINLVDIDALDDKTCPRVHHNVTLHKDFPLKYSELDLFVNFYYNCIHSPNSYIAYPFDCLNSNGNKSYHAEEEEDFDWTRICEKKVVVPVTKDGFTRGIGAAMVEGFLLHWVNAATECVECEASQGRCGYNNSAHESLCYCKDGTVKFDNCNTKEIPKGLTHHLMGEPSSLDPLGAK >Solyc01g056875.1.1 pep chromosome:SL3.0:1:57372112:57379524:-1 gene:Solyc01g056875.1 transcript:Solyc01g056875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYCRAPMRLQKWVISPKEVSAPFVDEETAIGFLTLREEVNIIAFFASQSYAKWKSGLSRILSLLLLLLQTIGAPSSPAPMDTAGSNKRKIGNPITNKKDKQEVTSYHKHALEVKTSPPKHNNKYVVCNLHSCSTFCAQVLFFHFSILHKDGIKLNSIETQASVHTHEQFSHVKYLVTPHKPGREAQNILMAKMLMLGIKAENFIVLTCGEKKSMMFSLYFGACVRSVILLSPAAILVIVRSLDSRALTSSSFTKPSLESNERGLAIGKSEPYLEGMTKDILHFSSNEILNEIPNGRMLVLKFLGARNFSSFFSNNIPNLFLLDICYDD >Solyc06g006050.2.1 pep chromosome:SL3.0:6:1065274:1068807:-1 gene:Solyc06g006050.2 transcript:Solyc06g006050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIALTGNNLTGMLPKTICDHLPDLEGLYLGRNSLDGVIPPNLEKCRKLQILELSENEFAGTVPRELANLTTLTELAMSALHLEGVIPMELANLKNLQGLGLARNEFTGSVPESIFNISALQTIDFGQNKFSGTLPSDLGRGIPNIEVFLCGGNNLSGFISASISNSSKLKLLDLSNNSFTGLIPKSLGNLQYLEYLNLGINNFISDPSLSFLTSLTNCRKLRVLELGDSPLDGVLPASVGNFSNSLQNFEAYSCKLKGVIPREIGNLTGLTRMSLFNNTLTGHIPNTVHGMSILQELYLLNNKIEGTIPDVVCNLKRLGALLLSKNHFSGSVPFCLGNITSLRILHLYNNKLDSTLPSNLGNLQDLIELDVSFNLFSGEIPMESGNLKAATHIDLSNNCFSGKMPSTLGGLDKLIHLSLTHNRLEGPIPESFGKMLSLEYLDLSYNNISGQIPKSLEALVYLKYLNFSFNELSGEIPTGGPFANVTSKSFLSDDALCGDSRFNVKPCLTKSTKKSKRKRVLTALYILLGIGSLFTLTVGIVVLRLRNTKKNATQKDVSLVKGHERISYYELEQATEGFNEANLLGNGSFSRVYKGILKDGIIFAAKVFNVQLEGAFKSFDTECEVLRNLRHRNLTKVITSCSNLYFKALVLEYMPNGTLDKWLYSHNLFLNLLQRLDIMIDVASAMDYLHNGYSTPVVHCDLKPSNVLLDEEMVAHVSDFGIAKMLGAGEAFVQTRTVATIGYIAPEYGQDGIVSTSCDVYSFGIVMMETFTRTRPSDEIFTGDLSIQRWVNDSFPGEIHKVVDSNLVQPGDEQIAAKMQCLLSIMELALKCTLVRPDERISMNDALSALKKIRRQLVSSRH >Solyc07g014605.1.1 pep chromosome:SL3.0:7:4957145:4957881:-1 gene:Solyc07g014605.1 transcript:Solyc07g014605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNIQQFHRTMLQSSSVNISISSSLRGRKQPPTPFYHNGLSRVTPESTKRTVNTEIANTKLQLICSGNENLILVTPEV >Solyc06g065520.3.1 pep chromosome:SL3.0:6:41014169:41022463:-1 gene:Solyc06g065520.3 transcript:Solyc06g065520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit eta [Source:UniProtKB/TrEMBL;Acc:K4C7I1] MSAMMQPQIILLKEGTDTSQGKAQLVSNINACMAVADVVRTTLGPRGMDKLIHDDKGNTTISNDGATIMKLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFVEEGVHPQNLIRSYRTASNMAIEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVLAIGTDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPAQYQSIVDAEWNIIYDKLDKCVKSGAKVVLSRLAIGDLATQYFADRDIFCAGRVSEEDLQRVAAAAGGTVQTTVNNVIDEVLGSCEIFEEKQVGNERFNIFSGCPSGKTATIVLRGGADQFIEEAERSLHDAIMIVRRAVKNSTVVPGGGAIDMEISRFLRHHARTIQGKSQLFINSYAKALEIIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGDAAASAMGGGRGRGRGRGMRRR >Solyc02g069180.3.1 pep chromosome:SL3.0:2:39703238:39704929:1 gene:Solyc02g069180.3 transcript:Solyc02g069180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEARHLNLFTQQQQQMVSNQGNGFSYNTQIVGSSLLPLPTPENSFLPFHQSLMCDSVQPKTSVNTDSGLTFNLPTTVNATTAAGVAATRKRSRDSFNQFNTCNTTSFVGDDVLPLLQQYQCDIDRIISLHTKKVRMELEERQKQQARVLVAAIGEGVSKKLKEKDEQIQRMGKINMVLQEKVKSLFVENQLWRDLAQTNEATANSLRNNLEQVLAHVGDERISAGGNFAGTAVEEVAESCCGSSDHGAGAEEEDEIEGRRTIVGEAQDNRMCKRCGERESCVLLLPCRHLCLCAVCGSSLVHTCPVCNSNMNATVHVNMSS >Solyc01g106180.2.1.1 pep chromosome:SL3.0:1:94034372:94034929:-1 gene:Solyc01g106180.2 transcript:Solyc01g106180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VINMWHTTATATEKREEDGEVTQRSPIRVHRKRQNTGDLNGVKERSFRSQTGRSAPSPEKRRSPASSRGVQGRGMSQQRRNVGPPNGPRRGPSENGVRRSNSPAKRGPVDARRNVRNRSPAAREAEKPGNQSPTRNAENEGSSSKTEKPKEEVSPVIGESLENPLILMECFIFRFDDKNILSHQT >Solyc11g068580.1.1.1 pep chromosome:SL3.0:11:53546332:53546943:1 gene:Solyc11g068580.1 transcript:Solyc11g068580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFLKTVLVVVAVFSISSMAQASDPDILSDFIVPVGSAIDGNLFTFNGTRAIFGSVIQKFSVTKVGKAEFPVLDGQSVSLAVLQFPRGSVNPPHTHPRAAELLFVVEGSLEVGFVDTTNKLYTQTLQVGDLFVFPKGLVHYQYNSNRNELATAVSGFGSANAGTVSLPTTLFATGIDDQILAKSFKTDIATIQKIKAGLSP >Solyc04g072260.2.1 pep chromosome:SL3.0:4:59340344:59349872:-1 gene:Solyc04g072260.2 transcript:Solyc04g072260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLGFLSKFNTFSSLMLAYQSFSLKEFIEMSSIGEFKKRLQLLVAFHGHISTGLRNGTYSRILEHIGTNRRKIEVEMGTFRRLLKYRKFQENQAEAQKDHAEIHYYDDLLQQPVMLLINQEAKRSGINPQSTDEPSLLDSFDRSRALLNIVLDQKQSKMDSLINLLLFNHSPSWFSDWWKKVENAVHGLHLDVSTDTDLSRLVEDSESCIFQNQSGVANVIKDGQGFKSSCLLYLDEWKQLRQTIEEVCGTAVDCLDVWVDASKKMGKRRVFSDFLKLLDSCGLSKHRALFMEEQWRVNILMCWFLQPSYDIQHLLLTQGPLASKDSEVSRGQLQCSLDESLETKWKTANLYYFKSINSVHVLQQICLNFHKDFTLEQVNKSGSYIDHLTSIQQEQREVAYAFSQRLKCLKELLLPLASLSSGNIPFTNATCDQSFAKNQYCIYKCLWQQKQLFDNLYGMLYEEHLFVQTIEGFHLNTCPSVKDSAMQIRLFIEKYLPIVQESKDLLDSYLIGIHGVRRMEETPLHPIAITKDMKQLVYKNFDLVNDFKVAFRAFHGQDGVGEPVKDIVHGNSVKDILLGNFEEIFDKSNFMHNQFRSRSTSEERAQDFIHYPGDTTALQAEFDNSLVKTYRAIIETLKGLVTLKNGRAPSDGVNINALKILLESATRHLQSDLSDRLVNSIHLGGELLNRYSAGNANSYSDVRGHVENLYSLLDVIIAFGDGLLHDFLIMHRMLSMMTHVLANIFASLFAKGFGTKEEDTDDANQDLIQDQSGTGMGEGSGMNDVSDQINDEDQLLGTSADRDEENTLGDAPSKTDKGIEMEQDFVADTFSVSEDSGDDEDGNEENEEMESAMGETGDQGEAVDEKLWDKGEDNPSTADEKYENGPSVRDSGIDRELRAKDDASEAADEAGGLDLDKSEEQADENGNDETCEEMEDINMDKEDAYADPTGLKLDEHEQGPEDDCNMDEPGTAEPMIEDDLDQQGNPADENEGDERADSDATFDEADPEHLDESSGGAGEEGDPANDTKKEPTTENREMLQSDTSQSVGDNVPTAASEPRGEYNQANLKDAAPEAKGSDVSGLQHDLAPMRGLPDASMVEIMASDSSNGQKLGSDQPENPLPPADSSRQRIQPNPCRSVGDAFEGWKDRVKVSLDLQKSEAPDDLAAENANEYSYTAEFEKGTAQALGPATADQVDKNVHGNDLERETATMERKDDISEMEIERHLSEAHTISNSALSFSNDKGKGSEMMNTEEQLESPSEVDTRDGTTVPSLSQSMVSVNRSFLSEDINRLSELSVDDDNLGKARNLEEVSNEMRESAQTLWRSYELRTTRLSQELAEQLRLVMEPTLASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRNYQVVIAVDDSRSMSESGCGSLAIEALVTVCRAMSQLEIGQLSVASFGKKGNIRILHDFDQSFTGEAGIKMISSLTFKQENTIAEEPMVDLLKYLNNMLDAAAANARLPSGHNPLEQLVLIIADGWFHEKENMKRYVRDLLSKKRMVAFLVVDSLQKSILDLEEATFQGGDVKLSKYLDSFPFPYYVVLKNIEALPRTLADLLRQDKLSKSHPRNLSPYRITHSEAFLGFRFMFSPLGGGEGHFTKSGEFQILTNSTMGFNIDKVKISVGLDQIQSSLTSVLSHVT >Solyc07g049510.1.1 pep chromosome:SL3.0:7:59912821:59913232:1 gene:Solyc07g049510.1 transcript:Solyc07g049510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIATEDEEVTVEVRDASPAHYLLKIESFSLLSESGIDKFESNEFVAAGYKW >Solyc02g064950.3.1 pep chromosome:SL3.0:2:36614270:36625852:-1 gene:Solyc02g064950.3 transcript:Solyc02g064950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASISPSGVSLHRSSAAFHHQLPCLLLSLPCHKVATFAKCFLSVRLWNSRNHFSVTASNTLTANSVEPRNGVYTVGDFMTRKDDLHVVKPSTSVDEALETLVERRITGFPVVDDDWKLVGLVSDYDLLALDSVSGTGGADANMFPEVGSNWKTFNEVQKLISKTKGKVVGDLMTPAPLVVRESTNLEDAARLLLKTKYRRLPVVDSDGKLVGIITRGNVVRAALHIKRIMEMEGQQ >Solyc06g011663.1.1 pep chromosome:SL3.0:6:11052658:11054834:-1 gene:Solyc06g011663.1 transcript:Solyc06g011663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLGSIHGRQCRCCMTSPPLDSTHAHTVERRRAWHAIIALGRQTQWNFVRRDMPSLPLDSTYGQTTLDVVCHHRLWVAHTVERCRAWHDITTVGQLTRSNDVGRGMPSSPLGSTSGRTPSGMACHHRLWAAQTVERHWTWHDITALGHHPWSTWHDITALRAAHTVKHRRDWRNTIAFGQHTRSNDVGRGMPYNVWAAYTVERCRAWYAIIAFGKHKRENDVWRGMISLPLDCTHGRTSTNGRQHRAWHDITALGPHTRSNNPLDGKHDRTTLGVTCHHRLWTAQTVKRRLEWRAIIAFGQHTRSNDVGLHSRTTLGVECYHRL >Solyc09g074765.1.1 pep chromosome:SL3.0:9:66999256:67001278:1 gene:Solyc09g074765.1 transcript:Solyc09g074765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDGHNKIYKSFSGVMKAKMEDFERLCLSEDDGQGGRRKKKGLKEKIKEKFTGGKHKNEEPHHQAHGVGTRTTTTTTTTTEHEKKSMMEKIKEKLPGHHNHH >Solyc09g005520.2.1 pep chromosome:SL3.0:9:345606:348242:-1 gene:Solyc09g005520.2 transcript:Solyc09g005520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMFMKMEKCTCSHRRHAHVNLASRRAKKSILKRIKANLAITNTQKLSNGEEEAIKKFNLSRCQHKKKKKNQICKGKSPSNDQMEIHQVPTIHFQEEIMMDILRRLPMRSILRFKCVSKFWKSLIDDPYFKRTHYIHNRDNQNSKKVLIAESLLTKDDTFSFYTSSLSMVEDKQKLDWPTSCNPMDTRIFCSCDGLVLIRVCSEMGYEELFLWNPSTRESILLPHLEFRTKHYVFGMEYDATSECYKILAVNLNVKASINTSIEILSLKRNSSWRRIDYPTDIERIRGFRDCGTNNLAFLHGAFHWLGKSASGNYTTVSLNISNEVYGEMVVAKRQGCYGTRAMLFRILLPSMSAMTLLFPHPLGQVLDSPLSPDQVLYPVSWTLILLWPW >Solyc08g066690.3.1 pep chromosome:SL3.0:8:55561464:55580983:-1 gene:Solyc08g066690.3 transcript:Solyc08g066690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADFLCRAETRRVLWVMGGVFAFSILFQFCELPYGNFIGSLFSRMNFNRMNYVNTQINFTGGFDEKISRSDSFFSIESKKNDDSSGVELLKPNTNLAPQRVMEFGNRFRGNNGNVAPVFEQQNKDDNIVPPPSNTESPPSPPQVDDNLIVPIPSPTDEIAVIVVPLNSTNATIHLMNSPAPSVSHRRVDTDDNSTVLASSHNPNEATGLLRNDHALSGNGSTMTKRTPAAKKASLEGVVSISEMTNMLLRSSHPSNLLTNPAWSSAGDKELLSAKSQIETAPNNISDVGLYAPIYHNVSKFKRSYELMEQNLKIYIYKEGKRPVFHQPKLKGIYASEGWFMKQLKASKEFLTDDPNKAHLFYLPFSSQTLEEVVYVPGSHSFDKLKAFLNKYLDLIKGRYPFWNRTQGADHFLVACHDWAPEETRREMANCIKSLCNADLREGFKFGKDASLPETNIVSSNPSRSLGGNRPSQRKFLAFFAGNMHGYVRPILLKYWQDKDPTMKIYGRMDNHDYILHMKSSKYCICARGHEVNSPRVVESISYQCVPVIISDNFVPPFLETLNWETFAVFVQEKDIPNLKSILESIPLRRYLNLYNNVMKVQQHFLWHPQPVKSYELMEQILKVYIYAEGARPVFHTPVLKGIYASEGWFMKLLKRNKKFVTKNPKKAHLFYLPFSSRMLEETLYVPDSHSRRNLVRYLSDYLDVIIQRHNFWNRTAGADHFLVACHDWAPAETKRIMANCIRALCNADIKEGFQFGKDVSLPETYIRVEKNPLREIGGKRLSKRRILAFFAGNMHGYLRPILLNNWENKDPDMKIFGKISKVSYVQYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFIPPFFETLNWEAFAIFVPEKDIPKLKDLLLSIPDRRYREMQRRVKLVQQHFLWHVKPVKSYELMEKTLKVYIYAEGETPICHSGPLDGIYASEGWFIKLLQENKRFVTKNPKKAHLFYLPISFRSLKLTLYVPDSHNRTNIIQFLSNYVDMIAQKYRFWNRTDGADHFIVACHDWAPAVTDEIMSNCIRSLCNADLRGGFQLGKDVSLPQPNIRLSTNPLAELGGKPASKRRTLAFFAGHMHGYLRPVLLKHWENRDPDMKIYGGITKASYLRYMKSSKYCICARGSQVNSPRVVEAIYYGCIPVILSDNYVPPLFETLNWESFAVFVQEKDIPNLKNILLSIPDKKYKQMHGRVKQVQQYFLWNIKPSKYDVFHMILHSVWHNRVYKIETSQSSLQISHK >Solyc08g005260.2.1 pep chromosome:SL3.0:8:174574:179569:-1 gene:Solyc08g005260.2 transcript:Solyc08g005260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPPQPDLSLQISPPNTTTNQEENNIDLSFWERALESRKPHNININNTCCFDLSLSSNIPRPSSILNHQDPKYPSSQYFQNNTNFINNPFIPHHHHNLGLFSPISGVPLYNNHQNSSTNNTLPIQSSPTSFNNYNNINPISSSNSHFHQSHNHQNGLMRSRFFSRFPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKSAVGAASSGQSEVFDNVSSGDNSDDLLLEIKNSNKSELSVQQGRQNMYNQEKDYGGLWSNSSRESWHMHGKLGDYPGNLPSLEKNMEAKCTSYEGISTEVSSSSITQASPKKPNLEFTLGIPNIS >Solyc05g055740.3.1 pep chromosome:SL3.0:5:66109257:66111705:1 gene:Solyc05g055740.3 transcript:Solyc05g055740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTKEPSTMSRSAITEALKVLTDVRNHPVLIHCKRGKHRTGRIVGCLRKWQNWCLSSVMDEYKHFAGAKWRETDVRFLETYDVSCIGHCLESIIYTNYGSTKRCLLCIEEILQKPLMTSVL >Solyc10g009350.3.1 pep chromosome:SL3.0:10:3401258:3406356:-1 gene:Solyc10g009350.3 transcript:Solyc10g009350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGAGIGNWGNETYNLQDDYVEKDPNGRYVRYNEVLGKGAFKTVYKAFDVVEGIEVAWSRVKMEDVQPPESLEKLYSEVDLLRQLKHENVMQLYDSWIDHKKKTVNMITELFTSGNLRQYSNKYKSVDMKAIKNWARQILQGLEYLHSQNPPIIHRDLKCDNIFVNGNHGEIKIGDLGLATIMNQPTAKSVIGTPEFMAPELYEEEYNELIDIYSFGMCMLEMITFEYPYSECKNSAQIYKKVSSGVKPASLSKVIDPQVKAFIGKCLVAASERLSARDLLKDSFLQVENLKDSVDNQTQLPKQSPGSLSLSKPLTHPMDMDFESNQSTYTDSSYGSPSIPGLEFQRCHQNNEFKLMGKKNDDNSISLTLRIRDPAGSVRNIHFIFYLETDTAPLVAAEMVEHLQLSDHDVAFIADFIDHLIMKIFPAWNPSSGDHHSSGGRNPSKQPHENLNLSSNSWQTDVSGCPYKSIGQQGVISDFNVVGAQSDVNSDDASHHVTFASPSRYGKSQQSVVSRVMAEDATVWSDDHINDGFPRSCSTNISEMDFRYLFHDEWTTQENNSDVAERVPSNEYGKDSEIMTYSDIDKISRGMSLSSGSFSTMVEKDEDTELKLEMKAIDLQYQQWFQELSTMKEEELENCRKRWKTKKVGKQLIKTGCVANFLQISEFVL >Solyc02g033090.1.1.1 pep chromosome:SL3.0:2:30222561:30222725:-1 gene:Solyc02g033090.1 transcript:Solyc02g033090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWLGPLLKKAFFGTCSVHGELQKSELNSIISHVIQIYAGIASLQTNTTIMIS >Solyc08g067790.3.1 pep chromosome:SL3.0:8:56879693:56891156:-1 gene:Solyc08g067790.3 transcript:Solyc08g067790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDLVSQQFSGPPDGQLIQMDHVSNNPDSIAHMQTSIIGHVPTSQQLVWSNEPTANRFDTSVPVNQLGPMGPRMNSQHFMLSHQQTRGDRYVPNSPGVQKSSVLTKRKAEMSSMPHGSTPQVSSMPNKRTAQGTFLSASPGFVQQSSAIKKPGPQQSKLTLGGSTSVPASTKKLTRNESISNRTASQRSQTPRGRSIQVEPTSKAQSESSDAIRSKMRESLASALAMACQNPAAKDLGEAVGSQPSQLDVTTTTANEGLPQSSVSHVPQNSGDVLPSIGPFPVDTNNDGHSLSLGLHDDVSMGNSVPCSTEFELHVDDVPFSDNFFVKDELLQGNGLTWAMDLDMHLRETDFLQDAEKANLFDEDVVEDKGEHAKSSPEDLALHIEAELFKLFGGVNKKYKERGRSLLFNLKDRSNPELRERVMSGEIPPDKLCSMTAEELASKELSEWRVAKAEELAQMVVLPDNAIDMRRLVKKTHKGEYQVDFERDDNNIASEISAGSNVTQFMPKKDRGRNSGPSGKDELGSKENLTSQRNYSEKQDVKDSLVIPADGADLLQGMVVEEFKNAELLPPIVSLTEFMESLDSEPPFENLPVENNHSALLPEKGSSEDPNNAVGSGLAAKYPVVASEDKSLEGVKNHVEQKESLVSAGSPVVKKVTSSGDLSPIKMTGPHGSVSRVPCIWEGELQLTISSLVTVFGSFRSGEKTPTNGWPSSLEIKGRVRLDAFEKFLQELPMSRSRAVMVVQFVLKDKSSERERADLSEAVHSYASDERLGFAEPAPGVELYLCPPHILDMISKHLSKDPKELYDSTDNGLIGVVVWRKLHISSTISPNSSSHHKHSLKKQQGGQQHEKAGNVNVNSIPMPMSVKNDPAVDDDDDIPPGFGPKAGRDDDDLPEFNFTGNINASRPRHPSQNMYHHGSRMNPYNQTPPSRPVDQMRELILKYGQTGANNVGPSWNDDDDDIPEWRPQAPPPLQRPPYPLGHNFPQHLAHQGPLATPMQPPINNGIPNRPQIMSPRGPYQVDWRRDQSRGRGF >Solyc06g074470.3.1 pep chromosome:SL3.0:6:46223586:46225350:1 gene:Solyc06g074470.3 transcript:Solyc06g074470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLFPSKFSTFSFLSLDLHPSLSHAHTPSNYPYSSSLFYGDLAFLCFAASDKKCCCILNAVKRQLSI >Solyc11g056650.2.1 pep chromosome:SL3.0:11:47534739:47538679:-1 gene:Solyc11g056650.2 transcript:Solyc11g056650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSRVEQQPITSGLTRYRSAPSSYFSTLLTTTTPVTGGGCGYARDDFSNLPNSTASSSSTDIEQVFARFVASIGNSNSNSGNFPTNQPIHENPTSNMNVRSDFVVPKQEITPRLVRHRSSDHSLVSNYQNQNQHFISPVKREPETLLQSTDHVSASQLNYQSQPQQIEKLNAVTDKPFVFANSASLTPGSSSGGGGNSNLTRYNSSPAGFFAQINIENEYGAIRGIGRYETGTNAAADTSLSTPKSFKTQVGFSSGKPPASPRLMAPISEFGTKVLEEKSMGNEHKNDEHCITDFPMPSWEDSHILSDDFLKTEDIEIEPFSNEDASHNQSSEGLARPPIPLSHHLSLPTSTMEKLLQDSVHLNVRAKRGCATHPRSIAERVRRTRISDRMRKLQELVPNMDKQTNTADMLDFAVDYIKELEKQVKILAERRAKCTCINK >Solyc03g078230.3.1 pep chromosome:SL3.0:3:51634472:51654094:1 gene:Solyc03g078230.3 transcript:Solyc03g078230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGESSTPTLSEDEVWAKLIPTDSRYSEIELRLKETVICSEVKHSSSEKQDWCKITRNVDLDSAMMQNRSLKEILVDETVVQEEHAAVIKCGSEISLGPSDEGYVKYRFEIMPTEESRRYIQIYLDVEYAKCCICLNIWHDVVTAAPCLHNFCNGCFSEWLRRSQERRSSVLCPHCRAVVHFVGRNHFLHNIEQDILLADPSLKRSSEDIVLLDSNASIKSPLVLSSRKSRRKRERSPSDAADRWEHSCPQCDTEYGGYQCNESTVHLQCQACGGMMPSRSNVGVQQHCLGCDRAFCAAYWPSQGVNGSNSHPLCSPETFKPIAEHTVSRIPSLAHEKNHYEQNITERCIRQMGRSLQDVVAEWILKFDKREIDRTRMPLNHAEMITSCTSTCSECYDKLVSFLLYWFRVTLISHHLAPEDAQRQDCWYGYACRTQHHNQEHARKRNHVCQPTRGSHV >Solyc05g056200.1.1.1 pep chromosome:SL3.0:5:66416095:66417417:1 gene:Solyc05g056200.1 transcript:Solyc05g056200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKSKKKLTTQVMEPKQKPRRSSSSKSPAAAKKLSLNDHDHDHQSSLSTSQNLISPNGSTESFILNNLKNSQSWASSKSSRHSLTSLKGVLFPEQPHIYNFTEIRSATQNFLKDPFSSSSTSTSWRCLLRGQEMVVIQRKFRRPMETDELVDQVAMICRSHHSSLIPLKGASVSGNYIYLVYEYTQGVNLSEALRNRRNPNFTVLSNWISRIQIASDIAHGLDYIHHSTGLGLGFVHNHIKSTSIIVTEPSFHAKICHFGTAELCGETPTEIQDSDSEIKPKKSPELKKCGSKGLKFEGTRGYMAPEFQWTGMATVKSDVYAFGVVVLELISGKEAVKYEFDEGTGGYVRVSVIETAVKASEDDGAGLRGWVDKRLKDSYPVEVAEKLVRIGLECVETNPKNRPDMGRVAGRVSQLYLESKTWAEQFAPLTDFTVSLAPR >Solyc09g031560.1.1 pep chromosome:SL3.0:9:30357606:30358437:1 gene:Solyc09g031560.1 transcript:Solyc09g031560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIGLVCPICNHVLLSSVKKKNDRGYYPITSFISLLCSCQDEMSSLDKW >Solyc02g023930.1.1.1 pep chromosome:SL3.0:2:25017052:25017261:1 gene:Solyc02g023930.1 transcript:Solyc02g023930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLTSFDRVCHPRAYFAVHACSAQALADAALLRTCCVRRPIFALTSNLALTIAPDIPKTSCSDMSLVP >Solyc06g050860.3.1 pep chromosome:SL3.0:6:33790574:33794215:-1 gene:Solyc06g050860.3 transcript:Solyc06g050860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSICSAMPFILRPPRNTICAACYEGARTIITLTTNKVDHVENTKGSDNKTITGLLSASSSNPSIKGFGNALKWVKEMKEMEEESKEKLNYLSGFVVALRDHIHTDILIKPGNDAPSIPAHRALLAARSDIFKNILDSDGCKAPPSNNTITLSELNYDELECLLEFLYSGDLAKEKVEKHVYSLSIAADKYEIPFLQKFCENQMLGSLNTSNALDVLEISDTCSNTSLKETALNFIVKNMEDIVFTARFDAFALKNPHLTVQITRASFIDIKNRRLTV >Solyc06g069723.1.1 pep chromosome:SL3.0:6:43544557:43549163:-1 gene:Solyc06g069723.1 transcript:Solyc06g069723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHMEISGDKKSISNPPESVVTPIKSQNGTIRSTRTLATTIPNLFQYRLLICFFFISLDTKFTCVPQFVFFPHLVIAMMIEQTGTSAPSGDDDLSMGISLMLDIYLIFNCGYCHCCSSCYGHQHPLGNDDLSMGISLMLDTCVIFNCVHCTTIAAVPVPDDCQLRTEIRMLLSVEAFLYVGLRWRHIYIGFIIYLSSNLVGFLLADGFVIANLLGLVMDHSKNWAKAKSSDSSRAVAGLKPHPSFPYLDTASLNFFICIYTSSTIRKYTSRDKSKIVSEFAFRTAVVCDSAWARLNQQKYQIERDLGGRNCRLVFSSVN >Solyc03g033330.3.1 pep chromosome:SL3.0:3:4936002:4939157:1 gene:Solyc03g033330.3 transcript:Solyc03g033330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYTYQRSAFSSSLSIFSSFCMMDLIKRAKDFFRFAVSTIIGNVFSAIFTFFFALVGTLLGAMTGALIGQETESGFIRGAAVGAISGAVFSLEVFESSLILWHSDESGVGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQNQMGAVEETTYEEVPNIFDTGGAKGLPGDFVEKIAKVVISNGNNVDDTGERVSCSVCLQDFQLAETVRCLPQCHHMFHLPCIDTWLLRHGSCPLCRRDL >Solyc01g088120.1.1.1 pep chromosome:SL3.0:1:82863648:82863962:1 gene:Solyc01g088120.1 transcript:Solyc01g088120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASIAIAIAFMISIVFCFLSCLVRRRNLQRKNALSQSRTREGNMVVLAGATAGVAGATVAANSNGTTDDERKRDEISIDLGAAAEATVNASQGCCCGGGCGGD >Solyc06g048420.2.1 pep chromosome:SL3.0:6:31113030:31114109:1 gene:Solyc06g048420.2 transcript:Solyc06g048420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNGGRESSGELLQLINRDFGSYDTFVKEFKAAAATQFGSGWSWLAYKPEDKKLALVKTPNAENPLVLGYTDAYYLDFQNCPPDYISLFMEKLISWEAVSSRLKAATA >Solyc01g100540.3.1 pep chromosome:SL3.0:1:90425239:90429786:-1 gene:Solyc01g100540.3 transcript:Solyc01g100540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFSGFGLGNTFRKQRTDLYRRPQKESQLNLNCRDNSSISSAPPSDSLSKSSSCDNADYGNISRTSYSNLIEAEAAGEFGVEGGNSGYSCSSDTEQKHGQIDMSRFSEGDQATSRVEDPKSGTVMCSNHSGMPGVVSDGAGNDTKVKKVKLKVGGVTKTINTSVAGSSSDAPQKWQPKQNTGGHHSSYSGRAIGLRGIPWKDFAKTGFGVRKVDSSTDQLPGQFAPLKQLEKYEASHKSKSLLKRHLSGETFDDEDTEDDDDDEIRFLEKLRSSKYSACYSAGYEDDDEVGCRKKRKISRVLSQSSKVYSADLSYYDSLKAVKGIEKSKSERASQGSDYEEVVVSDTELVPKKKKKLKELADISVVERRKMAVTTRQQALQSGKDISCSAVEFPHRLPPAPPKKQKEKLSEVEQQLKKAEAAQRRRMQAEKAARESEAEAIRKILSQDSSRKKREDKIKKRQEELAQVRVQNNPSIQERTGAASSLSSNAIRWVMGPSGTVVIFPNEMGLPSIFEPKACSYPPPREKCAGPSCMNTYKYRDSKSKLPLCSLQCYKAIREKIQHLSAC >Solyc01g110980.3.1 pep chromosome:SL3.0:1:97327416:97327667:1 gene:Solyc01g110980.3 transcript:Solyc01g110980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSTTRDVSKGHFAVYVGEKQKKRFVIPVSFLIGFDHPMGGVTIPCSEDVFIGRLNRI >Solyc02g069160.3.1 pep chromosome:SL3.0:2:39664391:39678970:1 gene:Solyc02g069160.3 transcript:Solyc02g069160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQKALIYAFVGRGNVILAEYTDFSGNFNSIAYQCLQKLPASNNKFTYNCDGHTFNYLVDNGFTYCVVAEESVGRQIPIAFLERIKDDFMSKYGSGKAATAPPNSLNKEFGPKLKEHMQYCAEHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHHQAQDFRNTGTQIRRKMWLQNMKIKLIVLGILIALILIIVLSVCKGFNCGKMSLPSISHLSIHLIFLLRLVIDKETGKPKGYGFCEYKDEETALSARRNLKGYEINGRQLRVDFAENDKNSDRNREQGRGGPGMTVNVGDPQKHIGGPVFTVDSALQQPLGMSVAMTAAAVMAGTLGAAQSGCSFNQSGIDPLTLHMSKLSRSQLNDVMSEFKLQTPNIQQSAAPKLQPLVLDSQQSQQLATQSLLGLPPLPPSLLPQAQPQTQLALSQNQVLQSQPNPSRNPSVNATQVNVSINPPVQVGTSVAVKQQMQPFFLQQAGPVASMNFTYNSQLGTEKTSYPPPPSSMRTPSLEQGSQGNPSVVSGVLDNTNKDSRRPQAPNNSSLIAIPAYPSGLPEVKRGAAYNLDPLSRPSKTTRLNDGISYALPDASVSTPQSGCSTQVLAAAEMSNPDKQATQVQLPSDVESALLQQVLGLTPEQLSALPPDQQQQVIQLQQMLRQPT >Solyc08g062770.3.1 pep chromosome:SL3.0:8:51945284:51961606:1 gene:Solyc08g062770.3 transcript:Solyc08g062770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKQPSSQKIQIYPTSTGEISPFWRDKYEKDAKKYWDIFYKRHQDKFFKDRHYLDKEWGQYFSGIDEKVILEVGCGAGNTIFPLLATIPNIFVHACDFSPRAVNLVKSHKDFDDARVNAFVCDPTVDDLIQHISPSSVDVVTMIFVLSAVSPEKMPLMLQNIRKVLKKDGCVLFRDYATGDLAQERLTSKEQKISDNFYVRGDGTRAFYFSDEFLINLFNGNGFDAEEHILCFKQVENRSRELVMNRRWVQAVFRVGSGISAGKTESKVKYLGKDNDIPEIPKIILEDSANETEVDISEGMALEMFGISSPKEEILDVISKDYSFKIKVLSKEYQHTCKSTGLMLWESARLMASVLAANQTIVSGRSVLELGCGCAGICSMVAVRSANLVVTTDGDTKALDLLNQNLALNLQPPIPARLITKKLEWGNTDDVESIKRLNDRGFDVIIGTDVSYIAEAIAPLFSTAKELIRPSRGDEEDSTPALILCHVIRRVDEPSILSTASEFGFRLVDRWPNALTSTSTSQNIIQSWFSDGSLETCIPTTALNILYFHKL >Solyc09g091220.2.1 pep chromosome:SL3.0:9:70998966:71001165:-1 gene:Solyc09g091220.2 transcript:Solyc09g091220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYSNALFSSDSGVFLNKCVHWAVAHNDGSCIYWDIVSLNLENEKFGSLALPSFGGFDVKLDVWIMKEYNAEGCWMKSVSLPYVKGVGPCISPLWISDISGEVLLHDGTRVMVYDSRNDEYKRVEICEMNGDDGVGAATVYAESLVSPYLDSVRRRGQEVIDNPNIVPARPVPNGTPLILNVGIAPLAFGIATPSSGGSKPSGVAN >Solyc08g016560.2.1 pep chromosome:SL3.0:8:7879411:7880126:1 gene:Solyc08g016560.2 transcript:Solyc08g016560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKKVQFWRVIDARTRDALVSCFVTLVIKDSHANYVDLLGTRKK >Solyc05g007010.2.1 pep chromosome:SL3.0:5:1601305:1603586:-1 gene:Solyc05g007010.2 transcript:Solyc05g007010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMQLLHNNKVVIFDRTDFGSSNLSLPHDKCRYNDEAIKVDCTAHSILYNVATNTYRPLTVQTDVWCSSGAVNPNGTLIQTGGYHAGERKIRLFSPCFDEETCDWTELQQNLTVKRWYSTDHILPDGRIMIIGGRNAYSYEFFPQSSETDVVFHLPFLKETTDPKEENNLYPFLYLLPDGNVYIFANQRSISLDYAKNKVVREFPVIPGEKRSYPATGSSVMLPMKLVAGDFSPAVEVMVCGGANGGAFLHAAVGGFMPASRTCGRIKITDPDPKWVMEDMPSGRVMLDMLLLPTGDVILLNGASKGTAGWESAIDPVLNPFLYEPGQPDPNERFSVLDPTSIPRMYHSSATLMPDGRILVGGSNPHIRYNFTGVKYPTELSLEAFLPPYLSPHHSNLRPSNTTVEGPVSYGQEFSITFTLGVSQDTNEVMVTMVAPSFTTHSFAMNQRLLILDIVKIQQVSSFDQKITVYAPPSRNIAPPGYYMVFVVHQGVPGHSIWVKME >Solyc02g094350.3.1 pep chromosome:SL3.0:2:55534791:55539493:-1 gene:Solyc02g094350.3 transcript:Solyc02g094350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrane-anchored ubiquitin-fold protein [Source:UniProtKB/TrEMBL;Acc:K4BDN0] MPEEDLIELKFRLYDGSDIGPFQYAPTSTIAILKERIVADWPKDKKVAPKVANDVKLICAGKILENSRTVGQCKTPFGELPNGIITMHAVVQPSLAKAKSEKKIDENQNQSICSCSIL >Solyc12g094635.1.1 pep chromosome:SL3.0:12:65792799:65795306:-1 gene:Solyc12g094635.1 transcript:Solyc12g094635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLSLSSSHGVMHPEASAYNIIKSIQRHCKDFCKRGQAVGGARGIAAFTKVMLTFLTPPLSLTTTTSSGESLRPCQQRRKFLPILPKPLMATFNLATVSPFTGPADPVGFLKEPKLHEKPWFLYI >Solyc01g066000.1.1 pep chromosome:SL3.0:1:72670243:72670902:1 gene:Solyc01g066000.1 transcript:Solyc01g066000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVLPFLRCDLEGDKGGKLAAPTIEVDFVKCDCCGLTEDCTLAYIETILEWYQGKWICGLCAEAVKDEMMRCERLINAEESLTRHLHFCKKFSSSTPPPDPTIHLIAAMRQLLRKSYGDRVLQFGEKSFNVFLRIFSNRNGRES >Solyc09g031820.1.1.1 pep chromosome:SL3.0:9:27883946:27884722:-1 gene:Solyc09g031820.1 transcript:Solyc09g031820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVTQYRLIRNLIQIQYSTYGYIRNVLNRFFLINSSDRNFEYGIQKDQIGKDTLNHRTIMKYTINQYLSNLKKSQKKWFEPLILISRTERSMNRDPDAYRYKWSNGSKSFQEHLEQSVSKQKRRFQVVFDRLRINKYLIDWSDVMDKKDLSKSLRFFLSKSLLFLSKLLLFLSNSLPFFCVSFGNIAIHRSEIYIYEELKVPNDQLCNQLLESIGLQIVYLKKLKPFLLDDHDTSQKSKFLINGGTISPFLFNKLPK >Solyc02g086020.1.1.1 pep chromosome:SL3.0:2:49467889:49468431:-1 gene:Solyc02g086020.1 transcript:Solyc02g086020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPFLFLLLSIVTGPVIDEGGVEEVRLGAKIVSEVKLEIDNVEEELKRKLEKSTSDEILPLDIKDYEELGLIIYLGELGDSSYENIDLDFDSIFPDFFPEDDEAKSMEITPYVEPVESVSPTFSSLMEIEKWIEIPPPEEFIFGGEESFAAGADFDFEGMEWIWELYSTFDEEFLFYNL >Solyc08g081260.1.1.1 pep chromosome:SL3.0:8:64441265:64444258:1 gene:Solyc08g081260.1 transcript:Solyc08g081260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLREESSRFGSLPSTTSRNLSSSSSTFFSANQSPFFSPRSPKSLVSACSDNQFRDSDVTSAALDASLGILGPESFANARLSDAYPVALASASNDLQKLDFVASSTSNSKSTIASYNVGPEHEYLRPRGKQKKSGRTQESCVTPTSTSSLSNRVRSCDVYIGFHGRKPLLLRFMNWLRAELEIQGLSCFVTDRSRCRNTRKHGMVERVMDACTFGVVILTKKSFRNPYTIEELRFFASKKNLVPVYFDLRPEDCLVRDIIERRGEHWEKHGGELWLLYGGLEKEWRDAVNGLLRVDEWKLEAHDGKWRECILRAVTLLALRLGRRSVVDRLSKWREKAEKEEFPFPRNENFVGRKKELSELEFRLFGDVSGDAEKDYIELKARPKRRNLTISWSRSNSINERRFERPSDNKRKGKEPVTWKESEKEIEMLNAEVSHTQQHAPKPRNSKKHGRRNNSMKVVYGKGIACVSGEPGIGKTDLLLEYAYQFHQRYKMVLWIGGESRYIRQNYLNLWSFLEVDVGVENSPDKSRIKSFEEQEEAAVARVRKELMRDIPFLLIIDNLESEKDWWDHKLIMDLLPRFGGETHVLISTRLSRIMNMDPIKLNYLSEIEAMSLMQGAVKDYPIAEIDALRVIEDKLKRLTLGLAIVGAILSELPINPSRLLDTINRMPLKEIIYIRRENHPLRRNNFLLQLFEVCFSIFDHADGPRSLATRMALASGWFAPSPIPVSLLTLAAHKIPEKYPRRRMLKKVLCSLTCGFTSSYARKSEAEASSLLLRFNIARTCRKEGYIQFHQLIKMYARKRGVTGVAQATVQAVITRGLIPQHSEHIWAACFLLFGFGSDPMIVELKVSELLFLVKEVILPLAIRTFITFSRCAAALELLRRCTDALEAADQAFVTPVDKWLDKSLCWRPIQTSAQLNPCLWQELALSRATVLEIRAKLMLRGGQFDIGDDLIRKAIFIRTSICGEDHPETISAHETLSKLTRLLASVQNHTSNRS >Solyc10g008750.3.1 pep chromosome:SL3.0:10:2816068:2819435:-1 gene:Solyc10g008750.3 transcript:Solyc10g008750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNRSERLMKLSERLRLYNQNSKIEELGTDNSNSNSIRSKSNRAAVLVCLFEDPQENLRVILTKRASTLSSYSGEVALPGGKVEEGDADDIETALREAEEEIGLDRSLVDVVTVLESFTAKKGITVIPVVGILWDRSAFNPLINAAEVASIFDAPLEMFLKDENRREQEFEHMGDKYVIHFFDHQTENEKYIIWAFTAAILIKAASIVYQRPPDFQERRPRFWNRSRQ >Solyc10g047600.1.1 pep chromosome:SL3.0:10:41399435:41400766:-1 gene:Solyc10g047600.1 transcript:Solyc10g047600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLRDKVACALTISPEMVSRSIALSTSKNLLSSINCSRHVERGVGGGSEEGGWILGVRRLEKRRREGPRCTTSRKGRVLEAQSLDEGEALRARRLEEKDGSSGHETLRRGWGEGPRGTSPRGGERRNSGHSTLGKEKGGPHGTTPRGEGWGGGSSRNDTSNRGKGAVETRHLKNGRRREGGAPRGIVHLGGVGVGELGAQRLEEGKGGHRTWCLGEVGPSRYAESRKRGGRGDMGVPRNEARGRRALGAQHLGEGDPQCTAPPRTGGGASGHEASSRGRGSSRHDASRRETVVGGPREGRGGGVLGARRLEEGPPQAWRIENGGRQGTTPP >Solyc01g112300.3.1 pep chromosome:SL3.0:1:98282460:98306093:1 gene:Solyc01g112300.3 transcript:Solyc01g112300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVALSTTSCSPNSWLNSISCRCNNDKAPFWQSGPRFGRHQSRIRFSSCWRWARPSLPPGHGRSSAEKCDDSDSGIQMNAQGNTAIISACFVGLFTGISVVLFNAAVHEIRDLCWDGIPSRGASWLREEPIGVIWQRVILVPASGGLLVSFLNTFRATLDVSTQGNWTSSVKSVLRPVLKTIAACITLGTGNSLGPEGPSVEIGTSVAKGIGALLDKGAHRKLSLKAAGSAAGISSGFNAAVAGCFFAVESVLWPSPAESLSLTNTTSMVILSAVIASVVSEIGLGSEPAFAVPAYDFRTPTELPLYLLLGIFCGLVSVALSSCTSFMLQIVEDIQTAIGAPKSAFPVLGGLLVGLVALAYPEVLYWGFENVDILLESRPLVKGLSADLLLQLVAVKIVTTSLCRASGLVGGYYAPSLFIGAATGTAYGKIVSYIISHADPIFHLSILEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGMSSWVTSGQTSKGVVQDRKKLKGSRAQMTQWQGTSSSNIELPSLTYSSGVEPSQKESNLCKLESSLCLYESDDEENDFARKVLVAQAMRTRYVTVLMSTLLMETISLMLAEKQSCAIIVDENNFLIGLLTLSDIQNYSKWPRAEGKCQEELVVADVCSSNGNKCRVPCTVTPNTDLLSALTIMEKHGLSQLPVILRHVEDEGIHPVGILDRECINVACRFLIFNFSSKYNAFWNH >Solyc01g066500.1.1.1 pep chromosome:SL3.0:1:74303433:74304362:-1 gene:Solyc01g066500.1 transcript:Solyc01g066500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGTGKKKIDIKKLASNSSRKVTFSKRRTGLFKKAEELATKFGARIALLVFSPAGRLYTSGDVSIFENNGFPSKPHLSTETASNSYVKPSTLRLKNLNVEGCSEIFSPDGVHYSAGEIPIVEDNLQNNGFSSNSHLSTDFSSNFYEDWSTLWLKNFKGNNEIFSPPGGFYNSGEFPIVADELKNNEFPSNSDLSIEISSNSYAKPSTLHLKNFNAEGCSEIFSTDGRFYSSNEFPIINDTLKNNGFSSNSDLSTDLGSNLYEYWSMENFNVEECSSIEELMLLKEKLEEKRDEIILKMEAEFIDSLLV >Solyc05g024350.1.1.1 pep chromosome:SL3.0:5:31240742:31240972:1 gene:Solyc05g024350.1 transcript:Solyc05g024350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPQFDGAFLLDIKENKEMYSLQNIILSELLREKERLHFKKVLVVLDDINHEDHLNNLAGDRDWFRKGSRIVATT >Solyc01g103150.3.1 pep chromosome:SL3.0:1:91693496:91701262:1 gene:Solyc01g103150.3 transcript:Solyc01g103150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQPESQKMRNRGEKEDLEGKLPVSVTRDSSVSLLRVLATLIVFVIGVVIGLTSSSHIDRYFTFQAEQIIANNALADTVSKESGNCSVCEKEDCLSMDSFVRPKILIHGMSDVELFWRASLEPYKKEFPFKRVPKVAFMFLTRGELPFIPLWERFFQGQDVNKYSIYIHALPGFVLSISNTSVFYKRQIPSQHVEWGSVTLVDAEKRLMANALLDFSNERFVLLSESCIPVYNFPTVYKYLIGSIHSFVESYDDPSRYGRGRYNRHMRPDIKLPDWRKGSQWFEMNRTLAVRVVSDTKYYDLFERFCKPACYPDEHYIPTLIRLFHGPLNANRTVTYVDWSLGGPHPAAFSAVNITEGFLQLIRNNGTACAYNSEKTHVCYLFARKFNPSALEPLLNLSSKVMEY >Solyc04g050740.1.1.1 pep chromosome:SL3.0:4:48020383:48020610:-1 gene:Solyc04g050740.1 transcript:Solyc04g050740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYLPDQWVAGATSFFCTLFFTKRRIELYWYILLFPLFCVTLGEIKLAVENGEMSFSIEELKSHNYWLGIRRDV >Solyc07g065700.3.1 pep chromosome:SL3.0:7:67498992:67505984:-1 gene:Solyc07g065700.3 transcript:Solyc07g065700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQFVFRGDGCSKNVYQGYRTETLVRFLKARDGSVSKAHKMLVDCLNWRIDNEIDQILAKPIVPTDFYRGVRDTQLVGMSGYTREGLPVVAVGVGLSTYDKASIHYYIQSHIQMNEYRDRIILPSASKKFGRYIGTCVKVLDMTGLKLSALNQIKVVRPLLQERTKKKVQVLSGSGKDELLKIMDYASLPHFCKREGSGSSKHGRNGTNDNCFSFDHAFHQQLYDYTKQQALALEAVAPSKEGSVHVTLPEPDPDDAKIAETIESTFHRMGEKNGICQSFHEIKINGD >Solyc12g014330.1.1.1 pep chromosome:SL3.0:12:5147005:5148417:1 gene:Solyc12g014330.1 transcript:Solyc12g014330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKKVSSFSLSSLLRQQKDAKIALQLFLNPNPSHGSDKTTKPFRYSPLCYDLIICKLGRGKLFQEMEKIIDKLRQDTRVSLNEVVFCNVISFYGRAHLPDKALHMFNEIPSFRCYRSIKSVNSLLNALLACKEYSKIGEMLNNFENYATPDVCTFNILMNACCLCGDLGGAREVFDEMCERGIQPNVVTFGTLINGLCVNREINEAFRMKKIMERDFKLKPNAFVFVALIKGLCKVDDLDAAVKMKEEMLRKKVELDSTVYSTLISAYFRVGRRDEVNGLLTEMEMNGCKCDTVTFNALMHGYCQEKDFDSAFKVLDEMEGKGCKPDVISCNVIIRGLCDDGKLREASELLDDMPRRRCKPDVVSYRIYLDGLCKAKQFKEAAVILHEMVFKGYIPRDTSLCKLFDGLIQEGDRESLLRALDNMASVNCISKEVWEMVISMMCQQDNLSSASALVGMLTNDGVNKFSL >Solyc12g027587.1.1 pep chromosome:SL3.0:12:24624522:24628031:-1 gene:Solyc12g027587.1 transcript:Solyc12g027587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKRTKGLYFFCDEKYVKGHNCRGKKQLYLAFTGVIGYQTIRVTGYHEKQTLKVLIDTGSTHNFIDKQVAAKLGCQASSIQEQSIIVADGREGTTFSSDFLLLPLGNEDIVLGAVKAKTLAKEGQLFMLSHVPQALEVAQCYNIQAIQSEGNLPSFAALISHYSCVFELPTTLPPHSGSFDHRIPLIDKTNPVNKRPYRYPGIKKDVIEKLVQEMLDQDLLDELGGAVIFSKIDLWEGYHQLRMAEEDAYKTAFKTHEGHYEFLVMSFGLTNAPSSFQSLMNAVFKPLLRKSVLIFFDDILIYTKDVNAHLVHVEVVFDLMKQHQLYAKMSKCAFTVDKVEHLGILLVLGGKEGFVWIDDATTTFGTLKQALISAPVLVMPDFSLPFVVETDASGRGIGVVLMQQGHPIAYISKSLAPRNWSHYMLGRSFVVRADQKALKYLLNQHVHTDFQVAGISKLMAFDFSIEYKKGSDNKVVDALSRKPDVELLATSLLTPNDTLYQQIKDTWTQDATLQELNVKLQVQPFKYFTWSNDQLKWKGRLVVGHDTQLRNTIITLWHSTPQDGHSGMDATIRRIQSLIYWKSLVQDIRNFIHKCDVCQWHKYDVAAYPGLLQPLPIPEGVWTDVCLDFIEGLPKAKGKDVILVVLDRLSKYGHFMSLQHPYTAQDVAQCYLDHVFKLHGMPVTLTSDRDPVFLSSFWKDLFTLQGVQLQRFKWWYNTTYHTTIKCAPFEFLYGQKPPIHLPYLVGEAANEMVDRSLEAKETIIELLKFHILRAQQRMKDLANRHLSDRVFAVGDWVYLKLQPYRQVLVAARPFDKLAAMYYGPYIIDAWVGAVAYKLLLPVDVLIHSIFHVSHLKRCHEVPRDISHPLVLQLSSPYCPTPDTILERRLVKKGNKVVCQVFVQWLGLEADQATWEYLSEMQHRFPSFQR >Solyc04g071080.1.1 pep chromosome:SL3.0:4:58082505:58084263:1 gene:Solyc04g071080.1 transcript:Solyc04g071080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVATLLVVLVSLSLPSESSANYQYTSPPPPKESYHPSPTPYHPVPLYKSPPLPTPVYNSPPPPRETYYPPHTPVYMPSPPSTQIYKSPPPPNESHTTLHTPQPTSHHLHQLLLTSLYRCQFQFTILHHHPRSHTTLHTPQPTSRHLHQLLLTSLHRHQLRFTILHHHPRSHTTLHIPQPTSRHLHQLPIYKSPPSPTPVYDSPPPPKELYYPPHTSTYKSPPPPNEPYYPPHTPTYKSPPPPIPIYKSPPLPTPIYKSPPPPKEPYYPPHTPLLPSSVYKSPPPPTHVYKSPPPPIEPYYPPHTPIYKSPPPPIPVYNSPPPLTPVYKSPPPPKEPHYPPHTPVYKSPSPPKEPYYSPQNPVYKSPPPPTPSYKSPTPPKEPYYPPHTPTYKSPPPPTPVYKSPPPPKEPYYPPHTPTYKSPPPPKESYYPPHTPTYKSPPPPTPVSNSPPPPTPVYKSPPPTDYVYTSPPPPYYY >Solyc12g038890.1.1 pep chromosome:SL3.0:12:52343548:52344693:-1 gene:Solyc12g038890.1 transcript:Solyc12g038890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKSSELQFQLNAFRVHIFGLQITEAFPQTLEVTDNDKSSRILWLESHPFDISRWDASEESFPLLKTLVISWCDNLEEIPLGFADIPTLKQIKLICVGGEIHGRCESSMCLVAVTIAIADRWDHAAILIHK >Solyc05g024200.2.1.1 pep chromosome:SL3.0:5:30764142:30765918:1 gene:Solyc05g024200.2 transcript:Solyc05g024200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITTRKHSEKSVVVISTIFTILERGSSSRTFVQFARGILQQQSIKFFVWWTN >Solyc02g069400.3.1 pep chromosome:SL3.0:2:39871786:39874892:1 gene:Solyc02g069400.3 transcript:Solyc02g069400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLYNTGLELANLLLGSDLLNQSWEAISKLQKQNLTLLEDPSLPFSVKNQIYESPSKGSIIAFVSSPNCAVNHLKEEFRELISSDQITSFDFLRTRSNTSSISIHKAAFALFASLEKELSLLKQQFTSSQPLIVTGHSLGGSVASLFTLWLLESLPSYGVQHILCITFGSPLLGNNGFQKAMSEHPMWSSCFLHVVSDKDPVPGFLISDHNASAATLTTPTWYMPFGTYLFGSESGFSCFEEPQSILELLMIMSSRCAESENLNNCSQVIDYGHILEQLKNKAICRGISELPDTISNPLQAGTYLLLEATGVGMVQENVNLSYLAMNIAKRAEVQAKHKQKLFDPSKISKTKKSMSYLEWYMKNSLEEAGYYDKYKTRRSRSRDEIASTENLIKHHRTLKLFWKRTVTEVENLPWKEGRTRRKRLLYAATNYRRMVEPLDIAEYYGRGKRNYVLHGRSEHYKLLEKWLTGENTQTYQRSQASSLTVDSCFWAYVEEAMISCQVLKDGQSSSPQDQESARENLIKFEQYVMDMVNNFLVSPEIFLSQSSFMLWWNEYSKVVGNSYTSPLTNFMNDGFRRYA >Solyc09g059285.1.1 pep chromosome:SL3.0:9:54399178:54400849:1 gene:Solyc09g059285.1 transcript:Solyc09g059285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCRSENKLDYRKMGLRCCALLLRISTLNSTLTVKILAMLNEKCTAFTPQKFLCFGWGGGATSTFIIINGSSMLFVEPVSNLYRLADDYSKLCHQGFVAFGGYFDAPISIAASINSHISGFFSIE >Solyc04g077250.3.1 pep chromosome:SL3.0:4:62310784:62311901:1 gene:Solyc04g077250.3 transcript:Solyc04g077250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLLMKYSVRELQLVLLLLEVSHIKAKGLSIK >Solyc05g050290.2.1 pep chromosome:SL3.0:5:61221637:61223685:1 gene:Solyc05g050290.2 transcript:Solyc05g050290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVWTKYLQQWGLNGKTDQVSFKNCVPLVTHKDLESYIRRIVDGDLTPILTRKPITTISLSSGTTRGKPKFVPFNEELMESTVQIFKTSFAFRNR >Solyc08g080600.1.1.1 pep chromosome:SL3.0:8:63970111:63970338:-1 gene:Solyc08g080600.1 transcript:Solyc08g080600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIWGRTKCNFDGAGRGSCETGDCGGVLQCTGWGKPPNTLAEYALNQFNNLDFWDISLVDGFNLQIRNSGKEFC >Solyc11g010580.2.1 pep chromosome:SL3.0:11:3652483:3654216:1 gene:Solyc11g010580.2 transcript:Solyc11g010580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSLKIGDGTARFKKATYCSSVLNLLMLFSVVTTNLFALYAFTSSPKNPHHHLLLPHTHKNISLISEQVSLILRQIDSSQKKLAQMEKELLGYESFDLSSPKVPNEVRNFLQPHLLPLGKDSRTGITEMVASIGHSCVKSLDLLSQFMSYKVNGLCPDDWSLGQKLILSGCEPLPRRRCFAKTIPKVGLLKLPDSFWGNYSEKIYSWSGLGCKNVACLNVKKLNRDCAGCFDVVSSGGYEKQRYVKGRGKNDFLIDDVLGMLGNGGGIRIGFDIGGGSGTFAVRMAERNVTIVTATLNVDAPFNEFIAARGVFPLYLSLDHRFPFHDNVFDLVHVGNVLDVSGRPEKLEFLVFDIDRVLRAGGLFWLDNFLCSNEDKKTALTRLIERFGYKKLKWVVGEKINGSGKSEVYLSAVLQKPVLYVYDL >Solyc11g044860.2.1 pep chromosome:SL3.0:11:32397138:32399825:-1 gene:Solyc11g044860.2 transcript:Solyc11g044860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSKLRKAIGAVKDQTTISLAKVGGSNCLSDLEVAIVKATRHQEYPPEERHIREILSLTAYSQANIGACVDNISRRLGKTKNWVVALKSIMLIHRLLSDGDPSYEQEIFFATRRGTRLLNMSEFRDTRSNSWDYSALVRTYSLYLDEQLEYRMQSRRGKRSAYAYDEDLDNVDPETVTVKQPTPLSEMKNEHIFSRMQYLVQLLDRFLACRPAGLARNNRTVFVALYPLVNESFQIYYQIKEIISALIDKFHELSIPESVKVHETFCRINKQYVDLEQFYNWCKTVGIGRSSEYPDIENIPKKKLDLIDEFIREKSILEQNGNAKRYEPKSEIVEETQEPEPEPEPEPEQAMNEIKALPPPEGIPEEIKEEKHQEESEEVAKTQDVVDFLNLGEDALTMEQHGDQLALALFDGGGPPTDGPSTTTSPWEAFNNSGDWETALVQHTSHLSNQKASLPGGFNTIMLDGMYQQGAVAQVVASSGVVATGSASSVALGSAGRPAMLALPAPPSADGGAQSTTCTTTDPFAASLAIAPPSYVQMSEMEKKQRLLVDEQVMWQQYQKDGMQGQVGLARTTQSNPYAYNTGGYTQTF >Solyc11g061895.1.1 pep chromosome:SL3.0:11:48898592:48899395:1 gene:Solyc11g061895.1 transcript:Solyc11g061895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEPDTDFTAEDFCLQAIIYIEKILKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEHSVLNRRVDIRIDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREETNIDGDDESKKMILQASISSIKRNSRMLYCNQLEKIQRLISEKMWSVHHIIATDVFKQDREEDLDEAWTNIVLQPCLDIVKRFLKNDHHNIIIECT >Solyc05g024170.1.1.1 pep chromosome:SL3.0:5:30605127:30605453:1 gene:Solyc05g024170.1 transcript:Solyc05g024170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENISSSRKCMCFNIGWLCRDNRVVDDMIKFIANEPSSGLFYVQQHTHTEVPNLINLTRKIEGKSRQVTWHTAASDDSIVMVRSMKELGFSIANEVDENSQTFSSCCV >Solyc06g082160.3.1 pep chromosome:SL3.0:6:48115122:48117864:-1 gene:Solyc06g082160.3 transcript:Solyc06g082160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNEDNIFQPQLSAHVFDELLDSIITDVASECHRVAKLGLDRNLEEEEEELRLSAQARATVADPSNSSETNGKYVVDIFGRTHPPVATEIFECMNCGRSIMAGRFAPHLEKCMGKGRKARLKATRSSTAQNRYTRGSSASTYSPYSTPTSTSRLPNGSSGVSGHEYSNGSLEDL >Solyc09g011470.3.1 pep chromosome:SL3.0:9:4793465:4797215:1 gene:Solyc09g011470.3 transcript:Solyc09g011470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTKKTSSSSFTSDLFGSKETSASSSSAIFGSIFPPPSKGHGSMNSEQLKHDPVIQERNAKGGYSGSTPSSNEAVGQQAAQNKQPSSFYQNEKVQPCHLSSSIYYGGQDVYSFPQNNNQSSTCTTFNKDNGEDDSGSASRGNWWQGSLYY >Solyc09g050040.1.1.1 pep chromosome:SL3.0:9:35493283:35493621:-1 gene:Solyc09g050040.1 transcript:Solyc09g050040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLMFPLYSHYEDISSQDPLLKPNHANIMEVSGSCKIIVVPKTAPSIKNGKLAMEILCSQKLKQRASTGKSFRSNPFLGSNKNKKGYVSDLARQSTLRGHGMSHFWSKYPQ >Solyc07g018210.3.1 pep chromosome:SL3.0:7:9718449:9723435:-1 gene:Solyc07g018210.3 transcript:Solyc07g018210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:K4CCN3] MSHGDTIPLHSSSQSDIDEIENLIYSNPSTVLPARPPSPPRAAIPVSSSPFMPSNLRPPPPPTSTTTNNYKPTPVPAIPSPPPLPSSGQSNIAATGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDIGAFICMLKDNVILKVVVVCVALAWSSWAAYPFMSTAVNPRRKALALYPVVLMYVSVGFLIIAID >Solyc06g073730.2.1.1 pep chromosome:SL3.0:6:45631021:45632838:-1 gene:Solyc06g073730.2 transcript:Solyc06g073730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIN3-like protein [Source:UniProtKB/TrEMBL;Acc:Q76DI3] MMMFEEMGFCGDLDFFPAPLKEVEVAAPQTEAEQVVDDDYSDEDIDVDELEKRVWRDKMKLKRLKEMNQGMEDVDSVKRRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVGGASDNLREWWKDKVRFDRNGPAAIAKYQAEHAIPGKNDVSNPVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPTGQEDWWPQLGLQKDQGPPPYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARELYPDRCPPLSSAGGSGTFTVNDSSEYDVDGAQDECNFDVQEQKPHHLNLLNVTVERFNERQPLQQQSHPIKDEIITSLDFTRKRKQSNEQTVTMAQIYTCEILQCPYSELRHGFQDRSARDNHQLVCPYRNTSQFGVSKFPMNEVKPVVLPQQYIPSTSVALPVNPSPPPFDLFGVGVPEDGQRMIDDLMSFYDCNIQGNKSQNTGNVAVTKEQQPHQQPRVDQVNYLHSRGMMEGNIFKDINVSASQSMQPQGNLVDQCKILNSSDNLQFMFGPPFNLQSTNYPGSLPGIGCDTTPKQDIPIWY >Solyc01g104434.1.1 pep chromosome:SL3.0:1:92743479:92746083:-1 gene:Solyc01g104434.1 transcript:Solyc01g104434.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKGHIGYCMGNRDVVKEKKVQHPLLKRSSLGKSAFSINLKGSRTTLFIATPEIDCTGSEISKKSTLHSINTFYTSWWVNWQVRKHPWHTRIGNLNIFDARCTAALDAEHYLQEIGALEGFYFPRIMEVNPSPVTPPAETLPSVQILVEHPNLATSIQYGVSNLPEQISEIKVSRLAKLKQSIPRVTSMTQHLRQRWIELTLDATMETWTLSTHKI >Solyc11g065240.2.1 pep chromosome:SL3.0:11:50904333:50914196:1 gene:Solyc11g065240.2 transcript:Solyc11g065240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGMYSWTTGIKKRVFVRAANLAKENEKRVEVAEKVRNSKAVILGGTGRVGGSTAIALSKLCPDLNIVIAGRNREKGAAMVSKLGKNAEFAEVNIDDREALEANLTDADIVVHAAGPFQQSENCKVLEAAIGTKTAYLDICDDTSYATRAKSYMNMALEANIPAITTAGIYPGVSNVMAAELVRTAKLESEGELERLRFYYYTAGTGGAGPTILATSFLLLGEDVIAYNKGEKIKLTPYSGMLSIDFGMGIGKKDVYLLNLPEVKSVHEVLGVPTVSARFGTDPFFWNWGMIAMRNLLPQEFLRDRSKVQQLVQLFDPVVRAVDGTAGEAVSMRVDLECSDGHNRIGIFSHKRLSRSVGISTAAFVLAMLEGSTKPGVWFPEEPEGIAVEAREVLLQRASEGTINFILNKAPWMVETNPKELGFGIYS >Solyc01g058690.1.1 pep chromosome:SL3.0:1:67637772:67638295:-1 gene:Solyc01g058690.1 transcript:Solyc01g058690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVNLGAPNFLLICEEGTVDVLKEYFSTFGDLLSVQLEDLEPQDCHNGSEVLNTSARVSFRSHRSAKRAYLNGKCWQDQTLHFTWLQSTNSAKDIGVGENVTPASKSCLAGFQEGSGAGNCEPENQERGEKE >Solyc06g074580.1.1.1 pep chromosome:SL3.0:6:46280727:46280900:-1 gene:Solyc06g074580.1 transcript:Solyc06g074580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTVGRLQHQDHVRRAPKRFLGQLSSLIHRSNALGSSHGGKSQNVVVLLAASTHSR >Solyc08g013740.3.1 pep chromosome:SL3.0:8:3201191:3203815:-1 gene:Solyc08g013740.3 transcript:Solyc08g013740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDHGGSPKLYQLESKRKRVTWIVGVSGLCIFFYILGAWQNNGRRPTSSAEVYTKSHHQLVVNNTKSLENFPPCEMLYSEYTPCQEPQRGRKFDRNMLKYRERHCPNKEEKEALRCLIPAPPNYKIPFKWPQSKDYAWFANIPHKELSIEKAVQNWVQVEGDRLRFPGGGTMFPHGADAYIDDISALVPLTTGTIRTAIDTGCGVASWGAYLLRRDIIAMSFAPRDTHEAQVWFALERGVPAMIGVMGSQRLPYPARAFDMAHCSRCLIPWYKYDGLYLIEVDRVLRPGGYWILSGPPIRWKMYWRGWERSQEDLKKEQDSIEETARQLCWKKVIEKGDLSVWQKPLNHNKCIKNKKPLMCKSRDKADAAWYVMYQDMEACITPLPEVTNSNEVAGGALEKWPERAFAIPPRISTGSVPSITVEKFKEDNKVWSERVSYYKRLIGLLPQGRYRNVMDANAYLGGFAAALAKHPVWVMNVVPAKIEPDTLGIIYERGFIGTYNDWCEAFSTYPRTYDLIHAGGLISLYQDRCDITYILLEMDRILRPEGTVIFRDGVEALVKIKSIADGMRWQSRIVDHESGPFNPEKILIAVKTYWTGEPKRE >Solyc05g018657.1.1 pep chromosome:SL3.0:5:22828043:22831098:1 gene:Solyc05g018657.1 transcript:Solyc05g018657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVYPYQVAYELPQALAATNLQNTDDTLYVDSRASSHMTHNSGKIFHLLYVDDIIVTGSNPSHALELVLQRGKEFAMKELGNLNFFFGVEVTNFDGGIHLSQSKYVVELLDKNEITFAKAISTHLAQKHGIHEVVGILVETSFYKMIVESLQYLTLTRPNIIHVVNLASQFMQNPKVHIFKGRSTTGYSIYLGANCISWTSKKQSTVARSSAEAEYRALATTASEMTLI >Solyc01g058530.3.1 pep chromosome:SL3.0:1:66807922:66809728:-1 gene:Solyc01g058530.3 transcript:Solyc01g058530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLKEEARYFEFDLDEVEKDHYACRADMTFWPRNTCEIKEFASPFKGIIEITKEEILHKAGFRIASSFSAGQIFKEVSLAGQFIGTEYHIPKYWIDSYCLNVRFLASFITEAGILLRSGKNGISVKAHRMIAMGFKTARTFGLMPFTTMGTKQFVFARTMEDL >Solyc04g025373.1.1 pep chromosome:SL3.0:4:24089315:24105613:1 gene:Solyc04g025373.1 transcript:Solyc04g025373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSRKMCPTVREEKENVAKFTYSSVVKILIYAMMCTRPDIAHAVGVVSRLLENPGKEHWEAVKWILRYLRGSSDECLCFGASNPILKGYTDSDMAGGAISWQSKLQKCVALSTTEAEYIAATDAGKEMIGLKRFLHELCLNQMNYQKWIKLYHTFRITGGYAQLSYLRYERPLSAFNWVLDKKSIIDPIKKHNANVLPPPTKLNVTSFADIKHDVAHTMATDIRKKEFGMSVIFFHR >Solyc02g078810.3.1 pep chromosome:SL3.0:2:44031328:44035701:1 gene:Solyc02g078810.3 transcript:Solyc02g078810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETMGVGVGKMNWGTWEELILGSAVRRHGTRDWNVVASELRARTLYPHCFTPEACKARYEELRKRYSGCTALFEELRKRRVEELKRELVRSESSIGSLESKIERLQAERERSDQIDHGLSRTKSPAPQTKSEDIESSVKEEAKDGLSAGSFTLDIRTNGSSESQVPTIPSATEAVVKLELSEFWGRDKAPSTSKVPESANGNGGAVRKRRGKRRRKDTVWDAKEGSIEDSDNVCSTSLASTSHCKEVLTSCDQSNRRSAASDHIVGLSRFRNDDLMRIFNSITQHEAAMVFRHRLDSQKRARYKKMIRRHMDIETARSRLANWSIRTPSELFRDFLLLANNAMVFYSKRTREYKAAMALRDIVTRAYREHYKGSYHKATSSHLPLPIIGNPPGKPRSVRPRPSKEKLQAKYGNNIIAGTLGRQNHKPGDAADSKVPSQTPSSAKKGFKRPGKIKCGSTTETVNPQSKVQAKESSQHNIKVKKEHRVKAVTKERKRARQK >Solyc11g011960.2.1 pep chromosome:SL3.0:11:4912777:4919296:-1 gene:Solyc11g011960.2 transcript:Solyc11g011960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D601] MATATTLSPADAEKLNNLKSAVAGLNQISENEKSGFINLVGRYLSGEAQHIDWSKIQTPTDEVVVPYDKLAPLSEDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVKQIEALNAKFGCSVPLLLMNSFNTHDDTLKIVEKYANSNIDIHTFNQSQYPRLVTEDFAPLPCKGNSGKDGWYPPGHGDVFPSLMNSGKLDALLAKGKEYVFVANSDNLGAIVDLKILNHLILNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDEHVNEFKSIEKFKIFNTNNLWVSLSAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIKFFDRAIGANVPRSRFLPVKATSDLLLVQSDLYTLTDEGYVIRNPARSNPSNPSIELGPEFKKVANFLGRFKSIPSIIDLGSLKVTGDVWFGSSVTLKGKVTVAAKSGVKLEIPDGAVIANKDINGPEDI >Solyc10g044513.1.1 pep chromosome:SL3.0:10:26657280:26684339:-1 gene:Solyc10g044513.1 transcript:Solyc10g044513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEGLSGILFLLDFLEQIGRKQHEVSKSKGVILFLLLSISVSSSEFTVFACGCEVTAFLSIPSLFAASQKIVRRLTHVVRIFPNSQSAKFFVYKHAPTKWTEECQTAFDVIKNYLSNPPILINGSRRVNPTSDPRRTSFISRERASTESHPDTIGATNRVRWPNLVVCSSLPRNWTTQIVWPEILRTLGRNLHEFSKSKGVILFLHSSISVLSYGFVVFACGCEVIAFPSVPSLFSASEKFESYFRLGCGLFLPWYAKYALRWHSSLDTAFRWVCRAKGIIVRRLTHVIRIFRKSQPAQFLVTHNNFELKAHARESQELESYLLG >Solyc08g048435.1.1 pep chromosome:SL3.0:8:13022878:13026542:-1 gene:Solyc08g048435.1 transcript:Solyc08g048435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLGAQNKDIGLWNDVLKRCDRKLGRWNIPYLSLGGIDPRQVNPLPSYRMRLFPSPKIIEKKINKLTRSFLWNRKRENRRYNKLVKWDIVTISRKHGGLDIKKLSLLPSAEMVMVEEKGLLTLVRVVLASHAGCCMEEELKSPPSAAQENKGND >Solyc10g019210.1.1 pep chromosome:SL3.0:10:12538565:12539065:1 gene:Solyc10g019210.1 transcript:Solyc10g019210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4CZ39] MGWIIYLQLSRFWTPPRFLQGTTWLKSHLFALVNRVKHPIFEPNNPLLVNNPHVLVPFLEHELYIDGRVPDFSSFTSPKLLATHVPFASLLKLVQDSKTKLVYSCRNPRDTFISMWHFTNNLLRHHNDTILLKKCLIFSVRG >Solyc06g083870.3.1 pep chromosome:SL3.0:6:49210947:49227448:1 gene:Solyc06g083870.3 transcript:Solyc06g083870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:K4CAP2] MPSQASPGKIHRLELENFKSYKGFQTIGPFYDFTAIIGPNGAGKSNLMDAISFVLGVRTGQLRGAQLKDLIYAFDDREKEQRGRRAFVRLIYQLANGTEIQFTRAITSAGASEYRIDGKAVNWDEYNAKLKSLDILVKARNFLVFQGDVESIASKNPKELSALLEQISGSEEFKRRYDELEEEKARAEEKKALAYQKKKTVTMERKQKKEQKEEAEKHLRLQDKLKSLKQEYFLWQLFNIEKDIAKTNEELDAEEARVKEIVEKLGEYESESSKKKKELSGYMREIALRERKIADRKNKLDKNQPDLVKLKEEISRITSKIRSTSKELDKKREEKRRHADEVKKLQNDLKDITKQLDELRQRSRDAGGKLQLADSQLETYHQIKEEAGMKTAKLRDEKEVLDRQQRADIDAQKNLEQNLQQLENRKHELESQEKQMQTRLKKILDAVKKHDEELKRVKEEQREMKNKLRRSREKHDNLRKRLDEVEDQLRELKAERHENERDARLSQAVETLKRLFPGVHGRMTDLCRPIQKKYNLAVTVAMGRYMDAVVVEDEQTGKECIKYLKEQRLPPQTFIPLQSVRIKPVVERLRTLGGSAQLVFDVIQFDQALEKAILFAVQNTIVCNDLKEAKYLSWDGDRLKVVTLDGILLTKSGTMTGGTSGGMEARSHKWDDKKIDVLKKKKEGLESELEELGSIREMQLKESEASGRISGLEKKIHYAEIEKKSIADKLQNLEREKGSIENEIRHIQPELEQLNRKIDARAQEILSREKRINDIVDRIYKKFSESVGVRNIREYEENQLKAVQEMSEERLNLHNQQSKLKSQLEYEQKRDMDSRIVKLESTLNNSKEKLKEVETKESDLKSSMEKATKEIDDYKEEVFAWRSKSEECEKQLQEWQKKISAETTSISKHNRQIKSKEAQIEQLNSKKQEILEKCELEQIELPTISDPMDTGESTPGPVFDFSKLSRTYQQITKPTEREKHEVDFTQKIASLMSEIERTAPNLKALDQYKDLLKKEEDVNKEFEVAKNEEKKVTDEYNRVKGGRYELFMKAFNYISGKIDQIYKQLTKSNTHPLGGTAYLNLDNEDEPFLHGIKYTAMPPTKRFRDMEQLSGGEKTVAALALLFAIHSFRPSPFFILDEVDAALDNLNVAKVAGFIRSKSCGGARLTQDPEEGCGFQSIVISLKDSFYDKAEALVGVYRDAERGCSSTLTFDLTKYRES >Solyc10g076720.2.1 pep chromosome:SL3.0:10:59773502:59774553:1 gene:Solyc10g076720.2 transcript:Solyc10g076720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHLQIHAFSDEQIKELATRLEKSEKKIIWVMSLREVSCLKIIKKESKDIGPIEKIIVVVIPSTLSKNATISMQNTCYLTIHLFSIRFYHNFIMVSKTSFCFCFLNRKSSKTKEEGSSNTKSNRKSRDINADYNRADGSSHGGATSNTGGNDAGAAAVAFVATSHLADMEGGADGSSHGGGGGGGGSGGDGGGGGGGGE >Solyc05g014790.3.1 pep chromosome:SL3.0:5:8964887:8969790:-1 gene:Solyc05g014790.3 transcript:Solyc05g014790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:K4BYJ7] MFTAVQSRSTPVSSEIQHVFSIRRRKISQICRYNRSCFKVKAVIQSGNDNKTVKDANFMEKSMEESNRLLVSSGKARDVKAVVTLRKKMKEKISDKIEDQWESLMNGIGKGILIQLISQDIDPVTKSGKFAESYVRGWLSKPSDHPHIVEYAANLTVPHDFGRPGAIIITNLLDKEIHLVQIVVHGFNEGPVFFSVNTWIHSQKDNPESRIIFQNQAYLPSQTPPGIKDLRREDLLSIRGNGKGERKLHERIYDYDVYNDLGNPDKSEDLARPLLGGKEKPYPRRCRTGRGPTKKDPLAERRIEKPHPVYVPRDETFEEIKQNTFSAGRLKALLHNLVPLIAATLSSSDIPFTNFTDIDKLYKDGVVLNDDNDPQKNNFLSEMLEKVFSVSKRLLKYEIPAIIRRDRFAWLRDNEFARQALAGVNPVNIELLREFPIVSKLDPAVYGPPDSAITRDVIEQELNGMSVEEAIQAKRLFILDYHDMLLPFIGKMNSLPGRKAYASRTLFFYTSRGVLKPIIVELSLPPTPSSARNKRIFSHGQDATNHWIWNLAKAHVCSNDAGVHQLVNHWLRTHACMEPYIIASHRHLSSLHPIYKLLHPHMRYTLEINALARQSLINGGGVIEACFSPGRYSMEISSAAYKSMWRFDMEALPADLIRRGMAVEDTSMPLGVKLVIEDYPYAADGLLIWSAIKEYVESYVDHYYSEPNSVTSDVELQGWWNEIKNKGHADKKNETWWPKLVTKEDLSGILTTMIWTASGQHAAINFGQYPFGGYVPNRPTIMRKLIPHEDDPSYENFILHPEYTFLASLPTQLQATKVMAVQDTLSTHSADEEYMYQLHEIQQFSINDHEVLKILKRFSAKLKEIEDTINQRNKDIRLKNRSGAGVPPYELLLPTSGPGVTCRGIPNSISI >Solyc05g053460.1.1.1 pep chromosome:SL3.0:5:64376336:64376740:-1 gene:Solyc05g053460.1 transcript:Solyc05g053460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNFFILLIIFLYITNGKVDSRIEVHVVDALPNNDIPLSLHCASKDDDLGYHYPKVGDDFHFHFYPKLFGHTLFFCHFWWGKKDAAFDVYTYELSPNCSWDDRSISYCYWKVQEDGFYMGPSLNEVKKMHDWN >Solyc12g098570.2.1 pep chromosome:SL3.0:12:67016858:67020780:-1 gene:Solyc12g098570.2 transcript:Solyc12g098570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHKLFFLVNFFFTLISLTFSDDSAVMSNFLKGLSKPPSGWDASKPYCDWSKVTCDKSSNTVVSINLDSQGLSGVLPSELNQLSNLKILSVQRNSLSGVLPSFANMSNLAEIYLNDNGFTSVPQDFLLGLTSLQIFSISENWKLSPWQIPSYLIDSNNLITVYASNASLFGVIPDFLGKFPNLQDLRLSYNNFTGSLPASFGDSEIKNLWLNNQVKGLSGTIDVISSMVQLSQVWLHANSFTGPIPDLSKCESISDLQLRDNDFTGVIPDSVMNLPNLLSISLQNNKLQGPMPQFGNKVKVEIGSTNSFCLDIPGPCDSQVMTLLDVAGGFGYPGFLADSWKGNDACNGWSHVSCDGSKKKVDVVTLGKLRLSGFISPAFAKLTSLRNLLLNDNNLTGSIPESLAALPMLQTLDVSNNNLSGPVPAFRSNVKFINGGNVFLGKNISDGGGSGGSPGSGSSSDGGNSSGGSKGSSVQLGVVAGVVISVFIFILVVLYVSYKCYIRRRHKRFGRVQNPERSNDMVKPGLVIGNGYAGVPSELQSQSSGDHTEMPIFEGGNVVISIQVLRQVTNNFSEENILGRGGFGVVYKGELHDGTKIAVKRMESGAMGTKGMNEFQAEIAVLTKVRHRHLVALLGFCVNGNERLLVYEYMPQGTLSQHLFEWQEHGCPTLTWKQRVTIALDVARGVEYLHSLAQTSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETLPDERSHLVTWLRRVLVNKDNLRKAIDPTLDPDEETYESICKVAELAGHCTAREPFQRPDMGHAVNVLAPLVELWKPTRNEDEDSGIDLQMSLPQILQRWQADEGTSRMFDDISFSQTHSSIPSKPSGFADTFNSTDCR >Solyc01g105070.3.1 pep chromosome:SL3.0:1:93248597:93250230:1 gene:Solyc01g105070.3 transcript:Solyc01g105070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4B277] MAYYNYNLINKMVTIIFILVLVIVDVTMVFGQGTRVGFYSSTCPRAESIVQSTVRSHFQSDPTVAPGLLRMHFHDCFVQGCDGSILISGTGTERTAPPNSNLRGFEVIDDAKQQIEAVCPGVVSCADILALAARDSVLVTKGLTWSVPTGRRDGRVSSASDTSNLPGFTESVDAQKQKFAAKGLNTQDLVTLVGGHTIGTSACQFFSYRLYNFNSTGGPDPSIDATFLSQLQALCPQNGDGSKRVALDTGSVNNFDTSYFSNLRNGRGILESDQILWTDASTKVFVQRYLGLRGFLGLRFGLEFGKSMVKMSNIEVLTGTNGEIRKVCSAFN >Solyc02g093480.3.1 pep chromosome:SL3.0:2:54973034:54978138:-1 gene:Solyc02g093480.3 transcript:Solyc02g093480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLCISMLQLNLPSNLFGLINLTYIEEKYSGLSTQDLLNVLSSLKRYRGHSHGHKHSDTKIWVPSNPRGAERLPADIVASESDLYARRLWGLPHEDLIIKPRYLVCFTVGYEQKNNIDVAVKKFSQNFTFVLFHYDGRTSEWDDLEWSKRAIHVSTRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGLENFDAEEYIKLVKQHGLDISQPGVASNSELSWWMTKRRNDTDVHKETEERPGWCTDPHLPPCSGFVEIMAPVFSRDAWRCVWYMIQNDLVHGWGLDLALRKCVEPAHEKIGVVDSQWIVHQTVPSLGNQGQAEKGKAPWQGVRARCEREWAMFKERMAGAEKDYYYKAKGIDPPNSTSH >Solyc03g083550.1.1.1 pep chromosome:SL3.0:3:54917967:54919187:-1 gene:Solyc03g083550.1 transcript:Solyc03g083550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHRRWTYSITKLVFWATIFAGAFLFFCFRSSPPSHSTTMKWGSSSNTPQWEKRVRLSARSRSGHLSVLVTGAAGFVGSHVSAALKRRGDGVVGLDNFNSYYDPSLKRARQKLLEQKGVFVMEGDINDEKLLKKLFDIVEFTHVMHLAAQAGVRYAMKNPGSYIHSNIAGLVTLFEACKSANPQPSIVWASSSSVYGLNSKVPFSEKDQTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKNILKGKPISVFQGSNNKSVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKKNAQLRVFNLGNTSPVPVTKLVSILEKLLKVKAKRNVLPLPTNGDVMFTHANISYAHKEFGYKPTTDLQTGLHKFVNWYLDYYSVSEKKIY >Solyc12g019460.2.1 pep chromosome:SL3.0:12:10385402:10396340:1 gene:Solyc12g019460.2 transcript:Solyc12g019460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:Q84MI6] MDGSVPQTDTMMSDVAAPPAQQPPPPSQPLAGMDNIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNESVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQREAFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLIMELIGTPSEAEMEFLNENAKRYIRQLPLYRRQSFTEKFPHVNPAAIDLVEKMLTFDPRRRITVEDALAHPYLTSLHDISDEPICMTPFSFDFEQHALTEEQMKELIYRESIAFNPEYQRM >Solyc03g044026.1.1 pep chromosome:SL3.0:3:7875469:7876860:1 gene:Solyc03g044026.1 transcript:Solyc03g044026.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSAFHVFLCVLMWNWKKLTKWVQQRKKDEFVLDTLGVISGQRNSLKWLRVSKKPWPLGKRFVVPVLTGPAAVQHLNSKLRSYPLGYVVMSDPLEARRSLVYYVILKKKAWRFKTSN >Solyc11g039360.1.1 pep chromosome:SL3.0:11:45468978:45470821:1 gene:Solyc11g039360.1 transcript:Solyc11g039360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLHNFFFFITSVVVPRGTASPVLLKWFVSRDVPTGNSYAPRTEQKGRLLVRASRPILLPDIIGRSSSQTRARDALFRFVPVLHFLLLESKGDFSYFESFCGVLRLLFFRTFFFLPRDRSAKPERARRRKGQTLRPNGNEKRRNEKMRCLGHPHLERRVEGFGPVAFAVPPSSGGPCVEGAPPEIGLEALTLPTSRELMAVGHDYYQKAPMKMNISHGGVCIFMLGVLLSCEPAAYVRPVAHASYLFRAGSVNSDSIRMLSHSKSRISSSPHVLGTEESHVDRPEHSPALRSPLELELGLLDALLLSSELNYFGSDAFDQMEGWMPAFSDFVRSFLLYLFAMA >Solyc08g006740.3.1 pep chromosome:SL3.0:8:1295697:1298383:-1 gene:Solyc08g006740.3 transcript:Solyc08g006740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid decarboxylase 2 [Source:UniProtKB/TrEMBL;Acc:Q1KSC4] MGSLSFEKDFEPSAITPRGLAPPGLIVNGDFGEMMRLKVSSTPTTPRKNLNLSVTEPGKNDGPSLDCTLMNYIDTLTQRINYHIGYPVNICYEHYANLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLNWFADLWEIERDQYWGYVTNGGTEGNLHGILVGRELFPDGILYASKDSHYSVAKAAMMYRMDFENINASINGEIDYSDLKVKLLQNKGKPAIINVTIGTTFKGAVDDLDVILQILEECGYTRDQFYIHCDAALNGLIIPFIKNMITFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSRRVEYIASVDATISGSRNGLTPIFLWYSISAKGQIGFQKDVKRCFDNAKYLKDRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVRDMAHVIVMPGITRETLDGFINDLLQQRKKWYQDGRISPPCVANDIGAQNCACSYHKIDYIIA >Solyc07g064390.1.1.1 pep chromosome:SL3.0:7:66705860:66706702:-1 gene:Solyc07g064390.1 transcript:Solyc07g064390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEMDVDKDLSFRRESTNNVLSFPNGNFRMKMAAGSFYIPRSDKAPLGEDAHFICGEEETIGVADGVGSWARKGIDPGEYSRQLVRNAELSIQKQKDQRNKIDPMEVLNEAYLNTKCQGSSTACILTLSCDTIHAANVGDSRFVVIRDGVIVYKSEIQQRGFNYPFQLGNGVELDDPSVAQEINATVRIGDVIVMATDGLFDNVRNHELEKLVHDGVGDLHKLETFSKMLAQKIAEYALQKSESKTVYTPYAEECSKAQIYRPGGKRDDITVIVAQILPR >Solyc10g086020.2.1 pep chromosome:SL3.0:10:65129330:65150482:-1 gene:Solyc10g086020.2 transcript:Solyc10g086020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGPLAQGTLRNELKMGKKGNWFSSVKKALSPDPKKSKKKWYGKEKDPVPDSFSPVAASVSPPHPVPHVEEVALDEVEEELTKHAYSVAASTSAAAEVDVSATEAAEEVVWLDKVTQYTGKSKEEVAAIKIQTAFRGYLARRALKALRGLVRLKSLADGPTGKRQTAHTLKCMQTLSRVQSQISSRRIRMLEENRALQRQLMQKHAKELESLRRGEEWDDSAQSKEQIEASVLSKYEAAVRRERALAYSYSHQKTWKKSPRSANLLFMDPTNPQWGWSWLDRWMGAKPWDTQSMSEKEHKNDQMSVRSASIAGGEITKAFARYQLNSDLPSPSSQKPSHQSPTTPSKPANSTASRKLKSARVAAISQDDDARSMISMQSERNNRRHSIGVASIRDDESLGSSSSVPSYMIPTKSAKAKTRLQNPLGMENNSTPEKGPAGSVKKRLSYPPSPARPRRHSGPPKLENTSMNTSINTSIAKDNVKETTPLIAGLSVAAVALAGRYSIQAWQAFKARPPTARMRKFYEGGFQPKMTRREAALILGVRSVTVEVPQRTSPAAPKTARKLKTPGSDAATRTPKERSPKVVVRRSPRSPALEKKHSTRDSDLETQLAQLQEELKKVKDQLNSSESLKRRAQLEAEEAKKQLTVMSEQLEESEKQLLELSDSEEARILELRKLSQERDRAWESELEAVQKQREFDSATLGSAMNEIQKLKIQLERVADSEANQARHAESAYTEVQSLRLELMETLALAEKLRNQLNDSKESEACALEEVSKAQIQLEMAKKTEDTLRSEGLKAMEAYKSLLLELEQSNNRVATLEELVSELQSAQDIENMKSAQENGVIVEAEELKTELSSLQVEVSQLRAALEVSETRYQEEYIQSTLQIRSAYELVEQSKSESIQKEAEWEAKLEATKADLEELKEKLMSKEATLRSISDENKHFSTLDAVLKESESTLGVLKASLLDKETELQSIKEENEILKSEIKKRETEGSKANDSALALVEAARTAEKEALVKLGYLTEEADNSIRKAARVTEQLDAAQNANSEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKYAERTGTLDYHTKMDSPLSEDTDDDSPKKKNGNMLKKIGVLLKKGQKKTRGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Solyc02g014470.3.1 pep chromosome:SL3.0:2:17110985:17112667:-1 gene:Solyc02g014470.3 transcript:Solyc02g014470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWFKRKMKKNTEKKDSSIAKRWMLLSGKNNWEGLMDPLDYNLRRYIIHYGEMAQASYDNFNSNKASKNAGNNRYSRNNFFTKVGLDKDHNNPFKYRVTKYLYATSSIQVPEAFIVKSLSRESWSKESNWIGFIAVCTDEGKIALGRREILISWRGTVQTLDWVNDLDFFQVSAPEIFRGNTEPQIHRGWYSIYTSDDPRSPFNNTSVRDQVVEEVKRLVEEYKSEKMSITITGHSMGAAVGTLNAIDIVVNGFNKGCLVTAILFASPRVGDSNFVNAFSKLENLRILRVTNCLDIIPNYPLIDYSEIGVELGIDTTKSKYLKVPGDIRSWHSLEAYMHGVAGYQGANGGFKLEVGRDISLLNKHLNALKDEYCVPTCWWVEKNNGMVQQDDGYWKLMDHEDDDDSVYA >Solyc02g082590.3.1 pep chromosome:SL3.0:2:46798333:46801697:1 gene:Solyc02g082590.3 transcript:Solyc02g082590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHGIKRERVTPWKRVPKVHCPDVERESMNNKPKRRRFYLYPQNRHLDAYTKRAALTVSRSVVSLESYSGEEMIFQCSGTIVDSVDTCNIILTTASLLRCSTNRNSVVDNIKVIVHLFDGRSFDGHIESYDFHYNIAAIKIQLDTLLPVASLAYLNDSIVIDPSQLQDSEKKSFQLCPHSNSFDLFPGVSIIALGRLNRKPYDIMAAPGEFSIDRCHYGDFYCKELFMTTCKLQYAVMGVH >Solyc01g102925.1.1 pep chromosome:SL3.0:1:91459219:91460863:1 gene:Solyc01g102925.1 transcript:Solyc01g102925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLQWLDLGGNPIDELPDSIKNLTRLKTLNIACCTKIKYLEGVPSNVTDVNADGCIGESYVQDDITSLFSLSPKKLPPQILYHRGVFSTFLPGESVCPIGSATGLQMQQKSIAHYQTTSIATQQSTD >Solyc06g076430.3.1 pep chromosome:SL3.0:6:47629036:47634221:-1 gene:Solyc06g076430.3 transcript:Solyc06g076430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CA03] MAQNGQGIEPAVLDDIINRLLEFRNARTVRQVQLSEAEIRSLCTAARAIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDDKILCMHGGLSPDLTDMDLIRNLPRPTDVPDSGLLCDLLWSDPSREVKGWGMNDRGVSYTFGPDKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTVFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPADRKPRFL >Solyc03g083980.1.1.1 pep chromosome:SL3.0:3:55355194:55355754:-1 gene:Solyc03g083980.1 transcript:Solyc03g083980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRYGILRKKLEKLESDLHLLFTFPPDSATHEILSHAIEQQLEFLNHLLAAEITSSPSKPRHLYHIGRRLNELETVFDLHSQQQLDGNNMNDHDAASVCSCSQSCINDDGEAAVERDSDPVNYDVPEDSPVKDIEEVGEGNVINGRYFGVLGCGVMMGAVCVGFVMLRFYDSFLVIQPSGFLVPT >Solyc07g015900.2.1 pep chromosome:SL3.0:7:5679194:5681420:1 gene:Solyc07g015900.2 transcript:Solyc07g015900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYTETKVYTMIEDESWQLFVKKAEDNVYLEHIQPFAKAIARECGGLPLAIAVMGLIPLVMNTIQVGFELAEISHIKISTSLKRISFVSYVINNLPDCFMECPKTTFLLLQDNYPLLKIPHELFFAFASLIVLNLNEKWYLNTTFFPQ >Solyc02g092630.2.1.1 pep chromosome:SL3.0:2:54294568:54294687:-1 gene:Solyc02g092630.2 transcript:Solyc02g092630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIFYLLLLYASLLLNFSLCYSQILLSLEALNTSGGDSP >Solyc05g018760.2.1.1 pep chromosome:SL3.0:5:23553333:23556608:-1 gene:Solyc05g018760.2 transcript:Solyc05g018760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPYTTPADAGVFCVILVNTAISISIVKGMVRSILHVIGINFASWEEYSIEGPFDPFECRGSPSGSYMEEFRSRTPAVRYDSLCSNHPTQECVVCLAEFKHDEEINCLSCGHVFHKLCLEKWLKNWNVTCPLCRNYIMPKEGEEDTCPM >Solyc12g089340.2.1 pep chromosome:SL3.0:12:65440027:65459918:-1 gene:Solyc12g089340.2 transcript:Solyc12g089340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATEGMEDEEKWLAEGIAAIQHNAFYMSRALDSDNLREALKYSALLLSELRTSKLSPHKYYELYMRAFDELRKLEMFFREEDRHGCSVIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRGIQHPTRGLFLRSYLAQISRDKLPDLGSEYEGEGDTVMDAVDFVLQNFTEMNKLWVRMQHNEPVRLKEKLDKERSELRDLVGKNLHVLSQIEGVDLEMYKDVVLPRVLEQVVNCKDEIAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPAVDVKTVLSRLMERLSNYADSSPEVLPDFLQVEAFAKLSSAIGKVIEAQVDMPVVGAISLYVSLLTFTLRVHPDRLDYVDQILGACVKKLSGKSKLEDSKATKQVVALLSAPLEKYTDIVTVLTLSNYPRVMDHLDAGTNKIMATIIIESIMKNDTCVSTADKVEVLFELIKGLIKELDGTATDELDEEDFKEEQNSVARLIHVLYNDEPEEMLKIICTVRKHIMAGGPKRLTFTVPPLSFSALKLVRRLQGQDGDVAGEEVPATPKKIFKLLNETIEALSSVPSPELALRLYLQCAEAANDCELEPIAYEFFTQAFVLYEEEVADSKAQVTAIHLIIGTLQKMTVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRSLRIANAAQQQANVTRGSSGPVTLFVEILNKYLYFFEKGNPQITSSAIQSLIELIKTEMQSDTTTPDKASDAFFSSTLRYIQFQKQKGGLMGEKYGPIKHPDIRPLAEQDTESLQRLLPEIPLWVKNPDYERLDWFNKMIELMWPYLDKAICKTAKGIVDPIIAEQIPQYKIESVDFLSFTLGTLPPTFPGMKVYITEEKELIMEPVIKWAANPNITLAVKAFGLKATVQMIDLQIFASPRITLKPLVPTFPCFAKIYISLMEKPHVDFGLKLLGADAMSIPGLYRFVQEIIKDQVASMYLWPKTLEVQILDPTKAMKRPVGILNVTVVKAMKLKKTDLLGLSDPYVKLKIVNEKPSTKKTTVKHKNLNPEWNEEFSFVVKDPETQVLKFSVYDWDQVGSHEKMGVNIVPLKDLIPDEPKELTLELLKNLKPDDVQNEKARGQLVLEVMYKAFNDEELANGADESNTVEKAPDGTPDGGGLLVVIVHEGQDLEGKHHTNPSVRMLFRGEEKRTKVVKKNRDPRWEEEFQFVLEEPPVNDRIHVEVVSTSKRMGLRHPKESFDIQNGLFWLSTPIDFIDNVLAHFHKNFGQKRRQKPSSSIANVITGVREKFGKYSIPDHQIHGL >Solyc05g040060.1.1 pep chromosome:SL3.0:5:49871221:49871601:1 gene:Solyc05g040060.1 transcript:Solyc05g040060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTTATRQKALKEQYLFSCTCIHCIKLGQNDDIQESAIPEGYRCKDKRCTGFLLRDSGNKGFTCQLCGLVRDKEEIKNTVHEIQSLSEKASFSLPCGRIL >Solyc12g088820.2.1 pep chromosome:SL3.0:12:65024995:65031955:1 gene:Solyc12g088820.2 transcript:Solyc12g088820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4DGV3] MEGADQVFNSLEPEFSVSSPVTRQKSAAAKKIIENHYKNYLQGLQDRKERRRTLQRKAQEAQIPDDEQEKMLRNLEKRETEFMRLQRHKVGIDDFELLTVIGKGAFGEVRLCRFKSTGDVFAMKKLKKSEMLSRGQVEHVRSERNLLVEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVAQFYMAESILAIHSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLESKYSSLLEDEDLTSQKSLTETADSDRAPWLMPKEQLQEWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFCSEDPRLTCRKIINWRACLKFPEEPKVSDEAKDLICRLLCDVESRLGTRGVEEIKAHPWFEGTKWDALYEMEAAYKPIVTGELDTQNFEKFPEVEGTQSTTPRVGPWRKMLTSKDANFIGYTYKKSDILKSAGTSGIDASSNGSKPPPSLVSLFGRVDLQDTTK >Solyc04g079720.3.1 pep chromosome:SL3.0:4:64173683:64176925:-1 gene:Solyc04g079720.3 transcript:Solyc04g079720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPAGKMEVTTGETNTIYPSKPPFTENHVLPLSHIDTDRNLNFTFRYLRVYVNDDTTSDPYEVVTSSLSAALVHYYQFAGSLRRRPSDNRLELHCQVGDGVPVIPSTVDCTLASMNYLDDPDYNLAEKLVPDPRDEEALTRPLILQVNRFKCGGWVFGTAVHHAMCDGMGSTLFFHAMAEIARGEKGMKIEPVWDRSNLLGPRNPPRVEFPVHEFLSLDRDSCPYLESGNSAVRECFEVKDEWLDRLKGFLHEQSAGSKYTTFEALGAFIWRAKVKACKMSDDETVKYAYLTNIRRRVKPPLPAGYWGNGCVPIYVQLLAKDIINEPIWKAADAIKKSKDIITDEYVRSFIDFQELHYDEGITSGNRVSAFTDWRHVGHETVDFGWGGPVTVFPLSRHLVGSVEPCFFLPCSSKTQGKKDGFKVLVCLQQEAMPVFMEEMEKLEHGLA >Solyc11g006190.2.1 pep chromosome:SL3.0:11:933847:941487:-1 gene:Solyc11g006190.2 transcript:Solyc11g006190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTGSLPSAVSPTMESVKRKRGRPRKYSTPEQAAAAKRLSSASAPPKKRDHGLSHVAGADGGGGGSSKKSQLAALGNAGQGFTPHIITVSAGENSSHTIIGMSRLKGTSQTSFKNGKDVGQKIMMFMQQSKHELCILSASGSVSNASLRQPATSGGSITYEGRFDILTLAGSYVRTETGGRTGGLSVCLASNDGQIIGGGVGGPLIAGGPIQVIVGSFSVDSKTGGLKHDTSAQFGGSPLSFRSMVDSSNQSMGGGQFMMPSRGMQPTPLHSTDWRVTTGQGLHQSPENGDYDHLRD >Solyc02g062320.3.1 pep chromosome:SL3.0:2:34483188:34484391:1 gene:Solyc02g062320.3 transcript:Solyc02g062320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQFHYLISFSWLAFVVSHAAISPEVYWKVKLPNTLMPKVIKDFLPHTDHNFNGNIVPWHHAATEEEIHELKVNRGKIGSGMLHWYCAATEEEIRELKDDNNNFIYKPFFFENDLTKGNIINFPSLKHKNDAPFLPRQSTIPFSSKKFTEILNHFSIDSNSNDAQIIKETIYLCEEPDLHKEKKFCATSLESMVDFMLSELGTNNIEAITTEVEGESSQIIQRYTMEKVEEIADGNNMVCHKINYPYAVHYCHVGGRTKTFMVSMIGVDGTKVKALSVCHQDTSFWNPKGIPFVMFNVKPGTTPFCHFLPSDQIVIFPSKEATN >Solyc03g044995.1.1 pep chromosome:SL3.0:3:11274410:11293022:1 gene:Solyc03g044995.1 transcript:Solyc03g044995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDPKVAMCHGCMNCNGLVIMEKGDVEGMRSNFVHLMTRKIHQMQWASYHTKGKRPRNEREKYFEHFHDKITPPDAIVKQIVQEVPFRKALIERNSRHIQYHGNDVKIMQKFKQDMMQAYEMSDLVLLNYFLGIEVSQVKERIFISQNKYTKSILQKFKMMDYRSMVIPLASNEKFKKDDEETKASSSLYRSLIGSLLYLTSTSLDIMFAPSLLSRFMQEPSQVNFGDAKRVLCYWQGTMDYEITYKFGGDLNLIGYSDSDWAGSIDNMKSTSSYAFLFRSSICSWLSKKQNVVAQSTAEAEYISSTKATSQAICLRRIFKDIGEK >Solyc01g091160.3.1 pep chromosome:SL3.0:1:84726869:84740661:1 gene:Solyc01g091160.3 transcript:Solyc01g091160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSAGRMGIHYMQKLHASNVPKELVEKGQNRVIEASLTLIRERAKLKGELVRALGGAVASTSLLGVPLGHNSSFLQGPAFAPPRIREAMWCGSTNSTTEEGKELDDPRILTDVGDVPVQELRDAGVDDDRLMSIISESVKLVMEENPLRPLVLGGDHSISYPVVRAVSEKLGGPIDILHLDAHPDIYHAFEGNKYSHASSFARIMEGGYARRLLQVGIRSINKEGREQGKRFGVEQYEMRTFSQDRQFLENLKLGEGVKGVYISVDVDCMDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVGADVVEFNPQRDTVDGMTAMVAAKLSAGSMGINYMQKLLTSNVPKEVVKRGQDRVVEASLTLIRERAKLKGELVRGLGGAVASTSLLGIPLGHNSSFLQGPAFAPPLIREAIWCGSTNSTTEEGKILDDQRVLTDVGDLPVQELRDTGIDDDRLMSTVSESVKLVMDENPLRPLVLGGDHSISYPVVRAVSEKLGGPVDILHLDAHPDIYDAFEGNKYSHASSFARIMEGGYARRLLQVGIRSINLEGREQGKRFGVEQYEMRTFSRDRQFLENLKLGEGVKGVYISVDVDCLDPAFAPGVSHFESGGLSFRDVLNILHNLQGDIVGADVVEYNPQRDTADGMTAMVAAKLVRELAAKMSK >Solyc12g010340.2.1 pep chromosome:SL3.0:12:3404601:3407522:1 gene:Solyc12g010340.2 transcript:Solyc12g010340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDGCPKIVNLGSAKTDLFYERKKYGFKKR >Solyc01g017680.2.1 pep chromosome:SL3.0:1:24700716:24701309:-1 gene:Solyc01g017680.2 transcript:Solyc01g017680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHLSYQDKIYQSFQSMIYKISLSRSVSINCLFSLMFIHLPRISLISWKLKKF >Solyc06g054580.3.1 pep chromosome:SL3.0:6:37434749:37438090:1 gene:Solyc06g054580.3 transcript:Solyc06g054580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRFKEIAAAVARQSCPICLCQIHHRSAAVVIPCMHAYCIVCIRRWSDVKRKCPLCNADFDSWFSRISFSSRTFQKEQLSARNETKKLHSGFVPSRLRDRLADRRVIRRRREELNTFGSRTRPFPRRRSFDHKGALNPDDITRRIILWRSSIYEERLQAVPFSSKNCLMQHMDNRSTKEKMLQRIEPWIRRELQAILGDPDPSIIVHVATSLFITENTHSTQWCDRNEFLAPLRPFLHERAEVFWHELRCFAESPFSMQTYDTVVEYYSLD >Solyc11g065255.1.1 pep chromosome:SL3.0:11:50923734:50924724:1 gene:Solyc11g065255.1 transcript:Solyc11g065255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLPEVSTNCRCSIWNRSKIWHNKMPFKISFDVSRLLKDKLATDVNLSRENWPRECGNTSMMCGIPRKNSLNIRAKFMAWWLIKPQNQKSDYLLTIHMINSNISTSWLFKDEIKKIQAMNAEGLIQFNLQRRNSTANLLANLAEFKKYNSFFTTAINLPM >Solyc07g020980.1.1.1 pep chromosome:SL3.0:7:15288903:15289121:-1 gene:Solyc07g020980.1 transcript:Solyc07g020980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSKIRPTAHASERHMREVLSFGAKITLLPPNLAHDHVPAMCICPALPNLPLGFNLLNGYSCVALSLQLV >Solyc06g018000.2.1 pep chromosome:SL3.0:6:15867362:15868367:1 gene:Solyc06g018000.2 transcript:Solyc06g018000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHLSRDCERCSIRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKNFFTLSYTRGFHLENKMFHINGFRSITMGSNARDLEALTNEVLSISITQKKSIIDTKTIRSIGRDVAQNVLLSNYPIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKRLAILL >Solyc08g005860.3.1 pep chromosome:SL3.0:8:646006:655413:1 gene:Solyc08g005860.3 transcript:Solyc08g005860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSPPLPIPILHSSTHHFCTLRLVNLQKSPSLHFHRSYKLYIGASAVPLHRNSANFSASGEVLCRIAASAVLFLSLGSTLFAFRAVASTHVPPSDPCVTQLQEQESQGTGVGKSENGEDIDNEELQAAFEKWKSKTYALTVPLRVVSLSNSFPPVWLKDFLRSQGKRVKLRSEFRQSLQDIFNELCIPLQKGKINPKSALAADVVTLGDTWLSHAIEKRLIEPMDGLEDQDWFENLNEKWKVYLRRSDEGKLDASGRIWAAPYRWGSIVIAYKKSEFQKRKIAPIEDWADLWRPELAGKIAMVDSPREIVGAVLKYMGASYNTIDMSEVAGGKEAVQQNLASLVKQVRLFDSRHYLKAFGVGDVWVAVGWSNDVIPAAKRLSNIAVIVPKSGASLWADFWAIPAASRIATDEIGGRVRGPSPVVHQWIEFCLQAERFKDDVVPGTSPNTLGSSVKVSEKLTRGRPKLETNLIAGVPPSDILAKCELIEPLPEKTLSEYRWLINSVQKPESSLADRLMNRISSLAHSLLCKVQ >Solyc07g063070.1.1.1 pep chromosome:SL3.0:7:65780252:65780953:-1 gene:Solyc07g063070.1 transcript:Solyc07g063070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSRIQDRENPSSINSPRSNGTSPTSNSNGSVIQNPTPPLTPKTISRSETTNPYPTTFVQADTSSFKQVVQMLTGSSETSAKQSSASDPSSSSNSNSNSAKSSIPPIRTAPKKPGFKLYERRNSLKNGFMISPLIPGIHPQNSSFSPRNKPEILSPSILDFPSLALSPVTPLNEDPFNKSSPLTNLSEEDKAIAEKKFYLHPSPRRTPRDSEPQLLPLFPVSSPRVSGSSS >Solyc04g071570.3.1 pep chromosome:SL3.0:4:58630057:58633876:1 gene:Solyc04g071570.3 transcript:Solyc04g071570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFRKVMFLEEHCNDNDLSGRIRDGAKALAASRRMRVGLETNGEYTVEAAVLCLVLTNAVEVYDKDGRSLGVGVYDVPFSWVNHSCSPNASYRFCTASDSGGILESRICPAATETGAAGIGHESISSNTELQKSMSVIGGSEACGPKIILRSIKGIQRSEEVLISYTDLLQPKVMRQSELWSKYRFSCCCKRCRSMPMTYMDHCLQEILILNLDSSNMATGDNFYEEHVMEKLIDCLDDAIDDFLSFNNPKNCCEKLEILLTQDHVNVLLKPDGEKLHQLFRLHPLHHVSLHAILTLASAYKVSVSELLALDPEGHEHQTKAFSLSRKSAAYSLLLAGATQHLLESESSLIVPVSNFWMTAGETLLSLVRSSTWNLLSMERHVEEFSFSSHQICGKCTLLDRFRDKFADCHDENAEFADVTSQFLSCVTDTTSKIWDFLTKEGGYLKVVEDPINFRWLGSRMPSFSQFATHATSPSADKTDSGLEAEDNHNEIRVNLFLLGIHCLIYGAFLSTVCFGPNSPLMSKVESLLSVEGILNG >Solyc01g102875.1.1 pep chromosome:SL3.0:1:91429113:91429882:-1 gene:Solyc01g102875.1 transcript:Solyc01g102875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALALKFLVITIAIYALASSPSSASDPSPLQDFCVAVNDSKTTGISLARIDFARRGLNPPHTHPRGTEVLVLLKGRLYVGFVLSNPGPNMKNKLFTKILNPGDVFVFPEGLLHFQLNVGKSNAIAFAGLSSQNPGVITIANAVFGSDPPINHNVLAKAFQVDKKVIDDLQQQFWWDNN >Solyc12g011120.2.1 pep chromosome:SL3.0:12:3966622:3970472:-1 gene:Solyc12g011120.2 transcript:Solyc12g011120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASMGTLKPFRQPIIENVDPAMSFQSLSFASSQLSGDKLMSPLSFCSRRFSSNKKAYHKRAAIMVSPKAVSDSSNSQTCLDPDASQSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCINSTISKIYVLTQFNSASLNRHLSRAYASNMGSHKNEGFVEVLAAQQSPENPDWFQGTADAVRQYLWLFEEHNVLEFLILAGDHLYRMDYEKFIQAHREAGADITVAALPMDEKRASSFGLMKIDEEGRIIEFAEKPDGEQLKEMKVDTTILGLDDERAKENPYIASMGIYVISKDVMINLLRQSFPAANDFGSEVIPGATSIGMRVQAYLFDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADITDSVIGEGCVTKNCKIHHSVIGLRSCISEGTIIEDTLLMGADYYETNDDKLLLYAKGSVPIGIGKNCHIKKAIIDKNARIGDNVKIINIDNIQEAARESDGYFIKSGIVTVIRDAVIPNGTII >Solyc06g071805.1.1 pep chromosome:SL3.0:6:44359030:44365428:-1 gene:Solyc06g071805.1 transcript:Solyc06g071805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQESTSEQEPDDSESEPEFVELDPSGRYGRYKEVLGKGAFKKVYRAFDELEGIEVAWNQVKLADLLRNAVDLERLYSEVHLLKTLKHKNIIKFYNSWVDSKNENINIITEIFTSGTLRQYRKKHKRVDIRALKNWSRQILEGLSYLHGHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLAAILCKARAAHSVIGTPEFMAPELYEEEYDELVDIYAFGMCLLELVTFEYPYIECSNAAQIYKKVTAVLRNMISIPDGMHSS >Solyc11g018660.2.1 pep chromosome:SL3.0:11:8889531:8893993:1 gene:Solyc11g018660.2 transcript:Solyc11g018660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIESCVPPGFRFHPTEEELVGYYLKRKINSLKIDLDVITDIDLYRMEPWDIQDRCKLGYEEESEWYFFSHKDRKYPTGTRTNRATGAGFWKATGRDKAVLSKEKIIGMRKTLVFYRGRAPNGRKSDWIMHEYRLQTSQHGPPQEEGWVVCRAFKKPSPSNNNYYMRGTNTSYSTRPPPSLSQMPSYYITNINPLNQTSNFNHQFPLNHQISSQNNIGYDNNQLLIHELPQLDSPTTISTSLATNDHQGQNSINNNNNEDQFCDEWSVDDKLFAPQVMEAPSSSSYSYPNFLLDGNDQNHMSQLLHCYPDL >Solyc03g034140.3.1 pep chromosome:SL3.0:3:5865987:5869167:1 gene:Solyc03g034140.3 transcript:Solyc03g034140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCVSSKNKTSKSSNSKKNSIDIPSSSTKNLKIFIVFYSMYGHVESLARRIKKGIETVEGVEGILYRAPETISEDVLMKIQAPLKDDTIPEISSPEDLIDADGFLFGFPTRFGCMAGQMKALFDSTGNLWKEQKLAGKPAGFFVSSGSQGGGQETTAWTAITQLAHHGMLFVPIGYTFGAGMFDMDTVRGGSPYGAGVFAGDGSREATEGELALAEYQGKYMATFVKKLVHA >Solyc08g077150.3.1 pep chromosome:SL3.0:8:61166047:61175092:-1 gene:Solyc08g077150.3 transcript:Solyc08g077150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGFSQLFPCFNPAVNRGETEVIFTAGEPLDETLGHSFCYVRSSARFVSPTHSDRFVSPSQSLRFDEPAPLRSRQLGPSETGFRAISGASVSANTSTPRTVLQLDNFYDDATGCDAAGGLVGFNGGNNVRGSVVNGFESTSSFSALPLQPVPRGGVTGEPSGPMERAFFMSGPIERGALSGPLDATAGSDGGGVGNRVPFSAPLGGVYVKKKRKKGISGIKKAFYRNFSEKKRPWVVPVRNFVSGRKDIPAAGDCTHDSDMGSECNVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMSNLYKAMYKELEGLFWDSEDTSNPEGANPSSESEVIAANSSIPDSNLVLGATNSGVGVEEGESEVNLQQVDRRPSKKVTFESGEIEVRRRRLWEFLAEEDPEDGLDLSGSDRFAFSVDDALSVNNAGSAVNRRSLLLSKLKHGLLSKHKESKKLFPWKFGLESKEKVEEEENRVEEESTSRNEKRRKTGPVDHDLVLRAMSRALEVTELAYLDMTDRVLDRYPELALMGSCLLVALMRDEDVYVMNVGDSRAIVAKYEPEEVSSTSESKGPRNAGLTVEGIVEETSACNEENKVTNEAPVQGMKLTALQLSTDHSTNIEEEVIRIKSEHPDDSNCIVNGRVKGRLKVTRAFGAGFLKQPKFNDVLLEMFRNVYIGNDPYVSCTPSLRHHRLCPGDQFLVLSSDGLYQDLSNEEVVSHVENFMEKFPDGDPAQHLIEELLFRAAKKAGMELHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >Solyc05g055990.3.1 pep chromosome:SL3.0:5:66241865:66243953:1 gene:Solyc05g055990.3 transcript:Solyc05g055990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEVSSALPERSSSPAKDYHEPPPAPFIGAAELKKWALYRALIAEFVATLLLLYIGQLTIMGYKSESDHDPCGSVGLLGVAWVFGGMVFILVYCTAGISGGHINPAVTFGLFLSRKISLIRGLLYIVVQYLGAICGTALVKAIYKSKFELYGGGVNSVSPGYTRGVAWSAEMIGTFVLVYTVLSATDSKRNARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAAVIYNQQIAWEDPWDLFWRTFHRSTYCSNLPTNTEGMQMEISDATYICSRICVCDL >Solyc06g036430.3.1 pep chromosome:SL3.0:6:26069435:26072114:1 gene:Solyc06g036430.3 transcript:Solyc06g036430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4C509] MADHAGENDSFVDSVTDKVNDKFHSHDYSSDSDDDKGKKSPVNAVKTKIYRLFGREKPVHKVLGGGKPADVFLWRDKKVTAGVLGFATVIWALFELLEYHLLTLVCHILIVAVAVLFLWSNASAFINTAPPHIPEVVLPKDIVLSVASALRIEINRALEILRDIASGKDLKKFLVVIVGLWIVSILGNIWNFLTLFYIVFVLLHTVPVLYEKHEDKVDAVAEKAEAEIKKHYAVFDANVLSKIPRGPLKGKKQ >Solyc04g054372.1.1 pep chromosome:SL3.0:4:52336171:52337500:-1 gene:Solyc04g054372.1 transcript:Solyc04g054372.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRSLADGLLLHQSKYIHDLLERSNMLTCNDIYTPMFPINELHNGDNTHFLDESIYRSIVCGLKYLSFTRPDITFSVNKLKSTSSHGILFSKQNSQQLQGYGVFFGSSFVSWCSYLYLVATTSELLWIRLLFKEIDLSSSFLPLLWCDNLSMEIDFHFIRYLIQKKELQVQYISSRDQLENILTRIIFLFMWKYTLGSTLQNTPTPEKECTLSVVMDRKSKGDEV >Solyc05g050140.1.1.1 pep chromosome:SL3.0:5:60975138:60975305:-1 gene:Solyc05g050140.1 transcript:Solyc05g050140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQILYLFNIIFLAHRKYYPYRYNPHVSVKIIKNNYKSSYEHLIRMIWFIISM >Solyc03g079885.1.1 pep chromosome:SL3.0:3:53174817:53179429:1 gene:Solyc03g079885.1 transcript:Solyc03g079885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRRSKSCYIFLYSGTRISLCNKKLDSVSLSTMDAEYKQRLLLLKNVYGSKDLLKIWHLSISKPIMIYGDNQSVIKLANNLFHERTKPVANSASLFALEIIGVSYELEWKHKSLPGTTRLSPCILPVTVVPSDQAARMSTPGALKSGYRINARD >Solyc06g063420.3.1 pep chromosome:SL3.0:6:40202758:40220216:-1 gene:Solyc06g063420.3 transcript:Solyc06g063420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLAFTEEDAFGRQPYQQFEHLEHQYEALAAKKRKVQALPPSEIPAKKSRQEDRQEDGPGASYDEILEAMNYGMRKKSRKLKKRGRRKGSKSKVSPELTRKLGDATLHYAHGRYEEAKLVLREVIRLSPNLPDPYHTLGLIYNAMGDKKRAMNFYMLAAHLSPKDASLWNLLVAWSTEQGDRKQTRYCLSKAIKADPEDLSLRFQRASIYIELGDYQKAAEQYEQIARLCPNDVGVLKTAVQFYSKCGKHECSVGILEDYLKNHPTEADLSVIHLLAVIHMEDNAHLKALDLIEWAKQRYFTGKQMPFNLNIKAGICHLHLGHIEEAEIIFRAVQSENASQHPDIVTEVADSFMTLEYYESALKYYMMLVGDGNKNNGYLHLRIAQCYVFLKESVQAIEYFYKAVNELEDSVDARLTLSSILLEDGKDDEAVSLLSPPKVSESSGDSSSDTSKSWWLSSKIKLKLCQIYRSKGSLEACVDVIFPLIRETLFLKSVQPKVKVRRRLSKSVLNQRIKVVDDHQTDTIFHGFKPVALASDLSKAARAKKLLRKKEMLKEAKKAAALAAGADWKSDDSDSEFPEEHVYREPPLPDLLKDEEHLCLIVDLCKALISLQRYWDALEIINLCLKLASSTLSVEKKEELQALGAQVGYNIADPIHGFDCARSIVSQHPYSFAAWNCYYKVISRLDNRHSKHSKFLNHMRVKHKDCIPPIIIAGHQFTTISHHQDAAREYLEAYKLMPDNQLINLCIGSALVNVALGFRLLNKHQCVLQGMAFLYNNLRLSGNSQEALFNFGRACHHVGLVSEAAIYYQRVLDTHEDDYPIPKLPNENPDPVENRKPGYCDLRREAAYNLHLIYKNSGAHDLARQILKDYCTV >Solyc10g085120.2.1 pep chromosome:SL3.0:10:64539218:64544503:1 gene:Solyc10g085120.2 transcript:Solyc10g085120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPEHVMETEDPSLLLTEEEQYINQDEATTMEECLVSVLKIGLTCSASSPTDRMPIDTYSIIVMSIAISGLESTDQLALQDLKSRITEDPLHVMASWNDHSSHFCNWTGVTCSPGNGRVTFLDLSSRQLAGTIPSSMGNLSFLTGIDLGNNSFRGEIPQAIGRLLQLQHLNASYNYFSGKIPTNLTYCKELRVLDLQFNELVGKIVDQLSSLSKLYLFKLKRNSLGGNIPRWLGNFSSLEFFDISGNSLQGPIPEELGRLTKLLVFHVNSNELSGTIPPSILNISSIYYFSATQNILHGQLPADVGLTLPNLEVFAGAVNSFTGPIPVSLANASKLRVIDFSQNKLTGDVPTSFGKLETLVRLNFEANRLGGRGSYEGLKFLDSLTNCTHLMVLSFATNNFRGELPYSITNLSTVLEIFSLGQNRLHGTLPAGIDNLISLTLLGMDGNYLNGSVPESIGKLEYLERLYLNGNAFSGKIPSSIGNLSLLNTLNLDENRLEGSIPPELGKCKFLSTLNLTRNNLVGSIPKEVAGLSSLSISLSLGSNSLTGSLPKELDQLINLEELDLSQNKISGEIPSTLSNCLHLERVYISNNLLQGTIPQSFMSLKGLEEIDFSRNNLSGEIPEFLGELSYLRKLDLSFNEFEGEVPNEGVFSNTSAISIKGNRKLCGGVSDLHLPECSKAPKHLNSRVWIAVSVPVALLALVLCCCGGYYRIRNSRKAHPWIEQLAQIPRTTYREILRATDGFSEANLVGTGSFGSVYKAHFHGEETIMAVKVLNLQQRGALKSFLDECRALRNIRHRNLLKIKTACSSIDHQGNDFKCLVFEFMSNGNLHDWLHPENDDQQHQTNKLTIIQRLNIAIDVASALDYLHNNCQTPIVHCDLKPSNILLDEDMSAHVGDFGLATFLLDTSSNSWSHQISAALKGSIGYIPTEYGSGGQPSTLGDVYSFGIVLLELFICKRPTDAIFNESLNIHKYVSTALPEHVMEIVDPLLLLAEEEQNINQDQARRVEECLLSVLEIGLTCSASSSRDRAPIDTILSKLQAIRESFLTRR >Solyc01g087790.2.1.1 pep chromosome:SL3.0:1:82563724:82566379:1 gene:Solyc01g087790.2 transcript:Solyc01g087790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRILLWLYSPYLVLLSWALSAHLFLAIAQRSTYIVHLDKSLMPNVFLDDHHWHSSTIDSIKASAPSSADRFHFAPKLVYSYDHVFHGFSAVLSKDELAALKKSPGFISAYKDRTVEPDTTYTSDYLKLNPSSGLWPASGLGQDVIIGVLDGGIWPESASFQDDGIPEIPKRWKGICTPGTQFNTSMCNRKLVGANYFNKGLLADDPTLNISMNSARDTNGHGTHCASIAAGNFAKGVSHFGYAQGTARGVAPQARIAVYKFSFREGSLTSDLIAAMDQAVADGVDMISISFSNRFIPLYEDAISIASFGAMMKGVLVSASAGNRGPSWGTLGNGSPWILCVAAGFTDRTFAGTLTLGNGLKIRGWSLFPARAFVRDFPVIYNKTLSDCSSDELLSQFPDPQNTIIICDYNKLEDGFGFDSQIFHVTQARFIAGIFISEDPAVFRVASFTHPGVVIDEKEGKQVINYVKNSVAPTATITFQETYVDRERPSPFLLGYSSRGPSRSYAGIAKPDIMAPGALILAAVPPNISSVSIENLQLTTDYELKSGTSMAAPHAAGIAAMLKGAHPDWSPSAIRSAMMTTANHLNSAQEPITEDDDMVASPLGIGSGHVDPNRALDPGLVYDATPQDYINLICSLNFTEEQFKTFARSSANYHNCSNPSADLNYPSFIAFYSYSQAGNYPWLEQKFRRTLTNVGKDGATYEVKIESPKNSTISVSPQTLVFKNKNEKQSYTLTIRYRGDEKGGQDGSITWVEKNGNHSVRSPMVITSTVDVWASEN >Solyc02g080550.1.1.1 pep chromosome:SL3.0:2:45306367:45306675:-1 gene:Solyc02g080550.1 transcript:Solyc02g080550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRNLRSSMPSYYTYLKPGALAQIRNSKITAKSKVLNNPKTLIVMNHQTSDSGIGVSQPAPIMESVPCFNLKIKSNRPCCLQRKKLSAVAPIFYDPSLVTI >Solyc02g087700.3.1 pep chromosome:SL3.0:2:50665281:50665657:-1 gene:Solyc02g087700.3 transcript:Solyc02g087700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDWSGSCWFDGIAILTPRLAVGYYNVELHLSFLHLHGQANDFKIQYNSLVRVFCLYNSNRLLCFCRLINHTLQ >Solyc06g007870.3.1 pep chromosome:SL3.0:6:1757932:1762246:-1 gene:Solyc06g007870.3 transcript:Solyc06g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQESSAQPIRRTRSQSRRNTVLNVDLNAAPPAENRIQEGDPIHVVSGDTPQGRRGSSLTAGAIDVEALDDDVIISSPRAFAVAKNNSRRNQGRVIVLDVDSEDRASRGNSRNRHRRVSTNQAANNGDIHIDLEGHSCPKRVDLRSAIPAPPKEPTFSCPVCMGPLVEEMSTKCGHIFCKACIKASIAAQGKCPTCRRKLAAKDTIRVYLPATN >Solyc10g074945.1.1 pep chromosome:SL3.0:10:58730261:58731156:1 gene:Solyc10g074945.1 transcript:Solyc10g074945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKDLVGKIMTSFSKTLSILSSRIELHQFSSSLSSKYSIGSCNTSPLKGVIQERCNKRRKISATTIKEVSTLVDDGHVWRKYGQKEILNFPHPRNYYRCTCKFDQGCEATKQLNMMTILR >Solyc07g053800.3.1 pep chromosome:SL3.0:7:62337997:62356157:-1 gene:Solyc07g053800.3 transcript:Solyc07g053800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRFLSNYQDDDNNDEDDENSPEQQQQQQQQHRRRKQSRRAMEEEQQQVEEEEEDEQEQTQDDDGDGDGDDREGKKQSSDDDSDGSGTDPEAFDELIAVSRSEIRTNDFDERSFLAQLFQARKDLECPICLGIIKRTRVVMGCQHRFCRECIDKSMRLGNNECPACRIHCASRRSLRDDPGFDTLIEAIYPDVEKYEEEELVFLEEERAINDQIQASIAKISQRQSEALIKRRKGKDIASPSSQRTSRNYHAYSRRKRNSQGTEPERSDPNESENDDHDEIKDSPLKDERGTQTKFRKHRRRKGALTTQTSPSAASPDGGHIETAVQLPRVTPSNSPGPAVKPETLAWGRGGVRSHIRHGSGGSRNARNTRTTKLVDYLDSVQARDDELNVHLVIIPLDKQAIPGLKKPHLCCMPKTSVGHLCEFVAQETKSQAIDVELLAVKVNTVAGYSIINHSILMDASNMLPQAVDGYNITLERLERQDTVGGISSIYCLNKNLILVYVHKNSGV >Solyc09g015180.3.1 pep chromosome:SL3.0:9:8162722:8164045:1 gene:Solyc09g015180.3 transcript:Solyc09g015180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHHIHKIQISGTTLASVLHRFFSSSGDIHGLLFGHVSFSTTISLSDDLASNFSSVTPMNSASSSGADGPTLTATITDFLSIPSHFPLPLQHKHREDPSCVLLGWFSGRRKTPLRPSLKDSTTTISLSSSVSHSFTPQNSPYPLSLPPSLFLLLSTPSQEQLIHTHEYKAFQYRISTDSFDPKSLDVINIGPSFRSHYGSFSPISPFPSMTCDLRGPNAMAEDEKAETLVGIKRGLKDQKELDLCAEGFEIGRLSKLMGSDSSNYTAELEHLYDKMLLKLDNLARSVETSSAKVLEQEKHNMKLRYKIAGLE >Solyc01g102510.3.1 pep chromosome:SL3.0:1:91173006:91184569:-1 gene:Solyc01g102510.3 transcript:Solyc01g102510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLRAFRPTNDKIVKIQMHPTHPWLVTADASDHVSVWNWEHRQIIYELKPGGVDERRLVGAKLEKLAEGESGVVDVANENSHPTAFDWYGGGGGGGSLEGNLQKPYEVGGIDAYIGLPYFSSFHSVFVSYYAILVHGSSVKQVSFYDDDVRFWQLWRNQSAAAESPAAAGHVTSTFTSPAASTKGRHFLVICCENKAIFLDLVTMRGRDVPKQELDNRSLLWQVLNPLSSFFVLSSLLENVITEIALQLVNTSLSYCMVFLSKTTAVDGPLVAFGGSDGVIRVLSMITWKLARRYTGGHKGAISCLMNFMAASGESLLVSGGSDGLLVLWSADNALDSRELVPKLSLKAHDGGVIAVELSRVIGNAPQLITIGADKTLAIWDTVSFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAVEHPTYSALTRPLCELSALVPPQLLVSHKKLKVYSMVAHPLQPHLVATGTNIGIILCEFDQKSLPPVAVLPTPTESREHTAVYVVERELKLLQFQLSNTTAPALGSNGSLSDTGRFRGEIPEQLHVKQTKKHITTPAPHDSYSVLSVSSSGKYLAIVWPDIPYFSIYKVSDWSIVDSGSARLLAWDTCRDRFALLESALTPRIPIIPKVRILLDDGTSNVLMKSVGSRSEPVIGLHGGALLGVAYRTSRRVSAAAATAISTIQSMPLSGYGGSSVSSFSTMEDGSQKSVAEAAPQNFQLYSWETFQPVGGLLPQPDWTAWDQTVEYCAFGYPQHIVICSLRPQFRYLGDVAIPFATGAVWQRRQLFVATPTTVECVFVDAGVAPIDIETKRRKEEMKLKEAQSRAIAEHGELALITVDNQQSNPQERIALRPPMLQVVRLASFQHAPSIPPFLSLPRQSKVDGDASSVLKEMEARKANEVAVGGGGVAVAVTRFPAEQTRPVGPLVIVGVRDGVLWLIDRYMCAHAISLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMLGMGYATEALHLPGISKRLEFDLALQSNDLRRALQCLLTMSNSRDIGQETVGLDLNDLMNMTKKKENVVEAVQGVVKFAKEFMELIDAADATAQADIAREALKRLAAAGSIKGALRGQELRGVALRLANHGELTRLSNLVNNLISVGAGREAAFAAALLGDNVLMEKAWQETGMLAEAVLHAHAHGRPSMRTLVQSWNKMLQKELEHTPSMKTDAAAAFLASLEGSKLTSLADAAKKPPIEILPPGMASLYGPNPGQAKPLLGKPGLPQPGKPLLLEGSKTTAPIASVPAGSNTPATSESGVPLKSENGASTTPESSNPPASDSGAAPASDSGAAPASDSGAAPASETGAAPTTETGAAPPATEIGATPPVIESGAASATETGATPAESSTTQTSNLDSSVAADAVVPATPALNESDYLALVPSTSAPAEPTTIGAIVPSTGPPPATDNKKPSVPGERLMIDFS >Solyc01g095810.3.1 pep chromosome:SL3.0:1:86853653:86880123:-1 gene:Solyc01g095810.3 transcript:Solyc01g095810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILKFISFSFLLCLTVYARYDCPDSTCGNNHFDIRFPFGIEGSQNLQNCSYPGFSLICSNQGRSILSLPGAGDYYVRDIDYQTQEIQLYDPSDCLPKRLMNFSTSFSPPSLFKAVAYRNYTFLTCSTNSVLSRSNAISCLSNSTTSTLATTSPSIASQMISLYNCSIINNSSVPASWAFQYQSDFLTDLITDLVLTWDEPNCQECEVNHGVCGFKNAIIREIQCFDSPVTGNKRPVQQVLKFIAITLLIPGMTCLIGVSCYFCLECRRSSHTSVAIQRIIIGTTVAPQPATGLDDSTIESYTKVVLGESGRVPGPNHGSCPICLAEYHPKEIVKSIPECEHCFHAECVDEWLKINESPQNQLCTYNPGFNLICNNEGKAILNLPGAGYFHVRDINYLTQRIQLYDPYNCLPERLTKFELHSSSVFKPVYYRDYIFLTCSTDTINPYLNVIGCMSNSTISTLATSYLSFASSTILSSYNCKVTSTASLPVSWTRNEEGAFSNSSKAKKIFRIVALSLVIPALMCSLVLTCYICYKQSQEAAALLNTGRAAVVPRPEMIIGLDDSTIESYAKVVLGESRRIPGPNHGICPICLAEYHPKETVRCIPECEHCFHADCIDEWLKIKGICPRPQNLQHCTYPGFNLKCNNQGRGILNLPGAGDFYVRDINYLTQEIQLYDPSNCLPKRLTDFQMPSLSVFKSVSYRNYTFLTCSTGLVRSRFSVIDCMSNSTISTLATSSTSLANQMKRLYNCSVKSTVSIPVSWTPENDAVFSTDLNNDLVLTWDEPNCKECELKRNYCGFKNATTGKIRCFDASGSGNAENIKIFRIIALALVIPAILCSMCVAFYICYDQRREIRQSLFDLPATNGGGAAVVPHEAEITTGLDDSTIESYTKVVLGESRRVPGKNHLTCSICLADYHPKETVRCIPECEHCFHAECIDEWLKINGTCPVCRNNPSPVHPCTYNPSFNIKCNNQGRAILSLPGAGDFYVRDINYLMQEIQLYDQFDCLPKRLTNFQLPSSSVLKPVYYRNYTFLICSTDLVMSFNVISCMSNSTISTLATSSTNLASQMVSLYNCKVDNTLSIPVSWTPLYEAVFSSDLNNDLVLTWDEPNCQECEVEGQLCGFKNVTSGEIQCFDVAGTGNTKGIQIFRIVALALVIPAITCSLGVTCYICYEQSRDSRRSAALQNTTAGGAAVVPQPETSIGLDDSTIESYTKVVLGESRRIPGPNHGICPICLAEYHPKETVRCIPECEHGFHAECIDEWLKINGTCPVCRNNPSPVHLIPDSRIMANRHLIVFVLIVTICFVIPSTFLVICIAFRFRVAGIHRQYPENGGESAAEAGASRVVGLDESTIQSYRKIVVDKNESSCPICLAEYNAGEIAKCMPGCQHCFHIDCNPNYNNFSLTCTDQGNTLLTIPFSGDFLVQEINYSTKEIKLQNPSNFLPRKLLHLNLFSSPFSTSLQNYTFSLLSHFHGDVRLTWDVHLESGTARKEIILIFFVGVSLILPSVLCLICVSCRAFLELNHRRQVATATASMASLAPMPMIVIEGLDESTIQSYPKVVLGESRRISGIKVMICAICLGEYSAGETLRYIPECEHCFHVECVDKWLKMNSSCPICRNSLHS >Solyc07g022860.3.1 pep chromosome:SL3.0:7:23019363:23039961:-1 gene:Solyc07g022860.3 transcript:Solyc07g022860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDGILTRGFSSKCKSLVKATRTRIEVVRRRAESKQRFLKEDLAKLLDNGLDINAYGRTEEFLLGLNLLSCYDFVEQSCEYILMQLSDMQKLRGCPENCREAVASLMFAAARFSDLPELRELRDLFQERYGNSLECFVNQKFVEKLSSSPPAVEKRIQLLQDIVVEFSIRWDSMGFQKRMAFAQAQPNRSGLSHASAGNHILPNGKDGGLKADKLDVALGERHKGLNDQHNIKNGREGAVLKKEQQDLHSSEGKESNKDRNKHLTKQETCYSVGRKHDILFDEEEQTRIRNKAVMEKDDNSTRIAKSGNSSHGERREGFDYKFTRNNENHGQILTGRVQDTLSCARSETAPSRGLPFRNEDVTSARDTAIEKNTVNSKKMVQQDAGNRLMTSYAKFALPPPYSKSKEKAIHPPYVKRKDSKERGLKGSKQSASEFDGHFRSSSPSTRVETIKITKESDLSDHVAQTIKPTRTNSHGHDKEFSHKDVILPKPRSLRRKHHKSATNNHGEVDKSEDARGAKRSSSSRRREHSRKGLQILFEDERHRKDEEERMIDKLLLHYSKRPSKYDVRKMPQALATPDTGEFSNNQTNGDQDKMESDVVVFPKRSISLPHEQASPSKATPIFARANSFQPDNQACHVHPKLPDYDDLTARFASLRG >Solyc10g052490.2.1 pep chromosome:SL3.0:10:52768215:52769885:1 gene:Solyc10g052490.2 transcript:Solyc10g052490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSKILFIGGTGYIGKFIVEASAKAGHNTFVLVTESTSNPTKVKLIDTFKSFGVTFLHGDLYNHVSLVNAIKQVDVVISIVGHDLLADQVNIIAAIKEAGNVKRFFPSEFGNDVDRLHTVEPAKKLFNAKAQIRRAVEAEGIPFTYVVNFFCADFFLPNLAQPGHVVGPSAGPPKHKVIILGDGNTKAVFNKGQDFATYTIKAVDDPKTLNKILYIKPPHNIITLNELVSLWEKKTGKNLERIYVPGEQVLKNIQEASFPLNMALSISYPVFVKGDHTNFEIDPSFGVEASQVYPDVKYTPVDEILNQFV >Solyc04g025535.1.1 pep chromosome:SL3.0:4:22355270:22355869:-1 gene:Solyc04g025535.1 transcript:Solyc04g025535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKERFEDLMQRIGVVYVQGTRILRRFSNSTGVPKCHWGVYVGVNQKKRFVVPISYLNQPLSQDLLTQDEEEFGFNHQMGGLTIP >Solyc06g053490.3.1 pep chromosome:SL3.0:6:36377573:36385610:1 gene:Solyc06g053490.3 transcript:Solyc06g053490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIAAAEERIVSERLRQKLNEVNVAAQTQLAGIQDHIAFTLQQAYYRCAYECFDRRRNQEEIGRCVEHCSVPVHNAQNLFQNEMAKFQERLNRSLMVCQDKFESAKLQKNKSNATMELESCVDQSVHDSINVLPHLVDKLKASLGINN >Solyc05g015510.3.1 pep chromosome:SL3.0:5:10767638:10773689:-1 gene:Solyc05g015510.3 transcript:Solyc05g015510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWNVKWDWGNLVMFGSKASESPKELQLTDWGVEEEGELDGGSFNLSSGGSGTGGYGSDLKCGSSIKSSISASTDSSPKDGFKVSNFAFETFNASPEDPSKKLESSKAEVSRNSPPMEASVGSVEPVIGLKIGKRTCETFGGGSSAKVSSFPPNPASSAAATKKAKSSTQNAPIPHCQVEGCNLDLSSAKEYYRKHRVCDSHSKSPKVIVAGVARRFCQQCSRFHSVSEFDDKKRSCRRRLSDHNARRRKPHQETIQFNSARLSSLFYDSRQPMNLVLNEAQLIHSRAAANATWESTQDSKFSITREFTPKPERTGSTNGKSLLERNQFSRAVGAHSNASSLLLPSKGTTAEVFNRGAKESMFNMVTGPEFPRALSLLSTNSWGSSEPESVSLNHANQTSMPEQMMQAIPPHMSSQYWQAGQHSSDPRYHTLAAANSNSGGSFQEMGVFKAPFDTDFYLNALN >Solyc10g054665.1.1 pep chromosome:SL3.0:10:55681293:55682550:-1 gene:Solyc10g054665.1 transcript:Solyc10g054665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVKFCFTLLLLTLLTKGISSCGLNNITIGTIRSGVVIKGMPEWNVVVVNNCDCPMQNLVLSCNDFQTTEPVDPTLFKPLGNNQCSVNNGNAIKGKDTVKFSYAWDPPFFLRPTSVNASC >Solyc09g066135.1.1 pep chromosome:SL3.0:9:64770818:64771750:-1 gene:Solyc09g066135.1 transcript:Solyc09g066135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGSKKEKRGLGEGKNDNDVGSSEEILLSQRKYALERILETGLNDSKPQWTPMEQNLKLINSEYEQKLYIKFEDVPLEGRSIYQRLVGKLLYLTITRPDTSYVVQSLSQFMHAPKRSHYEASLHVVKYIKSQPGHVNGMRNKAYCNSDWASCLLSRRSISGFCIKFGTSLISWTDKKQNTISRSSEAEYKCMTQTELSWLK >Solyc11g006597.1.1 pep chromosome:SL3.0:11:1221590:1221838:1 gene:Solyc11g006597.1 transcript:Solyc11g006597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDIDFKGQDYELIPLGAGRRGCPTMALGVAYLPYGLKKEDIDMNGRHGITVKKKNDLCLVPKKYF >Solyc10g051160.1.1 pep chromosome:SL3.0:10:51564015:51565057:1 gene:Solyc10g051160.1 transcript:Solyc10g051160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNRNGKYKRSVSLLSMLSDDCIEEIMALTSPLDVCHISLVSKSLRSAANSDSVWERFLPSDYQSIISASSTSVPDFASKKDLYVYLCHHPLLIDAGHKSFSLEKWTGKKCYFLGARDLDIPLVDRLSYWKWTSESRFPEVAALKRVWSLDIGGTIRAGVLSPRTSYVAYLVYIFGDEFGFSYRPSEVSVGVSSVELDKRFAILVPEDEESIYNLTPDLEDRLKKVYEEAVRPNLPSAGEHNDICLALQHLPLQLLPENVSKSLFGSA >Solyc09g009440.2.1 pep chromosome:SL3.0:9:2884476:2885440:1 gene:Solyc09g009440.2 transcript:Solyc09g009440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPVLAKAAERIGNSVRRQAITITDAAAARISNLLQQRQRPFLKLGVKARGCNGLSYTLNYAGYPRRIEHFFSLISSIELKKSR >Solyc06g007960.3.1 pep chromosome:SL3.0:6:1815103:1818952:1 gene:Solyc06g007960.3 transcript:Solyc06g007960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISPTNQSKKMISKEEEEDMLSVMQLPISLALNMVLKVTMELGIFDLLSLNSQLSSSQIASKIPTKNPQAPIMIEKILSFLANQSLLKFTLFKEDDENGPFYSLTPLSRNLVSNNDEMSIAPTFLFINDQAMVNSWFCLKDAILEGEIPFNKANGMGVFEYHEKNSRYAEVTNKSTQTLNKITITKILETYNGFQEVKQLVDVGGALGSTMASIVSKYPHIKGVNFDLPHVIKDAPVYPGVEHVSGDMFKSVPQGDVIFMKHVIHDWDDDDCIKILKNCWKSLPNFGKVVLVEHIKPNNPQKNDFFSKNAFFLDVLLMVVTHGGKERTMEEIEILAKKSGFSGFKVINSAFLIWIMELYK >Solyc01g102980.3.1 pep chromosome:SL3.0:1:91516231:91518329:1 gene:Solyc01g102980.3 transcript:Solyc01g102980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPSQDHEDMPIPINSTYGHLIHHDPTPPNNTNHIIPPSMNGPPIDAPPVATAADHHVPFKKIVRYKECLKNHAASMGGNATDGCGEFMPSGEEGTIEALICSACNCHRNFHRKEVEGDQQQLASSCDCFHHVNNRVLGGGSTKKVYLGHNHHKTSLGPEPFGTIIPTRPPHHQMIMSYNMGSLPNSESEEHDIQDHHHIGGIMGMARPLHHVKKRFRTKFTQEQKDKMLNFAEKVGWKIQKQEEGVVQQFCQEVGVKRRVLKVWMHNNKHSLAKKNITTNIPNENQLP >Solyc02g094160.1.1.1 pep chromosome:SL3.0:2:55418292:55419281:1 gene:Solyc02g094160.1 transcript:Solyc02g094160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLIPEEPLLASNPDRFCMFPIQYPQIWEMYKKAMASFWTAEEVDLSTDTRHWENLTSGERHFITHVLAFFAASDGIVLENLAGRFMKDVQVAEARAFYGFQIAIENIHSEMYSLLLESYIKDSDEKSKLFRAIETIPCVEKKAKWALRWIDGSETFAERLVAFACVEGIFFSGSFCSIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRTKLTEERVRGIVADAVEIEREFVCDALPCALVGMNGDLMSKYIEFVADRLLDALGYDKMYNAQNPFDWMELISLQGKTNFFEKRVGEYQKASVMSSLNGNGDTHEFKLDEDF >Solyc08g023510.2.1 pep chromosome:SL3.0:8:27544108:27546301:-1 gene:Solyc08g023510.2 transcript:Solyc08g023510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTCIVWYGAWIKRCIENKDSLATTLVSMLTSVSSMAILLEYGFFDAYAGESKDHSPAGKFYTGNIVSMNSASFSHHDMPIEDFTYACLAIFKDRFHNMNGAVSGVCFKSQQLSKVAAVFVWKSLQCCYNYILNQDSRSVLPYFDGFSLDLKYDVFKVVYVSGDSALNFHIFPPHKMLEDKQGLHKDY >Solyc08g082420.3.1 pep chromosome:SL3.0:8:65354100:65357721:1 gene:Solyc08g082420.3 transcript:Solyc08g082420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMFSPRKLPWISGTDDQKKVVLTAVEVESLRSEIAALEEREAHLKAQLEHIDEILQSARMSGYLYLRTRWEALPGEPLPIDDDTEVDDWLPKFLVLQGSGIFLYSSSIDLSPQDSTLLSDVVEVGAMPCLTRDNGDTRYCFFISTRHGLRYECSSASKIQVDSWLASLPNHSDLQSNCTAPDELTNT >Solyc08g075200.2.1.1 pep chromosome:SL3.0:8:59445303:59445668:-1 gene:Solyc08g075200.2 transcript:Solyc08g075200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASRLVSFAEKIIKPHRATPLSLGRYNLSINDQIMVPFYQSIAAFYPNPSKTPEQVSSILENSLSKVLSSYYPFAGTLRDNTFVDCNDRGAKFMNVRYDCPMSEIAKLPDTGPEYLPFAK >Solyc11g010350.2.1 pep chromosome:SL3.0:11:3423470:3433689:1 gene:Solyc11g010350.2 transcript:Solyc11g010350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFSKWVSAFLLCCWLIFSVGHSVEGLQGNARVRAVNLGGWLVVEGWIKPSLFDDIPNGDMLDGTQVQLKSVTLQKYMSAQNGGGMNVSVDRDNPLEWETFKLWRISESVFQFRTSEGQFLTCNGDGDSVTATAESPSDSETFYLERNFNNRIHIKLKSGTYIQASNDNVLTADFPGTPGWDDNPATFEMTFVAKLQGDYQLANGYGHNKAKDVLKKHRNSFITVDDFDFLYRHGINTVRIPVGWWIASDSNPPAPFIGGSLESLDNAFSWAQAYNIKCIIDLHATPGSQNGMEHSASRDGTVNWQTSPEHISQTLDAIEFLASRYAVHPALLGIELLNEPSAAYVSLDILVPFYKQGYQIVRKYSSTAYVIFCQRIGNADPFELYQANIGSSNTVVDLHYYNLFDRYFDKLTSSENIQFLYKNRQSQIQALNSANGPLVFVGEWVNEWNVTNGSLADYQDFGRVQLDIYNAASFGWSYWTLKCEKKHWDFEWSIRNNYLQLQPGTSSRNMISRVVLLLELACILSFMH >Solyc05g046110.3.1 pep chromosome:SL3.0:5:59100871:59104112:1 gene:Solyc05g046110.3 transcript:Solyc05g046110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISFILIIYLMCFNFSIPNTKSLHTSVTLQNDSSLSLSLSSDTGLEHIVFGIASNENAWSARKELVKMWWKPGRNMRGCVFLEKMPPNYTKNANDSSLPPICISGDTSRFKYTFRGGTPSAIRVARVVTETVALNHSNVRWYVFGDDDTVFFTENLLKTLSKYDHGLWYYIGSNSEHFLMNKAFSYEMAFGGAGIAISSPLAKVLGKVFDACIERYPHLFGSDARIYSCLAELGVGLTHEPGFHQLDVRGNMFGVLAAHTLRPLVSLHHLEMNDPIFPNMTKMKSLEHLYNAAKYDPHRILQQTVCYDRWFTWTVSVSWGYAVQVFSYNIFLPDALRIQESYFPWQTSDLARHYDFDTRPYEPDPCKRQLVYFLHNVSTGINGQIKTIYRKKTPENCTITMVSPRRLEEIRVVSQKLEIDRNRLLSPRRQCCDVLPSTSRNVMDVAIRECKEDELIFIHP >Solyc07g040892.1.1 pep chromosome:SL3.0:7:51107237:51109653:-1 gene:Solyc07g040892.1 transcript:Solyc07g040892.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQMLWKEGTHEYSSNLADHGWIIDTCATHHFNPLKEILSSLKGLQGDCKIQVPTGECPIHVRATQEVEAPVPVGIEPAEDNEVVHGDWHHEDQIDLPFVIPGRPPRNCGDDIVVLMIYVDDILLIGSSHILINDAKQYLYNQFKVKDLGS >Solyc11g069510.2.1 pep chromosome:SL3.0:11:54418851:54423816:-1 gene:Solyc11g069510.2 transcript:Solyc11g069510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMVEHGGGYMDEIPTGPIVDDDFDDILNFLDMPMESLEGDVLGGVEWDVSESKGFGPIPTEALMDFLPLPQSNIGNRRVNAVANSHPPIKFTEVQGTGTFQTQSPVSVLEGSNSCSGGKSVPIKHDPVIPVRPRSKRARPSAVNPWVLMAPISSTRVASKKISDARKTKERRRRLSLLSGAKEPMKNYVQQISDAAPPVSDVSKKKITSTQQSSFFKKCTHCEVTKTPQWREGPLGPKTLCNACGVRYRSGRLFPEYRPAASPTFVPSVHSNSHRKVVEMRKKTLYGGAGEVEEPPKVIMGRSSEALPEPTIAADPAMSPAPEFVPMSSYLFDVY >Solyc11g032160.1.1 pep chromosome:SL3.0:11:25794135:25794750:-1 gene:Solyc11g032160.1 transcript:Solyc11g032160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVLLLDNFFMKLTLLGLTFVCLSLKSKSLSFYIGLETTLAVVCKTHNGVKALETFGMQGLMNKRSCFYGAERRIAIRKPKHLNEENPLGFLGFTVNMINIDTTNLYYVTSTGHGLRETLFWGLISWLKSYKTRADMMQ >Solyc06g051660.1.1.1 pep chromosome:SL3.0:6:35285903:35286268:-1 gene:Solyc06g051660.1 transcript:Solyc06g051660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative EARLY flowering 4 protein [Source:UniProtKB/TrEMBL;Acc:Q5GA60] MEDTFKRHRQTLAKPQSLTTDDRRRPRDLSTEEGNSDVWNNFSNRFRQVQSVLDRNRSLIQQVNENHQSRTTDNMVRNVSLIQELNGNISKVVSLYSDISTNFSTMFRQGNDFIGDDTNNH >Solyc01g066660.1.1.1 pep chromosome:SL3.0:1:74661280:74661516:-1 gene:Solyc01g066660.1 transcript:Solyc01g066660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYFQKQQSDRVGFFYAIQMDVEGHLANYFWVNARSRIAYKNFGDVVLFDPTYLTNKYKMPFIPFIGVNNYHQSTLF >Solyc02g078790.3.1 pep chromosome:SL3.0:2:43995280:44004364:1 gene:Solyc02g078790.3 transcript:Solyc02g078790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLAAVQHLLTELERRRFSLLLLLLLLLAFCILQPHELQLHVFTRYHNIGIPDDLRCKRSDGKQWRCTALSMPDKTVCEKHYIQAKKRAANSAMRASMKKGKRKSMDENDVYSESRSDDMDITAENQKLGDYSGSFSEKKHKEKYDESRRGYRTPPPSGMESSRSRSLKMFDSSPTAGTSEGSSNSSDNTGGQPCHQCRRNDHRVTWCLRCDRRGYCESCISTWYSNMPVEEIQRICPACRGSCNCKVCMRGDNLLKARIREIPAQNKLQYLYSLLSAVLPVVKHIHNQQCFEVELEKRLRGNGMDLCRTKLNADEQMCCNFCRIPIVDYHRHCSNCSYDLCLSCCKDLRDATKLVQDDRDGNGSIPCPPKQYGGCSSSVLSLKRIFKMNWVAKLVKNVEEMVSGCKVCDSGDLENMSEGKLFQAAHRENGDDNILYHPLSEDIRSEGIEDFRKQWSRGKPVIIKDIYDVSSMSNWDPIEIWRGVRETTEEKTKDDNRTVKAIDCFDGSEIDIQIGQFIRGYSEGRIHENGWPEMLKLKDWPSPSASEEFLLYQRPEFISKLPLLEFIHSKWGLLNVAAKLPHYSLQNDVGPKIFLSYGMYEELGKGDSVNNLHTNMRDLVFLLVHISEVKLKGWQKTKIGKMQKIFAESDHKGISGDALNVSSEGDFSKFSPVGDRGDGQYADTDSNANEMLVDPESRVTSQIGVDNLSHEDLNGSSLNSSDSSHSGALWDVFRRQDVPMLIEYLRFHWKKHGDSDHVTDDSVPSPLYDGIVYLNEHHKRKLKELFGIEPWSFEQHLGEAIFVPAGCPFQVRNLQSTVQLGLDFLSPESLGEAVRMAEEIRGLPNTHDAKLQMLEVGKISLYAASSAIKEVQKLVLDPKVGPELGFEDPNLTALVSENLEKMMKRRQVP >Solyc06g065305.1.1 pep chromosome:SL3.0:6:40841624:40842272:-1 gene:Solyc06g065305.1 transcript:Solyc06g065305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICAEFTILRNHQKGPDMIGRRNSETLSRRWKLRKHVQQVSLFIINELHLISGQGGPVLEVIISRMRYISSQVENKIRIVAFSASLSNAKDLGEWIGATSHGLFNFPPPVRPVPLEIHIQGVDIANFEARMHVMTKPTYTAVVQHARKGKPGNCVCSHKEAYSLDCSRPDDTFKHGQRRHAYISSTIFRRAGAFC >Solyc06g073395.1.1 pep chromosome:SL3.0:6:45371548:45373343:-1 gene:Solyc06g073395.1 transcript:Solyc06g073395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPITVFLVLRDIQSLPSTCARRITLDATCSKMEQIFFVSAKSGEHDWGGFGAIEEVKSLMEEYHASNSVLYLTCGITITEEASLQVEIKYNFDGYIPVVYAFIGVAVRGSCKVLKQ >Solyc07g062400.3.1 pep chromosome:SL3.0:7:65291849:65294317:-1 gene:Solyc07g062400.3 transcript:Solyc07g062400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Multiprotein bridging factor 1c [Source:UniProtKB/TrEMBL;Acc:Q152U7] MSGGLSQDWEPVVIRKKAPTAAARKDEKAVNAARRSGAEIETIRKSTAGSNRAASSSTTLNTRKLDEDTENLAHQKVPTELKKAIMQARQDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIISKLERALGAKLRGKK >Solyc06g069770.3.1 pep chromosome:SL3.0:6:43566659:43569050:-1 gene:Solyc06g069770.3 transcript:Solyc06g069770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEPEVAAAVPAVEAVVEAEKEDTSAQDMDVEAQAADDTEENGGSKRPREEENEVEKEDATKKLKVDKSVEEERFENLDGSKTVDEEKKDVSGPISVGPKNFGSSVEVFNYFYKLLHSWSPNLNLNKYEYIVLLDLLKKGHLEPDRKIGTGVRAFQIRFHPQYKSRCFFIIREDDSVDDFSFRKCIDHISPLPENMQVKHEANRDLARGGKGGGRGRGRGGKPRY >Solyc02g030450.3.1 pep chromosome:SL3.0:2:26355172:26384201:-1 gene:Solyc02g030450.3 transcript:Solyc02g030450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein ROOT HAIR DEFECTIVE 3 homolog [Source:UniProtKB/TrEMBL;Acc:K4B594] MGKEDCCSTQLIDANGEFNFKGLQNFVSSVKLPRCGLSYAVVAIMGPQSSGKSTLLNHLFYTNFREMDAFRGRNQTTKGIWIANAVGIEPLTIVMDLEGTDGRERGEDDTTFEKQSALFALAVADVVLINMWCHDIGREQAANRPLLKTVFQVMMRLFSPRKTTLLFVIRDKTKTPLEYLEPILREDIQKIWDAVRKPHAHKDTQLSEFFNVEVTALPSYEEKEEQFKDQVAQLRQLFFHSISPGGLAGDRRGVVPASGFSYSVQQIWEVIKENKDLDLPAHKVMVATVRCEEIANEKFSSLMINEDWLALEHEVKEDAVRNFGRRLSSILDNFLSEYDAESLFFEENVRSSKRQQFMSKALQLVHPAFVSQLGHLRVKSLQTFKSQLEQLLRRGEAFAASVRTCSESCITEFDKGCSDAAIRHANWDASKFRDKLIRDIEAHVSSVRNDKLSELKAIYEKQITIALAEPVQSLFDVGGSETWASIRKLLKRETDVAISCFCPALSGFELDQYAFDRMMQSLKDYARSVVEKIAREEAGKVLMQMKDRFNTVFSHDSDSIPRLWTGKEDIKSITLEARSESLKFLSIVAAIRLDEKSDRIESILFSRLLEGKISISSRNSDIADSCDPLASSSWEEVSPENTLLTPVQCLSLWRQFMAETEYIVSQAISAQEAYRQSNNWLPPAWAIVAMIILGFNEFMLLLRNPLYLFMLFVVYLFGKLSGLISISSRFLPTVMDLLRRLAAEGQGNPAPGTSRASQHVSSQSFRSQVNSPNPVSSSVPSSSVSSNVLTENDVEYTSR >Solyc01g105600.3.1 pep chromosome:SL3.0:1:93619666:93621197:-1 gene:Solyc01g105600.3 transcript:Solyc01g105600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSSSSYKIRSLVTLLHQYYRVRFDATSSQLHGIVPTTRITCRGSASPGLRFISSGEYRIPNKKDRVKNEASKVPPVEPPPSKPNLFVWAKCLLGSILSIFLPFWKENWDSIRRIEGKVEKVAEEVEEAAEVVAMVANKTENVMAVVAVKLPENSLLKEAAVAIENASAVVAKDAQFTCNFIHKVEDVKQDLKDLEKMVEPFTEKVEEHK >Solyc01g096940.3.1 pep chromosome:SL3.0:1:87813874:87819539:-1 gene:Solyc01g096940.3 transcript:Solyc01g096940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAKTGQGSCWLLVYMVFLLFNLSDEFLEPSQSEAIVKIKQLLNFPQDLSSWSDNTDFCNSEPNTALTLMCYEDNITQLHISGYNWFPNLPQGFSTDTLFSNLALLPNLKVLSLVSLGLRGTLPKKIGFLSSLEIVNISSNFFYGEIPGQISYLKSLQTLILDNNEFTGQVPEGVGLLHSLSVLSIKNNSFSGSLPNSLSNLQTLRILSISGNNFSGVVPNLHNLSNLQVLDLESNNLGPNFPNIPTKLVSLVLRKNKFSLGVPKELSSCYQLKKLDISSNEFVGPFSPTILSLPSLSYFDISGNKLTGKLLKNVTCSQDLSFVNLSSNYLTGELPDCLHPSSGSKIVLFSGNCLSNKEQWQHPYSFCHNEALAVSIEPHKRKVKGGNGKAVLASSMVGGFVGVVAIVGLAFVVVRREYVKQKASKAPQTRLILEKVSPAHTLKLLNDARYLSETRKLGLLGAPPYRTFVLDELREATNNFDISNLIGASSSGQIYKGRLTDGTVVAIRSIKMKRRHTVQSYTHQLGRISKIRYSHLVSTIGHCFECYQDDSSVSRICLVFEFVPNVTLRGVISEANSAQKFTWTQRMSAAIGIAKGIQFLHTGIVPGIFSNQLKITDVLLDQNFHVKISKYNLPLLIENKKMDAGPSSSGSKGNDGQRLKYEEKDDVYDFGVILLEIISGRTIDTKNDIDVSKDILIVSLTADEIARRNIIDPAVRKECSDSSLRTLMELCIKCLSDEPSQRPSVEDLIWNLQFAAQVQDPWNRDTYGNQESPGHV >Solyc06g050720.3.1 pep chromosome:SL3.0:6:33574009:33587590:1 gene:Solyc06g050720.3 transcript:Solyc06g050720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNALRSLYVNCCKPSADSDSLTHHPGVSALAHDLYNFEINSQVPQGLSTHVVSSKKAQSNWFKKLSDAWRETKPPPKTPEEASRFVIQTLKRHQKADVEGLLAFYGLPLPHSLVELTSDGTPPSHQQGLKFELHTLPVDAKAVADGDTVTVYVSTTDPREASCLPRDVQNAAIQRSKARAQKNYAKADQLHKQIIDSGYRSIPVNHEEVLARKYRIRLRGIDAPESAMPYGKEAKEELTKVLQGKSLRVLVFDEDRYGRYVGDIYCNGIFAQEVMLKKGLAWHYTAYDKRPELDKWEKEARAKRIGLWASRNPEMPWEWRKQKRENQRS >Solyc01g068420.3.1 pep chromosome:SL3.0:1:77482876:77503958:1 gene:Solyc01g068420.3 transcript:Solyc01g068420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVVERFGHCLNITPIFVVMLVSFCLLEANAHEVVTNISRKSCDLFEGSWIFDNSYPLYNSTTCPFIRKEFDCIKYGKPNLDYLKYRWQPKGCVLSRFDGKKFMKRYKGKKIMYIGDSLSLNNFESLLCLLHAALPKSKFKQQINKDFVSVTFKDYGVEVMLFHSNYLVDIEVVPNVGRVLKLNSIKNGEIWKQADVLIFNTWLWYLRADSKQQWDFVENNGTIFKDMNRIEAFRIGLNTWAKWVERDIDTKKTKVFYQGASATHYHGSEWGKPEVKNCFNETRPVRGSTYPSGLPIPVNIVKQVLQNMSKPIVNLLDITKLTQLRKDGHPSIYNVLIGSSEANGCDLFEGNWIIDNSYPMYDSKACPFIRSEFDCIKFGRTNLDYLKYRWQPSNGCVLPRFDGKGFLEKFRGKKIMYIGDSLSLNIYESLLCLLHAAVPQAKYNQVILRENVTVTFLDYGVEIVLFHSNLLVDIEVEKIGRVLKLDSIKDGQIWKNFDLLIFNTWLWYTRRPPGQQWDYVEFNGKILKDMDRVEAFRAGLKTWANWVETDVDTTKTKVFFQGASPAHYHGSEWGEPTVNNCLNETTPVKGSTYPSGLPIPVNIVKQELQNMSKQIVNLLDITKLSQLRKDGHPIPEMNYKIKLTNQSITVIFEDYKVLVTLFNSLFLVDVEVEPNIGRVLKLNSIKNGEIWKQADVLIFNTWLWWTRGKPKQPWDYIENGGKLVKDMNRIAAFKRGLNTWVKWVETQVDPTKTKVFYQGEAASHHHGEDWGDPGVKGCSNETRPVMGSIYPGGLPLAAQIVKKLLRKKESIIFLLDITILSHLRKDGHPANHNGYKGIDCTHFCLSGVPDTWNQLFYASLLSMY >Solyc10g037893.1.1 pep chromosome:SL3.0:10:17991220:17992371:1 gene:Solyc10g037893.1 transcript:Solyc10g037893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEFDALIRNQTWTLVPYDPSKNMVDYKWLFRIIHSRDGSVNTYKARLVARGFTQQLGLDFHSTFSPVVKPTTDSTSFPTPMCSTKNFSTSKSDSSVDISKYRCLYRTPSYDIRVPKEQDSRLVAFSDSDWAGDSIDRTSTTGYVGYLGSTPISWLSKKQRLASRSSTEA >Solyc02g068360.3.1 pep chromosome:SL3.0:2:38884659:38888768:-1 gene:Solyc02g068360.3 transcript:Solyc02g068360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLAKDQLPNGQLHTFPEGKVCQEDAPIRRLKWGTASLIARAPVTPIVLPIIHHGFEKVMPENYAFGRRPPVPLWNQEIKIVVGEPMEFNLPELREMALSQSRDSSSSSGQWPRNILGGLNEAAQKCLYMTISDQIRTTLENLRNFSKSYAKAEQ >Solyc10g046935.1.1 pep chromosome:SL3.0:10:38352412:38363204:1 gene:Solyc10g046935.1 transcript:Solyc10g046935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSISDNQWPSPRIALKDDSFIYLVLYVDDMLIAAKKKYDIQKVKGLLSAEFEMKDLGASRKILGMDIIRNRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPMLGYGYSDSDYAGDVDKRRSMIGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc07g007190.3.1 pep chromosome:SL3.0:7:1935980:1939372:1 gene:Solyc07g007190.3 transcript:Solyc07g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYTPTYYSSLHDSITSLCKTILPFPFKKRRIPAIAAAEQRLSKQQSDNIKWQQESFHQILNLMGLCKEGILAESEVSAFRSHLLDTLIASPADYEHSSILRDKLIFLQELLYAKCISEEEYHSSKRPLLQRLAVQGAEIEAKHVVVGSKRETTDDEWSVIDLKDEKSNLGKENSISSSKDKQKQTSSAIKEQIKGAASVFSFASSKKERGILNPVSENCFERNELAESTENPFWNTHLREKDSETKSILMVESLPNEPVKVKKLKKPFKALFGVDDQHRGEPESEGKSKSGGKKQWGFDGFKKWKKNDSEDETAPLSLDEKSDGGAYLGQLVAEPIGEGPDTKQIKRKLHPNGAPSDFFVDKVLGDRIKKELSRIQTELGAKNASVELTDDQIEAISTRLPVDKADLEKFFPKKWCDQYGFVVLDVVRKEFKNHVGGNSKVVITKEEKQNSKRWTTFDEDDYDDDENCHPNLFAPQQHHHTFHSTQTKSLKNGGQVYVNSSIDKGLKYNPFFDV >Solyc09g008090.3.1 pep chromosome:SL3.0:9:1566342:1568329:-1 gene:Solyc09g008090.3 transcript:Solyc09g008090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTRMAKSNQKPHAIVIPCPFQGHINPSIHLSLKLATKGFTITFINTKYSHAQITKSQKLDQTHNIFAKFQELGLDIHYQTVCDGFPLEFDRFSGSNTEKFWEGFLLNYPFHVDEIVGKLVELDSPRPTCLIVDTFYGWGTTIANKYGLVSVSFFTQPALVFTIDYHLDLLLKNGHFDNRKDTIYSIPGISAIDPSDLPSYLQTKETSTILHQIIYKGFEEAKKSDIIICNTIQELESKVISIFQEKTKKPFFAIGPIFHDHQVNSSKNVKFSNSFLPETNCLEWLNTKPKSSVLYFSLGSLFSPSKQDVMEFAQGILLSKVEYFIWVLRPNLVFLTSESGSPKKWCAIAHEKSSK >Solyc07g053880.2.1 pep chromosome:SL3.0:7:62415747:62417587:1 gene:Solyc07g053880.2 transcript:Solyc07g053880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSFVGFFFAFFLSFMCLSQGYTFYAGGKEGWVLNPSESYDHWARRNRFQVNDTIVFKYKKGYDSVYMVHDNDDYLKCNKTSPIHHLKDGHSKVIFTRNGPFYFIGGKDDNCEKGQKLLVVVMSPNHHKSSSPASSPVTIPTPPPESVSPAPAPAASAAIAVDVSPSSVLFGVFISLIAAAVF >Solyc02g079370.3.1 pep chromosome:SL3.0:2:44516666:44519663:1 gene:Solyc02g079370.3 transcript:Solyc02g079370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDLQNPLTGFEEYHFDAVSALFANESDHMPSFLDFKSTDVRFSLRRHSVFLISQVQSSYKFDGYIAYLAVNYIDRFLSKQAVLENKPWIVRILVIVSLSLAAKMRNVDLSLSDIQRDVGLNFDARSIHRMESLILDTLKWRLRSITPFSFLHFFNSFLKLGDSSLTRSLKQRASDIIFSTQYEIKLFVYKPSIIAASALLRAAEELIPLQYSSCLAAISENQYSNKEELMNALAVMREMPIEGREYCSKLTPKSVLECEHTSSQCETQDKDNIKKRRLSDIRDDQTFQISQVQWC >Solyc07g041310.3.1 pep chromosome:SL3.0:7:52397651:52402350:-1 gene:Solyc07g041310.3 transcript:Solyc07g041310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQSVQMSKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGDKGRRIRELTSVVQKRFNFDENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFIMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPTTPLPDLVTIHPPKEEEEYLRLPVAAPVEIDPPIVV >Solyc01g028875.1.1 pep chromosome:SL3.0:1:40971764:40976226:-1 gene:Solyc01g028875.1 transcript:Solyc01g028875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTGTSQLNGYGGQSSGNTGGYGRGYQSANNISNDHCDHPNTVSTNQVENNNLLKKEEIRCAQEIVAAGAAIQDKDIWHRRLGHPSQQILRYLKLDRSSKGDGVSTHFVVHGSPLEKTESQAVEAENDNEDNREPADTSTVNSSVDQMSRPSPTDEVSENTHAIPSHVDSHIRRPSRSIKEPMWMKYYAITKRHSSTKHPMASYLNYEKLKPECRSFLSKLSEYVEPKNFIYVDDLLVTGSNTQLITKVKACLHKQFKLKDLGELKFFLGIEVLRSSGGIILNQRKYILELIAEAGLTGAKPASTPMESNLRLTSVEHDQANGYSTDDVLHDITSYQILVGKLLYATITRPDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSVGQGVWLHSEPTNIITCWCDSDWEACPNTRRSITGYVIKFGESLVSWKSKKQQTVSRSSTEAEYRSMASAVSEITWLLGLFKELGKAYRGVKLVA >Solyc03g031495.1.1 pep chromosome:SL3.0:3:4044909:4049277:1 gene:Solyc03g031495.1 transcript:Solyc03g031495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTLFTSGSGGNNFNSQSNRSGNVKLNKQRSGYLYCDHCDMRGHNRAECNKLKYCIHCHKHGHLKDSCYQLIGYPTNYKGKRQTNIMTTDYNSQFNNPGSSTDSNVVDQMQKFKGGGSQQMLQQHGINSGSGGSGAVLAQHFTPNQYQQVLQMMNKSLIHKGNTVSTNSNANATGIFAGHSQFTPSTSSFDWIVDSGATDHMVRTKDLLTHGSTVKSSGHVQLPNGDSTKVTHSGCSQLQGDLGLGGSKPIATPVELNGKLTTVVFDKHVGVTSDPVLSDIGEYQRLVGRLIYLTITRPDLSYAVQNLSQFMNSPKQSHMNAAIRVVRHIKQQPGLGVLLSAQHSGSLQAFCDADWGSCPDTKRSITGYMVKFGESLLSWKCKKQSTVSRSSAEAKYRSLASTVAEVTWILERIGGS >Solyc06g033784.1.1 pep chromosome:SL3.0:6:23109189:23112614:-1 gene:Solyc06g033784.1 transcript:Solyc06g033784.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFITICSLGPDSSYEPQVENINTLEEAYAYNEYLLGAMGRVQQSQANQEFLKRNENVTMASVNAEDMAIAAVKEFNESFSAQFCPTTSIKDAFSVKNFRNLNDFLYPIAPSSHVNSIGA >Solyc09g091400.3.1 pep chromosome:SL3.0:9:71157720:71162570:-1 gene:Solyc09g091400.3 transcript:Solyc09g091400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCSSLVTIDLSSNGLVGTIPLSIGTLVNLQDLILNSNQLTGRIPVEIGNCRRLKNLVLFDNRLSGGLPSEMGLLSNLEVLRAGGNKDITGKIPNELGDCGNLTVLGLADTRISGSLPVSLGKLKNLETLSIYTTMLSGEIPADLGKCTELVNLYLYENSLSGSIPSELGNLRKLEKLLLWQNNLVGVIPEEIGNCTKLIMIDLSLNYLSGSIPLSFGGLVVLQELMLSNNNISGSIPFVLSQCTSLVQLQLDTNQISGLIPSELGNLTSLVVFFAWDNQLEGSVPSTLGSCSNLQALDLSHNSLTGSIPPGLFQLKNLTKLLLISNDISGTIPREIGYCSSLVRLRLGNNRIAGGIPKEIGGLKSLNFLDLSGNRLSGPVPDEISSCTELQMVDLSSNTLEGPLPNTLSSLSGIQVLDVSNNRFAGPIPASFGRLVSLNKLILSKNSFSGSIPPSIGMCSSLQLLDLSSNKLSGGIPMQLGKIESLEITLNLSLNELTGPIPAEISSLSKLSILDLSHNNLEGNLNPLARLDNLVSLNVSYNNFTGYLPDNKLFRQLPSSDLDGNEGLCSFGRPSCFLSNIDGVGVAKNENDEGRSKKLKLAIALLVIMTIAMVIMGTIAIIRARRAMRRDDDSEMGDSWAWQFTPFQKLNFSVDEILRCLVDTNVIGKGCSGMVYRADMNNGDVIAVKKLWPITMTTTNGGNDEKCGVRDSFSAEVKTLGSIRHKNIVRFLGCCWNRSTRLLMYDYMPNGSLGSLLHERSGNPLEWELRYQILLGAAQGLAYLHHDCAPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFGRSSNTVAGSYGYIAPEYGYMMKITAKSDVYSYGVVILEVLTGKQPIDPTIPEGVHLVDWVRRKRGGIEVLDPSLHSRPESEIEEMLQALGVALLCVNSTPDERPTMKDVAAMLKEIKHEREEYAKVDVLLKGSPTTTTTTDHNQENNNSTKGVLATSSSGQKARSMYTMSNNTSFSASSLLYYSSSSSNAKSGV >Solyc11g066810.2.1 pep chromosome:SL3.0:11:52904513:52906609:1 gene:Solyc11g066810.2 transcript:Solyc11g066810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFPLSRSSVVQISNLFGYAWECTFIKYEEETISMLLWLFYRQQ >Solyc01g010083.1.1 pep chromosome:SL3.0:1:4757176:4758020:1 gene:Solyc01g010083.1 transcript:Solyc01g010083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDKYRSFIRSDGTKIFLHGSDFYRTAQNSASILQIESFGFEPEEKKYKVLSTTTYDQQGYTKNMVFTFGIDESWRETKRVPPLILCKPGICINGVIYRFVFHRGLAIVAFDIKTENSKLIALRDISHGWSYELIEVKGKLVVVEYEICSCEKIHLFVFEQTRKGEEWKSSAIQFPSTWKYIQQEIILDVRLSCTSCDRKILFITNLKSGTLCLCHDVTRQS >Solyc07g009450.1.1.1 pep chromosome:SL3.0:7:4547720:4547869:1 gene:Solyc07g009450.1 transcript:Solyc07g009450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMRNRVLTLQELTSKFRNNYQRQMQAISVFFSSIIFVCFHHFCLVIVR >Solyc11g039785.1.1 pep chromosome:SL3.0:11:42736873:42740252:-1 gene:Solyc11g039785.1 transcript:Solyc11g039785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSAQPHNFDNQMNNNQMNNYQMNNSLHTKKTQGQNSQGRRMGLVCNHCGYKGHTRESCYRIVGFPADFKSKRKGSGSVNEAYANNFTSESSGSGSASNLYFLGGYFTKEQYEQVTKILSPFSPTRTCRAEANAAGPCPLLSPFLDNVDDASNDINLDPCHTHIEEISNNSDGLQETGLSGAKTVSTPLEFNEKLTSVVFDQYTGGSDDAELEDVTSYQRLIGKLLCLTITRPDICFSVQVLSQFLQNPKVSHWETTLRVVRYIKKSPGLGVMLRRGTGVTKLTGYCDLDWASCPNTRRSITGYMVKLGDSIISWKSKKQKTVSRSSAEAEYRSLAALVAELIWLAGLLNELHFPGSTQIL >Solyc11g013683.1.1 pep chromosome:SL3.0:11:6984336:6985882:1 gene:Solyc11g013683.1 transcript:Solyc11g013683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NAEECIESTVLYDGPHPELAAKLEKEILETNPGVKWDDIAGLSEAKRILQEVMVLPLLMPEYFQGIRRPQRGVLIFGPSGTGKTLLAKVVATECGTTFLNISCSSLCGDCYGESERLTQCLFEIARTHAPTTIFIDEIDYLCSSKGSATEHEASRRVKAELIVQIDGLNNSNKMVTVLAATNFPQSLDEALRRRLKKRIYIPLPDFKSRKELIKINLKSIKLAPGVNIDQVARRTEGYSGDDLTKVCRDASFNGMRLKISGKTTEEIKNISKSEILNISITMDDFLEALDKIKPTVSATDIQRYEKWLLEFGSS >Solyc08g042040.3.1 pep chromosome:SL3.0:8:24137242:24146486:-1 gene:Solyc08g042040.3 transcript:Solyc08g042040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSSALITNICSMCEVSKLSTFQVTFVDRDTDTLLEEDHLPFDSVLPECYTISTAKSSLSFENNSSFLVGEDQTENKEDKQLERSTRDATGVEDLKNPNEMKAESADWNGKAAAILSGSCSCIDQEYEQGKGSSGKNSVVSTSQATSINRDENCLLEEDHKLSISVFPECTVSSSNSSLTIENKSSSPVRKNQTCNVEDKRLLGPSTPLMPGEVVGHGDNNVVEAVTKHRSGTKFQNADKPKRTRRLLPVSSILLKDLGSINFKDDNEKPKGAKTEKNGASGENRTQGSISLLRLLKDNLAI >Solyc10g078160.2.1 pep chromosome:SL3.0:10:60158387:60163295:1 gene:Solyc10g078160.2 transcript:Solyc10g078160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEEEQPIPSTALDAQSTNQNAEDRCCCRFKGLVSFKCVFVLILGLGVLISAVFLLPFFKNGDLGYLDLDNKYKGHDIVASFMLEKPVMFVNDNILQLADDIFDEISVSHTKVEIISLENIAGTNITEVIFAVDSEVKNGRISLTALSLVRSEFEAVITGQSALHLTATLFGDPFSFDVLKFRGGITVSPKQSGFLMQQFQMHFNFTLNFSIDEIQDNFHELKSQLKSGLHLSSYENLYMSLTNTRGSTVDPPTIVQCKVLFAVGLNPSSSRLKQLAQTIDSHSENLGLNNTVFGRVKQVSLSSDLPHSRGGDGGSPTPSPAPLPHHQHHYHHRSNFAPAISPAPKVGKGGSISRQVSPISAPKPASATVLPPSPAPAPALHEGHEAQPPCHFGRFPRKANSHSHIAPARAPVPAPHNTPSRHQQVHAPTPTPHEITASSPLPNVVYAHVHPPSRSNSVAQPPDRFTSTSPLPSSSSEGILSSKFWAFPLFLLVLLP >Solyc01g056650.1.1 pep chromosome:SL3.0:1:55346777:55347224:1 gene:Solyc01g056650.1 transcript:Solyc01g056650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKLYDPVVVSDGYLNCSLDTLQTGKPQYKAALQKELDLPVCDDVQLINFIRRLDPQKGLDLIVKAVPWMIVRSEMSR >Solyc01g014960.1.1 pep chromosome:SL3.0:1:16132253:16134861:1 gene:Solyc01g014960.1 transcript:Solyc01g014960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGGGENNHVFPWETNDGWPYQNLNGNQIGSGVTFEGDKLQDPNRFDTYEPLTAVNEVIEASTNAGKSTIVEKAVNHIQKLQNTFEKLEHEKLKRLQEHNVRCMSSQKFTNAGNNWEKYQGDQGSTHNSSSIASTTHGTNPLMVNNNIPTGFVTWSSPNVIVNVCGENAHISVCCPKKSGLFTFIGYVLGKHQIEILSAQVSCDQFRSMFMIQAHATGGTGIAQVSEASRVEEMYKQVAIEIMSFATPN >Solyc06g019120.1.1 pep chromosome:SL3.0:6:17557534:17558845:1 gene:Solyc06g019120.1 transcript:Solyc06g019120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVDVDVDVHIDVHIDIDIDINVDIHIDIGVEVHVDINVYIDVHVDIDVDFDIHVDVYIDIHDDIYVYLELDAQFEVHILVDIHINVHVDIDVHVNVHIDIYIDVHIDIYIEVCIGVDVHVDVDFHVDTYVDGYFDVDIDIFIDVDLYVDVEVHIYVYVYIHINVDGHVYIDVDVHGDVYVNFDVYFDVQVYVYVDIDIYVHIQVHIHVDVYIQCDIGVNVHIEILIYVEVYVYFDVDVQDDVDVDIDVHVDIFLGSS >Solyc09g066045.1.1 pep chromosome:SL3.0:9:64590626:64592106:1 gene:Solyc09g066045.1 transcript:Solyc09g066045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHMYNQLIFYYINRKLAKKMKDSGEEPNDESSNSQRGSKRHNRHSMEQIQILEKNHHEPVLRDFRNPGLKRVFENCPLLNENQQNQLAREAKLEPKQVTMWFQNKRTQIKT >Solyc11g068900.1.1.1 pep chromosome:SL3.0:11:53763497:53764267:-1 gene:Solyc11g068900.1 transcript:Solyc11g068900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPNRPVTGYPAAGAPPPNPNGYGGAAQQQPPIGTGYPYAAPPPGSAVYYQNNPYYQPNHYDAQRNTLLRRVIGMAIACMVIAGTFVFILWLIFRPRIPEFRVDSLSVSNLNLTNSLISGKWDLRFTVRNPNKKMTLYYDDVAAAVFYDDVSLSDTTVPPFFQEKRAETARQASFATAGAYVNNRAFDQMNKERSQKGAIGFNVRIVARVRFRSGAWRARRRFIRVYCKDLSVGVGSNNSSGTLLGGARQCRVGL >Solyc04g010155.1.1 pep chromosome:SL3.0:4:3478913:3481216:1 gene:Solyc04g010155.1 transcript:Solyc04g010155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTLAFIMYLQPGALARQSNNSSSVLPCKKRWYSLLYPRAPIHASRNVEDLVKPALAKKLAGREALGTEKVSVKKKVVPKQGESHSKLELPADSGHSDKGKHVVGASEQEFNFPISSIHPSLRHKSANVICVSLGSTFRGFDLSVLQSKSEPVEEALLQANEVDIAAKELNVCSDKVEASVPASMNEDHKVYKKTQDTYNLVASGEGSANDGEKITKSVGTERLGCVGQDEECEEGEIREYMMQSIVEDRMTKGIDSGKNSEPSSKNVHSSLCFTNAESHEDFVKACDEKAEKDLQNVAVFRPETGRPLPSRSGRERYSYMEEEIFHLQRNRDEIYGYGPKFVRDRFQDRSFGSSRGDFMHGRGRGWGRGRYSGPDFERFGGVADYPFRHKRTAPAWESADERNDYDGAAFGTNRRRKPLNDDLPSLRHPPARRQSPNGREDAAMMGTQRFRRAPSNISPRRGTDKDGFAYVGIRHGEKFDRHFPADRNEQSMYDGSDSHLAQGDTEFTAMQRGGLPRMRSKSPIRSRTWSHPPRRLTEELYGRQHRSPVKYREDRTRPSSRTSFTEEAIAPQRRDRPSYSAHRQSDRWDMDGVQEYGNPRSHYNRGSAPDRERADGERQMRNVKEQEGGGNRRRRHEEEFDGSRLKKRRF >Solyc02g068365.1.1 pep chromosome:SL3.0:2:38890293:38891046:-1 gene:Solyc02g068365.1 transcript:Solyc02g068365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRTMEWAARANHLGGIPRKVVITAIGTFAKAVANLLNTTTVHNGDVLIRLVRSRPAGVPLLTVSNHMSTLDDPVMWAFKGFPICDAKLARWVLAAEDICFKNTVLSYFFRIGV >Solyc08g076030.3.1 pep chromosome:SL3.0:8:60204457:60219016:-1 gene:Solyc08g076030.3 transcript:Solyc08g076030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEEQVQAGEWDEVERYLSGFTKVEDNRYSMKIFFEIRKQKYLEALDKHDRVKAVEILVKDLKVFASFNEDLFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLAFPSFKASRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCASSNGTRPPPPVNTPLAGPVPKPGAFPPLGAHSPFQPVVSPSPSAIAGWMSSANTSMSHTAVAPGPPGLVQAPGAAGFLKHPRANPGGPGMDFQMAESEHLMKRMRAGQSDEVSFSGSTHPPNMYSPDDLPKTVVRNLSQGSNVMSMDFHPQQQTVLLVGTNVGDISIWEVGSRERLAHKSFKVWDISACSMPFQSALVKDATVSVNRCVWGPDGSILGVAFSKHIVQIYTYSPAGELRQHLEIDAHAGGVNDIAFSHPNKQLCVVTCGDDKTIKVWDAVSGRREHMFEGHEAPVYSVCPHYKESIQFIFSTAIDGKIKAWLYDGMGSRVDYDAPGLWCTTMAYSADGTRLFSCGTSKEGESHLVEWNESEGAIKRTFSGFRKRSLGVVQFDTTRNRFLAAGDEFQIKFWEMDNTNMLTATDGDGGLPASPRLRFNKEGSLLAVTTSDNGIKVLANTDGQRMLRMLESRAFEGSRALSDVNVKPPIAGSLGPIPNISGSAPQIIERSDRTQQSMSIGNLATMESSRGPDVKPRIAENMDKIKSWKFSDIADSSQLKTLKLPDPLSASKVLRLLYTNSGLSVLALSSNAIHKLWKWQRNERNPSGKSSAAVVPQLWQPTNGALMSNDVGDAKSAEDAAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMPPPPAATYLAFHPQDNNVIAVGMEDSTIQIYNVRVDEVKIKLKGHQKRITGLAFSQSLNVLVSSGADAQLCIWSVDGWEKKKARPIQVPPGHQAPLVGETRVQFHNDQSHILVVHESQIGIYDTQLECQRSWYPRDSLSAPISSAIYSCDGLLIFTGFCDGAIGIFDADSLRLRCRIAPSAYLSSIGSGSGAAFPVVIAAHPSDSHQFALGMSDGTVHVIEPSDAEPKWGGSSSQENGAMPSIPSSSALNSQPSETPSR >Solyc04g049550.3.1 pep chromosome:SL3.0:4:42445564:42460729:-1 gene:Solyc04g049550.3 transcript:Solyc04g049550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTLKLNNALASIYYYNSHKPYNWLRSFTSLTGTGISNSSITVAAAHKRYSLYIPPDGDSPSRRKFLGRLGHGYALRSISTTQMGPTPDHKEEDLRREKSSLPIPSKSEKLLTLPTILTIGRVAAVPLLVTTFYVDSWWGPNATTAIFIAAAVTDWLDGYLARKMNLGTAFGAFLDPVADKLMVAATLVLLCTKPLESGVFGQLPWLLTVPSIAIIGREITMSAVREWAASQGGKLSEAVAVNNLGKWKTATQMIALTILLLTRDSSLSGAASLVGSGVMLLYISAWLAVWSLFVYMRKIWKVLLM >Solyc01g094140.3.1 pep chromosome:SL3.0:1:85555523:85572407:-1 gene:Solyc01g094140.3 transcript:Solyc01g094140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYTIFISIAILLLICVTPTLVLTVRIYAGKSIRNPKYAPVEGNVFHQLLYFNIIYDYQTELAKKTPTFRLLAPEQSEIYTTDSRNIEHILKTNFSKYSKGKRNQEVIMDLFGEGIFAVDGEKWKQQRKLASFEFSARVLRDFSCKVFRKGAAKLVSKVSEFSLANQVFDMQELLMRCSLESIFKVGFGVDLNCLDGSSGDDNEFIKAFDDSNALSYWRYVDPFWKLKRYFNIGSEFLLKKNIKFIQEFVDELIRTRRKQLEMKQDSMDKEDILSRFLVESKKDPEKMTDRYLRDIILNFMLAGKDSTANTLSWFFYVLCKNPLIQIKIVEEIREVIGNNMKDNGSVDDFVASITEEVLEKMHYLHATLTETLRLYPAVPVDGRCADADDVLPDGFHVKKGDGVYYMSYAMGRMPYVWGNDAQDFRPERWLKDGIFQPESPFKFITFHAGPRLCLGKDFAYRQMKILSMALLHFFRFKSSDDTKEVTYRTMFTLHIKEGLQVRTLCVISVTSFLVLILRIYAGKSIRNPKSAPVIGTVFHQLFYFKRLYDYQTEVAKKLPTSRFLAPDESIICTTDSRNVEHILKTKFGKYSKGKRNEEIIMDLFGKGIFAVDGEKWKQQRKLASLEFSARVLRDFSCTVFRKRADKLVRKVFQFYISNQVFDIQELLMRCSLDSIFKVGFGVDLNCLDGSGEDDDSFIKAFDDSNELIYWRYVDPFWKLKRYFNIGSEALLKKNIKFIHEFVDELIRSRRKQLEEDILSRFLEESKKDPEKMTDQYLRDIILNFMLAGKDSTANTLSWFFYMLCKNPLIQEKVVEEITEVIGNNVKDKEHLEYFVASITEEALEKMHYLHAALTETLRLYPAVPVDGRCADADDILPDGFHIRKGDEVNYVSYAMGRMPYIWGNDAEDFRPERWLKDGIFQPESPFKFIAFHAGPRICLGKDFAYKQMKILAMALLHFFRFKLSDETKVVTYRTIYHPIGGTIFNQLINFHRLHHYMTDLACKYKTYRLISPFRNEIYTSDPANVEYILKTNFDNYGKGWYNYSILKDLFGDGIFTVDGDKWQGQRKLSSHEFSTRVLRDFSSVVFRKNVAKLAHVLSNAANSNTTVDIQDLFMKSTQDSIFRVACGVDLDSICGSNEESKKFGDAFDNANAMTTWRYVDVFWKIKRALNIGVEAKLRDNIRTVDWKKEDILSRFLQISGTNPKYLRDIILNFVIAGKDTTATTLSWFIYVLCKYPHVQEKVAQEIKESTTEKENATDITDFAANVSEDALEKMQYLHAALTETLRLYPSIPTDPKLCFSDDTFPDGFSVNKGDMVSYLPYAMGRMKFIWGDDAEEYKPERWLDRHGFFRHESPFKFTAFQAGPRICLGKQFAYRQMKIFSAVLLHYFVFKLSDDKNTVNYRTMISLQIAGGLHVDRLHHYMTDLAAKYKTYRLISPFRNKIYTSDPANVEYILKTNIDNYGKGWYNYSILKDLLGNGIFAVDGDKWREQRKLLSHEFSTRVSRDFSSVVFRKNVAKLAQILSESANSNKAVDIQDLFMQSSLDSIIGVAFGAELDNTCGSNEEDKRFIDAFDNANATTTWRYTDIFWKIKRALNIGVEGKLRDNIRTVDAFVYKLIHIRTEQMNPKYLRDIILSILLSGKDTTSTTLSWFIYVLCKYPHVQEKVVQEIKEATTEKTNATDITEFAANVSEEALVKMQYLHAALTETLRLYPAVPLDPKICFSDDTLPDGFSVNKGDVVCYLPYAMGRMKFIWGDDAEEYKPERWLDRDGFFRPENPFKFTAFQAGPRICLGKEFAYRQMKIFSAVLLSYFVFKLSDDKKAINYRTMINLHIDGGLHVRVFRR >Solyc02g077880.3.1 pep chromosome:SL3.0:2:43281365:43282542:1 gene:Solyc02g077880.3 transcript:Solyc02g077880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin repressed/dormancy associated protein [Source:UniProtKB/TrEMBL;Acc:Q0PY39] MVLIDKLWDDVMAGPSPDKGLGKLRKSLTIQTGGESGEGSSKYQRSLSMPASPPTPGTPVTPTNISPTVRKENVWRSVFHPGSNLATRRIGAEVFDKPSHPNAPTVYDWLYSGNTRSKHHEKC >Solyc06g071090.2.1 pep chromosome:SL3.0:6:43809725:43810510:1 gene:Solyc06g071090.2 transcript:Solyc06g071090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSITIWLPIYDRVLLPWLRKFTGKEDGISLLQKMGIGIFLSIVTMFISGIVEDRRRTLTMTRPMLQMTQGKGAISSMSGLWLIPQMALSGLSKAFTLISENEFFYKQCPETMRSIAASFFFVGLAGSSYLSSIIKSHSTIMYNKQLNRIFPSVT >Solyc02g032660.3.1 pep chromosome:SL3.0:2:29397971:29404514:-1 gene:Solyc02g032660.3 transcript:Solyc02g032660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4B5L0] MGPNEPLLESESPILASAATEKISSELEEILSDTSLSCIQRFKRASVIELRNLFRLAAPAIIVYLLNNVTSMSTQIFCGHLGNLELAAASLGNSGIQLLAYGVMLGMGSAVETLCGQAYGGHKYEMLGIYLQRSTILLMLTGLPLMVAYLFSKPILILLGQSKKVASAAALFVYGLIPQIFAYAANFPIQKFLQAQSIVNPSAYIAAVTLIFHLFLTWLVLYVFNWGLFGGALVLSISWWIVVIAQFVYILWSDKCKKTWSGFSMQAFYGLWDFFKLSLASAVMLCLETWYFQILILIAGLLPNPEVALDSLAVCTTILGWVFMISVGFNAAASVRVSNELGGGHPKSAAFSVVVVTISSFVISVVFAILVLLFRHVMSYAFTGGQVIAEAASDLAPLLALSLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGILLGFYFNLEAKGIWLGMLGGTAMQTIILLWVTFRTDWEKEVEQAKSRLNMWQNNSKKQLFND >Solyc11g017435.1.1 pep chromosome:SL3.0:11:8363133:8380556:-1 gene:Solyc11g017435.1 transcript:Solyc11g017435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIDVNTHDPKACWTKDKRRVLMSYDRKKGGEYTCYIMNGLKANTSDPKIGYVMKGDALGLLLEFAFHDNNKKMKPVIIVYDKKSGRVMNIQAIAPCVHFYTANWIINVKGKGGFVYQPRSALFLETQGYPDAVDHPKFPSTIMNPGKTYAHSVLYTFSIKK >Solyc07g054400.2.1 pep chromosome:SL3.0:7:62854472:62861002:1 gene:Solyc07g054400.2 transcript:Solyc07g054400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDGGMASDVEREEEEAVTDLLRDRFRLCTISIAEDEAKQCGMEVSQPIITCISDLAFKFAEQLSKDLELFAQHAGRKSVNMEDVILSAHRNDHLAASLRSFCNDLKTKERNSERKRKKNPKREDIGASSSVRCEFTRRYPMVENFSSPRSQMSKLHMHFRPRSLNVMQSVISNDSYETFVRYSASNPRDPFSSRSDFQEFSVSHASNSTTFQMHATFIYLVLSNYLPSLPSCGSHALMDSCNSPLLLRLFPLLPAASVVKFVAVFDGEEIVDFISDILMSRSVFCVPSQCILDFMSSICLLRTHRRERNLQEVFKAIEFTFFLLYKETRLSLSLWRVIIPNTAAPNASSSTTPYDLPVPFHAFALSYVLQEMCKEFLSAACHLKMVLKLLSPLSLHAEESHKFVVLIYKELRKSREFEGNMCIAW >Solyc06g064830.3.1 pep chromosome:SL3.0:6:40511916:40523673:-1 gene:Solyc06g064830.3 transcript:Solyc06g064830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLEIEARDVIKIVLQFCKENSLHQSFQTLQNECQVSLNTVDSLETFIADINSGRWDAVLPQVAQLKLPRKILEDLYEQIVLEMIELREMDTARAILRQTNVMGVMKQEQPERYLRLEHLLVRTYFDPHEAYNDSTKEKRRAQISQALSAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDEEDMYPTTLGHTIKFGKKSHPECSRFSPDGQFLVSCSVDGFIEVWDHISGKLKKDLQYQADETFMMHDDAVLCVDFSRDSEMLASGSQDGKIKVWRIRTGQCLRRLERAHSQGVTSLVFSRDGTQILSTSFDSTARIHGLKSGKLLKEFRGHSSYVNDAIFTFDGSRVITASSDGSVKVWDAKTTECLQTFRPPPPLRGGDASVNSVHLFPKNNEHIIVCNKTSSIYLMTLQGQVVKSFSSGKREGGDFVAACLSPKGEWIYCVGEDRNLYCFSYQSNKLEHLMKVHEKDVIGITHHPHINLVATYGEDCTMKLWKP >Solyc12g096845.1.1 pep chromosome:SL3.0:12:66586363:66587517:-1 gene:Solyc12g096845.1 transcript:Solyc12g096845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQGTASETSIPASAPSFDYLHPLYMYPSDSPGSLNVGILLTGSDNYTLWSKAMELALLGKNKVGFIDGTVKKTQFTGDLTRLWDRCNAIVVSWILCNVSKDLHSGVLYCSNSYLIWEDLKERFNKVNSSRIFQLHKEIFTLVQGVSSVSLYYSRLKDLWDEYDSIMPPPACTCSRSKEFFEQLQHQRMLQFLMGLNDNYSQARSQILLMPQLPSINQAYAMVNQDESQRMVAGSSRVMTDMVLTTMFTSNSGPGSHKPRRSYNPNALCDYCNIKGHMRRHVSQQYQCDKTETVQSSYNSQMPQMMQSSFSNKMQLQPSSVSSSHNNESQMPSSH >Solyc01g099960.3.1 pep chromosome:SL3.0:1:89923141:89934665:-1 gene:Solyc01g099960.3 transcript:Solyc01g099960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITKFLFILILLPFYQVLCQYEYETFHPYQRSPTLDPHAMIIQACSNIQNQALCLSHIQSNLDDPVHRDPNSILKAAIQNSLNQAKLTIQSITKFSTLSASSRDQMAIEDCQELLDFSVTELAWSLGEMRKIRAGSKNVHYEGNLKAWLSAALSNQDTCLEGFEGTDRHLEHFIKGSLTQVTQLISNVLTLYVQLHSLPFKPPRNDNITYENQKYPQWMTKGDKDLLVSNPNGMHVDAVVSLDGSGRYRSIAQAINEAPSYSNRRYVIYVKRGIYHENIDLKKKKTNIMLVGDGIGATVITGNRNFMQGWTTFRTATVAVSGKGFIARDITFRNTAGPKNFQGVALRVDSDQSAFFRCSMEGYQDTLYAHSLRQFYRECNIYGTIDFIFGNGAAVLQNCKIYTRPPLPLQKVTITAQGRKSPDQSTGFSIQDSYIYATRPTYLGRPWKMYSRTVYMNTYMSGMVQPRGWLEWYGNFALNSLWYGEYKNYGPGSSLSGRVTWPGYHIIKDPSSANFFTVQHFIDGMSWLPATGVQFSAGLTNITPFPNFCKTLLPPNNSIDIYDSGRLSIQLSFSTTNQIINSIIDFLDLNYLLLPKSTVSALHDCQYLLRLNADLLLNAADVAKKTNNSLENSEANDGETWLSATITNTQTCLEGLLDAPSKGWNSGSGVGDYISVSMLKIRQKVVVNPDGSGNYISINDAIAAAPINSIEEEGYYQIYVVAGVYHEYVSITANKKYLMMVGDGIDKTIITGNRNVPDGWTTFNSATFAVDGQGFVAMNITFKNTAGAAKLQAVAVRNSADLSAFYYCSFEGYQDTLYTHSQRQFYKYCNIYGTIDFIFGNSAVVFQNCNIYPRLPLPGQFNAITAQGRTDINQNTGISIHKCTITPSIELASYSGTKPVRTYLGRPWKEYSRTVYTRSFLDSFIHPDGWSIWTNDFALSTLYYAEFKNDGPGSDTSKRVRWHGYRGEISYMDASSFTVSNFIFGNYWLPWTGVPYTGDQAMAGNNRKRNFTIIGVCSLILVAMVVAVVVTMNWNDKEAEAQDVTSTKKAIESICQTTQYQTTCVESLESTGECSSDPKELIQKSFQVTIKKIKEAIDNSTFMQKLEKDPRAKMALENCEKLALQAVNDLNRTHLKFESFEFNDLSHWIADLKIWLSGAITYQESCLDGFEDTTGEAGEKMKKALNVSMQLTSNALSMITEISDVFTSLNAGSNRRRLLFDDTPVLGHGIELLPDWIDVGRRRLLAVNVSNEIKPDVVVAKDGSGKYKFINDALKHIPEHRNQTYVLYIKEGVYDEKVEILSTMSNLVIIGDGPTKTRITGNQSFKGGVPTYQTSTVAIMGDYFVAKDIGFENTAGPDKHQALALRVAADKSIFYNCHMDGYQDTLCAHTYRQFYRDCTIRGTIDFVFGDAAAVFQNCTLAVRKPMKEQHCIVTAQGRTDLRQPSGIVLQNCSIVADESYNEVKGEAKTYLGRPWKQYSRTIIMESKIDDLIQPEGWLEWNKTFAFDTLFYTEFNNKGLGSSKADRVTWSGVKELPIERVQRFTASNFLDADLWIPSTGVPYTSGFLLSPPQSDSSIVYSPVNDQENKDLASVGGAAAPASAPAKSPTDAPADAPESESPEKSPSGSPAGSPSQSPAASPPESPAADTDEYKATAQAPSSAESPSGHIWLQGSGSDGSS >Solyc08g061147.1.1 pep chromosome:SL3.0:8:46949565:46958401:-1 gene:Solyc08g061147.1 transcript:Solyc08g061147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDSQQNDGSVFLMEDQEKTTFTCHYGTLTFKRMPFGLCNAPATFQRYMMSIFSDIVEEIIELRLVTGWRVLYRLPIIKCMEQEGPFSYALDGPDVTIKSLLHQRINRKQPLLDLMGRSHSRGCLLDCVMHHLLFIDI >Solyc05g007740.2.1 pep chromosome:SL3.0:5:2208486:2212549:1 gene:Solyc05g007740.2 transcript:Solyc05g007740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFPKVKGSYHTFLFLQTFFVVAFTSKASTVRGFKQSETPLLRRSAAFFCCVSSSNNPKSLRTLSKVSRQSLHLESLKFVNFLRNTSTATQISEIDNYSESKGGNNSDFQQNPDGDLNYNSNNTGSLNKLNWKDQEWAYNQQLSRSTQGGGSYSGNSRRIEQNPSEVYEQKTRTEFQELKDTKGFQQNPHAKNENFSSGYVNVSSRNEFQQTVGRNNDFGSRKDYQSDLVHNRNDRSSRFEGGLEAQSSQNGVYGHYQQNLNGGNSVTSQQNFNGNYMRNVGMPQQNISNYDPGNVRNVQSEYPQNASGYNMERHTNSSGYSREMMGRYQQNLSSFNPSSAGHQASYQYQNGIVGHQEMRSATPVEQLIDSDDSSSKKGSVDELDDLCKEGKVKEAVEVLQLLEQQHVTVDLSRYIMLMDVCSEDKSLEDAKSIHEHLVRSHPHLDIKMYNKILEMYGKCGSMKDAFLVFRKMPQRNLTSWDTMITWLGKNGLGEDAIELFGEFKETGMKPDGQMFLGVFHACSVVGDIVEGMLHFESMSKDYDIDLSMEQYVGAVDMLGSTGYLDEAMDFIERMPIEPSIDVWETMMNLCRIHGNLELGDRCAEIVELLDPCRLDEQSKAGFLAVKASDIATEKEKKKSAQSLLEARSKVHEYRAGDRSHPDHEKIYALLRGLKQLMKEDGYIPETKFVLHDVDQETKEDALMAHSERLAFAQGLMNSSARSPIRIIKNLRVCGDCHNALKIASRLVGREIIMRDAKRFHHLKDGLCSLSILLFLLSSRRSFSLFPFFFFIFFLYISMKLPKFITRDTRCNFTTRECDSKERVQFPTHYYEDQLNALTHPRLKIVEHRYNS >Solyc01g103670.3.1 pep chromosome:SL3.0:1:92096755:92105486:1 gene:Solyc01g103670.3 transcript:Solyc01g103670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFELSSESPYELLLKVLFLIPISHYFLGFLFLLSVFLYNFIEMYFIQEFFTGFRGKPVSLTYNSCCDLYQEVVSKCKTLNGRVSSTPWLCSPHLQTAFLQFFGRAPACKYRRQIFQLSDGGIIALDWLRNIDVEKPSIEHFDGVQSDDKSPIMLVIPGLTSDSDSAYIKHLTFKMAKRGWNVVVSNHRGLGGVSVTSDCFYNAGWTEDSRRVIDHLHTQYPQAPIFAVGLSIGANVLVKYLGEEGVNTVIVGAAAICSPWDLLICDRYINRRLVQRVYDRALAIGLKGYAKMHQTVMSRLADWEGIVKARSVRDFDHSSTRVLADLETVDTYYRKASCTSYIGNVMVPLLCVSALDDPVCTREAIPWDECRANKNIVLATTHHGGHLGYFEGMTAKSLWWVRAVDEFLCGLNSSSLIHSKKMQITEVHSPLETSIDQAPYVNIREDGLVTAFSNEPSGEVGSQQNEQLVEDDDKVGDRIRGVNDAMQLETNATTQFDLRSESTRLEDAGSRGPGKMLATPEPELDAVIVPVKRCLNQLSQHSKISMWVLAYIAIITTWPILGSASPMFFKKKLRNIFSLKR >Solyc01g067840.2.1.1 pep chromosome:SL3.0:1:76621069:76621603:1 gene:Solyc01g067840.2 transcript:Solyc01g067840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECCDSTSGDQNCCDFFLLVEASGDSHQFDNSKIHQEKCQENCEHYEVEDDAQSSNYETRDNLGNSQEVISGFEEDNYDDDEEEEQDDGHKCSITKEVCKDIVEEKEEEVSSRRAINMEDEVERNRLFWQTCFEVGYP >Solyc08g016350.1.1.1 pep chromosome:SL3.0:8:7352993:7353211:-1 gene:Solyc08g016350.1 transcript:Solyc08g016350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKGLVAYVQSSGNNVLNVERECLMCMGFLPCAHQFLCEDCNVLHQRKGMDICPSCRTQIKERISVHFPYSE >Solyc06g083650.3.1 pep chromosome:SL3.0:6:49034161:49037778:-1 gene:Solyc06g083650.3 transcript:Solyc06g083650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTATIFLLVLFIGKLISCSEGAPRAFFVFGDSLVDNGNNNYLLTSARADSPPYGIDYPTHRPTGRFSNGFNIPDLISQELGAEATLPYLNPELNGDKLLVGANFASAGIGILNDTGIQFANIIRISTQLQNFEQYQARVSALIGEEQTERLVNGALVLITLGGNDYVNNYFFTPISARRLQYNLQDFSVYIISEYRKILMRLYDLGARRVLVTGSGPLGCVPSSLASRSVNGECAEEPQKASAIFNPLLVQMIQGLNQELGSDIFVAANAMEMQNDFIKDPQAFGFVTSKIACCGQGPYNGIGICTSSSNLCPNRDLYAFWDPFHPSERANKIIVQTLFTGSDKYMTPMNLSTIMAIDSL >Solyc05g006780.3.1 pep chromosome:SL3.0:5:1400551:1407177:1 gene:Solyc05g006780.3 transcript:Solyc05g006780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFYKAKAVKLKSHLDKYLVADDDQENIRQSRNGSSRKARWLVELVEAENSHFIRLKSGSGKYLTASDEPFLLGMTGKKVIQTLPEEMKDLRIEWEVIRDGFQVKLRGFGGKYLRANGGMPPWRNKVTHDNPYSGSTQNWILWNVEPIDVPENESLTEYLTMVSSFSSISDELLSLDLGSPTSVHSSFSFSPRRAKISLPKRSAMELFHKAKAVRLQSHHEKYLTAEEDEESVTQDRNGASKNAKWTVEFVEKTENVIRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPNRLDSSIEWEPIREGHQVKLRTRYGQFLRGNGGLPPWRNSVTHDIPHRTKTQDWVLWDVHVVEILAHSTAETVAETTVVGGNSDSFASESSSATTTGSSKSYSFSRQESSDSLISSPPKLVDGRLIYYHVTDEFGEIDEGAEGLCITFKGNSVEDLKKRLEEETGLEDITVCTRSALNGKLYPLRLQLPPNNANMNVIILPSSSKEASDIA >Solyc11g012040.2.1 pep chromosome:SL3.0:11:4973916:4979969:-1 gene:Solyc11g012040.2 transcript:Solyc11g012040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRIGSHQLKNGLFVSGRPEQQKERPTATSRAVPYTGGDVKKSGELGKMYGIDMSGGDHHAGPPTLKKPSSRASSSSQHNSGSLRSGPNSGQMGGHKSSNSGPIPKKPSSGSGPIQPTGLIYSGPLSSSAGRRSGQLEPTISFKKMVYGSSVTSLSGDIKMGFNVSRVAMWVLFVVILMALMAGAFVMATVKKFVILVAVGAAVAPILMILLWNYAYKERGLVEFLRRYPDAELRSAVNGQFVKVTGVVTCGSIPLETSFQRVPRCVYASTELHEYKACIGNCANPRQRSFSWGRRHTENYVGDFYISDFQTGLRALVKAGYGAKVAPFVKPKTVVDVTSDSELSPNFLRWLADRNLSSDDHVMRLKEGYIKEGSTISVMGVVMRQENVLMIVPPPEPISIGCQWLRCLLPTYVEGLILTCDDIQDGDVIPV >Solyc03g006230.1.1.1 pep chromosome:SL3.0:3:857405:859096:1 gene:Solyc03g006230.1 transcript:Solyc03g006230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEEKFERFSSCRGVAFEIKPRNDPFSVPKPPTSEANSHGSNRFWLPWENISKKIVPSSSSFIQRSMSRTSSHFCDLDIDANEDGDEDEEVDTLSYIEKGCYDTQIPQKGSSPLPFLPPKTLSKREKNNNFSSRLSIILLDQGLFTVYKRLFALSFIINMSFLILASTGNFPYARKKAVLFSIGNIFALTLCRSEAFLRVVFWLAVNCLGWSWIPTRIKTMVTSLLQSLGGIHSGCAISSIAWLIYALILTLNDKKNTSPEIVVVAFAILSLLSLTSLAAFPLIRHLHHNLFERIHRFVGWIALSLLWIFITLTVSYDPKTKSYNNAGIGSKLIKQQEFLLTLGITLLIAIPWMTVRRVPVKVTSPSGHATIIKFEGGVKAGILGRISPSPLSEWHAFGIISDGKDEHMMLAGAVGDFTKTLVSNPPSHLWVRQVHFAGLPYLVNMYNRVLVVATGSGICVFLSFLLQPSAANVCFLWVTKGVEQNFGKEIKEMLSGHSKEEVIIHDTALLGRPNVSEMSVEAARKWRAEVVIVTSNPQGSRDVVNACKKSGIPAFGPIWDS >Solyc03g117970.3.1 pep chromosome:SL3.0:3:68432175:68441736:1 gene:Solyc03g117970.3 transcript:Solyc03g117970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPPKPWKAEYAKSSRSACKTCKSIIDKEVFRIGKMVQSTHFDGLMPTLRKISLLVDASNTTTCWACRPYSTERTFFSVDLHFGVSLEDVEGVDQLRWEDQQKIREYVQTGGSSNIPPPAAVECGIEVSPASRASCRHCNQKIVKGEVRISSKPEGQRAKSLAWHHAKCFSEISSTTQVENLSNWDSLSAADQAAVLSLFKSSTLTGNKTDPKEELAQESTSKAGAKRKKTSNNSEKSKVAKAELDVSTGKKVVDRNIDNVKVELSKGSELESQLEAQTKALWALKDDLKKHVSTGELREMLEANDQESSGSELDLRDRCADAMHFGGLPKCPLCSGHLRYSGGMYRCHGYLSEWSKCSYSVTDIKRDKGKWKIPEKTSNEFLLKWYKGQKSKKPERILLPATLSKETVSQAGNGLSQSSKGENLGDLKVALTGLSRDSRENWKSKIEEAGGQVHAKLKKDTDCLVVIGTWNDQDSEIKKARRLKVSVVREDYLVDSINRKKKLPFDLYKLEANSETQSMKTVKVKGRSAVHESSRLQDTGHILEEKTSIYNTTLNIYYILQIIEEDKGSDCYVFRKWGRVGNEKIGGDKLEEMSKSDAIQHFKRLFLEKTGNSWEAWEQKKDFQKQPGRFYPLDIDYGVDKKTTSKRNFNDTNCKLAPPLMELMKILFNVETYRAAMMEFEINMSEMPLGKLSKRNIQKGFEALTEIQNLLSGTNHDPTVKETLLVDASNRFFTVIPSIHPHVIKDEDDFKLKIKMLEALQDIEIASRLVGFDIDNDDSLDEKYKKLQCDISPLPHQSEDYRVIEKYLQNTHAPTHKEWVLEVEEVFSLERKGEYDKFKPCRDKLKNKMLLWHGSRLTNFVGILSQGLRIAPPEAPATGYMFGKGIYFADMVSKSAQYCFTDRKNPVGFMLLSEVALGEVYELKAAKYMDKPPKGKHSTKGLGKTVPERSEFVNWRDEVVVPCGKPVTSNVKNSELLYNEYIVYEAAQVKLQFLVKVRFNFKR >Solyc09g042800.1.1 pep chromosome:SL3.0:9:25921682:25921980:1 gene:Solyc09g042800.1 transcript:Solyc09g042800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSNIGVPVLESPSMISSTTAPACSKTKNVGQIREYDAFHRLIITPDKDGLAPSYLGSRMVVESIRPFFGDV >Solyc05g013130.3.1 pep chromosome:SL3.0:5:6223936:6227152:-1 gene:Solyc05g013130.3 transcript:Solyc05g013130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRRNIQWVVVILGFIASQVESLRFDLQSGLTKCIAEDIKSHAMTVGKYQIVNPNEGYPLPDTHKVTVRVTSTYGNTYHQGDNVVEGHFAFEAAEAGDYMTCFFAADHKPPVTLSLDFDWRTGVAAKDWTSVAKKGSVEIMEFELKKILENVKAIHDEMFFLREREAEMQELNRSTNSKMAWMTGLSIFLCLGVAGLQLWHLKTFFEKKKLI >Solyc05g051890.1.1.1 pep chromosome:SL3.0:5:63143886:63144674:-1 gene:Solyc05g051890.1 transcript:Solyc05g051890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRTPLSGNGKKSINKLSSATSFRLASPSDRSSDTFVVQIPKDQVYRVPPPENATIVENRTPKDNVQSKRKMGRRCCWTLLVILIFGIIIGIIIAIIHMLYIPKCPKFSVASVHFKNVTDPNNRDQGQRNQHPKFEFGLKVNNVNERMDVSFGDGKTNFVYKKYDIGQGKYPSNSQKGLGTNNIHLNLDVGSNGKLPSDLLKALEDENKKIVIMSLIIHVPMEIKSWVKNLNKDLTITCDFDVEDLTKKSKIMPNECITDF >Solyc12g042240.2.1 pep chromosome:SL3.0:12:58310704:58326793:1 gene:Solyc12g042240.2 transcript:Solyc12g042240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSLLQDAAFHQNENGGLYTRDGSVDIMGNPVLKSETGNWKACPFILGNECCERLAYYGICLNLVTYLTKKLHEGNVSAARNVTIWQGTCYLTPLIGAVMADTYWGRYWTIATFSIIYFMGMCTLTLSASVPAFKPPECVGSVCPSATPAQYAIFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALMSSTFIVWIQENAG >Solyc12g056140.1.1.1 pep chromosome:SL3.0:12:63050738:63050950:1 gene:Solyc12g056140.1 transcript:Solyc12g056140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLNKNAKADMFDKKKILMGYSFIKMTKLVINILLKFIQLKVFFFRKKKRSNYEYRVKSKYSKGILEYIF >Solyc03g096390.3.1 pep chromosome:SL3.0:3:59896247:59900990:-1 gene:Solyc03g096390.3 transcript:Solyc03g096390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNRYNSPSREDKNDEEASVIIPETAHQVSNDSWFQVGVVLSMGVNSAYALGYSGTIMVPLGWIGGVVGLVMSTIVSLYASTIMAKLHEVGGKRHIRYRDLAGFLYGRTAYLLIWALQYANLFLINIGYVIMSGSALKAFYMLFRDDHMLKLPHFIAIAGVACILFAIATPHLSALRVWLGFSTLFMILYLAIAFVLSVQDGVKAPPRDYHIPGSGENKIWAIIGAIGNLFFAFNTGMIPEIQATIRQPVVGNMVKALNFQFTVGVVPMHAVTYIGYWAYGSVVSSYLLNNVHGPAWVLGVAHLSAFFQAIITLHIFASPTYEYLDTKYGVKGSALAPRNILFRLVVRGGYLVMTTFLSALLPFLGNFMSLTGAISTIPLTFILPNHMYIIAKKDKLTSLQKSWHWLNIVVFGCVSVAAFVAALKLTVVQTQTYHVFADL >Solyc09g074577.1.1 pep chromosome:SL3.0:9:66831096:66832495:-1 gene:Solyc09g074577.1 transcript:Solyc09g074577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVPEFSLGLSSCLGLSVDSSSDCKILKIQGNEYGDQKVPGEIFSLKNNSWRKIGKHPRVTLNKVSTVDSLAFIHGAFHWIVIVQENVNRAANIGQEIEYNHPLFLSPSDVSENQIISFQLIGGIMYTATAKAVWQDLQERFTKVDGSRTFNLHKEIATLTQGVNSVTVYFSKLKTLWEEFEALVPPPGCNCERSKEFIVHLQKLKLFQFLMGLNDSYNQARS >Solyc03g044140.3.1 pep chromosome:SL3.0:3:8209370:8220746:1 gene:Solyc03g044140.3 transcript:Solyc03g044140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDVNVLRPREYWDYEALTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNEKCIIKILKPVKKKKIKREIKILQNLCGGPNVVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLHKYQLELDPQLEAMVGRHSRKPWSKFINADNQHLVSPEAIDFLDKLLRYDHQDRPTAKEAMAHPYFLQVRAAENSRMRTQ >Solyc08g028770.1.1.1 pep chromosome:SL3.0:8:40999773:40999970:1 gene:Solyc08g028770.1 transcript:Solyc08g028770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSTSMSTPEDHVNSLMHQVSDDYGHEVSVGFPQEAGHAIPTKEIEKVNEDDLTRRLAELKACG >Solyc10g080100.2.1 pep chromosome:SL3.0:10:61612138:61623016:-1 gene:Solyc10g080100.2 transcript:Solyc10g080100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIISTFKHSCENFNLRFELIMLIMACWDFLYFNLTLSRGTEIWRIEDFQPVPLPKSECGKFYSGDSYIILQTTSGKGGSYIYDIHFWLGKNTSQDEAGTAAIKTVELDAIIGGRAVQHREIQGHESDKFLSYFKPCLIPLEGGVASGFKKPEEEEFETRLYVCKGKRVVRMKQVPFSRSSLNHDDVFILDSKDKIYQFNGANSNIQERAKALEVIQFLKDKYHEGTCDVAIVDDGNLQAETDSGSFWVLFGGFAPIGKKVTSEDDIVPEKTPAKLYSITDGQVSPVDGEFSKSSLENNKCFLLDCGAEVFVWVGRVTQLEERKAATQAAEEFLSSQNRPKSTHVTRLIQGYETYSFKSNFDSWPSGSAPAAEEGRGKVAALLKQQGIGVKGASKSAPVNEEVPPLLEGGGKIEVWRINGSAKTSVTGDDIGKFHCGDCYIILYTYHHSDRKEDYYLCWWIGKDSVEEDQNMAAKLASTMCNSLKGRPVLGRIYQGKEPPQFVANFQPMLVLKGGLSSGYKNYVADKGLNDETYTADSVALIRVSGTSVHNNKAVQVDAVAASLNSNECFLLQSGSSVFSWHGNQSTYEQQQLTAKLAEFLKIEEIYNFCQDDLLTEDVLLLDTHAEVFVWVGQSSDPKEKQSSFEIGQAHGNSFQKKVMLLFGVGHASEKQPRSNGTNNGGSTQRASALAALNSAFNSPSPAKSGSSPRSGRSPGSQRAAAIAALSSVLSAEKKQSPEGSSPLRLSRTSSVDPIPLGNGVSTTEVLGSKEVPEFKETEKVEHAEADGEDIGPKPEPEQEETDTDGSQTTYSYERLKAKSKNPVTRIDFKRREAYLSDEEFQSILKMTKESFYKLPKWKQDIHKKKVDLF >Solyc10g019173.1.1 pep chromosome:SL3.0:10:12222307:12223604:1 gene:Solyc10g019173.1 transcript:Solyc10g019173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSPIHYPSSSSRKRRPNEMNNLENNEPIAQSLNLLIINESSRSSIEIFNWGMPQSHISSGLQRPDEMNNLENSKVIITNKLSPDVFITGGLSLDQEKEEVLITDELSPNVFIRGVLSRDQEKEEV >Solyc11g005660.2.1 pep chromosome:SL3.0:11:489532:493338:-1 gene:Solyc11g005660.2 transcript:Solyc11g005660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADRPTIGEYTLTCKLGGGSSSTVWKAEHRTTGKVVALKQIDLLKLTRQLKSCLDCELSFLSSVKHPNIIRLFDVFQAENSIFLVLEFCDGGDLAAYIRDHGRVQECVARKFMKQIGAGLEVLSMHHIIHRDLKPENILLSTMETDPILKIADFGFSRLLNPNDLAETVCGSPFYMAPEILEFKKYDDKVDMWSLGAILFELLNGYPPFRGRTSVQILRNIKASLRLPFFEPILPQLHPGCVDLCSRLLSIDPEKRISFKEFCQHNFLKIDEGGDKSFC >Solyc11g069460.2.1 pep chromosome:SL3.0:11:54341162:54347847:1 gene:Solyc11g069460.2 transcript:Solyc11g069460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYVCIREGPDHAPRFKAVVNFNGENFESPHYCSTLRQAEHAAAVVALNALSNRGPSHSLAARILDETGVYKNLLQEIAQRVGAPLPQYTTYRSGLGHQPVFTGTVELAGITFTGEPAKNKKQAEKNSALAAWSSLKQLAQQDASLSSELENNDEQEQIRIARALLNYRLKEQLEMAKSGKVQIPFQKKFPIPSPRPSSPQRPAVTSSKILPLICPKTVNRYRSSSATINDSHSSLSQPLPQSQASSSEGRTVSTRISHAPGAAPPYMPVRQYNRTPYHGIAPPVTIRTAVPVFSAPPRPQPTGCPTQMMQARSVRVAPPVCIRQAIPVYATPPAKKETVAHATTTASRPSSQPEEAMARATIAPSRPLAQPKETGTNAGTEVDESTAMKCLEELSL >Solyc06g069693.1.1 pep chromosome:SL3.0:6:43520660:43522013:-1 gene:Solyc06g069693.1 transcript:Solyc06g069693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLCVYYLSFLCFQSNASTDAAPIETAVVVPFEHPPNAPGTDDQSTGEISLFPKKSELRIGESSAFSTYVKSSLPKSTGQSPTSIRGSVPQQLGIEENTSLGGHEHIDSNIQVTGDVESHLQGDGYDNIPTSFTIERSCTPPLSQEFPHHWNSKMEEFSQE >Solyc08g008520.3.1 pep chromosome:SL3.0:8:2916036:2918228:-1 gene:Solyc08g008520.3 transcript:Solyc08g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4CIY6] MAEVIGPRLYSCCNCKNEVALHDDIISKAFQGRNGRAFLFSHAMNIVVGPKEDRHLMTGLHTVADVHCCDCREVLGWKYERAYEEAQKYKEGKFVLEKSKIVKENW >Solyc03g006385.1.1 pep chromosome:SL3.0:3:983530:986181:-1 gene:Solyc03g006385.1 transcript:Solyc03g006385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHENHIQGKKTQSGMELGYHASDKYKRKSDYDKGFNNDWTVTDSGDRIVEMTEKSKIRMEDP >Solyc09g005200.3.1 pep chromosome:SL3.0:9:168692:169629:1 gene:Solyc09g005200.3 transcript:Solyc09g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSFAQNLVKLSSLPLILVLIFCCLVMMVSARGSHVTNPGVESDDDAMKQDETSLRKRLEPLHRDTEYRLVTASQELEMELAANKSNKTWLWSQQQQPFQLCLACKCCVSAAEAEAEAETEPSNCTSLPCCFVIDCQLPNKPYGVCAFVPKTCNCTSCATPLL >Solyc02g071460.1.1.1 pep chromosome:SL3.0:2:41463240:41463512:1 gene:Solyc02g071460.1 transcript:Solyc02g071460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKYVKLLDTGVRMVARFNSHCPQTSRLYYHPPRRHDEGHHHNLQFFGGDGGKNHQICISGGENVFGVRFGGFVAKFGTDSTDFIFYTVV >Solyc12g017300.1.1 pep chromosome:SL3.0:12:6512987:6513646:-1 gene:Solyc12g017300.1 transcript:Solyc12g017300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLRDSRNYSENVRNSILDRREISLFKKAEELSILCDVEAAIIIFRPGKIQPIAWKSASLAQDVLTRYLSFLEFKRLDKLVTHEDYLQKLVDKKEEQITKLQKMNEMEESFNDPWFIQSIATLGDGSGIESTPKEGNGVNVEYDGHSKDLD >Solyc01g010020.3.1.1 pep chromosome:SL3.0:1:4593805:4594290:-1 gene:Solyc01g010020.3 transcript:Solyc01g010020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIQSDEQLKQLKEIFGRFDLDHDGSLTQLELAALLRALGLKPTGDQIHTLLANMDNNGNGFIEFDELVNAIMPDINAEILINQEQLMEVFRSFDRDGNGYITAAELAGSMAKMGRPLTYKELSDMMQEADTNGDGVISFNEFANIMGKSAADILGLTIS >Solyc06g054540.3.1 pep chromosome:SL3.0:6:37402952:37407658:-1 gene:Solyc06g054540.3 transcript:Solyc06g054540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCNERLYFGKMGYGCEHYRRRCRIRAPCCNEVFDCRHCHNEATSLLRNIYDRHELVRHDVKQVICSVCDTEQPVAHVCTNCGVNMGEYFCEVCKFYDDDTEKEQFHCDDCGICRVGGHQNFFHCKKCGSCYSVNLRNNHSCVENSMRHHCPICYEYLFDSLKDTTVMKCGHTMHTECYHEMIKRDKCCCPICSKSVIDMSRVWRRMDEEIEETIMPEGLRDKKVWILCNDCNDTTEVFFHIIGQKCRHCESYNTRMIASPVLPQ >Solyc10g044880.1.1.1 pep chromosome:SL3.0:10:28650119:28650454:-1 gene:Solyc10g044880.1 transcript:Solyc10g044880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNYSSQPPSIHIHLCFFFLVLLTFVSITWYINYESIFEGIMDQMKLFLMLSPLLLLLVLHLDKSLFFNSFPDQESFGDTPWGVGLLLVLLLFMISYQSDFRERWFPLLG >Solyc07g053030.3.1 pep chromosome:SL3.0:7:61599755:61602431:1 gene:Solyc07g053030.3 transcript:Solyc07g053030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPLIVDGNFDENNKKLLQFFEDITSNPNDVQKTVLHEILSRNSGVEYFQRHGLIINGNNDDYENFKKIMPIVTYEDLKPDINRIANGDRSSILCSLPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMNKFVPDLQKGKGMYFLFIKSEAKTPGGLLARPVLTSYYKSSYFKKRPYDPYTNYTSPNETILCLDSYQSMYSQMLCGLCQNTQVLRVGAVFASGFIRAIRFLEKHWPILCRDIKTGTLNPQINDPMVRESVMKILKPDPYLADFIEMECSKESWKGIIPRLWPNTKYVDVIVTGTMSQYIPTLKYYGNDLPLVCTMYASSECYFGINLDPLCEPSEVAYTLIPTMGFFEFLPVARDDQGPENSNKYDRDDLVDLVDVKLGHEYELVVTTYAGLYRYRVGDILKVAGFKNKAPQFHFVCRKNVALSIDSDKTYEVELHDAVLKASTHLVPYGTSLTEYTSYADTSTNPGHYVLYWEINNDESDETLIPTSVFEDCCLTIEESLNSVYRQCRVSDKSIGPLEIKVVENGTFDKLMDYAISNGASINQYKAPRCVNYAPIIELLNSRVVSSYFSPKCPKWVPGHKQWSSS >Solyc02g086760.1.1.1 pep chromosome:SL3.0:2:50009214:50009882:-1 gene:Solyc02g086760.1 transcript:Solyc02g086760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIYPASPVAVARNKSTGNVMSSTSTPSKNCSITAAKNHRHMTAVVDDGDGDPIECTGKSCKSCTAGVVADCVALCCCPCAVIDILTLAFFRIPWTMGRKYLGKSKKSEKKKKKNNNNKKKMIQNKKKEEEMEKSYSYRDCVTYELDSIDGRVSTRSVRDEEIVTGNLKKSLGIVIGEEDKNNTSAKFDVEQFWLDLYEQVGHLGFGRVSYTGIPSQGNTG >Solyc02g061950.3.1 pep chromosome:SL3.0:2:34037237:34041360:-1 gene:Solyc02g061950.3 transcript:Solyc02g061950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANGDDGEPAGTSPAEEVMTTFSRHHFGTSEDTADGFSVTIIENMKEEYGLFVWPCSIILAEYVWQQKSRFTGASVVELGAGTSLPGLVAAKVGADVTLTDDSNRSEVLTHMRRQCELNDIKCKCLILSSRYTDLHGVCGIHKPSVCAQTLSLELMSYMTVVVGLSLFILFFPSFLDLSTEVEMSILHGYQAFDDLFATVAFLLQSSPSSVFITTYHNRSGHHLIGFLMVKWGLKCVKLLDGFSFMPSYKTSSLSGNIQLAEIVLDTANGDEKELM >Solyc07g054060.3.1 pep chromosome:SL3.0:7:62582511:62589691:1 gene:Solyc07g054060.3 transcript:Solyc07g054060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSLLLAASVSAAATATVSSVSKVRISLHKDDNLKKTDEDCSKSVKASTSTDKFAPRFDGLRFIETLVTAHR >Solyc03g082430.1.1 pep chromosome:SL3.0:3:53784244:53785979:-1 gene:Solyc03g082430.1 transcript:Solyc03g082430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHQDVHCSDGGANKMLCSTDEASLLPPPPSAPVVRSLQPFDVATNSQGGMTTTLGYPFTWAQWKELERQAMIYKYMVSAMSVPPDLLLSISSEASHTTSATGSVQGRYTTNIRDLEPGRCKRTDGKKWRCSRDVAPHQKYCERHMHRGRPRSRKHVEVHAGVSNASDNKKTRLQAESIPTTTASQINNRSTKQPLRSTFQQIQNPLSFHDDKIGGNGIISDSSFNELHRSSGWMMEGELVAKADSGHQWQHLMDSNAGYSAHGYREEALNFLVSRDMERTEHTGEFNFMTNPEFVKDTSRDLINGWSNDNVYNNSNNENLEASLWPSLGDISSSSLALSIAMAAGDVLDEDMGLDSRFMNTNQHKFWENSFPFASGGPLAEVLHPSSSFSLGDNPTSSYTSNGDSISPAATTVSSPSGVLQKTMFSHSDGSVCNSPILAAPSNNITPETVQFQWLR >Solyc02g079640.3.1 pep chromosome:SL3.0:2:44709923:44716142:1 gene:Solyc02g079640.3 transcript:Solyc02g079640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQKKVLLIVFVSFLVPFCSSIDTISFNQTLKDGDLLISSGKSFALGFFGNSPGKRYIGIWYNNVPELTVVWVANRDNPVNGTSGILTIDSTGNLVIRLDAERKTAAWRTNVSSARKRADSYTAKLEDSGNFVLFQDSKMDVIEWQSFDYPTNTLLPSMKYGIDKRTGLNRFLTSWKSLIDPGTGEYRYTMELNGTPQVFLYKNSSRIWRTGSWTGHGWSGVPEMSPRFIFSLSYVDNDTEVSLTYGIHDSSIISRMVLNESGIVNRLTWQESERKWVQFWSAPKDPCDNYEHCGAFSNCNLLNLAEFECSCLPGYEPKLSRQWFLRDGSHGCLRKKNEEVCNSGEGFVTLSHVKIPDTGAARMNKSMGLKDCEELCLKNCSCTAYASANISAGGSGCITWYGELIDIKQFTDGAQDLYIRVSASDLAQFSKISRGHNRKRMIGILVGSAAAIILALSLACCLVINIRGNDNERSESLASYDGMEESKHAEITIFDLTTITNATDQFSDANKLGEGGFGSVYKGHLTDGQVIAVKRLSVTSGQGTEEFKNEVTVIARLQHRNLVRLLGCCVQRGEKMLVYEYMPNKSLDSFIFDLLFTNFQTVI >Solyc06g054490.3.1 pep chromosome:SL3.0:6:37356732:37366428:1 gene:Solyc06g054490.3 transcript:Solyc06g054490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELRCLTVDEGKIEKDSTEEPLDKVQSRDDVAEDSIRDASEDDAESTTSKSSNTSDSDGKFQSQWKGFIKRLKITSAMHLHTFHPSIPSLPSIKMLPKWKSKNTEQSIPMAPSPNLDADLRHCFQAHWKNFPLSDLQKATGNFSRENLIGEGGSSEVYKGHLKDGQLVAVKRLIRGTQEEMTADYLSELGILVHVNHPNISGVIGYGVEGGMHLVLPLSPHGSLANLLNGEKGKLSWCYRYNISLGTAAGLGYLHEGCRRRIIHRDIKTANVLLTEDFEAQISDFGLAKWLPDQWTHLTVSQFEGTFGYLPPEFFMHGVVDEKTDVYAFGVLLLELISGRPALDESRNSVVMWAKPMLLSKNHSGLVDPSLGDAYDSEQMNRMVQEMLKGTKSILERKKTFQRTNRQDDISQKISYVIDLNTQDKYLTAMELSEFTRHMTGFLTDEECNHLISLAKSELKRSAVADNESGESKHSEVRTSSGMFISKAKDPIVSGIEDKIATWTFLPKENGEEIQVLRYEEGQKYEPHYDYFVDKVNIARGGHRLATVLMYLTDVEKGGETVFPKAEESHRRRSMAADDSLSECAKKGIAVKPRKGDALLFFSLYPNATPDPISLHGGCPVLQGEKWSATKWIHVDSFDKTVGTDGNCTDADENCERWAALGECTKNPEYMLGSADLPGYCRKSCKAC >Solyc05g008230.3.1 pep chromosome:SL3.0:5:2621927:2626301:-1 gene:Solyc05g008230.3 transcript:Solyc05g008230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BWZ7] MAGGEATAVAGDHPIATLLRLLHEVSQISIAGFYGKFKKDCTDLARRVTLLAHLFDELKDTNTLLGSSSSSFNSCFSDLSVALQAAKQLLLAANELDPKIHSDVAVKKINFRFQCVTWKLEKALGNFPYDHFDISEEVQEQVELVRAQLRRATEKYGGPVKSNLLSRASSQPLDKEIDLLHSGNSGIGTLHIENIGNIDHEVRPKVGRVPRGSVRNQSYCSRIFQESERIGNSSKLSGIGDPEIFGEDDSPLKSHEESKKSNCPVTPTEFICPISLELMRDPVIVAATGQTYERSYIQQWIDGGHTTCPKTQQQLHNLTLTPNYALRNLIIDWCAKNNIQQPTVFANGKIKRSDGSFRYVIGEIGAMEVLVRKLSSRSVEDCRAAVAEIRSLSKRSTDNRILIAEAGAIPVLVKLLTSEDTQIQENAVTAVLNLSIFDDNKRIIMLSDAIPSIVQVLRAGSTEAKENAAATIFSLSLGNENKILIGASGAIPALIDLLLTGNTRGKKDAASALFNLCIYQGNKGRAVRAGIIPALLEMLTDSSSCMVDEPLTILSVLANNQEAKEAIAKTSTIPVLIDLLRTGLSRSKENAAAILLSLCKRDSENLSCLCRLGAVIPLTQLTMSGTERAKRKATSLLEHIRKSQQHSTRI >Solyc09g055187.1.1 pep chromosome:SL3.0:9:37660327:37661446:1 gene:Solyc09g055187.1 transcript:Solyc09g055187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRKASLIHDQAPRKKSFPEVRKWKLRPRGDGPFQVVKQINDNAYMIDIPKREYDNIGSIEDTYMSDMYLSRPFTWNQAKNLQVLQAMFMKREELEAIEDHQIRT >Solyc08g075680.3.1 pep chromosome:SL3.0:8:59935915:59940414:1 gene:Solyc08g075680.3 transcript:Solyc08g075680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHEEQQHHHQQQEQEDPISSLSLKPNDKHLEKIFSSYLGLSFAVFLGSLPRNAVSLVGRLQNRNKELTFQLIDTEEQLKQLLFRRKEDSKANARVVEIFASHRHAWQQEEKRLLQQIDECDEEIAELRGRAEQFETMESELRANIEDLKREISERDEMLNFMSRRGCEMENSTSGDGGSDGIGDCYAEMGLRFGKVGISEGMDLGVGMEECYLANGIPNAEQMSGVYGQSNGFNSEYLNSASKFWAEKASPWQDMQYDSGDSLHHLKHFVARREAPWKIDGESTGVSSKLKLLEQELLNLEKIGKTDLSKVPSSTRKQVKRYQALAGKIDDLCRRMQASDPCEPNLSPEFRTQRQTEFLLEAFRLQQRASETAQKLMVLQTDSGKSYYGDEFEGQAQLATKRSFDSIRNNLKEIQRNLEIWLARIIGDLEGILSRDGASRVRDYYISRYPFVQ >Solyc02g063510.1.1.1 pep chromosome:SL3.0:2:36152789:36153781:1 gene:Solyc02g063510.1 transcript:Solyc02g063510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAETLSPIKIRIPKPTKVCFSYAAYAKNVIQHLKSSNLIVEKGLSDVEFSTIESNFSFTFPPDLRSILQEGLPIGPGFPNWRCSSQQQLEIIKNLPILSLCKQVKKRNFWVEFWGNRPVDNDQAVDTANGFLKNAPVLVPIYQHFYIPCTPCLAGNPVFYVHDGEVKLWCFDISGFFQQVEFQRNEMILRRPSLFNLLNAPSWAATEARKIDFWTEMAEMGQMAAAVDGGKHRRWWSEDLEEFLEDVFLRLTEAGWKEEDVREMMMMDDSGDRRRCSDAGVFINKKEVESHVSLLSERLLRAGWSTEDVVDTLGSSEDSFIDVYYK >Solyc10g048065.1.1 pep chromosome:SL3.0:10:43824311:43826410:1 gene:Solyc10g048065.1 transcript:Solyc10g048065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSLSITKMKESQPIPEIYYHKKVGVSKTEFMRESFCLNQSRMPQLDKFTYFTQFFWSYKIYHHVFDGILLHSLFGIVPSELLLLDHYINQPDKDPEWVEFQLIYYGREDPQFFVDPQDLDSILRVHLEPFEFNHPTLFYAEVKRTQADRSVRVLISLGLTWFTRYWFPEELISPLAKPFLTLPLDSYFVRTQSTEAFPTYVATSPIACSYFVFPLISHQIWCFLIPSCYGEQRTKYNRFLHLSGSRFSLFLFLTPPRVVPNVWHFPYFMGATSTNSLMIKLQPKIYDHIMLTVRISFIPSVCSQVPVIVIRLPEPRGLSVETSTNYRHFLMVFPFVASIVQVREEGWTSGMRESGSINKKEE >Solyc07g054880.3.1 pep chromosome:SL3.0:7:63186086:63187413:1 gene:Solyc07g054880.3 transcript:Solyc07g054880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylketone synthase Ie [Source:UniProtKB/TrEMBL;Acc:E0YCS7] MDKIIESKAKKHFVLVHTLGHGAWSWYKIVALMRCSGHNVTALDLGGSGINAKQALEIPNFSDYLSPLMEFMTSLSTDEKIVLVGHSLGGLAISKAMETYPEKISVAVFLSGVMPGPNINASIVYTQTINAIIRELDNRVTYHNGPENPPTTLILGPKFLETNAYHLSPIEDLVLATTLVRPFYLYSAEDVSKEIVVSSKKYGLVKRVFIVAAENEALKKEFFQMMIEKNPPDEIEVIEGSDHATMMSKPQQLYDTLLSIANKYT >Solyc02g032105.1.1 pep chromosome:SL3.0:2:28004461:28006826:1 gene:Solyc02g032105.1 transcript:Solyc02g032105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTFPFLRKTLDTNHLSEWSSTEKKDVNFSGNITIEKFLVLKSSTHQNVVVVIPHRLDEHLSSWRDPSSRFGVIVIRLASGSEERMCLTAARRLWMTSRIMMLYLLQCSHTTTINMFSRPSVRIGVHPPIRSLPSSESLRSSFVTLRMDNELIVDHYSPHQYSRQFGYYQDIPGALIEQHYDGSLLALVQLWVSCVYLGRSSKIIIPMHSSNKGSLMTHSSSNESNVSQELNWKHLKKKPKDLNTQQCEFAELDSISIDPVIFEDGTACSTMPLTKLAHQLGLRYIPSDVDVFEDFITRLNSLDVAKSFHLSLVEAKSQHTNEIQLTKPMVFGKG >Solyc12g010860.2.1 pep chromosome:SL3.0:12:3778092:3784506:-1 gene:Solyc12g010860.2 transcript:Solyc12g010860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TFT8 description:14-3-3 protein 8 [Source:UniProtKB/Swiss-Prot;Acc:P93213] MASSKERESLVYIARLAEQAERYDEMVDAMKNVANLDVELTVEERNLLSVGYKNVVGSRRASWRILSSIEQKEDARGNEQNVKRIQGYRQKVESELTDICNNIMTVIDEHLIPSCTAGESTVFYYKMKGDYYRYLAEFKTGDDKKEVSDLSLKAYQTATTTAEAELPITHPIRLGLALNFSVFYYEIMNSPERACQLAKQVFDEAISELDSLNEDNYKDGTLILQLLRDNLTLWTSDIPEDGEEAPKGDAANKVGAGEDAE >Solyc05g016240.3.1 pep chromosome:SL3.0:5:15267150:15275450:-1 gene:Solyc05g016240.3 transcript:Solyc05g016240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSNSRDFKDLTSSSGSISDGALFDASQYAFFGRDIAEEVELGGLEDGQDNSDLGVGGGLGDDEIQEYHLFEKDEGSVVGSLSDIDDLATTFSKLNRNVTGPRHPGVIGDRGSGSFSRESSSAADWAKEADFHDWFDQHLSDTECYQESKKWSSQPHISAVLAESKPLYRTSSYPEQPPQPQQLQHYSSEPILLPKSSYTSFPPGGRSQPSPHSLSRQNMSSLSAGPQSPYSSLNLSSLPNSNLHLTGLAHGLHYGSGNIQHLNPTGLSHNSRLQNQWSSHAGLIHGDHSGLLDSVLQHQFPHQNSLLSPQLLSPQQLQQQRLHLSVQPSLAHFSALRSQLYNSFPSPSHLGKYGLADFRDSRSKPSQKVRQNVRFSKQGSDAACHKSESNVPQFRSKYMTGDEIESILKMQHSAAHGNDPYVDDYYHQARLAKKAAESRSKYRFCPNKEQSSRSRNSAESQPHLHVDAQGRVSFSSIRRPRPLLEVDPPGFVCIDSSADQKISERPLEQEPMLAARITIEDGFYLLTEVDDIDRLLQFSQPQDCGAQLKRKRQILLEGMAASLQLVDPLGKGGSSVGLTPKDDIVFLWLVSLPKGRNLMSRYLQLLLPGNELVRIVCMAIFRHLRFLFGGHPPDVGAAETITDLAKVVSKCVTLMDLNSLSACLAAVVCSSEQPPLRPLGSPAGDGASIILKSVLEGATHLLTDSKAASSFSMPNPALWQASFDAFFGLLTKYCLSKYDSIMQSILAQSQPDAEIIGAEAARAVSREMPVELLRASLPHTNDHQKKLLLNFAQRSMPVTGFSSHGGSSGHIDPESNMFCFSISPGEHRRLEQEYAYMLGVFLRPKGNMGFFILFLLCDGCYLTLPCIMSERNGVIGHSSKVICGVWQLLMHLALSLSAFTQRVKRILHPEVMLQAWRRRGFSSRRFSRRHLLYLKTF >Solyc04g007040.2.1 pep chromosome:SL3.0:4:754809:762335:-1 gene:Solyc04g007040.2 transcript:Solyc04g007040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FKNKLQVTEGVFGENVNLRYYLFEKCVELMIDNLRYYSTINHRFH >Solyc06g051540.3.1.1 pep chromosome:SL3.0:6:35132979:35135330:-1 gene:Solyc06g051540.3 transcript:Solyc06g051540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPSAAVATLVFTLVNLFIYVQALGGSATTLAVVYGSSATICGIIANQPIQTIQCWKDNQSIPTPISPNVSFDFIAGGFDGFTAVRSGGFSLLFWNTSFVPKRLYFSNSNVLTSVTMGGDKICGIMKSTKNVTCWRGDLSEPSNGSSQFLSISSGSEFSCGVLESSNRVVCWGNSAIASTIQSEFRNERMMNIYAGGRHACGMNATGFFICRGDNKNGQLDVPSHLSYEYFGFALGINHTCGIRRVNRTVVCWGGNGTFSSNITEGYFFESVSAGLDFTCGLTTSNFSVVCWGPGWTSKMVPQGFALPLPMILPGPCVQSNCSCGIYPQSQNLCFGNGNICRPCDFSILTPPTSLLPPPPSPPSSSPSKRLSRGLLAFAIVGSVGTLAGICSIIYFLWAGGCFGKKKVHNSVQPTITATSNGGQLSSSGPISRSSTLRRQGSRLMRRQRSGTSSKHADRAEEFLFTDLAAATNNFSLENKIGAGSFGVVYKGKLPDGREVAIKRGETGTRTKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEERDERLLVYEYMKNGALFDHLHDKNNVEKTSSIVNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILIDGNWIARVSDFGLSLMGDHSSRPMKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKSEENGGAPMSVVDYGVAGIMAGELNKILDKRVGTAEASEEVEAVELVAYTAMHCVHLEGKDRPTISDIVSNLERALAAFDDSHGSISSGPISFVSD >Solyc02g086910.3.1 pep chromosome:SL3.0:2:50133924:50139541:-1 gene:Solyc02g086910.3 transcript:Solyc02g086910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGFPYEVPEEYQNMPLLKGRATVDMKVKVKDNPNLEECVFRIVLDGYNAPVTAGNFIDLVERHFYDGMDIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTVPLEIMVVGEKAPFYGETLEDLGLYKAQTRLPFNAFGTMAMAREEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDFLADLKVGDVIESIQVVSGLDNLVNPSYKIAG >Solyc08g082355.1.1 pep chromosome:SL3.0:8:65305286:65311165:1 gene:Solyc08g082355.1 transcript:Solyc08g082355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLPTISSIQGEFSRGCCCLVTIIQTQKLEKHLNSIHEKRVFTTLRSQRSLVRSSAVRPAEGVSAMVSLGISDSWWILDILDRNDTEEERQVSFFDAVDDSSADSMNFGEEEDSSGVTSHKKVLKMINIQSLAMPSLSPAFFTSCESDLIVDSFWLHHEQRLALTRVFELIIFQRFGDRARPGNLLPGSELSSLTGSCHQILQHFSQSR >Solyc02g070240.3.1 pep chromosome:SL3.0:2:40557374:40572095:-1 gene:Solyc02g070240.3 transcript:Solyc02g070240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGEGGLNFDFEGGLDTGPTHPTASVPVIQAGPAPNASVAVVPPGGGVGLGGDGSFVGNRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMFKLGFCPNGPDCRYRHAKMPGPPPPVVEVLQKIQNLTSHGYSNRFFQNRNTNYSTQADKSQIPQVPNVMNQAVKSTATEPPIGQPHQPHQQQVQQPQHQGPPTQTQTLPGTQQNQAAIPLPQGPSRYFIVKSCNRENLELSVQQGVWATQRSNEAKLNEAFDSVENVILIFSINRTRHFQGLAKMTSRIGGAAKGGNWKHEHGTAHYGRNFSVKWLKLCELSFQKTRHLRNPYNENLPVKISRDCQELEISVGEQLASLLYVEPDSELMAISLAAESKREEERAKGVNPDNGNENPDIVPFEDNEEEEEEESEEEDEEDEGFGQALGPAALDRGRGRGIVWPPLVPFRGARPFPGMRGFPPGIMSDGFSYGSMTPDGFPMPDPYGMGGRPFGPFGPRFPGDMMFHSRPPAAGGFGMMMGPARPPFMGGMGPGAPGPPRGGRPMGMHPSFTPPPPPPSQNPRVKKDQRAPFNERNDRFSSGPDQGRGQETAGSVVGPDEGVHYPQTENSFRNDESESEDEAPRRSRHGDGKKKKNSMDGDATTGTEK >Solyc01g108060.2.1 pep chromosome:SL3.0:1:95327318:95328112:-1 gene:Solyc01g108060.2 transcript:Solyc01g108060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQKGAHFIELCTQRNIPLIFLQNITGFMKFPPDKIKKFRILTASATEKKT >Solyc03g111420.2.1 pep chromosome:SL3.0:3:63506646:63507705:-1 gene:Solyc03g111420.2 transcript:Solyc03g111420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNELTAKGESSSQENIHGNEKGVLRAENATIVLGWRSPRSLKNGAQMLLLKLHLRRKKKKQIAREVIINDLRRDKTAHDARVDPKYHASRKSEATSMTVPDPDFHNFDKDRMEKSFDENQVLVKWVKGAGGVIQIFSRKGHVWGLYMHWSPKWNVLTLNDMIHNYDMVEMLGNCTEKEGVTVFSLVKVAASGPFIFAHRSKSSKCSHWLLELDPAALP >Solyc02g086230.2.1.1 pep chromosome:SL3.0:2:49595242:49597448:-1 gene:Solyc02g086230.2 transcript:Solyc02g086230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIKDKLSTRLMNVCVASLCKAKQLEKAEIVIVDGIRIGLQPDVVTYNTLIAAYCRFVGIDAGYSILNRMKDAGINPDVITYNALIAGATRNSLLSKCLDLFDEMLEMRILPDIWSYNTLMNCFFKFGKPDEAYRVFQDILLKDISVHSATFSILINGLCMNGYTENALMLFRSLKRHGFTPQLVTYNILIHGLCKSGRGNVAREFLNELVESGHIPNAITYTTVMKCCFRYRQFEEGLKIFAEMRNKGYTYDAFAYCTVTSMLLKTGRITEANEYLGYIITSGFTLDIVSFNTIFNVYCKEGQLDNAYKLLYEAENGGLESDKFTHAIWIDGLCRTGNFQEAQQQLNRMSVTGFDSNLVAWNSFINGLCKTGQLDYATHIFESMDTKDSVTYSTIVRGLCQARRFRAASKLLLSCIRGGMRILKSDKRIVIDGLRSCGLTHEARKVQSKIQMAKLLHY >Solyc11g072230.1.1.1 pep chromosome:SL3.0:11:55745282:55746517:-1 gene:Solyc11g072230.1 transcript:Solyc11g072230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSRTALLSLFILSLLTGILPPTAVTATGSTATTTIGFTYSAAVDNSPPPEHVVTALQSLNIPAVRLLNPSPTLIRAFSYSNISLLLTVPNYLVGAFAANRSAATVWVYNNVLPFHPRARISLISVGSDVISSTGGPGITDPTTALVPAMQNLHHALIDLGIRTVSVSTTFSFINVITTAFPPSAAEFQEPVNSLVIRPVLEFLEETNSSLLMNVYPYNVYRLHGEIPISFALFQEGPFNFRDDVVTGVRYHNLFDMMVDAVIAAMAVSGYENVPLILTETGWPSNDEHMNAEESQMYAEKYLQGLISHLKSGLGTPLRKEGAAQAYIYQLFDDGPESNSKKNNLTSDGGETVHQYWGVMYHNMTMKYNIKFDNAHQISTMLGLLVPSIYSLWLLYHFFFCFVWSGLMID >Solyc12g044740.2.1 pep chromosome:SL3.0:12:60928922:60940748:1 gene:Solyc12g044740.2 transcript:Solyc12g044740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVSVKWQKEVYPAVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWSRVGVKEGQRLMMMGTADEIVKAPEKGPIFVEDMPEEEQVVNVGHSAGLFNLGNTCYMNSTVQCLHSVPELKSSLTEYNQLGKSNDLDHSSHLLTVATRDLFNDLDKNVKPVAPMQFWMVLRKKYPQFGQQSNGAFMQQDAEECWTQLLYTLSQSLKSPNSSEGQDIVKTLFGIDFDNRIHCAESGEESTETETVYSLKCHISQEVNHLHEGLKRGLKSELEKASPSLGRSAVYVKDSRINGLPRYLTIQFVRFFWKRESNQKAKILRKVDYPLSLDVYDLCSDNLRKKLEGPRQVLRDAEGKKAGLKTSAKTPVTTDNDTKMTDAEESSSGSGEASKSTSQEGVLPEKEHQLTGIYDLVAVLTHKGRSADSGHYVAWVKQENGKWVQFDDDNPIPQREEDITKLSGGGDWHMAYICMYKARVVPM >Solyc07g043683.1.1 pep chromosome:SL3.0:7:57781372:57784796:-1 gene:Solyc07g043683.1 transcript:Solyc07g043683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSQSGHGGYYNNNTTSSGTGRGISNFQNNGYRNKGYGDGRTGYSAGKSQLYCEFCHYKGHTKETCYKLHGYPKKKGGVSSYANNAASAGNESGMMLRKGKSKEVDTMANVATAGVSGTSEFKYNLLSVSQLTKQLKCALDLFNGRVLGIGKENKGLYILNTAATTKLSNDQGSSGECAKEAAYLQDSSYTESFESESDKTSIPGCKPSSTPLEFNHKLTSTVFDEVIGKNTNAEDLILDEFGKYQRLIGKLLYLTMTRPDIAFVVQVLSQYMHSPKSSHMEAALRVVRYIKGTTGLGLFMPSNKNNEMVAYCDSDWGACVETRRSVTGYMIKLGGALVFWKSKKQSTVSRSSLIELRHRIRSN >Solyc08g066800.3.1 pep chromosome:SL3.0:8:55720314:55726297:1 gene:Solyc08g066800.3 transcript:Solyc08g066800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQILLHGTLHVTIFEVDKLRTNFGREIFNKVVQGIEGAIGFNKTASTLYATIDLGKARVGRTRLLDEHKNPRWYESFHIYCAHMASDVVFTVKADNPIGAELIGRAYLPVEQLIVGEVVDEWLEILDTERKPVHGHSKIHVKLQYFDVTREYNWNRGIRVTRFPGVPYTFFSQRQGCKITLYQDSHVPDNFVPKIPLAGGNFYEPQRCWEDIFDAITNAKHLIYITGWSVYTEITLIRDMRRPKPGGDITLGELLKKKANEGVRVLMLVWDDRTSVPVLKEDGLMATHDQETAAYFENSEVHCVLCPRNPDDGRSIIQNIEIGTMFTHHQKIVVVDGELPNGDTERRRIVSYIGGIDLCDGRYDTQFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHCRIEGPAAWDVLFNFEQRWRKQGGKDLLMNLRDIESIIIPPSPAMYPDDHDTWNVQVFRSIDGGAAFGFPDAPEEAAKSGLISGKDNIIDRSIQDGYINAIRRANHFIYIENQYFLGSSFSWYSDDIKDEEINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGLPESASVQAILDWQRRTMKMMYTDIIQALKAKGIVANPKDYLSFFCLGNRETKKTGEYEPSESPEPDSDYQKAQEARLDDEYIIIGSANINQRSMDGARDSEIAMGAYQPFHLYAKEPARGQVHGFRMALWYEHLGMLDNSFLQPESVECIRKVNKIGDKYWDMYSSESLVHDLPGHLLTYPIGITENGEVTEIPGVECFPDTKAPILGTKSNFLPPILTT >Solyc03g114060.3.1 pep chromosome:SL3.0:3:65585290:65598400:1 gene:Solyc03g114060.3 transcript:Solyc03g114060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKGQRGSELCRRLIGGQHMPVTTTTTVAVGDSSVVSTITADSFCKDGRKVSVGDCALFKPSHDSPPFIGIIRRLKLSEDNNLQLGVNWLYRPAELKLCKGILPEAAPNEIFYSFHRDETPAASLLHPCKVAFLSKGAELPTGISSFICRRVYDISNECLWWLTDQDYIKELQEEVGQLLNKTRVEMHATVQPGGRSPKPMNGSMSTSQLKPGSDNVQSSVTSFPTHVKGKKRERGDQGPESIKRERSIKTEDIDSSQIKAESILKSEISKITDKGGLVNSEGVEKLVHLMQPDRNEKKMDLISRSMLASVVAATENFDCLTRFVQLRGLPVLDEWLQDVHKGRIGESSNTKDGDKSIEEFLFVLLRALDKLPVNLQALQMCHIGRSVNHLRQHKNTEIQRKARSLVDTWKKRVEAEMNIIDAKSGSNQAATWPSKSRLPEASHSISKNPGGSNDVTKSAVAQLSASRMASIKTSQGETTVKSASLSPGSTKPASSPASGKEGQHRVSVGGSCDVPSAREDKSSSSSQSHNHSQSISGKEDGRSSTAVSMNSIKISTGGSRHRKSNNGYPGSSISGSQKETPAGRSSHRNPTSEKLPQSAVSGEKIMDVPVLEGSGHKLKVKMSSRGRSPAQSASGGSYEDPTNMSSRASSPVLSEKSDQFDRTLKEKTDADRSNLEANAESWQSNDFKDILTGSDDGDGSPAAVTEEERSKIVDDSRRSAEVRAACTSGTEAKSGKLHEASYSPMNALIESCVKYSESNVPMLLGDAIGMNLLASVAAEEMSKSNMVSPSVSSHRNTPAAEEACTGDDAKSKSPPGDITAGDRKNDDGDGNGEELIIASASWSEDKLLSSMGAAIELPGDRKASVSPSQETMAGGCKQFNSPCFDSQTAGEKLEITEKSGEVEKYASSPRTVSEKAIDGEASKQFHEETVVSREVKVEGPLDAKLGGDGASVLGDKVASTVASLEDQKPSVEVCTSKFESENKNGMNRVLNIASAETKPSSVVVNSEKLEGSDKEERLANIEASVEDKARVGTDIVTRNQKGEASVERKNVVPVQNSGLLLNQKDRSGFSNAEVQKHGESRELNFSAGEADKKKDCGSTNAKISFVSTAAPESASKVKFDLNEGFFSDEGKYGDPINLTGPGCLSNVHIMNPLPFAVSSVSCSLPASITVAAAAKGPFVPPEELLRVKGEFGWKGSAATSAFRPAEPRKSLDMPLSSATISRAEASTGKHSRPQLDIDLNVPDERTFDDINGQDSALELISPLGHSASRASLKNDVIDSPAVRCSGGLDLDLNRLDEPGDAGQCSVSSSCRLDGAVFPSKASTVGLPTGDVRRDFDLNNGPSVDESNAEQSLFHDNYQGSMRSQLPASNLRLNNPEMGNLSSWFTPGSTYSTVTLPSILPDRVEQTPFPIVTPGAQRILGPAGSPFTPDVYRSSVLSSSPAVPFQSSPFQYPVFPFGTSFALPSASFSVGSTSFVDPSSGGRIYTPSVNSPLLGPVGSVSSQYPRPYVVGLPDSNSNGTMDHNRKWGRQGLDLNAGPGVVDMEGREESVSLTSRQLSVAGSQALAEEHGRMYAVSGGVLKRKEPEGGWDSESFRFKQSWH >Solyc05g007380.2.1 pep chromosome:SL3.0:5:1985661:1990379:1 gene:Solyc05g007380.2 transcript:Solyc05g007380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFHAFRNWIHQTVLFKFLLSWYHFIFTTNHDSILPNEIITNILLRLPTKSLLICMCVSKSWHQLISSPDFVNIHLKLNKHHTVLFPGYIENIRFCSLRPLFNKQHLTQELLYHMVPPCSPPFFVGSVNGLICLFNRRGDTYIWNPIIRKSKKLLKSSWGTSRYTKYGFAYDESRNEYKVLFIDHCGDSNYGVLSNLRVVVNIYSSRTDSWTTLHDPPKEILLLNYRGKFINGKIYWAASTGIHDNYVRNIIYFDVASDTWGSLELPVCGEEVFNIKLGVVDSELSVLYTCKAGTTSDVWILKDRSVNVSWMKQFTIEYPLNAVLFGFDSPVYTFSIYLNLSDNDDILLLMPGKIMIFDGSTKKLKHTTIVKECNTAEIYTAEIYGESMVNPLSL >Solyc05g051660.1.1.1 pep chromosome:SL3.0:5:62899479:62900447:1 gene:Solyc05g051660.1 transcript:Solyc05g051660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylsugar acylhydrolase 1 [Source:UniProtKB/TrEMBL;Acc:K4C1J7] MADSDNNNDIVTDFFPYYRLYKDGRVERFYELGGVHQVPPSLEDPATGVSSKDVSISAHVSARLYLPKNTAPNQKLPVLVYYHGGGLVLGSAFFKTEHCYLNHLVSESNCIAISVDYRLAPEHDIHTIYQDCWDALQWVASHSVSDTINKEPWIENHSNFNRLFVGGDSAGGNIVYNMIMRAGREKLIGDVKILGAILGFPYLMIPSIENYDKGMAYKLWNMICPLSERGNDSPMVNPVSKKCPSLSKLGCSRLFVCTGEKDELVPGEVAIKFAEAVKKSGWEGEIESIIVEGEGHCFHCENPQAEKSKDLIKRIVSFIQRD >Solyc03g026315.1.1 pep chromosome:SL3.0:3:3773052:3778655:1 gene:Solyc03g026315.1 transcript:Solyc03g026315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVGTLSLLFALSPQLAPILGLLILAVSILVALFKRSTVNVFQAHGLVQASIADSVTESFSAIRTVRSFSGEKRQMSVFARQVLEFESSSIKIGTFKSFHESVTRVAIYISLMALYCLGGSKVKAGELSVGIMASFIGYTFTLTFAVQGLVNTFGDLRAALAATERINSVLSDAEIDEALACSLEKDMKQKKVHDETLELYLVNDSHEKKQSTKTRYMSTLKFGSSVRNLAETGDVCLEDVDFSYPVRPDVEILRGLNLTLKCGTVTALVGPSGAGKSTVVQLLARFYEPTRGRITVAGEDLRTFDKSEWARVVSLVNQEPVLFSVSVGENIAYALPDEYVSKDDVVKAAKAANAHEFIISMPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEVIFDLLCFICVSIDFHHVCSAVHSVGGLSIFFFFVNSGLSL >Solyc02g069630.3.1 pep chromosome:SL3.0:2:40033045:40040991:1 gene:Solyc02g069630.3 transcript:Solyc02g069630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRMWVELKMEKDFTFRLLFVVLLLGVFVDCGFCLEDTDSDAVVYIVTLKQAPVSHLYGEEFRVKGHHHHNSKNHGSGNVSRLHKPSHNSHKHAHNASSTSRMHNSLLRKVLRGEKYLKLYSYHYLINGFAVLVTPQQAFKLANRREVANVALDFSVRTATTHTPQFLGLPLGAWAQEGGYETAGEGIVIGFIDTGIDPTHPSFSDNTPERHYPVPQHFSGICEVTRDFPSGSCNRKLVGARHFAASAITRGIFNTSQDYASPFDGDGHGTHTASVAAGNHGISVVVAGHHFGDASGMAPRAHIAVYKALYKSFGGFAADVVAAIDQAAQDGVDIINLSITPNRRPPGVATFFNPIDMALLSAVKAGIFVVQAAGNTGPSPKSVSSFSPWIFTVGASTHDRVYSNSIVLGNNITIAGVGLAPGTDSMYTLVMASHALNDTAASDMYVGECQDASSFNQTLVQGNLLVCSYSVRFVLGLSTIKQALETAKNLSAAGVVFCMDPFVIGFQINPTPMRLPGIIIPSANDSKILLQYYNSSLDQDEVTKKITRFGAVASISGGLKANFSLSAPNVMFYSARGPDPEDSFLDDADILKPNLVAPGNLIWAAWSSGGMDSVEFEGEDFAMMSGTSMAAPHVAGLAALIKQKFPNLSTAAIGSALSTTASLSDKYGGPILAQRSYANPDSNQSPATPFDMGSGFVNATAALDPGLIFDTGYSDYMSFLCGINGSAPMVRNYTGESCGASTMSGTDLNLPSITISKLNQTRTVQRTLINIAANETYVVGWSAPYGASMKVTPARFFIACGQQQVLSVDFNATMNNSSPSFGRIGLFGNQGHVINIPLSVIVKISYNTTNS >Solyc01g079230.3.1 pep chromosome:SL3.0:1:78181684:78182569:1 gene:Solyc01g079230.3 transcript:Solyc01g079230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGTRTRQKQQQQQEYLTEGSTVEVTSDEEGFEGVWFDGTILNYSLNKKKVLVEYRSILADDNGSKPLRELVHVSFVRPVPPLEIVECFGLHDVVDASYKDGWWTGVITKVLDDSRYQVTFNNPPDVLEFCVSDLRLHKQWVNGNWVLPGKQGSAISCLWVLWVQIIVSCMYISAE >Solyc08g077420.3.1 pep chromosome:SL3.0:8:61397417:61410813:1 gene:Solyc08g077420.3 transcript:Solyc08g077420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQGNSLYDTASQPDTGNDVYTFLEFNTQGEEFDYPEFHELSQPIRSSAWPTPSDSLVSEVPDRPQSSSDASQASGKSRGGDGGRSRSSSSSKISSNKAAAVAVDALAAGMSGLNFEETGDDENFEYGKGDFAVEHACKYCGVTNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKIEELWKTNPDANLEDLEKPGVDDEPQPVGLKYEDAYQYQNIFAPLIKLEADYDKMMKESQSKDNLTVRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVTHGLSVDFVWKSTSFDRMQTAMKTFAVDETSVSGYIYHHLLGHEVEMQMIRNALPRRFGAPGLPELNASQVFAVKSVLQKPVSLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSGDEKKYKALKRATEREIAQSADVICCTCVGAGDPRLANFRFRQVLIDESTQAAEPECLIPLVLGAKQIVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTINERQSLGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKLVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVPSDNYGSPASSNPNADRRSSRSRGSYMAPRPSNGTHRPGVYPSGYPMPRVPISPYHGGLPQPYAIPARGAIQGPVGAVPHVPQLGSRGFGAGRGNANAPIGSHLSHQQASQQPIGSHGPNFNFSALENPNSQPSGGPLSQPGYASNMAVQGPSQTFRDGFSMGGMSQDFLGDDFKSQGSHVSYHVADFSTQASQSGYAVDYVNQGAQAGFPGNYLNRNSQAGYSRFGSGNEFMSQDYMTYGSQGLFTQAGYSDPSQEDSSQNHFGMSNANPLHSQSLLNPLYSQPFGHYNTQPLNMQSSQPQQPQAPQVQGSQNQKLHYNG >Solyc12g006370.2.1 pep chromosome:SL3.0:12:882252:890992:-1 gene:Solyc12g006370.2 transcript:Solyc12g006370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNTQQSYDKTSELKAFDDTKAGVKGLIDSGITKVPQIFIHPEALENKTTNPKNTHFIFPLIDLQNISINNKEIVKQIQEASETWGFFQVINHGIPVPVLDEMLRGARRFHDQDIDVKKPYYSRDIARKVMYNCNFDLFSEKSLAANWRDSLYSVMAPNPATPEEIPETCREITIEYSNYVMNLGYTLLELFSEGLGLKPNHLKEMGCAEGLGILCNYYPKCPQPEIAIGTSRHADNDFFTVLLQDDIGGLQVLHKNQWVDVPPTHGALVVNIGDILQLISNDKYKSVVHRVLANTIGPRISIASFFSTGPFSTSRIYGPIEELLSKDNPPKRRNAASPSVIVIGGGFAGLAAARTLYDASFQVVVLESRDRIGGRVHTDYSFGFPVDLGASWLHGVGNENPLAPLIGKLGLPLYRTSGDNSVLYDHDLESYGLFDMEGNQVPQDLVSKTDLIRKENSEDMSIGCAISMVFERRPDLRLNGLGHKVLQWYLCRMEGWFAADADTISLKCWDQEELLPGGHGLMVRGYKPVINTLAKGLDIRLGHRVTEIVRRYNGVKVTVEDGSTFVADAAIIAVPLGVLKSNCIKFEPRLPEWKEAAIKELGVGIENKIILHFQDVFWPNVEFLGVVAESSYECSYFLNLHKATGHSVLVYMPAGQLARDIGELSDEAAANFAFTQLKRILPNATAPIQYLVSHWGTDINSLGSYSYDTVGKPHDLYEKLRIPVDNLFFAGEATSADYPGSVHGAYSTGLLAAEDCRMRVLERHGELDIFQPAMDEETLIPILISRM >Solyc12g010870.2.1 pep chromosome:SL3.0:12:3785483:3790487:1 gene:Solyc12g010870.2 transcript:Solyc12g010870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLNCYYLSVTSTATPKSQESASNSSPTQKLPTKVILPKKKPMKWSTGDAPGEYGGPPTTTKLRKYWGEDKDPLTSDDFIWNKEFMGRMKKYVQDPQEKDTVSRFSPAKEESSGFLSLNRVMSLDSMEIDLTKKLITPSKPTLDAEVEENKASVSASQKWRPAPTRREQEKWVRAAKAATGGSEVLLRETRRSQEDPNILAAQSEEQYLKLKDKLQLLTLRIGGVGIVSAYVSYSPEIAASYGAGLLGSLMYMRMLGNSVDSLRTDGPKALIKGAVGQPRLLVPVVLVMIYNRWNGILSVEYGLMHLELIPMLVGFFTYKIATFAQAIEEAVTIVGKKTET >Solyc03g119310.3.1 pep chromosome:SL3.0:3:69443092:69444865:-1 gene:Solyc03g119310.3 transcript:Solyc03g119310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNPKASIDPIRASKRIAKAKNVMNPIVAIEAINKRNPEVAGSEVITRKTTVVPEIADESTLTKKIKASNKTADTKRGKRPFASKVPETDSNYKTLYFDSQKKVEDLTELNHKQAIDLSYRSGQVDAYEYIIGNMKNVVAFSSTMRATDEEMNLSKGTAPDGPSLNLNHLE >Solyc10g081170.2.1 pep chromosome:SL3.0:10:62425637:62430627:-1 gene:Solyc10g081170.2 transcript:Solyc10g081170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Solyc07g014660.2.1 pep chromosome:SL3.0:7:5048819:5049306:-1 gene:Solyc07g014660.2 transcript:Solyc07g014660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSGKENDVEECLPAGVFEIPGEPAVVINGLPPNVDDTFLPCPVVTDTESHKNAVFGKLFEGRKVRKLFGDNYYNGKVIKFDEETGWFSVKYEDGDNEDLEWRELEEILQPLDINIPLEKVVKKFIKKN >Solyc01g080240.3.1 pep chromosome:SL3.0:1:79315066:79322725:1 gene:Solyc01g080240.3 transcript:Solyc01g080240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPDQRKKLMNYNINVQGSGDVDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHLGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPESIARIRNEKARRYLSSMRKKKPVPFSHKFPHADPLALRLLERMLAFDPKDRPNAEEALADPYFRNLAKVEREPSAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLEGQEPTGFMYPSAVDKFKKQFAYLEEHYGKGGAAAPPERQHSSSLPRYRACVLYSDNSVQNPLEVANDLSKCSIKEDEKPQADRSSMIPMTRLPLQVPQNVQGGAARPGRVVSSVLRYNNCGAAATAAEVIEQRRIARNPGGPTQYPISNTSYPRRHPSCKNERGEDSTEVSNGVQPKPEQYIARKVAAAPGGPGNQWY >Solyc07g053200.3.1 pep chromosome:SL3.0:7:61776632:61782795:-1 gene:Solyc07g053200.3 transcript:Solyc07g053200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQPLLKLTNSLLISPNFSLSAAHRRVAIAVDLSDESAFAVKWAVQNYLRPGDAVILLHVRPTSVLYGADWGSTSTSPAHKIERDYEIFTNNKATELAVPLVEANVPFKIHIVKDRDMKERLCLEVERLRLSAMIMGSRGFGENESRGIVSKGKLGSVSDYCVKNCICPVVVVRYPQQDEYGDGAVEKKAAIEAELPPVPEEEHEYHDADDELKGVNDLTL >Solyc08g080840.1.1 pep chromosome:SL3.0:8:64147426:64149371:1 gene:Solyc08g080840.1 transcript:Solyc08g080840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPEDHVTSDREFTTITNVSSQGYKRAIVQIPKNPHNKFGGAGMSSSLWNPLVEGQQHSACRLKVQKGSDILQVGWRVDPTLYGDNNTRLFVHFQAGNKHCFNVLCSGFILVSSEIPIDTVFKDVTQHGQSGSWEATMYIDRDEANGNWWFLFEKSYKKIGFWPQQIFTDLRGFAHNIEWGGVAYSPPGVPKPPMGSSIFIVGNTADDAYCRRLSVLNAEGAIIDVDETTIHVDDPHLYQVSDIQHFRPGKFQHYAFYGGPGETQKL >Solyc06g061120.3.1 pep chromosome:SL3.0:6:39246362:39252362:1 gene:Solyc06g061120.3 transcript:Solyc06g061120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSQPPYRPRPNFSSSSSRSYHPSQPSRNFQSHHTKNFNSSTPNGTPIQNNASDNKTSSSNWDKVIDEDIDDIQSLVGTCPFMCPVEEREKRERLRDLAVFERLHGNPRQSSPSLAVKKFCRTISVKTLQDSDVRPLSVLEDTLNYLCNLLDSTEHPFEVVHDFIFDRMRSIRQDLSMQNISCSRVVSMYERMVKFHIISQHKLRRCSGSNISSLSYLNMEQLTKALATLFNLYEANRTTAPIFKNEADFFSFYVLLHLDSKTQGTGETLSLWFRRVPSHIMKSTEMNFARKILRYFKLGFFKKFIHTTESEASYLQYCIIEPSINEVSSCIIAKIAPVVRSLAISCVNHGGYKPQPFPLVALSKLLMMKEWDVASFCKDSGLQTSVDEEGNSCLPTKQTTLIHPKGGLHKYYPLESERFERFSVEL >Solyc11g027770.1.1.1 pep chromosome:SL3.0:11:18865728:18866108:1 gene:Solyc11g027770.1 transcript:Solyc11g027770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGEGPRRNRSLYVPNPARGDALSPWEQLGTGIHVPIPQSKSFSRSYGSTLQTSLSYIVPSTRGCSPWRPNAVRSTTRRGRHSVLQIFKGHPEHTVYHATCGALPAAGPYIWLSRFHGGQAVKHKI >Solyc06g072785.1.1 pep chromosome:SL3.0:6:45023488:45028824:1 gene:Solyc06g072785.1 transcript:Solyc06g072785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESAKGLILHVYSLADMKFRSLSRRNIRQMSWRILANIAAPYHCQILHGKTKSSISNQTTYPANEALDMRSSLLFQQINEVKKCPMTIRTVEKKLYLPPFGRVEVMTSMLNSAAETFDDDESIKVARIKADNIISHLASNQPNHSQKSKLLKNQLAQNLAINDSESAGRLLYLIGSGKLDN >Solyc02g090980.1.1.1 pep chromosome:SL3.0:2:53070222:53071289:1 gene:Solyc02g090980.1 transcript:Solyc02g090980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGEAIGHGSFGKVSFAVPRKQSSQVMVVKSSLASHSATLMNEKLILEEIKGCPQLINCLGDSYTFENGEKLYNVLLEYAPGGALSEKLKNSGDRKLPEIEIRKYTKALLKGLHYIHKCGYVHCDIKPQNILFGENGQVKIADFGLAKSIKDDKLRCELRGTPLYMSPEIVTAGEQDTPADIWALGCVISEMATGVPVWGYSELAQLLMNIGVGNELPEFPTMLSEEGKDFLEKCLVKDSKKRWTAEMLLLHPFVADEDDTVLLNDERCNSGSPSTSPRCPFDFPDWVSDHSSESSVTCPITSLPSPAIQELRNLNGGSWSTTPAERLRALLSGAIRPESDWSAADGWVSVR >Solyc06g068410.3.1 pep chromosome:SL3.0:6:42494424:42496803:-1 gene:Solyc06g068410.3 transcript:Solyc06g068410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLPKSDSIHIREVWSDNLEEEFDLIREVVDDYPFIAMDTEFPGVVLRPVGNFKNSNDYHYQTLKDNVDLLKLIQLGLTFSDEDGNLPKCGTDKYCIWQFNFCDFNPNEDVYANDSIELLRQSGIDFKKNIENGIDAKRFGEILMSSGIVLNDNVYWVTFHSGYDFGYLLKILTCQDLPETQEGFFNLINMYFPVLYDVKHLMKFCNSLHGGLNKLAELLEVERVGVCHQAGRTGLVQCCCDIQFVGVENK >Solyc08g075235.1.1 pep chromosome:SL3.0:8:59485390:59488572:1 gene:Solyc08g075235.1 transcript:Solyc08g075235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTALFTNRSGGNNFNGQNNRSGNVKPNKQRSGYLYCDHCDMKGHNRADCNKLKYCVHCHKHGHLKEFCYQLIGYPTNYKGKRQANVMTTDYNPQFNNPSSSTDGNNADQMQQFKPYSHQMSQQYRSSSDSGAALSQHFTPNQYQQVLQMMNKSLIHEGNTVATNSSTNATGIFAGNSQFTPSTSSFDWIVDSGATDHMVRTKELLTRGSTVKSSGNVQLPNGDSTKVTHSGCSQLQGGKCFDDIQDNVSSIESSDLSVGFDDLEDNHQQDQPTINDPIDNVDNASANDLEDQHQQDQPIVDDSFLSSNRRQSTRTSRPPLWQKDFVTTFKSKSRSNYLELGGSKPIATPVEMNGKLTTAVFDKHVGVTSDPVLSDIGEYQRLVGRLIYLTITRPDLSYAVQN >Solyc08g080530.1.1.1 pep chromosome:SL3.0:8:63936166:63936714:-1 gene:Solyc08g080530.1 transcript:Solyc08g080530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITIISAQGLKNTTTWPWRFRPFATLSTVSATSTNELHITSVDETIRNNNIDNNYNAMWGDKFHLSIDASLFLHGHLCIYIRIYSKNFLKGRSELGWCMISAADVFNGLLPAGFLRQFSYRLRNKDGSRGNGVVNVAVKLGTSFVLGMHPQRSPLVTYLPKVSEYSPVLGIPLMASSTNML >Solyc02g083765.1.1 pep chromosome:SL3.0:2:47604583:47605124:-1 gene:Solyc02g083765.1 transcript:Solyc02g083765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQCVQRGVGELVEAALTPRNLCMMDPTAASLCSSDASLSELATPSSASARHLVMQPQQHCTLNEWVSAFPLAMASWIDFYLHVLRRSAPQVLICD >Solyc05g018395.1.1 pep chromosome:SL3.0:5:20713205:20715382:1 gene:Solyc05g018395.1 transcript:Solyc05g018395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRGDVILLSSPPLFRVSIVALLHSLSASTSSCSSSLLQRCRSSGQQIRLASHQEPTTPNSSSRLLKKVHFPAAHAGYRPDYFYYGCVSFDVRNFTLVAMNLHAARITSINVHVKDYVCVVTQQKAPVNVYIWCKLFALFYGREKTTELSSSILSSYRDIKEEKSIRI >Solyc07g016010.2.1 pep chromosome:SL3.0:7:6114199:6122308:1 gene:Solyc07g016010.2 transcript:Solyc07g016010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYARGLNTECEVMRNVRHRNLVPVITTCSSDCIRAFALKYMSNGSLENWLYREESHFNLLQRVTIMLDVAVEVEYLHHGHNTPIVHCDLKPANVLLDEDMVTHVGDFGISKILVVSKSMAHIKSLGTLGYIAPEYGLERIVSASGDIYSYGIMLMEVLTKRRPMDEEICNENLDMRKWITQSFSGNMMDVRVVT >Solyc03g043630.1.1.1 pep chromosome:SL3.0:3:7123919:7124086:-1 gene:Solyc03g043630.1 transcript:Solyc03g043630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGFFEKVRADSETWDPGLVMNEGKLFELSPPAAYVVVGLIKLAKPRFPLPFTY >Solyc02g090710.3.1 pep chromosome:SL3.0:2:52866336:52873969:1 gene:Solyc02g090710.3 transcript:Solyc02g090710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKVVHRSEPPNQERVSIPYPDMPKKEVFDEEQENQQLGTQEPEPEPDFAIPKMGDDNLSLVDASIPINSITPSTQTPISSLLGKRRRKPKEMVDQISTIPFRKKRVFKKQSQSSNGVAVAGCRSISTKLERATADGSGSATQMKSPIIIRAEEFLLSIGNEYPSFMKLLVRSHVGSCFWMGLPVPFCKNHLPRKDTPVILESETGEEFEIKYIAEKTGLSAGWRKYAAAHKLVEGDVLVFQLVEPTRFKVYVIRANDLKEVDGALSLLNLDAPAKQSDAEGAIGNNIKKRQKKSLPLTVVQKRRRKEDLSKQLVPLEAQSGNDSDEVASEILEGSRSSGLAVNFRDIKSLEEFQILVNGVCIDSELPEHIRRKYYELCCSKNAFLHDRLLQGLHCKLVAGMIFEVVNIADMVRACKLSTPRKEFDKWEKSLKSFELLGMNVGFLRTRLRWLLSLAFDSEGASDTKRYWDAKKEWSRAEDEIRNLEMKLEELKQASGKYVADVEALKSKAESYELMFQGEVNAPW >Solyc03g007420.3.1 pep chromosome:SL3.0:3:1963245:1968219:1 gene:Solyc03g007420.3 transcript:Solyc03g007420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNESLRVDMGVIVGVSGNTKNCDNCVNLVEKIKAIECNCSDLRQGIEQERNELEGKFEVLKRRNQELEEQIRRIESNGSNEEEERVLQWMIENSVLECEKKKAESDVEYWKSNCNELQLTVAELGKKLDANAGDTVSTRVHGLQDECDDLTQKIHLQVVDEMQNKDGELGSLLSQDSGKSLAKTPILQAKFVRENKALLSESGNNCVNKVRKRLKFEEERGSNKRMAPSTPASESRAKVVVIDITESDDERTTGPPYTSIMGNDFEFSVPLGTPPVPGNVCTVPFCGSGSNLSNSELPSKNDSKMTVIEQVEDSDMVCHDEEPLYVPTPKRRRASNIIASDSDTDDDDDKVPICMLKTRHFCEKSSNDHPRGHSTQTGDSDDEVRNLSSKRRLVKLSQCEGKGGGGNDIEEEVSNSEGESLGGFIVSSSDISDDDDTSNSVGALQSNSAVAEDSITDSEYVSESDSDYGEIISRIRRNKGDKLEWEFEGDMLAAFGKDPELCMKAVCVLYRQQTSEEQCCKGTIDHNQRGFSHCDAFRGSTLAEFLTDGDPKGDMTKSVKELQAYDPKGIELCRTLATRYSKQLFSIYKNEEDPFFSAP >Solyc09g075900.3.1 pep chromosome:SL3.0:9:67962504:67966251:-1 gene:Solyc09g075900.3 transcript:Solyc09g075900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEISAALSNVLNNENGHVVGGPRKSCWYEEEIDNDLRWCFALNSILHTGATQYQDIQLLDTKPFGKALVIDGKLQSAEIDEFIYHECLVHPPLLHHSNPRSIFIMGGGEGSTARELLRHKTVDKVVMCDIDEEVVEFCKSYLEVNKEAFSDPRLDLIINDARAELERREEHYDLIVGDLADPIEGGPCYQLYTKSFYEFIVKPRLNQGGIFVTQAGPAGIFSHTEVFSCIFNTLKQVFKYVVPYSAHIPSYADTWGWVMASDTPFVVSVDELDQRIKQRINGENRYLDGKTFTSASTLSKAVRNSLNNETHVYSEGNARFIYGHGRHNQA >Solyc12g013990.2.1 pep chromosome:SL3.0:12:4818691:4835701:1 gene:Solyc12g013990.2 transcript:Solyc12g013990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEALKQNRLLKEQEENSSYHHASTNRDGASDLKKMEQISSQRSMSLPSSPHELRRQVPERSGPDRMNDELVSTWNRILESHMYLNKPLLPYEEWNIDFSELTVGTRVGIGFFGEVFRGKWNGTEVAIKVFLEQDLTAENMEDFCNEISILRFILFLGACANPPHLSMVTEYMEMGSLYHLIHVSGQKNRLSWKKKLNMLRDICRGLMCLHRMKIVHRDLKSANCLVNKRWTVKICDFGLSRIMTDASIRDSTSAGTPEWMAPELIRNEPFTEKCDIFSFGVIMWELCTLNRPWEGIPPDRVVYAVANEGSRLEIPEGPLGKLIAAFKLLYQARRHCSYRSPSHFSPTFRSHLHRFLSSSSSSENPNSNNPSSIQPVSYTPKNPPESSSRPESNNPDGVKADGGLWTREDLRYLKDTPKIAPISYPTRVAPLPEDLPEEEKGKMEGMGDEMERERRRIEAQKRAAMRRVMNAEEEMISFPTLINVKSDEKKKKAVYDLKEAIRLVKANAKKKFDETLEAHVVLTPDMRRSDLKLEGTVAVPHGFGKVYRIAVFAEGAAADEAREAGADVVGGLELIENIKSGNVKIDFDKCFSTHAMMPNLRQIAKYLRQLMPDTKKGTVTKDITKAVKEAKRGVPFKKDKTAIVHVGIGKVSFQEEALCENIGAFVHELLRQKPAGLKKSSKYAGYVNTVHLCSTMGPSFPVTIQSLSIAADRHARKYVQ >Solyc01g011490.1.1 pep chromosome:SL3.0:1:9341651:9342539:1 gene:Solyc01g011490.1 transcript:Solyc01g011490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLLAFSEIRINHSLCDDTYLISLVSSTRQTSDTDKVPTIHGNKKRVIHSSVNNMATIMFPEDTTTPIKGRPCSVFNPGRIVYRTVSLDDMKLVKNASITDVALGVTHAGLSIHPNRRYGEGEKARGVTEKNNNLPKNIIHR >Solyc06g034340.2.1 pep chromosome:SL3.0:6:24176082:24179823:-1 gene:Solyc06g034340.2 transcript:Solyc06g034340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSHVPPGFRFHPTDEELVDYYLRKKITSRRIDLDVIKDIDLYKIEPWDLQELCRMGTEEQSDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKHDLIGMRKTLVYYKGRAPNGQKSDFIMHEYRLETDPNGAPQEEGWVICRVFKKKIAAGMRMDTSEHGSPIWYDDQLSFMQDMDSPKPIHPQMNYINHYPNNYPNCKKEFDNLQYQTPPQNHQFLHQLPLLETNKLVAAPPGCSSIPIFGNIRPALVTQDPHVHPTFENQQVADQVTDWRVLHKLVASQLSQEDENNNYSSPNTFQAKRDDEVNLNKQEMAPENTSTASSTSQIDLWK >Solyc01g011230.3.1 pep chromosome:SL3.0:1:8098017:8099777:-1 gene:Solyc01g011230.3 transcript:Solyc01g011230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAYILCLLLLFIIWCSPSFAIFSAQESKLSGASSVLHLEKLILMNRTTTSDELGARDRARHARILRSSTTAGAVDFYLTGSFDPKLVGMTIQK >Solyc04g081000.3.1 pep chromosome:SL3.0:4:65145868:65149582:1 gene:Solyc04g081000.3 transcript:Solyc04g081000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Floral homeotic protein DEFICIENS [Source:UniProtKB/TrEMBL;Acc:Q2UVA8] MARGKIQIKKIENQTNRQVTYSKRRNGLFKKANELTVLCDAKVSIVMISSTGKLHEFISPSITTKQLFDLYQKTIGVDIWTTHYEKMQEQLRKLKDVNRNLRKEIRQRMGESLNDLNYEQLEELMENVDNSLKLIRERKFKVIGNQIETYRKKVRNVEEINRNLLLEFDARQEDPYGGLVEHDGDYNSVLGFPTGGPRILDLRLQPNNNYHNHLHSGGGSDITTFALG >Solyc03g046290.1.1 pep chromosome:SL3.0:3:12220257:12221304:1 gene:Solyc03g046290.1 transcript:Solyc03g046290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAAIGKIIESFKYMKNSEVRLEKFSECLSNLRLPCSKKLRQEVPIQWNSTYQMIESALLYQLTYIYYDLVDPSFRYGLFKDEWKKVEIVATFLGHSMISPLYFADASDNKLRYTELLSMARDCLSVPITTIAFESAFSAEVVPLESFKVLFFVQMLRRNYVLGIVFVDKKINLSSLVSFV >Solyc11g006530.2.1 pep chromosome:SL3.0:11:1186479:1189875:-1 gene:Solyc11g006530.2 transcript:Solyc11g006530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAALSSLIYTLNQLLKPNQSLICRCCTQQHLESLCQNLSALQDFLDNTMTKDIGTLKVIEKRIRNVVYKAEDRVDLSLRSIILADHEDKRQKACRSFYEELLKVEEQVYFLKKEVMMVEFNKHGSKSAELATNPSTLEKSKFEENIVGMEDDFNTILDSLTTQTDELIVIPILGMGGIGKTTLARKVFGDSYIRSRFDKHAWVTISKEYNQRQMLLEVVSSVTGSKQEMSDDQLMEIAYKGLKGRRFLIVIDDIWSTKAWDQIQRIFPNDDNKSRILLTTRLKNIADYVSCPDFPPHSKSFLSLDDSWNLFTEKIFKKDPCPPLLVKIGKHIVQQCQGLPLSVIVVAGLLGKIDPTHNNWKIVEGNLNSFFGTVSERCQSILSLSYNYLPQYLRACFLYVGGFSEDMEIDVSKLIRLWIAEQFVKARRSNKRLEVVAEEYLQELIDRSLVLVGKQRANGRMKTCKIHDLLRQLCIREAKTENGLNVMNGDVTRAIYYNMQQSSRITRTFIPTSSFGLVFPKRIFSIVSELKLLKVLDVLSVECDFSCVIPHLVHLRYVAARIEKALSLDKLRNLQTIILQSLEETELKHPLDIWRMSEIRHVDIGLPLYISNPLDSENHSIGGHPLFLNNLHTLYLHYSPFVPEIITRSPNLKELKISDRSEHPVFDSLSLLYDLETLHLATFDPMIFSGDIFPPNLKKLRLSYTRLPWEVVNLLANLPNLEVLKGNDAFKGTNWRLNEDVVFRKLKHLKIVAGDLQRWEVAGSDNFPMLEQLILHQLRDLEEIPVSIGEIMTLKFIQINCCGSGVDTSATKIQEEQQSLGNYELQLRITPMPDLSRATVPANSDRNIV >Solyc02g072470.3.1 pep chromosome:SL3.0:2:42231430:42250196:1 gene:Solyc02g072470.3 transcript:Solyc02g072470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLMEKSLFYSQLAFLLLQCIVTSLAIKTETNITTDQSALLSLKSHIISDPFQLLSKSWSQDTSVCNWIGVTCGSRHNRVTSLNISNMGITGTIPQLFGNLTFLVSLDLDSNNFFGNLPQEMVRLRRLKLMKLSYNNFSGEVPSWFGFLAQLEVLTLKNNSFTGLIPSSLSNISNLEALDLAFNTLEGNIPKDIGNLKNLRGLNLGHNNLTGTVPPSFSNATKLEKLILSYNFLHGNIPNEMGDLQNLNWLIIENNQLTGSIPFSIFNISTLESIGFSQNGLSGDLPDDLCDHLPILKGLYLSFNKLQGHMPQSLSRCYELQLLSLSNNDFDGPIPSEIGMLSNLQTLYLGFNRFTGEIPQEIGDLVNLVMIGMERNQLTGSIPKSIFNISSLQLLSLQNNNFTGSLSREIGNLTMLQGLYLGQNMLTGEIPKEVSNLIELVDIDLGSNRFSGSFPMGIFNISGLRLIDLTDNTLSGTLPSSIGSMLPNIELLYLGGLTNLAGSMPHSLSNCSRLTALDLSLNKLSGSIPNSLGDLTLLQTLNLMENNLSSDQSSQELNFLTSLTNCRNLKQLSLSFNPLNGMLPPSVGNLSTSLEKILASDCQIKGDIPNDIGNLSSLIYLFLYGNRLTGPIPGTLGSLGRLQEFSLANNRLKGSIGDSLCKMQNLGNIYLGENQFSGLVPNCLGNVTSLRGIKLNSNRLSSNIPLSLGNLKDLLELDLSSNNMSGSLPAEIGNLRVAIRIDLSHNQFSNGIPREIGDMQNLIYLSLAQNKLQGSIPDSIGSIPSLEFLDLSNNNLSGSIPMSLEKLRYLNYFNVSFNSLQGEIPFSGPFKNLSSLSFMFNEALCGAPRFHVPSCPTSSNHRSKRKKLLLIVFPLLGAAVTIVFVTLAFVWMRYRKEGNVPVQADLLATRERISYYEIIQATNDFSESNFIGSGSFGSVYKGILINGTIIAVKVFNLQVEGAFKSFETECEVLRNLRHRNLTKVISSCSNLDFKALVLEYMPNGSLEKWLYSHNYFLDILQRLSIMIDVACALEYLHHGCSAPVIHCDLKPSNVLLDENMVAHLSDFGISKLLSEDESDLHTKTLATFGYIAPEYGREGLLSLKCDVYSYGIMLMETFTRRRPNDEIFDEDLSLKKWEESKYKTIMPVNKNQNTDQRCLLVFYCSIPQVSIWHHLLILSATAEVNLKTDEASLLALKSYVTSDTYNILSSNWTSTTSVCNWIGVTCGSRHQRVITLDISDMGLVGTIPPHLGNLSFLVSLDISSNSFQGILPRELANLHRLEFINVTSNKFTGDIPSWFSLLPELQHLHLAFNSFTGIIPPDICNASKLESLVLGFNQLQGEIPNEIGNLQNLTWLSLGSNQLTGSVPLSLYNISSLQRLVLTKNRLSGNLPVDICSSLPQLLVLAVSDNEFDGQIPLGIDKCSKLQILSLSFNKFSGLIPKQIGNLNMLSILYLGRNDLKGEIPEEIGNLRNLEILDAQNCSLSGPLPSSISNLTSLQSLNLYGNNLSGTLSRDICLNMPYLRAFDLGNNLFSGSIPKEFGNCSSLSDLFLRENNLTGELPREIGNLFNLGRLDLHYNFLTGPIPSTIFNMSNIRGISFLGNFFTGTLPSDIGLGLPNLEELYLGYNNLTGAIPNSLSNASNIFWLGIGYNDFSGPFPKSFGNLRRLEYLNVNRNHFTREPSSPRLTFFDSLTNCRHLRQLWIGYNPLNGYLPPSVGNLSSSLDYIYAANSEIRGYIPSEIGNLSGLSFLFLQGNHLSGFIPRTIGNSKNFQALNLYDNKMISGPIPEELCNLKKLGFLSLGNNELCCSIPACLGNITSLRYIYLGSNKLTFSIPPSLWNLNDLLHLDVSSNSLKSSLPPEIGNLKVATLLNISKNQISGSIPSTIGGMQNMAELSFAENRLEGPIPESMGNMVALESLDLSNNKLSGGIPKTLVALSHLNYLNVSNNRLSGEIPIGGPFLNFSYDSFLSNEALCGPARLQIPACRSNSPSRKRKKKVLLILLILLVASSVIMLTVMLTIFLVIRSRKKETTVATHQADASPATVHGRVSYHDLQQATDRFSTSNLLGSGSYGSVYKATFGSTIVAVKVFNLQTEGAFKSFDTECEVLRNLRHRNLTKVINSCSSVDFKALVLEYMPKGSLNNWLHSGTCSLDIMQRVDIMIDVGSALDYLHQGYFVPVVHCDLKPSNVLLDEDMVAHVSDFGLAKLLGVGESIVQTKTLATIGYIAPEFGLEGLVSTRCDIYSYGIMLMETFTRKKPTDEMFAENSSLREWIRQSWPLAMDKIIDPELIIPEEKNKTGKMQCSSSIMELALRCTADLPEERMNIKHVLAQLKNIRTMLKMLNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSDLEMGKQGSPRGGRVENQDLDISFGVKENEFPSLDMQYRGDPPIGRRLSGGDYNWDKVVLTKGMKNDSAKFAPCKGVFVGKRQMWRLHRHIRSIVFTLLLMGFLYLLDSLLFSIFDPAMLQNNFSLQGSSKAEVEMVPRAAKEGPVKLYERLLNMAASSLAEREFKQESSKFWEEPYPQASSWKPCADKTSPHGKPRNITGYILISANGGLNQQRVAVCNAVAVASLLNATLVIPKFLYSNVWRDPSQFGDIYQEDYFMDMLKDEVNIIKELPPNLKLLDVEAAGSLITDAELSKEATPDEYIKKILPLLLRNRVVHFLGYGNRLGFDPLSSELQKLRCKCNFHALKFVPEIQQIGSLLVRRIRRYDFARSTLDKQLLGNLIPHVPLRHYHAAEGPSKYLALHLRFEIDMVAYSMCEFGGGETEKSELQTYREVHFPLLLERLKKSKPLSPQELRKMGKCPLTPEEAGLVLAGLGFKHGTYIYLAGSDIYGGQSRMQALTTLYPNLVTKEDLLTPSELAPFRNFSSQLAALDFIACATSDVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPSKKRLSAILSRNKTIGWHSFEGKIRKMIDEGQRVQGKKCRKIGS >Solyc06g076680.3.1 pep chromosome:SL3.0:6:47758395:47759716:-1 gene:Solyc06g076680.3 transcript:Solyc06g076680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSKAFLELTQEESDRVSSLDFLPIPTGAEFSLYECYALRGIRVDRLESDRVFCTFKVPPRLTNREGKLAAGAIANLIDAVGAGCVNIGGHPVNVSVDMSISFHSSAKIDDELEIIGQVLGKKGGYSGTSVLVKNKATGELIAEGRHSLFGKYASKM >Solyc04g025660.1.1.1 pep chromosome:SL3.0:4:21286111:21286425:1 gene:Solyc04g025660.1 transcript:Solyc04g025660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEESQLMREKPEARKGLLQQAKENAVKASQARNLFRKVMNNGMRRPMHSILSLLFILQDENTSSNQKIIIDTMVRTNTIPFDLIDEAIDILDKDEGRFSDFQ >Solyc09g015490.3.1 pep chromosome:SL3.0:9:9222792:9227504:-1 gene:Solyc09g015490.3 transcript:Solyc09g015490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYKGSLRSTEQGEVVQATFRLPQIAVRQLEIYTTAVLLATLRPPQPPREQKCRNLMMTYQI >Solyc05g013970.3.1 pep chromosome:SL3.0:5:7471160:7474378:1 gene:Solyc05g013970.3 transcript:Solyc05g013970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTADEQLDYGDEEYRGSHKMQYHVSGTIHALAEDEMLGEDDEYDYLYNDVNIGEGSEEAKIPGIATKENYAGTEVQFPQQNGGSLVERETERPADAAQKSRPSALTMNLNSQVGNSGYQGSMPMPQKIGADPMAMPEINVSEATPLVNSVVAGPRVVQHMPSLENANTMLFVGELHWWTTDAELESVLTQYGNVKEIKFFDERASGKSKGYCQVEFFDPGSTATCKEGINGYNFNGRPCVVAFATAQTIKQMDSSYANKTQNQVQSQPQGRGPMNEGVGRGGPNYTLGDAGRNLGRGSWGRRETGMPNRGPGGGPVRGKRSRGAGYGGFSGPAFSGMMPPFLAVNPMGHPGVAPHVNPAFFGRGMAANGMGIMGTAGMDGPHPGMWTDTSGGGWGGEEHGRRTRESSYGGEDNASEYGYRGVSHDKEARSSVVSREKERGSERDWSGNSDRRYRDEREHDRDRHDKEHRYREEMDDYRDYRQKERESEYEEEYDRGQSSSRSRSKSQAAREENHRSRSRDTNYGKRRRGPSE >Solyc07g065950.3.1 pep chromosome:SL3.0:7:67656674:67666715:1 gene:Solyc07g065950.3 transcript:Solyc07g065950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPGNPNQPGGTGSGPFDIHKFFKPSTPTNPNPQNPIISSHYPSPNASYPPPTPGAGAGVGGVYPYQTQTTTPFRHHPQFTHNLPQYSTPHDTQLMHQQRSMSFPTPPLQPPPPTSSPHQFPNPNPGATLMALLSPQPSTSEVQIQSTMPMPPIQPTSSGSELSDFSSGPNVGVAHSGPGPMRMPSSKLPKGRHLNGDHIVYDIDVRFPSEVQPQLEVTPITKYGSDPGLVLGRQIAVNKTYICYGLKLGAIRVLNINTALRSLLKGLAQRVTDMAFFAEDVHLLASASVDGRVYIWKITEGPDEEEKPQITGRIVIAIHIVGEGESVHPRVCWHCHKQEILVVGIGKRILKIDTIKVGKGAVFSADEPLRCPVDKLVDGVQLIGTHDGEVTDLSMCQWMTTRLVSASVDGTIKIWDDRNPLPIAVLRPHDGHPVSSATFLASPHHPDHVVLITGGPLNREIRIWALAGGEGILLQSDDESWRCTQTLELKSSAEANVEEAFFNQVVALSQAGLLLLANAKKNAIYAVHLEYGPNPKATRMDYIAGFTVTMPILSFTGTSGLLPHGEQIVQVYCVQTQAIQQYALDLSQCLPPPTESVVFERTESGVSRDSANIEGFAPVDPPGSKQQEFPLSSSAPKSAVHDIGSEISQTARYPTSAAPTESTTSQEFASSIPETKSSILPSVTSDNDIASSASPPPLSPKLSRNLSGFRGPSNSFGADTFDNDQVGNQKVVDYPVDPQKDGTPPILSDIASLDDEHKTSGDDVPSGISHLVKFKHPTHLVTPSEILMARSSSEVSIVNEQKSESEMNVLDAVTNNDTRTVEMEVKVGGEAKFSQKTDMGSQDLHSFVSENKEKVFCSQVSDLGLEMARECRTLSPETYTVEESRQFDGVSGSEGPSQPSVTPEEDHDSAKDISEKDLDSTMSVTVHQPPAPSVKGKKQKGKNSQVSGPSSASPSAFNSTDSPNEAVVSSSTPSMESAFSQILSMREMLNQVLTMQKETQKQMEVMVAVPVTKEGRRLEAALGRSMEKSVKANSDALWARLQEESAKQEKSLRDRTQQITNLISNCLNKDMPGLMEKLMKKELAAVGQAVARSITPAIEKTISSAILEAFQKGVGDKAVNQLEKAVNSKLEATVARQIQAQFQTSGKQALQETLKSTLEVSVIPAFEMSCKAMFEQVNSTFQKGIADHTVAAQQQFESVHSPLAIALRDAINSASAMTQTLSGELADSQRQLLALAVSGANSQSANPLNHMNNGSLLHEKIETPPDPTKEISRQLGEHKYEEAFTAALQMSDVSIVSWLCSQVDLAGILSLNPLPLSQGVLLSLLQQLSCGISSETVQKLSWMRDVLSAINPNDPLIVVHVRPIFEQVYQMLVQRRNAATTPPAELSIIRLLVHVINSMMMAVK >Solyc06g053675.1.1 pep chromosome:SL3.0:6:36629884:36637303:-1 gene:Solyc06g053675.1 transcript:Solyc06g053675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQSPQMAERQPKTGSNADNFYLVAAERVNKLLLMSKSSTAFVLHKEDGDLVIVYERHDTMKAVKHSEWIGKTFGSKVLSNKGAFVYLLGPTPEVWTLVPSYRTQILYIADISFVVMYLEIVPGCVVLESGTGSGSLTSSLARAVSPIGHVYTFDFHEQIAGSARTHLLAQLHMTSKRYTNRLSILHIEALQYAFN >Solyc02g077380.2.1 pep chromosome:SL3.0:2:42893584:42897268:-1 gene:Solyc02g077380.2 transcript:Solyc02g077380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINATIAIMLFSQEILYKNILLLCCFVDGPMPNNNDDNNNNNSYSNSGEDELQEVGSNRPACASCKHQRKKCMSGDCVMWRHFPASKMDEFLGVHKVFGISNVTKKIKSFDDVAQQDESIKSFLWEAKLWQEDPVHGPLGEYKKLEKQLMKEQRNKQLQIVQFPRVPQVPITTTSESTTSYVPHLAIDQHHRENGANHSQQDYNMLNSSVPNYANHFQWHGPQGVETQGITSNGSNLMNIGFGNDMFGYGNLPYRPIEQIRNGYIPMVHRQATYNNGGHVQLHEQRFPYNNVDLGLERRRKDQTDQFVHPNFNGRHVRGRGIGSIGPMIRHSPIISNCSSGSSVDSIIVNATGTMANHSVNGRHVEDGRNQRAQGVATVLQDFTNYNHNDLPGEHKQRDMVNRDHSTSK >Solyc12g038840.2.1 pep chromosome:SL3.0:12:52180333:52182332:-1 gene:Solyc12g038840.2 transcript:Solyc12g038840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKRVDSLESLSMILESDNIECWEVSNEDQDEWTINLSWLFIGNKFMVRIPTHKEETRARLEQQFKAESNNKHMDLNFWAINYSAEVYQNGNKEVLLNVALVYPPYHPGHIPPKGGQGGEHE >Solyc07g016210.1.1 pep chromosome:SL3.0:7:6480376:6481568:1 gene:Solyc07g016210.1 transcript:Solyc07g016210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFLSMHQPNINSKKHMNCTSFKDMKSIFALILLISFALIRCKKKPWCSFRARPTLTAYYHDEASLKQEKSFEPRPTATSYHDNEVGLKEKKFFEPRPTLAAYYHDGASLEQEKSSFTKDFEPRPTLTSYRDDEVSLKEENSFKPRPTLTSYHHGDVGLKQVKSSFTKDFEPRPILTSYHDNEVSLEEERSFEPRPTLTAYYHGDVGLKQVKSSFTKDFEPRPTLTSYHDNEVSLEEERSFEPRPTLTAYYHGDVGLRQVKSSFTKDFEPRPTLTSYHDNEVSLEE >Solyc11g013080.2.1 pep chromosome:SL3.0:11:5926760:5934541:1 gene:Solyc11g013080.2 transcript:Solyc11g013080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLCPFYDLLLPRILRMFELCLLLARHCCKMVPYQKLLNTWNVPLLRVDLLLVIDQSQFLQVQLLLKENQMEIEDVDLMILSSQWAGVACIRQGKMGEGLAHLERLAMLKEPDDPKSKAHYYEGLVLLSSTLLNVGRKNDAITYLQMATAYNESYKEFLQQCENEEDDITSDLVASRRGDY >Solyc01g088030.3.1 pep chromosome:SL3.0:1:82758604:82762595:1 gene:Solyc01g088030.3 transcript:Solyc01g088030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPLSTIFKSTKPHILKPPKPSNFESNLKVPSSSSCSTSRKSDANSQQSYPELLTSPASNSTEFSNSKKKKSLWCVYLILSTNPPIKTYVGVTTNFCRRLKEHNGELKGGAKASRSGRPWICACLIRGFKGRSEGIEPYSSQCCWQFSQYNWLCLSGGSTVCQVAEFVDKSSVCFLLWHSTGVLAENIYTSEARSLLFWNIPQIDHLAPPYA >Solyc09g059998.1.1 pep chromosome:SL3.0:9:57455083:57455301:-1 gene:Solyc09g059998.1 transcript:Solyc09g059998.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMCSLTPDPMILIIQDTLVWRTPPVLNGIDAVIRVISSVYPTFDTLVESVGDQHDLSS >Solyc09g059130.1.1.1 pep chromosome:SL3.0:9:53656260:53656700:1 gene:Solyc09g059130.1 transcript:Solyc09g059130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYEELDHAISQLLMNKFQDQQHVIVEQTIRELQGIVITSEMSEVCVDVVLHIDHYCDGKILLALEESSSLDGMISASESSIELVEPMEADERNSNDECLVCLDELGEKTDVLRLPCSHMFHAQCITKWLQNSHYCLLCHFEMPTD >Solyc11g012905.1.1 pep chromosome:SL3.0:11:5693659:5696879:-1 gene:Solyc11g012905.1 transcript:Solyc11g012905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKTDSPLSRRIVLSFLRFLDSVEPAAGVDYEGLEVAKQCLSEAFKIDPSSHASSSDSLVDIFSSGEAVDQSQRSVDLRHDVSSSDAPCTSSRQKVVHAKDADRSHLLVSPFLPHSS >Solyc02g079470.2.1 pep chromosome:SL3.0:2:44570418:44574257:-1 gene:Solyc02g079470.2 transcript:Solyc02g079470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNFQLLFVLLLLSFFLSQCESFLHCISSQFSESNSTGVLMYAPKSSTYSSIIEHAQKNPRWLNSSSAHPLLIIVPRKENELKPVILCSKKFDLQIRVLGGGHDYEGLSFRAESQFIMIDMSSLDEIDIDLEKEVAWIQAGATLGKLYYDIAKKSRVHAFPGGICYTTGSGGLISGGGLGSLMRKFGLAADNVVDARVMDVNGRILDRKSMGEDFFWAIRGGGGSSFGIILAWKLKLVHVPEKVSVFRVHRMLEGNTINILQQWQHTSYLLPKEFFLRMIMQNDGEGKEKKVKVTFEGLFLGTVDELIPIVSDKYPEFNLEHKDFFQEPVINCTERPCLKKECHEVPWIGSVLFLYNKEVDESLEVLLDNSVPIYKNYFKGTSDFVKTPIPDNGWKMIERLFLEEDRPMMIVEPLGGRINEFSESELPFPHRKGNMYNIQHLVNWNDNSENVSIKKIGWMRKFYKEMEPFVANSPRTAYTNYRDLDFGTNQNDYSYSKAKIWGEKYFKSNFKSFQILSVLFFSLFLAKCYSKKEGFLHCLSKYITKNVTKNIYSPNSPTYLSILEYAQKNPRWFNSSHPIFIASPKKESEIRPVILCSKKIGLEFRIKSGGHDYEGISYRSESPFVMLDLSNLNKIKINLKEETVWVQTGATIGQLYYAIAKKSKVHAFPGGICFSVGTGGMISGGGLGALMRKFGLAADNVVDARVMDVKGKILDREKNKDLFWAIRGGGGASFGVILAWKIKLVRVPEKLTVFTIRRKLEGNRNLLQKWENISHQLPEDLFIRAVVQNHRSSEGNVTKKYVDFYFQAQYVGPVDELIPLVEQYFPEFNLERKDCIQENTTASAEKECHQVSWIGSVLHLFFRKPNDSPKVLLEKRIPTRKNFNKGTSDFVKTPIPDSGWEMIERVLLEEERVQMIMEPLGGKLDEISESEIPFPHRKGNLYTIQYLVNWGDNSESISSQKIAWLRKFYKEMETYVAKSPRTAYLNYRDFGLGTNQEDYSYSKAKIWGEKYFKANFERLAKVKRKVDPKNFFRSEQSIPPYHLSHEED >Solyc04g025030.1.1.1 pep chromosome:SL3.0:4:26206213:26206620:1 gene:Solyc04g025030.1 transcript:Solyc04g025030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRINKGRQRVDMVKMKNARNLQVTFSTRLAGLFKKSNELCMLFNAEIFIVVFSQGDKGVLCFDHPSVNPLAEGFFEWNLPQPHINVHNQHIVARKEGGTRDLSTKLMSLEAILEKEKNCGQILIEIRKRANSL >Solyc04g077940.3.1 pep chromosome:SL3.0:4:62886862:62903706:-1 gene:Solyc04g077940.3 transcript:Solyc04g077940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEQAYLSLLTASRGCTSVQRLVADFIPRFASYCPTALEAAVKVVINMHNWKLALIGKGEDTDGVAFDTAKVCIFGLADICRSAAAEAPTSSVIRGICTTVFRDALTFFISCFEGKDVLEIADKEYFGIQDAHLFSEYQQKILNKEQPVLLKLSEFRVLCFLRIFFTCPKNSIATCFELIGSTGSEESKREGYYLLRQLTNRLDDAVGHPRNGGNSPVISSPKATETSSKSKEVDDGVATCGKQGSDNRSLVSMNCLLRLVIEKDHSLKSWIYSRFKKLSESASSQVVSDISAVLEGVLQSFLNEVKAEKPHDAGDEDGFDTAKYVSEYLCHELSAQKVTHEVSRSPAVPLGSTHRSSMSSNTNSGERRSVVFDSKESGDFTNTRPSVHMEVYNQQILSPISRTPSNLRNSSSDGGHHVMMENHRIINVDRPLPASRSAGGNSCSMESPMQRLPLSHSSTNQGIWYSDGDSAAADIFFASKQLWLGSLGPDASEVLVRHKFEMFGPVNQFVFFAFKGFALVEYQNIMDAVRAREIMQGNSLWGAGLRIKFMDKGLGTKGTINSASVGSSCYIYVGSVQSRWMKDDVVHELRKALQKGPRMVTDLGSEGALLMEFNTPEEATIAMNHLRHWRKVRSDCIQPPYLGPTNASMHTEGIRPSSTSVYVGTGSNFCVNSTVGPSHFKNMLENHSDSHVPRISRLSSLLSQLSAKYNVKYDPGYNSHHMPGSCETGFFGGDTKQTNTLRISIPNGSSLFITEDELLAICNLAIDNKGSIIRLMRENMPMGSCWLVECSSMDSAYTLLKNLRDCPGLFFQIEFSHSGQHHVHVPVKNEGSIQELTSPRLNPEQGSMSHAGYAFQSNWPHVASRGMPEVGSGKTEMMIPIPSPRGNHIFSGSANDMWMHRKSEAEIHSRPAIVACNPTPPQAPPRALQPLQGPPTVPLPVQVLPAAAPQLIQGPLIAPPHQAQPPPFVRPMYFPPSGWDSRGLNHNLPPNPIPSGAMPTNLHHCSVASPFIPVSVTPLSQIQGTSMPPFDHMYAVPVVRPPVTSLPPQPPPQLDSLPPLPPPVLQPPLPSSPPPPPYPDPPNIPPPPSSPPPPPPPPLSEPSNSGSSSQYLQCRWQGSLSKSGVHYCTIYAQRVESDICRYPNASAEPTEWPVKLDMTKRTDFRHVKSTFCSTPPHKKEICWLLPSSPMDHKGFQDFVSYLKQRECAGVIKIPAVNSMWARLLFILPQSSDTCSMLSVAPNPSLCLLGLVVPKETNSEWV >Solyc11g022390.2.1 pep chromosome:SL3.0:11:13743241:13755860:1 gene:Solyc11g022390.2 transcript:Solyc11g022390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIEDLLVGSIAGVPPGFRLPVPAAVGVNPKQRKKNGVTKLTVVQDSPTPKIPGTQTIYIKTFGCSHNQSDSEYMAGQLSAFGYALSDNPDEADLWMINTCTVKSPSQSAMDTIISKGRSAKKPLVVAGCVPQGSRNLKDLEGVSIVGVQQIDRVVEVVEETLKGHEVRLLTRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLVGRVKNVIADGVREIWLSSEDTGAYGRDIGVNLPILLNAIVAQLPLNGSTMLRIGMTNPPYILEHLKEIADVLCHPCVYSFLHVPVQSGSDSVLSAMNREYTVGEFRKVVDTLMELVPGMQIATDIICGFPGETDEDFAQTVDLIKDYKLAQVHISQFYPRPGTPAARMKKVPSNVVKQRSRELTAVFESFTPYTGVEGKVERIWITDVASDGVHLVGHTKGYIQVLVIGPESMLGSSAMVKITSVGRWSVFGEVIEILCQNDKDVPSHRSFDERCSPCALSEEACACSKEQEPCSATSDCCNQSPTVEATTLLKNDPNLDNHSSRNLIGWFLRNRKNQSSKKMDLTSSESKEKPIQDLSHRSAWSLVDIALLSGILLSLFTIVALFFNLGSRSLSSK >Solyc05g041920.3.1 pep chromosome:SL3.0:5:55089537:55093435:-1 gene:Solyc05g041920.3 transcript:Solyc05g041920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQLDESENRDLNKVTLEWKKFVEEVQDAVGYEFKNVNLLYQAFTHPSFHREDKYESYERLEYVGDSVLNMLIAKFHYFLHPDLAPGQLTRLRAANVDTEKLARVAIRYDFHKYLRHKKPLFKSQVEEFKDAMFEYPLHSTGLIDPPKVLADVVESLIGAIYIDCNFSMDITWQVVENLLQPMITPENLETHPVTKFYEVCQRNGWSVKLIDTWEKTGEIEVFAGEFAGKGKFSGKKLIALNRAAHNAYCEIVRNLKTTCNDYNF >Solyc12g014413.1.1 pep chromosome:SL3.0:12:5369616:5369953:1 gene:Solyc12g014413.1 transcript:Solyc12g014413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISSRSGLLNTMYKPNTLRRFTRGTSYIGNFMVEIPSFDLCITQIQSQISGYTAAMELEKKSNDELVRSSPSKNKVVSTVKKSKKNITRGGK >Solyc08g005220.3.1 pep chromosome:SL3.0:8:141117:143502:-1 gene:Solyc08g005220.3 transcript:Solyc08g005220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPLHSLIFNVNTTVKSYCYTSFLPSSSISSYRFRKLSEFSTHSSFDDSRELFVPKTKQNDDDSDDKPFSFVDEWGERSKPELRPTTKLSESDPPIDVDEWGRAELGINNFSGIEDEWGEKSFPELRPEKTVSDSDPPIDEDEWIGAKLTGNNFIDRVSNQGVSEPESTEEDGWDEAEVVGRINAGVEEDERVAEMKRCLIDTVYGTDFGLRASSEVRAEALELVAKLEAANPTPTTVELLDGNWILVFTAFSELLPLLAVGTIPLLKVEKISQTISTSSLTIENSTTLSSPVATSSFSATAIFEVQSSSRIQVEIKEGTFKPPEIKSKIDLPENMDIFGQNISLSPLQQSLGPLENVVAGIARTISGLPPLKVPIPGERTKSWLITTYVDSDLRISRGDGGLFVLVKEESSLLDQ >Solyc01g006825.1.1 pep chromosome:SL3.0:1:1390401:1391912:1 gene:Solyc01g006825.1 transcript:Solyc01g006825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQIYGFLFTLFLTFSINGATLQQKTQTPSPQINSNSVLVALLDSHYTELSELVEKALLLQPLEEAVTKHNITIFAPRNEALELDLDPEFKRFLLEPGNIKSLQNLLLFHMVPARVDHSSLHHTRNNNHTTLCPGETERLSGNNLNIIHPNDIIKSDGIIHGIQKVLIPKSVQQSFNNRRNLRSISAVLPQGAPEIDPRTNRLKKNPKPVPAGAPPVKDFIQTLLHYGGYNELADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNSVRRFGKLKYDTLRLPHKVVAEEADGSVKFGFEEGSAYLIDPDIYTDGRISVQGIDGVLFPVEDIKVAPKSAPLVGGDAKPWSKIEILFSIVFDSGGVMLYA >Solyc04g071565.1.1 pep chromosome:SL3.0:4:58626335:58629702:1 gene:Solyc04g071565.1 transcript:Solyc04g071565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSIAAAGAATGAGALASPSANGSSSTKFSYLASLQFPRELRRVQIGNYGLKSKKGSSRLVPLVEAKKQTFSSFEDMLENSEKPLLVDFYATWCGPCQFMVPILNEVGESMKDKIQVVKIDTEKYPALADKYKIQALPTFILFKDGDVCDRFEGALNAPQLMQRIKSALEVKQ >Solyc05g008730.1.1 pep chromosome:SL3.0:5:2984618:2985118:1 gene:Solyc05g008730.1 transcript:Solyc05g008730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLRECFVSDGGLGAFARAAGSLEYLLLEESHMITQRGITNAVSICSKLKSLSLVKCGSRGFASTSRFGSTSLAMVGNLCPQLHHLDLSGLTRITDAGLLPLLECLEEEVASRANTKGQPDSEMICKWSCTELAKVAFPESLGNIFIK >Solyc05g015225.1.1 pep chromosome:SL3.0:5:10095798:10107357:1 gene:Solyc05g015225.1 transcript:Solyc05g015225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDPSTSPLPRQKCSIVTQLVIAFLKLNQQNLLKIFNGITGYKHQDLRNFPASKAQSSPPETSATRAAGRIFEANYQTHAPARYALDILEETRMMGCRPIDTPIDPNVKLLPGQGSHLVILKVTRPGISFPVSVVSQFMTSPCDSHWEVVVRILRYIKSAPAKDYSLRIKVMSISLDIQTLIGQDHPLTDVDIRILCFSWSSAPFILLNLEADEGVELASSSAMIGSFN >Solyc12g055820.2.1 pep chromosome:SL3.0:12:62766703:62769011:-1 gene:Solyc12g055820.2 transcript:Solyc12g055820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFASANENCLGWAARDPSGVLSPYEFNRRVVSSDDVRLDIAFCGMCFADVIWTKNILGTSKYPLVPGHEITGIVREVGADVKHFKIGDHVGVGTYINSCRECEYCCDALEVHCSKGAIYTFDGIDVDGTVTKGGYSSYIVVNERYCFRIPENYPLASAAPLLCAGITVYTPMIKHNMNQPGKSLGVVGLGGLGHLAVKFGKAFGMKVTVFSTSISKREEAVNRLGADKFVISSDEQQMMALSKSFDFIINTASGDIPFDTYLSLLKTAGVLVLVGFPSEVKFIPGNLILGMKSIVGSVTGGTKQTQEMLDFCASHKIYPEIEVVPIQYVNEALERLIKKDVKYRFVIDVANSLK >Solyc11g071870.2.1 pep chromosome:SL3.0:11:55504810:55509013:1 gene:Solyc11g071870.2 transcript:Solyc11g071870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC15 [Source:UniProtKB/TrEMBL;Acc:K4DAP4] MSSPSKRRDMDVMKLMMSDYKVETINDGITEFNVEFHGPKESLYEGGVWKIRVELPDAYPYKSPSIGFLNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLLYPNPSDPLNGDAASLMMKDKNQYEQKVKEYCERYAKKENVVGTPKDDSDDEISEEEFSGQSESDDEVVGHADP >Solyc07g005215.1.1 pep chromosome:SL3.0:7:204782:210263:-1 gene:Solyc07g005215.1 transcript:Solyc07g005215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRIIGQLERGNDDFCQCLFPSELINRAFEIIQPISWRVMLPDFLVDFAAMAWVSMPFSSSFQLLFNASAGALNVAGGTGGSYGEYNQTLVEAMVPSIIGRLERGDDDFCQFLFPSVLINWAFEPWRGWTLLLPKLNRSESQFFSNLLGLFFRRLTLRLVEAMVVSIIGRLERGDDDLCPFLFPSELINRAFEPWRGWTLILLELKHMSEPQFTELHYCKKPNNPLLVEAMVVSIIGRLERGNDDFCQFLFTYDGLIRHLRLSIRILAGHATSISGGFCSHALNAAGGTGRNDGGESNQCKNLNSLFINLTEGVLLRVSYPFMLCMLMPSILSFVNASAGPLNFGGVRGEACSQIFWLFLHPWHCWTLFSPDLKTDLSLYVSLKNISVFRVCLLGDWLAVRVIQVVVSIIDDLKEANEDLPWHHQTLFARAYYISEPHFLSVMVLPGCFELPLSALANNFSITVNISNSPFFALQDFALLDYRAVSKKEEA >Solyc09g092090.3.1 pep chromosome:SL3.0:9:71712719:71716345:1 gene:Solyc09g092090.3 transcript:Solyc09g092090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKALAGIKRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPNREEGDMCIVLNAKDICVTGRKLTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFTNDEHPFADRPLEPYVMPPRQVRELRPRTRRAMIRAEKKAEQQQQGTNNTSKRKKNKDVEEEAVST >Solyc01g108590.1.1 pep chromosome:SL3.0:1:95740173:95740438:-1 gene:Solyc01g108590.1 transcript:Solyc01g108590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLYSSQLDSVLDQFGYIIENYQFKIFVKHIKKLG >Solyc09g072725.1.1 pep chromosome:SL3.0:9:65799151:65802746:1 gene:Solyc09g072725.1 transcript:Solyc09g072725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYFRSSSSRTRNFLKCVEMQKIECDKLLSLDVPTRWNSTYLMLNTAEKCEKAFRDICALDAYLKLCIASDDLDLSKMTLGMKEKFKKYWGTPERMNKIIFIAYVLNSHNKFVITWKTENVVNTKVESYLRDLFAIYVSEYGKGSKSQPSSSDSSDSSACGVKSELDKYLLEDQEPESGDFDILSWWKVNSPRFSVLSQLARDVLVIPMSSVASECAISTGGRILDPFRSSLTLKCVQCLICVQDWLRQETKPICVEESLDSKGQDNEEIILLTWKKVKKLIIVIKSAKRNMDRFLKVGRKKYFQTKTVLRGRTFHLDILSMDIVKQGWEELFLEPNLIYEQEVVVFYTNLTILEGDVVSSSVKGVEIVFDATKLGEILHIPFVGINDYHWAFDEHFSLPAKFSQGRVNSRAQTVLKGIMGSVHKLLFEIIHKGILPRRHQRHIASIKTWDL >Solyc02g064760.2.1 pep chromosome:SL3.0:2:36426024:36427623:1 gene:Solyc02g064760.2 transcript:Solyc02g064760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLLGQARLPLVLGHGFGTDQSVWKHLIPYLIDDYRVVLYDNMGSGSTNPDSFDFERYAYDLFAILEDLQIDSCIYLGHSLSSMTGVVASIFRPHLFSKLILLSASPRFINSDEYYGGFEKEDID >Solyc06g083910.3.1 pep chromosome:SL3.0:6:49256843:49258681:-1 gene:Solyc06g083910.3 transcript:Solyc06g083910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNLVSNWCKNVQTLPESYIFPEDERPGEPIVPLSGSSPIIDLTTHQHDQAQQIIKASQDFGYFQVINHGISETLLEETVDVLKEFFEMPAKEKAKYYSVDPNSKCKLYTSTMNYSNEDKHYWRDALAHHCHPIQHFLPFWPEKPTRYREVISAYSIETRKLITKISDVISEGLGLEKGYFGGELSKVQMLLVNCYPPCPDPNLALGMHSHCDPNLFTILLQDNVCGLQIFKDGKWIAVEPIPNAFVVIIGCQLQIISNNKLKSVIHRAVTNSKETRICVGNFVIPSSDCHIEPASDLVNGTTNIPAYKPYQYKEFLHTYATNHGDFEAVLQSYKL >Solyc11g043140.2.1 pep chromosome:SL3.0:11:33734477:33736778:1 gene:Solyc11g043140.2 transcript:Solyc11g043140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYEACFILLFLFHIIFWLIFSPSPSQVHKFEKVFVELQWQLSVHSLREVHMRMFVAEWLKS >Solyc05g006810.3.1 pep chromosome:SL3.0:5:1419580:1427451:1 gene:Solyc05g006810.3 transcript:Solyc05g006810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWGISARRRTWLGLCNISWRPSRQPFKQIGRRTFSDSYTGKSDDSVLPVLIIGAGPVGLVLSILLTKLGVKCAILEKNKAFSTHPQAHFINNRSMEVFRKLDGLGDEILRSQPPVEFWRKFIYCTSLTGPILGAVDHMQPQDFDQIVSPVSVAHFSQYKLSRLLLKHLEKLGFHMINSEKNEHGSIGERKIFMGHECIAINGAEHGVTVTASFLSEGKYITRDIQCHFLVGTDGAGSSVRKSLGINMRGEKDLQKLVSVHFLSKALGQYLIKERPGMLFFIFNKDAIGVLVAHDLKQGEFVLQVPFYPPQQKLEDFSSEMCKRLIFKLVGLELADVNVMDIKPWVMHAEVAEKFLSCNNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKLASVIEGVSPISILNSYELERSQIAQFNTALSVQNFKAAMKVPAALGLDPTVANADIMWFSPAVHRALNDTVGSILPSALQRTILDGIFSIGCAQLSDFVLNENNPLGSARLTRLRQIFEEGQSLQLQFPAEDLGFRYRKGVLVSEDDVVVDVHEAPTGRRRDYIPCSEPGSRLPHMNVELFSKPSSKEILSTLDLVSIDKVEFILIIAPFKESYCLARAALEVANKFKLHLKVCVMWPNGSIDGAGRTEAALTPWKSFEEVVEVKRSSDSPSWWDICQMTDRGAILVRPDEHVAWRSKSRIADPIMTMKNIFHIVTGVDCT >Solyc04g017955.1.1 pep chromosome:SL3.0:4:8939194:8944901:-1 gene:Solyc04g017955.1 transcript:Solyc04g017955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKTFFLSKGGLGYDDLNPRTNKKTKSYASLLVRHGAGDTLFVLIYVNDIIITGSNTLSVNQVITSLASKFSIKDLGNLHYFRGIEVIRSSNGLILTQANYVNEILNDELMTDCKSVNTPISASELLTLSDGTHLADATHWDRDIVDRVSTYDYILFLGNNPISLSSKKQNTVSRSSTESEYRAVANALSETLWVTNLLNELRVPVHQIPTIYCDNFGATFLSKNHVLHSRVKHAVVDFHFVRHYFDIKRVRVVHVHGADQIADTLTKALSKSTFEYNLFKLGLVTHRLT >Solyc04g015350.3.1 pep chromosome:SL3.0:4:5548758:5561503:1 gene:Solyc04g015350.3 transcript:Solyc04g015350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNEFRFFLSCDINLPVTYRIEKLEGKLLPPKLADSDNVDSTTEERKAELYVESTLYVDGAPFGLPMRTRLETGAPSFCWNELITLSTKYRDLTANSQLSFTVWDVSCGKGGGLIGGATIHLFNMKKQLKTGKHKLRLWPGKEADGSINTTTPGKVPREERGELERLEKLVNKYERGQIQRVDWLDRLAFKAMDKIKETENSRNGSSHLYVVVDFCSFEHRVVFQESGANFLLPSPIASTNELVTVYDPEVGKINPSEHKQLKLARSLNRGIIDRDLKPSITERKSIQRILKYPPTRNLSGDERQMLWKFRFSLMLEKRALTKFLRCVEWSDVQEAKQALELMHKWESIDLCDALELLSPVFESEEVRAYAVSVLEKADDEELQCYLLQLVQALRFERSDKSRLSHFLVQRSLRNVELASFLRWFVAVELHDPAYAKRFYCTYEILEESMLKLGAGASGDEDGYKLWQSLVRQTELTAQLCSIMRDVRNVRGGTQKKIEKLRQLLSGLLSELTYFDEPIRSPLAPGMLITGIIPSESSIFKSALHPLRLTFRTANGGCCKIIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYRVLATGHDEGMLEFIPSKPLAQIISEHRSIVSYLQKFHPDENGPFGITSTCLETFIKSCAGYSVITYILGIGDRHLDNLLLRDDGRLFHVDFGFILGRDPKPFPPPMKLCKEMVEAMGGAESQYYTRFKSYCCEAYNILRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDLDDEECIHFFQDLINESVSALFPQMVETIHRWAQYWR >Solyc12g014070.2.1 pep chromosome:SL3.0:12:4887139:4893123:1 gene:Solyc12g014070.2 transcript:Solyc12g014070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSNRIIDMEADQQGQEFFHSAPCMFYGSIAALPQPTVHAVVPAPVNAGNIYLHHVSDHQEGPLTYGLTQFNGIQHQHPASNPDLAISASNHYNPYMAVPSASGDFPIPVNHGPLDRLHTSSHNIFGMNSDYGRNNHYMDDVRGSYKRKNAEGIPANLQYHHALAGSSSSVAPMIARAHESDVPMDAASFTPSDYGGNSSSYIEDGALRSMSNRSGASGPENIVRHNHNHLFQGNYISQTHQLPGNPWLDQQFNSNGSETQTWAWNQAAPLPYVPGGIGGCVDAGNMGLRGYHMTSSNGGLTSFPHPPIPQGLPGLHHLPPNIQGMRGQPISFPPQMTASSSHRHLPNNSSNITTNLMQGVVEAGPRYMPSLPTGFGLYRPHRRAIVLERNTRHQNLPNMRVLPEDGVAMLDVSGYHEVNNPIDQHRDMRLDVDHMSYEELLALGEQIGNVTTGLSDEIIVNRLKTRSFSPPVIPCTLETAACLDHEADFCVICQTDYNKQETIGTLDCGHEYHAECVKKWLVVKNTCPICKSTGLSIERKDL >Solyc04g026260.1.1 pep chromosome:SL3.0:4:18114239:18114655:1 gene:Solyc04g026260.1 transcript:Solyc04g026260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYLYIICLGACNTSVLTKKTFLVRLILKNTSQTFLAYMYVS >Solyc10g084560.2.1 pep chromosome:SL3.0:10:64152157:64157719:-1 gene:Solyc10g084560.2 transcript:Solyc10g084560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKILATHQNWHSYIIDTVKIEAPTTRNTHHPLPKLLYSYDSVLHGFSAVLSKDEIEALEKSPGFVSAYKDRPVEAHTTHSPEFLKLNPASGLWPASGFGEDVIIRVLDTGVWPESASFRDDGLSAIPKRWKGICKPGTDFNSSLCNRKLIGANYFNKGLLASDPTIVLSMNSARDTRGHGTHVASIAAGSPVKGVSYFGYAPGTARGIASRARLAVYKFSFEEGTVTSDLIAAMDQAVADGVDVISISYGYGFGPLYEDAIAIASFGAMMKGVSVSASAGNNGPEMGTLSNGFPWIFTVASGSTDRSFSGTITLGNGLKITGFSLFPVKTNNNDFDLVYNGSLSTCDSSDDLALVPNKARSITLCYSTSQEDLSVSDQMGAISEAKFGGAYVYGDPDVLSSNYFTTPGAVISSKDWKKVVDYAKTSAKPKVSISLQETHFSVKPAPVVSTFSSRGPSLSYLRVAKPDIMAPGELILAAWPSNTSAAVIGVNTFLNSDYSLLSGTSMAAPHISGIAAMLKGVHPDWSPSAIRSAMMTTANPLDNTEKPIKTMDYLRTSYATSLSMGAGLVDTYCLMDLEMGLPSAPHPISNMNYAGEPSSSPSPRAPALVVSHSSKALIPSNSGKALFVSNSGKALLLSNSGKRMDPTGKKKYVKQVTGRHNDTELHLAAQRGDVGAVRDILGEIDAQMLKTMSGAEFDAEVAEIREAMVNEVNELGETALFTAAERGYIDVVKELLPYSTKEGITTKNRSGLDPLHIAANQGHQAIVKLLLEHEPELSRTVGQSNATPLVSAATKGHTSVVHELLSKDSSLLEISRSNGKNALHLSARQGHVDIVQALLDKDPQLARRTDKKGQTALHMAVKGVSCEVVKLLLQADPAIVMLPDKFGNTALHIATRKKRSEIVQELLMLDDTNVNALTRENKTALDIAEGLPMSEESTELKECLQRYGACRANELNQPRDELRKTVTEIKINVHSQLEQARRTNKNMTGIAKELQKLHREGINTATNSVTIVASLFATVAFAAIFTVPGGDLDSGYAVASNTPAFKIFYITNALALFTSLAVVVVQITVVRGETKSEKRVIGVINKLMWLAAVFTSLAFVSASYVVIGKRNIWAAIFVTVVAGIIMAGVLGAMTYYVVKSKRIRKIRKREKFTRTRTNSFHTEFSDSDVNPMFAL >Solyc03g005160.3.1 pep chromosome:SL3.0:3:95072:98474:-1 gene:Solyc03g005160.3 transcript:Solyc03g005160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:K4BDU0] MKFSMEFIKVLALPIVIIVFLFCFTRSQCHHPLDPLSPSEINKIRVIIQKSHFSSLSNLTFHFVDLEEPKKEDVLHWMSLHKHKHKHVVSPYRRARVVVRANSETYEVVVDLSTRAIISENVYTGHGYPPITLDDIIQSSRLTLRNHQLQDSILRRGLNISEVSCIPQPAGWFGELKTRRVLNVPCFYRRGTTNFWARPIEGITTLVDVESMKIIKYIDRFRVPLPKAESAIFESSSQGSVTCNDSDTSRIIIKGNEVKWANWDFHVGFNTRAGTIVSTASIFDTTIKKYRRVLYRGHVSETFVPYMDPTFEWYYRTFMDIGEFGFGRSASSLVPLLDCPSNAVYMVGYMADSEGGVVQVPNAICIFERYVGDAAWRHTENGVPGNTKSKGQQEVNLVVRMVATVGNYDYTLDWEFKQSGAIKVGVSLTGVMETKAVKYTNDNQITEDAYGTLVAENTIAVNHDHFLTYYLDVDVDGTDNSFIKSKLITTTVKERKVSPRKSYWKVVKETMKAESEAKTNLGQEPVELLIVNSNKKTKVGNDVSYRLIPSRPAMSLLSDDDYPQRRAAYMKYQLWVTPYNKSERWAGGFYTDRSHGDDGLAIWSLRNRTIKNKDIVFWYTVGLHHVPCQEDFPVMPSIYEGFELRPTNFFQRNPLLKQ >Solyc05g051998.1.1 pep chromosome:SL3.0:5:63197563:63199004:-1 gene:Solyc05g051998.1 transcript:Solyc05g051998.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGTQNSAARTLYFHSCW >Solyc11g044920.1.1.1 pep chromosome:SL3.0:11:32263651:32264016:1 gene:Solyc11g044920.1 transcript:Solyc11g044920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYIPDCELGQMIQNIDVNGDGYVDFDEFSALFKMIFMDDDEDEDEDMQEAFNVFDQNGDGFITVDELKSVLGSLGLKQGGNVEDCKKMINNVDVDGDGRIDFMEFKRMMMRGVGFANFT >Solyc03g095520.1.1 pep chromosome:SL3.0:3:58083867:58085015:1 gene:Solyc03g095520.1 transcript:Solyc03g095520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYGSHQSQLSQSVGLLKQLLSKYVSIEEKMGDSYGSCQSQLSQSAGLLKQLIPKYGMNTFCVF >Solyc03g045110.3.1 pep chromosome:SL3.0:3:11597800:11598608:-1 gene:Solyc03g045110.3 transcript:Solyc03g045110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFMKEVQEAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Solyc07g064830.3.1 pep chromosome:SL3.0:7:66945999:66961872:1 gene:Solyc07g064830.3 transcript:Solyc07g064830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSDSLRISSPLSDSRLSFLSQTGSRTSCQFKFVRSRRARVSRCRCSATEGPTPKRRKQIPEKYKQSEEEKGIDPVGFLSKYGITHKAFAQFLRERYKSLKDLKDEILTRHFSLKEMSTGYELMGMHRNVQHRVDFLEWAPGARYCALIGDFNGWSTTRNCAREGHFGHDDYGYWFIILEDKLREGEEPDKLYFQQYNYADDYDKGDTGITIEEIFKKANDEYWEPGEDRFIKSRYEVAAKLYEEMFGPNGSQTEEELEAMPDAATRYKTWKEQQKIDPASNLPSYDVVDSGKEYDIYNIIGDPESFKKFRMKQPPIAYWLETKKGRKGWLQKYMPALPHGSKYRVYFNTPNGPLERVPAWANFVIPDADGMQALAVHWEPPPEYAYKWKYKLPVKPKSLRIYECHVGISGQEPKISSFSDFISKVLPHVKEAGYNAIQIIGVVEHKDYFTVGYRVTNFYAVSSRYGTPDDFKRLVDEAHGLGLLVFLEIVHSYAAADEMVGLSLFDGTNDCYFHTGKRGHHKFWGTRMFKYGDLDVLHFLLSNLNWWVEEYHVDGFHFHSLSSMLYTHSGFASFTGDMDEYCNQYVDKEALLYLILANEVLHALHPNVITIAEDATLYPGLCDPTSQGGLGFDYFTNLSASEMWLALLENTPDHEWCMSKIVSTLVGDRQNTDKMLLYAENHNQSISGGRSFAEILIGNSLGKSSISQESLLRGCSLHKMIRLITSTIGGHAYLNFMGNEFGHPKRVEFPMSSNNFSFSLANRRWDLLEDDVHYRLFSFDKDMMDLDKNGRILSRGLANIHHVNDTTMVISYLRGPNLFVFNFHPVNSYERYIIGVEEAGEYQVTLNTDEKKYGGRALLGHDQNIQRTISRRADGMRFCLEVPLPSRSAQVYKLTRILRA >Solyc02g088595.1.1 pep chromosome:SL3.0:2:51276426:51277721:1 gene:Solyc02g088595.1 transcript:Solyc02g088595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITKQYRCIHSASCLCTKGHLSEEVIFLVFQRLNWNPKSVATLSCVCKWFDDLAKRVLWKEFCKTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNSIHVPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGEEGDIGFFRGIFKSFATSKVRKMLIKREAQLHPTEVCPYCKAKLWSMLQAKMVPESASCRLGAYEDAIEYYVCLNGHVLGICTLLPLSDSEEASDHKGKKMIKVVGLFYNGQARNSSLSGRSLLVDRTMDMELYLCKLATFQAYLTR >Solyc02g082100.3.1 pep chromosome:SL3.0:2:46374724:46381554:1 gene:Solyc02g082100.3 transcript:Solyc02g082100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVWLFLILLISSSDESILRDGLFSICNVLLWGTLKCSKFSPFCQQTLNIAFLIEQVSQEMASSQVEIASSSSFGHVLRDRNRRDRCTHRDSNVFQKNLKDLVHTHIHSCISSSQPTNTNTHSSDANENSRQHRHVDYADLWVHKPQWDNNENTPTTVDKWDREMVISSRPTKQKGEALETTTATTVVEVPNSGGVSTLVRRWRDFETVSKSVNLGNNSNSNPSSAKSNCENAAFADSNVSQRGDDTCDESSVDGRFETPAASVNGESAGDWEQVYKTAMNDIQGCKNSDTNKEREKLRVADIIKKLASSNGEENHENENNCTNAAHLATGECLPRIKTSFDHSEQQLQQRNFFPVLHSPRIIRGRKALSDLLLQMERDRLRELDTLRERKAVSKFQQRGRIQALLKVRFLRRVTDTRDDRSTNGTSSESNRVSSSAIMHIREKFNTGSQNGVSDSRSLSREAAENTQENRNSTPNQQRVQNKGNNAVKVGSELASHKQREGNQKQEIIRESAAMQPGVADSRSNSSPKSEIASTSTREDHPEPIDPMTLCINVIDSNTQIGKVFPSNQLQEENPHGEVNKKISPRMMTTNVKATNSSNSQKEMSIHIRHSDSSYSPKHNEVDCSPQAVSPSLLHCTSQLHSSDIPHDQASWENASCQANHDKSLEFLETSRSPKDSEQSVHREEHDANKLQHAGTSNEWSSESTKPQSDCEEEATNQNLVDSDCGWVSDYSHTPSGWDELQSNYQQQLESNQDWINDVSRPREEWEGLRQERYQEMLDPFLENHDIRQLLNRKSVSIFLNSGLRDKIDQLMASRSQELPNARSGQLEKKVGARMTKEWGKEEEVISHIETRAAGVDDDEEEAYSGYEDDFEDDNNPIRQQYLKPEELVDQNKASHTLQSWRNNQDTLPSWSNDQDRGVSDDSYHRPSNSLPQPELSNIYSHHNQQSSSTSTRHPSIEMELIYELRGHMEQLHQEIFEIRRSINSCMNMQMNLQHSIKDEVAAAIIQSGPVIGSNSDKKGANIANCCICYEQQVDSLLYRCGHMCTCFRCAHELLWGTGKCPICETPIIDVVRAYIHS >Solyc03g114790.3.1 pep chromosome:SL3.0:3:66166586:66169427:1 gene:Solyc03g114790.3 transcript:Solyc03g114790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPKDMPPELREPREASLRYCLQTAVDALKAQKSPLDVVEIVVRELENNLYFNAGRGSVLTSNGTVEMEACIMDGNTKNCGAVSGLTTVVNAISLARLVMEKTPHIYLAFEGAEAFAREQGVETTDSSHFITPRNIERLKQAKEANKVQVDYNTRPIPKDDKTPAPSGDSQLGTVGCVAVDSFGHLAAATSTGGLVNKMVGRIGDTPVIGAGTYANKLCAVSATGQGEAIIRATVARDVAALMEYKGLSLKEAADYVIEQSAPKGTTGLIAVSATGEVTMPFNTTGMFRACATEDGHTELAIW >Solyc02g082400.3.1 pep chromosome:SL3.0:2:46645615:46655221:1 gene:Solyc02g082400.3 transcript:Solyc02g082400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRNHGDGEKRSSVPADDLRCHRSDGKKWRCKGFKVEGKSMCEQHLHRASASVSVVKRVEKRRKRYVARSDTSDSDDLSEPEDDKPVPVSLGDPREVVEGEGDKKKKRGNEEKPCSKWIKSPEKSFSKGIKMVSQEDGERRVSRVVKKGVECDQNDCTNPKDKKKPDPRRKHFSTDDPYDDCQMCHQCMKSDRKVARCGKRCGKRYCSPCIKRWYPHLSEEAIAEECPVCRGNCNCKDCLRKNIIPKEAKYLGIPQENNERINCLKYLVDALYPFLKTFIHDQTMEKEMETSIRGSSLKRLRIPSAFLYKDERVYCNNCNTSIVDLHRNCTTCSYDLCLTCCQEIREGCFLRDEDRRLPEWKAKETGEIPCPPKERGGCGNNRLELKCLIDEKQVEQIMREVENLVKANSSASEAHSTEEQCTCNSNNRRKAASRSDSDDNYLFCPSSDIQEGHLEHFQKHWRMGEPVIVSNVLELTSGLSWEPMVMWRAFRNIAIKKGSSDLMVTAVDCCDWCEVDINIRQFFRGYVEGRAHPDSWPEMLKLKDWPPSTEFEKRLPRHGAEFIRALPYKEYTHPLSGILNVASKLPDGILKPDLGPKTYIAYGFAQELGHGDSVTKLHCDMSDAVNILMHTADVTITKWQLSKIDELKKKKASASDDQKELNNTDTDDHLVRKNDFASAKQEKASDVFSSDENVQLEGSLSSDQVVDLENKFDGPEEENGGAVWDIFRRQDVPKLEDYLKEHQKEFKHTLGSPVDQVVHPIHDQVFYLTTYHKEKLKQDFGIEPWTFVQKLGEAVLIPAGCPHQVRNLKSCIKVALDFVSPENVGECIRLTKEFRMLPQKHRAKEDKLEVKKMALYALERAVADLKELECNDRAQVQQPHSSSEHNPVQTKEVVE >Solyc05g015940.2.1 pep chromosome:SL3.0:5:13080027:13082102:-1 gene:Solyc05g015940.2 transcript:Solyc05g015940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKHLKDGVMKIDSHKFSDGTLLPVMSCSDSAKSIVSAICRKERHITEPKDIWVLLFVKTVCPQLFEWFYRTFKPNFCTGKHTL >Solyc07g042700.3.1 pep chromosome:SL3.0:7:56356021:56360089:-1 gene:Solyc07g042700.3 transcript:Solyc07g042700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPAEMVTGEGGNESTKKSVRIYVGGLGESVTAEDLKKTFSTPQLGKVESMDIVRTKGRSFAYLDLLPSSDKSLPKLFSTYNGCMWKGGRLRIEKAKEHFFLHMKREWEEDATLATTSTHLPVSEAERMDSLKSQKKDSKLDEAQIRIYFPKLGKIKPVSLRGTGKHKYSFQRVEVPSLPIHFCDCEEHSGTTHMDKQKSLCNYDSKDGGMDEKELNIMNSVLNRIFERENYSEETPRDFKLSKKVQSSNGTVDHLQNDKNLVNQEMVNDDNLILNVVAGANDRMIMVKDPIQEAMTAIQANEDFVDQEMDDDDDNLIINVVAGAKDRNTMFKDPTLEAIVAIQNSLSKESRLATDKQKQGKTMPSNRKRKAPSEVKDGEAHTLLSKAEAKQSLEVTRDSQLLNRSAKLPKKSPWKDLVSASSGASFSVLDILPSAIPGTEMQSGSNGVSEFSSDEKDEVANHEKVSDHLEEPDKVESEDEVSDQHEELDKVESEDEVSNDEEVLDQHEQLDKVESEDEVSNDEKVFDQHEELDKAESEDELSNDEKASDQHEELDKVEYEEKVSDQHEELDKIATDNSPVDIYVRGAAWRQKSSWTELVRDATRSSFSISQILPGLSLPKPELPVIGETVKAQKSNSMDKSDSQDVSVEEQNNVHFSKELPVLDNYQQKETKKNEASAPTPEKEHVSASKQALVGDTNYSETCSFMKSAASMKEWTKTKAALSGSFKKKTNEKK >Solyc04g040095.1.1 pep chromosome:SL3.0:4:12250008:12267229:1 gene:Solyc04g040095.1 transcript:Solyc04g040095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPPPQPPPPQPQPLVTSKDESRNESDSKNMDETDSDVEEYEEDVEEYKEEWVDEEDNDSEPLSPRSDDNYDNLSIEELIEELYTPILPCQEKRTESFGRDTSIRLATVHPNILKVTNDEKEYFQAKVVRHLDQSLDFLIIRPRSETYTSSVPRNIRSLWKNELNQVRPVTNSFHYLPQLEEEEQLAEEQSESLNAIYNKYELVQHVFNNGTATRLAKHYKMRIDVRQ >Solyc04g049660.2.1 pep chromosome:SL3.0:4:42704690:42707470:-1 gene:Solyc04g049660.2 transcript:Solyc04g049660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNSKDLLHLETEGLSLESKLLFCTSDGGSQSLNLKPDDLPDNKPNKPEISSVPRSQVLTKVKDFLGVFLENNRKVELEAKKNPEKYDIEALTGEESEYIEMDLMLGVAELHTQEAVSAAESAIASYQPVIDLDTNDTTESEDTSDEDDIEDTNKSDDDDDDDDDGGSSLGKESNDFGEKFRT >Solyc03g119600.1.1.1 pep chromosome:SL3.0:3:69644151:69644414:1 gene:Solyc03g119600.1 transcript:Solyc03g119600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHKKRKRTDNGGDRSRHERESSVKANTVVSKPPPPSEAEVNEFFAILRRMNVAVKYLQKNAQIGEVEDSHKRVDLDLNTLPEGGD >Solyc06g051310.3.1 pep chromosome:SL3.0:6:34625467:34637298:1 gene:Solyc06g051310.3 transcript:Solyc06g051310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin heavy chain [Source:UniProtKB/TrEMBL;Acc:K4C5S4] MAAANAPITMKETLTLQSIGVNPQFITFTNVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQVPGTSQDHLQIFNIEAKQKIKSYQMPEQVVFWKWITPKMLGLVTQTAVYHWPIEGDSEPVKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGSPERPQLVKGNMQLFSVDQQRSQALEAHAAAFASFRVPGNERDSILISFATKSSNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASSIGGFYAINRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYVRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLVINIKGNLQIIVQVAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIESAARTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDIWEKVLNPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIRDINRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATHFLDVIHAAEDADVYHDLVKYLLMVRQKTKEPKVDSELIYAYAKIDRLGDIEEFILMPNVANLPNVGDKLFDEGLYEAAKIIFAFISNWAKLASTLVKLNQFQGAVDAARKANSAKTWKDVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEYYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLRLVKPYMIAVQSNNVSAVNEALNEIYVEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAASIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQSEAKARENEEKDVMKQQNMYAQLLPLALPAPPMPGMGGGFAAPPPPMGGMGMPPMPPFGMPPMGPY >Solyc10g078760.2.1 pep chromosome:SL3.0:10:60606946:60608640:-1 gene:Solyc10g078760.2 transcript:Solyc10g078760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLKEKASNVAASAKSGMEKTKATVQEKVDKMKANDPTEKAVATEKKDGRIYEAEMNKHATQDRNAALHHGAGTGTGRPHYSNTTTGHPHNC >Solyc07g061990.3.1 pep chromosome:SL3.0:7:64987762:64992580:-1 gene:Solyc07g061990.3 transcript:Solyc07g061990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Solanesyl diphosphate synthase [Source:UniProtKB/TrEMBL;Acc:Q09IV6] MMSVTCHNLEIGRTPLESLACGCSFSKGVLRNVSRRFSGKKLLSCRQEFGRISTKASLTGLAPVLDLNKSEKPISLTNVFEVVADDLLTLNKNLHNIVGAENPVLMSAAEQIFGAGGKRVRPALVFLVSRATAEMSGLKELTTNHRRLAEIIEMIHTASLIHDDVLDESDTRRGKETIHQLYGTRVAVLAGDFMFAQSSWYLANLENLEVIKLISQVIKDFASGEIKQASNLFDCDVGLDEYLLKSYYKTASLIAASTKGAAIFSEVGSDISEQMFQYGRNLGLSFQIVDDILDFTQSAAQLGKPAGSDLAKGNLTAPVLFALEKEPNLRNIIESEFHDAGSLEEAINLVKSCGGIQRAQDLAKEKADLAMQNLKCLPSSPFQAALEEIVKYNLERIE >Solyc11g005210.1.1.1 pep chromosome:SL3.0:11:181620:181910:-1 gene:Solyc11g005210.1 transcript:Solyc11g005210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAPPPPAAVIVNRGELSIEFKNLITLYGGSLESAKLVIEKTLFVTDVTAAEGRFSIPQKQILNQFLTPNEEQLLNERNQANNKMREMNVMLIKR >Solyc01g099645.1.1 pep chromosome:SL3.0:1:89697396:89704396:-1 gene:Solyc01g099645.1 transcript:Solyc01g099645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSFHLCVYFRCINRDNLSFYIFCSTRAEKEHIQNKRRSRRFSVAFRRTVYVQDEEPPSALHCYPTKPRNMPPNLFIGNGRQYGKGERICCRASSTIGRGNFYGLHC >Solyc10g084030.2.1 pep chromosome:SL3.0:10:63826292:63827386:-1 gene:Solyc10g084030.2 transcript:Solyc10g084030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPILSSKIFCNSAARQGTSRTPFCWNPYSSSACFSNFKNNGLFSQCNSTINLFGSSSEFTDNTAKCPSLTSFGTTSLFLNFLIISLMYLSPYSQDNFVRSMCLGIEARRFNRFERIH >Solyc12g056780.2.1 pep chromosome:SL3.0:12:63810921:63814388:-1 gene:Solyc12g056780.2 transcript:Solyc12g056780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRHPSIKWAQRSDKVFITVELPDAKNVKQKLEPEGKFSFSATAGADNVPYEVVLDLFDKIDVDESQSSITSRNICYLVKKAEDNWWSRLIKQEGKSPTFLKADWDKWVDEDEQDGEPAGADMDMGDIDFSQLNMGGGLGDFDADEPEEEDESDSEEEIEHEHSESQAATPASPQVEIKA >Solyc01g017860.3.1 pep chromosome:SL3.0:1:25699711:25703327:1 gene:Solyc01g017860.3 transcript:Solyc01g017860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECHKGKDNISAQRHANQYDGDGNSHNYSGVSHTDSVIRHNDGEIRHIDGGGVQHNGSEIQHIGALLMRRKRIADSN >Solyc09g007610.2.1 pep chromosome:SL3.0:9:1188506:1188858:-1 gene:Solyc09g007610.2 transcript:Solyc09g007610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHGKRGKGLGKGGAKRHKKVLRDNIQGITKPAIHRLARRGGVKLKIFLENVIRDSVTYTQHSRRKTVTTMDVYGSVVRL >Solyc06g009090.1.1 pep chromosome:SL3.0:6:3022501:3023408:-1 gene:Solyc06g009090.1 transcript:Solyc06g009090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKWVPSWVLSVWYIMLLCRYFHNCSAFTLPMKEQSYFGTMVFLLDGNVVLNVGQPPRPYFLDVDTGSDLTWLQCDIPGAKNLPAPHHPYKPNNNLVNRNDPICASIQGTTPTDQCDYEIEYADHCSSYGVLVRDALQVKYTNGTSIAPPLVFGCGYDQKVSQSGRPPPYTDGIIGLGNGKSSILSQMRFNPECSRSLFKWARRRFSNPWK >Solyc09g056330.1.1 pep chromosome:SL3.0:9:48842426:48843164:-1 gene:Solyc09g056330.1 transcript:Solyc09g056330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFQTDSYVSWAICLIKVSIQTSVLSRKANAILCGSGGRQLDLVKGFAARVVGQRTYRNACGPIYDVSSADVCQAGHIDCLGSSLANEKSISKALKYLFDRCLYLCEEFATDRSCLVSPSFPRCLVTHRSI >Solyc04g007245.1.1 pep chromosome:SL3.0:4:958988:968860:1 gene:Solyc04g007245.1 transcript:Solyc04g007245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSSASIVGIGDIRVQTNVGCYLTLRDVCYISDLRLNLLSANGSLIVARGKLCCTLYKTHLKVCSGELNAIEEKTSPNLWHRRLGHVSEKGIKLLAGKSLILADVTI >Solyc08g074490.3.1 pep chromosome:SL3.0:8:58713372:58713824:1 gene:Solyc08g074490.3 transcript:Solyc08g074490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLEGGGINNNLDDEEKLLNELSSGIHHNHHQQIISLRNVVKFFLLFIAITLSSLLLYHSSSNNYSLQFFPIHSYKHAPSFAFDSNYVNTNGSAAENSRHGYNSSTANVSKT >Solyc08g076870.1.1.1 pep chromosome:SL3.0:8:60914312:60915049:1 gene:Solyc08g076870.1 transcript:Solyc08g076870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYLDEEEVWKCPKHPSKRRRNGVCPVCLKDRLVILCPDCANVRPCACYASATSSSSSASSSFSLFSSSSGRSGGGGGGCDGGCSVARVSNLIDSEPSFRRSRSVGIPFLRSSREKNSVERKNQPNCKNSKMSKTPSFWSVFKLSKSKRYGDSENDESKPKPKAKADIHHENINEFTDGRIEDFARMMKRSRSMSVVITSVSGAGDCNKSPSKSKGWHFPSPMRVFRQSKASKLVHERSPLYRG >Solyc08g016270.2.1 pep chromosome:SL3.0:8:7137686:7142011:-1 gene:Solyc08g016270.2 transcript:Solyc08g016270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIKFLLLVFFLIVVVVNGCWEEERNALLELQTNIMSSNGELLVDWAGYNAAHFVDCCFWDRVKCSLETGRVIKLDLEADFGTGDGWLFNASLFLPFKSLQVLLLSSQNIIGWTKNEGFSKLRQLPNLKEVDLQYNPIDPKVLLSSLCWISSLEVLKLGVDVDTSFSIPMTYNTNMMSKKCGGLSNLRELWFEGYEINDINILSALGELRNLEKLILDDNNFNSTIFSSLKIFPSLKHLNLAANEINGNVEMNDIIDLSNLEYLDLSDNNIHSFATTKGNKKMTSLRSLLLGSSYSNSSRVIRSLKSFSSLKSLSYKNSNLTSPSIIYALRNLSTVEYLYFKGSSLNDNFLPNIGQMTSLKVLNMPSGGNNGTLPNQGWCELKYIEELDFLNNNFVGTLPLCLGNLTSLRWLSLAGNNLHGNIASHSIWRRLTSLEYLDIADNQFDVPLSFSQFSDHKKLIYLNVGYNTIITDTEYQNWIPNFQLEFFAIQRCIALQKLPSFLHYQYDLRILAIEGNQLQGKFPTWLLENNTRLAAIYGRDNAFSGPLKLPSSVHLHLEAVDVSNNKLNGHIPQNMSLAFPKLLSLNMSHNHLEGPIPSKISGIYLTILDLSVNFLSGEVPGDLAVVDSPQLFYLRLSNNKLKGKIFSEEFRPHVLSFLYLNDNNFEGALPSNVFLSSLITLDASRNNFSGEIPGCTRDNRRLLQLDLSKNHLQGLIPVEICNLKIINVLAISENKISGSIPSCVSSLPLKHIHLQKNQLGGELGHVIFNFSSLITLDLRYNNFAGNIPYTIGSLSNLNYLLLSNNKLEGDIPTQICMLNNLSIVDLSFNKLYGPLPPCLGYLTQTKKDAEISWTYFAENYRGSWLNFVIWMRSKRHYHDSHGLLSDLFLMDVETQVQFSTKKNSYTYKGNILKYMSGIDLSSNRLTGEIPVELGNMSNIHALNLSHNHLNGRIPNTFSNLQEIESLDLSCNRLNGSIPVGLLELNSLAVFSVAYNNLSGAVPDFKAQFGTFNKSSYEGNPFLCGYPLDNKCGMSPKLSNTSNINGDEESSELEDIQCFYIGFVVSFGAILLGLAAALCLNRHWRRAWFRMIEALMFYCYYFVLDNIVTPIKSRWYKNVG >Solyc08g016150.1.1.1 pep chromosome:SL3.0:8:6950287:6950565:1 gene:Solyc08g016150.1 transcript:Solyc08g016150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGSLLSFKNRNGGDSHDDDDNNNNNSRTYKATSLRRRFRNLCSRKKKSLYKLCHHRFVEFILFKIASLLEAIAMVATLVFFFFRYGFHI >Solyc02g093770.3.1 pep chromosome:SL3.0:2:55124333:55137445:-1 gene:Solyc02g093770.3 transcript:Solyc02g093770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWMGGSVSQNMQGCRAKFRVIALVLLAISIGLAGLYSMLKPISNGCTMTYMYPTYIPVPTPKNLSSMKYGLHLYHEGWRKINFSDHLKTLSGVPVLFIPGNGGSYKQVRSVAAESDRAYQGGPLEHSFYQEASLTLGEGVDFDVTSTPLPYQYTSMLDWFAVDLEGEHSAMDGRILEEHTDYVVYAIHRILDHYKESHDARVKEGAAVSRSPPRSVILVGHSMGGFVARAAIVHPDLRKSAVETVLTLSSPHQSPPLALQPSLGQYYARVNHEWRKGYEVQTSRSGHHLSDPLLSHVVVVSISGGYHDYQVRSNLQSLDGIVPPTHGFMISSTSMKNVWLSMEHQVILWCNQLVVQVSHTLLSLVDQGTGQPISDVRKRLAIFTKMLHSGIPPNFNWLKQSQLPHIPIEDGEAKSGSQAHRVYSCPNNIHWSDDALERDLYIETTTVTVLAMDGRRRWLDIEKLGSNGKNHFVFVTNLSPCSGVRLHLWPEKGTEVSTLPINKRVLEVTSKMVQIPSGPAPRQVEPGTQTEQAPPSAVFWLHPEDMRGFRYLTISVAPRMAVSGRPPPATSMGVGQFFKPADGETALSSGSLIRSMFSLQEMTLNEDHPLALNLSFSVSLGLMPVTLSVKTTGCGIRKSEFTADETGEMEIDRLCKLRCFPPVAIAWDFTSGLHIFPNLFSETILVDSSPALWTSSLGSEKTNVILLIDPHCSYKTSIGVNVTSAAKRFSLLYFPQITGFAIAVVFFALMRQARQWELDLPIPSLLTAVESNLRMPLPFLCLALLPILFALVLSCLISLPLPPAISFISVSTICYLCANGVVAVLISASQLLFYVSASLHVFIKKRSQTREHNFSPLFTAFLSSKVVRIVRFNPLFDMTLVSLTLMCFAHPALGLLLLVISHAVCSHNSLSSFLMASFHSRTQTKEFIESGNRRQSGSKQSIPEHDGEINTHVPQKESNSSSLDSVKSYGDTQLEIFNHRHGLLVLHLLAMLMFVPSFIAWIQRMGIGHSLPWFLDSILCIGVLLHGVCDSKPEFNFFFFFPFPVIQRLEINLSFGYLLAGYFSYICGLALAPYITFYPMAAIGFISCAFRIIEKRSREKGEMYHHRRKHSHKH >Solyc01g014650.1.1.1 pep chromosome:SL3.0:1:14414984:14415244:1 gene:Solyc01g014650.1 transcript:Solyc01g014650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGEREEVFEDEGNSISRTNNENFVQLLGFCNKGQHHLLVYKHMKTGLIAHLLFKYSRLRWSKRVQVANNTAKGLCYLHEECST >Solyc07g041190.3.1 pep chromosome:SL3.0:7:52095423:52101186:-1 gene:Solyc07g041190.3 transcript:Solyc07g041190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQYNPRTVEEVFKDFTGRRTGMIKALTTDVEDFYHQCDPEKENLCLYGYPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKEWLALVAVHSDAWLLSVSFYFGARFGFDRADRKRLFNMMNDLPTIYEVVTGVAKKRVKDKSTVSNHSSNKSKSNSKAGKYSKPQVKDEDDGFDEEEEDEHGDTLCGACGENYASDEFWIFCDMCERWFHGNCVKITPAKAEHIKQYKCPSCSNKRIRP >Solyc08g066770.3.1 pep chromosome:SL3.0:8:55662808:55668464:-1 gene:Solyc08g066770.3 transcript:Solyc08g066770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRLNDAVLRGDFEAIKKLIEEDSNIVEQTLEGSLQHTILHLAARLGHVELVSEIVKLFPEMVSAENRDQETPLHEACREGRVEIVRILLENDPWVAYKTNLWDKSVLYVACERGRVEVVKHFLHNNMHMLLMLEVDMSTTSLHAAASSGHTEVVKELIKVRPDFAWKKDEFMNGCSPLHIACSKGHLDITRELLKLDMDLSGLQDNECRTPLHWAVIKGRVNIIAEILSVSLESAEMTTKHGETILHLAVKNNHFEVLKFLMESLDVSNLKNFQDADGNTILHLATVRKLTTMIIYLLKLGVEVNALNQKGYTTLDVVEADASNSGALAIIPALLEAGAKRCDQLPPNFQDIQQVIASPILGSSWQRKTTSFHSSSSSSQHSYYNHQRKHNNNSRTKKINLQSEGLRNARKTITIVAVLIATVTFAAGVNPPGGFSERDGKALLGKTTAFKVFLICNIVALFLSLGIVNVLVSVIPFKRRTMMKLMVATHKVMWISTLFMASAYIAAIWSILPQGKGDHWVLVEVVIVGGGCTMAVFLSLGILLVRQWKRKSEWRKQREDHKKMKEGSPKSNTSTVQEMKVVKKESHEGSTNSDVDSSDHGYHLF >Solyc01g057390.2.1 pep chromosome:SL3.0:1:60812290:60813726:-1 gene:Solyc01g057390.2 transcript:Solyc01g057390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLTFEEIYEICFVKKGTLSQQNVEDQLNGKIFNIQMKKLFTKKLDAMQTLSILSYPEKEDVIHEPVASTKVNVTKEKKRTIEHVNSRDKEYPSIANKFNPPMKKNFKFKHFCYGCRSSQFLHFFAVATECQILQASDVSMWMSYVPVL >Solyc02g090770.1.1.1 pep chromosome:SL3.0:2:52918019:52918630:-1 gene:Solyc02g090770.1 transcript:Solyc02g090770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLSSFTHKLTHQQESHYMVSALKHVFSGAAVDGRADGEAAQLLWEVENAATSDNSMPRRSINVHPVHVELELGKKKQRRRRNTKKEFRGVRQRPWGKWAAEIRDPHKAQRLWLGTFVTAEDAARAYDKKAVEFRGNKAKTNFPLKEYIDDHDNSSPMMKVEEHENDQNVMNGDENNNNGDDFWATLEDDRLVKFITQDMSH >Solyc12g070220.2.1.1 pep chromosome:SL3.0:12:29863184:29863738:1 gene:Solyc12g070220.2 transcript:Solyc12g070220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNELGFPYWSRSFRGKRIIYDEEDELQENDSGFLQSGTMQYQTRDRSQGLFRISQFIWDPADPLFFLFKDQPPGSVFSHRELFADEEMSKGLLTSQTDPPTSLYKRGLSDPINYVSRSALARVLFLYERDSIQICHSLGEAFCSMNTPPLNDSLSSSRLPSVKTVVQRAIDLPIICTSVTCNMT >Solyc01g105500.3.1 pep chromosome:SL3.0:1:93545709:93549026:1 gene:Solyc01g105500.3 transcript:Solyc01g105500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFMSLSRIGNETLAGTFRILYEVVINNNFTSLGYLVHGATKPYDHSATIECHKQPFDPQYGGGLIANPGFDKGTEAWKMDGHVKIEARESGGNKFIVAYNRTTPYSVSQSFHLKKGLYYAFSAWVQLSEGSDTVVAMIYNSAQKTVITVGSVIAKSGCWSMIKGGLTVDHNAHSELHFQSNNTKSELRVDSVSLKEFTKYEWQENRFKNIEKVRKRTLRVNVSNKNGKKIGGAKIKIQQRKLQFVIGCATPSSILMSESYQKWFVSRFTTAVFDNEMKWYYVEGLQGHENYSTPDAMLKFFEDHGIDVRGHTVLWGADNIQRWVKDLPPRQLLSESVRRMGSIMSRYAGKLVAWDVVNENLHHPLFEERLGKNASAIFYKIASSLDSKATMFLNEFNTLEHPGDMVSIPSKYVEKLQEIKSFPGNEELVIGIGLQGHFDSHPNIPYVRAVFDLLGETKMPIWLTELNVMPCSNQAYYLEEIMREAFAHPAVKGMMIWIGWKPDRCNEMCLLNYELQNSPSGEVVDKLFAEWKTTNLTGVTDKEGSFEVKVFHGDYEITVYNPNSGANVTKRVQVYDDKSETLHVSIIL >Solyc01g066620.3.1 pep chromosome:SL3.0:1:74572167:74579015:1 gene:Solyc01g066620.3 transcript:Solyc01g066620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGRASIDVGADGVAIITIINPPVNSLSLDVLYSLKDAFEEALRRDDVKAIVVTGCHGKFSGGFNISSFVDLQQQKVAQPNPGYISLDILTDIVEVSKKPLVAAIDGHALGGGLEIAMCCHARISTPNAKLGLPELHLGIIPGFGGTQRLPRLVGLAKSLEMMLTAKLVKGEEALDLGLVDAIVSSNQLLDTARKWALDIWEHKKPWNIASLYRTDKMESLGEAKEILKFARAQALRIAPNLHHPLAFVDVVEEGIVSGPRAGLIKEYETFEVLIRSGPCKALVHIFFAQRGTMRVPGVTDLGLVPRHIKRVGILGGGLMGSGIATSFLLSNYHVILKEFNDKVLEAGIERIKANLQSRINKGKLSQEKFEKAVSLLIGTLDYESFRAIDMVIEAVTEDLSLKKQIFMDLEKYCPPHCILASNTSTIDLNLIVDEKSKYADRIIGAHFFSPAHVMPLLEIVRTQNTSPQVIVDLLYVGKKIKKTPVVVRNCTGFAVNRMLFPFTQAALLLVEHGADLYSIDNAFTKFGMAMGPFRLFDLVGFNVAMASEAQFVSSMPGRIYKSMLVPLMQQDKRLGETTRKGFYLYDERRKAKPDPDIKRYIEKAREISGVSIDPKTAKLSEKDMVEMILFPLVNEACRLLAEGIVVKASDLDIASVMGIGFPPYRGGIIYWADTVGSKYICSRLDEWTTIYGKIFKPCDYLAEKAARGIPLYSAHNILYYQTI >Solyc01g111630.3.1 pep chromosome:SL3.0:1:97761003:97765476:1 gene:Solyc01g111630.3 transcript:Solyc01g111630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPVQIEVWNPNGKYRVVSTKSMPGTRWINLLIQQDCRLEICTEKKTILSVDDILALIGDRCDGVIGQLTEDWGDTLFSALSKAGGKAFSNMAVGYNNVDVEAANKYGVAVGNTPGVLTETTAELAASLSLAAARRIVEADEFMRAGKYEGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQSTRLEKFVTAYGQFLKANGEQPVTWKRASSMEEVLQEADVISLHPILDKTTYHLVNKERLALMKKEAILVNCSRGPVIDEVALVEHLRENPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPIWSNPNSVEPFLNENAPPPAACPSIVNSKALGLPVSKL >Solyc09g082140.3.1 pep chromosome:SL3.0:9:68394867:68399792:1 gene:Solyc09g082140.3 transcript:Solyc09g082140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISMKLSILHLFQCMKQCLFGYGVQERKKRFVKFSFRMSCLYDCKFACCQLRANLLVFLVVLKRVTCYLISHLSCLKIVGDWYAQLTSSVMKSDSHLGTITCKAGNSLCASASLIEAGNPSPETMICDICCSEPSFCRDCCCILCCKTISSAYGGYSYIRCEAKADDGYICGHIAHIDCALRAYMAGTVGGSVGLDAEYCCRRCDSRTELVSHVMKLLKICGSIDSRDDVEKILNVGFCIIRGSRRTNAKQLLRHIKSAMAKLQKGACIGDVFKEVEFLNANGGTPHHEESILHKRSSPLKMTSNFDYRVESLKLEDEIDQTLQALRKSQNFEYRLAEERLLVQKNYIMNLYEQLDKERSDLSSHTTMVETDTFVDAVIRRVDQIKREVLKLKDMKQVQNGFGSTSKTILKDYFGLEAESS >Solyc05g043238.1.1 pep chromosome:SL3.0:5:56698390:56698845:-1 gene:Solyc05g043238.1 transcript:Solyc05g043238.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESEPSSATFCVRNRDGDLLRAKGITILDSYSLVADVIAIRIRLQFCLENQIPNIIVESDSLTIVNIMNGNFDFRTFQKLPSNGRKIIDMDKHNILEIRIR >Solyc03g059180.3.1 pep chromosome:SL3.0:3:30064950:30087733:-1 gene:Solyc03g059180.3 transcript:Solyc03g059180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPVCSATACSSSHSQIPFYGGLRSFSSCWKTYQLKCNVVDLSTSFHLNGPSFRGQSPIFLYSQFVQNSSLSSSSDSICTSCQNVIYSVNRELLTSDARSNSTGNLKFVDSSAVPVGREEMMGFNDDLVNIANFSPESANLESITTVDLTQGNPASILDSMDLETSSLSNVKSSALDVLSQVNKSITGSVENGQSYLNSSLDGISSSVTSAVRGATQAVDDVISKLTSTVDKTGDSAGNGVAAFSTGLKEVSGRVGLLAIDGLRHIILIFEDLVSRGATLVVFSYGSVKEVLPMEVQDLLNVSEERAVKLLTPFGTALGQGYVALEGLERSLGLDPSDPIIPFILFLGVSTAFWAFYWKLTYGGYAGDLSPKSSFELLKGDRKAVLIDIRPEDLREKEGIPDLRRAARFRFANVTLPEIDGSTRKLFKSGRELDDYLLAAVIRNLKIVQDRSQVIVMDADGNRSKGVARSLRRLGIKRPYLVQGGFRSWVEEGLRIKELKPETTLTILNEEAEAIFEEINPTPLQVVGYGVGLVAAAYALVEWEKTLQYVGVFGLCQTLYRRISSYEDSEDLKQDVRQLLAPVILGGQAMTWAAGKLETNRNGLPTSPSSTDVQSRVLQAAAKHESQPDSEETQDPSPETMSSVSENIDLSEA >Solyc04g009655.1.1 pep chromosome:SL3.0:4:3020801:3024781:-1 gene:Solyc04g009655.1 transcript:Solyc04g009655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADESQKWVLMVTAQTPTNIAVIKYWGKRDENLILAINDSISVTLDPAHLCTTTTVAVSPAFDQDRMWLNGKEISLSGGRYQNCLREIRARANDVEDKKKGVKIAKKDWENLHVHVASYNNFPTAAGLASSAAGFACLVYSLAKLMNVQEDNGRLSAIARQGSGSACRSLYGGFVKWVMGKEENGSDSIAVPLVDEKHWDELVIIIAVVCSRQKETSSTSGMRETVETSALIEHRAKEVVPKRIIQMEEAIQKRDFATFTHLTCADSNQFHAVCLDTSPPIFYMNDTSHRQVLVTLSYLHEVRGTSYS >Solyc04g071020.1.1 pep chromosome:SL3.0:4:57964598:57965008:-1 gene:Solyc04g071020.1 transcript:Solyc04g071020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDRAFNQFPLETMFKFYLWSLSVPDEKNEITSYGLVENDSDLVHGLLEVEGVFFLPRDRSAKPERARRRKGQTLRPNENEQRRNEKLMCLGTLI >Solyc09g091040.3.1 pep chromosome:SL3.0:9:70862150:70863140:1 gene:Solyc09g091040.3 transcript:Solyc09g091040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAQQAGEYHGQAQAKTKQLAESARNTAHSLADKADNATQNAQQSVQENKDQLNPGFLQQTGEQVIHMAQGAVDGVKNTLGIGSDKK >Solyc08g080620.2.1 pep chromosome:SL3.0:8:63965721:63977521:1 gene:Solyc08g080620.2 transcript:Solyc08g080620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSIFILFSLFFTYANAATILVRNNCPYTVWAAGVPAGGGKRLDRGQTWTINAPPGTKQARVWGRTGCNFDASGKGKCQTGDCNGLLVCKSFGVPPNTLAEYALNQFANKDFFDISLVDGFNVPMEFSPTSNGCTRGITCKAEINQQCPNELKAPGGCNNPCTVFKTDQYCCNSGNCGPTKFSRFFKERCPDAYSYPKDDQTSTFTCPAGQTWTINALRGTKMARIWGRTKCNFDGAGRGSCETGDCGGVLQCTGWGKPPNTLTEYALNQFNNLDFWDISLVDGFNIPMTFATTNSSGGKCHSIQCTANINGECPSQLKVTGGCNNPCTTFGGQQYCCTQGPCSPTEWSKFFKQRCPDAYSYPQDDATSTFACPSDMTYTYAATIEVRNNCPYTVWAASTPIGGGRRLDRGQTWVINAPRGTKMARIWGRTNCNFNGAGRGSCQTGDCGGVLHCTGWGKPPNTLAEYALDQFSNLDFWDISLVDGFNIPMTFAPTNPSGGKCHAIHCTANINGECPSPLRVPGGCNNPCTTFGGQQYCCTQGPCGPTKFSRFFKQRCPNAYSYPQDDPTSLFTCPSGSTNYRKLKKFNVSIEFSPISNGRARGTRPINIVVIP >Solyc10g084430.2.1 pep chromosome:SL3.0:10:64095487:64096972:-1 gene:Solyc10g084430.2 transcript:Solyc10g084430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEHQKSLEAIVMEVLSTLTPLQLTKFTHHFSSLYHHNRHRIFSLLSSPTLFSLTLNHLNSLSLHRKSLLIARYLLSKLAILGYFMEKNMILLPSSSIITMSLWDVDVVSMLLLLCELHQHEPGALDNAPLSCWRNILRDYMAKDMLKLSGIESCCGEVIIKFIELVAKCKNFVNVMAYDINGGDMGRSYGISDSVTDERKDKKKLAASVAVVVALPSSNGGGNDQCVICKEEMNLGRDVCKLPCDHFFHWKCILPWLKKNNTCPCCRFQLPSDDVFAEIQRLWDVLAKISCGACHVVN >Solyc05g008435.1.1 pep chromosome:SL3.0:5:2790036:2790416:1 gene:Solyc05g008435.1 transcript:Solyc05g008435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSTISVYLDRALALLSGTRLANIFSLLASRTSFIGIGLTIANAGRGGKELACGTLMSLLIASKSCCAAPLEELFLASDNALIPLFNLAISSAISSFSWSSIPHL >Solyc10g085495.1.1.1 pep chromosome:SL3.0:10:64770594:64770920:-1 gene:Solyc10g085495.1 transcript:Solyc10g085495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLSNLTENGSANGRPGLGDIPENCVACVFMYLNPPEICNLARLNRAFRGAASSDAVWESKLPSNYHLLLDLLPPQNFDGLSKKDIFAFLARSVPFDDGNKACRFL >Solyc09g010830.3.1 pep chromosome:SL3.0:9:4124733:4145952:-1 gene:Solyc09g010830.3 transcript:Solyc09g010830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSAPAPETKMTSPQEFILKRLENLGVPAENLEHRQPGLIVYVKNNKSQIEELVSALLPTNEEAMDSITDMQTDSPKSTGSSAIKDLFHESMTWLQWLMFEGEPRRALDHLANIGQRGVCGAIWGNNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSVIYTGGGCCDCGDVTAWKREGFCSKHKGAEKIQPLPEGCANSLGPVLDSLLSCWRKGLLFAESLSEQSPRLNSQATEYKGITDALTSAVIEMLLGFCKDSESLLCFISRRVFSSEGLLDVLVRAERFLISGYVVRKLHELFLKMLGEPQFKYEFAKVFLSYYPTVVNDAVKEINDTVFQKYPLLSTFSVQIFTVPTLTPRLVKEMNLLAMLLDCYGDILISCAEENGRLKVNKWGNLYETTLRVVEDIRFVMSHSAVPRYVVRDRRDILRRWMKLLTFVQGMNPQKRETGIHVEDEGDNMHLPFVLGHTIANIHSLLVGGAFSISSTEDADDALFNTHIQDFDDQDSERLAKVGRLSQESSVSSVVGRSPPEHVFMTPESKSDSSPVPSSVLWLTFECLKAIENWLGVDNTLGPLLHILSPKTITSSGNNFFALKRTHSKFSRGRQIIRSNSPSDGIGLPSSTEGCNKQYSYSSPTGGVSLKCGQDLAQETANFGGSDNNMLQTDYALELEAFRVLSFSDWPDIAYKVSLQDISVHIPLHRLLSMVLQRALRQCYGETSVGGSCSNSSSAVDHDFFGHILGGCHPLGFSAFIMEHALRIKVFCAQVHAGMWRRNVDAAILSCEWYRSVRWSEQGLELDLFLLQCCAALGPADQYVTRILERFELLDYLSLDLKRPNEYEPTIVQEMLTLIIQIVKERRFSGLSPSECLQRELVYKLSTGDATRSQLVKSLPRDLSKIDRLQEVLDRVAVYSNPSGINQGIYKLRTSYWKELDLYHPRWNSKELQVAEERYMQFCKVSALTSQLPKWTNIYPPLGGIAKIATCKTVLQIVRAIVFYAVFSDKSNASRAPDGVLLKALHLLSLALDICYMHGGSGDHSCFGDDVIPIVALASEEFSLSKYGDQSLLSLLVLLMRKYRKENDFVEAGIFNLSSMIGSLLKKFAELQFGCKMKLQDLAPEVVNQLSQSVSTGDTKNLESVSDSDKRKAKARERQAAIMEKMRAQQSKFLKSIDFSAEAAPDDSKLSKERSDSVICSLCHDPNSKSPLSYLILLEKSRLLTFTNRGPPSWKRTQNFGKELESSAQRMTNVSSQRSILSSSQEVISSPWLTQLIQNAINEYALEGKTKDVGAFFEYIRARFPALKIQLPCTSSNVDEDTDFSLEMLEEEIYLLIQERMDANSWHWDLSRNGKKISAGGGGGDGESLLLGKYISSLAGENVDSPASESAPKTQLESRMPLTAYEGFGPSDCDRIYLSSCGHAVHQGCLDRYLSSLKERYTRRIVFEGGHIVDPDQGEFLCPVCRGLANSVLPTLPVDSGRFTSLHSSSSPSDAVGLSSSSSAVVDALQFKEALFLLQSAADVSGSIEIIQRLPLRQFGRMRVNLESSYRVLCGMYFPDNDKISESGRLSHSLILYDTLKYSLISTEIATRSGKTSLAPNYSLRALYKELQSSNGFILALLLSIVQSTRTNNSLTVLLRLRGIQLFAESICSGTSANEISDPSVGGNMQAILECAETENQYPDIQFWRWSADPVLAHDAFSSLMWIIYCLPCPLLSCEDAFLTLVHLFYAVAVTQAIITYCRKRQCGLLELGCDDSLVTDIYKVIEEQGVAHQYFESNFIEISYDIKDAIRSLTFPYLRRCALLWKLLHSSRVVPFNDGTNILDGSAYSTNELMECGENNAAELYQIEKLEKILKIPSLDNVLNDVTIRLVVQKWLNHFYKHFETRGLKGALYSTPAAPFKLMLLPHLYQDLLQRYIKQKCPDCGAVQKDPALCLLCGKLCSASWKTCCRKWVSNSCNGLWCCYWGVLVDQKNYCIAPKVCSSGTVAVTLFGCIWRRGY >Solyc06g051040.3.1 pep chromosome:SL3.0:6:34221643:34226398:-1 gene:Solyc06g051040.3 transcript:Solyc06g051040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMYNELFSSLISDIKSYNGKDPLLPWLRGIKKMKESLPPQLLKEKLPRFLQKCAQTFGTDRRYANDMRYLRVWLQLMDYVHDPKSVLKTMELNRIGMKKSLFYQAYALYYEKIKKFEAAEKMYQLGVQKLAEPLDELHTSYEQFLHRMEQRKNKRIQHQEGKNKICSLGAKNTTLKNNEIKENNENLSGNEDNPVITEDQLPNARSKHLNMKNEVAISQGSRRESQVNETTHGGPALQKELWSDLSQKNYVGDTIETDRCRNFIGEDTVVVKFVGNAIVGKSDVEDARHHGLVEPTINTKEAMHAINSMFREPLEPSLAGKHSRRNQPKVDQISNNGFEVFVDENTDSAVGSSDQAMTNGASLTQSTRVETQEPMQDPFQIYIDDDDSNDGMEGVHEVNKLDMGNLHLTGCSIEGGIVKGFVFPSPTDITSEYSRDPNVERPPQGRLRREDTVVYKFVGSTISEESAVENVCHHGLVEPTINLKEAMDDINSMFGRPIEFTRKSKPKKQGLAPKMERDGSRFLILPDDESHHQPKSSLPTSSSKRDNDLFEKTVCTKEAMDEINKMFAMPLDF >Solyc08g074250.2.1 pep chromosome:SL3.0:8:58480517:58483426:-1 gene:Solyc08g074250.2 transcript:Solyc08g074250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYTAVISLLQTLEQLQQSRPDLIQGKMVKTLDSLIATAEYFQNVVEETSKSRFDVETIKSLEEKIRASASYTEDVVELTIYEIIEGLSWTFGIAPTSLLHKNLLSVVGKIDTQKNEVMEIVSEFTTRTHDDQILECDGYSSNATLQHSEDDIMRGLDDDLEIIVERLTGQLSDLDIVTISGMGGIGKTTLARKAYDHLKLRYHFDVRVWITISQEYGRRSVLLEALHCISKQTDNAIKKDYDVKDDNELADLVQKSLKGRRYLVVVDDIWSTDVWDSIRGIFPDYNRRSRILLTTRETKVAMYANPISPHEMNLLNLENSWKLLCDKVFGPNNCHPPELEEIGKKIAEKCQGLPLTISVIAGHLSKVSRTLGSWMDVSRTLGEIISSHPDKCLGVLGLSYHHLPNHLKPCFLSMGSFPEDYHVETWRLIQLWITQGFIRRSGSDESLTEVAKDYLEDLISRNLIMVRKKRFNGEIKACGMHDLLREFCLTEVELTQFMYVETTKVVPTVSAQRHFSFQNSAIHLFHLFPSVARSIYLFPDFTLRPDIDDFSHFKLLRVLAVFNEYNCFRSFPLVITKLFHLRYLQIRCDDNPPASIAELQNLQTLVYGRERGYTTLPEKIWMMKKLRCIHIREAFYLPSPGRKSILNKHLAIGMPNVEELYNLSITSCTNKVFSNIPNVKRLIIQNNALLRTGDRASSLVDTSSLTKLEALKFVKKLSWSARTLISIRSLPISLKRLTLAGWHSFRWTDISALVMLPNLEELKLKNYAANGYVWRLNDEDKFQSLELLLFCNINVEHWEASSDNFPNLKRLVLKECRKLKEIPIDFGEICSLESIELHNCSTLAEESARKIEQEQEDMGNNCLKGTDAYFEGLSDSISSFKGRFSLKDRLG >Solyc03g078350.3.1 pep chromosome:SL3.0:3:52104480:52108254:-1 gene:Solyc03g078350.3 transcript:Solyc03g078350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSKKTKAKKNSTESPAPAVSTSNFPACIRAVPPSSVAITIHAKPGSKQATVTDLNDDAVGVQIDAPAKDGEANAALIDYISSVVGVKKRQVSIGSGSKSRDKVIIVEDATLQSVFDGLNKVLKDQ >Solyc09g092390.3.1 pep chromosome:SL3.0:9:71977277:71985584:1 gene:Solyc09g092390.3 transcript:Solyc09g092390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMASRAEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFAKNGTIPDPSSTDNVEFQLVLTIIKESLKTDPLKYTKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVALVAGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVLPLEDVVSEVDIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETFPGVKRITIKPQTDRWVFPDTNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQADYISVPVEGPYKPAHYSQNLDLLPIGEYGLEVFDKVKPGLSSYENDPKAAAKSLEPLLLKAESVVPINLHPDTPVELGATAGLRMLKGNAAERIMQSVRNMLKNESIFEYKDERVSILSGTQEGSYLWVGLNYLLKTLGKSYQNTAATIDLGGGSVQMAFALSKENSEKAPKNLDGENYVLHKSLMGANYYLYVHSYLNYGLLASRAEILKVSRNSTSPCILKGTDGYYTFGGVAYKASPLPNGSSLRKCKAIVWKTLKLNAPCKYHTCTFNGVWNGGGLDAIKNIYISSFFFDMASEVNIVDPKASSGKAKPIQYLNAAKIACKLKAEEVKYVFPNVDSKDLPYLCMDMIYQFSLLVDGFGLNPHREITLVHDINYKNNRVEAAWPLGCAIDVVSSSSLRTHISSS >Solyc10g019285.1.1 pep chromosome:SL3.0:10:13065795:13067070:1 gene:Solyc10g019285.1 transcript:Solyc10g019285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRYKGRTILRNTQEAVASYIDFVKRNLADESSFVVAMLVLLQDVVHVREKKDAKAKVPDIQRKPATTVSLKERAGDTDVVFDSVIKRLSNVMTEDNKLNTIMQETATVAHADIEEGEAYDKQIKPLPDLEKTSGAEKGPNVGATNTNKEKRKPKYPKVFDPTNPKRWFPKREMSSYRRILRERCQ >Solyc10g086440.2.1 pep chromosome:SL3.0:10:65385428:65387173:-1 gene:Solyc10g086440.2 transcript:Solyc10g086440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSISAYLDPSNWQQQVGYSIPNPQLPSGLSQPTPPRPLASTPPPPPPPPPPPQPHHVGGGGSIRPGSMADRARLANIPMSEATQKCPRCESTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSSTSNSSTSAKSSNNNTKSQGSSQTTNSGSTSNNNSSPSSAASLLGLMNPPIHPLRFMSPLGPLTDQHFTPNEMNYTSISSPSPAPIVMGTNENMNFQLGMGSNLEQWRLHQQLVNQFPYNLYGGLDSSPASGSGSASASGLYPFHQAHYDASGGGVISQIRPKVSNPMLTQLALMKMEDDQDHVASMPRQFLGNENWTSNANWNELSASFSSSSTSNNVL >Solyc03g005870.3.1 pep chromosome:SL3.0:3:590054:593419:1 gene:Solyc03g005870.3 transcript:Solyc03g005870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 4E [Source:UniProtKB/TrEMBL;Acc:Q4VQY3] MAAAEMERTMSFDAAEKLKAADGGGGEVDDELEEGEIVEESNDTASYLGKEITVKHPLEHSWTFWFDNPTTKSRQTAWGSSLRNVYTFSTVEDFWGAYNNIHHPSKLIMGADFHCFKHKIEPKWEDPVCANGGTWKMSFSKGKSDTSWLYTLLAMIGHQFDHGDEICGAVVSVRAKGEKIALWTKNAANETAQVSIGKQWKQFLDYSDSVGFIFHDDAKRLDRNAKNRYTV >Solyc02g080240.2.1 pep chromosome:SL3.0:2:45065215:45073049:1 gene:Solyc02g080240.2 transcript:Solyc02g080240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFSKSMIFYILLFSVNIKAQDYDGKLPPAENNCNGIFLSYVFISRTKELPHVKNATAQAWAFKATATVLNAGIYELKNWKIFIGFQNRELLVSASNAVLLSGDDLPAPVGNGTYLAGYPQTDLKTSIDTAGDVKKIQAEIELTGTQFGIKPPGYPMPKTIKLFNDGYKCPAVKRKATKMHVCCLKDPKFKVKNFTTKFFPRQNGDLLISYDVMQAYTTNYQAQVTIQNSNPLGRLDQWNLTWEWMRGEFIYSMRGAYTRKKDYSNCVYGAAGQYYKDFDFSKVLNCEKKPTIADLPRERAQDKDVGMLPFCCRNGSIMPTVMDATNSKSVFQLQVYKLPPDLNLTALYPPERWKIVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGICSPLNLTALYPPERWKIVAIASWQIVCNITKPKDKANRCCVSYSAYYNDSAIPCNTCACGCDNSAKCSQHAPGLLLPPEALLVPFANRREKSLYWAKLKHYRVPKQLPCGDNCGVTINWHIDSNYKSGWTARITLFNWGAVNFVDWFVGIQFKKTGSGFAQAFSFNGTLLRNINDTIFLKGLPGLNYLMAEVDGRKPGDPRVPGKQQSVLRFNKKHLKGIDILKGDGFPTKLLFNGEECALPTHLLAADGRKWHAKSWVIAMITLLTFLLMLKDLQ >Solyc12g038120.2.1 pep chromosome:SL3.0:12:49653800:49656235:1 gene:Solyc12g038120.2 transcript:Solyc12g038120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRVLHYLAWTSDRGLFLQKESPLLLHAYSYSVWAGDHDDHDDRSSTTAYVVFLEAEYRAIASTTAELCWVCNILKELSVTPAKTQDRFLSDDIRSDSKHSKRGTVAMASACNGEKNQNASQFYITLRDDLVSLDREHTLANLIPDASPERKLKDEIDDDIRLEDDWMPKYEELGVHEEKEAHSRTVILEILGDIPDAEMKPPDNVLFVCKLNPSTKEEALYIIFSRFGTVTSAEIIRYHKTGDSHCYAFIEFEDKESCEQEYFKMDNTKIDDRRIQVDLSLSVAKLWSQYRPKNQRSSVRLNNGSVDAVKQQKEESKQHGGDDTPLLDGVTT >Solyc04g028420.1.1.1 pep chromosome:SL3.0:4:16165888:16166439:-1 gene:Solyc04g028420.1 transcript:Solyc04g028420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFFEYMLHPYYTTVSKLLKPLHFQTYLLRDFPHTFKHIFHGECAQMQNSSLTTKALTSAIRLLLLFLLLLLLLFVFLVIVDVASVVVVTIVVSIVGVVAIVVGVGVGGVVVVVGGGGDGGVYVVDDIVVVVGGGGADVGVAGGGGGVGVSVVIVVVVVVALVIVFVVVVVVVVVVVRQLYL >Solyc06g006010.2.1 pep chromosome:SL3.0:6:1012368:1018226:1 gene:Solyc06g006010.2 transcript:Solyc06g006010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTCNLLFSLAVFITLYRHTSSLANISTDEAALLALKFSSSPVCSWIGITCSSRHHRVTALNISSMQLRGTISPCLGNLSFLVSLNISYNALYGDLPVELARLQRLKFISVTNNNFTGAILSSLSLLPNLRVAYLSMNQFSGEIPSFISNITKMEVLSMQKNFLQGEIPRELGDLCYLTILDLQFNELSGSIPVSIFNITTMKNIGLTYNNLTDQLPTTICDHLPNLEGLYLSKNYLGGVIPPNIEKCRKLQVLSLNYNEFTGTVPRELANLTALTILYIGSLHLEGEIPVELGNLKKLQALGLSDSRFSGSVPANIFNMSSLLVLDIAHNKLSGTLPSDLGCAMPNIEVLICGGNNFSGFISPAISNSSRLRILELSGNSFTGPIPESLGNLMYLEVLSLWGSNFVSDSTLSFLTSLTKCRKLRSLWFYGNPLDGVLPASVGNFSNSLKNFAGNGCKLKGTIPREIGNLTGVISIALQYNELTGHIPNTVQGMLSLQELYLQRNKIEGRIPDVMCGLNNLGALDLSRNQFSGSVPPCLGSVTSLRTLYLAYNRLNSRLPASLGGLRDLIELNISSNLLSGEIPFEIGNLKAATLIDLSKNDFSGKIPSTLGGLDNLINLSLAHNRLEGSIPDSFGKMLALEFLDLCDNNLSGEIPKSLEALVYLKYMNFSFNKLSGEIPIGGPFANITSQSFLFNDALCGDSRFNVKPCPTKSTKKSRRKQVLTGLYILLGIGSLFTLSVGFVVLRLRNTKKNASQKDEFLVKGHERMSYYELEQATEGFNEANLLGNGSFSRVYKGILKDGIIFAAKVFNVQLEGAFKSFDTECEMLRNLRHRNLTKVITSCSNLDFKALVLEYMPNGTLDKWLYSHNLFLNLLQRLDIMIDVASAIDYLHNGYSTPVVHCDLKPSNVLLDEEMVGHVSSSMACSSHNNKIGHQCKCFSHSCSTICHSYKPSFSSQNTKSAVKDTIPSNTNNVLAGAVPPLSHGSCSDLLWHYKLGHVPFIKMKGITSIPVNF >Solyc05g054940.3.1 pep chromosome:SL3.0:5:65578838:65585359:-1 gene:Solyc05g054940.3 transcript:Solyc05g054940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFCLNTQLSFTYKFAVVSASTGAGKSIAMANSVRIAAAQMTSVNNLAVNFGTCSRLVKEAASAGAKLLCFPENFSFVGDLEGESLKIAEPLDGPIMKGYCSLARESNIWLSLGGFQEKGSDNAHLRNTHVLIDDNGNIRSTYSKMHLFDVDVPGGAVYKESSFTEAGKDIVVVDSPFGRLGVTVCYDLRFPELYQQLRFNHDAQVLLVPAAFTTVTGQAHWEILLRARAIETQCYVIAAAQAGKHNDKRESYGDTLIIDPWGTIVGRLSDRSSTGITVADIDFSLIDSVRAKMPVCKHRKPAEFWNQSV >Solyc01g098310.2.1 pep chromosome:SL3.0:1:88752213:88754733:1 gene:Solyc01g098310.2 transcript:Solyc01g098310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSWYRGNSAKFRLRPAERRTEDKMLLCLCHWGRKTKMLPDGSILYAGGITDQFVVETSINYNDFVKAVFDRLGIDSSDKMLHFTVKFDRSEPIRLRDQQGVDTLLQFNDGFAHVYVSSLQEEPYSITPSVGARKVELDVVSYSGQNTTPAGDDENNLESALEKARFQSAGDSSKPLKEAIANQSAGDREPQQKEAVGVDDQKIVGTTRSCSSSKSNTISDKDNSDFLQCCHPEFSDFFKHKAENCFAVDQIWAVYDDVHDAMPRKYVRISKVFGPEFKIMFRWLEPLPDEDQRECAWVKSGCGKFISGDIHSTSDRFSFSHQMHCKEGTSDMYILYPRKGEIWALFKAQDILWSPLSHSEPKYEVVEILSDFVKNAGVRIGYLDKVTGFAGIFQRTKLFVSSSFVIKPNELYKFSHRILSFKMIRTEGTGVPVGSFELDTASLPLDPNDIWYPGKVKEELGLAKLGIR >Solyc03g119725.1.1 pep chromosome:SL3.0:3:69756995:69758405:1 gene:Solyc03g119725.1 transcript:Solyc03g119725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPRWGYVRIISGTIFGGIFGFYLMHRAELQYKEMWNERLKKYEEELKMKQSMETHTPTELSGDHLAR >Solyc04g053050.3.1 pep chromosome:SL3.0:4:51307694:51308285:1 gene:Solyc04g053050.3 transcript:Solyc04g053050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVKIPTIDFSNPELKPNTPLWESIKIQVFEALKEYGCFEAIYDKIPNETREGIFDISKEIFEFPLETKLKNYSEIPLHGYVGMIPHLPLYESLCIPDLNPQSVQTFSNIFWPHDYLFQK >Solyc12g055850.2.1 pep chromosome:SL3.0:12:62811710:62815580:1 gene:Solyc12g055850.2 transcript:Solyc12g055850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLTHKIERSEIASGDHIYSWRTVFAYSHHGIYVGGSKVVHFTRDQSIVSGDQILFSAPLNCSSASSTNVSSTCTNIPDCGFQQKASGVVLSCLDCFLGEEGLLYRFDYGTSPSVFLTKLRGGTCTTAQSDPPEAVIHRAMYLLQNGFGNYDVFKNNCEDFALYCKTGLLVLDQGALGRSGQAASVIGAPLAAIASSPLKLFMTSPAGLVVATVGMYCLTRYATDIGVRTDVTKVKVEELTSFHNCKSSKKRKNENHSDTREVTLNQTETPAKTRRKNVIEGVWD >Solyc11g020550.1.1 pep chromosome:SL3.0:11:11439459:11439784:-1 gene:Solyc11g020550.1 transcript:Solyc11g020550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGDGITAHAGLHNQLVLGLTANLPKKKEGKGTGSSKGKMMSSPELGDRENKGVLFHAAAGGVLEVIWMLNWWFFRW >Solyc09g091180.3.1 pep chromosome:SL3.0:9:70975928:70981304:1 gene:Solyc09g091180.3 transcript:Solyc09g091180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFAANLASKASVARTSSQKIGGRLNWSRNYAAKDIRFGVEARALMLQGVEQLADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFKDKIQNVGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGITMAVDSVVTNLKSRARMISTSEEIAQVGTISANGERVIGDLIARAMEKVGKEGVITIQDGKTLLNELDVVEGMKLDRGYISPYFITNQKNQKCELDNPLILIHEKKISSINAVVKALELALKRQRPLLIVAEDVDNEALATLILNKLRAGIKVCAIKAPGFGENRKAYLQDLAILTGGQVITEELGLNIENLEFEMLGTSKEATISKDDTVILDGAGEKKSIEERCELIRSTIEQSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYAARELDNLTTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLDQDNLDLGYDAAKGEYVDMIKAGIIDPVKVIRTALVDAASVSSLLTTTEAVVVELPKDEKESPAMGGGMGGGMGGMDF >Solyc09g057887.1.1 pep chromosome:SL3.0:9:52324470:52326212:-1 gene:Solyc09g057887.1 transcript:Solyc09g057887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIGGDELRRDYETRRGKMRTRGEVTRQDEMSRETDALPSNKNKKQQLHCQLPCFNDFGFLAEVSQSFLIDSCDISGLGSKV >Solyc01g017400.2.1 pep chromosome:SL3.0:1:23863080:23864096:1 gene:Solyc01g017400.2 transcript:Solyc01g017400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWLVQDAEQKSLILEKHHLYGNVHAEEKLCQYIYIWYATTEYLPRGNASQVYQLEGMRGLMSDPQRQMIDLPIQSNLREGLSLTDYIISKIQQKIEKNPLSILRQAIRGVTPDITVKARRVCGSTHQVPIEIRFTQGKALKIRWLLEACRKPQGRNMDFKLSSELVDAAKGSCDAIRKKEQTHKMAEPNRAFANFR >Solyc01g097850.1.1.1 pep chromosome:SL3.0:1:88429818:88430336:1 gene:Solyc01g097850.1 transcript:Solyc01g097850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKSRGRQKIPMKKIEKLGDLYASFSKRRLSLYKKASDLVFECDVDIGMIYFSPKGNPYSFFHPNVNTVVSRFQNPDMEFSESDLLITTDNQVKVKELKSRLDELDIIEDIAIATKKSYDEVIKARKRGWWESIEQLNEPQVTKFEAWMDTIIFNMQNRLNEMENGASSS >Solyc06g011560.3.1 pep chromosome:SL3.0:6:8111875:8115710:1 gene:Solyc06g011560.3 transcript:Solyc06g011560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISILYNFPGPMTLILNYQFKPRIFHPFGLISFININTISAHKNGVFLNKFSAMVVSLLLIWKYDCSSKYRKENGQKKATFLCKDDVWKTCYKAIHPNWLLAYRLIAFSILLSLLTADVVLHGVRILYFYTQWTFTLVTVYFLLGSSLSIRGCLQPCNGGSGASTGGVDTERGTYIAPVPENLSVLIESNGLNSHGEPHDHWGLALQIIFQMTAGAIVLTDCVFWLVIYPFLTDKNYKLHFLAVCMHSVNAICLLGDVFLNRLFPFFRLAYFVLWTCVFVIFQWILHMFVSLRWPYPFLDLSSQYAPLWYFAVGILHLPCYGIFAILIRTKTCCLSRLFVS >Solyc02g076840.3.1 pep chromosome:SL3.0:2:42526950:42538396:1 gene:Solyc02g076840.3 transcript:Solyc02g076840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLVRTAVRNVYGLGTPELYRDAEKEDPKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQEQVMVTSSRSNKLVVRVQKIEAALPPLEKSVLAQQSHLHFAYTAGSNWHARIRSEQNHFIYNDLPRFIMDSYEECHAPPRLHLLDKFDPGGPGSCLKRYSDPTFFKRASVGSDEEYIAKVLKEKKGRKIKKKGSWRRNGEVSRSASMPNYGSRMQFAYRNLDRRPSWVQSFSAYDTTLKSDIDSRHGSGLTDYVSQTSFSIQPEDGKSETVSSTIKMQHNQSFDYSFLEEKSDHTFNDIDKDFSQELTDLVSTSVSWNMKLPDTQESKGSFDSTSQLHLNNTFNHDFPEERREVVYDDIGNIVSEEQADRCTSSITWNDKTGREKQESRESFSSPSQIHHDNLPDCASPVRKGDDEYSDMGNSLTEEQIGRNLLPVALSDKMRTAEVESKEIFYSPLQMNPSASIEDDSPNEKLWVISDEESNNFPQGQVVLSSPFSSSSVKNEQLDLSIQKYDFDESLEALQENLVLDTQVLDIATSENIQQQSSEPEAEIIQRSISYESQFDDIESESDSFMDALNTIESESETDLDCQRKRAMELESSLKTESSLNGTHVNSAELSDRNLSTPIPEAAARNSPENRGFGGKTNLVSADSDPGDFSFSNKVKRKEIPENISSGFDEILSSPQIAGITLKLDSSIDVPSSKRSNFLEASQEEPLVSNHITSSPRNPSSALPVVNKIHCSPSDSEKPPPQLLATPKVKFWTNGGLLGLEPSKPPDGVINSVGQVYESNQNEEVIASRQDPVPSSEKHTGKQDDVQNTSREKADCQNSGQGVAFSIKNISSRFSAKDLDVKLDKSSNLYQQNCTGKPLHSSSNGYGMTSRTIGTVSPESPILAGQENGKNSSRILELGNRLLTNGFHGKLSLGWNDKTDSASSFNTGSNEPINDYQQCVGRTIKDFPGRVSPFTSPPSSPPLGHMKISFQPIDSIETTKLKLRFPESNNDMFPSFQLVPEPSIPLQEVGSDSDDDTFSGSSPDLSDDYLSHQSESNSEQWESGNFPNLKDQEVYNALHRISLTESTSTSFENGRTTHQDLHTCSRNHIPFAESSLEDSPSDNLFDLPVLDTQHSSFKHGVGNTTSARDFLEPLKESTPPAPPLPSMQWQNMQSHLDDEQDDLHLFSEHHHVFDHKEPGSTISHQPKPPPFKQNQVIESAFTLKSKQPQSIDTTGQQFADHAGNGRGINEKEDFLHQIRAKSFNLRRTAPAKPTGNTVPPASVKVNAILEKANAIRQAVGSDDGEDNWSDT >Solyc10g044495.1.1 pep chromosome:SL3.0:10:26604902:26606523:1 gene:Solyc10g044495.1 transcript:Solyc10g044495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDAHRSWIREGLFAKHENKRRITTVYILRHDQDRYKKGKARIPVPFDFGVDIVDNKNWFYNLYSKGQLLNDSLTMYDYYKNRGLDKSVSQEENDLFEIVFIDNIPQQSDDSLARYATLLWNYAKQKQDNGAISESEAPPRHAMPQFVRVVSAPIEIQ >Solyc01g028910.2.1.1 pep chromosome:SL3.0:1:40264044:40264244:1 gene:Solyc01g028910.2 transcript:Solyc01g028910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGDPILQGHYPVSGMSQDLVVASMCVQEQPNMCPVIANVLKALTYLASQKIYHETRGAHHNFTART >Solyc04g049940.2.1 pep chromosome:SL3.0:4:44824892:44826043:-1 gene:Solyc04g049940.2 transcript:Solyc04g049940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQGQVQPVLKPLLKHCLYCLERVLVLIDLIHLGIAGIKNTSSYNLITNWRDIITKIYSNPRFYSIL >Solyc05g016040.1.1 pep chromosome:SL3.0:5:13798650:13800119:-1 gene:Solyc05g016040.1 transcript:Solyc05g016040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRISENTFIELNDELLLRERKREAAERRSTIDDEKERGDDRRRERRAATRVIREHKTPISSATLQRVAPTKVNHIGALPLFSYHYVSTLPDMWQMVSPSGRLDLPIDPTIPSPNEHVTDQYHPYVAPRKPKCM >Solyc01g014700.2.1.1 pep chromosome:SL3.0:1:14458567:14459666:-1 gene:Solyc01g014700.2 transcript:Solyc01g014700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMWSTGRIDSNVAYAVMNDTGNFVLVGVGSSVLWECFRYPTDTLMPTQILQINNKLVARKSESFFVSGRFYLPMLSDKNLVLLTQSKLMNIDYDAEYYNSHTSDLGDEANSGYRLIFNAFGSVYILKRSNQRLVLTPPNVPSISENYHRLSHYFDGVLNHYYRPKSKSTGDQKRSTLSSLPDIICLEIVEDIGSGVCEFNRTFKH >Solyc01g100370.3.1 pep chromosome:SL3.0:1:90302669:90309164:1 gene:Solyc01g100370.3 transcript:Solyc01g100370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAAKERKILIAVDESEESIYALSWCIENILTGNSNDTLILLYSVPPRAVYSTLDGSGYLFSSDILATMERYSSGVAQCVMEKAKRACEALNGVKVETIVEHGDARDVICQAAEKLHVDMLVMGSHGYGVIKRAFLGSVSNHCAQNVKCPVLIVKKPKTDGRSK >Solyc01g103940.3.1 pep chromosome:SL3.0:1:92311554:92315579:1 gene:Solyc01g103940.3 transcript:Solyc01g103940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYELVKDIGSGNFGVARLMRNKETKELVAMKYIERGHKIDENVAREIINHKSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVHYCHNMQICHRDLKLENTLLDGSAAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTIQRIMAVQYKIPDYVHISQDCRHLLSRIFVANSARRITIKEIKSHPWFLKNLPRELTEAAQAAYYRKENPTFSLQSVEEIMKIVEEAKTPPPVSRSVSGFGWGGEEEEEEKEGDVEEEVEEEDDDEEEEDEYDKQVKQAHQSLGEVRLT >Solyc01g102910.3.1 pep chromosome:SL3.0:1:91450976:91453382:1 gene:Solyc01g102910.3 transcript:Solyc01g102910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKSFVLTISIMAVLFSLSHASDPSPLQDFCVAVNDSKNAVFVNGKFCKNPLDVNADDFFRPGLNLAGNTSNQLGSAVTAVNVNNLAGLNTLGISLARIDFAPYGLNPPHTHPRATEVLTLFEGTLYVGFVLSNPGPNMKNKLFTKILHPGDVFVFPVGLIHFQFNVGKTNAVAFAGLSSQNPGVITIANAVFGSDPPINDDVLAKAFQIDKKVVDYLQSQFWWDNN >Solyc09g075920.1.1.1 pep chromosome:SL3.0:9:67972978:67975338:-1 gene:Solyc09g075920.1 transcript:Solyc09g075920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CVG9] MVSWKILLWFVSIYVTFISSFCQETTNIVPLGSHLTAGTASSHWLSSSGDFAFGFYHLNTTGLFLVGIWFDKILDRPLVWSANRDDPVHAGSKVNLTLSGRLVLTDTNGREFVLYNGTGTSHAAMQDDGNFVLKNSSSGVLWESFDFPTDTILPGQHLDMGQALFSSANGTVDYSTGKYRLEVQKRDGNVVLSAYRTADIGYWNSITANNNNVRLVFDNNSDTLFITNGSSIISNMTWTANLPDSVRDYYHRAMITDKGDFQQLFHRKVNGSGWNIAMQVIVKPCIVNNICGVYGFCQSPDNKEVNCSCLPGYSPRDQYNPSKGCYPNEMKDYCDPNSSLSDVYVERISNTDFPNRMYSELERVFETTEELCRQEVLNDCLCEAAVFNDSTCFKKRMPIQNARSINPDTNNMVAFLKISNSSKTQTKKHPVSKGVLVAGVTVCSVLAPLFAAIAIYYHPFVKKYRDAKTPPKRKAIEMNLRAFSFQQLHEATNGFKNKLGQGASGAVYSGILKLEDEEVEVAVKKLGNGIEQGDDKEFLAEVRVIGLTHHKNLVHLLGFCNEKTNRLVVYELMKNGAVSNIIFCDGQKPRWKLRCDIVLDIARGLLYLHEECENQIIHCDIKPQNILLDKNNIAKIADFGLAKLLMKDQTRTNTNFRGTMGYMAPEWLKNMPVTTKVDIYSFGVLLLEIIFCQRHMDLNPIGQENEELELILVDWVLHCVRNEKLRAVATLDEEIMLHFNNFERMTMVGLWCLCPEPNLRPSAAKLVQMLEGTIELGVPPMVDTTI >Solyc03g093770.1.1 pep chromosome:SL3.0:3:56873578:56875184:-1 gene:Solyc03g093770.1 transcript:Solyc03g093770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTQTILLFFSPNLSITSLLLCCQMSFVPVETSTSKKLQKKRHHQMINTRSLSVLKRLRVDSINNFQENYRPSIRQNNVQQHDVLINHKLNVPKISSAYTRCEWFLNGGRSINRSKLPTISENEHIQKRKQVRETLKLFADEYTKFFQEKKVEKQGKHSKRIININAAMILRNQEKWVNSEWAFGHVPGVEIGDRFRFRVELAMVGLHHKIFRGINYVNIDNKKVATSIVDSGRYENETISSEKFIYVGQGGNPRVSVNARVEDQKLERDNLALKNSMDLGYSVRVIYGRPRVDSEKTERKYIYYGLYTVTKCWQERGPTGKYVFKFELQRNPGQPKLTQLVNFSYASKNGDEFQFNPRSSILKADPLVHECGPYCKCPQSCKNRVSQHSLQYDFMVFKTKSKG >Solyc08g076730.3.1 pep chromosome:SL3.0:8:60802405:60803887:-1 gene:Solyc08g076730.3 transcript:Solyc08g076730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVLFRTGSGSIPAVVPGSQRAVSVSTRESIGKVFNGEKKKGCSSPMISLYSEVNRRGIRRVSSDSDVIRSGFEGSSVTRKLSKIGSRSLSSIIPEEDCGSEQNELEVLRSIGSLSLTEDRRSYAGDWHRNSIPVDELGFSGGGIGSTKNKKFGGNGDGRDEIDADSLTGGKSDPRKIGAYYKEMLNSDPMNPLLLRNYGKYLHEVERDFVKAEECYGRAILASPGDGEVLSLYGKLVWETQRDENRAKSYFDQAVHASPNDCTVLGSYAHFMWEAEGDQDEDEDEERETVAAGASMVAAY >Solyc10g081790.1.1.1 pep chromosome:SL3.0:10:62910055:62910627:1 gene:Solyc10g081790.1 transcript:Solyc10g081790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTTTTTLSDPNSGTDGFLGSGHIGGFGYGIGVSVGILLLITTITLTSYFCTRNQTIELPPPRRRHRINRSTLQHDGQDDNNNNNNNCIVDIIGIDETTLLSYPKLLYSEAKVNHKDTTASCCSICLADYKNKDMLRLLPDCEHLFHLKCVDPWLMLNPSCPVCRTSPLPTPQSTPLAEVVPLATRPLG >Solyc03g112360.1.1.1 pep chromosome:SL3.0:3:64268006:64268452:1 gene:Solyc03g112360.1 transcript:Solyc03g112360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPTVNIDKLWSLVPQEVKDKAAATKGSAPVIDVTQYGYFKVLGKGVLPENQAVVVKAKLISKNAEKKIKEGGGAVVLTA >Solyc11g007100.1.1.1 pep chromosome:SL3.0:11:1565450:1565683:-1 gene:Solyc11g007100.1 transcript:Solyc11g007100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENSELYLRNCQIIRENERLRKKAQRLNQENQALLSELKRKLAAKNAKRKPEFEVDMGSSSVSDQIEANKRQALE >Solyc06g084220.1.1.1 pep chromosome:SL3.0:6:49454620:49455057:1 gene:Solyc06g084220.1 transcript:Solyc06g084220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPVTSNVLSPANGSVCRKKKLRRLPHIFAKVLELPFHSDSDVSIHETSDSLRFVIPTDDVGDNIRAHIVEIYPGVTKIVIRADNVFDSSLDLDLWRFRLPATTLPELASARFSDGELVVTVPKGEEEDDGDIVRAGGFVLVQ >Solyc02g069510.1.1.1 pep chromosome:SL3.0:2:39952963:39953508:-1 gene:Solyc02g069510.1 transcript:Solyc02g069510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVYSTINSVSQNFSLSSAPAPTLPLPPPSSPPTVSRYELQKRRDWNTFGQYLRNHKPPLILARCSGANILEFLKYLDQFGKTKVHSCNCPFFGDPHPPAPCNCPLKQAWGSLDALIGRLRAAFEENGGRTETNPFGARAVRLYLKEVRDTQAKARGIAYEKKKRRNIKQRISSTINNCD >Solyc05g054280.1.1 pep chromosome:SL3.0:5:65082597:65084408:1 gene:Solyc05g054280.1 transcript:Solyc05g054280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVFPNDLNSAPLCTVCEHKVVDDGTRSITKFMDIIFMPMGLMKCPNCEVVEEDGLWMKFADSQDGESSDEDEDENEENNDEDQNNEHHDMNPLHATNGAQNGGVGPMRGRQLHVPLPPDAIEMIEQRICVSCLNNIDSDTAIRNDMLPTFELITLENTSSSVSDASSSKTH >Solyc10g036770.1.1.1 pep chromosome:SL3.0:10:17014831:17015133:-1 gene:Solyc10g036770.1 transcript:Solyc10g036770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACDFSKILHARSWSILIMHETSTNRMRHQPRPTCTTMKCVKECQRTTYGISQGLHASSVLCAHQLCYFTRGMSALNETCARLPSINGQWQNSIAKVYTH >Solyc09g057526.1.1 pep chromosome:SL3.0:9:49820706:49821283:-1 gene:Solyc09g057526.1 transcript:Solyc09g057526.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFFEILCMTDNHGDFSISPQLNRGDRISIRKNEETIQPKGFIAIATTSVWLPLSFQDVFSFFNDDKTRNQEMIVIKRNDRIYLCIDIQLFMQ >Solyc07g064740.3.1 pep chromosome:SL3.0:7:66893944:66902410:1 gene:Solyc07g064740.3 transcript:Solyc07g064740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFVSRAKTAFHSAAAKAEKVFTDIKKSDLINDRDSDKQSPVTSTNEISDDKDDSKDEKNSRQRPPPIKAKQDWQERFKNIRIGKRGTEGTDKAASPGMAYAIFDDNICFTSEREIPDSKDSESGLTVEESKHRDRDVIPPASVMKQLAVAVEAGKRCSTMKDFLASSRGSSPIMERASLSLSAVKSLVLREKDDKFAGEFGADDKVLSLINLLLDAGHFAGRKVDSANASSLPKDLHGAPPESFITNLADVTGRMKSLRKMALLWCKIVAELRRLWSEGQYIPGIPPDQIPDLNSCLLYQQLQVINCCISRKKRRIAATESLDSAVRLGSSKTDVLADDGTLPATPVLYAKVNTGELILRLGMDSKSDLRMLETGEPIYTPIMQEEPLLTEDLIKETEELVLRTGSLGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWMECDTIDGINETPDANDSLSGRGQLSTRMQKEGNLWRELWETSKPVPAVRQTPLFDEDLAVESILDNLEDISPHELFKQLFISLLGSGFITAEATLSNNSNLVKLFSDCKEYVILTCQRSNWVDKVDELCQVYETVETMVLSPDEVIRITFQPEEPSAAPANELKSRFKRLSLIFRNKDKLSPRDQKNQEESPLRQPFSSIFSKKPPKPDSSSPDKPVTSVENDWTIV >Solyc11g032120.2.1 pep chromosome:SL3.0:11:25139311:25144208:1 gene:Solyc11g032120.2 transcript:Solyc11g032120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNYLSQTSQNPPLTIYSNLLFSAPAAMARNKQAAKRSHTKPSVEAGPSATPSV >Solyc02g032810.1.1 pep chromosome:SL3.0:2:29841781:29842011:-1 gene:Solyc02g032810.1 transcript:Solyc02g032810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLFYFALEVSILFLSYRFFSLNSNENEGFNRRTRTKVNDLTIRESSNDFEVTQKYKYLWV >Solyc07g040891.1.1 pep chromosome:SL3.0:7:51105407:51107190:-1 gene:Solyc07g040891.1 transcript:Solyc07g040891.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRKHVLELVSDVGLKGSKPMHTPLEPNDKLTSVEHDRCIGVQDDPLFEDISKYQKLIGKLIYLTITRTDICFVGQLLSQFMQHPKQSRWLAALRLGCLSQYKKISHKLCLVVGDFIDFVDVQKKQTVTRSSAKAEYRSIDAAVAEFADESAIRKFTMPD >Solyc01g105590.2.1.1 pep chromosome:SL3.0:1:93613082:93614484:-1 gene:Solyc01g105590.2 transcript:Solyc01g105590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT3 [Source:UniProtKB/TrEMBL;Acc:I6TNE6] MVMAKLDIEIQTRKILKPSAPTPDNLRRLKISLFDQLARSAYVSIVFNYLPSSSSSYDDDKLEKSLAETLTKFYPFAGRLAKDDPFSIDCNDEGVEYVRTKVNADDLAQFLGKDDDDIESSLIDLLPIKDVELSSPSSPLFGVQVNVFNNGGVSIGIQISHFLADAFTLATFVNEWAHTNTLSSMPQDNNDLHKFGDLSSLFPPKMLQLPSFDPNTSSTTTTVPSYKNVTKRFVFDASAIESLKKTIKDDSSMMRKPTRLVVVMSLLWKVLARISSAKNGNSRDSCFGFVISFRGKVSCIPSTEHVLGTFSIPEIANMEGDVARKDELNGFVKLVGNRIGETFAAIDKASKVDDIYSLTLNNQIKVIEKFVQRDKMDFYGTTSWCKLPWYETDFGWGKPFWVTPVSFRIYEQTTLMDTKDGDGIEIIVTMKENDMTEFERDPHILSSTSKLTFG >Solyc06g068480.3.1 pep chromosome:SL3.0:6:42558579:42569212:-1 gene:Solyc06g068480.3 transcript:Solyc06g068480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDTFREASEAERRATVFFNTFHDVYRVSIEVYWPLKFHILKSSLMAEIKERLLPPKPASAANLREVSYRPPTSGRQPFQGIDVLGLKKRGQGLRSWIRVDTSGNSQVIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLQAAGAGEVWQSEGELSRRRGGRNIENMFGNPSPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKRRMELSCYGDQSLLGYRSTDGALSLSAPVSPVSSPPESRRLEKSLSIARSRHESMRSSESGTETQSIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFEIPMFNEPNAFKWKIDATVDSQQHGRPAVLPLKGIQCIKNEVGADPKKGVSVYKPKSYQVLVSDAADSLFYALNDGKTRLEIDFPPLPTSISSYKGSSDEFSDANIQLVLAVVKKLQEKMETRACVVFPDKPEKRRASDLFKAALDSIDDITIGSLDDIPGGAVTSFFRSVRNTLDFDFEDENEGRWKSDQPPTLYIFINCSTRDLSYIEKYVGKFATSTPSLLFNLELDTLRADLGIIGFPPRELHYQFLSQFTPVFYIRTREYSKTVAVEPYIVNYNGALFRQYPGFSTGTSVRLRMHQYGIPTTFSFCFNLAGPWQVMLKQTDGSFVCVAESATRFTLNETKEELLRVLGLQEEKGSSLEFLRRGYKTATWWEEDIDLEASSAWRS >Solyc09g008730.3.1 pep chromosome:SL3.0:9:2160905:2165723:1 gene:Solyc09g008730.3 transcript:Solyc09g008730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIASKCRLFHSHFFSIFPQSIKPRNPFSPKIQKNPQFSIYASSSRTFNSSSSSASILARKVLFMAPGVEPEDIKEDMILPGSNIVVGPYAGDAKIKEVEFVKSSNKPKDCPKDERPEFAMLGRSNVGKSSLINALVKKKEVALTSKKPGKTQLINHFLVNKSWYIVDLPGYGFANASEAARMDWSSFTKGYFLNRDTLVSVLLLIDASVPPQKIDLDCANWLGRNKIPITFVFTKCDKMKGGKAKRPDQNIRDFQELIRQNYNHQPPWIMTSSVSGLGRDELLLHMSQLRNYWNNE >Solyc02g032570.1.1 pep chromosome:SL3.0:2:29214501:29214914:-1 gene:Solyc02g032570.1 transcript:Solyc02g032570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLIKSYYTYNGVAYKASSPKHGSSLKKCRTLAKKALKIKAPCKHKKCTFGGIWNGGGGQGFKNLYAFSFFYDYAAMVGIIDPKKPSGRAKPIQYLNAAKLACNT >Solyc08g062180.2.1.1 pep chromosome:SL3.0:8:50702519:50703691:-1 gene:Solyc08g062180.2 transcript:Solyc08g062180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMEKDKLCRRHHKNPSFSSSLLDEIYRSIDGYDQRREDFKSETRKQSNIGVKPKVVANIYNKKKSVEDEEIASFRRACLIEKWMEKKVKDKTRRGPCNELDSMNYDSLFFSSTSSESNSGTLSASSSEPDSFYSEKSSTTTTCFAASKTRSIRRASVSPRRGTKLYSFDNQQLQANKNEETNFIKSKSTALKMYNNLKKVKQPISPGGRLTNFLTSIFNNKSKNLKDPNRGDFHEVEERSSKSSSICSSFSRSCLSKTPPKFSQTTVKRTVRFNPVSVIVDEDCRPCGHKSIYGHDSDNLHQPKSLGNAEKNRKFEVTKVDSYKKNDYIVDYIADEEEDDDAASCSSSDLFEIDHLAFFGNKRFCDELPVYETTHVDTNRGIASGFIH >Solyc09g074663.1.1.1 pep chromosome:SL3.0:9:66910394:66910696:-1 gene:Solyc09g074663.1 transcript:Solyc09g074663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRRKLRRRRVSERQGGKVIMAFCIPLGKGDSNCAEANALLFGLNWCIQNGYLRIIGETDSLLLQNCIQGTWQSPWKIEDTILAKSSFYWTLTIFILLTL >Solyc03g060517.1.1 pep chromosome:SL3.0:3:32478526:32484548:-1 gene:Solyc03g060517.1 transcript:Solyc03g060517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSATFEMKDLGPAKQILGIKISRDRSAGTLNLSQELYIEKVLSRFRVNDAKSRTTPLANHFKLSKEQSPKTAEERDHITLVPYALAVGSLISSSTSLCFGKGKVTLQGFMDADIGGDVHSSKSTSGYIYTIGGTTVSWMSRLQKCVYLSSTEAEYIAIAEAGKKMIWLWSDKCPQTSKHSFSVGKYNKILVCDVVPILACPLLARTWQFDRDDVHQGRSKKYTFVIEGKKYVVAPLTVYEVSKDYRAMEDLGERINTKEEKGEGENSTSFQKEGSALAKNKNMCMIEKPNKCSKGVD >Solyc07g054350.1.1.1 pep chromosome:SL3.0:7:62832670:62832822:1 gene:Solyc07g054350.1 transcript:Solyc07g054350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAGLLSSGMEIPDHFLACWPFCRRFVAFFFLFCEMYLTFACLFLGCCRH >Solyc10g076360.1.1.1 pep chromosome:SL3.0:10:59428665:59429339:1 gene:Solyc10g076360.1 transcript:Solyc10g076360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:K4D1X8] MAAASPTPVLPISNPQTTSTTVATTDTQQTVTGVGPTPALRAFINRISETVQGGLSNRRPWAELVDRSAFAKPESVSDATLRIRKNYSYFRTNYLSLLAVVLAFSLITNPFSLILLTGLLAAWLFLYLFRPSDPPLVLFGRQFSERETLGVLIVSTVVVIFLTSVGSVLVSALMIGLAIVCTHAAFRVPEDLFLDDQESPATGFLSFLAGAAPTSGPAAVSARV >Solyc05g052220.3.1 pep chromosome:SL3.0:5:63348822:63357301:1 gene:Solyc05g052220.3 transcript:Solyc05g052220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEMGLVSARRVENGLNSQLVFHESNFSCGAPPPAQPPGGVGSVTGDPGPKTTRELTGFNHQHQYFLNQHQPQAADFRRQMFGDDRGDGDWNRKSHGDGDGDGSEDEDMDDDDDDDDDENEVEGIVTVENSDHQNNNSDKVNSNSSGKVVGSDKTRSVSTFGVKEGNVGPSGNEGGVDVRNAVIIASVDGDMYYNQYLQGPEGSNAAQKEMGFENGCGFSGRKEAHYSNESGESLRTILSDPITGELMDDAMILPCGHSFGSGGVQHVIRMKVCYICSQAVSEDSLSPNLSLRSAIQAFRREEESHLNRSLKRRKERYDQDRGTFGDSALPDHLRGRGVQFPFAVTDRVIIKGNKRTPERFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKVPENLSIQPISSKVAAWL >Solyc03g063350.3.1 pep chromosome:SL3.0:3:36611093:36621522:-1 gene:Solyc03g063350.3 transcript:Solyc03g063350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAALISSQVNPIHIRASLFHSSPILERRRRTHWDSGKSANKGSSRKSFFSRRYRKLNSRKALFESVNGFAEQFFQSWQHDRDEYDPSSSRDSSWFRRDFRASGHKGGRSKNTGQHQHRVFQFLEEEDIEIETFFGSTFGGNRYYHWSFINEEPQWRNSSNYSNSRQWSWRNQYSDYDESTDSENSESDLSSERLTLGLNAFGPLNLEDIKNAYRVCALKWHPDRHQGSSKVVAEEKFKACSAAYQCLCDKLGVSQ >Solyc10g052865.1.1 pep chromosome:SL3.0:10:53819555:53820114:1 gene:Solyc10g052865.1 transcript:Solyc10g052865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIIVKGGSPAHVSELVLQLEKKIEVKYFEGRIHLNQSKYVVDLLSKTEITLAKVVATSLGKNMVWMKLWEVLGLVYIKPMHSTCSRGKKDSQVHQRLYGYSDVDLAGCTTTRRSTTCYSSNLGANYIS >Solyc03g059395.1.1 pep chromosome:SL3.0:3:31632334:31695604:1 gene:Solyc03g059395.1 transcript:Solyc03g059395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVKYVMDDYDGLKVLSIFRFNDAKPRTTPLVNHFKFSKEQSPKTAEERDHMALVPYASAVGSLMYAMVCTRPDIAHAVGVVSRYMANPGKEHWEAVIIKFLLPRSIKKKNHIYLPLWDIHIQENAFWIMQCTSHLQAMYDIDITDTVEDTIEELDRENLAKRVSLCGLPYCFPSNPGFVEYIQQTYNPDYRGFSRNTVKTDVFEYQNSRVSISSDMGRSVNGNDYLTVTAHWIDHN >Solyc10g079340.2.1.1 pep chromosome:SL3.0:10:61027295:61028296:-1 gene:Solyc10g079340.2 transcript:Solyc10g079340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTWVVEDVPAILNAECYCFNSISAFHMYSLFWEIKGKPFQAGTELYEDIPSLENCSTPEIWELWRKQEALMGKVSSGELYNSSRVIEGLYLDLVAKENNGLNQWALGPFNPLLSEQNKNSNKHHTLYWLDKQETNSVLHVSFGTTTSLSNEEIEELAVGLEKSMQKFIWVLRDADKGDVFAGEERIARLPDGYEKRLKGRGIIVRDWAPQLEILAHPSTGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNSQLVTKFLKIGLIVRHWACRDELVTSEIVENAVRTLMASPEGYEMRKRASKLSNVVKQSVMDGGVNREEMDSFITHITR >Solyc01g089855.1.1 pep chromosome:SL3.0:1:83468236:83469856:-1 gene:Solyc01g089855.1 transcript:Solyc01g089855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNKKSESEEVEELLRAAEDDVSLKLSLNSHMARGSSTQFIDPDLDQRFQALRSKQTPPRKPKGLDLDNQATSTTDESDDLLTRFAALKSSLPAYSSASSSAKQHFQEHILDQTPRIQLPKGTS >Solyc10g007290.3.1 pep chromosome:SL3.0:10:1675685:1684543:-1 gene:Solyc10g007290.3 transcript:Solyc10g007290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRNLEKLASIDAQLRALVPGKVSEDDKLVEYDALLLDSFLDILQDLHGVDLKETVQECYELSAEYEGKHDPKKLEELGNVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRQKLRKGDFADENNATTESDIEETFKKLVEELKKSPQEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTAPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEELMFELSMWRCNDEVRIRADELYRSSRQDTKHYIEFWKTIPPSEPYRVILGDVRDKLYQTRERTRQVLAHGISDIPEDATYNSIEQFLEPLELCYKSLCDCGDRPIADGSLLDFLRQVSTFGLSFVRLDIRQESDRHTDVLDAITQHLEIGSYREWSEERRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTLHVIAELPSDCFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLDDLEAAPAAVARLFSIEWYRNRIKGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELVKVAKEHGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTINGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMNPPVSPKPEWRALMDEIAVIATEKYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFRYAVDKDIKNLRMFHEMYNAWPFFRVTIDLVEMVFAKGDPGIAALYDKLLVSEDLWSFGELLRSKYEETRTLLLQVTIHEFCKIAGHKDLLEGDPYLKQRLRLRDSYITTLNVLQAYTLKRIRDPNYHVKLRPHISKEYMESKSAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Solyc03g033590.1.1.1 pep chromosome:SL3.0:3:5178559:5178858:1 gene:Solyc03g033590.1 transcript:Solyc03g033590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKSSKHITQSIALKQILKRCSSFGKNENGLPYDVPKGHFVVYVGENRSRYIIPISWLTHPEFQTLLQRAEEEFGFNHDMGLTIPCDEEDFCSLMSM >Solyc04g077110.3.1 pep chromosome:SL3.0:4:62149943:62154954:1 gene:Solyc04g077110.3 transcript:Solyc04g077110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSWLSLAVASLFRFCPNMQGSWLSPAVASFFKFISQCHRSATCWYVNQIFHVDVDLESSFGGQLDTRIISRWYPPCGATGKSSQLYPVNSAKILSKLMVRILFISIEYLLGRGNTQLDQLKSPDTVGLSSLSVDSSQTTGSSS >Solyc07g064130.2.1.1 pep chromosome:SL3.0:7:66534540:66538155:1 gene:Solyc07g064130.2 transcript:Solyc07g064130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPSDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Solyc08g081140.3.1 pep chromosome:SL3.0:8:64344523:64347294:1 gene:Solyc08g081140.3 transcript:Solyc08g081140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGIGETSQAQSWRFMDDNISNGANNSLNSSDCISQNNANCEKLSPLSSGEKETKPCPLDRQENDQKKPHLLDHQGDDAQYQAVLSTLLKSSDQLTLGPHFRNMNKKSSFASWKTDIQMPRFGTAQKLLKKVLLEVPRMHAGVIHKFSRENGKKNSLWRPEVDDIDRNRVISERRRREKINERFMHLASMLPTSSKVDKISLLDETIEYMKELERRVQELEARSARRSNDTAEQTSDNCGTSKFNDIRGSLPNKRKACDMDEIEPESSNGLLKCSSADSIVINMIDKEVSIKMSCLWSESLLLKIMEALTDLHMDCHTVQSSNLDGILSIAIESKSTGSKTLAVGTIREALQRVVWKS >Solyc10g047905.1.1 pep chromosome:SL3.0:10:42939822:42941570:-1 gene:Solyc10g047905.1 transcript:Solyc10g047905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKLAGWKTNFMNMAEIKASIEAINVDPGCMINDKPILNLTKDGEFTTASAYKFIHSNNSKPLPTDDQMEFNWIWKYSFPNKIKIFLWLMFHNKLPTSRHLNKIGLSIQQGCQICGHHTEDFEHIFFKCAKATSFWDNVLERNTSNHKFDKSILCINNWHKIWGDTKEKNYNQNLKWQHLAQGEWEVSSRTTCDWIVGFTSKEAQVYPILTEIRAFIQGLLCLWESRIHPLDINIDSSEVINLFEHENNPYSNLILECMSIISKQGAIMPTHIFREQNKVADLLNKEGLRNNNYGNPTFLIVPSLYAKAHFRNYVS >Solyc02g021410.3.1 pep chromosome:SL3.0:2:23098184:23100790:1 gene:Solyc02g021410.3 transcript:Solyc02g021410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPNILKAPELASYNVRGNQPALGVGKLCYLTDTISDNGMWEEINPLESKLPTFMFQLAIVLFVTRLCLLLLKPLRQPHFAAELLAGILVGSTAIASEFARKHFPVLGSVFSASRIMMMETVGNLGLIYHVFLIGLEMDLRALTSVGPKALGIGISGALFPFFFGASLYTFIAEYPENFRWGCLYWGVALSVTGLPVIAEIFAKLKLLHSEIGRIAMSSALVNDISSWVLLVFSLAVTSSSSTTYLSLFSVLFFVIFSVFVIRPAILWMLRKITREGEDYSEATICAILTMVLACGLITDMCGVSSVFGAFVFGLIIPSHVLGHRFVLMVQGFVSDLLLPLYYASLGMRTHLGGISREDMLMMVLISLLSFIPKIVCTLAMSYFYRISLHEGFTLGILMNTKGLVAVMAMSLGRDHTVMNDDGFAIMLFTIFFMTIVTSPIVNFLYRRTKKFLPSQHRVLQNLKPDSELRILTCIHEVQTAAGITALLEISHASRRSPICIFALQLMQLKKHTTALLIVHGAGGTSSESYSRADGQIDQLITTFNNLEHQSPMISVQLLTAISPYGTMHEDICSLAEEKQVTLIILPFHKRQTIHNNMEEMNPAYKDVNNSTLESAPCAVGILVDRGFGTLLTSKIDDGNARSCRIAMIFIGGRDDREALTYASRMARHPKVALIVLRFILDKTSAQNDDADLFLTMEGEDEKKVDDHFVNEFRLKVQNDDSVVYTEQMSSNGAETVKTIRSLGQDFDLYVLGRGLSFFSPLKGGLDEWSDCPELGSIGDLLLTSDFSSTASILVVQHHAELNPG >Solyc11g008970.1.1.1 pep chromosome:SL3.0:11:3132475:3134760:1 gene:Solyc11g008970.1 transcript:Solyc11g008970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTKLQNLHHKINTFFSINGFSSNLKSLLQSHAFIITTGHTQNVYIAAKLISLYASNNDLISSRKVFDFINFKDPFLWNSIIKAYFSNGKYTESLEFYSGMRCFNALPNQFTIPMVVSACAELGLVEIGMGVHGLVLKLNLFDGNSAVGASLVYMYSKCGVMGYACDVFDEMPVRDVVSWTAIIKGCVENGESGKGLEYFCLMCKNGEGEVRPNFRTLEGGFQACGNLGALVEGKCFHGLGMKTGFGYHQVVQSSVLLMYSKCGSVEETYRSFCEVDEKDLFSWTVVIGVYAKYECIGECVDMFLRMLASGITPDGMVISCVLSGLGNVAMILEAKTFHGFILRRNYDEDHMVGNALLAMYCKLRLLNLAEKIFNGGNEQNTEAWNVMTIGYWKAGLEANCIDLFRDMQYLGMESDVNSLISVISSCSRLEKFRLGESLHCHIIKNLMLGNVSVANSLIDMYGRRKNLTLSWRVFCMMTDKDVVTWNTMMTSYISCGNIAEAFGLFDEMRAESYKPNIATLVILLSASSQVSSLEKGEKVHQYIKEVGFGNNTLLDTALTDMYAKCGQLTKSREIFDSMEKKDIVSWNVLISGYAMYGEANDAIEMFKNMEQTEIKPNELTFLAVLSACAHAGLVEEGKSIFSRMKDYSLMPTLKHYSCMVDLLGRSGNLDDAETLVLSMPIARDAAIWGSLLSSCKLHSQVEKGIRIAKHAIESDPENDGYYISISDLYSCVGMWEEVEMVRKIMKDRKVRKEVGWSTV >Solyc04g011720.3.1 pep chromosome:SL3.0:4:4179049:4182062:-1 gene:Solyc04g011720.3 transcript:Solyc04g011720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIIIIGICLVMATQVNSFVGITWGRQQTQQLVPSMVVDLLLQNKISQLRLMTSGYDIIEIFSGTNISVSVLMGNSFMYQANRKDLAYNWVNDRIKDPINKGANIVELTVGSEPFSNTFLKQVTNYNVVPVLKLMRESLDEMGLGHVRTTTAHGMDVLNHTKVPSESDFRDDIKPQMIESLAEFNRTGAPFVLVMFPIHFVKDVMNYPIEFAFFDNNSGFKIKDGNITYTNAVELMIDSVAWAIKKAGYENMKIMIAQIGWPTDGYPHANVKNAERFHKGLLKFITSKKGTPMKPGPIDIYLHSLCDENQFNKIFGLFQRHWGIYQADGNPKYKIDLSLQGRDVLPTQAKGIVKMPNRWCKFNGDTTNMDLVNKNYDLACQVSDCSQLEKGASCDGLSYASKVSYAMNAFFQKNKQEVKDCDFDGLGQIVATNPSEPNCEFPIEILSFQDQRVQNGMVLRV >Solyc04g051490.3.1 pep chromosome:SL3.0:4:50538807:50558752:-1 gene:Solyc04g051490.3 transcript:Solyc04g051490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPISVDILSDDEDDGGNGGYGFSFNQNDTIDLSTPLTIPSKKKQRTEKSTNWNPTVLVIEDDDETPVRPSKSTPSFVADTPMSDLSKPEVSFVRCSLGSSSISDPKSSCLDLTPSMVAETPASELSKYSVPIVRCTKANADSKNCSSSIIDHNKDDGIDGVICLESDNESENSGYHGAWKEEEKLCSTEAVVKETEWSSRLFGSTFSLGIDNFMQMPEDFSHRNLTEAGPSVDHDHPDKENDSLEPNEEASKPKGRGKGNRSNKSSGDVATGKMRMSKEEQLRLKEEKRYQKEQEKLQKAAEKAEAAEQKKLEKEKQKWEKGKFAQKSIVAQIDTKVVELGSIGGHLLTRFAEKGLSYQIKSNPIEKSIVWSMSVPEELSKISSEVIDVPYVLFIFEAEEFCNLVNSKSLMSHVSRVQRLYPLHTVCYLTNKLLAYINKRLTYLITVTAIGDREQGQYKDPANHTGWKRPLIEEVVATLVLSKLTINFVKVHSRHCVDEAELAEHVAGLTCSLASCQFRNKLTRLSVNANGSLIPKDCVDKNQIKKSPWLKALVAIPKVQPRFAIAIWKKYPTMKSLLRVYMDPSKSVHEKEFLLKDLKVEGMMSDDRRLGEICSKRVYRILMAQSGSSKTDDIECGADFFNVLSDPQAHVSKKVILSTKTGADRLTSIFVVGVWTWNLHKLIDESPEPDLVSWSSLISGYSQNGFGKDAIWGFLKMHSLGLRCNEFTFPSVLKACSTEKELCLGKQLHGVVVVTGFDSDVFVANTLVVMYAKCGEFVDSRMLFEEIPERNVVSWNALFSCYTQNDFFSEAMCMFHDMIGSGVRPDEYSLSNILNACTGLGDIVEGKKIHGYLVKLGYGSDPFSSNALVDMYAKGGDLKDAITAFEGIVVPDIVSWNAIIAGCVLHECQGQAIDMLNQMRRSGIWPNMFTLSSALKACAALELPELGKGLHSLLIKKDIILDPFVSVGLIDMYCKCNLTKDARLIYDLMPGKDLIALNAMISGYSQNEADDACLDLFTQTFTQGIGFDQTTLLAILNSAAGLQAANVCKQVHALSVKSGFLCDTFVINSLVDSYGKCTRLDDAARIFYECATLDLPSFTSLITAYALFGQGEEAMKLYLKLQDMDLKPDSFVCSSLLNACANLSAYEQGKQIHAHVLKFGFMSDVFAGNSLVNMYAKCGSIEDASCAFHEVPKKGIVSWSAMIGGLAQHGHAKQALHLFGEMLKDGVSPNHITLVSVLYACNHAGLVAEAKKYFETMKDSFRIEPTQEHYACMIDVLGRAGKLDDAIELVNKMPFEANASVWGALLGAARIHKNVEVGKHAAEMLFSLEPEKSGTHVLLANIYASVGLWGDVAKVRRFMKNSRVKKEPGMSWIEVKDSIYTFIVGDRSHPRSDDIYAKLEELGQLMDKAGYVPMVDIDLHDVERRQKEILLSYHSEKLAVAFGLIAMPPGAPIRVKKNLRICLDCHTAFKFICKIVSREIIIRDINRFHHFKDGSCSCGDYCTANQEEELSKVRLWLLLAERKDLLDGLRLHWKLESSILMREPIYFHPGKMRMCSFGIWIFDTHVDWRFPSIHEVDESFD >Solyc11g038350.1.1 pep chromosome:SL3.0:11:45478928:45479688:1 gene:Solyc11g038350.1 transcript:Solyc11g038350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAIIDSLSEVKKKLNQDSMVRLSAKKLEMTKDYRWMGLNTPLLYYLISHLSILIKERGPSDTRAFSKHKITKPAQKVGETRHSPLASQAFQENLSGCSDDPNQSQQAGRADIGGNLNPFGLEGRVRRTEIDLRLGSVEFHLSNLRSNLAK >Solyc03g005090.3.1 pep chromosome:SL3.0:3:64401:68498:-1 gene:Solyc03g005090.3 transcript:Solyc03g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPTYLPLRWESTGDQWWYASPIDWAAANGHYDLVRELLRLDGNHLIKLTSLRRIRRLESVWDDEEQFDDVARCRSQVARELHLECETKKGKNSLLTAGYGGWLLYTAASAGDLDFVRELLEKDPLLVFGEGEYGVTDILYAAARSKNCEVFKVLFDFAMSPRFIARGGRGLEEQIGEIPSAYKWEMMNRGIHAAARGGNLMVLKELLVNCSDDILAYRDIQGATLLHTAAGKGQVEVVKYLLKSLDIIDSIDNQGNTALHVAACRGQLAVVEALIVASPLLIYSKNNAGETFLHVAISGFQTPYFRRLDHQIDLMKQLVCGKISNVEEIVNAENNDGRTALHLAVIGNIHSELVELLMTVRYINVNTRDKDGMTPLDILKQRPRSASSELLTKQLISAGGIFSHHDYSARRVVASHLKMQNINSSPGTSFRISDTEIFLYTGIEHASDGSRNAELSHPCTSPDTFCSTNGKKPGSANDAAQKLKRFFHWPKIKKRDSKRLKILVDQSSASNSAVALVPLRERYSKPSSLPNHKRTLSASSNLPSPTAKKKFASGLVNGVMQAIPHLSLPRRSSRASSFSISSLSSRSSMDKQKAIVIDTELAGPSCSHPSEGTPSDSIHKQNAGHKRLVNQYLCFGASGRPVKAPSTGMQPYDIYERSVLSAA >Solyc12g043110.2.1 pep chromosome:SL3.0:12:59689323:59705237:-1 gene:Solyc12g043110.2 transcript:Solyc12g043110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESGVVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFLGTAGAASSMMNPKNTISQIKRLIGRQFSDPELQRDIKTLPFLVTEGPDGYPLIHARYLGEVRTFTPTQILGMVFSDLKTIAEKNLNAVVVDCCIGIPIYFTDLQRRAVMDAATIAGLHPLHLIHETTATALAYGIYKTDLPENDPLNVAFVDVGHASLQVCIAGFKKGELKILAHSFDRNLGGRDFDEAIFQHFAAKFKEEYKIDVYQNARACIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFLKRDEFEQISIPILERVKKPLEKALAEAGLTTENIHAVEVIGSSSRVPAIMRILTEFFGKEPRRTMNASECVAKGAALQCAILSPTFKVREFKVNESFPFPIALSWKGPAPDAQNGALENHQSTIVFPKGNPIPSVKALTFYRSGTFTIDVQYADVSELQAPAKISTYTIGPFQSMKGERAKLKVKVRLNLHGIVSVESATLLEEEEVEVPVVKETAKEPAKMETDESSVNAAPSTTAESDVNMQDAKGAAAASGAENGVPESGDKPVQMETDAKVEAPKKKVKKTSVPVTEIVYGAMAAADVQKAVEKEFEMALQDRVMEETKDKKNAVESYVYDMRNKLSDKYQEFVTDSEREQFMAVLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEQRYKEYTERGPVIDQFIYCINSYREAAVSSDPKFDHIDLADKQKVLNECVEAEAWFREKKQQQDALPKYANPVLLSADVRKKAEALDRVCRPIMTKPKPAKPATPETPPPQSPQGGEQQPPSAESPDAGNATEGASVGSEVPLAAEPMETDKSETAPKSDIVKMFEISRPKAETEGTQEKRPPLRRGSVRILDERFIRILKIFKWGPDAEKALEVLKLKVDNELVREVMKIDTEVSVKIQFFKWAGKRRNFEHDSTTYLTLIRCLEEAGLTGEMWKTVLEMARSTCVITPADLSETVRILGRAKMVNKALSIFYHIKGRKCKPTATTYNSIIMMLMQEGQHEKVHELYSEMCNEGNCFPDTVTYSALISSYAKLGRDDSAIRLFDEMKDNGLHPTAKIYTTLLAVHFKMGRVEMALALVDEMKKNACAPTVYTYTELIRGLGKAGRIEEAYSIFLSMIKDGCKPDVVLINNVINLLGRGGRIGDAFKLFNEMESLNCKPNVVTYNTVIKSLFESKAPISEVSSWFDRMKANGVAPSSFTYSILIDGYCKKNRVEKALALLEEMDEKCFPPCPAAYCSLINSLGKAKRYEAANELFQELKESCGSSSSRVYAVMIKHFGKCGRLTEAINLFNEMQKLGCSPDVYAHNALMSGLVRSGMLDEAFSMFRSMEENGCAPDLNSFNIILNGLSKTGDPQRAIEMFTKMKHSTIKPDAVSYNTILGCLSRAGMFEEAARLMKEMRTNAFEYDLITYSSILEAVGHVDEDGTCQTS >Solyc02g068540.2.1.1 pep chromosome:SL3.0:2:39062688:39064388:1 gene:Solyc02g068540.2 transcript:Solyc02g068540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLYYIVLVLFTVKFCSCSGICKENEKTALLRLKKEANDPTNVLSSWVEKEDCCNWEGVFCHNVTGSVIELSINGWNWTELKGLKIDDFQWLSSLENLDSLDMSGVDLSEATNWTEVISMLPSLVNLRFSNCSLHSIPPLFDHNSSVLENLDLSLNNFGSPIPGWVFGFGSLVSLEFTGSNFTGSFPEGPFNLTSFTTLRASSNSFGSVLPQWLFDLSNLEYLDLSFSGLEGPIPNGVGDFTKLTHLSLASNNLNSTIPDWLYGCKNLETLIMKDNSLEGTVSDSISNLTSLISIDMSENFLSGKLPSVIGKLWKLEDLDLSENRFEGEVSEVFNTMNGCPPIGSGNCSSLRTLRLNDNKLIGNLPKSFGQLPNLQFCFMSNNRLEGVLTEEHFTNLTNLKFFSASKSNLTLRVSSDWIPSFQASDIVMGGWRLGPDFPLWIQTQQSIMNLDISNAGIVGEVPTWFWNLSSQIRFLNMSHNHLIGEIPTFSISDSSMGSGGPWLIYLSSNNFSGSLPHIPTMVTELDLSDNSFSKGLTSFLCDKKSESYMLEILHLGGNRFSEEIP >Solyc08g007040.3.1 pep chromosome:SL3.0:8:1603460:1605812:1 gene:Solyc08g007040.3 transcript:Solyc08g007040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:K4CIJ1] MALRMWASSTANALRVSSTVSRTNFSLSRCFSTVLEGLKYASSHEWVKHEGSVATIGITDHAQDHLGEVVFVDLPDSGTSVSHGSSFGAVESVKATSDINSPISGEIVEVNTKLSETPGLINSSPYEDGWMIKVKPSNPSELESLMGAKEYTKLCDEEEIH >Solyc04g055100.1.1.1 pep chromosome:SL3.0:4:53612132:53613082:-1 gene:Solyc04g055100.1 transcript:Solyc04g055100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVIVYIYTIFWFLICAAIGSESINSEFSTKSSLDSLLQEYAFKALLWPKTKTGTAYEGTVPSNLFGIKISALMLRRDSLKWRGYGYYHEFLIPTGITVEPYVTRIVLVYQNLGNWSSFYYPLPIGYMYRTPILGILTYDAMDMCAKNKSQLQIHPLENPIDIKFNDVMPESESEESSFKCVYFDSNNYIEFSDVTNGNICSTRKQGHFAIVAEVNVAPSPAPSADDGNDHRKITSEVWTISLGFLGFAFLGVLFVFAQNCILVETRPEFLEDSAAIIVPLLTEVPMAEGAPSRSSSENNYAIRPLPENDYMAQN >Solyc05g008910.3.1 pep chromosome:SL3.0:5:3118437:3123409:1 gene:Solyc05g008910.3 transcript:Solyc05g008910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDLSMILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIAPRVSGVHMLLESFQPIHGVLLCEGEDIDPSLYDSDELSQEEVEEIKKLHSSDTTIDREKDSIELALAKLCLERNIPYLGICRGSQVLNVACGGTLYQDLEKELSKRYQMLSRSDTPEMSSLMLHPCLIGESDMNPSGLLECLNTIEKELSKDSNSSEMSTILKSCPSDTEKESSENYKDLFCSDSSEMSDMQPSTILKSCPSDIERELPNNCSLEMLSRSSSLKSESQSQSRSDIGKKYEKVVHMNYENYDGHRHVVKIVENTPLHKWFKESLEDNNDDEKMEIWVNSYHHQGVKRLAQRFVPMAFANDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRGQDNGDFDYPGCAMAYQEFVKAVIAYAKKLNGPRNIPSRGIKFNHELESKRRSIVKSFSIAKNMYSSGLGRISEKESELAPGAEFLEANTALSVQQENRLKQMGATVRNASTYMNRLKMNEEREKMARAILAKMSIEQLSDMVSFYHKMGQLCSEALDKKFNDMK >Solyc01g066070.3.1 pep chromosome:SL3.0:1:72853386:72856749:-1 gene:Solyc01g066070.3 transcript:Solyc01g066070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIINGSSLALILVLSTLVTCKCNAAGVPLADQTIFNVMDFDAKPNSELMSTQAFMKAWRAACDFTGHARVFVPPGVYTLGETVFAGPCKGTHSIILEIAGTLKAVPDVSEYSNFAWISFESINGVIITGGGTLDAQGQSVWQFNDCKTNPNCVHLPATLHFNNIRNGKIMRLKLINSMGFHLHITSSYLVRFHGLTIDAPEDSPNTDGIHISKSNTIKLSRSVIRTGDDCVSFGQGTNNVTVNKVTCGPGHGISVGSLGKLAGELEVRGLIVKNCTLRGTTNGIRIKTYAGENANRALGMMFSDIVMENVKNPIIIDQSYGDKSTDSLSQVKISDVVYQNIRGTTSSEIPVQLLCSSKLPCENVRLTNINLKHIENTPITSQCQNVNVGYTGVQIPSPCQGPSS >Solyc04g039750.2.1 pep chromosome:SL3.0:4:12582209:12583481:1 gene:Solyc04g039750.2 transcript:Solyc04g039750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYFSYIRKGLFNMSVSLLSRVFLSLHLPIKTTCLPPVPSICTSLSVFFINSVHNKILQHFIQIFIHSTLMLLTMSIESVSPPYHIGTIPGRLHWPEMAAPATSKYSMPKLFLSDFQNSIQNSFQDVTSYEVPMLDIPPA >Solyc01g067770.2.1 pep chromosome:SL3.0:1:76555548:76556534:1 gene:Solyc01g067770.2 transcript:Solyc01g067770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLLVCVFHTWLKQKASHVFFIEDDVLEGTFELDPASLPPDGVGVSVESIDQRATANFIDFVNFAENLVASVPNQVPEPEFYRFAADRSPEKFQIGQC >Solyc07g054550.1.1.1 pep chromosome:SL3.0:7:62966105:62966464:1 gene:Solyc07g054550.1 transcript:Solyc07g054550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSGLDMARRHRGEISMKRGGVRVSEGGSMAERHHGKIYTGSDGSDDNPWVGGCILMMAVGYYAIDNFRKYYKHQKEKLQVLREENQQREDRILAENESCFPIHPYMHSTPLSGTRLD >Solyc07g065860.3.1 pep chromosome:SL3.0:7:67586274:67591758:1 gene:Solyc07g065860.3 transcript:Solyc07g065860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAPSTYPFLLLLLFLSSCYSLDIQTQTLVAWKKTLNITSNDVLTSWDSLDKSPCNWFGISCNSNGHVVSISLKSVDLQGSLPSNFQSLKFLNTLVLSSVNLSGPIPKEFGDYLELKFIDISDNSITGVIPQELCNLIKLETLSLSSNFLEGDIPLEIGNLFNLKKFLIYDNQLSGEIPKGIGKLKNLEEFRAGGNQNLKGELPYEIGNCMNLVFLGLAETSISGNLPVSIGNLKKIQTIAIYTALLSGSIPEEIGNCSELQNLYLYQNSISGSIPRSIGKLRKLQSLLLWQNSIVGVIPNELGNCKSITVIDLSENLLTGSIPTSFGELSSLEELQLSVNKLSGTLPTEISNCTKLSHLEVDNNDISGEIPNEIGKLKSLTLFFAWQNNLTGEIPVSLSNCENLQALDLSYNNLFGSIPKEIFSLKNLTKLLLLSNDLSGFIPTDVGNCTNLYRFRVNRNRLGGTVPSEIGKLSNLNFLDMSGNHFMGEIPSAISGCKNLEFLDLHSNAFTGSLPEKLPGSLQYVDISDNRLSGSLSPSVGSLTELTKLNLGKNQLSGKIPAEIVSCSKLQLLDLGYNGLSGDIPKELGRIPSLEISLNLSCNQFTGVIPSEFSGLSKLGNLDLSHNKLTGNLDVLTNLQNLVSLNISFNDFYGKLPNSPFFHKLPLSDLTGNQALYISGGDVIQTGPAGHAKTTMKLAMSILVSISAVLVLLAIYTLIRMRMAAKYGPEVDTWEMTLYQKLDFSIDDIVHNLTSANVIGTGSSGVVYRIMTENGVTLAVKKMWSSEKSGAFGSEIQTLGSIRHKNIVRLLGWASNQNMKLLFYDYLPNGSLSSLLHGVGKGAAEWENRFDVVLGVAHALAYLHHDCVPPIMHGDVKAMNVLLGSRMEPYLADFGLARIVNTDVDADLLKESQRPHLAGSYGYMAPEHASMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVRDHLQSKLDPNDILDPKLRGRADPEMHEMLQTLAVSFLCVSTKADDRPMMRDVVAMLKEIRNVDPVVSESDLLKKNASVTPLPKSPGTKNVDSQMSCSCSFVFSDNSITK >Solyc11g006570.2.1 pep chromosome:SL3.0:11:1206877:1208867:-1 gene:Solyc11g006570.2 transcript:Solyc11g006570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILLIAELDNKKAISDGIFVVPGNNAFGNSFRDYNAEAERQKIVRELYRQSHINQTYDFVKKMREEYGKMNKVEMSIWECCELLNEVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHGLVEGPSETTSDCLILQQLKGNPDNNNPTYNTKYGVYSEGCGLNNVVMSWGHDDYMYLVAKANKTTLPSAALFIIRYHSFYPLHKSGAYSHLMNEEDHENLKWLQIFSKYDLYSKSNVRIDVEKVKPYYMSLIEKYFPSKLKW >Solyc12g017880.2.1 pep chromosome:SL3.0:12:7611078:7613687:-1 gene:Solyc12g017880.2 transcript:Solyc12g017880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLVILSFLSIFSFTNTHAHHCSNDFLSEAKNRSLINITFCKRYTESNGVEVAIHLHENTRKIDIMVGAKLKEEEETGWLAWGLNPGEEARMIGTQALIGIKTKNKGLLKDTYNITGYTKLGCQLLPSPIDLNISNFKFIHITELEYHVILATIILPNQYDLSRLNQVWQVGINIGAVETKELKMHGKGLMNYDSSETINLRTGKGRGNRIHKSSKIRKVHGILNIIGWGVVLPIGVIIARNFREFPLPWLGWKKCHISCQTIGYLVGTTGWAVGIWLGKASKYYSFPKHGTYGLLIFAFATIQMLFFKLKPGESDKYRMYRNMFHHVVGYSLMIGICINILKGIHIIHLDYTSWKPTYLGIVSCLAFIFLVFEIVSWFKFLCDKFGLWILCAKCIPNKESNEKKGSKKDGKAQSQVQPSETPIRADN >Solyc05g006707.1.1 pep chromosome:SL3.0:5:1374516:1375496:-1 gene:Solyc05g006707.1 transcript:Solyc05g006707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHWGNYSVPRDNPYSQNKDLQPEIWAFGLRDPWRCSFDSERPSKFICADVGQDQYEEVDIITKGGNYGWSMYEGPSRLKNASAGDFEDPIFPVLGYRHSDINKEVGCAAISGGYVYRSKTDPCIYGSYLYGDLYAKNFWAAQENPYNSGNFTARGISFSCAHDSPLNCSSVPNSPLPALGCIFSFGQDNRKDTFVLTSTGVYRVVRPSRCNYTCPMEIARTAESPGPSAPSDGHVAKADLCSVLVLYCLLLLTSFIL >Solyc10g009055.1.1 pep chromosome:SL3.0:10:3069167:3075477:-1 gene:Solyc10g009055.1 transcript:Solyc10g009055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSQSPLRQPSTGNASSLCADTSKNVITLFSWRPPTSLVGMQPTPSVGRKRTRDVEIGFYTDIQTGRQVINGVCNYCKKEYFADTKEHGTTSMLTHISKCPKMPYNIDIKQSRLAFQPMIGGNKGDVVVVPWKFDQEECRKVLCRMVIIDELPFRFVEKEGFKQFMKVAQPCFHIPSRTTVTRDCFNLFDEEKHKLMVVFKGTKQRVSLTTDTWTSIQRINYMVITAHWIDKNWTLHKRIINFCPITSHRGEDLGKSISKCLHEWGLHHIFTVTVDNASSNSVAITELSKQLTKWGTNLIGGSHLHIRCMAHIVNLIVQDGTKEANVSIERVRQAVRYIRQSPARWKKFQECCEDENLAKKSLCLDVPTRWNSTYMMLKRVIEYEGAIVEYADRDIGLTLHLKFVDIVNKNHTGTLLSSDWEGVKRITKFLEMFFNLTLKISGSRYVTSNLHFLEICQVGVYLNQLISNEDCVLAKMAENMKEKFDKYWGDTEKMNKMIFIPCVLDPRHKFITLGFAFRKMFGEKGAALEIGVRTYMESLFNEYTKPVDSDKNGQFSSTEVDTSDSRFVDSRPGGEFGIFLEELQKHTSEKGGASSKLELVKYLDEEIEVGKSDFDVLLWWKVNFPRFPILSEMARDVLSIPVSSVASKCAFSTGGRILDSFRSSLTPKLVQALVCLQDWLRSEPQPISIEEDLDFLEQLEEDFIMPQLHGSNARSPIWNHYEKLEEKKDGSWTVKCIHCGRVAYYHSHYNGTASLRNHVKRCLETRNQNR >Solyc07g007600.3.1 pep chromosome:SL3.0:7:2247186:2251921:-1 gene:Solyc07g007600.3 transcript:Solyc07g007600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTILTDLGMEILIPVCAVVGIAFSLFQWYLVSKVTVGTDKSHSSGDDKNGYAESLIEEEEGINDHNVVQKCAEIQNAISEGATSFLFTMYQYVGVFMVAFAILIFVFLGSVEGFSTKNQPCTYDSTKTCKPALATAVFSTVSFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLFITILLFKMYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHELTAMLYPLLVSSVGILVCLLTTLFATDFFEVKAVKEIEPALKKQLIISTILMTIGIAFVSWIALPSTFTIFNFGVQKEVKNWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLF >Solyc09g065310.1.1.1 pep chromosome:SL3.0:9:63593855:63594049:-1 gene:Solyc09g065310.1 transcript:Solyc09g065310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGMRRPMNSILSLLSILQDENTSSNQKIIIDTMVRTSTIMFDLIDEAIDIPDKDEGRFPDSQ >Solyc03g051640.2.1 pep chromosome:SL3.0:3:22326657:22334794:-1 gene:Solyc03g051640.2 transcript:Solyc03g051640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVAIQKSNLWIHCSSATLDESILQKFWKLCYRQLNIPGGFALPEEEGYEIVNETHEKMKVLVLPRPQTDLLLCRHLFVPQDFKSSVFLVKEAFSQNCEKEARRMLSWSNVQLSLLKDGDDEYSLLVDLNRLYELQLSRKISIERLHKDLAEILKNFRSIDDELCVVFSEQLNNGGCFRKATLASTEIFGFNPDEYILQKFWKLC >Solyc08g077910.3.1 pep chromosome:SL3.0:8:61933436:61936837:1 gene:Solyc08g077910.3 transcript:Solyc08g077910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFNNCSTFMICIILLLPTFSFGTENYYSKATFYKTSDGKGTPTGACGYGEHGRYVNDGLVTAASWKLYKNGVGCGACYQVRCKDEALCSNEGVKVLVTDSGEGPGTDFILSSDAFAKMAKHPKLAHMLFPKGVVDVDYKRVSCKYGNLKIKINEHSNYHGYLAIFLFNNGGYADIIAIEIYDVKTYKWIPMRRSYGAVFDLANPPKGDLKLRIQIKEGEKTKWINSDKTVIPDYWKPGSIYETDVQIP >Solyc03g005330.1.1.1 pep chromosome:SL3.0:3:194234:195520:1 gene:Solyc03g005330.1 transcript:Solyc03g005330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BDV7] MEAKCTQPPSSATIIRRTTSTNRSGSGNGTIILGKYQLGRLLGRGSFAKVYHGLCLDDNTNIAIKVIDKKSIIGTNVSMEPRILREISIMSCLNHPNIIKLHEVMATKSKIYLVMEIACRGDLHAKLIRHGRFSESTARFYFHQLVSALHYCHQNGVTHRDIKPQNILLDQNNNIKISDFGLSALPEQLKNSLLHTACGTPGYTAPEVAYGKGYNGEKADSWSCGVILFAFLSGYIPFDSGNLSNMYRAIHRRDFRFPNWVSKSARSVINKLLDPNPSTRLSIEQLMNLSWFKKSNQQQRSSNCVFEKNRTNLGGINAFDILSMSSGLNLSRLFESDLINREMKFTTNARIEEVEEKVVKIGEGGGYRVERGKGRGIELVKGRVVLMVEILEVAMELLLVEVKVVNNGGLEFDDSQWEDLKFGMKDIC >Solyc08g048255.1.1 pep chromosome:SL3.0:8:14553415:14556463:-1 gene:Solyc08g048255.1 transcript:Solyc08g048255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQKLNAWPERDHFPMPFMDQMLDKLARKGVGNNQISIELEDQEKTTFTCPYGTFAFKRMPFGLCDASTTFQRCMMSILFDMALKKATVKNKYLVPLVHDLMDWLSKACWFTKLDLRAGYWQLRKYTIYVKMKKCEFAQQEIKFLGHLVSKNQVRMDPKKVQTIVDWQEPRHVRDLRSFLGLANYYTKFIAGYSKRAAALTYLLKKDTKWNLKNAIASKPILKLPDFELPFEVHTDASDKAIGSVLVQKGHPVAFESRKLNDAEQIYSTHEKEMVVVVYTVC >Solyc04g025165.1.1.1 pep chromosome:SL3.0:4:25381559:25381828:-1 gene:Solyc04g025165.1 transcript:Solyc04g025165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQKVARFFYDNGIPFHVARSKRFKEAVEAIGRYGPNLKPLSYHELRVPLLRKEVELTNEIINRHREEWVKYGTSIMADGWTDKKREL >Solyc09g073010.2.1 pep chromosome:SL3.0:9:66095503:66097056:-1 gene:Solyc09g073010.2 transcript:Solyc09g073010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIPTISFCIFLITKLRGRTYYHVDDSSNPGSLPPYVSAAISVSPFVVPIAGQLLFEWIGDKIGRKEVYGITLIIMSCCSIATDLSFGRDPKTIMDTLFFFRFWLGFGIGGNYPHSATIMSEYANKNPMGAFIAVVFAIQGFGILIGGIFAIIISVAWRIILMAGSFPALLTYYRRMKMPKTARYTALVSKNVKQAIAGMEDSQNLFQKYIFSAIGRIPAAKTMNVIEEVALAQTLTAFCSTMLGYWFTVFLIDRIGRFTIQLISFTMMIVFMFSLAIPYHHWTLPSHLIWFVVIYSLTFFFINFGPNATTFVVPAEIFPARLRSTCHGILTACGKLGVMLSAFRFLCSAQRQDKTKADAGYPA >Solyc10g050050.1.1 pep chromosome:SL3.0:10:47565183:47566002:-1 gene:Solyc10g050050.1 transcript:Solyc10g050050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAWCLFKDKAGDSVDVPSIHGTTKDVAREFKGLPLAIIIVAGARKRKIKPSWEDSLRKLRRAEARNIHGVYEKVYKCLRRSYDHLGENEVVSSIDEYDKSIDLVVTETAPLGNWICHLLKERKFVSSRRNGYNNVLTKLQLNEFQNVKFLCLFDCDLVTHLSKRTHEVIKFPNLYYLELGHLGCLTHICSDNVERIEFPPLQTMFFFELPEFQNFTPTANNSNHLFDE >Solyc12g056440.2.1 pep chromosome:SL3.0:12:63312770:63324987:1 gene:Solyc12g056440.2 transcript:Solyc12g056440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKERQIQEWQGYYINYKVMKKKVKEYADQIQDGALNQRYVLKDFSRMLDKEIEKVVLFLLEQQGVFARRISQLNEQQDSLQEQPDISKVTELREAYRNVGRDLLKLLFFVEINAIGLRKILKKFDKRFGYKFTDYYVKTRANHPYSQLQQVFNNVGLGAVVGAISRNLADLQDREGSYLSIYDQPALPLQDSVVDSMEAAIDRLSYSTNFLNFSVQRSLIMLEELPTPVEEHVDDQRYHFMSLLLNLANTFLYMINTYIVVPTADDYSMSLGAAATVCGIVIGAMAVAQVFSSVYFSAWSNRSYFRPLVFSSIVLFVGNVMYALAYDLKSIPVLLIGRIFCGLGSARAVNRRYISDCVPLKIRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQDTLPGWVMAFAWLLYLIWLGISFREPAQETDEVNNFSQKANAAENDALERGVVQPLLLQSVGAEQDNEGDQEGDGSEEAQEESRRPANSIEEAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFSWSTSAVAIFLACLGLTVLPVNILVGSYISNIFEDRQILLASEIMVCLGILLSFQVIIPYSVPQYVCSGLLMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGQSKLLNVTLLPSLLICIASIFATCRTYNSLY >Solyc03g098120.3.1 pep chromosome:SL3.0:3:61888945:61891943:-1 gene:Solyc03g098120.3 transcript:Solyc03g098120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRRMLGYSDGELMRSDAKPCTRLMRQTAGIFSVGGALGFWILCRLHYGPRITVPRSLRWAACGAVTVSSSTALLVRLFSPECEPQNIAAYDKKG >Solyc01g109400.3.1 pep chromosome:SL3.0:1:96283142:96285293:-1 gene:Solyc01g109400.3 transcript:Solyc01g109400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGGCMPTKNKFPINEPSAERPVIQTDITTGSEAEWSKKLRIFIVFYSMYGHVETLARRMKKGVDGIEGVEGVLYMVPEILAPDVLEQMKVPPKNDDIPVISVDELIEADGFLFGFPTRYGCMAAQMKAFFDSTGKLWREQKLAGLPAGFFVSTGTQGGGQETTSWTAVTQLAHHGMLYVPIGYTFGAGMFRMDSISGGSPYGAGTFSGDGSREPSEPELALAEHQGKCMAMTVKRLAQ >Solyc07g038170.1.1.1 pep chromosome:SL3.0:7:45622203:45622352:-1 gene:Solyc07g038170.1 transcript:Solyc07g038170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHNHGSTIHDSPAYTHTHCICLSFFLLKILFVFSLISYPKPQLLLGC >Solyc11g027840.2.1 pep chromosome:SL3.0:11:19156244:19158872:1 gene:Solyc11g027840.2 transcript:Solyc11g027840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESALVCSTAPRTTTSSLLKKRETPLDAPFGLSFSRNYVKCWGISLSLRKTKKYKVFNCNVKVEDEACELVNGVELSIGDGVDSIDAYLCNAVRNNNGTGILLLSDIFGFEDSFTRDFSYRVACNGYNVLVPDMFRGNPWRKDESKALFEQWIGSVDKQQVVRDIFTSTKWMANEFVAAGISKKFGVIGFCFGGGVLIDILAQDKGSEFGVGISFYGTRIDLSVTSKIEVPLLLIAGDSDPLCPVNVLKEVENNANGCKMAIFEGRGHDFAHRPQSLEDDKDAEEAFLMMRNWLHDGLHSEN >Solyc10g044690.2.1 pep chromosome:SL3.0:10:27438336:27440313:1 gene:Solyc10g044690.2 transcript:Solyc10g044690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIYPENTSPAADAEAIRKACQGWGTDEKAIISIIGHRNATQKKLIRQAYEELYCEDLVKRLESELSGQFEKAVYRWILNPRDRDAVILHVAIKERAIPNYRVVIEYSCIYSPEELLAVKRAYQARYKTSVEEDIAQYSTGHLRKASFFLFFFLVFLPTFIFVAWSRCLCLIPFATYIQFLVGLAGTYRYVGDELNARVANSEADILHNAISTKDFNNEEIVRIISTRSTTQLVATLNRYKDHYGSSITKHLLDDTNEGNKEYLLALRTTIRCISDPQKYYEKVIRYAMNECGTDEESVTRVIVTRAEKDLKEIKEVYYKRNSVTLDQAITNHTSGNYKAFLLTLLGNE >Solyc09g005720.3.1 pep chromosome:SL3.0:9:498518:500457:-1 gene:Solyc09g005720.3 transcript:Solyc09g005720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKADSTKKSDPKAQAVKAAKAVKSGSTFKKKSQKIRTKVTFHRPRTLKKDRSPKYPRVSAPGRNKLDQYAILKYPLTTESAMKKIEDNNTLVFIVDIKADKKKIKAAVKKMYDIQTKKINTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Solyc07g066090.3.1 pep chromosome:SL3.0:7:67746823:67751733:1 gene:Solyc07g066090.3 transcript:Solyc07g066090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVMDDLVCNLRTHIRIFCVISCILEWLDAYDDVRERRSDFEVSEDERRRSRIGTFKKKALHASNKFTHSLKKRGKRKVDYRFPSVSIEDVRDAKEENAVCELRQRLLERNLLPSIHDDYHTLLRFLKARDLNVEKTIPMWEEMLNWRKEFGADTILQDFDFDELEEVLQFYPQGYHGVDREGRPVYIERLGQANPNKLMRITTIDRYIKYHVQEFERALHEKFPACSVAAKKRICTTTTILDVQGLGIKNFTRTAASLLAAMAKIDNSYYPETLHRMFIVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLDPKSLGKLHEVIDPSQLPDFLGGSCTCSDEGGCLRSNKGPWSDPEVMKVSMPLVYNVEATTVKEISRKLGDQRKIESYIQIRPSKGRSRDTSTSDSVSDAADLCSPIRGNSSSILRLTPVDEEVSFAKHNARSSDSTAYCSCDDEFSLGDRGVTNEHGLLHWQEQSPVSSPMNRSTNTLPHSGGICLVYHEFHQKNL >Solyc06g074390.3.1 pep chromosome:SL3.0:6:46156977:46161655:1 gene:Solyc06g074390.3 transcript:Solyc06g074390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fatty acyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:K4C9F7] MELTSVLKFLENRAILVTGATGFLAKIFVEKILRVQPNVKKLYLLLRAQDNNAALQRFNNEAVAKDLFKLLREKHGANLNTFISERTTIIPGDITIENLGVKDTNLLEEMWREVDVVVNLAATTNFDERYDVALGLNTFGAINVLNFAKKCSKLKVLLHVSTAYVSGEKRGLILETPYNLGETLNGTSGLDIYTEKKVMEETLKQLRVEGSSQESITSAMKELGLQRARKYGWPNPYVFTKALAEMILGDMKEDVLLVIFRPTIVTSTLRDPFPGWVEGIRTIDSLAVGYGKGKLTCFLGDPEAIIDLIPADMVVNAMIVTMMAHADQRGSQIIYHVGTSVSNPVKFTCPQEYAFRHFKEHPWIDKQGKPVIVGKVNVLSSMDSFRRYMALRYMLPLKGLEIVNTILCQFFQDKYSELDRKIKFVMRLIDLYEPYLFFKGVYDDMNTEKLRRAAKESGIETDVFNFNPKSINWEDYFMNTHIPGVVKYVFK >Solyc03g112700.1.1.1 pep chromosome:SL3.0:3:64546475:64547467:-1 gene:Solyc03g112700.1 transcript:Solyc03g112700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYDSASTTPYISACTSPQHRYGTLFLSAPTSPARVSAALSDDFHMSSGGEGVKVPGEVPFNWEERPGIPKSRDEGEEEDFVFDFSGQLERSSVSAADELFDGGKIRPLKPPPRVQYEAHYKPFDSPRSPKQRFKQTFSPRNKKEVDPFAAAIQHTARIEKMEKPKKTRSLSVPDLVFDHESNQETTKTSPYSLCSVSSSISLWYRKWKLKDLFLFRSASEGRASSKDQLNKFLKKTREQEEDAKTSSFRSTASSVASSSVSTSLMRRREISAHELHYTLNRAFSEEMKRKTFLPYKKLGVLGCLGFIPSMDDTSFRGVAPSMSMNRRQ >Solyc03g070390.3.1 pep chromosome:SL3.0:3:18347244:18349398:1 gene:Solyc03g070390.3 transcript:Solyc03g070390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSPPLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKSIMVPEGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMISKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPFFFNTLYEPFRDGADFVRGYPFSLREGVPTAASHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLKKEYKGIFWQEEIIPFFQTLTLSKESTSVQKCYIELARLVKEKLGTIDPYFLKLSEAMVTWIEAWDELNPPTKHSSK >Solyc05g051992.1.1 pep chromosome:SL3.0:5:63185327:63186182:-1 gene:Solyc05g051992.1 transcript:Solyc05g051992.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVIKDRLSGLSKGYGFVKYADVQQANSATVGMNGHCLDGRTIAVRVAGKPPQPAVPPSLPAPAMPPYPVPNQASGVYPSQQYATGGPIGPPGGYAGTPVPWGPPVPPPYASYPPTPSSGVPTQTVSSGENQQNYTSSGETQQSYPPGVQSHNSAPVQSLPSYAFANSVAAMPPHTQSAYPTSSYSYPSYYGMAPPPPLPPTATQSSIDHSQSIRYRCKV >Solyc09g075980.3.1 pep chromosome:SL3.0:9:68091316:68094062:1 gene:Solyc09g075980.3 transcript:Solyc09g075980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLQSLFSPLKKLWFRLHSAPKKRRGIYILYEDVKSCPCEDVQVLWSILVESHPHSLPPRK >Solyc12g036870.2.1 pep chromosome:SL3.0:12:48503579:48504900:1 gene:Solyc12g036870.2 transcript:Solyc12g036870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNHSAPDLFIMLEVRNKGKKGEKQFRWSKPMEYLMLEILADQVKQGNKSTNKFKVISFNRVSNAINEQLGMDCSLKHVENHHKTLRSTWNIVQTLLNKSGLGWDDNLKMITASPRVYAMHIQAHPSHDKFIKKKIDMFEEMSLVCGNDRARGDCAKSFEDIGLDCSSEKGNEDEIEGPSKENGVQDVSETSQVKSSRKRNRHSNVQDVVGDISTKLGEVVATISKIADNRLDVTSLYEEVMAIEGYGEDFLGDAFDYLVQSDTLAKVLMAKNQNLRKVWLERFKRLHK >Solyc01g058100.2.1 pep chromosome:SL3.0:1:65141785:65142388:-1 gene:Solyc01g058100.2 transcript:Solyc01g058100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSIKNGIFKEIHVRDYKYSSNFRFFSMDFEQFIMTGQFYILLWSHLIFLIIEMDQSVQSHDQIQC >Solyc03g034290.2.1.1 pep chromosome:SL3.0:3:6063520:6063891:1 gene:Solyc03g034290.2 transcript:Solyc03g034290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSLIDFYSKGGDVGSVRRVFDYLLVKSTATCTAIIAACVNVVKSEILLKLLRNMLETDILSDNYVVSSILGACLSLEYIKGGKKIHCYALRRGAEMDVTVSNVLIDFYMKCGKVKTARSVFD >Solyc07g005700.3.1 pep chromosome:SL3.0:7:577467:584569:-1 gene:Solyc07g005700.3 transcript:Solyc07g005700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKYESVGDHPAPAPAPVRPQTMTSYPQHPHPPMGYTQLPSLTYFSQQAIRVSAGYTAPQFENKPDHIQNQPANMREAIWREIEKEKIKQEIIAEEKARRHMLELEVRRELMMERELAKQSGEGLSPFSSPAMSFSHMLPFSKQQSVVRSVEERIARSLEDRMGRDISVSRMGARNEIGRLEIVPFEERIPEIPFQQRSVEPENSALKPVPHSSVPMISELQPPLEPSKEKDKIILLAKPSVSGAKRKAVTPPVDVASQPPSSSVPNKNGKEDWSCALCQVSATCERGLNDHLQGKKHKSKEAALREQRFGKNYSIGLFPKKPKINNLSEADGNVNMEQMVKPQVELLLQNKSGERSSLVILEKEGAEDTITPTLHHNADDLKKSANAAPKKQKARKKYKFWCATCKVGALSEVSLEAHRVGKKHKARLLVLSSAAASAVKVESTQTVNEALKEVEETEAVKVENTQTVNEALEVVEETEAAKIESTPTVIEASKEVEETETAKAESTQTVNEALEDVEETEVIDEVGAGVPDKQEEVFTTGDN >Solyc01g080450.3.1 pep chromosome:SL3.0:1:79540458:79546107:-1 gene:Solyc01g080450.3 transcript:Solyc01g080450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKWFSIGRIASHRLLKMESLASFRSLCSQIQCFADTPQGSFSCSHYQKIPENSRIILTPTIVHTTISNCHSDILAFSFFLWCARQPNYFHDRGTLTLIVSIVSRLMKRYRTVKGIIKGLEEVGCVIKPQTLLFLLRIYWFGGMYDRVFEAFEEILRYGYTPNTFSRNIVMDVLFKIERVEVALRVLKETEVPNFLSYSIAVCNLCKLNDLVNLQDVLTVMLRKRYYPNEETFFVILHCYCKGGCIAEAIQILGLMIVLGVPICERIWSILIDVHCKAGNMDAASHLLKKMVESGYSPNVVTCTSLIKGFFESQMPSKAFGILNTMESKGCSPDLVLCNVLIHCLSKMGRYEDAINAFFLLREQRLSPDSYTLCSLITTVCLSKQFVELPLLITGFKIQPDLVACNSFLSYFCKAGYPAGAIEFYNDMIDRGFEADKYTFAGLLTGLCRSGRIAEAVKVYHGLVRSHVGLDSHIITVIINGLIKSGKFHKAISLIRKAASGKSQLDDVSYTIAISGLLTGGEVREAYALFRQMKEVGLAPSKQTYNLILSDFCKRSDFSMVEEILLEMVNASVEVDHLSLRFMKNLLYKSRHSASLFTLLTDVSTSGILSREAYAELIDEIVHQVNISNVHTGRSTSVDFGSSSSDEILEEEVLNHGRVPEVIIQQKEFMRCDLVNPGARQTVLVAPTSGGYEPRWRWNIPSCLSSWSLK >Solyc09g074200.2.1 pep chromosome:SL3.0:9:66398855:66403968:1 gene:Solyc09g074200.2 transcript:Solyc09g074200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFITGLKIVSRHLIDQSTTIITLIENIINMVDDNISDDANEKSLVVSDKEKESMNKTKFVSELPLGIVTDSPVSCLTELPKYELLDEAPKGDIIFDIDPLKTECPQLDAEQDVEILADTDWEDMITTELLKLLTQNLSIIFQSAVKRIVKCGYSEEIAELVIMRSGIYHGSKDVVSNIVDGALGLLSGEKVFDIGTPVIFEDLQSLVDYTLLEMVCVLREVKPALPVVQALWSLLIFDLNPIHVCKMEGYQLVELCNQESLGNNSSDLNLPQSNTEAFDNTQSNSDKQQLSRTITPVAQTLQSKVPISNTAPQEPEFENSNVCQAAKGKGSSTFSPEAKLKGPILEGKSEAGKSSLNSKKDLRKRKTFQFEKNCRSRTSKNIKVNMTAWERLVSDKNVNLSFTGVPKKNSRSKSTTCIKHNLPLQKASSDSPCHSSSIASASDTSKVPHMQANVNDKDPDSLSMDLKSSKKALDNTTISSAVPDYYVDIPYDESLGKYVPQNERDETILLRIFRLKSLQKELQGWSDWANEKVMQATHRLAKDQAELKMLRQEKNDAEKVHREKEMLEKDTTERIMEMELAQVNTNSMSEITNSLLKTLEIDNVKLKKDIEALMLSTSENPMNVNNVLAKEQEAIKKCQVAEMEKHSFEKDLSTFKQEKTSLQQKQEKANKVLDQFKVLLKQEEQEKQRFLQQADSLKAEREQLHVHGKVQRDNFREKVKTNMQKYKQDIQNCESEISQLRFQFERSKIEALKRGIPQMTKGLAASAETSGSNVLNVERECVMCMNEQISVVFLPCAHQVLCEDCNVHHQNRGMDKCPSCRTPIKERISAHFPDSE >Solyc05g008690.1.1.1 pep chromosome:SL3.0:5:2968317:2970017:1 gene:Solyc05g008690.1 transcript:Solyc05g008690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIRGSCSSHFLSENIDVPDKLRKQLYGKRYLIVLDDAWETTTWDELTRPFPEAKKGSRIILTTRGKEVALHGKLNTDPLDLRLLRPDESWELLEKRAFGNESFPDELLDVDKEIAKNCKGLPLVADLIVGVIAGREKKRSVWLEVQSSSSSFILNSEVKVMKVIELSYDHLPHHLKPCLLYFASWPKDTIMSIYELNFFLGAKGFVGKTEMKIMEEVVKIYMDDLISSNLVICFNEIGDALSFQIHDLVHDFCLIKARKENLFDRIRSSAPSDVLPRQLIIDYDDDEEHFGLNFVMFDSNKKRHSGKHLYSLKINGDELDDRVYTFHLRHLRLLRVLDLDTSFIMVNDSLLNEICMLNHLRYLFIGTQVKYLPLSFSNLWNLEFLSVNNKESTLILLPRIWDLVKLRVLFVDDCSFFDMDADESILIAKDTKLENLRILGELLIFYSKDTKNIFKRFPNLQMLQFVLKESWDYSTEQHWFPKLDCLTELEKLRASFKSSNTNHIGSSVATNRPWDFHFPSNLKQLLLYDFPLTSDSLSTIARLPNLEELSLYDAIIHGEERTAGH >Solyc04g007610.3.1 pep chromosome:SL3.0:4:1288347:1297500:-1 gene:Solyc04g007610.3 transcript:Solyc04g007610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfhydryl oxidase [Source:UniProtKB/TrEMBL;Acc:K4BNR8] MSCSIFLLIFALLVSILEQSVSVSVSNGSQRVILRAISGQDGEKPDFAVELNATNFDSVLKETPAPYAIVEFFAHWCPACRNYKPQYEKVARLFNGADASHPGLILMTRVDCALKINSNLCDKFSVKYYPMLLWGPPKKLVGWDPKQENNEILTIERGRTADILLGWINKQLGSSYGFDDGKYENEHLQRNFSDPGQIAKAIYDIEEATSSAFGIILDQRMIKSGTRASLIKFLQLLVAHHPSKRCRKGSADILVDFDNLCPSEILLANNEADSCSKKGALGNYQICGKEVPRGYWMYCRGSKNDTRGFSCGLWVLLHSLSVRVEDGESDLAFRTTCDFIYNFFVCEECRQHFHGMCSSVSSPFKKARDFALWLWSAHNQVNERLMKDEESLGTGDPEFPKVTWPPKQLCPSCYLSPGKTSDKNSKIDWNENEVFKFLVSYYGKELVNLYKDKELQAGVGTEKTVNEELVASTNAVVVPLGAALAIAVASCAFGALACFWRSQQKNRKPRRSWN >Solyc03g043640.3.1 pep chromosome:SL3.0:3:7135903:7138492:1 gene:Solyc03g043640.3 transcript:Solyc03g043640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEYIASFCTITTSTNNKKKSMQTVEIKVKMDCDGCERRIKNSVKHMKGVKSVEVIRKQSKVIINGYVDPNRVLKKIKSTGKRAEFWPYVPYNVVYYPHAPQAYDKRAPAGMVKNVPQALLAPNATEEKFAYLFSDDNPSACSIM >Solyc08g066360.3.1 pep chromosome:SL3.0:8:55011133:55017964:-1 gene:Solyc08g066360.3 transcript:Solyc08g066360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:K4CLL3] MESTLKELTNGESVLDIKDKCGVAGGVEDIYGEDRATEDQTITPWTFSVASGYSLLRDPHYNKGLAFTEAERDAHYLRGLLPPTVSSQQLQEKKVMHNLRQYQVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGGIFRRPQGLFISLKEKGKILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSVCLPITIDVGTNNQKLLDDEFYIGLKQKRATGQEYAELLEEFMSAVKQNYGEKVLIQFEDFANHNAFELLAKYRTTHLVFNDDIQGTASVVLAGLIASLKLLGGTLADHTFLFLGAGEAGTGIAELIALEITRKTSVPLEEARKKIWLVDSKGLIVSSRVESLQHFKKPWAHDHEPVKELIDAVKAIKPTVLIGTSGVGKTFTKEVVEAMASLNPKPLIMALSNPTSQAECTAEEAYTWSKGHAIFASGSPFDPVEFEGRTFVSGQANNAYVFPGFGLGIIMSGTIRVHDDMLLAASEALASEVTEDDYLKGRIYPPFTNIRKISAHIAAEVAAKAYELGLATRLPRPSDLVKYAESCMYTPLYRSYR >Solyc03g111055.1.1 pep chromosome:SL3.0:3:63222148:63229637:1 gene:Solyc03g111055.1 transcript:Solyc03g111055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPSPPPNPASAANCVRAQLETTERAVYVEPPVVVITGASRGIGRAVALALGKSGCKVLVNYARSLKEAEVSKQIESCGGQAITFGGDASKEEDVELNDVYRKQTPFLVQFILNKYGSVVVLVFFSGITPDTLLMRMKKSQWHKGINLNLTGVFLCTQGENIQHIICCWFSWQCPTIVRQKQE >Solyc07g042695.1.1 pep chromosome:SL3.0:7:56348053:56350793:-1 gene:Solyc07g042695.1 transcript:Solyc07g042695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKGLLSIALVTAVCAILSIFSPNYDWLLAVRMMVGFGAGGGLVYGSWFLEFVPSEYRGMWMMMYAGFWTMGTILEALLALMIMPRLGWRWLLALSSIPSFAGLLLFVFTVESPRYLCAIGRTRDAYDILKKIAVVNKTQLPPGKLVSSQVTEEHEELLSPGKSRISILKSGFSSLLMLLSPALLRNTLLIWVVYIGNAFSYYGIILLTSLFSSGQCQHSSIALNLNDDQSLYTNVLINSLAEIPGTILAAIMVDTIGRKFSVALMCGLSFLFLLPLLAPQLPALTTGLLFGARTFISGSFVIVGVYCREVYPTSVRSTGIGVANSVGRIGPMISPIVVVHLLRGCHQTAAIACFEAVLALSAVSVMLLSVETKGRELIDTQDV >Solyc11g022430.2.1 pep chromosome:SL3.0:11:13913173:13917852:-1 gene:Solyc11g022430.2 transcript:Solyc11g022430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEARFTTSARWYERVSKLISSVPERPSVIVSNLAPSKSTIGYPPPLVKWMDLFPTIVTKVKTIEVLDSGTWGGFIQFWKLEIFSSYVVDNLIPTSWIMMDVSYDLFNDIRSGVHSYSWKFPSGCLIQDMGNDQSKVTWDEHVQVYEKNQVHRFFRDFLSDLHTYGAKRWFVTLQRMSERYNFVTGAICPTRHDFKGGSGMRLI >Solyc04g014775.1.1.1 pep chromosome:SL3.0:4:5027779:5028219:1 gene:Solyc04g014775.1 transcript:Solyc04g014775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKFNSILVTLLVVFATVLLHVSEVQGGRKIVINGDDWVDISNLQDPEIVKLGKFAIREINKKAKATLVFLKVLSGQSQINSINFQLMISALDHDSPHYYKVVVSDKEQGKSMKLNSFVECTKFDEYIYMCSDFVDKDTKSDPSI >Solyc01g066360.3.1 pep chromosome:SL3.0:1:74044361:74046567:1 gene:Solyc01g066360.3 transcript:Solyc01g066360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4AWN9] MAKKLVIGGIASILVVACVVAACVTITKKNASDGGDGASNGGISTSTKSVQAMCQPTDYKEACEKSLASAKNTSDPKELIKVAFESTITDIKNAIKNTDLIKDAANDPRTKEALNTCDGLLDVSIDDLRRSFDKVGTFDINKIKDYTDDLKTWISASITYQETCLDAFQNTSGDTGEKMKKLLKTAGELTSNGLAMITSFGDMLTNMNIPGISRRLLADDYTSFVEDGSRRLLQVSNTKPNAVVALDGSGQFKTIKEALKGVPPKNIQPYVILIKAGEYKEMVDIPRGVTNVVFIGEGPTKTKITGNKNYADGTATFHTSTVAVNGDGFVAKDIGFENTAGAMKHQAVALRVSADKTVFYNCNIDGYQDTLYTHSYRQFYKDCSISGTIDFIFGDASAVFQNCKMIVRKPGANQACMVTAQGRKDHRGVGAIILRNCEISAEPAFINTQPPIKAYLGRPWKEYSRTIIMQSQIDAFIDPEGWAPWNGNFALNTLYYAEYQNRGPGANTDRRVKWAGYKKSISPQEAEKYAPNIFIDQDSWIKKTGISY >Solyc11g039890.2.1 pep chromosome:SL3.0:11:41738275:41773284:1 gene:Solyc11g039890.2 transcript:Solyc11g039890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTEANDEASRRSTTKIVDASLWWDPFPQLLAELESVSPYSDLPSPLEKKIKENHAWFLDTVSLFKPPNLKSREALDASRLKIGLHQITVETDKKEAAVKISSALCLDEVQSYILVDRTIDQKCIVADGVFRELPHLVMLQYYLERQCLMKCTRHIIMQAFMYYLSYSSPNAVYIATRSQDAFIVDEAQKLISDGLDRKFFSVLQENLHSNFPENMDVDLYTLWAEEIVTEDNVVLDVLFLIFYEFCPCTGELWKKLCLLYEGFISNSYNFGRLAVSAEAVSSIYHAKLQLLLILIETLDLENLLQMVHDETPFRHGYVTFSLSEVEEIDAMVSTFDVFENKESGPLVLAWAVFLCLISSLPGKEENSILMEIDHIGYVRQAFEAGSLSSFLEIIENDILRDFDGPITGFRSVLRTFLSAFIASYEINLQLEDGNLKLILDILCKIYQGEESLCTQFWDRESFVDGPIRCLLCSLEGEFPFRTAELLQLLTALCEGAWPAECVFNFLDKSTGLSSPVDISSCAIVNDASQTVMVVQPLHLPGIEGLVIPSGTRGHLLKMIDRDIALVRWEFPQSGIVVLLLRLAQGLYLEKTSEIVMTLGFLSRLVTFNMGVCYSLLDLGGYMHDEMNSPTEHLRINVAEIICAFIKNLSPDCSGVELMSMGVKILAKMLKCSPYHVSRLIVQANIFDVAFKTNPFKVDSNGLSSGSWLLSGRLAKMLLIDCEQNDCQLTLSVLDFTMQLMDSGMENDVVLALVIFSIQYVLVNHEFWNYKNKHTRWKVTLKVLEVLKKCILSISYIQKLGEVVKDILFGDSSIHNALCRLVCTTSDVLEKLYFSRLYGLTDIEGLQQAIVLGLDILSSMLSDFSMVVPTFTVFCQAVISQTAKPVPVVTAVISLMSFFRNPC >Solyc12g040790.2.1 pep chromosome:SL3.0:12:56159608:56164086:-1 gene:Solyc12g040790.2 transcript:Solyc12g040790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVIGVAGAGAKSGQERDIQKNYWMEHTSELTVEAMMLDSKAADLDKEERPEVLSLLPPYEGKSVLELGAGIGRFTSELAKNAGQLIALDFIESAIKKNESINKHHKNVKFMCADVTSPDLKFSPESVDLIFSNWLLMYLSDEEVQSLVERMVKWLKVGGYIFFRESCFHQSGDHKRKNNPTHYREPRFYTKVFKECHINAGDGKSFELSLIGCKCIGAYVKNKKNQNQICWLWQKVNSEDDRGFQRFLDNVQYKCSGILRYERVFGEGYVSTGGLDTTKEFVSMLDLQPGQQVLDVGCGIGGGDFYMAENYDVHVVAIDLSINMISFALERSIGLKCAVEFEVADCTKKTYPDGTFDVIYSRDTILHIQDKPALFRSFYKWLKPGGKVLISDYCKSPIPASDKFSEYIKQRGYDLHDVATYGQMLKDAGFDEVIAEDRTEQFINVLQKELNTVEKERDSFIHEFSEQDYNEIVGGWTAKLLRSSSGEQRWGLFIAKKK >Solyc08g080510.3.1 pep chromosome:SL3.0:8:63905341:63910126:-1 gene:Solyc08g080510.3 transcript:Solyc08g080510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTSSGPEIPWVEKFRPTKVSDIVGNEDAVARLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNYKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGRHKIIILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCALVRFARLSDQEILGRLMAVVAAEKVAYVPEGLEAIIFTADGDMRQGLNNLQATHSGFGFVNQENVFKVTRLTYLFDIVCDQPHPLHVKNMVRNVLEGKFDDACAGLKALYDLGYSPTDIITTLFRIIKNYDMAEYVKLEFLKETGFAHMRICDGVGSYLQMCGLLAKLSLVRETAKAS >Solyc03g117750.3.1 pep chromosome:SL3.0:3:68274333:68278547:-1 gene:Solyc03g117750.3 transcript:Solyc03g117750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSFKLQHRKLEYRRLIPAFLLSHYTLLTILWIVGFILIVIWQRTAVERLLIYRGLASPTRPIPMLRPLVFNLSDFGAVGDGVTVNTKAFETAISEIRKRGGGQLNVEPGHWLTAPFNLTSHMTLFLAENAVILGIDDENYWPLLPPLPSYGYGRERRGPRYGSLIHGQNLKDVVITGHNGTINGQGRSWWEKYRKKLLNHTRGPLLQLMWSRDIYISDVTFRDSPFWTLHPYDCQNVVIRNVTILAPLTEAPNTDGIDPDSCVDMVIEDSYISVGDDGVAIKSGWDQYGIAYSRPSSNITIRNLIVRSMISAGVSIGSEMSGGVSNIIVENLLIWNSKRGIRIKTSPGRGGHIRHVIYRNLTLQNVRVGIVIKTDYNEHPDEGYDPNALPVIKDISFTGIRGQGVRIPARIYGSAEIPVQNITFQDMSVGITYKKKRIFQCSFVQGRVIGKIFPAPCENLDWYDDGGKLIRQSIKKNTKDLDYDG >Solyc10g038133.1.1 pep chromosome:SL3.0:10:19856224:19869431:1 gene:Solyc10g038133.1 transcript:Solyc10g038133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHLVPTNPNRGNLGNGAGHQPPLPFEAHKQLHVENQLGDAFSVKPLDHFPIPFMDKMLDRLAFKGCYCFLDGYLATIRSLSLQKIKKRPPSLVLTGLFHSKGCRLDMLCTGYLPGLYNFLRVCHYAAMILTTTQQLIDEDIDRKHDLTFVYLGTTTSTTAAQATKGTPWKHPVSRLLQLKVLGRTKPQGPIQLLPSSYLNRLRKLKLLRVNTSWETPTMGQGPIETILMIGIVVTVSIGLTIDPLDDGLGVIIGVK >Solyc07g054460.3.1 pep chromosome:SL3.0:7:62901416:62906232:-1 gene:Solyc07g054460.3 transcript:Solyc07g054460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFLYNSTPEEVEDDKKNQPFSDPLSQLSIPDFDEFSLSFSPMADKKQQPLELGSVNDGVSEKCQTQTEDPLSCLNQEESQIGVSEKCDIQMEDPLSCLNQEECQIGVSEKGDIQTEDPLSCLNHEQSSENGMKVEEFDSIVEEKMGRVSISGIEDERVVDQADVGNVSGSVVAAAAAMISKNEESDNKVVISSKVVERIVNDNGNGSIVSNSDTESESESEREASSSASSSDSDEDESSSNDEAEEEANMEEGEIVASDVDEMVGWDDDDEDTGVKGPIRSKNEVQVLPPVPEVTATLQPHHQMQPVGVVSSTIGAQVVVEGVEKHTPLCDGSILWITESRFPLGIVDEIFGPVKNPYYIVRYNSENEVPPGIHPGTLISFVPEFSSYILNDNSLYKKGYDASGENDEEASEDEFSDDEKEAEHRRMLKMKKRDVMNDQKPGNRKDKRNLKNRPQNWKHDQGVAADVQHKNGKLSVDQSPRFIPAAAAAAAPMDQGIHQSAPRQGHGQSIRPPSVPPFPHMQNSPGLASPSTGVWPNGFPFQQPQNMGFPNTLPNMGMSWPQQGHPQQMFQMPLPNALPFEQQINPALPPNFMFPGGLPNFGAGPPFGPWPAFGQNVFNQPGMPMGLPGQFTPAPMNLGGQVPANGPQLGQNNNSQPNAVVPGYINGSPNFNQGQSPSPMSIPGQFTPPPMNLGGQVPANGPQLGQNNNSQPNAVVPGYINGSPNFNQGQSPSPMSIPGQFTPPPMNLGGQVPENGPKSGQNNNNVSPNFTRGAHSGGGRRGNHRGGGRFGVSQIRNVCFPPVLSLLENAQRYTKALALHICSQFLP >Solyc12g036405.1.1 pep chromosome:SL3.0:12:46462094:46462423:1 gene:Solyc12g036405.1 transcript:Solyc12g036405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTFFRWSSIGGVTKGHCTVYVGESQQKRFVVSLCQDLLAKSEEEFGYDHPMGGLTIPCKEDVSVELTSR >Solyc01g008474.1.1 pep chromosome:SL3.0:1:2496795:2498034:1 gene:Solyc01g008474.1 transcript:Solyc01g008474.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFMDIRQDLVYAYGWPSQIVRPIWKVKRAPKQAYPLFRRFSCAIAHHFLGDPNSDVKNAKFFRGHPSIPCLCIRLAITACPTHLEGWPSRLVRPIWKVKQALKRAYPSFRRFSCAIAHHFLGDPDFDVKNAKFFCGRPARPCLCIRLAITASLTHLEGQTSPEASIPLISTIYVCYSTPF >Solyc04g009920.3.1 pep chromosome:SL3.0:4:3240025:3246890:-1 gene:Solyc04g009920.3 transcript:Solyc04g009920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BPE7] MRISFLRFGVLFLLCNCLIGAYCSKSDEAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDMVVLVSDGVSQYAKHLLQADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTTYKKVVYLDADTIVVKSIEDLFKCGKFCANLKHSERLNSGVMVVEPSEKVFNDMMSKVTTLPSYTGGDQGFLNSYYVGFANAQVYEPNLPSDVLNSRKVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVRVQLQESLPGTRGGKNSRDELLVKFLYLLPLLLIAFCYYRSFLQTQSLWHHIRQMYYKVRGGVLAYASVPPSAISSNQQFPNGMQLKMPTYLGAVSVCVCFAAALVSLGLPLLIIPRQVMPWTGLLLMYEWTFTLFFLLFGSYLHLVYQWGRVAANQPGQFPAHPVSLDYEPGKGHQRQQSCCDNEAWYYGLGMAFLAIAAPALPGVFGVTSLFLRLGLMVVGGLILTSFMTYASEHLSIRSFARGFEERNTPRSRSVCFLC >Solyc07g021330.2.1 pep chromosome:SL3.0:7:16873605:16881205:1 gene:Solyc07g021330.2 transcript:Solyc07g021330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVDLIGVFRYDDKFEMNDLGLLYYFLGLEVKQGEATTMNMNEKLQQKDGTRQAKARSFRSLVGGPDSGSRYTRLSPRPSVSVLDGEIITKELGLLKISPKTNPRSFPYSVKQQCWDKAEKVKGRDPDRWHRDPLGNTIFRKLVGCPGFLCHDYDHIIPYSKQVLGISTYLFSTTVFLDFLCL >Solyc05g015280.2.1.1 pep chromosome:SL3.0:5:10276392:10277073:-1 gene:Solyc05g015280.2 transcript:Solyc05g015280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSPQPMAEGEPVSKPTYAAKIISKMPTQSLPITQLKSIKYVRRESTLQFTFKGLDEFATEDGLQQAIVMKFSYGAPEVHELRSIHFLSKVNV >Solyc01g111670.3.1 pep chromosome:SL3.0:1:97782607:97783904:1 gene:Solyc01g111670.3 transcript:Solyc01g111670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFRELLKKYGKVALGVHFSVSAASITGLYVAVKNNVDVEALFEKIGMPGLSKEKVDEIPNSPQQVISSDGFVIEEPFNQDGVAAPTPKQRNRTAELAASSGGALAVAVLLNKALFPVRVPITLGLTPPIARFLARRRIINNSSLSDETDGLERTE >Solyc02g079030.3.1 pep chromosome:SL3.0:2:44207607:44215545:-1 gene:Solyc02g079030.3 transcript:Solyc02g079030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTDAGAPLLSDRVQISGNVVPMTLTTGGKLRWLDRCLSIAKDVLGFTVEGCRIKINAVIESGPGICCPGNVGASMRKNFTFEPLSEDSLRLWNQRLQSVIDSLGRPKRLLVLLNPYGGSRSAPKVFSDDVKPLLEDANIHYTLQETKYRLHAKEVAHSLDLLRYDGVLCVSGDGILVEVVNGLLEREDWSTAIKMPLGVIPAGTSNGMAKSLLDSVGESCTAFNATLAIIRGHKRSLDVATVLQGQKKYFSVLMLAWGLIADIDIESEKYRWMGSARMDFYAIQRIFCLRKYHGCIKFVAAPGFENFGEPNELGGEIDELNSNLVMQDGYCGPTFDMKGFNRKIEGPFVSIWLHNVPWGGEDVLAAPDAKFSDGYLDLIVMKDCPKMSLATLMSEMNKGGHVRSPHVLYLKVKAFALEPGPRADDPNKEGIIDVDGEVLARGKGTYKCDQKTLMNYDKLHIKVDQGLATVFSPIN >Solyc01g097670.3.1 pep chromosome:SL3.0:1:88307335:88309971:1 gene:Solyc01g097670.3 transcript:Solyc01g097670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLSLPSPAKFIPPSSKSRRIRNPDFEALKDTLIRQANGGNLKQAISTLDQISQMGFNPDLTSYTVLLKSCIRTRNFQIGQLLHSKLNDSPIQPDTIVLNSLISLYSKMGSWETAEKIFESMGEKRDLVSWSAMISCYAHCGMELESVFTFYDMVEFGEYPNQFCFSAVIQACCSAELGWVGLAIFGFAIKTGYFESDVCVGCALIDLFAKGFSDLRSAKKVFDRMPERNLVTWTLMITRFSQLGASKDAVRLFLEMVSEGFVPDRFTFSGVLSACAEPGLSALGRQLHGGVIKSRLSADVCVGCSLVDMYAKSTMDGSMDDSRKVFDRMADHNVMSWTAIITGYVQRGHYDMEAIKLYCRMIDGLVKPNHFTFSSLLKACGNLSNPAIGEQIYNHAVKLGLASVNCVANSLISMYAKSGRMEEARKAFELLFEKNLASYNIIVDGCSKSLDSAEAFELFSHIDSEVGVDAFTFASLLSGAASVGAVGKGEQIHSRVLKAGIQSSQSVCNALISMYSRCGFAKHGFAHRAVELFNQMLEDGIKPNEVTYIAVLSACSHVGLVDEGWKYFDSMSIDHGITPRMEHYACMVDLLGRSGSLEKAVQFIKSLPLNVDALVWRTLLGACQVHGNLQLGKYASEMILEQEPNDPAAHEAGCSWMEAENSVHKFYVGDTKHPKAKEIYEKLNKVALKIKEIGYVPNTDLVLHEVEDEQKEQYLFQHSEKIALAFGLISTSKQKPIRIFKNLRVCGDCHNAMKFISVAEGREIIIRDSNRFHHIKDGLCSCNDYW >Solyc08g076930.1.1.1 pep chromosome:SL3.0:8:60990466:60992535:1 gene:Solyc08g076930.1 transcript:Solyc08g076930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEYSLPTMNLWNNSTSDDNVSMMEAFMSSDLSFWATNNSTSAAVVGVNSNLPHASSNTPSVFAPSSSTSASTLSAAATVDASKSMPFFNQETLQQRLQALIDGARETWTYAIFWQSSVVDFSSPSVLGWGDGYYKGEEDKAKRKLSVSSPAYIAEQEHRKKVLRELNSLISGAPPGTDDAVDEEVTDTEWFFLISMTQSFVNGSGLPGQALYSSSPIWVAGTEKLAASHCERVRQAQGFGLQTIVCIPSANGVVELGSTELIVQSSDLMNKVRVLFNFSNDLGSGSWAVQPESDPSALWLTDPSSSGMEVRESLNTVQTNSVPSSNSNKQIAYGNENNHPSGNGQSCYNQQQQKNPPQQQTQGFFTRELNFSEFGFDGSSNRNGNSSVSCKPESGEILNFGDSTKKSASSANVNLFTGQSQFGAGEENNNKNKKRSATSRGSNEEGMLSFVSGTVLPSSGMKSGGGGGEDSEHSDLEASVVKEADSSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKSKLQNTESDKEDLKSQIEDLKKESRRPGPPPPPNQDLKMSSHTGGKIVDVDIDVKIIGWDAMIRIQCNKKNHPAARLMAALMELDLDVHHASVSVVNDLMIQQATVKMGSRHYTEEQLRVALTSKIAETH >Solyc10g055780.1.1 pep chromosome:SL3.0:10:57353897:57354492:-1 gene:Solyc10g055780.1 transcript:Solyc10g055780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLFPYEQGNPGYYCTPSGQWPCARGRKYFGRGPIQISYNYNYGQCGRAIGVDLLNNPDLVATDPVISFKSAIWFWMTPQSPKPSCHNVITGRWQPSGADRAANRLPGFGVITNIINGGLERGRGSDSRVQNRIGFYRRYCKILGVSPGYNLDCGNQRSFGNGLLVDSM >Solyc03g062700.3.1 pep chromosome:SL3.0:3:34187463:34194141:1 gene:Solyc03g062700.3 transcript:Solyc03g062700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSLSPILRRELENLDKDAESRKCAMKALKAYVKELDSKAIPLFLAQVSETKESNASSGEYTISLYEVLARVHGPKIVPQIDNIMSTIIKTLTSSAGSFALHQACSKVVPAIARYSMDPTTPEEKKRYIIHSLSKPLSDCLLGRQESLSSGAALCLKALVDSDNWRVASNEMVHEVCQRVAGALEKHTQTNSHMALVTSLAKHNSLIMEAYARLLIQSGLQILSSSSGEGNSQKRLSAIHMVNSLMKYLDQRSIQSQLRMVIEEMEKCQSDKMLYVRGAAFEALQTAKRICSEKGLKFERDVDSMTGSNFDSRGNMRRNLWDSVDQSSLAASPESRTINSFVDYEPFMDSPVSINQRPYVLGNDRSVNRKLWKKSANGGVDVSLKDGIFSEFTHGNGVINSEHNEVHNGTGDNAAEFAGFLPRSARNGFVRSATPSPQSPRSHVNVESVKIFATPRKLIHLLQDANDVNSDISERKTRRFRSPSLCRCEGSPEKSEVNGLFHRERRDYGEGATSNDEKHYHLNSESVSSTEDAQANGDVPVSSNDVAHNGTESQGVIPPKGYASTHILIFGIFAVLLAAFLLSMWIGNQDQSYNLVPT >Solyc01g106420.3.1 pep chromosome:SL3.0:1:94211296:94221343:1 gene:Solyc01g106420.3 transcript:Solyc01g106420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQEPDPKSLDDSNSTHKPDTSTLDVLAATDMAKPSISSSLLPQPSWFTAKRLLAVFCVINLINYVDRGSIASNGVNGNRRTCTKDGTCSSGSGIQGEFNLSNFQDGVISSAFMVGLLVASPIFASFAKRVNPFRLIGVGLTVWTIAIVGCGFSTNFWFIATCRMLVGVGEASFISLAAPFIDDNAPVAQKTAWLGIFYMCIPTGIAFGYVYGGLVGNHLSWRWAFWIEALLMLPFAVLGLFMKPLQLKGFSHIGSKKPLTSPLTACPEEAVLNCSNGLSSTREDPKDGSKGAPSNLNELARFWKDLKTLHLEKTYVINVLGYIAYNFVIGAYSYWGPKAGYYIYHMKNADMMFGGITVISGIFGTLAGGFVLDRMTSTISNAFKLLSVATFLGAIFCFAAFCFKSLYAFIPLFAIGELLVFATQGPVNYVCLHSVNPGLRPLAMAMSTVSIHIFGDVPSSPLVGVVQDHINNWRVTALMLTSVLLIAAGIWFIGIFLHSVDRSNEDSENQISDAERARSQPLLKEKSNEPIDVPVESS >Solyc01g100020.3.1 pep chromosome:SL3.0:1:89974451:89985618:1 gene:Solyc01g100020.3 transcript:Solyc01g100020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDKLIENAPASAMSSTHSLRYYTEPATIFEELPKATIIGVSRPDASDISPLLLSYTIEVQYKQQFKWCLLKKASQVIYLHFALRRRAIIEEFHEKQEQVKEWLHHIGIGEQTAVTQDDDEDDGALPIYNEDSIRNRCVPSRAALSIIRPSLGKQQTITRKAKIAMQEYLNHFMGNLDIVNSREVCKFLEVSKLSFSPEYGPKLKENYVMVKHLSTVPIEEENVGCCICYWSGCCKSKWQKVWAVLKPGYLALLNNPFDAKLLDIIVFDVLPTSNVKGENAVCLAAEIRERNPLQYAFKVCCGNRSIRIRTTSHAKVDEWICSINDAVLKPPEGWCNPHRFGSFAPLRGTTDDAAQAQWFVDGKAAFEAIASSIESAKSEIYITGWWLCPELYLRRPFHNHSSSRLDALLETKAKEGIQIYVLLYKEVSIALKINSLYSKRRLLKIHKNVKVLRYPNHFSAGIYLWSHHEKLVIVDNKICYIGGLDLCFGRYDTREHKLADQPPFIWPGKDYYNPRESEPNSWEDAMKDELEREKYPRMPWHDVHCALWGPPCRDVARHFVQRWNHAKRSKAPNEQTIPLLMPQHHMVLPHYMGRSREIEVESKTTELQWKDLNGQDAFPSGSPPEDIPLLLPQEADCDEVSCADEKWTDDLHHLDLQSQMKTHQLDNWWETQERVAEVVSTDEIEDVGPRTRCHCQVIRSVSQWSAGTTQTEDSIHKAYCSLIEEAEHFVFIENQFFISGLAGDEIIHNRVADAIYRRIRRAHKENKCFRVIIVIPLLPGFQGGLDDIGAATVRALMHWQYRTISKGNTSILHNLNALLGSKTCDYISFYGLRTYGQLSDVGPMFTSQVYVHSKVMIVDDRIAMIGSSNINDRSLLGSRDSEICVVIEDKDFIDSTMDGKPWKAGKFAFSLRVSLWAEHLGLCAEETCQIKDPVANSTYKDIWMATAESNATIYQDVFSCIPNDVIHSRSELRQCMNHWKDKLGHTTIDLGVAPDKLESQVDGEVDVVNTKEKLKSVKGHLVSFPLEFMREEDLRPAFMETEFYTSPQVFH >Solyc07g063380.1.1.1 pep chromosome:SL3.0:7:65987779:65988075:1 gene:Solyc07g063380.1 transcript:Solyc07g063380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRTPSTDDMITSGDIIPAGETNHPPLPTSSPSSGETSHPSTSCDIILVGETNRPPIPLPLPTSTFSFGESTRPPTSGDIIPAVDTNRPPIPVSPFR >Solyc02g011680.3.1 pep chromosome:SL3.0:2:13776073:13778190:1 gene:Solyc02g011680.3 transcript:Solyc02g011680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIKNLSLLKSSLTSLKRGKLLHQKIVTLGLQSNINLSKNLINLYISCEEIHSAELVFQNLEKPLDITLWNGLIASYTKNQLFNEALDLFDKLLQFPYLKPDSYTFPSVLKACSGLGNLRYVQMIHAHLIKTGLLLDVVVTSSAIGLYAKCDLFASAIQLFDEMPEREIACWNTVISCYYQNGQFHKALQFFDKMKDLRYMPNSVTYTAAISSCARLLDIERGETIHRELVDNKFLLDGFVSAALVDMYGKCGLLEKAKEIFEQIPAKSLVSWNSMISGYSLRGDSKSCIQLLQRMSKENMKPSSVTLSSLLMACSKSTELQHGNMMAKNNVEAWNVMISGHVSAGYYLEALAIYNDMKLAGIKPDAITLTSALVSCSQLGALEHGKEIHKCIIDCKLESNEIVMGSLLDMYAKCGAVSEAIEVFDELPERDLVSWTTMIAAYGSHGQAFEALKLFNGMLHSNVKPDRVAFLAVISACAHAGLVDEGYQYFNLMVSGDGIQPSAEEYSCLIDLLGRAGRLREAYAILQSNSDTREDVELLSALVSACHLHGELEIGEEIAKMLTQKNIDDPSTYVVLDKIYASQNKWNEVRKFRLKMKELGLRKKPGCSWIEVDKRIQTFLADDKYFLLVDDVYQCLSLINSDMETCECLSIDSKGDDYYSKPTT >Solyc11g012020.2.1 pep chromosome:SL3.0:11:4963892:4968863:1 gene:Solyc11g012020.2 transcript:Solyc11g012020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVSVPVASFTKEEEKIYQNWFNFADSDGDGRITGNDAIKLFSLSNLSKAELKQVWAIADSKRQGFLGFNEFVTAMQLISLAQDGSELSPDLLKSKANMELLSPPSMEGLDALSSKTNGSLMKDLSGTNGTIQVRPPSPINMFSRKSRKKIQPSLTAVTSVTDGLKKLYNEKLKPLELTYRFNEFSSPALTESDFDAKPMVMLLGQYSTGKTTFIKHLLKCNYPGAHIGPEPTTDRFIVVTSGPDERSIPGNTIAVHAEMPFTDLTKFGGAFLSKFECSQLPHSLLDHISFVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDMILLLFDPHKLDISDEFKRVISSLKGHEDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVVRVYIGSFNDKPVNEEAVGPMGKGLFEKEQDDLLDDLIDIPKKACDRRINEFVKRARAAKIHAYIMGHLKKEMPALMGKSKTQQKLIQNLENEFEKVQKAFRLPAGDFPSVDHFREVLGHYNITDFEKLKPRMIQAVDDMLSHDIPELLKSFRNPYE >Solyc07g045510.3.1 pep chromosome:SL3.0:7:58753169:58760111:-1 gene:Solyc07g045510.3 transcript:Solyc07g045510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTRNKLLNHNPNPTFGFTTVAASVLHSFSNHSVFPSIDRTKNVSFSPTQEPVFQFKDFTNILNNNTKHLGAVVLPEKSVCSVSIVSDKCEFLVQKYLLSFSENDAQRLHLDIIKYGVVKDLYLCNTLINLYVKNADLISAHHVFDEMPSRNLVTWACLITGYSQNGMPDEACGVFQEMVSSGFIPNHYACGSALRSCQGLGACGLRLGMQIHGLLLKTGHASNEVVSNVLISMYGSCAGNGDYAWRVFEEIENKNSVSCNSIISVYSQRDSVSAFELFSLMQKDDLGFTFKPTEFTFGSLITTAANHVNCGLLLLEQLLANIEKSGLLEDLYVGSALLSGFGRFGSLDTALKVFKQMGARNAVSLNGLMVGLVRLGQGEDAAKVFMEIRDLVKINPDSFVVLFSAFSEFSSLEEGEIRGRVLHAYVIRTGLCNSKAAIGNALINMYSKFGEIQIAHSVFQLMVNKDSVSWNSMISALDQNDCFEDAISTFQSMRRIGLMASNYSLISALSSCGSLNWIKLGEQLHSEGIKLGLDFDVSVSNTLLALYADTGCVAECKKLFTLMSEHDLVSWNTFIGALGDSETSISEAIEYFIQMMCAGWSPNNVTFINVLSAISSLSLLGLVRQIHALVLKYSAMDANSIENTFLACYGKCGEMNDCENIFSEMSDRKDDVSWNLMISGYLHNEVLPKAMDLVWLMLHKGQKLDGFTFASVLSACASIATLEHGMEVHACAIRACLESDVVVGSALVDMYAKCGRIDYASRFFDLMPVRNIYSWNSMISGYARHGHGHKALELFTKMKMDGQTPDHVTFVGVLSACSHVGFVEQGMDYFDSMSKQYGLTPRIEHFSCMVDILGRAGQMNKLEDFINKMPLKPNALIWRTVLGACGRASSRKTDLGRKAAHMLLELEPHNAVNYVLLANMYASGGKWEDVAEARRAMREATVRKEAGCSWVSMRDGVHVFVAGDQSHPDKHAIYEKLKELHKRIRDAGYVPQIKYALYDLELENKEELLSYHSERLAVAFVLTRKSDMPIRIMKNLRVCGDCHSAFRTISLFRLHRSCKVQFLISEILILQASGSWEGYSCILAEHTPMVLHRYGNSRKKLTAPKDVQLLSPLVCAASSYN >Solyc01g108360.3.1 pep chromosome:SL3.0:1:95592188:95593039:1 gene:Solyc01g108360.3 transcript:Solyc01g108360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVSMANFNYTWAGGMKWNKTNCPYANNHPNATQTSNKFNVGGSENWHYGFDYMDWARKNGPFFVNDTLVFKYDAPNANGGFPHSVYLLPNYWSFIKCDLRRAKRIANPNQGVGEGFEFVLKKSQPYFFACGEHGGIHCNNGTMKFVVMPLKRWTF >Solyc06g066740.2.1 pep chromosome:SL3.0:6:42049675:42051894:1 gene:Solyc06g066740.2 transcript:Solyc06g066740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLCMLVVGIDFCHIMTIFLMIDEIFWAVCCLFFLVYLDCDFPLNGLGCFMPHSRLNSSVRLLRLLSISCRNLNRHQLFGNSSSVILHFGMGDADSLQPSKKRAAVKELSRDNPGLDDDNESSEQENGTFKRASDEVMANRRIVKVRKTASTTTTPSSNPFAAIQLVLPANTSTPAVTTTEAGNGTTTTKKTEDSNDQSEGIKKEETDVSKEANHEKESDKNSKLPKSKSESTADANVDKEKVDNPNEPNKPESTEKKAVGSENIKDDTETVTVVEKSADDIEVEGNKTENEMKKHVGGGKNDKDAETASFGSFQQLSSSQNAFTGLAGTGFANTTFSFGANSKEGSPLGFGSESGAGSGSGSLFGAKSDQSIFGVSLPTNGNASLFGYSGSSSVKKSEGTGFPSMQEVPIVTGEEHEKAIFTADSVLFEYLNGGWKERGKGELKLNISSGTGKARLVMRTRGNYRLILNANLYPEMKLASMDKKGVTFACMNSADDGKDGLSTIALKFKDASIIEEFRAAVMEHKNKIEVSLKTHGDSIFITEPIYIFPFSAPLHSFKKIASKVFNIMMLFIPSISSLLIFVVSSLKLQFEFLSQFRYNNVPNEISYGEYHISSIPIENSDEY >Solyc01g008740.2.1 pep chromosome:SL3.0:1:2709812:2716703:1 gene:Solyc01g008740.2 transcript:Solyc01g008740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSSGEEQQPNETTNNNNNNEINNPKNVENNSSTQHNNLDQSSTTPTPTKTSPSPSSKPSKQSPIGLVLGRPMEDVRSTYTIGKELGRGQFGVTHLCTQKQTNEQYACKTIAKRKLVSKEDIEDVRREVQIMHHLTGQENIVQLKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVDTCHSMGVIHRDLKPENFLLLSKDENAPLKATDFGLSVFYKQGDVFKDIVGSAYYIAPEVLKRRYGPEVDIWSIGVMLYILLCGVPPFWAENENGIFNAILRGHIDFSSDPWPSISSGAKDLVRKMLTVDPRQRLTAMQVLNHSWIKEDGEAPDTPLDNAVLHRLKQFRAMNKFKKVALRVIAGCLSEEEIMGLKQMFKNMDTDNSGTITLEELKQGLAKQGTKLSDYEIKQLMEAADVDGNGTIDYEEFITATVHMNKMDREEHLYTAFQYFDKDHSGYISREELEQALREFGMDDENDLREIINEVDTDHDGRINYDEFVAMMKKGNPEAATMNPRKRRDSFVA >Solyc04g050675.1.1 pep chromosome:SL3.0:4:47946462:47949837:1 gene:Solyc04g050675.1 transcript:Solyc04g050675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSLVTKAITEENDITALWIAKHPLKPRYKSPNAFCDHCNTKGPYYGNLSFSHNHNPASDINRSVGDNSHMGAHNHGHIGFHGSHASGSSRFNVTADMCTHGCGNTGTQSWSSGSDLVLILVYVDDLLIIGSCAQLFLATKLMLQTDFKIKDLGEMRYFLGLEIARNKDGIMVSQRKFALDLISDFGLVWIKPISTPLEKLVGKLLYLTMTRPDISYAVQNLSQFMHKPKKSHMEGALRVIKYLKNAPGFGVMLTSKVSKQLSVYCDVDWATCPITRRFVSGFVV >Solyc07g005460.3.1 pep chromosome:SL3.0:7:364105:373742:-1 gene:Solyc07g005460.3 transcript:Solyc07g005460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMADSPVHSSSSDDFAAFLDAELDSASDVSPELDEVENGEAEVEVELEDEKGKDEDNDTGDGDDGNIDSRRSKKRKIELIEAAVDPQSLVSRGESAETSGASLALDVCTHPGVMGGMCIRCGQKVEDESGVAFGYIHKNLRLADDEVARLREKDLKNLLRHRKLILVLDLDHTLLNSTRLADISAEESYLKDQREVLPDALRSNLFKLDWIHMMTKLRPFVHTFLKEASSLFEMYIYTMGERPYALEMAKLLDPGGIYFHSRVIAQSDSTRRHQKGLDVVLGQESAVLILDDTEVVWGKHRENLILMDRYHFFTSSCRQFGLKCKSLSEQKSDENEAEGALASVLEVLQRIHRLFFDPERGDNIMERDVRQVLKTVRKEILKGCKIVFTGVIPIQCQPENHYYWKLAEKLGATFSTEVDESVTHVVSMNDKTEKSRQAVREKKFLVHPRWIEAANYLWRKRCYV >Solyc10g006920.3.1 pep chromosome:SL3.0:10:1344735:1349398:1 gene:Solyc10g006920.3 transcript:Solyc10g006920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHLLAHEVADLCLGKPPLRSLCVSATIGEALISLKSCEENCISVWDCDHFKNVDCICVGKICMVDIICFLCRKENVNSPSLALKTPVTALLPKDSVLVRHVQPSTSLLEAIDLILQGAQNLVVPIETRFSGSSRRKLLQKSSSTRGCTLHDGREFCWLTQDDIIRYFMSSIGLFSPLPTASIDALGIVSTEFLSIGYHSSASLATEAISRSLVDQTSVAIVDEDGVLIGEISPFTLACCGETVAAAITTLTAGELLAYIDCGGPPEDIVRVVKERLKERNLEGMLEEFEIDPSDISSNSSLSDEEFPSPTSSRSSGVRYNKSSSYSARMVRRAEAIVCYPGSSLVAVMVQAIAHRVNYVWVIEEDCSVVGIVTFANMLQVFRDQLESMMLGHFD >Solyc10g086740.2.1 pep chromosome:SL3.0:10:65601941:65603263:-1 gene:Solyc10g086740.2 transcript:Solyc10g086740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASMPSKAAADTMKFSTPSSVPTTSKRKGSKDVVSDASIAAKKYRTRSPTNQLSLKGASSMPQDDKHNNNLKSSAVQPSDPIDEPKRSPVQGSNKNSSVQGSNNAKCLSEHPIQSPLTNYSDPKTPPIQSSSQTDKSISPLGIYSTATSIKEAAPSHLMSTNHMIISAETIQVTPTKQIAYYSIERNHRVSTSSPVNANLNRSVKRDQVKGRLDFDASDIPSSSEVPQVPDRILTSDSEKEGDTFDLDLSNFGLLGENFNLAELLHHFDIDVQGVDHSCQDKLDFFPDSFSGSPYESGNVNIDGNQITSQLSSQVFSEKDTSLQGSDTVKTMKSVTKCVQLLSP >Solyc02g069120.3.1 pep chromosome:SL3.0:2:39641488:39649925:-1 gene:Solyc02g069120.3 transcript:Solyc02g069120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPKEQIMDVRSVVEAVAAGGDDVEIDTPLYVVESLCMRCGENGTTRLLLTLIPHFRKILLSAFDCPHCGERNNEVEFAGEIQPRGCCYSLHVPSGDKKLLNRTVVKSESATIKIPELDFEIPPEAQRGSLSTIEGILVRAADGLEALQDERKKVDPKMAEAIDQFLIKLRACASGDSSFTFILDDPAGNSFIDNPLAPSPDPSLTITFYERTPEQQAALGYIADPSQLGGQSDEVSNEGINNVPDRLLNEPHGSVGARAGRQAIAQGNSAEMAEALFRYSAPEEVMVFPSTCGACMAKCDCKMFVTNIPYFQEVIVMASSCDACGYRNSELKPGGPISEKGKKITLHVENIKDLSRDVIKSDSAGVEIPELELELTSGTLGGMVTTVEGLITKISESLERVHGFTFGDSLEEDRKSKWLDFRARVDKLLSLKQPWTLIIDDALANSFVAPATDDLKDDKQLTFEEYVRSWEQNEELGLNDMDTTAADVAYSSAADAEPSKSAGN >Solyc08g079650.3.1 pep chromosome:SL3.0:8:63252969:63256068:-1 gene:Solyc08g079650.3 transcript:Solyc08g079650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNMEWPTYLDEYEKLVFRMTTPRVMIDNAGCSNSTRVMIDSARKHGILLEAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDLDGNKLTDESVISYIEQSLGTIHYGSAKCFDGLTALELTGTDRIGLLSEVFAVLSELECNVVEAKVWTHNGRIASLIYVKERDSGSPIEDSEKIDTIEARLRNVLKGDNDIRSAKTSVSMAVTHTERRLHQMMFTDRDYERKPIIKTNDNPIVSVLNCLEKGYSVINIHCKDRPKLLFDIVCTMTDMQYVVFHATLNTAGDRVHSEFFIRHTDGSPISSEAEKQRVILCLQAAIERRASEGVRLELCTGDKQGLLADVTRTFRENGLNVTRAEISTTSDNTALNVFYVTDAIGNPSDSKIIEAVRMKIGLSDLKVKELPSIYHQKAEENEEPTGGVGGAMLLSLGSIVRKNLYNLGLIKSFS >Solyc01g107320.3.1.1 pep chromosome:SL3.0:1:94787475:94789934:-1 gene:Solyc01g107320.3 transcript:Solyc01g107320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLGLPLLLPNPPPSKSIFQDFTVSSTPFLNDFFHNQNPSTSPNFPSTSTQSPQIPQSINFPSPIPRTRRRIIGKDNDSNRGKPWNPHRLSPQGEKILQTLISPEFQSDSMHQILLSLYTVHRLESSELDTESLSFDILGIIKGLGYYKKIDLALNVFEWVRNRPDSGVLLNGSVIAVVISMLGKEGRVSVASSLLHNLHKDGFGIDVYAYTSLITVFARNGRYRDAVMVYKKMEEEGCQPTLITYNVILNVYGKMGMPWSRISAVFEAMKNSGVVPDAYTYNTLITCCRRGSLHEEARQIFEEMKLGGFLPDKVTYNALLDVYGRSRRPKEAMEVLREMEVHGFSPSIVTYNSLVSAYARDGLMEEAMELKAKMIDKGIKPDVFTYTTLFSGFEKAGKDESAMRIFEEMTSAGCKPNICTFNALIKMYGNRGKFTEMMKVFDDIRTFGCSPDIVTWNTLLAVFGQNGMDSEVTGVFKEMKRAGFVAERDTFNTLIGAYSRCGAFDQAMVIYRRMLDAGVTPDLSTYNAVLAALARGGLWEQSEKVLAEMKDGRCKPNELTYSSLLHAYANGKEIDRIHSLAEEIYSSVIQPHVVLLKTLVLVYSKSDLLVETERAFFELRSRGFSPDITTLNAMLSIYGRKQMVTKAAEIMNFMNDAGFTPSLTTYNSLMYMYSRSSNYEKSEQLLMEIIAKGVRPDVISYNTVIYAYCRNGRMRDASRIFTEMKESGIVPDVITYNTFVSRYAADAMFIDAIEVVRYMIKQGCKPNDSTYNSIIDSYCKLNRRDEALAFINNLRKLNPHVSKEEETRLSARLMKK >Solyc03g095600.1.1.1 pep chromosome:SL3.0:3:58205376:58207028:1 gene:Solyc03g095600.1 transcript:Solyc03g095600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVIEQDEVYYSAVKGPYQLNEDICPASPPMQLSEYTAAFQHGSNLLTPKQKLVCNDAADLALLSNSSASSCSSSSGISAKEGFDSLSLTPEFDSESYSSSPDEHQKSALGGVKTTLQEDYLEMESKEENGYGIDHESLDCTSKLREDREYEMVLNSIMDQEHKLSVPDQKIQFSEEEIQGLKYELERNEAVAKLVVFLKVQLDTARSEVMLQKDDLEMERSTIQELQKQVALLESQISNSDFKIERWESELEMNREKLEASEEEVAKLKHDCSKVINDNTCYLADQLELTQEELILLKGKLESEERLTSELHEGIMRYKADISDCDQEIRRINAVLEEAQQNFCMQKEQFQSQMTSLSEQQAVLEARTEQLEMQNRSLERKASQCGAQMVEMKTLHEVQEIKWKAEIECLKMEINKKGEEVQGLNKDLDKLKLDYDTVVAEKDEEHAKVQTLGAEVMSRDIQIQEMEDHLKQLIAGSESAQKSIEELRLKVEELQNEVERQTLVISDRAEEKREAIRQLCFSLEHYRTGYKELLQDCVQRRRHAVIAA >Solyc04g078940.3.1 pep chromosome:SL3.0:4:63675909:63679377:1 gene:Solyc04g078940.3 transcript:Solyc04g078940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dem protein [Source:UniProtKB/TrEMBL;Acc:O04394] MGANHSREDLELSDSESESEYGSESRTREEEEDEDNYSDAKTTPSSTDRKQSKTPSSLDDVEAKLKALKLKYGTPHAKTPTAKNAVKLYLHVGGNTANSKWVVSDKVTAYSFVKSGSEDGSDDDENEETEENAWWVLKIGSKVRAKIDENLQLKAFKEQKRVDFVANGVWAVRFFGEEEYKAFIDLYQSCLFENTYGFEANDENRVKVYGKDFMGWANPEAADDSMWEDAGDSFAKSPASEKKTPLRVNHDLREEFEEAAKGGAIQSLALGALDNSFLISDSGIQVVRNYTHGISGKGVCVNFDKERSAVPNSTPRKALLLRAETNMLLMSPVTDRKPHSRGLHQFDIETGKVVSEWKFEKDGTDITMRDITNDSKGAQMDPSGSTFLGLDDNRLCRWDMRDRHGMVQNLVDESTPVLNWTQGHQFSRGTNFQCFATTGDGSIVVGSLDGKIRLYSSSSMRQAKTAFPGLGSPITHVDVTYDGKWILGTTDTYLILICTLFIDKNGTTKTGFAGRMGNKISAPRLLKLNPLDSHMAGANKFRSAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKDGSHECYQNQVGLKSCYCYKIVLRDDSIVESRFMHDKYAVSDSPEAPLVVATPMKVSSFSISSRRLQI >Solyc08g006310.3.1 pep chromosome:SL3.0:8:974336:978276:1 gene:Solyc08g006310.3 transcript:Solyc08g006310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRLNFSDWWGKDKERGTPVIVKMENPNFSIVEIDGPDAAFKPIEKSRGKNAKQVTWVLLLKANQAVGCVAWLATILWGLIGTIKNRLILRKGVSVASEKLGKSNLLFRIIKVCLGVSLVMLAFEVIAYFKGWHYFQNPNLYIPHTSDVLGLFHIIYVAWLDFRADYIAPSVQKLSTFCTVLFLIQSLDRLVLCLGCFYIKCKKIKPRIEGDPFKSDDLEGSNNGYYPMVLVQIPMCNEREVYEMSISAVCQLDWPKDRLLIQILDDSDNECIQELIKSEVAKWNQKGVNIIYRHRLVRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPTPDFLKQTVPHFKDNPELGLVQTRWAFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGIWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVRVLCEVPESFEAYRKQQHRWHSGPMQLFRVCLPAIVSSKISIWKKANLILLFFLLRKLILPFYSFTLFCVVLPLTMFIPEAKLPFWVVCYIPILMTLLNILPAPKSIPFIAPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSEPDLLAAAEKDLKAFGAPQISRGASESELSELNRLNKQKDETSTPVKKSNKIYRKELALSFLLLTASARSLLSAHGLHFYFLLFQGVTFLLVGLDLIGEQIS >Solyc12g098615.1.1.1 pep chromosome:SL3.0:12:67036783:67037193:-1 gene:Solyc12g098615.1 transcript:Solyc12g098615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSTKTISLLITLFIITTFSSTPSKILGRKVGGRTPIKDVKTNKKIQELGKYCVEEYNHSLKKYNHIMQKDNIEFLNFVEVLEAETQIVSGIKYYLKISTFTLGMVKIFDAELVIKSWEKKKELIHFSPFNYAIN >Solyc02g067860.3.1 pep chromosome:SL3.0:2:38530364:38534000:1 gene:Solyc02g067860.3 transcript:Solyc02g067860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNIIHDADGSASRIVLKEHTGSTAEVLFQGGQVVSWKNERREELLFRSNKNVKPSIMEQTLWKPPKAFRGGIPISFPQFGTFGSLERHGFARNRVWELDDSPSPLPAANNQSTVDLILKSTEEDLKTWPHRWGEYIVVQFEVRLRISLSAGKLTLIPRVRNIDNKPFSFTFSLRNYLSVSDISEVRVEGLETLDYFDNLQRGERYTEQADAITFDSETDRVYLSTPTKTAIIDHEKKRTYVLQKEGMADAVVWNPWDKKAKSLPDLGDQDYKKMLCVDSAAVETPIILKPSEEWRGRQELSTVSSSYCSGQLDPRKVIYG >Solyc04g016175.1.1 pep chromosome:SL3.0:4:6936540:6938753:1 gene:Solyc04g016175.1 transcript:Solyc04g016175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFNEFFLNYQTPLARFVTSRHSCSKLFIGGLCYDTNEPVLKQAFEQHGETIEVKVICDHKSGKSKGYGFVKFTSETAASKALKEMDGQLLFFMFLSYWMEEIFASVLPTKDDVDRQVITASWHDVLVIVS >Solyc06g083820.3.1 pep chromosome:SL3.0:6:49170562:49176973:1 gene:Solyc06g083820.3 transcript:Solyc06g083820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIDISRIPKKKTLIAAMEAADVKNKWESSSWGRKLIVQKRRASLNDFDRFKLMLAKIKRAGVVRQELAKLKKEVA >Solyc01g005750.1.1 pep chromosome:SL3.0:1:495111:495438:1 gene:Solyc01g005750.1 transcript:Solyc01g005750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDASYKLIEFSTSKSLDGDLFEPFWKDEPVINAAFEVFSEKLKELEGIIDARNADCNLKNRNGAGVMPYELLKPFSEPGITGKGVPYSISI >Solyc02g085320.1.1.1 pep chromosome:SL3.0:2:48885486:48885644:-1 gene:Solyc02g085320.1 transcript:Solyc02g085320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPPGKYRVAEEQGLPKVMLIRYTQHGGWDEPPLVERSLDSSSQRSLDLMA >Solyc08g075850.3.1 pep chromosome:SL3.0:8:60043930:60053156:-1 gene:Solyc08g075850.3 transcript:Solyc08g075850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKSYQKLIHQWRILRGDNVMIIRGKDRGETGVVKRVVRSQNRVIVEGKNLVKKHIKQGQGHEGGIFTVEAPLHVSNVQVVDPVTGKPTKVGIRYLEDGSKVRVSRGIGASGSIIPRPEILKIRTTPRPTVAGPKDTPMEVVMERTYDPKTGKGMPDL >Solyc02g020940.3.1 pep chromosome:SL3.0:2:20865183:20866928:1 gene:Solyc02g020940.3 transcript:Solyc02g020940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4B4U4] MASAALSVANSSVHVSKNKGSFSEFTGLRTSSAVPFGVGWKTNVDLLSLVAYQTSVISGAGKNNNNNSRVVEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDSGGVKQASHLLKYDSTLGIFDADVKPVGTDGISVDGKIIKVVSDRNPINLPWKDLGVDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNADLYSPDESIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESADNELAGILSVCDEPLVSVDFRCSDVSSTVDASLTMVMGDDMVKVIAWYDNEWGYSQRVVDLANIVANQWI >Solyc05g012257.1.1 pep chromosome:SL3.0:5:5544749:5546613:-1 gene:Solyc05g012257.1 transcript:Solyc05g012257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLSNVLLAPLPPAIEWVVKKVSQNMLTLRLVRRFGTRSRLAAVSCAGNTSKKALVSKRKRVKVDEPALFRLKKEKNPEKLFQLFKENAHNKIVIENRYAFEDTVSRLAGAGRFDYIENLLEHQKTLPQGRREGFIIRIIMLYGKAGMIQNAIKTFYDMHLYGCPRTVKSFNAALKVLTQSHDMKAIESFLWDVPERFSINIDILSVNTIISSFCSMGILEKAYLFMVEMEKLGISPDVFTYTILISAFYKVNRWQIADGLWNLMVRKGCMPNVATFNVRIQFMVNMRFAWEANKLLQLMKRIGITPDEVTYNLVIKGFFLVENLPMAKRIYSAFHGAGFKPNSRIYQTMIHYLCRAGDFDLSYSMCKESMENNWFPSSDIIKNILEGLCKDGTEEKMRNARFLVILAKKKIPPFSTETLDAMRSIIDRS >Solyc04g049870.1.1 pep chromosome:SL3.0:4:43885278:43886246:1 gene:Solyc04g049870.1 transcript:Solyc04g049870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLEQDDKERASSIDEQPIDEALGISLFFSPFLLDCGTPLAAYAKGCRPKEWNIVLLCWTRRSRITSELFTLKFNHVRAKFYPTLLLHSDRSLLMLLRRHFFALSSLWIGTLVATGREKAKRIERKIPLLCIFQRSWPAYHELGRGGWWFWDTVENAFLCLGTFLIRSGLLALFHSFVIDDTQGIFLWWFFFLMTEISMILFS >Solyc06g009110.3.1 pep chromosome:SL3.0:6:3052284:3056635:-1 gene:Solyc06g009110.3 transcript:Solyc06g009110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKWEVVRIWVLYLLLLSEFFQGCFSAFNLPRPPWKKQSNFGSSVVFPLFGNVYPKGYYQVTLNVGQPPKPYFLDIDTGSDLTWLQCDAPCVKCIPAPHSPYKPNKNVIKHKDPICASLHSPANHPRYSPDDQCDYEVEYADHCSSLGVLVRDAFPVKFTNGSSIAPPLVFGCGYDQEVPASEHAPYTDGILGLGNGKSSIVSQLSSLGFIRNVVGHCLSGQGGGFLFLGDDVVPSSGIVWSPIVCESSEKHYSLGPADLLFGGQATGMKSLPIILDSGSTFTYFNSEAYKTLLSSIKKNIDAKQLTDAVNDKSLPVCWKGSKPFKSLKDATRYFKPLTLSFTKAKNIQLQLTPEAYLIHTNKGNVCLGILNGSEVGLGAVNIIGDISMLDKMVIYDNEKQQIGWVPANCNKLPSLS >Solyc02g081510.2.1.1 pep chromosome:SL3.0:2:45998012:45998758:1 gene:Solyc02g081510.2 transcript:Solyc02g081510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNRSLDKYISTSQEGSPLLSWQRKYDIVVGVARGIGYLHRGCDVRILHFDIKPHNILLDENFIPKISDFGLAKLYPTDISIVNLTAARGTIGYVAPELISRSIGVISYKADVYSFGMLLMEMLDLKRHEGANEENSSQYFPYYIYDKFNKGKEIVVDEEANDDEKKMARKLTLVALWCIQTNPLQHPSMSRVLEMLEGEGEVLEVPPQPLQSQPIVHQVMASPMTFSSDSIALLENSADNPVELDKF >Solyc06g011667.1.1 pep chromosome:SL3.0:6:11073644:11074402:-1 gene:Solyc06g011667.1 transcript:Solyc06g011667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPLDSTHGRTTSARTVERCRAWHAIIAFGKHTRSNDVGRGMPSKPLDGKHGRTMSGMTCHHRLWTAHSVERRRAWHSIIPFGQHTQSKDIGRGMTSPPLDSTNDRTMSGVIHHQRLWTAHTVERRRAWHAIITFGQDTRRGMRSSPLAALPIERCRAWHAIIAFGQHKRSNDVERGRTSQP >Solyc11g012410.2.1 pep chromosome:SL3.0:11:5242650:5246895:1 gene:Solyc11g012410.2 transcript:Solyc11g012410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:IMP3 description:Inositol monophosphatase 3 [Source:UniProtKB/Swiss-Prot;Acc:P54928] MAQNGSVEQFLDVAVEAAKKAGEIIREGFYKTKHVEHKGMVDLVTETDKACEDFIFNHLKQRFPSHKFIGEETTAACGNFELTDEPTWIVDPLDGTTNFVHGFPFVCVSIGLTIEKKPTVGVVYNPIIDELFTGIDGKGAFLNGKPIKVSSQSELVKALLATEAGTNRDKLVVDATTGRINSLLFKVRSLRMCGSCALNLCGVACGRLDLFYELEFGGPWDVAGGAVIVKEAGGFVFDPSGSEFDLTARRVAATNAHLKDAFIKALNE >Solyc12g062585.1.1 pep chromosome:SL3.0:12:33938903:33939649:1 gene:Solyc12g062585.1 transcript:Solyc12g062585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRCEVHYEFVGTFCEINGKKTNGHIDTVGLRVSPVHIDSNPLSNPTQDGTSILVVLFHFHWKMQSDVWGSVSDQEVVTHMTGGNFT >Solyc07g052320.3.1 pep chromosome:SL3.0:7:60944364:60948218:-1 gene:Solyc07g052320.3 transcript:Solyc07g052320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CFD1] MTFKNRVEGTSRSVASQKWTLFLCISSFCAGMFFTARMWTIPEAKGGITRTTGVEAERLNLVSEGCNTKFLQQKDVKLVSKDVFGEVSKTHNALQTLDKTISSLEMELAAAKSAQESILSGAPISGDSGKGDSTKKRKYFMVVGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIMRFVIGHGATLGGILDRAIEAEDRNHGDFLRLDHVEGYLELSAKTKTYFATAVKLWDAEYYVKVDDDVHVNIGTLAETLTRHRKKSRVYIGCMKSGPVLAQKGVRYHEPEYWKFGETGNKYFRHATGQIYAISKDLASYISVNQHVLHKYANEDVSLGAWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSVDRLKEVHKRCGEGEKALWKAAI >Solyc11g008410.2.1 pep chromosome:SL3.0:11:2604471:2605463:-1 gene:Solyc11g008410.2 transcript:Solyc11g008410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNNMNRFSSGEIEHGVGDGAKREPGIAPFVTKTYDMVEDPNTNSGFKKIGLQKWEYGHYWFQAGKKHLISNIKRRTKNLNARRDFNQENYFYGVGEEMRSQRDLNITLKSELEKLKERQDDMIKGIASLKEYLERSEAESRKFLCFLAKAVKQVVATKRGTKRDVEVRDVITSKRRAEDVTGSSKSSEKN >Solyc05g023670.2.1 pep chromosome:SL3.0:5:28879427:28883385:-1 gene:Solyc05g023670.2 transcript:Solyc05g023670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIILSVAKSSVTKTVTEGGNFFFLLTSIFKRHGDQGFLTSHESQEGQSDYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKLQSFDQKFEKLNEALRVNCLKGYPVRVVRSVASFNSLNN >Solyc01g110035.1.1 pep chromosome:SL3.0:1:96764995:96766220:-1 gene:Solyc01g110035.1 transcript:Solyc01g110035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTTIQRSSPEGDDHELKEENIEKKKDFTANPEFFSCMLQPVPADSDPNYIGIRRFLLHRKAESGVLRRKDWRGNGKGYVAYRNYISRPRNWETLQIPSRPSTPGNRWLRSIFISSSITG >Solyc02g063420.3.1 pep chromosome:SL3.0:2:36051220:36051958:1 gene:Solyc02g063420.3 transcript:Solyc02g063420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPQYYAPPQTVDSSSRPSLGFPLGTALLLLVIFSLSGVFSCCYHWDKIRALRRRSLADIEAGDDDPSSLKSKHNKMGEKQSQCQSMPAVLMPGDVVPKFIAMPCPCQPPIPEKVVVEEQKPPQPQPPKPVRMVVGLPLY >Solyc12g100060.1.1.1 pep chromosome:SL3.0:12:67928336:67928818:-1 gene:Solyc12g100060.1 transcript:Solyc12g100060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKREKEETELKVPESIPLCSPTLPVPSPSPPSTTTHLSVAVISDLKRSDRSSTESIDLKVSSMDDQSRSTSAASPESMDLVGRKTGVKRQREANRCSGMGCRRKVGLMPFRCRCGEVFCSEHRYSDRHDCSYDYKAAGREAIAKENPVVKAAKILKV >Solyc03g118330.2.1 pep chromosome:SL3.0:3:68722611:68723176:-1 gene:Solyc03g118330.2 transcript:Solyc03g118330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQFMENGSLEEWLHQESEGQIQCNSLNILQRLNIAIDVASALYYLHHQCEIPVVHSDLKPSNILLDDDMIAHVSDFGLARLIPSFSGEGNLNLFSSLEIQGTIGYTPPEYGMGAEVAVTGDLYSYGILFLEFSLEKGPQTNYFKTM >Solyc04g056490.2.1 pep chromosome:SL3.0:4:54217336:54222930:1 gene:Solyc04g056490.2 transcript:Solyc04g056490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPPPAEEANFTGELDGFAIQPLDRTFYALHMTTTYQENVEDFPMEFPNVNFTTDAIILDESFNDSFPNPINDDAFQNPNFLSDCLGSESGLDSSQMNPKSFGPPKSQLIGSSDDDSRKFNSTSGYCLGEAPVNKGENEKRMARKIRNMESVHLSRKRKKHYVEELENKFRIFQSTIKHLNTKLSYALEENVTLKAQLGGSQVPLLPIPKLKSQALAPAPKSNKKFKKKKSEVKTKKVASVSFLGMLFFILLFGGLIPLLKGRYGRMSEPFMSGDCFLNGFNEKHLGRDGCSGKYGGKYHSSHCGQGGEGESNEKNIHKVAYEFSHVGNGSDPLVASLYIPRNDKLIEIDGNLIIQSVLASEKAMTFHGRPLLRSSMCTEVFEFDVSSFSSDISMEENQNGTRLHRNRRTLNDPPASLSRPSHSISEEQTGTNGKQENKSLSSMVVSVLVDGDGVIGQKSVSRIFVVVLIDSVKYVTYSCMLPFKGSASLVNAPEDGAFI >Solyc08g079180.3.1 pep chromosome:SL3.0:8:62931245:62937370:-1 gene:Solyc08g079180.3 transcript:Solyc08g079180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor G, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4CNS6] MAAESVTRMSSAASSLCNFNGSKRPVPVSNRVTSSRRNRCVKLQSLASASMSEFFGSSRVFSVNGSRSLGLSQKTRKNGFSVIAMAAAEEEKRTVPLKDYRNIGIMAHIDAGKTTTTERVLYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDGAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQIPIGAEDTFKGLVDLVMMKAIVWSGEELGAKFSYEDIPADLQELAEEYRALMIETVVELDDDVMEKYLEGVEPDEATIKQLIRKGTISGNFVPVLCGSAFKNKGVQPLLDAVVDYLPSPVDVPPMNGTDPDNPEVIIERAPSDDEPFTGLAFKIMNDPFVGSLTFVRVYSGKLSAGSYVLNANKGRKERIGRLLEMHANSREDVKTALTGDIVALAGLKDTITGETLSDPEKPVVLERMDFPDPVIKVAIEPKTKADIDKMAQGLIKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISRNSEVKYVHKKQSGGSGQFADITVRFEPMEAGGGYEFKSEIKGGAVPKEYIPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGMRKAGPQLLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFNYVSTLRGMTKGRASYVMQLAKFDVVPQHIQNQLAKKEEAAAA >Solyc08g005480.3.1 pep chromosome:SL3.0:8:352532:354134:-1 gene:Solyc08g005480.3 transcript:Solyc08g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFHPQDSVEFNSRVLILLRTWSNCTSAQIASSAEPFHFRKSLIGGSSSLEISFLFLLSIALSEQPLLNYQCRRPNANSV >Solyc08g082450.3.1 pep chromosome:SL3.0:8:65376187:65380924:1 gene:Solyc08g082450.3 transcript:Solyc08g082450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNDIVAATKGQEELSVLISEREIFDQCGPVHLTAIDWENVAHQRSVAASLVQGVYILERDKQEKRKGSQALAPPWWRHFQFELYRVLIDDVDSCIFGAIYKFAPSKSYFGGSKDKSQRFVIAFRGTLTKGDAFSRDIQLDIHILRNGLHQTSRFETAIQAVRHVVATFGSSSIWLTGHSLGAAMAMLAGKTMAKTGVFLDAFLFNPPFLSAPIERIKDQKVKHGIRFATSVITAGLAFAAKHKNVNNQSGDTFVALSAWTPCLYVNPSDPICAEYIGYFEHREKMDTMGAGVIEKLATQHSLGGLVLNFMGKECDEPLHLIPSANLTVNLTPPSDFKGAHGIHQWWKPDLLVESKKHQFT >Solyc01g090480.3.1 pep chromosome:SL3.0:1:84035061:84041717:-1 gene:Solyc01g090480.3 transcript:Solyc01g090480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQQIETSINPSPNPPPPGSPPPAAAVVSRPSDDETNRSATAKRSREEYAPAATDEAGLSGDDVPPLKKRVKVSQDVVYRIVVPSRQIGKVIGKAGHRIQKIREETKATIKVADAIARHEERVIIISSRDNDDMFSDAENALHQIVSLILKDDGNLDAQKVGTGHVAANTVRFLIAGSQAGGLIGMSGQNIETLRNSSGATITVLAQHQLPLCASAHESDRVVQLAGDIPAVLRAVVEIGCQLRDNPPKQVISISPTYNLGYSRPPQPHVDPSSAEYVNLTMLVPESLAGGLIGRCGSNISRIRAESGATIKVHGGKGEQKQRQVHLGGSAQQVALAKQRVDEYVYSQLMLQAGGEQLQDTMQLQQQSMQLQQSMHLQHSMQMQQQIPNTSATLMPGYNHGHGVYMNSNQEAHMMASYPQVYTSTNPQAPGYYGQSYPAPQM >Solyc11g005100.2.1 pep chromosome:SL3.0:11:80813:91620:-1 gene:Solyc11g005100.2 transcript:Solyc11g005100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYCPYRFGIGRTAVIHLRQLRCGKISRFGIGSSYSYGSVLRRNLNSVERQTRKLVVGADLSNAFSVNIGLDSQPRGISKLPWIGPLPGDIAEIEAYCRILRAAEQLHNTLMDTLCNPVTGECCISYDVPSEDKHLLEDKIASVLGCMVCLLNKGREDVLSRRSLIINICSDFDEHEMDDELPPLAFFRGEMKRYCESLHVALENFLTPDDPRSIAVWRKLQRLKNVCYDSGFSRGDDHPCHALFANWDPVHFSSEEVTESASSEVAFWRGGQVTEEGLRWLLERGFKTIIDLRAETIKDNFYEKVLDEAIFSGDIEVLKLPVEVGTTPSVQQVEKFAAMVSDVYSKPIYLHSREGVWRTSVMVSKWRQYMTLYTPHIVPNANKNVPSSGNPLCGSSGTQAVGSLPNSEENETLTYEGVYVTDHERTLPTRSNNKTSSGELLRQIPEARENIQAPKPSVDANMELIEGNMCTSSTGVVRVQSRKKAESSLAFTDPSTQPQMLKWKSRPKTVLLLKKLGQELLEEAKEVASFLYYQEKMNVLVESEVHDIFARIPGFEFVQTFYSQDTSDLRERVDFVACLGGDGVILHATRLFRGAIPPVVSFNLGSLGFLTSHTFEDYKKDLRQVIRGDGTLVRVYITPRMRLQCEIFRNGKAMPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLIAKVQADGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHTLSSRPVIFPDSAKLELKDPEFYALGGCLELCDNKSLEIPDDARDNAWVSFDGKRRQELLRGDSVRICVEQIISVKTFAVQPVCLHGQFDPFSKSNEPQSAHAPISLILAHNFFDDPDSEVKMPKIFVDVRQELGYAAGWPPRPVRPILNVKQAGKRAYPPFRRFSCAIAHHFFDLGYAAGWPSQPVRPIFEVKRAKKRAYPLFRRFSRLSLTASTTHFEVQTSSEARIPPNLMIFVCYSIQFFGDPDSDVKNAKKFCGRPSRPFLCIQFALTASPTRFQGQTSPATHLGYAASWSSRPFRPIFKVKRAPKRA >Solyc02g033080.2.1.1 pep chromosome:SL3.0:2:30217152:30218379:-1 gene:Solyc02g033080.2 transcript:Solyc02g033080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEPTHPVDSLMYLSKHSLLSQRLLFYSLLPSFLYTPFHLHAFVPPKQLPQIQITSVALQPPTQQDLPR >Solyc06g072197.1.1.1 pep chromosome:SL3.0:6:44639349:44639879:1 gene:Solyc06g072197.1 transcript:Solyc06g072197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVTEMNSVQLHQSRMAMLSESIKSIAFKKQQITKEYEKGDEVEVASQELGFIGSYYAATIICSTGDDYYRIKYKTLLTDDESEPLEDVFSATELRPVPPHQHEKIPENGFRLYDMVDVFDNDGWWFGFISARVGDEYYVYFPTTADNIAYPPHVLRSHQEWSNGKWVFLPRQ >Solyc10g083590.2.1 pep chromosome:SL3.0:10:63493861:63505094:-1 gene:Solyc10g083590.2 transcript:Solyc10g083590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPPSAPPQIPQIPSGCSVFESPIKLRPVKFLDSMVRVWFWFIEMKSSRRSCLESDTKKPRLNEDSIGINRISNEGSFIPQRAVNSGSVAPRFRAIDSWEDPENSVPLCEPYQPQHQQQQQLISEYKRALAELTINSKPIITNLTIIAGENMRDAKAIAAIICANILEIPSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVEPSVHSGMQRLFVTWRKVFPPQQLQLIEKELGFTTGVNGSSSGARRDDSKAQQTAHSIHVNPKYLEARQCLQQSTRVKGSADDITPGDIQKPERATSVGSERSWFDLSAKFVQKEQLNERIREKTTSAAYGDPEYVSDLSRGSGFGLRITGEKLKEEGRDKSWYNLANGKIIQRNGLDLKHGVQSLSQNTANSDAYPQPTHSFANQSDTLMGRSWQSSDEEEYMWDDVNCADKDQRASKEPYKSGLDNQHPRPQNIFGLKAESEASADSFSREDNGQESSENQISSMWSDEARHLASVRSTPDHPRGYLPSFSGSTATNSIVGKSFQSQKDSSHEGTPSYGIAKTASLSRGTIMQPLETQGAAPPSLESARRQLPLSPSISTGNFNQVVNSRTSEYHTQTESHADPRMSQFSRRSNLDPRKQVLPMTSQSAHLISSQISHTSRYNPSSVISSFQEEHHVSFPEKIQQESPESEFSIPSQKSIVTQLSGFADHSSTVPSILHGSESSSQTSMSSLLAAVMKSGVLNSSSSVGTPLNSRDKGPLSSQAGAQSPLPSGPPIQLLSSGPKAPRSVVSIQSDRNASNPPSYSQRNGERPRLPPDSAPTPVGSESLQAPNVVNAASNPVAKLLNSLMAKGLISASKEEFPTSTPPPTPPQTQFQCPPASISSIPGVSAPITSPTYSSQKDELSLSKPAAKIPVALPQSNKEEREDAFKPGVIRESNPGVISELLDDVPHQCGICGLRLKLRLQLDRHLEWHALRNPDGKLLHSERRWYLNFGEWIDGTGSIPHSGILAGPTGVSSKLSECTEVMVPADESQCVCVLCGQGFEDFYDEKSDKWMFKGAIYMDDSLNESGIQNCIVHENCTSEGSQNWMLKDDIKQESEDWFSDFMD >Solyc03g033817.1.1 pep chromosome:SL3.0:3:5450564:5451028:-1 gene:Solyc03g033817.1 transcript:Solyc03g033817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSRVGLVTATIMLVPTIIHNYIPRELHAYLFFGLKNMFTKFSNQLTMVIDEFDGLFNNKVYEVASIYLANKLSPQIHIFKFSKTEKEKNLNITMKRNEVLICTHTFIYNMIII >Solyc11g013810.2.1 pep chromosome:SL3.0:11:7176907:7181577:-1 gene:Solyc11g013810.2 transcript:Solyc11g013810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVENRQYTHLEPGLSGVGRTFKPRPDSPVRGCNFPPSSNHELPFQKKQNPPIYLDYSSSEDEDDDDEKNEYVQMIKKGKTELEPSIHDTRDEGTADNWIERNFSLIRLTGKHPFNSEPPLSRLMHHGFITPVPLHYVRNHGPVPKASWSDWTVEVTGLVKRPMKFTMDQLVNEFPSRELPVTLVCAGNRRKEQNMVKQTIGFNWGAAAVSTTVWRGVPLRALLKRCGVQSKKKGALNVCFEGSDVLPGGGGSKYGTSIKKEFAMDPSRDIIVAYMQNGEMLSPDHGFPVRMIIPGFIGGRMVKWLKRIVVTTQESESYYHYKDNRVLPPHVDAELANAEAWWYKPEYIINELNINSVITTPCHEEILPINAWTTQRPYTLRGYAYSGGGKKVTRVEVTLDGGETWSVCTLDHPEKPTKYGKYWCWCFWSLEVEVLDLLSAKEIAVRATDETLNTQPEKLIWNVMGMMNNCWFRVKMNVCKPHKGEIGIVFEHPTQPGNQSGGWMAKERHLEISAVAPPTLKKSISTPFMNTASKMYSMSEVRKHNSSDSAWIIVHGHIYDASRFLKDHPGGVDSILINAGTDCTEEFDAIHSDKAKKLLEDFRIGELITTGYTSDSSPNSSVHGSSSISSFLAPIKELVQTPTRSVALIPREKIPCKLVDKQSISHDVRKFKFALPSEDQVLGLPVGKHIFLCATVDDKLCMRAYTPTSTVDEVGFFELVVKIYFKGVHPKFPNGGQMSQHLDSLPIGAFLDVKGPLGHIEYQGKGNFLVHGKQKFAKKLAMIAGGTGITPVYQVMQSILKDPEDDTEMYVVYANRTEDDILLKDELDAWAEQVPNRVKVWYVVQESITQGWKYSTGFVTESILREHIPEPSHTTLALACGPPPMIQFAINPNLEKMGYDIKEELLVF >Solyc09g083030.1.1.1 pep chromosome:SL3.0:9:69132760:69133263:-1 gene:Solyc09g083030.1 transcript:Solyc09g083030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVGPVGSNVGSIWEENGRSEVAGIFVSFTENTIQSLQFLFYENGNYVQSNKHGSDHSENFCALLFDYPSEFLTSISGSYIGDGYLSTGLDAIKFNTNKGCYGPFGRTEPTSSSKRFNFELRNHRLFGGFHGTMSRHAVESIGIYVKPVISSMNYLKGSLRVKAEK >Solyc09g074870.3.1 pep chromosome:SL3.0:9:67104787:67112418:-1 gene:Solyc09g074870.3 transcript:Solyc09g074870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPMAIVYDKKSGRVMNIQATAPCVHFYTANWIINVKGKGGFVYQLRLALFLETQMYPDTVILQNRSLRLEYLFAMSDEEVVDPKATLEVSCKPKCVRQLKEYQACTKRIEGDESGHKHCTGQYFDYWHCIDKCVAAKLFDHLK >Solyc08g081070.3.1 pep chromosome:SL3.0:8:64306202:64314361:-1 gene:Solyc08g081070.3 transcript:Solyc08g081070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSQPRQSDKPSIRYRCNLLDGALFEITDLDRQSNAPISSGNKENPKNSDSESSKIMSTSELISAVGSIWCSAAGPVTRILSKGSSRCNNTDHQENNIFGYSTADKISGVCFSPPDHSIPVNLDSDTDSSPLLLANVERLTANQKVSFFGPLLGSSSLQSLLHDRSTMHPENRKAKDFVNYLQNVYGWIHEASLLKFKQQLNCASFGCPENRKCTIEDMTNNPLSCCSVVDTVNTDCQTDREEPDGSLLSQMAKHDEIDRVSTGTSSIRHYNEVFHDKKSNTLGISHSENNLVFHEYSVTPSCSASVHDKINAKDHIYDSAINEKVELEEELSSEVQRSVAKEKPLYALAKQEHAFAGAMAGIFVSLCLHPVDTIKTVIQSCPNDQKPLYYIGRSFISERGVTALYRGISTNLASSAPISALYTFTYESVKGALLPLFPKECHSFAHCLAGGSASIATSFIFTPSERIKQQMQVGSHYKNCWSALIVITRSGGLPSLYAGWKAVLWRNIPHSIIKFYTYERLKELRLSSVQLRNQNDTLMTLACGGLAGSTAALFTTPFDVVKTRLQTQIPGSMTQFGVFGTLQEIAKREGLKGLYRGLSPRLIMYMTQGALFFASYESFKKIFSLDIPQPKTETVPYEHKEDDHATLPSRS >Solyc03g113890.1.1.1 pep chromosome:SL3.0:3:65419945:65420637:1 gene:Solyc03g113890.1 transcript:Solyc03g113890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEALYQKSTNLNLLSTGLNGTDNVCCKTTTSDKKLRIFGFEVSPCSNDIISSKSESGESISSSETFEDERLVEKTSISMSSSSIVLPNPNENLRNLSSSVFSKFKYECRFCLKKFANSRALGGHQNAHRKERLKKKRMDLEAKRASSMLHFFSLIRNGGVIYPYYSLSHQNLFVSGSSTINFSSVYHCQNGTHPLYVVVDAHPQGELQLQNNCSTLLQGGESRERDLLS >Solyc12g009720.2.1 pep chromosome:SL3.0:12:2953839:2955869:1 gene:Solyc12g009720.2 transcript:Solyc12g009720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSYNHFTGRIIPSTISKFKHLTRLDLSSNSFSGEIPDVFSNLQKLRYLDFFNNSFIGPFPASILSLTRLEHLGLSSNSLSGPLPSNTSMLPKLIHLNLSYNSLNGTIPSWVFSLPLLYSVSLQHNRFRGLADEVIKTNPTLKELHLSNNQLSGSFPQSLANLTNLETLGISSNNITVDEGMNITFLSLSSLFLSSCQLKHFPYFLRNVNTLVYLDISNNKISGQIPNWFSGMRWDSLIFLNLSHNSLTGNLPQFRYDNLGYLDLKFNSLQGPLPSSICNMSKLMLLDLSHNYLSNSVPHCLGSMGLLTALDLKRNNLTVSLPPLCAKSTSLSTIICYGLEVLDVGNNAINDTFPAWLGILQELQVLILKSNKFHGPISTCHTEFCFTKLRIFDLSRNDFSGSLPAKVFGNFKAMIKLDGEDIGNIKYMTSLLNSPFVTSYENSVSLLQRISTITTTIDLSSNHFEGVIPETLKDLSSLWLLNLSHNNLIGHIPMELGQLITLEALDLSWNRLTGKIPQELTRMNFLSVLNLSQNHLIGPIPQGLQFNTFGNDSYGGNLDLCGPPLSKQCGTSDPSHAPQPLEEEEKDESESYFFSGFTWESVVIGYSFGLVVGTVMWSLVFKYRKPEWFVEFFDGLMPHKRRRPNRRAQRRRT >Solyc08g016430.1.1.1 pep chromosome:SL3.0:8:7553941:7554111:-1 gene:Solyc08g016430.1 transcript:Solyc08g016430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANHFRCRVVACYYCLVVRGEDEGERTKGIWNCCVLSRSLNFSCKGEKGWSQVKE >Solyc08g006660.1.1.1 pep chromosome:SL3.0:8:1243988:1246216:1 gene:Solyc08g006660.1 transcript:Solyc08g006660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLQSLPPPSPQSTHAPPRSATDLFGDPTDPNPPQWLNPTLFQSQDFDPESYISDLRTFVPLETLRSELRSHFTSLQRDLVDLINRDYADFVSLSTKLTDVDAAVVRMRAPLFEIREKIDGFRNAVEGSLAALQNRLKQRADAVEAREVLELLLDTFHVVSKVEKLIKELPSGQTDYVESGSNLRETQSMLLERIASEMNRLKFYISHAQNMPFIENMEKRIQNASSLLDTSLGHCLVDGLEYRDANAIYNCLRAYAAIDNTKNAEETFRSTIVGPLIQKVIPQNPSGVVGGSSGNELEEDYVKIKKYIEDDCKFLLDISSIENSGLHVSSFLANSILKEVHYAIQKGKPVVFSPGRPTVFLKNYKASLNFLAHLEGYCPSRSEVVKFRSEAAYIEFMKQWNVGVYFSLRFQEIAGALDSALSVAGLVPVASDQRKPQDLILKQSISLLECLRSCWRDDVLVLSCSDRFLRLSLQLMSRFSSWLSAGLAARKAGNVGSNPGFEWAISAVPDDLVYIIHDLNRLGEEVCGDYLEHILELLKSCPAEVCDFVNQSILQGGKSLKGLLPIVMSAIIETIVEKCVEDLRQLKGITATYRMTNKPLPVRHSPYVSGVLRPLKEFLEGERAATCLNNETRNELLQGAALEITQRYYDLTSELVNMSRRTESSLQKLRLGAQRRAGASSDVSDHNLSETDKICMQLFLDIQEYARSLSLLGVDAASIPPYQSLWQCVAPAERKNTISF >Solyc07g027020.3.1 pep chromosome:SL3.0:7:33210137:33215737:-1 gene:Solyc07g027020.3 transcript:Solyc07g027020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVGKRVVVVAVKASRDISRSAFIWALTHVVQPGDSVKLLVLIPNHTSSIKLWGLRRFNSDCTASNWRSLSGTTLDQKDFISESCTQMLLQLHDIYDPNKMKVKVKVISGSQSGVVAAEARRVQTRWVVLDKRMKKEARICMEQLECNIVQMKNSQPKVLRLNFLGSPNTETEVSRTSQASSKHLGEKSDDHWNEIRVPNVTPASSPEHSSFTTTDAGTSSISSLDIGTSPLFFSEVNWDTKKTFSHKSNHYSDESDSDTDSEKLSSPTTSICSQQWMQDILVAAKDFSHYLKRDSPRSKGTLLKLKHDAFPEKLFGLDREPKVCSKKKGMMWK >Solyc08g078240.3.1 pep chromosome:SL3.0:8:62190750:62192830:-1 gene:Solyc08g078240.3 transcript:Solyc08g078240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIGEEYVIISKQSEGRREKNQKKTEEKIVEAIFFLQSIDFLLLSVLGNHRKLFLGFTEVSDQLGLRGVVMDNLVDALSSAYQDFVAASAVVLESKESSNGQKTAATDAALENFKQKWELFRVACDQAEEFVESVKQRIGSECLVDEATGTVSGKPGQPAASGLQPISAVRLEQMSKAVRWLVIELQHGSGANSASAVHPNPSAPFDARFSEDAAQ >Solyc02g070055.1.1 pep chromosome:SL3.0:2:40420675:40421714:-1 gene:Solyc02g070055.1 transcript:Solyc02g070055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQCPLLFPLYYSKKSYTRNLQAQQSITYKTLITIEQYTCLVSALMQQPFDCPSDEVVYYRDSENTFDQLDFILNDFYRVDRMGSDFVKT >Solyc05g007180.3.1 pep chromosome:SL3.0:5:1796799:1799732:1 gene:Solyc05g007180.3 transcript:Solyc05g007180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCTNYEMAFFPTNFMLQTPHNEDEHQPSTSLNPILPSCSPQDFHGIASFLGKRSMSFSGMDGNNGCEENHGEDDLSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYELLKRQFDAIKAENDALQTQNQKLHAEIMSLKNREQPTESINLNKETEGSCSNRSENSSEIKLDISRTPAIDSPLSNHHPNISSRPFFPPSMIRSNNNNNNNGVVVPHQLFHINSSSSRQDLKLMDQNTTTNNSSSVKEESLSNMFCGIDDQTSFWPWLEQQHFN >Solyc05g026370.2.1 pep chromosome:SL3.0:5:41007036:41009647:1 gene:Solyc05g026370.2 transcript:Solyc05g026370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSPLRRTTLDGTEYNLLLLDTEGIDVYDQTGTYSTQIFSLAVLLSSTFVYNQVMSTQTWISFASFDMPFLTLVSQESVNFLAATMEGIDEAVLDRLSLITEMTTHIRVRASGGRASGSSNATLKFESPTPTKKL >Solyc10g018390.2.1 pep chromosome:SL3.0:10:7691901:7697425:-1 gene:Solyc10g018390.2 transcript:Solyc10g018390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNVETNFGQQKVFGRKLHHFPIFRYKKGANVISCVAIGPTQVPQLPWKLIFNEKERPLLSNEKIGIYGGKFVPETLISSLTKLDYEFNSALHDPQFQMELEVALRDYVGRETPLHFAQRLTDYYKSINKGIGPDIYLKREDLNHGGAHKINNAIAQAMLAKRIGFKNVVVSTGAGQHGVSTAAACAKLSLECTIFMGSLDMERQPSNVLLMKNLGAKVHPFSTSWPRSFKDAMSEGIRYWVNNLDKTYFLVGATIGPHPCPTMVRNFQSIIGKETRRQAMEKWGGKPHVLVACVGSGSNALGLFHEFIQDEHVRLIGVEAGGIGLDSDQHSATMARGQVGVYHGAMSYLLQDEEGQIIVPHSIGVGLEYPGVSPELSFLKDKRRIEFSIVTDEEALEAYKRLCRLEGIIPSLEASHAIAFLDKLCYTLKDGEKVIINLSGHGEKDAAFVFNHTPNHE >Solyc10g008680.2.1 pep chromosome:SL3.0:10:2739314:2750499:1 gene:Solyc10g008680.2 transcript:Solyc10g008680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLIEQCQVAPPPHGGAAELTLPLTYFDHMWFVFGYMRRILFYKLSISKLDFVQNIIPTLKHSLSLTLKHYTPLVGNIACPLNSSGYPELCYVTGDSVSDTFTETDMDFNHLIVTLFPNLGISIGFSNHHVACDGNIIVKFIRTWGLLNKLRGDEQCLANELIPFYDRSVIKDPYKQGTIIWDEMKQNMPEIGDIIVIPPLDRVRGTFIMERNNIVKLKNLILSRRPNLSYVTSFTITCAYIWTCLIKSKFAIEDEMIDEDVMEIFGCVADCRSRLNPPLPQSYFGNCLVTIASKASRVELVGKEGFITAVEVIGEAIKSQMKDVELILNCSWYREFCGINMKHTLSVSGSPKFNLYEVDFGWGRPEKIEIISIDNSSGISMSISKYKDSHGDLEVGLSLPKTRMNAFVAIFNHGLSFFFIQNIIPTLKHSLSLTLKHYLPLVGNLVCPWNSTGYPELRYVPGDSVSVTFSETDKDFDYLVSYDHIHNAKDFHPFVPKMAEPKDASGVQFTPVLAIQVTLFPNHGISISCISHHVVGDESTIVGFINSWALLNKNKGNDDHDDKFIVPFYDRSIVKDPYGLGDCIWEETKKHKKEMMSDIIVTPPDNNNNMVRGTFTIRRDHIEKLKNLILLTRPSLAHVTSYTVVGGYAWSCLVKSEGANEIINENVMECFGCGVNYRARFDPPLPSSYFGNCIIWYIASKKHVDLVGDEGFRVATESIGEIIYERNKDKEYVSNGEWLKEVGGASNEGRYLCDCWIAKI >Solyc09g090770.2.1.1 pep chromosome:SL3.0:9:70675442:70675642:1 gene:Solyc09g090770.2 transcript:Solyc09g090770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELEAYMPRDAEPIDLNVLREINDRLTAMLEDVRLMKKRLTRIMILQLLCLFVAFSGLFVKLIRK >Solyc03g121860.1.1.1 pep chromosome:SL3.0:3:71387525:71388097:-1 gene:Solyc03g121860.1 transcript:Solyc03g121860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQHQSSNHTGDNDDGKNRRLYNPYQDLQVPSKTLYKLPTSPEFLFQEESIAQRRSWGENLTYYTGIGYLSGAVAGAGKGFVEGVKASEPGDTMKLRINRILNASGHTGRKFGNRAGVIGLLYAGMESGMVAIRDTDDVINSVVAGLGTGAFYRAASGLRSAAVAGVIGGVVVGLGVTGKQALKRYVPI >Solyc06g005620.3.1 pep chromosome:SL3.0:6:678181:680998:-1 gene:Solyc06g005620.3 transcript:Solyc06g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMTSIKKSTTLLTLFLFLFLKFLIINGHGGADDDDEHDSNGDTNTNLRAKGLILVKIYCLIILFVTTFVGGVSPYFYRWNEGFLLLGTQFAGGVFLGTSLMHFLSDSATTFGVLTEKEYPFAFMLASAGYLLTMFSDCIIMFVTKGGVNESSESKVEVDEEGRSTNIDEGHGTNPFLKTTSLGDTILLILALCFHSIFEGIAVGVSASKGEAWRNLWTISLHKIFAAIAMGIALLRMIPKRPFLLTCAYSFAFAISSPIGVGIGIAIDATSEGRTADWTYAISMAIACGVFIYVAINHLIAKGFKPQNKCYFDTQFFKFVAVLLGVGVIAVVMIWD >Solyc05g009740.1.1.1 pep chromosome:SL3.0:5:3947364:3949607:-1 gene:Solyc05g009740.1 transcript:Solyc05g009740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIELTTSFSSNDEEFVGFKEDVKQIIQKLTRGTKEREVISIVGMAGLGKTTLARKVYNSHSIAEHFDARAFCIVSQTYSTRKLLIDILKQVTGEKHDMIREDEDVADMLRKELYFKRYLIVLDDMWKYQTWEDLQLCFPCVGRGSRIMVTTRVQEVATKMSDTYSLRFLTDEESWELLQKKVFKRGRVPLELKEAGFEVARNCKGLPLVIVLNAGIIAQKERCVSVWEEFAKDLSSLGLEEQSRKAVQSSYDYLRDHLKHCLLYVGYFPEDYNIPVSDLLNLWIAEELVPNINDTENLEKSSKDCLIDLVNRSLLIVSKRRSNGDIKYCMVHDLIREFCSRELEEEDFKQRFESYNSSSEVPSSPNDPARLCMYIHDNLVNHLELNGYSLNGISEEKESLEFIAHPRFYSSKCMDLFSLLHKLRLIRVLHLLDINMESSWEFQKSPASALESLTHLKYLAIFVKKFDFKWVSHMINLQTLRVHSHQRIKTSPDIWKMKKLRHVDISEFSFLWVDDEQEKSSQHVLLDNLKTFGKCRVSLADMNRKFWWRFPNLEELSLSVVNFHGMPNHSLFPTPEIHNRLQSLEISFPIGLSTPTGGFKSVFPMNMRLLSLAGISLTEQVVTSIAALQKLETLKLFFIHFPNNNTRWDVTDREFKVLKYLKLELVDMNQWEASDTSFPMLEKLVIKDCEQLEEIPSSFADISTLRLIKLINCSESVRESAQRIKRDVEDTEGVERLQLHIPKNY >Solyc04g055000.3.1 pep chromosome:SL3.0:4:53470068:53474568:-1 gene:Solyc04g055000.3 transcript:Solyc04g055000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDERKTGCGLLNAVFGRKTMWTKRSTSTGSLPTPNSSNGNNLTRSSSTQNSKKHRNGSNEAFLDNEKQADRIIPRPNPNQSKVAANKGNVQGAAAAPVYNQQKTNGQGYNNQGRKVVPPSTGISGELENMIQDHQRSNGANTLVRASSSNVMLFGNLGNIRQQGGGNATTTSTANHVLDYLPRTAKEEPQQQPSQNGKYPTSVMGNVVKKKGDQEQGKTGGSVSLCRALSTRMDPEQLKILGNEDYKNGRFAEALALYDAAISIDPNKASYRSNKSAALTALGRLLEAVFECREAISIDPHYQRAHNRLATLCVRLGDTEKAMYHYKLAASEADPDVVTKSKSIQMHLSKCTEAKRQRDWNTLLKESALAISAGADSAPQIFALKAEALVKLHRYHEADQTLKHGPNFDIDECIKFFGPIGNAGLLVIQAQVDMAAGRIDDALAAAQRASQLDGNNKEVNMIVRRTRGVASARTKGNELFKGGKYGDASVAYGEGLEHDPHNSVLLCNRAACRSKLGQFEKALEDCNTALNVRPSFTKARLRKADCYFKMGKWEACVQECEVLIKETPENEDVGQMIKDAQQQLKK >Solyc05g008580.3.1 pep chromosome:SL3.0:5:2892000:2899926:-1 gene:Solyc05g008580.3 transcript:Solyc05g008580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNGHRKGNKKNTVSLQNGDLSTLNIDDELDPWTSWANKPHTITLLLIGACLLIWASGALDSQNTSSGDIVASVKRGVWAMIAVYLAYSLLQAPSTVLIRPHPAIWRLVHGMAVIYLVALTFLLFQKRDDAQQFMRYLHPDLGIELPERSYGADCRIYVPENPTNRFKNLYDTLFDEFVLAHIIGWWGKAIMIRNQPLLWVLSIGFEFMELTFRHMLPNFNECWWDSIILDILICNWFGIWAGMRTVRYFDGKTYEWVGISRQPNIMGKVKRTLGQFTPAHWDKDEWRPLLGPWRFIQVLSLCVIFLTVELNTFFLKFCLWIPPRNPLIVYRLVFWWLIALPTIREYNSYLQDRKPAKKVGAFCWLSVAICIVELLICIKFGHGSFPNPMPKWVVILWSCIGTGLLMSLAAWSWHLHRMMRRKHD >Solyc05g052510.3.1 pep chromosome:SL3.0:5:63570982:63590341:-1 gene:Solyc05g052510.3 transcript:Solyc05g052510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAANAPITMKEALTLQSIGVNPQFITFTNVTMESDKYICVRETSPQNSVVIIDMNMPMQPLRRPITADSALMNPNTRILALKAQVPGTTQDHLQIFNIEAKQKIKSHQMPEQVVFWKWITPKMLGLVTQTSVYHWPIEGDSEPVKMFDRTANLANNQIINYRCDPSEKWLVLIGIAPGSPEKPQLVKGNMQLFSVDQQRSQALEAHAASFASIRVPGNDRDSILISFASKTSNAGQVTSKLHVIELGAQPGKPSFSKKQADLFFPPDFADDFPVAMQISHKYGLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTSEASSIGGFYAVNRRGQVLLATVNEATIIPFISGQLNNLELAVNLAKRGNLPGAENLVVQRFQDLFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTKGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECSEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYTPDYLFLLQTILRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNMIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYSELPDIKRVIVNTHAIEPQALVEFFGTVSREWALECMKDLLVINIKGNLQIIVQVAKEYCEQLGIDACIKLFEQFKSYDGLYFFLGSYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYTNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVAECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKVLVPENEFRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDSIRDINRAVEFAFRVEEDAVWSQVAKAQLREGLVSDAIESFIRADDATQFLDVIHAAEDADVYHDLVKYLLMVRQKTKEPKVDSELIYAYAKIDRLGEIEEFILMPNVANLPNVGDRLYDGALYEAAKIIFAFISNWAKLASTLIKLNQFQGAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIVQVDDLEEVSEFYQNRGCFNELISLMESGLGLERAHMGIFTELGVLYARYRHEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTVMNHSPDAWDHMQFKDIVVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLRLVKPYMIAVQSNNVSAVNEALNEIYVEEEDYDRLRESIELHDSFDQIGLAQKVMAGCTKLPFFVVIICLIIVPFLVFLFEQIEKHELLEMRRVAASIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFATCLFVCYDLIRADVALELAWMNNMIDFAFPYLLQFIREYTGKVDELIKDKIEAQKEAKAKENEEKDVMKQQNMYAQLLPLALPAPPMPGMGGAGMGGGFAPPPPQMGGMGMPPMPPFGMPPMGSY >Solyc01g095760.3.1.1 pep chromosome:SL3.0:1:86832784:86834142:1 gene:Solyc01g095760.3 transcript:Solyc01g095760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AZW5] MNGFTNNGDKCVNVLMFPWLGYGHISPFLELAKKLSQRNFIICLCSTPVILNCIEKKLSPEFSPSIHLLELNLQNLPDLPPSHHTTNGLPPHLMNTLKEAFDLASPDFALILKTLKPDLLIYDFLQPWAPKAAAELKIPAVEFISSSSTMTAYMLHEFNKPGIKFPFSSICYRDYEKARIEKQEATMSVEKLEEDKRRVRECFYLSCDIVLIKSFKEIEGKYSDYITNLTGKRVVPLGPLVQEPTLEDSESELITWLNEKEKRSTIFVSFGSEYFLSKEDFVEIAYGLENSKVNFIWPIRFQKGENLELEETLPKGFFNRVGNRGKVFNGWAPQAKILEHSSIGGFVSHCGWSSVMESMKYGVPIIAMPMHIDQPINCRLVEDVGIAVEVVRNSDGKLHREEVAAIINQVVLDKDGEFVRQKAKDMKEKLCMKGDEEIEQVAKELRKLCAKF >Solyc03g033825.1.1 pep chromosome:SL3.0:3:5457621:5466076:1 gene:Solyc03g033825.1 transcript:Solyc03g033825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVAIEPTLDKTLFARASFNSVDEEDMLLRDFFASPCVRTSFFPLKNEQSMQENSDNMNMELGFDHTYEGIETYDSPPEMKNLQIAPRVTKSKRSCSKPVALTPKASHALTIVIFVHFDVSTTVGLSITCGLGLPSYHVNGKASLIAIEGKSLSLCVSSYDTRFEVPTSSFDFPFSRRVAFPARRTTVCRSSKTVRSKPQSSLSSSMDLISLRLALSQPLKTTIQELAFVPSRTTHKLLPYMPPVLIMVNGCDFKSCSNLPPAHKPFLDDLCIWTSVEWVSLT >Solyc04g025540.3.1 pep chromosome:SL3.0:4:22035033:22046392:-1 gene:Solyc04g025540.3 transcript:Solyc04g025540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLLYPVDSLVSPLHITTKTRVFSNAQIRIPIKRVGKRCYFAIKAVLDSASIDELRHEEPDIKNPALSTSYRNSEFSKPNQTVLDAQTKVCTGPTQTKPLNEEQALKVLDTILRSAKGELKDEEPVSKAQLGAFFTAMTIRANAFPEPTQWSEGERRAMHRYWPQLIRVLPKDIIFIADPEDSIMGIGSSIGPKFVGNSTAEMRLVGALREVLAGGHLGYEEVQGVLKDILPLKVEEMGSSNVSESLLSAFMIGQRMNRETDRELKAYCLAFDDELGPAPIADVNSLTHYGEPYDGNTRYFRSTLFVAAVRSCYGESCLLHGVDWMPPKGGITEEQMLMFMGANTHLTPSQAKMLLEDDEVGFAYVSQREARPSLYSLIGLREHIKKRPPLATTEKVQQIVRARGKEAIVAGFYHGGYEEPLLMLMRRRGVHAGLVVKGEEGALSMTTRLRSANASKGLPVNYCSGFRSVNLAPACAVDGVSRESFNIEVNASDYGFASTDTPRTDRSVARNIELGLAALRGEKGPAYDRIVLNAGMVDHLLGSDGAQDISAAFDRAREAIDSGKALRGLLNYIKISNKVIS >Solyc05g023845.1.1 pep chromosome:SL3.0:5:29536917:29544018:1 gene:Solyc05g023845.1 transcript:Solyc05g023845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPILNLLRIVQDQYKYNSSKPYPSALLYKRINSLRLSSSDYLSSSYISGSVETQHPSWSQPHHSSKEKGKEIWRLSNVRRGLHKWMEDSKLVPRVCSKFGCLFQAVDELIITESCRFGVIFSTLATKKQAKEKAHFVAFVIAGKDNNCSSSSYCNIKN >Solyc01g057470.1.1.1 pep chromosome:SL3.0:1:61021553:61021777:-1 gene:Solyc01g057470.1 transcript:Solyc01g057470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLPAKACVRVVVLVEGRRRREGEEWQVEEESFIFKSLFEGAAMAVFCLLLDGLVLVSIGLRFVASAMTVGAD >Solyc02g070600.2.1 pep chromosome:SL3.0:2:40884390:40887353:-1 gene:Solyc02g070600.2 transcript:Solyc02g070600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKGKGNGKKKGKGKGKGKGASKETKCRAAAESEPTSHFHFPREIISNILSRLPVKTLLRFRCVSKQWRNLISKPNFIASHFRHSSSLQLSGSSILIGSRHHESNHHVVSLYNPPESVVQVDSPFPCFFPNMYIVGPCHGFICLFNPPWGELITLWNPSMRKYKMVELTDSLPSPGLHFLTSIGMAFDYQHNELLILRIFCVGIMFEVPNHVEVYSSKSGKWKKLKNEMIFHIVEFTCNVIVKGVAYWLVCMPDKFGSRAVFVRFDVGKLVFEKLPSIGRRKKHQYLVELEGSLCMLDWDHKDDCHMDVWVMDDVDGWSKKYSVGPLVGFDRILGCLRNGDIVVKNENGVIFICDPITNSVKENFSIDNSKDESYVVVDYSESLFLIGGMLPVKKQDAQDKLARKKVTRDCLKFLMGQPSEIIIP >Solyc03g121330.3.1 pep chromosome:SL3.0:3:70945632:70948181:1 gene:Solyc03g121330.3 transcript:Solyc03g121330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGQLVWEIVKKNNSFLVKEFGNGTAGVVFSKEPNNLYNLHSYKHSGLANKKTVTIQPDGKDKSVLLATSKTKKQNKPSRLLNKSVMKKEFSRMAKAVTNQVGDNYYRPDLKKAALARLSAVHRSLKVTKSGAKKRNRQA >Solyc02g069240.1.1.1 pep chromosome:SL3.0:2:39750470:39751780:-1 gene:Solyc02g069240.1 transcript:Solyc02g069240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNCKQLRFFGVHLNFLAAKCLLLVVIALFLGTVLLPTFSGFGRVIRQNNFVFVHNRSFPLNQPVKQKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPKLSASLFYKEVELLKPISFDKIFQFERFNSICKGFVQLSRYSDVSNQSDIIELQKGSGRRWTLEKDLEQLNQISKHPYDAREIIRIVGKNPFLWHDHWPTRDYAKVFECLALVEEISMEADKVVSKIREIGMEVRSKNAMPSSSSQPVPFVAVHMRIEKDWMIHCKKLEQRLNISEICSSKEEIMARVGNIAGLKTPVVVYLAVADTLLEDNTVLNGWKDGLHPYEKKTLGVFDIYKKQSYLFQSAIDYEVCLRSDIFVGNSFSTFSSLVVLDRTQKMIKAGETKLCGSDVRWPSYAYNIRGVGNSPHLWVTNMSDTSLTAISYGSNHISC >Solyc10g055350.1.1.1 pep chromosome:SL3.0:10:56533295:56533573:1 gene:Solyc10g055350.1 transcript:Solyc10g055350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETLTERGSTTATGNQSQRLRGKVLHIGRAAFFISLALSPTSAFRLGSALGVRLDRLSFFRLSKAVNVSAILPSLNLSILCFFPSLFQFST >Solyc06g082190.3.1 pep chromosome:SL3.0:6:48138582:48144660:-1 gene:Solyc06g082190.3 transcript:Solyc06g082190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALECWSSRASMDEDMVEQILMSNNDRSEEPSGNDCSAGGASSTGAGSNGSFKESSAMQKRLQRLSRNVSEAIASLKNSLNLESVRDPPSDRVETCRKHVWGSVVRGLTQLYPGSQLPEKLVSNIRKHYDSFPLSYGQAGFEMKDVFLHIRLIEQASAEDHPAIMIQEVSDDEVQGSIFKLTFACNSSISWPTMSGALDSASICCKKIQIFEKKGFTLGVVMLLVQSGKEKMFKARIENFLKSALKKPKSTSIKKLPFGLCGTQEENTKNREYGDIEEDSGQPTYKNGFENSSPKVQLRMPLPTSLFTVSVDEWQTVLSGGEEIGKWLLSSDNLEFIDQIGPSTFKGVYKGKKVTIEKLRGCDKGIAYEFVLRKDLLELMTCGHKSVLQFFGVCINENHGLCVLTKLMEGGSVYDLVLKKKKLQTKEIIRIATDVAEGIKFMNDHGVAYRDLNTQRIHLDKSGNACLGDMGIIAACRSIDEAMEYETDGYRWLAPEIIAGDPETVKETWMSNVYSFGMIIWELVAGEVAYSAYSPVQAAVGIAACGLRPEIPKDCPQVLRSLMIKCWDNCPSNRPQFTEILSTLVRSSSNSNSKSR >Solyc04g008430.1.1.1 pep chromosome:SL3.0:4:2048714:2052094:-1 gene:Solyc04g008430.1 transcript:Solyc04g008430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMNTNSALTLIIFLFFALILVSVNAVASSIKTDAESLLLFKNMIQKDPSGVLSGWELKNNPCSWNGVTCNSLGRVTILDLQQSELVGEVSFSPFNSLDMLTVLNLSSNSFYVNASTSLAQLPYSLKQLELSFTGLAGYVPDNLFAKCPNLEYVSLSFNNITGSLPQNFLLHTDKLQYLAMDYNNLTGSISDIKIETCNSLLRLDLSGNQMIDSIPSALSNCTTLQELVLADNFFSGSIPSSFGELKSLQRLDLSKNHLSGWIPSELGNSCSSLVELKFSNNNITGSIPNSFSSCSSLQNLDLSNNNLTGPFPDSILQNLASLESLQMSSNKISGSFPASLSYCKKLRVVDFSSNMINGIIPTDLCPGASSLEELRAPDNSLYGPIPSQLSQCSQLKIIDFSLNYLNGSIPSELGKLENLVQLIAWYNSLEGNIPAELGKCSNLKNLILNNNYLSGKIPVELFNCGNLEWIALTSNGLSGEIPKEFGHLSRLAVLQLANNSLSGQIPSELVNCSSLVWLDLSSNRLTGEIPPRLGRQQGAKALSGILSGNTLVFVRNVGNSCRGVGGLLEFYGIHPERLLQVPSLKSCDFTRLYSGPVLSAFTRYQTIEYLDLSYNELRGKIPDEFGDMIALQVLVISHNHLSGEIPSSLGGLKNLGVFDASHNRLQGQIPDSFSLLSFLVQIDLSNNELTGQIPQRGQLSTLPASQYANNPGLCGVPLSECQYNSPATNTGDGGGEKRSSAASWANSIVLGVLISIASVCILIVWAIAMRARRREAEGVKMLSSLTTNYAASAWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGSGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHKNLVPLLGYCKVGEERLLVYEFMEYGSLEEMLHGKTRTRDRRILTWEERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDNEMDARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREGKSMEVIDQELLSVTKGNDEAEVLEVKEMVRYLEITMQCVEDFASKRPNMLQVVAMLRELMPGSSSSNSG >Solyc07g042890.3.1 pep chromosome:SL3.0:7:56532849:56539551:1 gene:Solyc07g042890.3 transcript:Solyc07g042890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAPKFAGLIDLNENPDHYGLSQNFYHKLGEGSHMSIDSYGSLQMSNGGGSVAMSMDNSSVGSNDSHTRILNHQGLKRVHNNYSVAASVNKGKTSHGLSDDALAKALMDPRFPTNGLENYDEWTIDLRKLNMGPAFAQGAFGKLYKGTYNGEDVAIKLLERPDNDLERAHLMEQQFQQEVMMLARLRHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRSVPLKLAVKQALDVARGMEYVHGLNLIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELLTGMLPFQNMTAVQAAFAVVNKGVRPTIPTDCLPVLAEIMTCCWDGNPDNRPPFSQVVRMLEAAETEILTKVRKARFRCCISQPMTTD >Solyc10g055420.2.1 pep chromosome:SL3.0:10:56696737:56699139:-1 gene:Solyc10g055420.2 transcript:Solyc10g055420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDSEYVTYLWHETEMRRKEKEDRKKMMQKQADVDSDQKKGQNQHDFIEKTMIDKKPANENAQTSPQQSDAVQTPFKAKQEKIEI >Solyc09g014210.2.1 pep chromosome:SL3.0:9:5705401:5711409:1 gene:Solyc09g014210.2 transcript:Solyc09g014210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLPKKLSPKSLLKLLKSEKNPNAALSLFDIASQHPNYTHDSIIFHHILRKLSDQRFIPHMTRIVHMIQTQKCLCSEDVALTVIKGYAKNSMVDKAMEIFQNMKNIFGCIPGVRSFNTLLNAFVVSNQLSRAELFFKYFGTMGVSPNLETYNVLIKLACKKRQFDKAKELLDWMWESKLMPDVYTYGTLINGLAKNGHLGKALEVFDEMFERGLYPDVTCYNILIDGFLKSGDYDSGKKIWARLNSGSNVYPNVVSYNVMINGLCRCGKFNEGLELWDRMKKNAQKMDLFTCSTLIHGLCELGNVNGAERIFKEMIETGLSPDVVVYGALLNGYCKVGEIVKCFELWELMGKEDCRNVTSYNILMRGLFENRMVDEAVSVWKLMNENGVVADSTSYGILIQGLCDNGYVNKALKVLQAENQGERCMDSYAYSSIVKGLCREGRLEEANAILDLMAKQGCTLSSHVCNALINGFIKASKIAEALRFFGEMSSRNCSPTVVTYNVLIDGLCKAERFGDAYKLVEDMLQKGWTPDMITYSLLMDGLCQSKKVDLALKLLSQIVSKGFKPDVTMVNIIIHGLCSAGNLDNALQLFLSMSQWECLPNLVTYNTLMEGFYKARDCKNASAVWAFILKGGFQPDIISYNITLKGLCSCHRMSDAILFFSDALNRKIRPTAITWNILEVHTRLFLILISDPSMKFSCRSNLLSVALQYPRFVFLHLAVIITLTIMPSLSDMFMIFLVLSCK >Solyc04g009465.1.1 pep chromosome:SL3.0:4:2866548:2869294:1 gene:Solyc04g009465.1 transcript:Solyc04g009465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFFLDTHGGEGINPVVPAGEASGDCTKQQQTAQLPAHKMSQLHQLTDANDLMMRQQMGMKTGVLQQQQSVGFHHPQVKSEISSAQTYQAVSPQEQNLPALDESKNGANVSWNFQ >Solyc07g049440.3.1 pep chromosome:SL3.0:7:59836843:59840297:1 gene:Solyc07g049440.3 transcript:Solyc07g049440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTSNTISLCLFIVQLFFMLLAKANAKIPAIIVFGDSSVDSGNNNHIQTIARSNFMPYGRDFAGGRPTGRFSNGRITTDFISEAAGLKQIVPAYLDPAYNMSDFAVGVSFASAGTGYDNATADVLGVIPLWKQVEYYKEYQKKLRAYLGDTKANEVISEALYATSLGTNDFLENYYTMPQRRSQYTIDQFQTFLVGIAKNFITNLYNLGARKISLGGLPPMGCMPLERTRNMGNGNECMESYNVVAVNFNEKLNGLVMELNKELPDIQVVLSNPYEPMLQMIKNPSSYGFEVASIACCATGLFEMGYACDRFNLFTCKDANKYIFWDAFHPTERTDRIIADHVVKTALSKFLA >Solyc12g099910.2.1 pep chromosome:SL3.0:12:67832643:67855937:1 gene:Solyc12g099910.2 transcript:Solyc12g099910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYRNYSNETVTLDDKSQGEQSMQGIHHDVGNEEVEGSLSENDDNGQLQDEVGVEVETTAEDQVPPGRGVNLSGKWGSGFWKDCQPMGPSGRSGSGEESKSGSEYKNEEESDEVSDGREDQLESEDEGRQKEMGKSRSVPADEMLSDEYYEQDGDDQSDSLHYRAANPSSGYSSKLQSRPVSASKYASRKAKASKDQEDNEYADYEDDDSEDEDDPDDPDYGSTGRGKGIKDKDDDWEGGESDEVNSDDDEVGISDEDEEFYRKSQGKQKNRGGHSVKSTRVVRSLATSARQKRGRTSYEEEESSEHDSENESDEDFGNKPRRVANLRLKNSGRSSAASVSGRNSEIRTSSRRSVRKVSYAESEESEEIDESKQKKGQKEELEEEDCDSIEKVLWHQPKGMAEEAAMNNKSADPMLLSHLYDSEPDWNEMEFLIKWKGQSHLHCQWKSFVELQNLSGFKKVLNYTKRVMEDVKYRKTVSREEIEVNDVSKEMDLDIIKLNSQVERVIADRISKDGYGNVVPEYLVKWKGLSYAEATWEKDVDIAFGQDAIDEYKAREAAIMVQGKSVDFQRKKSRGSLRKLEEQPEWLKGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQIHGPFLVVVPLSTLANWAKEFRKWLPDMNVIVYVGARASREVCQQYEFYNDNKVGRTTKFDALLTTYEVLLKDKAVLSKIRWNYLMVDEAHRLKNSEASLYTTLLEFDTKNKLLITGTPLQNSVEELWALLHFLDPDKFKSKDDFVQNYKNLSSFNEMELANLHKELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDANYFGSSKLERIILSSGKLVILDKLLDRLHETKHRVLIFSQMVRMLDILAEYLSIKGFQYQRLDGSTKSELRQQAMDHFNAPGSEDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGKLEKKETKKGSLFDKNELSAILRFGAEELFKEEKNDEESKKRLLSMDIDEILERAEKVEEKGAEAEEGKELLSAFKVANFCGAEDDATFWSRWIKPEATAHAEDALAPRAARNKKSYAEASPLVVTNKRKKGGDAQERFPKRRKGDFSCTLPAIDGASAQVRGWSFGNLSKRDATRFSREVKKFGNDSQIDLISSEVGGAVEAAPTEAQVELFDSLIDGCREAVKGEVVDPKGPLLDFFGVPVKADELLARVEELQLLAKRISRYADPVSQFRALAYLKPATWSKGCGWNQKDDARLLLGIHYHGFGNWEKIRLDEKLGLMKKIAPVELQHHETFLPRAPQLKERASQLLQMEVAAVGGKNTSLKVGRKTSNKQKESLPSTTASLGKGKQSKLSSSGNAKMGRGRAAKGQKKVEPLIKEEGEMSDNEEVYEQFKEVKWMEWCEDVMVYEEKTLKRLQRLQTTSADLPKDKVLAKIRNYLQLLGRRIDQIVFEYENESHKQERMTVRLWNYVSTFSNLSGEKLRQIYSKLKQEQHVEGRVGPSQFNGSAPAHPTPGFVPRGFDAAKFEAWKRRKRAEADVHSQVQPQHQRALTNGTRLPEPNLSSGILGAAPLDSKQSGSGRPYRTHQSGLPQRPGFSSGPR >Solyc06g074920.2.1 pep chromosome:SL3.0:6:46564773:46566536:1 gene:Solyc06g074920.2 transcript:Solyc06g074920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGKSCRLRWLNYLRPNIRHGNFSQEEDRVTCSLYARIGSRWSFIAAQLSERTDNNIKNYWNTKLKKKFIGFIHSSSNILYHPTSTLQTTFQPQTQSQASISSIFRDSYIEPIPLVQPNFTYNNNNMMNFQLGVSTCKF >Solyc03g112930.3.1 pep chromosome:SL3.0:3:64706030:64708839:-1 gene:Solyc03g112930.3 transcript:Solyc03g112930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSLQVCMDSSDWLQGTIHEDCAGGMDSSSSPSGGDHINNLMTCSRPIIVDQRRLRPPHDHSIKCPRCDSTHTKFCYYNNYSLTQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSSKKSSTNTNESIPLSTTTTTNNNNIPEMPFPHHFMSSTNFGHHGNFMLENQAPIIDFMESKYEALVGSSSSTTTNSRLLNQDLFLGNGDNNNNIGMMMMSGSTSTNGFGHDHDNIVATNYPFGITSIMDSSNNGNSFGMLLPYENHHEEVQNINAVEMKPNPKILSLEWHDDQLGSNKESSFGYYSGNGGLGSWTGLMNGNCYGSSATNPLV >Solyc07g008730.2.1 pep chromosome:SL3.0:7:3696754:3705683:-1 gene:Solyc07g008730.2 transcript:Solyc07g008730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKTDKDMHKAVASSSQVKNVLRRLEKKRSRLKSKSHGRSTRASSSKRRVAGDGKVKCCSGENILKNEILKQAKSSKKQSVLSCEAEKLQACNSKDNLKHDNLDTGSEKLKRRRKRRRRKHNADPDDVSRLQRRTRYLLIKMKLEQNLIDAYSAEGWKGQSREKIRPERELQRAKKQILNCKLGIREIVHRLDLLSSAGQIDDSAISPNGSVHHEHIICAKCKLQEAFPDNDIILCDGTCNCAFHQECLDPPLSTDNIPPDDEGWFCKFCKCKMEIIEATNAHIGTHFAMDSNWENIFKEEALLPEGEESLLCPEQDWPSDDSEDDDYDPEKVANSHNSTDSFESGSSSEASSGSMLGSLEDELLALTGKPEDGSGGKDYLSEHIAVSDYEETTDFEVLSGPRQRKAVDYIKLNDEMFGKHAPVIEQNSEDEDWGPGKRKRRRKEPESDAASTLITLFESERSCPEKKVNEVEKESFGRPAKREIFRIPPDAVEELRRVFAENELPSREVRENLSKKLGIEYEKVNKWFKNARYTALKARKVEGTKPCQATSPTTSVGCTPETVKGEPADHISSSDAEENAAQAPNEETSNLVIRPSKKKHDKKAVITSIDRVETLVESGDDVSLKLLRDRAKKQRRKLISKEREKIQEAEAEAEMQELCRIKDKIARLQDILRRFPNHRCRRADTSSLDESLIVFVPVAQLQEKR >Solyc03g111080.3.1 pep chromosome:SL3.0:3:63229859:63238532:1 gene:Solyc03g111080.3 transcript:Solyc03g111080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKQSEDIEKEILASIPLGRYGQPEEVAEFSPAASYITRKVDNGTSI >Solyc12g049420.2.1 pep chromosome:SL3.0:12:61932281:61938248:-1 gene:Solyc12g049420.2 transcript:Solyc12g049420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDGRDIQDADFYKQQAKSLFKNLSMGQIEVSRMSVETGPYIFHYNIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNITKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVANVVGVVILLFWAKNKIW >Solyc02g021270.3.1.1 pep chromosome:SL3.0:2:22885071:22893077:-1 gene:Solyc02g021270.3 transcript:Solyc02g021270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITEATLFYLAMLIFVRGLLGITFRKSDRVSHFSSPTYTPLIPFFFVNNFLCLFRYPFSTNTSNTFLDANSIKNIIREEKWEDDIRIARLFDSALAPLWVSQILLALKQDPRLVLRFFKWAKTQTDFYHTAEGYCIVAHILFYSRMYSDTYDVLKELVTLSNDKKVLPCSDVLDVLWSTRNACKPGYGVFDALFSVLIEMGLLKEASDCFLRMRSFRVLPKARSCNYLLHRFSKLGDKNSSLKFFDDMIESGIVPTVYTYNIMIDYLCKDGDLNAAKRLFTQMKDIGIDPDIVTYNSLIDGIGKHGELEDMVSIYEEMKKSKCLPDVVTYNTLINCFCRSGRMAVAFEYLHEMKRSGLKPNLITYSIFIDVFAKEGMLQGAIKFFVDMRRVGLAPNEFAYTSLIDAHFKVSKVDEALKLVKEMLEVGVKLNVVTYATLVNGLCNAGNIKEAEEVFRVMLKDGIVPNLEVYTALIHGYIKSKRLVDALNILEQMKENNIKPDTLLYGVVLWSFCSDKKFEEAKVLFDKMKGLGIEGNYVIYTIFADAYFKAGKSVEAQALLNEMQERGISPTVVTYSALIDGLCRLGFVQEAMDHFHSMPKMGLQPNVVAYTALIHGLCRNKCLEAADKMFNEMLGKGIHPDKIVYTSLIDGNLKQGNIQDALDLRRRMTVIGLELDLHAYTALICGLSKNGQVPQARSFFDEMIEKGVKPDEVVFSCLIRKYQEIGNLEEVLALQNEMMKRGLTTVTSDVAVHNMQT >Solyc06g072560.1.1.1 pep chromosome:SL3.0:6:44892924:44893349:1 gene:Solyc06g072560.1 transcript:Solyc06g072560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKGIYNVIYIIHRCLCRDVFDRFLLEGWIVWSLRRVFDDLLVKSTATLTAIIVACINVGKSEISLQLLRNMLETDVVPDNYVISSILGACSSLEYIKVGKEIHCYVLRRGTEMDVTVSNVLFDFYMKCGKVKTARSDFD >Solyc04g011500.3.1 pep chromosome:SL3.0:4:3936199:3939985:1 gene:Solyc04g011500.3 transcript:Solyc04g011500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTSAEREIVRDVKEKLAYIALDYEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Solyc08g062250.2.1 pep chromosome:SL3.0:8:50852406:50874673:-1 gene:Solyc08g062250.2 transcript:Solyc08g062250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYNQMGGIDEVALDCLSLVTEMTRHIHVRASGGKVSASKLGQFSPVFIWLPRDFHLDWVEDNRKITPRDYLQLALRPVQGGEKDVAAKNMECQIKAVLYVDEIEPYAEMLKLVNTYLISTARVKISQTSHGKPIHKFYWVLDKETVIEHITPSNGVENPLPPPTKLNLTTFDRIPHMMLYSAVEIDILAIVLRCSPQKYAGRSNHKCQEIIICDNQAKENKSMLLGRASNKTSTSSFAPLMMGLFYVEVEMAISDELQDFCVLECSGCKQKKRTKDRKDFHCPKCNRKTTLLPRCIFQIDLTDGTAIVTASISAELGEKLLTMTAEDIFDITCAKRQYLHINHVHEVLSNKLFQIQLRKSSWGTSNNTQATYSIISYMEKQHTPPTTIDRNSKKVRPLEISEMEVTETTTAAGSSNATLKFEPPTPTKKL >Solyc04g080140.3.1 pep chromosome:SL3.0:4:64482754:64495964:-1 gene:Solyc04g080140.3 transcript:Solyc04g080140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPICQLNLFPGETTVIWLSIDVPSEQTPGQYEGEIIISASKAEAESTNQSLSKLEKHQLYRELRDCVDTVEPLEGKPLEEVVERVKSATSSLRRVLLSPLFSDFFSDNGPIDMMEEDAFSSLSIRLKISLTVWDFVLPATPSVPAVIGISDTVIEDRFGVEHGSNEWFELLDQHFKWLLQYRISPYFCRWGNGMRVLTYTSPWPADHPKSDEYFSDPRLAAYAVPNGPIVPCGDIAKDYLRREVEILRTKKHWRKAYFYLWDEPLNIEQYTSLRRMASDIHAYAPDARILTTYYCGPSDAPLATNNFEAFLKVPAFLRPHTQIYCTSEWVIGNREDLAKDIIAEIQTENGEEWWTYVCLGPGDPHPNWHLGMRGTQHRAVMWRVWKEGGTGFLYWGANCYEKATVPSAEIKFRRGLPPGDGVLFYPGQVFSSSQQPVASLRLERLLSGLQDIEYLKLYASRYGRDESLNLLEKTGMYLGPERYTSEHRPIDVMRGEVYRTCQS >Solyc01g094300.1.1 pep chromosome:SL3.0:1:85707657:85708426:-1 gene:Solyc01g094300.1 transcript:Solyc01g094300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIAPNLSAVVGSAVAAKLIATAGGLSSLVNIPADCINLLGAKTNIHNLAGFSFSTAMFHHIGGCSIEETDIIQSTPPSLRKKAYGLLADKCILAARVDSARGDPTGQYGTSLKGIVLFLRRWRNCKSYLHSLGDGLGQGYGMLSQADGIGKLRLSAIKKKFKSSTTSTRVYSGLTSSLAFTPIQGIELSNPDQAKHATTSHYFSETATFSKIKKK >Solyc04g008210.2.1 pep chromosome:SL3.0:4:1894067:1896686:1 gene:Solyc04g008210.2 transcript:Solyc04g008210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BNX7] MVNFLLEIFIFCYVVVLVSGFSENLETSSFNEGYSQLFGHDNLMVIQDGKSVHISLDERTGAGFVSQDLYLHGLFSASIKLPEDYTAGVVVAFYMSNGDMFEKNHDEIDFEFLGNIRAKNWRIQTNIYGNGSTNVGREERYGLWFDPTEDFHTYTILWTDSHIIFYVDNVPIREIKRTQAMSEDFPSKPMSLYGTIWDGSSWATNGGKYKVNYKYAPYVAKFSDFVLHGCGVDPIELSPKCDIVLDSASIPTRISPDQRRKMERFRNKYLQYSYCYDRTRYNVPQSECVIDPKEANRLRGFDPMTFGGVPRHQNKRHHQRQSRREDTSAK >Solyc10g083400.1.1.1 pep chromosome:SL3.0:10:63345797:63347290:-1 gene:Solyc10g083400.1 transcript:Solyc10g083400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLDVFWWLKSFHVYSILIFIIISLFSLIFYMVRVKLWCNCEICHAYITTSWSSQFINLCDWYTHLLKESPTRTIHIHVLGNIITSNTQNVEYMLKTRFDNYPKGKTFSTILGDFLGRGIFNVDGDLWRFQKRMSSLELGKVSIRSYAFEVVKNEIDKRLVPLLHEYKQGGGVLDFQDVFRRFSFDCICRFSFGLDPKCLESSLPMSQFALSFDLASKLSAKRAMTTSPIVWKIKRFFNIGSEKELREAIKVINILAQEVIRQKRKLAFSNHRDLLSRFMGSISDETYLRDIVISFLLAGRDTIASALTSFFYVIGNNPQVAKAIREEANRVLGPNEDLTSYEQMSELHYLQACVYESMRLYPPIQFDSKFCLEDDFLLDGTFVKKGTRVTYHPYAMGRMEELWGCDALEFKPQRWLKDGVFVQENPFKYPVFQAGLRVCLGKEMALVEVKSVALSLLRRFHVELAQPYHHNPRFSPGLTASFKGGLLVSVRQISS >Solyc02g083190.1.1.1 pep chromosome:SL3.0:2:47236450:47237739:1 gene:Solyc02g083190.1 transcript:Solyc02g083190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYSWPIWADLPEELLVKISKCLKYSFQVGHFRAVCTSWRSAVPLFPLHKRLSIVPKYIGSKKPQSKCMNRFVFRLDLQQLPSPSPSPTSYLIAVAEQFNGDGQSQLHLLNPVTGSPIPISSSPSNLLFPREINLNKFRVSILHKSSLMFHPHTNRYLIGKVVYLSTLRQSSDGRIPKAAAVLGSGKVSLFTINTRERVILNYLMDGLVRDHNLKKPFYHDVVKYKEKWFAIDQYGRGVMVDCCSKVSLVTNPLFHPNRNGYFRKHRSYLVKSSGDADLFLVDRYLDKPSEQTNYAEQHAATSDDDAKQPEYDMEVRFRVYKFEEEEHYWKEVTNLNDQVIFVGDDASYWSYCVSAKDFPGCRGNLVYFIDQFRKAGDGDLHDFWDALKHENDYSLGGFDMENAFIGPMACFPGYTDFFWPPPSWLN >Solyc01g016960.1.1 pep chromosome:SL3.0:1:23095326:23096455:-1 gene:Solyc01g016960.1 transcript:Solyc01g016960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYTTMGNVSDVSTSTRDINDDQIRESAGNSLTGTSSTSNRMLQHLEDDIVHNVYIMTISGMNGIGKTTFARKAHEHLTIRSRILLTTRETNEAMYANPISPQKMNLLNLENIWKLLCDKVFGPKHDCPLEFKEIGKRIVKKCQGILLTISVIAGHHLKLVKTLGN >Solyc08g048237.1.1 pep chromosome:SL3.0:8:14711073:14720873:1 gene:Solyc08g048237.1 transcript:Solyc08g048237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQRKYSLELISEVGLAAAKSAPTPLECNMNNTSVEYDQSADLHDDLFEDENRYQRLIGKLLYLTSKRPDIAFAVQSLSQFMQQPKVSHWDAALRLVRYIEGDPGKGLLLSSNQKPQLIGLCDAEWATCPNTRRYVTCFILKFGDSLISWKSKKQNTISRSSIEAEYRSLAALTVEIVWGAILGIDSTFKKSTLTSTDFMMQRPKACFMQLDNDRRTHA >Solyc11g020460.1.1.1 pep chromosome:SL3.0:11:11145918:11146133:-1 gene:Solyc11g020460.1 transcript:Solyc11g020460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFSPRLMNMTLLYCSSILKLSLQSSKCSIPNKITHKNYNIDLFLIDILLNYSVFSPTLFPLLFSVLFLL >Solyc12g044620.2.1 pep chromosome:SL3.0:12:60599586:60603572:-1 gene:Solyc12g044620.2 transcript:Solyc12g044620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNNLKNTAGKSTGRFKSTRKPLRDVSNSNMVKPLTTSFKSKKPYGRQNDDVEPVAGENVIDHLLLIRSDFSSVIHQIDELVQVLKLRGKKSGKEIESFAHVLSEMQASLKPWVLKFQKALSDLSTRPEHPSEQSQISEAVPMLADNTSDGVDSPDQSKWDSLVSPSPLVSWRAGCTTESGRQLFLLTPLPLHKVLSSKCQGSSKTFGNYTSDTNAQQHPLFDIRGVMEECLLENTISMASQPPSLSDVTGEMDIPPSLSDVTGEMDIPLSLSDVTEERDILRVNNEVKSILNNVSAHDMIINEVPQKRDLISPVKFSQIDSSLLVMTPCLKMSPPKTCVLLEPSSEYNCRDKHGAYKMTPFPISRHFPGMSEDSESSSSEGAEHLTKKYPELFGIKLSQNLTNGGKVADDESPDFLFSPPKTCVLMEPPVEEPLTNAAETGGSNLDIVERTPVMTESKSILVMRKHPGENTLKKELWMKFEAASSDGICFNPSTIHETIQKDFLERLEEVSVDELTAEEGA >Solyc09g009690.3.1 pep chromosome:SL3.0:9:3081860:3087603:1 gene:Solyc09g009690.3 transcript:Solyc09g009690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICDGDLVDSNNSFAKQREKIIIDTDPGIDDSMTILMAFQTPEVEIIGLTTIFGNVTTKDATRNALLLCEAAGYPDVPVAEGSPEPLKGGEPRVADFVHGSDGLGNLFLPSPNSKKIDKSASEFLVEKVSEYPGEVSILALGPLTNLALAVKRDSTFASKVKRVVVLGGSFFALGNVNPAAEANIYGDPEAADVVFTSGANIDVVGINITTQVKLKDADLEELKQSKGKYAKFVCDMCKFYRDWHVKSDGVYGIFLHDPVSFAALVWPELFTFKKGVVRVETQGICVGHTLMDQGLKKWNTSNPWTDYSPVSVAWTVDVDEVLDYIKKTLMKP >Solyc05g009930.3.1 pep chromosome:SL3.0:5:4147174:4156369:-1 gene:Solyc05g009930.3 transcript:Solyc05g009930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRVGFLVAASIAAYAVKQINVKPSKPSLENGEPLLEQRGDEGDEKEQLLYSTDGLKEVVDEEEEKEEVKLINGIINPAQGNQIDLDDDLFPEFEDLLSGEIEFPLPSDKYDTGREERERVYQTEMAYNANELERLRNLVKELEEREVKLEGELLEYYGLKEQESDVLELQKQLKIKAVEIDMLNITINTLQAEKQKLQEEVFHGTTARKDLEAARSKIKELQRQMQLEANQTKAQLLLLKQHVTELQEKEEEAFKRDSEVDKKLKLVKELEVEVMELKRKNKELQHEKRELVIKLDAAESKIAKLSNMTENEMVAQVREEVTNLKHTNDDLLKQVEGLQMNRFSEVEELVYLRWVNACLRFELRNYQTPQGKVSARDLSKSLSPKSQHKAKQLMLEYAGSERGQGDTDLESNFSQPSSPGSEDFDNASIDSSTSRFSTFSKKPNLIQKLKKWGSRGGKDDSSIMSSPARSLGGASPGRMSMSVRPRGPLESLMLRNAGDGVAITSFGTAEEYDSPETPKLPPIRTQESSAETLNSVASSFTLMSKSVEGVLDEKYPAFKDRHKLAVEREKTIKAKAEQARAARFEKTLPPKLAQLKEKSVSLPGSVPVLPVVSASGESAEQSGDSKTDSQAVSKMKLVNIEKRPTRTPRPPPKRSGGGPAPAGNNVIGGAPGGPPPPPPPPGAPPPPPPPGGGPPRPPPPPGSLMKGGAGGDKVHRAPELVEFYQTLMKRESKKDTSSALITATSNTSDARSNMIGEIENRSTFLLAVKADVESQGEFVESLATEVRAASFTNIEDLVAFVNWLDEELSFLVDERAVLKHFDWPEGKADALREAAFEYQDLMKLEKQVTTFVDDPNLQCDAALRKMYRLLEKVEQSVYALLRTRDMAASRYREFGIPTDWLQDSGVVGKIKLSSVQLARKYMKRVASELDAMDGPEKEPNREFLILQGVRFAFRVHQFAGGFDAESMKAFEELRSRVQSQTGGENTQEP >Solyc12g008460.2.1 pep chromosome:SL3.0:12:1876247:1882867:-1 gene:Solyc12g008460.2 transcript:Solyc12g008460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFKTKKSKSFPIDKTPSQIQTKEIKNIKHEVILNIPSCKVHLMDEGETLELSNGFFTIFSIFEEGVCVATIIKVGDELQWPLTKDEPIVKLDSLHYLFTLPIKNGHTLSYGVTFLEKGSGNLGVLDEFLKKNAMFTCSSKSLIRKSDIDWKEFAPRIEDYNNVLAKAIAEGTGQIVKGIFKCSNAYTNQVQKGGENILIRAIENSDSTIIKKKGNNTTNKSALNESLKRVRKLSKMTEKMSKSMLNGVGIASGSVMGPMVRSQAGQKFLTMVPGEVLLASLDALNKILDAAEAAEKQTLSATSGAVTRMVTQRYGENAGEATGDALATVGHCAGTAWNVFKIRKALNPASSVSSGALKAAKNIK >Solyc02g036120.1.1 pep chromosome:SL3.0:2:30477759:30478977:-1 gene:Solyc02g036120.1 transcript:Solyc02g036120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTFEEVIHDGLQKNERSKYCTTYDSDLCRYCISTNKHNDHDQLKIYRHVYKDVVPLEQMKKYIDCKLIQPYKCNKKWVISLNPLPHCGSGSLNAGDPTCLTDPEWFQFCSIACQVLNYLSLRFKFSGCLGMIFILLFDIFFEIEFWKLCWIKNSPFTFTR >Solyc11g007720.2.1 pep chromosome:SL3.0:11:1945917:1963415:-1 gene:Solyc11g007720.2 transcript:Solyc11g007720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:K4D533] MALSRLRHPLIFRAPSLLRARRLLAAGPCNSSTLRSLHHVPGVLNQIPDVDASSLRLLNFRLLSEVHVVPSKLQSGVRHFSSAEAPSYTEVGMPALSPTMTQGNIAKWIKKEGDKIQAGDVLCLIETDKATLEFETLEEGFLAKILVPEGTKDVPVGQTIAITVEEADDIQKVPATVGGASEVKNQASSQTDAARGDGATEVSPANISSSELPPHLILDMPALSPTMNQGNIFKWRKKEGDKIEVGDVLCEIETDKATLEHESLEEGFLAKILAPEGSKDVAVGQPIAIMVEDENDIEAVRTSISGNNVVKEEKPVSHDVTTEVRTQTTGFNRISPAAKVLIMEHGLDASSIPASGPRGTLLKGDVLAALKSGKGSSNNSSVGKATPSPPQVNQQATPTKSLDLKSDGQQKDAYEDLPNSQIRKVIAARLLESKQSTPHLYLSTDVILDSLLSFRKELKEKYDVKVSVNDIVIKVVAATLRNVPGANAYWDDGKGEVVLCDSVDISVAVATEKGLMTPIIRNADQKSISSISAEIKELAGKARAGKLKPNEFQGGTFSISNLGMFPVDRFCAIINPPQAGIIAVGRGNKVVEPVVGADGIEKPAVVNKMSLTLSADHRVFDGKVGGAFVSALSSNFSDIKKLLL >Solyc08g081490.3.1 pep chromosome:SL3.0:8:64649943:64650259:-1 gene:Solyc08g081490.3 transcript:Solyc08g081490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHPMSTCDDNISEVGSKNKTNESASLCIPSSSVGWQWCNHRIIIFILVAELVKQGSSKWGS >Solyc04g015850.2.1 pep chromosome:SL3.0:4:6219491:6220659:-1 gene:Solyc04g015850.2 transcript:Solyc04g015850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMGFTPKSKANNTNNSSENWGMGFLFIFFPEEEEEEEEKKKPTFSFTNSSSSSSLLPSFSFKSINAIRNRSTSSHLISKAQSTISICVLLIFITLLLFTLSTFEPNNSLNQKRKPPILNFQEKLIFAPALQGMGSLYRRGTRAMNDLIVAHVIESVTEIELKMFLRLLHRSGITSKSDILFLFSSKSSSLDHAIVEENNSFLKLINGYYKYINNSSSDPTRFVNSTFDPTQFVISSKKEKESGEPIWGKKIRVYEDFGNSTELSLTQLSYGSVVGFDTGELDPENSLSGFLDHVPMSLRRWACYPMLLGRVRRNYKHVLLPTQES >Solyc09g007240.3.1 pep chromosome:SL3.0:9:842295:847705:-1 gene:Solyc09g007240.3 transcript:Solyc09g007240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIRLSYRNISSHGLWSCPTTQKGLKPCCSGKNSQQNGDSNSNSGDKSSTDWDKAWSSFRKRSRKNIFSNIFSQFSPNKYVTWNPKRSEYPLSEEVDPIKRAEKSNLMLWTSPQFTLVGAIVIVTFLLVYSILAITK >Solyc06g008700.3.1 pep chromosome:SL3.0:6:2607373:2613010:1 gene:Solyc06g008700.3 transcript:Solyc06g008700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase non-catalytic subunit [Source:UniProtKB/TrEMBL;Acc:K4C3Q0] MEETNMDDCEHNRESEVAPALIAVHPTQKSVAVAVGSNLRVFNLQEGCSVSLVDNSGVHMHKDSIRAIQYGAEGKLFVSAGDDKLVKIWVTDSWRCISSVSSEKRVTAVAISNDGRFVSFADKFGVIYAVEIEGSHENQSVPNKKAVPILAHYCSIITSLEFSPDGRYIISADRDFKIRVSVFPEKPSDGAHEIQSFCLGHSEFVSCLAFICNQDSQQWYLLSGGGDSTVRLWDFTCGSLLDTCHVGETGLLQSKEGIDDRLLAVTDLCATPWGSLIAVAIQSLAGVMLLSCNLSAKSLHVARVVPIPGETFIPTSLAAASSSNQLWMVMGASTLCTSHSAPLACVKVLNGFCESNQDSVEHEARVLEDKDLPGSEQLLQTLQGSSFIEKDALSAAAEAVKTAMCNLLIKKQYPSENREFRKRGRNDKRVDKKK >Solyc01g100570.3.1 pep chromosome:SL3.0:1:90437012:90440585:-1 gene:Solyc01g100570.3 transcript:Solyc01g100570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLLYESASGYGLFLAHGIDEIGQNTEAVRNSIDDLNRFGKVVKIEAFNPFESALDALNQCNAVSEGQMTEELQNFLERSLPKVKEGKKAKFSLGLAEPKLGSHIHEVTKIPCQSNEFVLEILRGVRLHFEKFVKNLKPGDSEKAQLGLSHSYSRAKVKFNVNRVDNMVTQAIVLLDTLDKDINTFAMRVREWYSWHFPELVKIVNDNYLYAKVAKFVDDKSQLSEDKISALTEIVGDEDKAKEIVEAAKASMGQDLSPVDLINVKLFAQRVMDLVEYRKNLYDYLVAKMHDIAPNLAALIGEVVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTKGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRLDCFLDKSTTTFGEKLREQVEERLDFYDKGVAPRKNLDVMKAAIETVEDKDTEMDVDEPSTKKSKKKKSKVDVVEYAQPMIEDKPLETNGDASEEPKSEKKKKKKEKRKSEEEAEHEEDQTVGNGNGLPVCS >Solyc05g015005.1.1 pep chromosome:SL3.0:5:9351444:9351977:-1 gene:Solyc05g015005.1 transcript:Solyc05g015005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCSPQPVAPLNRPDPPTQTRPNHLGTKVHRMTTRSNTHRLKPRQFLTTPTLSPKIPITHKKAKLIPQWNSSIPVIKTATVRLVLTITTQLDWHVHQLDVKNAFSQGRLT >Solyc10g017680.1.1 pep chromosome:SL3.0:10:5817252:5818196:1 gene:Solyc10g017680.1 transcript:Solyc10g017680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSDSAYIPPKLLREILLKLPTKSLIRFIVVCKLWLSIITSSPFISAHLAQTRHSNTLLVSSNGIMCLSGKLFGKMWSLVPRPSIRSKSPHMTVFGFGADLPQTNDYKLVRLVYHKNDMVGYNKYSGLPEIEIYSINSGVWRRVVGFEIQHCIVEIMSSPVFVNGFVHWIIYDYVANGGRRRILIMTFNIANEVFGEIMLPDAIIGGTMTSLLVTLFEESLAIVRYGMRMGMYGYFCQVWVMKQYGVSESWNRLCHINLVAGFKKVV >Solyc02g044000.2.1 pep chromosome:SL3.0:2:163799:170041:1 gene:Solyc02g044000.2 transcript:Solyc02g044000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNAINLKKNGELISMDMIFIDEKGNLMHGIIRKNQVNRFKDKLNESSVFIIKNFKVVESIGGYRPVQNSLKIIFLASTAIKNLSEDIVEIPLNGFEFINPDVIDSRVNNNIVLSDVVGCLYGIGDIESIGSKWKNRDIHILNDYLAKAKITLWEEFGEKFCPYLYSNDAGPYTVIVTSTTVKEFRGEISFSTTYASKIYVNLDIDYIRSLAPKFSTMSNEVQIIKSSNVNSLPREEEMFLNRMDIKELLEAEWSSELQYKIHLKVTDRTRDTSFILFNVVAEKLLDTSAHKLFNKLTTTNNDVHVQVQSLCGKEFVFKLRLNHYNLKEGLENFTISKLWIPDDNLEVKYKLRKEEKFMSCKDEIYQSSSSTTKHGKPEKPEDKAEKDKWINVVRETRKELSRQIPLSSLRNIATLKFICTLFGSAGYALLLSATERIFRNIMKKRTLKEVMNVVIWQSFFATCALLIGLFTSG >Solyc01g087730.3.1 pep chromosome:SL3.0:1:82533626:82538700:1 gene:Solyc01g087730.3 transcript:Solyc01g087730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:K4AYG3] MASTATPSTLTLSSIFSQELNPNSLIFKSKPLLFTSHKSFSLHPLSLQYKERKNGPLVVAAMAAEAEVEDAVEQEGEEGAVAVLIPPSKPKKGKAALPLKRDRTRSKRFLEIQKLREIKKEYDLKTAIELLKQTASLKFVETAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNAGADIVGGEDLIEQIKGGFMEFDKLIATPDMMPKVASLGRVLGPRGLMPNPKAGTVTTNIPQAIEEFKKGKVEYRADKTGIVHLPFGKANFSEEDLIINFIAAVKSVEANKPSGAKGVYWKSAHVCSSMGPSIRLNVREMLEYKLPNA >Solyc06g048890.3.1 pep chromosome:SL3.0:6:31982188:31986905:1 gene:Solyc06g048890.3 transcript:Solyc06g048890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLKGVVGGSGTGLKDLPYNIGEPYSIAWGSWVHYRGTSKDDGAPVSIFALTGCNANDGHLAAGRNGVKRLRTVRHPNILSFLYSTEAETFDGSTTKVTIYIVTEPVMPLSEKLKELGLKGNQRYECTLASFPLYDSDA >Solyc08g042020.1.1 pep chromosome:SL3.0:8:24183827:24184939:-1 gene:Solyc08g042020.1 transcript:Solyc08g042020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVQLILNDTSFISKCSLGGHGGATADVSCEAYHKIQDGKGPVNPKGLQYYNNLIDEIVCHGIQPHVTLCHSDLDDFTAYVDVCFKEFGDRVLHWNAFLNEVNVFTLGGYDNGMSPPNHCSRPFGMRPYVPLPEIWSSPFRMDVSVYIVYQCQNNVRPVIKDNYVKQYDFWFELDHTQSSKFISQLSSLAYAPSIPPHYPAL >Solyc11g011840.2.1 pep chromosome:SL3.0:11:4799069:4801602:1 gene:Solyc11g011840.2 transcript:Solyc11g011840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDETPIRFGIIGCAEIARKISRAINLSPNCTLYALASRSIEKAHNFAIKNNLFSDSLKIYGNYSEILDDPFVDAVYMPLPTTFHLQWAILAAEKKKHLLLEKPTALNVEELDKILDACDKNGVQFMDASMWYHHPRTGKMKEMILDSNLFGQVKAIHSSSTYAPGQEFLENNIRVKPDLDALGALGDAGWYCIGAILWAMNQTLPTTVTALPNVARNSAGVILTCSASLYWEKEETVATFYCSFIAHETMDLRVYGSNGTCYLYDFIIPFEEDSASFNFTSGAKFVDLHIGWNVKPQVVEVTSQLPQEGYMIQEFANLVKAIKVSSSKPESKWPHTSRITQLVLDAVNKSIDTGFQPVHM >Solyc04g058150.3.1 pep chromosome:SL3.0:4:55185933:55187115:1 gene:Solyc04g058150.3 transcript:Solyc04g058150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MTA description:Metallothionein-like protein [Source:UniProtKB/TrEMBL;Acc:A8DUB1] MSCCGGSCGCGSGCKCGSGCGGCGMYPDMEKSTTFTIIEGVAPINNYGNVEEKAAGEGCKCGSNCTCDPCNC >Solyc12g044655.1.1 pep chromosome:SL3.0:12:60754896:60757088:-1 gene:Solyc12g044655.1 transcript:Solyc12g044655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSGGSVRSQDRFVQVTLPRKREELGRLLNQQELYGGSLFGLRIRLKSFGNYLVGCVIKGGKRLLEPGSGIKEEKGL >Solyc02g070580.1.1.1 pep chromosome:SL3.0:2:40875907:40877412:1 gene:Solyc02g070580.1 transcript:Solyc02g070580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sterol 22-desaturase [Source:UniProtKB/TrEMBL;Acc:A9QPL5] MASIWGLLSPWIPYFISFIAFLLLLEQISYIKKKRFLPGPTLVFPFLGNVIPLVTNPTKFWDLQSALAKSTSHGFSVNYIIGKFILYIHSTDLSHKVFANVRPDAFHLIGHPFGKKLFGEHNLIYMFGQEHKDLRRRIAPNFTPKALGTYTDIQQRIIIKHFKSWLDEASKSPNTPIPLRLLCRDMNLDTSQTVFVGPYLDGESRKRFNVDYNYFNVGLMKLPVDLPGFAFRNARLAVGRLVDTLSVCVEQSLNKMKNEEEPTCLIDFWMQENLREINEAKINGLQKPFQYSNKELGGYLFDFLFAAQDASTSALLWAIVLLDSHPQVLEKVRSDVARFWSPESEEPLTAEMLREMKYLEAVAREIIRIRAPATMVPHIAGEEFRLTEDYVIPKGTIVFPSVFDSSFQGFPEPEKFEPDRFMEERQEERVYKKNFLAFGAGPHACVGQKYAINHLMLFIAMFTALIDFKRHKTDGCDDISYIPTIAPKDDCKVFLAHRCTR >Solyc07g042150.3.1 pep chromosome:SL3.0:7:55247574:55255349:-1 gene:Solyc07g042150.3 transcript:Solyc07g042150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSAAKRLLPAFYRRRQDAVSCIRTLAADYPTRFMTSAATGNIPDQNPIPVNPILPSSNPTDSTASSSWKEEERTRFQDTDAKSSSRTKRPKAHYKDEEALVLSASLSHVIRLGWTETAMIAGARDVGVSPSIVGSFPRKEAALVEYFMDECLERLIDIIESRNDLNNLIPSERIAKLVRIRLEMQTPYISKWPQALSIQAQPLNVPTSFKQRAMLVDEIWHAVGDDANEIDWYVKRTVLGGIYSTTELYMLTDSSPDFRDTWAFLDARTKDAFDLKKTAQEAQRLAEAVGAGMGGSVQGFMKRVFTG >Solyc11g006730.1.1.1 pep chromosome:SL3.0:11:1339678:1340304:-1 gene:Solyc11g006730.1 transcript:Solyc11g006730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIFTKSSLLLLISIFTIVISTTTLASDPDILLDFTSPENQTSVDGNYFTYTAMRGIFQKSVDKCTTTKATKAEFPVLNGQGVSLAVLQFPPGSVNPPHYHSRATGLFLLLEGVLEVGFVDTKGVLYAQRLKTGDIFLFPKGLQHYQYNFDHKRKAVGVAALGSASPGTVTLPTSIFNSGISELVLAKSFKTDVKTIKKIKEATTTP >Solyc12g095910.2.1 pep chromosome:SL3.0:12:65994275:65999693:1 gene:Solyc12g095910.2 transcript:Solyc12g095910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVAGVFILVGIAVLAAVEGRNVLKLPSEASRFFDDADDSVGTRWAVLLAGSNGYWNYRHQADVCHAYQLLRKGGLKDENIIVFMYDDIAHHEENPRPGVIINSPAGEDVYEGVPKDYTGDDVNVHNFLAVLLGNKTALTGGSGKVVNSGPNDHIFIFYSDHGGPGVLGMPTNPYLYADDLIAVLKKKHAAGTYKSLVLYIEACESGSIFEGLLPNGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYAVSWMEDSEMHNLRTENLRQQYHLVKKRTANGNTAYGSHVMQFGDLQLSMESLFRFMGTNPANDNYTYVDDNSLLASSKAVNQRDADLLHFWDKFRKAPEGSARKVEAQKQFTEAMSHRMHLDERIALVGKLLFGIQKGPEVLKHVRSAGQPLVDDWACLKSFVRTFESHCGSLSQYGMKHMRSIANICNAGIQMEQMVEASAQACPSIPSNIWSSLHRGFSA >Solyc07g064980.3.1 pep chromosome:SL3.0:7:67053344:67058390:1 gene:Solyc07g064980.3 transcript:Solyc07g064980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDNEPAKLLLPYLQRADELQKHEPLVAYYCRLYAMERGLRIPQNERTKTTSSILVSLINQLEKDKKSLKLGPDDHLHVEGFALNVFAKADKQDRAGRADLNTAKTFYAASIFFEILNQFGELQPDLEQKQKYAAWKAADIRKALKEGRKPIPGPPGDEGDTSEFTSVPSNTYDLPSSGTDSFIKPEPESDSSNHMYDSAPLPARPSPPATTPPPPHSHIPPSPSASTTQSPPSHFPPSPPSYPSGEYPSHNFQQPPPGDASENSSYSQPYHHQPYTQEPQSHLSQPHYPSQYPNFQSYPSFSESSLPSAPSHYPSYYQGADASYSTLPPSNTANYPSSAQYNSNDRNGSAPEVAAAPAKTYAYDSNYQPPPEKIAEAHKAARFAVGALAFDDVSIAVDYLKKSLELLTNPSADQ >Solyc12g009970.2.1 pep chromosome:SL3.0:12:3119973:3123113:-1 gene:Solyc12g009970.2 transcript:Solyc12g009970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKMKRGALESSSYGVFEDTKDRLKHQTLLQDYQELQKETDAARHKLEDSKLRKLRLQAEVRFLRQRHKHLLQSKSLTTPKGKELVSLPNVETYPRSRSRDQVTRKKEAKLNKLPPLPGPKPNGRIQVAKVVASQNIPDIHVNQKHRLGGRQESVFRNADLELNRKSRVYRGKDVSSRKGAPAYDLKQDERLCIADNAILQSSSPTFDLNQDAGHSGKETALPTRAPVFDLNEISMGEEERDGNSEQVQLEEPKRSPIQNLNDDVKLLVCRNVGEDTSRLGKQKLSWQDPVALRV >Solyc03g033290.1.1.1 pep chromosome:SL3.0:3:4882352:4882720:1 gene:Solyc03g033290.1 transcript:Solyc03g033290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNLNNFQFPYFPTHPPPPPPPHPPPYVPPPPPPSPTHNYIIIVFVFSTFGCILLGLAILAFCSCFLKKKKKNTMIVEEKEVKHIDDHVKIKKAIVEGPHGKLETIVLSIEEDLHEGIRKS >Solyc04g056440.2.1 pep chromosome:SL3.0:4:54150802:54153752:1 gene:Solyc04g056440.2 transcript:Solyc04g056440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAVVGAGISGLVCAYELAKFGVKVVVYDKQHYLSGHPNIVTVDGVDLDLGFMVFNRKFRAQSHLCSFDNHAFDGNGRNRYLEELDNNPDIDCNETLGESHVYSELFQKAYLVTLLFVLVIDFDTWIWPFCSFERLFLQ >Solyc04g007110.3.1 pep chromosome:SL3.0:4:823018:826167:-1 gene:Solyc04g007110.3 transcript:Solyc04g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAATLLCTKTLIYGNQSAIHGRRDYNSAFGRNVKLSETSSLLGLKLKVKKSIQLGRRIPVFTTRAGGGRRGGGGVDSIIERDDKSRKLTQAILWTAEGVYVLWLFLLPYAPGDPVWAISSETVNDLVGLSLNFFFILPLLNAAFLIPYMAIRLNKGDSEYPPRATSQLGSIMTRGASVVGLVGAAACLLSTFWAFYGRGDGDFGSISNRWEFLLSYLSSERLAYAFIWDICLYIIFQPWLIGDNLQNIQEDKADIVRYLRYVPVVGLVAYCLCLDLEDEN >Solyc01g058650.2.1 pep chromosome:SL3.0:1:67336631:67338542:-1 gene:Solyc01g058650.2 transcript:Solyc01g058650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEMKLLAQQRDLQAKIPDIDKCLDIVATLQAKKGSGEAPLKSNHGRMFSTVFLKVKSAIKRQG >Solyc11g012360.2.1 pep chromosome:SL3.0:11:5208623:5213127:1 gene:Solyc11g012360.2 transcript:Solyc11g012360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast dicarboxylate transporter [Source:UniProtKB/TrEMBL;Acc:K4D641] MNGDHHETNNNDQKTPLLPIHRSQSMNSFIQSVFTLKNLFTILGPSLCIFICLFIKMENAPSTSTNMLGVLAWIFTWWLSEAVPMPVTSMSPLFLFPLFGISSSDEVAQSYMDDVIALVLGSFILALAVEHYNIHRRLALNITLLFCGDPLNPPLLLLGICGTTFFVSMWLHNCAATVMMMPVATGILQRLPSRINSGHRDQENNNSNDDLVTNFCKAVVLGVIYSASIGGMSTLTGTGVNLILIGMWKSYFPHENVISFSTWSSFAFPLALAIFLALWGILCLFYCRKGSSRALSTYLDKTHLKRELDLLGPMTFAEKMILTVFSILIMLWMTRSITEDIPGWGSLFGGRAGDGTVSVMMATLLFIIPNKKQPGEKLMDWNKCKKLPWNIILLLGAGFAIADGFRSSGLADVLSRALNFLENAPYLAIAPAVCLISGTLTEFITSNNATTTIMVPLLIEIAKTMHIHPLLLMIPGAIGSQFAFLLPTSTPSNVVGFTTGHIEIKDMIKTGLPLKIAGIVALSFLMPTLGPMVFETDKRVTNVSTNYLHMCG >Solyc07g045455.1.1 pep chromosome:SL3.0:7:58707882:58709639:1 gene:Solyc07g045455.1 transcript:Solyc07g045455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQSTNTDGSLFVCEWSRHPLCRYHPLPQPHWGSTRMHQPSEHDYHCLKRILMYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLVFLGTNLISWCTKKQPKVSRSSTEAEYRALALLAAETIESKLTT >Solyc09g008960.2.1 pep chromosome:SL3.0:9:2341327:2343079:-1 gene:Solyc09g008960.2 transcript:Solyc09g008960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLKLKNVVVDSNDNNSDYEDEKVAKNLDFYFSLEKLNLGPKKKLLVLNLGGLLVDRVHRRNESTVRRYTPDLSHGNFLVFKRPYCDQFMKFCLERFEVGLWSSAMDRNVEPILDNIMIGLRKKLVFVWDQDKCIDSGFSTVEKKNKPIFLKKLKKIWENNYYGSRFSESNTLLIDDEPHVALLNPPNTGVFPPAYKVKNNRDTFLDAKGEMHEFLEGLVDADDVPTYVKGHQFGQPAITNTHKDWDYYSKIIRDVEDPSFGCSDYESHYSY >Solyc05g050210.3.1 pep chromosome:SL3.0:5:61102452:61107077:-1 gene:Solyc05g050210.3 transcript:Solyc05g050210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQHNPLLYTIILFVFERKKTIEKIMSCWFSSIVVWFILVIIIITTTCNNLVESKCAFEAIFNFGDSNSDTGGFNAAFPSQGPPYGMTYFKRPTGRASDGRVIVDFLAQGLGLPYLSPYLQSIGSDFRHGANFATSASTVLMPQTSLFVSGVSPFYLGIQLQQFKLFKTKIDEFQSKGNTNLPPSDIFGKSLYTFYIGQNDFTGELGSKGIGGVKEYLPQVVSQIASTIKEIYGLGGRTFLVLNLAPIGCYPAFLVKLPHESSDIDEYGCLISYNNAVVDYNNMLKDALAQTRKDLSDANVIYVDTHAVLLELFQHPTSHGLKYGNKACCGQGGGSYNFNQEVYCGNTKEINGQTLSATACEDPYNYVSWDGIHATEAANKITANVILNEASIFDPPFSLHKFCDIQTVG >Solyc04g054970.2.1.1 pep chromosome:SL3.0:5:60410286:60410547:-1 gene:Solyc04g054970.2 transcript:Solyc04g054970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GDIPTEEDDVHAVEEDVALAVDCFFFLPLTFEVSAHKEEAFPVLGYLTGGCEGCLVGGCEVCLTGDC >Solyc09g065550.3.1 pep chromosome:SL3.0:9:63917158:63926005:1 gene:Solyc09g065550.3 transcript:Solyc09g065550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHQDSRRMYSWWWDSHISPKNSRWLQENLTDMDVKVKGMIKLINEDADSFARRAEMYYKKRPELMKFVEEFYRAYRALAERYDHATGVIRHAHRTMTDLGLGDDSPAGSDPQTPELSPMLSLFDLEELQKDALGVAASNTHDLKSNGGFTDESHSGMKRKVFKQRNNLFGDQGRFADGRVRKGLNFSEADEKVVQTNESNSLQTRALQDSERMVESEEILKLKKALAQVEAEKEAGLIQYQQTLEKLSHLESEVSRAREDSRGFGERASKAEVEAQTLRDALSALGAEKDANLKLYQKSLEMISELENTVSHAQQNSVTVDESASKAELEAQTLREDLANVAAEKDEALKKYMQSLEMIANLENKLQCAEEDAKKLTERAETAENEIEFLKQEILKFTGEKEAAALQLQQCLETISTLEHKLSCAKEEAQRLNAEINNGVAKLEDAEERCLLLEKSNKSLHSELESLTLKMGVQNQELTEKQKELGTLWTCVQEERLRFVEAETAFQTLQHLHAKAQEEMRALAPELQNRLQVLKDLETHNQTLLGEVQKVKEENKSLGEINVSSALSMRDMQNEISSLSEAKGKLELEVELRMDQRNALQQEIYCLKEELNDHNKKLLSIVTQVQAVGLDPECFESSVKELQHEKSNLGETCERERSEKIALLEKLQVFEELLEKNSILENSLSDLSAELEAVRGSLKELEDSCQSLLQEKSALLNDKVTLTSELQVTIENLEEVSAKNTVLENSLSDAHVELQSLKVKSKSLEESCEVLVKEKADLGREKENLFSQLQAAQIALHDLVGKYSGLEQRHSTLEKENELTLRAFEELRVSLDAKNCEHESFVHTTGVRLAGMKSEIHVLQEECELRKQDFDKLLEKAIESDILNITLQTSSQDLEGKGSSLLGEYQKLFEASTFFKTLISDLKQKNVEQKMEMTSLFDQVSILRNGIFKLLKALDIVPNHACQDRKDQVHLDHIFHRVEASKESFDKTEEENHQRAIQMNVLVTLLEQIKLEVEALDAEKTIISQELNFKSEQLLALQSEAAALKEVSEELKLKIMETGHKGELLEIENCNLAKALQLAEDELKTVKGMMHQLNFQVVASKNLMSEKDAELQRMEQKLYLSETEKAVLHQILMNEVAALKEGSEELKLKIREKDHRGELLVIENCDLAKALQLTEDELKTLKSMTDQLNVQVNVGKNLLSEKDTELQGMEQKLYLTETEKAVLHQILMNEVAALKEGSEELKLIIREKDHRGELLEIENCDLAKALHLAEDELKTLKSMMDQLSLQVNVGKNLLSEKDTELQGTEQKLYLTETEKAVLHQILMNEVAALKEGSEELKLKIREKDHRGELLEIENCNLAKALQLAEDELKTVKSMTDQLNLQVNVGKNLLSEKDTELQGMEQKLYLTETEKAVLHQIFKNLSRELIGSKIIMEDQEKKILKLCADRNQLRTENMHLFEASLLLQEGLQQSRGELEKLKMQEEALHSELQKQLNETETWKLEMDVLLGELQVSMFYHILYEQKIHELAEACQSFDVQINSKDKNIKLLKEKVLTLSTENEDLNTQLAAYRPAIFSLSQCISSLEKHSYLHGKPKRPDNEDTKDIVVAHTDDSTRLKDNENAVATDAFFDLHGLEIRVRAVEKTLVEMEQLVVKENVNMHSKLQAAMLQIEELKSESSRHRRNSAPKSEIFEAENGILTKDIMLDRVSESSSYRNGRREQAESNNLVFDLWDTTSPTVSKAKLDDTPNADNDIDFHKRVISVKKKCQHSTSDVLDEKYPGEGKLNISKRSTESIQEGNKRRVLQRLDSDVQKLTNLQITVVDLKRELEITEKGKRGKAVAESDTLKGQLNEAEAAIHKLFDLTGKLMKNMEDTFGSADMKSALESEEVGNVSRRRYSEQARGISEKIGRLQLEVQKLQFVLLKLNDESKGNSSRIPETKRRVLLRDYLYGGVRKSNNKRKKAPFCACIQPPTQGD >Solyc11g066640.1.1.1 pep chromosome:SL3.0:11:52705701:52707020:1 gene:Solyc11g066640.1 transcript:Solyc11g066640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKIESSRIIKPFYEHTPPSTTSHIPLSVFDKVTYEAQIAIIYAYHPPTPPNIAIELGLRKALVVYREWGGRLGEDEHGNRVILLNDEGVRFVEASASSTLDQAMPFKPSPSLLSLHPSLKDVKELVQVQLTRFTCGSLVVGFTAHHTVADGHSTSNFLVAWGQACRGLKVNPLPLHDRSIFTPRNSPLFEYQHKGVEYMSKSKKEHSLNEVHHISEDVVVHKVHFTVQFLANLKAKASSMNGNNKPYSTFESLLAHLWRVITKARGLSGFESTQIRISVNGRTRLNPKVPNEYFGNLVLWAFPTTKVKELLREPLPHATKLIHDAIAKVNNNYFRSFIDFANTKAKEEDLVPTADMNKYILCPNIEVDSWLRFPFYDLDFGTGCPYMFMPSYFPTEGMMFLIPSFVGDGSIDVFVPLFEDKLPLFKKICYSLDLLED >Solyc12g010720.1.1.1 pep chromosome:SL3.0:12:3641636:3641830:1 gene:Solyc12g010720.1 transcript:Solyc12g010720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAKRAYTLQVAGSRLPDCSHACGSCKPCRLVMVSFVCSSLEEAETCPVAYKCMCHNKSYPVP >Solyc03g112900.3.1 pep chromosome:SL3.0:3:64669109:64672611:1 gene:Solyc03g112900.3 transcript:Solyc03g112900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Iron-sulfur cluster assembly protein [Source:UniProtKB/TrEMBL;Acc:K4BKE3] MLKHVGNRILGLGQRSPVTRGVLTRLYHERVVDHYNNPRNVGSFDKNDPTVGTGLVGAPACGDVMKLQIKVDEQTGKITDACFKTFGCGSAIASSSVATEWVKGRQMEEVLSIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYEAKKAKFDGKAENASSEKAV >Solyc02g063000.3.1 pep chromosome:SL3.0:2:35564497:35566351:-1 gene:Solyc02g063000.3 transcript:Solyc02g063000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B6I8] MDSTQLHVAIFSSPGMGHLIPVLVLGNQLATHHNIKITILTITTNSSSPEIEFLKKKKNIEIIHVPSVDISHLIDSNTKVLTQLRLMVREALPGIRSVIASMNHRLDALIVDIFGTQILPIAQEFNIAKYVFHTTSAWALALFIYLQVLDQKTEGEYVDLKQPLEIPGCKALRPDDVVDPMMDRSDQQYHEYLKLTIEYTCFDGILINTWEDLEGETIKALRSNEKLQQGLKVPLPIYPIGPLRRTVEITERDEVIQWLDKQNYESILFVSFGSGGALSSQQMIELAWGLELSQQKFVWVVRPPSDGDPDNAYLKSNGSDTRGTFEYLPEGFLTRTKDMGLVVPMWANQVEILSHSSVGGFMSHCGWNSTVESLTNGVPMIAWPLHAEQKMNAAMLTEELGVAIRPAVLPTKKMVKREEIQGMVRILMDTKEGKSIREKAKKLKISAENALSEGGSSYNSMCELVKDIRTR >Solyc08g068005.1.1 pep chromosome:SL3.0:8:57134138:57135173:-1 gene:Solyc08g068005.1 transcript:Solyc08g068005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMNMSSTRSPQGQSSGEIRNIASVSSSLLPAFGTVMGEGTLKLKRFVIAPYDRRYSLANISGDIGGVLSMVISF >Solyc03g051780.2.1 pep chromosome:SL3.0:3:22781417:22793294:1 gene:Solyc03g051780.2 transcript:Solyc03g051780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNLHFLISILQSNHLFHSQIMFQLQLLLSILTLSFAAQSYSSTSQFSILQSNHHSKPNFFSISVTEFGAVGNGVKYDTIPIQKAIDACSTIVSKHHRPCHVIFPPGKYLTATIFVKSGVVLDIHQKATILGGSKLEDYPKVQSRWYVILAEDAVDIGIIGGGEINGQGLKFVERFDDKKNVMVSWNHTGACLGDECRPRLVGFIGCRNIKVSDVRLIEPAYWCLHVVRSDKTSICDVIIYGNFNSPNNDGIDIEDSNNTVITRCNINTGDDAICPKSSNGPVYNLTVTDCWIRTKSSAIKLGSASFYTFKNFLFDNITIVESHRGLALQIRDGGNVSDMIFSNINISTRYYHPSWWGRAEPIYVTTCPRDASSKAGSISNLLFVNITATSENGIFLSGSGGGVLSNLKFVNMNLTYKRWTKFPDGLVDYRPGCQELVKHQPAGFMMEHIDGLVVKDVVMKWSSDESMRWNNPLDFRPSTVNNISLLNFYSRAYEQQ >Solyc01g073730.3.1 pep chromosome:SL3.0:1:80982655:80987627:-1 gene:Solyc01g073730.3 transcript:Solyc01g073730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLSVSQETDPLGGDAKFTNIEKHRGVSLTAFLIQNSIEDQVSLLFANAAFYLQILPLASPSQLLDLVNLQASFSSRASLLSASISGQNITASHHNGSLSKMYSTSCETLSEDPSKDRAISDMLIDSFGRMHTYLRISLTERCNLRCHYCMPAEGVELTPSPQLLSREEIVRLASLFVSSGVDKIRLTGGEPTIRKDIEELCLELSSLKGLKTLAMTTNGITLGKKLPKLKDSGLNLVNISLDTLVPAKFEFMTRRKGHGRVMESIDAAVKLGYNPVKVNCVVMRGFNDDEICDFVDLTRERPINVRFIEFMPFDGNVWNVKKLVPYAEMLDKVVEKFTGLQRIQDHRTETAKNFRIDGHQGSVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPIRLGEGDDKLREIIGAAVKRKKASHAGMFDLAKTPNRPMIHIGG >Solyc01g014655.1.1 pep chromosome:SL3.0:1:14415252:14415612:1 gene:Solyc01g014655.1 transcript:Solyc01g014655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQAPKRFFDENLTAKIEDFGTAKLLKKHQTQTTTRICGTKWYSFRLVQNHACNCEEWAYDFYKRDELHLLVGADEEALERYQEI >Solyc05g016624.1.1 pep chromosome:SL3.0:5:17734171:17739776:-1 gene:Solyc05g016624.1 transcript:Solyc05g016624.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEDMQFMIRLEIFGANLKCRRVNKQRTIPNDQRSFHGYEDIEKGKKNFKGNLTEDEPYYDSSDYDSFQSDEEEHVSDDELEGGSLRGRNKSNKLEEAWIGLPNIFCLPDIRDFLLGNLTACYSACSMVLPDTSCMFSDFAFACVVVEWYWQEFCLWPSLPQLERLLYLKNLGFFEVSIDEEGVDQSKDLSQKVLPLII >Solyc09g089850.3.1 pep chromosome:SL3.0:9:69959391:69963424:1 gene:Solyc09g089850.3 transcript:Solyc09g089850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4CW11] MKDFAGTPGTLTGLFLRMGQCFFAAGAIASMVTSKGFFNVTAFCYLIASMALQVIWSFGLAFFDAYFLAKKKSFHNHVLLSLFVVGDWCTGLLSLAAAASSAGVTVLYFHDLGSCSFGEECTKFQLSVAFAFLSWISILISSLIMFWIWAAG >Solyc11g011260.1.1.1 pep chromosome:SL3.0:11:4303955:4305721:-1 gene:Solyc11g011260.1 transcript:Solyc11g011260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GAI description:DELLA protein GAI [Source:UniProtKB/Swiss-Prot;Acc:Q7Y1B6] MKRDRDRDREREKRAFSNGAVSSGKSKIWEEDEEEKPDAGMDELLAVLGYKVKSSDMADVAQKLEQLEMAMGTTMEDGITHLSTDTVHKNPSDMAGWVQSMLSSISTNFDMCNQENDVLVSGCGSSSSIIDFSQNHRTSTISDDDLRAIPGGAVFNSDSNKRHRSTTSSFSTTSSSMVTDSSATRPVVLVDSQETGVRLVHTLMACAEAVQQENLTLADQLVRHIGILAVSQSGAMRKVATYFAEALARRIYKIYPQDSMESSYTDVLQMHFYETCPYLKFAHFTANQAILEAFTGCNKVHVIDFSLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLAETIGVEFEFRGFVANSLADLDATILDIRPSETEAVAINSVFELHRLLSRPGAIEKVLNSIKQINPKIVTLVEQEANHNAGVFIDRFNEALHYYSTMFDSLESSGSSSSASPTGILPQPPVNNQDLVMSEVYLGRQICNVVACEGSDRVERHETLNQWRVRMNSSGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENDGCLMLGWHTRPLIATSAWKLLPDSGTGAGEVEL >Solyc12g017620.1.1.1 pep chromosome:SL3.0:12:6990894:6991268:-1 gene:Solyc12g017620.1 transcript:Solyc12g017620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLTVIENRCNHDNEIRFWVSPARPDKFLSIIRIEGEGGWKEVNSKNFIHADATILDDNDDDDGIEFVSSTLLMIYIDGVYTGYYFHPIQLVKYAKAICDINEDGLFVVQGIKPTFNFCRFK >Solyc01g105760.1.1.1 pep chromosome:SL3.0:1:93701585:93701842:-1 gene:Solyc01g105760.1 transcript:Solyc01g105760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQHYHVSSLLLLAFLNLFFIHGNITGAAARHLLETPVPEIPKPKLPKVLALPKLEIPTMPKPELPTIPKPEIPAVPKPQLPTVP >Solyc12g098640.2.1 pep chromosome:SL3.0:12:67062101:67067163:-1 gene:Solyc12g098640.2 transcript:Solyc12g098640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNKVNSAAPSLWLAQNPSKRWGEVFFLLYTPFWLTLCLGIVVPFKLYEDFTEWEYLLVGLISALPALIVPMIFVGKADRNLAWKDRYWVKASILEEELSITGLQFEGPIDKPLL >Solyc03g044860.1.1.1 pep chromosome:SL3.0:3:10721722:10722162:1 gene:Solyc03g044860.1 transcript:Solyc03g044860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICNSCESTSVATAKIILQDGRLQEFSYPIKAWYLLQKDPTIFICNSDEMEFGDKISAINAEEELQLGQLYFAMPLKRLKSRLGAEEMAALAVKASSALKRTSSSNKLFVFSQQKNCDRVTRNGDDGDSGRRRGKKTAKLSVIPE >Solyc03g112240.1.1.1 pep chromosome:SL3.0:3:64196324:64196719:-1 gene:Solyc03g112240.1 transcript:Solyc03g112240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISEQSFFNKITATTTTTTCLFFLLISFSTTTIIFSSGDREAAFVIPFFLAAAVVVAGFVVLAVRTTVVTWITVVVLLAFVGKRRRIFAKDGKKITSEVVVYVVNEVIREKGIVAISGVMILGLISMSLL >Solyc11g018590.1.1 pep chromosome:SL3.0:11:8765168:8766380:1 gene:Solyc11g018590.1 transcript:Solyc11g018590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIQIIFLVFLLLFVADCEEAKVYIVFTENPQPKEFHIKTLASVLGSEDAAREALIYSYKHVISGFAARLTPEQVSELAKKPGVLEIVPSRTYHLDGPKLK >Solyc01g009560.1.1 pep chromosome:SL3.0:1:3747484:3748390:-1 gene:Solyc01g009560.1 transcript:Solyc01g009560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCRDIEPLIVAKVIGEVVDSFNPSVKMNVTYNGTKQVFNGHELMPLVIASKPRVEIGGEDMRSAYTLVMIDPDVPGPSDPYLREHLHWIVTDIPGSTDASFGREIISYVNPKPVIGIHRYVFVLYKQNRGRQTVKPSVSRDHFNTRKFAVENGLGSPVAAVYFNAQRETAARRR >Solyc02g069640.3.1 pep chromosome:SL3.0:2:40041216:40075456:-1 gene:Solyc02g069640.3 transcript:Solyc02g069640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPSPSSGRFESSPSTSNNTPFLTKSISDASSSSPHSQSLASILNNPHAGKSDGFWWWSTSSSIPTPDFLPLSTLPKPGSETRVPDFQSYLSSISDPYARFHDIQQHARFESLEHQDDQNALVACLKEVPALYFKEDFELEDGSTFKAACPFRTTAENLVTQEKLSQYLDVVELHLVREISLRSNSFFEAQGQLEDLNSKIVQGCDRIRELKETIKLLDDNLVGSARKVQELNVQRSELISLQDKLNLILYVNQALSTLKLLVASADCAGALDVIDDLQHLLDGDELAGLHCFRHVRDQLAASIDSINRSVVALVWCGSSPASALVVKLDEEDNYNLRDRLLPFVIGLLRTAKLPAVLRIYHDTLTADMKTAIKMAVEELLRVLGAQPMDSDFVAGERAVDADGGSSSLASRLRSLSPECFVHLLKAVFLIVQAHLAQASEVKRAIEWILCHLDGHYAADSVAAAIALGAAASETAHETVDQVNSSLQLSVQRNSSKVSSAHGNGNEGITSSTLSRNFRADVLRENAEAVFAACDAAHGRWAKILGVRSPIHPRLRLQEFLNIYNITQEFVTATEKIGGRLGYSIRGTLQSQAKAFIDFQHESRMAKMRAILDQENWAEIDVPDEFQTIVTSLFCSESETRELADEVSADIAPSSPKMVLGSDGSPTAEARLQKISQNAEHTDSTPRSESTAQSNETNSRERGKSSARLLFFRGVGYHMVNCGLILVKMLSECIEMNNSLPGLSSEVVHRVVEILKLFNTRTCQLVLGAGAMQVSGLKSITSKHLALSSQVISFTYTIIPELNFFLTSFVFVKELKRILFLKVPETRKGLLILEVDRVAQDYKVHRDEIHSKLVQIMRERLLVHLRGLPQIVESWNRPENTDTQPSQFARSITKEVGLLQRVLSRTLHELDVQAIFRQVAIIFHSQISEAFSHLDISSEHAKKRGPCKCGTKPDSQIDRRSKKGTHSTSAMGSDPEKLISKADKLTKLSFTRWSIDWKSATGLYEQAANGFRLAKSYEKAKEAFEKASKGQEMLSSPWDAAKHMESAATMAKELGNWKEVADFYRRASELYNECGRPQPASDALGKGARALEDGAPDVAVQLYTEACAILEEDGKEQMAFDLYRDAARVYLKLEKYEDAATILISLALAADKCSATHSQCKAYLSAIIVYLYAHDFKQAEKCYNDCCQVEVFLNSDQGRCAGKLLSAYADGDVEDIKRVSQSSTVSNLDHTIVKIARKLPTGDVSALKNEAMKDNEDPLDEDDLT >Solyc03g120700.3.1 pep chromosome:SL3.0:3:70480467:70490982:1 gene:Solyc03g120700.3 transcript:Solyc03g120700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVTPKSPSPTLPADQNRLTSTPVAVSAGGVRNQDAELLFRTKPIAEIRNVEAATRKQIQDKSEELRQLVGNRYRDLIDSADSIVLMKSSCESISANIAAIHYGIIHSLSSTVAESPKSVVSSDPAKARIYGIACRVKYLVDTPENIWGCLDESMFLESSARYARAKHVHHSLHRNKDYKSVLSKFPLLQHQWQIVESFKFQISQRSRERLLDQALGLGIKAYADALAAVAVIDELDPKQVLTLFLDSRKLCISQKLNACSSVNATSSDVILVYCEALKIIQVTVGQVGELFLQVLNDMPLFYKTVLGSPPASQLFGGIPNPDEEVRLWNSFRDDLESLMVMLDRDFVSKACSDWLRNCGKEIMNKINGKYLIDVINCGKELASAETLVRETMENKKVLEGSLEWLKSVFGSEIELPWKRTRELVLGGDSDLWDEMFEDAFIRRMKAIIDKGFDELSGLVDVVASARAISGTPGEQVSFQAYLNRSLNGGGVWFMEPNGKKVTTIPGAKSQQPEENDFRSCLNAYFGDEVSRIRDAVDSCCESVLKDLLSFLESPKASLRLKDLAPYLQNKCYQSMSAILMELKSELDALSDNLQNKNSMDESVPSPAILVERSIFIGRLLFAFQKHSRHIPVILGSPRSWLSETRGAGSLKAPTLVRYSMPSVDSPTSDGPGNTMFDSPRRQSSLASAALFGVDDSSSPQLEELSKMTQDLCIRAYNMWISWVSDELSVILSQNLKQDDALLATITLRGWEETVVKQDQSNEGESEMKILLPSMPSLYITSFLFQACEEIQRVGGHVLDKPILKNFASRLLDKMIHIYGDFLTSQESQGSRVSEKGVLQVLLDLRFASDILSGGDCSANEESLKMPKVKHPFRRKQDVQLNKSVSEERVNGLISSFAQGLDPIDWLTYEPYLWENERQSYLRHAVLLGFFVQLNRMYTDTAQKLPTNSESNIMRCSAVPRFKYLPISAPALSSRGTTKASISASINDVSSRGPWKSYTNDELSRKVDIDENSSSGITSPFLKSFMQVGSKFGESTLKLGSILTDGQVGRFGDILPVQASGFHSFFTTARSE >Solyc01g110320.3.1 pep chromosome:SL3.0:1:96963720:96968424:-1 gene:Solyc01g110320.3 transcript:Solyc01g110320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVKRLVPLGVNYGISLSKLGGINNVAINVHAASLLVNRSQCKADKYNFKFYSSYSSKSSAHNKFGYRQMCQMVKLDGKRAFLVDTLAMARRLEAQGVPSKQAEAITSAITEVLNDSLENISNSFVSLAEMQKSDLVQEANLSKFKSLLQNSQNNYQSNIKCKPFDSLLGLFRTSSLPLSNLAINRDSKENHLSLLQHETEKFWNDIEKVRTELRYEIDKLTAGQRLDLNLERGSIRDELAKQSTGTTYLTNKLDREIHAMRAQLEAGKYEVIKYCIGSLVSISAVGLAVLRLYT >Solyc02g093000.3.1 pep chromosome:SL3.0:2:54595538:54601201:-1 gene:Solyc02g093000.3 transcript:Solyc02g093000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESTSSSPQLSAKPSDAEAPKPIASQNNSSAQNTSKTPASTISSWAKTLNFPQPVAPGQQGSTVGDAGTSSFSRFASGLGLSFTSKAFVTNDRAGGNAPTTQSGVFESITKGLIDTSLNAVKAVQVKARHAVSQNKRRYQEDGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHQGKYKVYNLCSERLYDASLFGGKVASFPFDDHNCPPIHLIKLFCLSAYSWLKEDILNVVVVHCKAGMARTGLMICSLLLFLKFFPTAEECINYYNQKRCIDGKALILPSQIRYVKYFERILTQFGGEAPPGRRCMLRGFRFHKCSSWIRPSITISSHSGILFSTKKHRKTKDLMPEDFWIRTQRKGIMVFALPGEPGLTELAGDFKIYFHDHQGDFYCWLNTSMMENRLILDVSELDGFEKRKLPSPGFKVEIVMVDYDGSVPLKPKADGASKGKDPRQGNISSSSEGTKDNLNKNKVSGGQNRGDDVFSDSEGEDGTPSSRRSQTRASQTAAAGVDSSSQKEQIANLTHNTEQLSLRNSDPKKGASEIKSETVERAAIPNLGSNDIKAIAADASVFSFGDDEDYESE >Solyc01g059760.2.1 pep chromosome:SL3.0:1:68283311:68288719:1 gene:Solyc01g059760.2 transcript:Solyc01g059760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREVEGSSKQALLIKVNGVILKFTIRTFALITGLNCVGVVEDFKFNTEEPNRLIVQYFCGDETIRRSDLFDRFNDKVWVDNDDDAIKFAILYFIHMFVYSGEKRSLRIPRIHFDLVESGRICGMPVVLQIWIYECMGKRQTNFARKISDRIPRILNWQTVGAQPRFKTLMKNTFNDGNREIKWKNVVPSLMEIAVLQLPPEGLHKSTEGVQTEPHRDIDEQVLSGQNSDDDFVDPPPPSMKVTGKRKKGQSVSPAKTVRKNDSNMTDQMEQTEKIDPVANQNVEKDSQIPSISVSHEQLMRNELSELRKEVREEFKDIRKLINDNFNIIMSILKDQKNNDNAGQGSQPFTSPILSENQNQDNTNNHNAAQGRQHFTKSVDSENQNQKSEGHESSNNGSEEVFQGDISDVADNQVDCDNSPVRNLITVDAGFSSSKSIIPSPSFVRPLVFESQHDFTHQHDNDEEDQFIFPTPIQSIVPLEGAAGHDAAIRNEVTKLAQLIPLKLTMYDYYKNRGLDRSVSQEENELFEIVFIDNIPQQTGGSLDCGIYMLAFAEWLSYGQGNSSGAFDIMFLRARYATLLWNYAKQKQDNGAISDYEAPPRHAMPQSVRVVSDPIEIQ >Solyc03g077920.1.1.1 pep chromosome:SL3.0:3:49957242:49959611:-1 gene:Solyc03g077920.1 transcript:Solyc03g077920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEQPSNPQDDYKAKETKPQLGERWPHGGFRGGGGWISSDRVTSTYDLVEQMHFLYVRVVKARDLPPNPVTGSCDPYVEVKLGNYKGKTKHFDKKVNPEWKQVFAFSKEKIQSSVIDVFVRDKEMVQRDDYLGKVVFDMNEVPTRVPPDSPLAPQWYRLEDRRGESKVRGEVMLAVWMGTQADEAFSEAWHADAALVHGEGVHSVRSKVYVSPKLWYLRVNIIESQDVESLDKTQPPQVFVKAQVGKQVLKTKVCQTRTTNPFWNEDLLFVAAEPFEEQLVLTVECKAGPSKDEIAGRLVLPLNTFEKRLDHRPVHSRWFNLERFGFGVLEGDRRHERKFSTRIHLRACLEGGYHVLDESTMYISDQRPTARQLWKQPVGILEVGILSAQGLVPIKAKDGRKTTDAYCVAKYGLKWVRTRTILDNLSPKWNEQYTWEVYDPCTVITLGVFDNGHLGAENSGKDSRIGKVRIRLSTLETDRIYTMSYPLLVLQPSGVKKMGELQLAFRFTCLSLANIIYLYGHPLLPKMHYLHPFTVNQVDSLRYQAMNIVAVRLGRAEPPLHKEVVEYMLDVDSHMWSMRRSKANFFRIVSLFSGLISMSKWLGEVCKWKNPITTVLVHLLFCILICYPELILPTMFLYMFLIGIWNHRSRPRQPQHMDTKLSWAEAVISDELDEEFDTFPTSKPENTVKMRYDRLRSVAGRIQTVIGDMATQGERFQALLSWRDPRATSLFIVFCLIAAVILYVTPFKIIALLAALLYLRHPKFRSKMPSPPCNFFRRLPARADSML >Solyc06g005280.1.1.1 pep chromosome:SL3.0:6:289811:291607:1 gene:Solyc06g005280.1 transcript:Solyc06g005280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4C312] MKTPFFSSSKSSSSSSSTSFCESLMEETIQNSQSIINKWDLNASSISTSTSYNRVANLFRDNPLEAKHLLESVNDLQHAMQFVIKDKSTSKLLVRAHNLIQIAIKRLQKEFYTILSGSSYFLDHETMSSSRTSKSSMEDEVSESVMADLKAIADCMIAAGYGRECVKLYKVHRKSVIDQTLFYLGIEKLNSYQVQKMDWELMEAKIKNWLSSVKIAVNALFRGERILCNYVFSSSVNIRDSCFSEISNDGALTLFLFPEMVMKFKKLSPEKMFRVLDMYEILCELWVELESIFTFDSMAVVKAQAMASLSKLGDAIRAMLSEFEIAVQKDSSKVVVGGGIHPLTRYVMNYLVFLGDYSGAISDIIVDYSPMSIQMLSESCYLSPTSGDGDSPSSPIVVRLTWLVLVLLCKLDGKAQLYKDVGLSYLFLANNLNYVVLKVRESNLKLLLGSEWISKHEMKVKEYMSKYERTGWSKVVTSIPENLTIEISPSEVKEYFIKFNSSFEEVYRKQTSWVIPDPKLRDHIKISLASKIISIYQAFYEMYQGELDIGTESIVRYAPDDLQNYLSDLFLGASFSDSSMGWSGSYSMSVSTSISQIR >Solyc04g064830.3.1 pep chromosome:SL3.0:4:56013410:56015329:1 gene:Solyc04g064830.3 transcript:Solyc04g064830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSSPELPISRRFFKVMVPGFHSKLTIPPAFFPKLKGDNLEKGTIIKGKDIWNVEINRSEKGIISFDKGWEEFVQNHDLRVGDFAVFEHLGDMRFSVTLLDSTGCDKKLLEKSEVVPSQEKKKKVKSAQPGIEKRKVEGDQPHYQCMKGSSEFTARIKEYNVRKRSPYMHIPTEFCQSNALFQNTTMTLTGPSGKSCPVSLRICNGGKTLYACITRGWHDFFSSNKLKVGDVCLFQLDRSKSDSNSIAIDVRVL >Solyc01g090030.2.1 pep chromosome:SL3.0:1:83597511:83600500:1 gene:Solyc01g090030.2 transcript:Solyc01g090030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVKAYFNRNWTREDLMNTGEVSAYAYRSLTMVYLSLFCFMLSFTLGSLLHSINREVGGLFTVLSSVASLLWLYFASSLRERLRLSLMLYAACTLGASFCLVTKNLFKVHPPFIVDLLEGSTSSFGVIWYGSSKYTRERREIYKACLTFSFSLICSSIFFHGIDMLNTHTVHWAFKVNTAQALLMVYLVIYSQDLLYNADIGEINSVDRALAFFFHSPGIVVHAARVCLTAENEQDREDYYYTLGTIE >Solyc09g092590.2.1 pep chromosome:SL3.0:9:72090647:72092587:-1 gene:Solyc09g092590.2 transcript:Solyc09g092590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFLLLLVAFLIILIFLLNKTKISRNTNLPPGPLGLPIIGNLHQYDSVTPHIYFWKLSKKYGKIFSLKFGSTPIVVISSAKLAKEVMKTQDLAFCSRPSTLCQQKLSYNSNDIVFSPYNDYWREIRKICLIHLFSLKKVQSFSPIRVDEVSRMIKKISQQAAASQITNLSKIVISLTTTIICRVAFGIRFDEEAHEKRRFDELMNESQDILASFFVSDFFPSLSWIDKFTGMKNKLEKNFKCLDEFYEELIEQHHNPNRPKSMEGDMIDILLQLRKEQSTQIHLTLDNIKAILMNLFLAGTDTSAITVIWAMTALMKNPKAMKKVQEEVRKTMGKKGIVNEDDTQNMSYLKAVIKETFRLYPPAPILVARETMQNSILAGYNIPPKTTIRVNYWAIARDPEYWENSEEFIPERFLNNNIDFKGQDYEFIPFGAGRRGCPGLALGVATVELILSNLLYAFDWELPFGMNIEDIDTESLRGITMHKKNDLCIVPKNYM >Solyc01g096930.3.1 pep chromosome:SL3.0:1:87807414:87814182:1 gene:Solyc01g096930.3 transcript:Solyc01g096930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4B081] MENNSPVTDSIMMKSSSIRSMSSNRSRASGGGSVREVNLGEFGSKPVRRGSRGADSEGYGTSQKEISDEDSRIVYLSDPERTNEKFEFSGNSIRTAKYSIVTFLPRNLFEQFHRVAYIYFLVIAILNQLPMLAVFGRGASILPLAFVLLVTAVKDAYEDYRRHRSDRIENNRLALVLLDGQFQEKKWKEIKVGEIIKISSSGTIPCDMVLLSTSDPTGVAYIQTINLDGESNLKTRYAKQETQMKMPEKDSISGMIKCEKPNRNIYGFHANMEIDGKRVSLGPSNIILRGCELKNTSWAIGVAVYAGRETKAMLNNSGAPSKRSRLETRMNREIIILSFFLIALCTLVSVCAGVWLRHHKDELNTIPFYRKLDFSEDEVEDYNYYGWGLEIVFTFLMSVIVYQIMIPISLYISMELVRVGQAYFMIQDNRMYDETSNARFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASIWGVDYGSGKSDSQEEVAGFSAQDGQALRPKMKVKVDPVLLNLSKSGKHSDEGKHVHDFFLALAACNTIVPLAVETSDPAVKLIDYQGESPDEQALVYAAAAYGFMLIERTSGHIVIDVQGERKRFNVLGLHEFDSDRKRMSVILGCPDNTVKVFVKGADTTMFGIIDKSLSLNVVRATELHLHSYSSMGLRTLVVGMREMSASEYEEWQSSYEAANTSVIGRAALLRKVAGNVEKNLTILGASGIEDKLQEGVPEAIESLRVAGIKVWVLTGDKQETAISIGYSSKLLTNSMTQIVINNKSKESCKRSLEAALTRCKSLTPQNAEENIVAGASAIALIIDGTSLVYVLDGELEELLFQLASYCSVVLCCRVAPLQKAGIVALIKNRADDMTLAIGDGANDVSMIQMADVGIGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAILVFVLFWYALFTAFTLTTALTDWSSMLYSIIYTAVPTIVVGILDKDLSRVTLMKYPQLYGAGQRQESYNKKLFWVTMIDTLWQSIVAFFIPVLAYWESEIDISSIGDLWTLAVVILVNVHLAMDVIRWSWITHAAIWGSIVATFICVIVIDSLTFLPGYWAIFHAAAEAKFWFCLLSITIAALAPRFVVKAFIQHARPRDIQIAREGEKFRNLRDSQTAEIEMNPIVDPPRR >Solyc01g017187.1.1 pep chromosome:SL3.0:1:23807224:23807619:-1 gene:Solyc01g017187.1 transcript:Solyc01g017187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKELNEEPYEVKISYTSGSKGDLSVNFSTITPKKQNSALYKLPDGGRIKDLPVVRYHIVRGTLDAVGVKDRQQCCSSTL >Solyc01g089890.3.1.1 pep chromosome:SL3.0:1:83476068:83476834:-1 gene:Solyc01g089890.3 transcript:Solyc01g089890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTHINMASYGAVVQRPSATSANHPSQTQPDESKQLPNFEKNQPFSFQVTCPVSIPTTPESAATRIIKNLGKFGLYYAEFVWIVLFIALIPKRKVSVVYLVAFKEVAVLYLILLRAVANSVLFRWLIAFDTRLIVLPLLAIGTCVALILTNAGIHLVITLAATLPIILAHAVLWIAEDSSFNDETNQESVPFVHTV >Solyc09g065710.1.1.1 pep chromosome:SL3.0:9:64196727:64199543:1 gene:Solyc09g065710.1 transcript:Solyc09g065710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANAILQIMSSFSSSPPTLAMYSQKVPTFKISPNSQKSAIIPSLKQICRQDNFKESVFTLSNLIETSAFEKALIQGQQIHAHVLKLGLSGDDAVFLNTKIVFMYGKCGSIGDAQKVFDRMTKRTVFTWNAMIGACVVNGVPIRAIQLYRDMRFLGVVLDAHTLSSTLKATSQLEILYCGSEIHGVTIKLGLISNVFVVNSLVTMYTKCNDIRAASLLFNGMSEKEDAVSWNSMISAYTINRMNREALSLFIEMLNASVEPTTYTFVAAIQACEETNFGKFGIEIHAVVMKLGYSFDTYVVNALLMMYIKNNRLDEAAKIFFHMQEKNNISWNSMISGYVQNGLYDEANNLFHEMKNAGQKPDHVSLMSMLVASGRQGNLLIGMEIHAFSLRNDLDSDLQVGNTLVDMYAKCGKLDYMDYVFGRMLHRDSVSWTTIIAAYAQNSSPWKAVQLFREVLAEGNNVDALMIGSVLLACTELRCNLLAKEIHCYVIKRGIYDPFMQKTLVSVYGDCGNVDYANSIFRLSEVKDVVSFTSMMCSYVQNGLANEALGLMLCMNEMAIEADFVAVLSMLTAAADLSSLRKGKEIHGFLVRKDLLLQDSIKSSLIDMYASCGTLENSYKVFNYLKSKDPVCWTSMINAFGLHGCGRKAIDIFMRMEKENIHPDHITFLAVLRACSHAALIEDGKRIFKLMQSKYALEPWPEHYACFVDLLGRANHLEEAFQIVKTMNLEDIPAVWCALLGACQVYANKELGEIAATKLLELEPKNPGNYVLVSNLYAATNRWDDVEEVRVTMKGKGLNKDPACSWIEVGDKVHTFVAQDKSHPECDKIYEKLAHLTEKLEKEAGYVAQTKYVLHKVEEKEKVKLLKGHSERLAIAYSLLASTDRSPIRISKNLRVCSDCHTFSKLASKFLEREIIVRDAKRFHHFRDGICSCGDFW >Solyc02g089100.3.1 pep chromosome:SL3.0:2:51633466:51637731:1 gene:Solyc02g089100.3 transcript:Solyc02g089100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVRKGPPKHQNKVAWKPNAGIKINETEVGGKFRPLSEITGVCLRCRDQIDWKRKYGKYKAITEPAKCQKCSKRNVRQAYHNLCNGCAKEHKVCAKCSCRVGQVVGRDVSEVEAEKKALEEAIKNARERDRRSLLRAMNKGKSQSSEKNLSQDDMKVGELNTASSLEEYAKVNRDDDEDGELNTASSLEEYAKVNRDDDEDDDDEEDEGQVI >Solyc04g054655.1.1 pep chromosome:SL3.0:4:52880138:52883078:-1 gene:Solyc04g054655.1 transcript:Solyc04g054655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKQGNMDVHSVAQQMAPHQFDINNWINPFSSTIQNQDWMDKGKRVANSLAITHIPLPSLCQQKNMMVDVHANSLQIFGQSQSQRQNIMVDVHRNPTQTFGQPQSQQQNMEVDILQNPTQVLGQAHSQQQNMVVGVQKNPTFGQSQIHQQDMEVDVQQNSTQTFGQLHNQQPNMLVGLQQKSTETFGLSEEQLTFGESSDNSLTKFWQNNCASTRYDSSPLVNMGTQLNSPSQLGLEYDHSNWNINLDNNNNINRIIQSNGQFSIQSDAIASNVNELNFPMEENTMANNEYFAETIHENDAWEWDDVLLNEAFGGDDF >Solyc11g006593.1.1 pep chromosome:SL3.0:11:1219714:1220495:1 gene:Solyc11g006593.1 transcript:Solyc11g006593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVFKYGKIFSLKLASVPIVVVTSPKLAKEVLKTQDLVFFRTSLVGQQKLSYNGHDIAFAPYNDYWREMRKICVLHLFSLKKVQLFSLIREDEVSRMIKKIYQRAFNSQVTNLSNLMISLNSTIICRVAFGVRFDEEAHE >Solyc05g015535.1.1 pep chromosome:SL3.0:5:11397915:11401743:1 gene:Solyc05g015535.1 transcript:Solyc05g015535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFTGTTLGKLLLGVVYKGLDSTSVILFKKLNKLGFQDPQRHSKLILLILSKHYSEINVNMSSRILNNTWRSMLYTQHQHLILLLWPVSWKSKKYDCT >Solyc04g016240.3.1 pep chromosome:SL3.0:4:7033296:7043257:-1 gene:Solyc04g016240.3 transcript:Solyc04g016240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear pore protein [Source:UniProtKB/TrEMBL;Acc:K4BQC4] MANDVDMSGWSELLHSSSKLLEQAAPSAQFPPLQRNLDQLEALTKKLKAKTLRTEAPTQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATTVEEYLQQIHEMAMVSAVQEAQKDNLKNFNDYMMKVLENDWKKEKRDFLQSLSRISTLPRTNISESSPLRGRQGQIASLTYSPQISSGPSSMEPLALTNRPIVEKKAAAYGEVVKNLTSARERGLPFKPATAFKCALESLGLNASGGKSVGIQKIWHLLSSLMGEDSAVQRNVSKKMSLVIGARRHLEWGHEKYIMETIQAHPAQAALGGAVGNLQRIRAFLRIRLRDYGVLDFDAADARRQPPVDTTWQQIYFCMRTGYFNEAREISQQSRMSHQFAPLLTEWISTGGMVSAEMAAVASEECEKMFRLGDRGGRPTYDKKKLLLYTIISGSRRQIDRFLREFPTLFSTIEDFLWFQLSAVRESPARSSAVLSEGLAPYTLDDLQAYLNKFESSHYTKNGKDPLVYPYVLLLSIQLLPAVLYLSKDMGDEGYNVDAVHMAIVLADYGVLSEGTWVGQKFGVMDAFAEASSIIRQYGSFYLRHGDLLMSLEYYVQAAAAVGGGQLSWSGRGNIDQQRQRTSMLKQLLTELLSRDGGIDILLGPRGTGEEGQLGRFLTDEKTRQQFLLEAARQYQDAGLYDKSIEIQKRVGAFSAALDTINKCLSDAICALARGRLDGESQTSGLILSGNEILEMFKYYPEISPQERENVLAQQIVLRQLEAVLSIHKLARLGNHLDAIKEVAKLPFLPLDPRTPDFTTDIFQNLSHHVQACVPDLLKVALHCLDNVKDTDGSLRALRAKIANFLASNLNQNWPRDLYDKVARSL >Solyc02g069790.3.1 pep chromosome:SL3.0:2:40187870:40192242:1 gene:Solyc02g069790.3 transcript:Solyc02g069790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGLYGDLPPPSSSGDDDKPTNATTANVWSSSAKMAPSALRKPFAPPQTLLRPQPKPKPQPSAAPKPNPSIPLDENTNPNPTFATTSFQPALVAVTSSVLEEYDPARPNDYEDYRREKKRKQMEAEVRRELEERERKEREREREEKEKREKERELNISGEEAWRRRAAMSGGGGGGGSAPPRSPSPPSGNEFSIGRSESGGLGLGAEGKMTAAQRMMAKMGWKEGQGLGKLEQGITTPLMAKKTDKRGGVIVASEAKQQQQQQQQQQQQQQAPEKKVKSVNFNMPPTRVVLLRNMVGPGEVDDDLEGEVAEECSKFGTVTRVLIFEITETNFPHEEAVRIFVQFERAEHATKALIELEGRFFGGRIVHACFYDEERFGNNELAPMPGEIPGF >Solyc12g056705.1.1 pep chromosome:SL3.0:12:63748854:63749369:-1 gene:Solyc12g056705.1 transcript:Solyc12g056705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTIIKLIYVLTYFYRLEVTIGDIQDDVGNSLVHKIGTEHIVFVHCNVAIEPDIQNAVDATLAKFGEPISSILDVIKNVFNVNVVDSFLCAKHVARVMISTKTNGVLYLATDDSKYVSGLNLIIHVLQQSVPI >Solyc03g083005.1.1 pep chromosome:SL3.0:3:54273176:54274412:1 gene:Solyc03g083005.1 transcript:Solyc03g083005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTVYDSFQDLSCRKNSKRGTVMVQIIVCDALTGELSCVESDNKDHTVKGCKDGDHMRVNCQPVPL >Solyc05g053790.1.1.1 pep chromosome:SL3.0:5:64663123:64664424:-1 gene:Solyc05g053790.1 transcript:Solyc05g053790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSIDTKKSIKLDRNTYIHKVNSSTKLIVAFIKLFYCIAILISALLIGLFILNHILFISTDSNQHGRRLFSFGGGAGWEKKVRHSSIPKKINGKVVLVTGAAGFVGSHCGLALKKRGDGVIGIDNFNSYYDPSLKRARQELMSKHEIFIVDGDINDIDLVNKLFDIVRFTHVLHLAAQAGVRYAMENPLSYVHSNVNGFVNLLEIAKSANPQPAIVWASSSSVYGLNTKVPFSENDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDMIQGKPIKVYVSDKEVARDFTYIDDVVKGCLGAIDTAEKSTGSGGKKRGPAQLRVYNLGNTSPVSVKKLVAILENLLNIKAKKNLIRMPRNGDVPFTHANVSLAYRDFGYKPTTNLSSGLRKFVKWYLSYYGIQARIK >Solyc06g066830.3.1 pep chromosome:SL3.0:6:42144081:42166415:-1 gene:Solyc06g066830.3 transcript:Solyc06g066830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMWDNTILQFHYLKAWAFLILTSLIKLKNSLNFYGLKEILLFVKGLEILTKDGEWIIVDPTPNTFTVIIGDSLHAWTNGRMHAPFHRVMMRGNEARYSIVGYIIKAPKELVDEDHPLLFKPFDHNEFLAFGYTEEGMKCEFSLKAYCGLPKIDFSHEDLKPGTLVWNQVKSQVHKALVEYVWALMMLTFRVKLMNSLKFYGPKEILLSELDQTVRRMVLESLGLEKYMDEHMNSTNFVLQLMKYNTPQSNTETEIGVSAHTDKSIATILYQNQINGLQVMNKNGQWINVEPTQDTFTVMIGDSLHAWTNGRMHAPYHRVMMRGNKARYSVGLFSVPKGDYTIKAPKEMLPKIDFSHEDLKPDTLVWNQVKSQVHKALVEYGCFEASFDKIPIHLRKSIFESLQELFDLPLQTKLKNISKKPFHGYVGQYPQVPLYESMGIDDANIPHKAEKFTQILKTIQSYSEQLSELDQTIRRMIVESLGVEKYMDEHMNSTSYLLRVMKYKGPQSSETKVGLNAHTDKNIVTILYQNEVSGLEVLTKDGKWINVDPTPDTFTVMIGDSLYAWANGRLHSPYHRVMMRGNEARYSVGLFSIPKAGYTIKAPEELVDEEHSLLFKPFDHVEFLDFYYTEEGQRCASALKTYCGV >Solyc02g091345.1.1 pep chromosome:SL3.0:2:53309573:53310953:-1 gene:Solyc02g091345.1 transcript:Solyc02g091345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSPLITRIGSSSIRSLSNFRTRCLKQLHMARPPILALAIPSDTGRVLSIQSHTVQVYMIKLLPDAQNRYKPSALVTKDRIISTLYRHELVRIINQTTC >Solyc10g011740.3.1 pep chromosome:SL3.0:10:3970344:3974153:-1 gene:Solyc10g011740.3 transcript:Solyc10g011740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC28 [Source:UniProtKB/TrEMBL;Acc:K4CYF2] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYESTARSWTQKYAMG >Solyc04g008240.3.1 pep chromosome:SL3.0:4:1918135:1925355:1 gene:Solyc04g008240.3 transcript:Solyc04g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGNAAVAVAVAVPEKKHSNGGGGEAVAVPRQHQHQQQWFHPQQVDERDGFISWLRGEFAASNAIIDALCHHLRLVGEPGEYDGVIGCVQQRRANWNSVLHMQQYHSVAEVIYSLHQVEWMKQQKGFDGGVNKVGKRNGSKGGGGGGWKSEGLKDGKESQGQNFSLDAHSKTNGVEKIDVVEEKQGDKKELAAKPEANSSVKGSVCTEAGDSQGEVDKTDDKRDSNSEGSSNVESESHSFQIPTEKQNVVPKTFVATEIYDGKPVNVVDGMKLYEELLSSSEVSKLVTLVNDLRAAGRRGQLPAQAFIVSKRPMKGHGREMVQLGLPIVDAPPEEESAISTYKDRKTEAIPGLLQDVIDQLSAMQALSVKPDACVIDIFNEGDHSQPHLWPYWYGRPISTLFLTDCEMTFGKVIGVDHPGDYRGSLKLSLAPGSVLVMQGRSTEFAKYAIPSIRKQRMLVTFTKLQLRRIKSGDSQRFPSSAGGPVSQWVPPSRSSNHIRRPFGPKHYGSMPATGVLPIPGVRPQFAPANMQPIFVPATVAPAMPFPAPVALPPASAGWAVPPIRHPPPRLPLPGTGVFLPPGSGTSSTDNIPAENTGPLSDSTVSQKVNSDSSEVQTQDCNGKADVSDAEKAVACEEQH >Solyc09g060023.1.1 pep chromosome:SL3.0:9:57668515:57668821:1 gene:Solyc09g060023.1 transcript:Solyc09g060023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVATKKQGKHFLVHGERDGCWRCYKVKSLLEDAGQKVTALDLAASGIDLRKLEQLNTLHESLPREEKVILVGHNLGGMNL >Solyc11g013840.2.1 pep chromosome:SL3.0:11:7260376:7262831:-1 gene:Solyc11g013840.2 transcript:Solyc11g013840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHVKAEVAPAILLVVDFGGWYKLDSKVSNESSSDMIHHTQVSLLKDVIVPYTHLLPRLPLSENQKREILLYFKGAKHRHRGGIVREKLWDLLINEPRVVMEEGFPNATGKEQSIKGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGMVDYSEFAVFVSVSDALQPSWLVNHLRSYSYEQKDRFRRNMAIVQPIFEYENGQPGGIGPISPNGAINYIWRKVHQKLPMIKEAIIREKRKPPGVSVPLRCHCT >Solyc01g094780.3.1 pep chromosome:SL3.0:1:86064158:86067009:-1 gene:Solyc01g094780.3 transcript:Solyc01g094780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQLRRFSYTICFLLLLITTGTLRFHRFVEGLQRRIHVSDDLNGELDNEEGEELKQWGEKKPPNSESAPPSNDVEKRSPSDIQSEMMRRVLGPVFGFVKLRLGTRRTPEMVTHVAKRWVNLARSEGMETKFIGVDWTTIMFTMEKGRDTTELKKFLLEQEEAYEINIGDQLFRRPGDPPFDEVLEIEEEKSRHSEL >Solyc08g066840.3.1 pep chromosome:SL3.0:8:55775654:55777768:-1 gene:Solyc08g066840.3 transcript:Solyc08g066840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIAVGSSREAIQPDCIQALIVEFICTFLFVFAGVGSAMAANKLNGDPLVSLFFVAMAHALVVAVTISAGFRISGGHLNPAVTLGLCMGGHITVFRSILYWIDQLLASVAACALLNYLTDGMITPVHTLANGMSYGQGLIMEVILTFSLLFTVYTTLVDPKKGILEGMGPLLTGLVVGANIMAGGPFSGASMNPARSFGPAFVSGIWTDHWVYWIGPFIGGGLAGFICENFFIVRSHVPLPNEETF >Solyc07g004993.1.1 pep chromosome:SL3.0:7:4477:5120:1 gene:Solyc07g004993.1 transcript:Solyc07g004993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFVDVRQDVVYAYGWPSRLFQPIYKVKRASKRAYASFKQFSCAITHHFLGDHDSDVKNTKFFRGRPSRRCLCIRLSITAFPTHLEDSDVKNAKFVRGRPSRRSLCIWFAITDFLTHLEGQTSPEANSDVKNAKFVRGRPSRRCLCIRLSITAFPNHLEGQMSPK >Solyc07g018130.2.1 pep chromosome:SL3.0:7:9213744:9219297:-1 gene:Solyc07g018130.2 transcript:Solyc07g018130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKYGLFQYADGIDKLLMLLGSLGSIGDGLMTPLNMIILSSLIDDFGTADDSFSDKIVDEYALKLLYVAVGVGVSAFIEGFCWTRTAERQTSRIRKEYLKSVLRQEVGFLEKQDASSSTFQVISTISTDTHIIQDVIAEKIATCLAHLSAFVCGLIVAFFLSWRLALVSFPFSLGFVIPGVAFGSLLEKLAMKMKDTYGIAGSIVEQAISSIRTVYSYVGESQTVSRYSRALEESMKLGLKQGFTKGLLIGSMGMVYVAWSFESWAGSLLVANRGESGGRVFISAVSLVLGGLSCMAALPNLSIMIEAMAAASKIFELINRTPEIDSEDTKGRVLAYVRGDIEFKEVTFSYPARPEVQVLQNISLKVKSGKTVGIVGGSGSGKSTIISLLERFYDPVKGDIFLDGHKIKRLKLQWLRSQMGLVNQEPALFATSIKENILFGNEGASLEMVVEAAKASNAHEFIVSLPNGYNTHVRPKLINLLLFLLTSLKLVLIEFQVGQLGFQLSGGQKQRIAIARALIKEPRILLLDEATSALDAESERLVQEAIDQVSQGRTTIVVAHRLTTIRKVDNIIVLQSGIIVETGSHDKLMQISEGEGGVYFNMVKLQQSTSRNTTDSPYHYKEATSYLRRKYVNTPKSPFIARSSWQNSPGNPPFSPAISTTYVPSIQTYSFCDSDYEYSEMSNSTHQRPSTWRLFHMNAPEWNRALLGCLGAAMFGALQPAFAFCLGSVVSTYLINDSSKLKSEAKLYSLTFLTIGIISFFANLIQHYNFAVMGERLIKRLREEMLTSLLTFEVGWYDRDENTSAVICSKLSTDASMVRSLVGDRMSLLVQVLVSASIAFGLGLIISWRIAIVLISVQPFTITSFYSRSVVMKRMSETSQKAQNEGNQLASEAVINYRTITAFSSQDKMLSLYAETQKGPNKENVKQSWLSGIVLFFSLFLTAASVSLTFWYGGRLMKKNLVSAKHLFQVFFILLSTGKDIADAGSMSSDLARGGSAISSVFKILDMKSEIPPEDPQGIQVKNPIKGKIELKHVYFSYPTRPEQVIFHDMNLKVDAGKTVALVGSSGSGKSTIIGLIERFYDPTKGLVLIDDRDVKIYNLRSLRSQIALVSQEPTLFADTIRQNIAYGQEEATDSEIKKAAILANAHEFISSMKDGYETYCGERGVQLSGGQKQRIALARAIVRNPAILLLDEATSALDSVSENLVQEALEKIMVGRTCVVVAHRLSTIRKAGTIVVINNGKVVEQGSHLQLLDHGHNGAYFSLMKLQLGHTP >Solyc01g111075.1.1 pep chromosome:SL3.0:1:97378638:97379463:-1 gene:Solyc01g111075.1 transcript:Solyc01g111075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Snakin-2 [Source:UniProtKB/TrEMBL;Acc:E5KBY0] MAISKALFASLLLSLLLLEQVQSIQTDQVSSNAISEGADSYKKIDCGGACAARCRLSSRPRLCHRACGTCCARCNCVPPGTSGNTETCPCYASLTTHGNKRKCP >Solyc03g119100.2.1 pep chromosome:SL3.0:3:69283358:69285003:-1 gene:Solyc03g119100.2 transcript:Solyc03g119100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVDPLVVGRVIGDVVDMFVPSVTMSVHYANKHVNNGCDIKPSIATEPPKIAIGGQPDEFYTLVMTDPDAPSPSEPTMREWVHWIVTDIPGCSNVGRGKEVLGYVGPRPPVGIHRYILVLFRQNAPMQEIFQAPVARAHFRTRMFAHQLDLGVPVATVYFNAHKEPANRKR >Solyc01g009530.1.1 pep chromosome:SL3.0:1:3718383:3719639:1 gene:Solyc01g009530.1 transcript:Solyc01g009530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANFNSTQVQNPSNMGYTENMSYLHRAWDHNPLTALKLICNLRGVCSTGKSDKGSYYTAALWLNAKTEVERLKEKASIDKTILLCETIARKVFPRELCCEYEGIEDAHYAYRVRDRFRKQVLVPLRAALELPEFAYSIVDNLSIKGKLKNCLTVCDVSGSMTGTPMEVFVALGVLVSELSVEPWKGKLIMFSNNPTLQIVEGESLISKVEFVR >Solyc07g049260.3.1 pep chromosome:SL3.0:7:59654969:59658850:-1 gene:Solyc07g049260.3 transcript:Solyc07g049260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQANLAADAQREELVNTFCEITSASKPEALFFLESHNFDLDAAVSTFFENNTFNSASAAAVDDGDQAPIHASAATHVAAAQGRSPSRSRSPSPPRPRNPPTSSRGAAGRRTGGIHSFSDLNRRPVTGSGSDSDEPQEYYTGGEKSGMLVQDPSKANDVNSIFDQARQHATVEGPPASSGSRSFIGTARRLTGETVSAAPQPPESVTHTITFWTNGFTIDDGPLRRFDDPENAPFLESIRKSECPKELEPEDRRTSVRVNLTRREEDCPVPEKRRALFQGVGRTLGSTSNAEQVDSTATISSFTAAPSPSVGLVVDQSQPSTSIQLRLADGTRMVSRFNYQHTIRDIRGFIDASRPGGSRNYQLQTVGFPPKQLSDLDQTVEQAGLANSVVIQKL >Solyc08g044370.2.1 pep chromosome:SL3.0:8:21363781:21366844:1 gene:Solyc08g044370.2 transcript:Solyc08g044370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRKCVGQGQIHRCCMSREVEASSKQALLIKVNGVILKFTIRTFALITGLNCVGVVEDFKFNTEEPNRLIVQYFGGDETIRRSDLFDRFNDKVWVDNDDDAIKFAILYFIHMFVYSGEKRSLRIPRIHFDLVESGRYMHYPWGIKAFEWLLQSINKVLTTDGQYYRICGMPVVLQIWIYECMGKRQTKFARKISDHILRILNWQTVGAQPRFKTLMKNTFNDGNREIKWKNVVPSLMEITVLQLPPEGLHKPTEGVQTEPHRGIDEQVLSGQNSDDDFVNPPPPSMKVTGKRKKGQSVSPAKRVRKNDSNMTDQMEQTEKIDPVANQNVEKVGIRKVVRKKKNVF >Solyc02g092300.1.1.1 pep chromosome:SL3.0:2:54055873:54056373:-1 gene:Solyc02g092300.1 transcript:Solyc02g092300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSKISLTRLFSRIRAPSIAYTLCTATETRTQKLERIADQLLDLNKLEKHDYSILFRHKMGLNRYGPAVSGLGSGSSSSGPGAAAADAKVEEKTIFDVKLDKFDAAAKIKVIKEIRSFTDLGLKEAKELVEKAPAVVKKGVTKDEANAIIEKLKAIGATAVLE >Solyc07g055860.3.1 pep chromosome:SL3.0:7:63903788:63914375:-1 gene:Solyc07g055860.3 transcript:Solyc07g055860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGMEAENSNASRAEELKQLANEAFKGHKYSQAIDLYTQAIELNGENAVYYANRAFAHSKLEEYGSAIQDGTRAIEIDPRYSKGYYRRGAAYLAMGKFKDALKDFQQVKKLCPNDPDATKKLKECEKAVMKLKFEEAISVPESQRRSVADSIDYRSVGSGPGSSYVPTKTTAVSAAAALMGVLVVYMGTKAATMVAAAASAALLVVLITFLWGRCSDGFFTKSRTLELEVEPQYAGARIEGDVVTLDFVKKMLDDFKNQKNLHKRYAYQIVLQTREMLRALPSLVDIVVPEGKHFTVCGDVHGQFYDLLNIFELNGLPSEDNPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIHLARGNHESKSMNKIYGFEGEVRSKLSEIFVELFAEVFCCLPLAHVINEKVFVVHGGLFSVDGVKLSDIRAIDRFCEPPEEGLMCELLWSDPQPQPGRGPSKRGVGLSFGGDVTKRFLQENNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDMKPNIVTFSAVPHPDVKPMAYANNFLRMFS >Solyc05g054330.3.1 pep chromosome:SL3.0:5:65107443:65110303:-1 gene:Solyc05g054330.3 transcript:Solyc05g054330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENTRVRKKQTKMEKIEHKMVNVNGLNMHIAELGQGSTILFIHGFPELWYSWRHQMVYLAERGYRAVAPDLRGYGDTTGAPLNDPSKFSIFHLVGDLVALLEAIAPNEEKVFVVAHDWGAIIAWHLCLFRPDKVKALVNLSVHFPRRNPKMNTVEGLKAIYGEDHYISRFQVPGEIEAEFAPIGAKSVLKKILTYRDTAPLYFPKGSGLDALPDAPAALSSWLSEEELDYYANKYEQTGFTGAVNYYRAFPINWELTAPWTGAQVKVPTKFIVGEFDLVYHIPGVKEYIHNGGFKKDVPLLEEVVVLEGAAHFVNQERPHEINKHIYDFIQ >Solyc03g031580.2.1 pep chromosome:SL3.0:3:4096133:4097077:1 gene:Solyc03g031580.2 transcript:Solyc03g031580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLKPITTSFSFTEPHYNIPSFPTIGHFQPQIPSYDDDHKLKIKEMFTQMTCNKNPMKCWAKDSPGPFCCNKKCVNVLMNKQNCGFCGNKCKYNETCCKGQCVNTLFNKRHCGGCNNKCQEGSSCAYGMCSYAN >Solyc06g075280.3.1 pep chromosome:SL3.0:6:46851950:46859359:-1 gene:Solyc06g075280.3 transcript:Solyc06g075280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSRTTLLLLVLLLFAALVSFVGGYEYEEEKSEQRTQGEKWFLLRQLHNVVKTDAGSMRMVKGGYRAGSFLHSPMHIGFISMEPNSLFIPQYLDSDLLLFVHQGEARIGNIHKDELAERSLKQGDVYTIPAGSAFYLENSNENQRLRIICSIGISSESMGWNAFQSYFIGGGVHTSILAGFDHTTLSTALNVSVGGTYLFIIDIFQWKKLSQDTVKWQVSTAELKTFLTRQTSGPIVHLSNSHQLNIWFKFLAQEPHQKLAHLKRIVNFREESNHKEEESTWSLRKFLFNLLDGEDVVKRVNHEAPSTYNLYNRNPDFENDYGWSKALDESDYSPLEQYGNCVYLVSLTSGSMMAPHVNPRAIEYGIVLKGTGRIQIVYPNGTLAMNARVREGDVFWVPRYFPFCQIASSNGPFEFFGFSTSARRNHPQFLVGRNSLMQSLSGPEFAASFGIDEERLKRIANAQSEQLHNVVKTDAGSMRMVKGGYRGGSHLHSPMHIGFISMEPNSLFIPQYLDSDLVLFVHHGKIHFSFISFDMYKNSLILSVYIIESLNTGEARVGHIHRDELAERSLKQGDVYTISAGSAFYLENRNENQRLRIICSIGITSESMGWHAFQVCMPKLKNCPNFLLNTFLSSLAVELILHPFLRGFDHTTLSTALNVSIQGNLIFHATFISEEKLNQNYVKLQVSTAEVRTFLTRQSSGPIVHISDSHHHTNIWTEFVSQQPHQKLAHLKRIVNLEEEVSPKEEESTWSLRKFLCTLLHRKDVVKRVNHEAPSSYNLNNRNPDFKNNYGWSKTVDESDYSPLQRSGNGVYLVNLSQGSMMAPHVNPRAIEYGVVLKGTGRIQIVYPNGTLAMNARVREGDVFWVPRYFPFCQIASSNGPLEFFGFTTSARRNHPQFLVGRNSLMQSLRGPEFAAAFGISEKRLNRIANAQREQVILPSSSSDSPMRMNIGSY >Solyc04g006970.3.1 pep chromosome:SL3.0:4:663200:675042:-1 gene:Solyc04g006970.3 transcript:Solyc04g006970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVTDDIAEEISFQGFDDDCRLLQSLLNDVLHREVGPKFMEKVERTRVLAQGACNMRMAGIEDTAELLEKQLASELSKMTLEEALDLARTFSHYLNLMGIAETHHRVRKTRGVVQLSKSCDDIFNHLLQSGVPPDQLYDTVCKQAVEIVLTAHPTQINRRTLQYKHIRIAHLLEYNDRPDLGIEDRDMLIEDLVREMTSIWQTDELRRHKPTPVDEARAGLHIVEQTLWKAVPHYLRRVSNALKKHTGRPLPLTCTPIRFGSWMGGDRDGNPNVTAKVTKDVSLLSRWMAIDLYVREVDSLRFELSMTQCSERFARLAHEILEKGLLAQNSFETLLSGSSSCLVGGNTSDNQFDSWNHSSNWNQSKHQGQHAPPFPTQLPTRADLPSCTGTCSDVESHYPRLALPGTEISLFLIVSYSRNTLFLMHYKPLQDGQTTSKVGPLDGDSSKNTEKAYGNGNITPRSASLSASQLLQRKLFAENQIGRASFQKLMEPSSSHRPGIAPYRIVLGDVKEKLLKSRKRLELLLEDLPCDHDPMDYYETSDQLLEPLLLCYDSLQSCGSGVLADGRLADLIRRVSTFGMVLMKLDLRQESGRHSEALDAITNYLDMGTYSEWDEEKKLDFLIKELKGKRPLVPPTIEVPPDVKEVLDTFKVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVAGELGRPCPGGTLRVVPLFETVKDLREAGSVIRRLLSIDWYRDHVIKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACNEYGIKITLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGSLRSTEQGEMVQAKFGLPQMAVRQLEIYTTAVLLATLRPPQPPREQKWRNLMDDISNLSCRSYRSTVYENPEFLTYFHEATPQAELGYLNIGSRPTRRKSSGGIGQLRAIPWIFAWTQTRFVLPAWLGVGAGLKGVCDKGHTEDLRAMYREWPFFQSTVDLIEMVLGKADIPIAKHYDDVLVSESRRGLGAEMRRELLSTGNYVLQVTGHEKLSANNRSLRRLIESRLPYLNPMNILQVEILKRLRSDEDNHKLRDALLITINGIAAGMRNTG >Solyc11g012510.2.1.1 pep chromosome:SL3.0:11:5329623:5332918:-1 gene:Solyc11g012510.2 transcript:Solyc11g012510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQRTQMSGSVHGLYNQQMQQVEQYYAPYDVLKNSCKDNRSSGMQFSLQAQDEQFFTLDSSPATDYVVNDSPPALSVSSNRSPFSPQCSQSYMSDLHHSSDNTCGSPLSGCSGIDDGDLRHVLRELENKLLGPESDTDDSCSCSLNDMVSKPSSVTRWNRVLDMAPGLNLKELLDACAEAVSDADISTAEALMSALEQRVSVSGEPMERLGAYVLEGIRARLLSSGSIIYKKLKCKEPTGLELLSYMQVIFNMCPYYKFAYMSANVVINEAMMNENRIHIIDFQIAQGSQWMFLLHYLAHRPGGPPFVRITGVDDDESAYARGGGLQLVGKRLAEVAKSCGVPFEFHGAALSGCEVQLENLRVKHGEALAVNFPYMLHHMPDESVSTINHRDRLLRLVKSLSPKIVTLVEQESNTNTAPFLPRFRETLDYYTAMFESIDAARPRDDKERISAEEHCVARDVVNIIACEGADRVERHELFGKWRLRLMMAGFTQCQLSPSVGETIKHMLKEYSPNYRYAEGEGALYLGWKNRALATSSAWR >Solyc04g009180.2.1 pep chromosome:SL3.0:4:2686905:2688461:1 gene:Solyc04g009180.2 transcript:Solyc04g009180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDEGNGAIIDPQRQQQQAPTGVGTNGALTVKKPPVKDRHSKVDGRGRRIRMPIVCAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTIPASFSTVSVSVRNSTASLVSSLSAPLDQKSSQMISPAPFILGKRLRSDDENIENGNKDDVAVAAGAATAVGPTAGFWAVPARPDFGQIWSFAAAPPPEMMVPTSAAAAAAAAAALSSQSSRFFQQQMGEASAARVGNYLPMTQGHLNLLASLSGPPQPSSGRRDDDGR >Solyc07g056330.3.1 pep chromosome:SL3.0:7:64334220:64340054:1 gene:Solyc07g056330.3 transcript:Solyc07g056330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTSTKKQVLLYYCVEMEDVARKIAADSDCIHLHSINWRSFEDGFPNLFINKAHNIRGQHVAFLASFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPVSRGGPTSVVVYDIHALQERFYFGDHVLPLFETGIPLLKQRLQQLPESEKIVIAFPDDGAWKRFYKQLGNYPAVICTKVREDDKRIVRLKEGDPAGCHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKRSWEQFLHKNDGSEKAFTYFWITDSCPVTVRAIANKAPFEVISLAGSIADALQT >Solyc01g016897.1.1 pep chromosome:SL3.0:1:22699314:22700046:1 gene:Solyc01g016897.1 transcript:Solyc01g016897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVLYVDDMLIDAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIGDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSKKEKEYMSRCFVTGYSDSDYAGDVETRRSMTSYVFTLGGSVVSWKATLQPTVTLSTTEVEYMALTEAAKEWILLKG >Solyc11g013297.1.1 pep chromosome:SL3.0:11:6248770:6250069:1 gene:Solyc11g013297.1 transcript:Solyc11g013297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDKYSFLDNVENPQTSMNQVGAHGRVKHKLGLDSSNKCEFVKYLEQGTDDITNDNGIPKLLSWWRNRGAQFPKLSRMVKDVLAIQGSSLYLK >Solyc12g015870.2.1 pep chromosome:SL3.0:12:5858455:5869845:1 gene:Solyc12g015870.2 transcript:Solyc12g015870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQCLEGIKHLGASLSKCCDLDRQSTAFEDPEILARETVFSVSEIEALYELFKKISSAVVDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRNLVLQHPSLLKNMTLQYLKDITTTFPSFVFHSRVEDT >Solyc04g012170.3.1 pep chromosome:SL3.0:4:4451782:4460872:-1 gene:Solyc04g012170.3 transcript:Solyc04g012170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKIAKTTQASAMEYYLHDLPSSYNLVLKEVVGRGRFLKSILCKHDEGLVLVKVYFKRGDFIDLREYEHRLSKIRDIFTSLDHPHVWPFQFWLETDKAAYLLRQYFFNNLHDRLSTRPFLCLIEKKWLAFQLLYAVKQSHEHGVCHGDIKCENVLVTSWNWLYLADFASFKPTYIPHDDPSDFSFFFDTGGRRRCYLAPERFYEHGGEMHVSQDAPLKPSMDIFAVGCVIAELFLEGQPLFELSQLLAYRRGQHDPSQLLEKIPDSGIRKMILHMIQLDPESRYSAESYLQNYAGVVFPSYFSPFLHNFYSLLNPLNSDARVLICQTSFNEILKQMMSDKPGDRNLPAVSPHSVPVSQTRQVSDMNENLNLVKDSSSNREEIEKGSVHDRFDLLGNVNTLLRDVKQNNQCPVVKPVLEDIANTAYSQKQRQCHIQSPGEQIPVSSISFKRIHHPFLKKITMEDLTVLMSDYDNQSDTFGMPFLPLPEEVMSCEGMVLIASLLCSCIRNVKLPFMRRGAVLLLNSCSLYIDDEDRLQRVLPHVIAMLSDPAAIVRCAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNISKLALTAYGFLIHSISLSEAGVLNETNPSQNSSISTSGEPVRPQSLNSDTQLGQLRKSVAEVIQELVMGPKQTPNIRRALLQDIGNLCWFFGQRQSNDFLLPILPAFLNDRDEQLRAVFYGQIIYVCFFVGQRSVEEYLFPYIEQALTDTTEAVIVNALDCLAILCKSGFLRKRFLLEMIDRSFHLLCYPSQWVRRSSVTFIAASSENLGAVDSYVFLVPVIRPFLRRQPASLASEKALLSCLKPSISKEMYYQLVENAKSSDMLERQRKIWYNSTPQSKQWETVDLLERSSSELDRMKYWPGRKHDFPGYKSASDLTKPIDFTDCDDNPTKVKSVGTLIQDPSSIMDSGDRLPSEKLQLSGFVSPQVSGMSSFIDKSADGIPLYYFKEDNKRPAGTGVAASDSSFPYTSFGFGSSSLPWIDPVNKSFNLANSVPAPKLVSGSISIGNSSTLLRRVVHEVEDREADQTAYVSNKFQDIGSGTSKMGSLTMEDNTAATDRTDLSSFARTSMITDSGWRPRGVLVAHLQEHRSAVNDISISADHSFFVSASDDSTVKVWDSKKLEKDISFRSRLTYSLEGSRALCVTVLQGSAQVVVGACDGTIHMFSVDYISRGLGNVVEKYSGIADVKKNEVGEGAVASLLNYCSDGGASKMILYSTQNCGLHLLDTRTNSHAWNTKVYPKEGYISSLVAGPCGNWFVSGSSRGVLTLWDLRFCIPVNTWQYSLACPIERMSLFLPPPSTSLSVAARPLVYVAAGCNEVSLWNAENGSCHQVLRVANNENEAENSDLPWALAKPSNKANPKQDLRRNNGSKYRVDELSDPPPRLSGIRALLPLPGGDLLTGGTDLKIRRWDHCSPERSYCVCGPSIKGVVNDDFYETKSSFGVQIVQEAKRRPLATRQTAKAILGAAAVDAAGCHRDCILSLASVKLNQRLLLSGSRDGAVKVWK >Solyc02g092660.3.1 pep chromosome:SL3.0:2:54307331:54313355:1 gene:Solyc02g092660.3 transcript:Solyc02g092660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVESNVWEPNPALCLFFFISCCLSIYYLPSSTRSSAIFDHAPSSSFIRFQRSFLLLYSLSSVMEGLLAVFGEYDLAFHGISREQMVISLCVGYATALFVGTFLGMLSDLIGQRKVSLLFCLLHLFVAVWRRVTGHPTIWLASICLSLTSTIFFFNFETWMVVEHDKLEQRQDSVNDMFWLMTFVESASFIGSQVVGNWLIGGHEKTTLLAPSSAVVIMALSALAYVSRGWKEDPKSIILKEYQTKFHTYIISDKRVWLLSWTQATMHFSIAVFWITWAPTIVADGRGVLLGLVYPCVLGAKMLGSTAFSWFSSGPLSLRTEECLVYACIIMGFVTSIVAFDYQDVEILLMLFCIFHACVGLVLPSLARLRTMYVPNDLRGGMMSLSIAPSNALMLFLLIQRGFYQNIENSTIIAMAALGLFSAAGCMYMLKRLGKQPHQNWHKL >Solyc09g075190.3.1 pep chromosome:SL3.0:9:67386132:67397254:-1 gene:Solyc09g075190.3 transcript:Solyc09g075190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:K4CV96] MLHELLLALLGYTGDLIIDVREQQEQSGAQVPLSPDAPISQEPTFKLAPDVSFVQPSERDVIERIITLGFYYRELDRFAAKSRNLSWIRSPNESPLLRTSQLLKGKASKQSLYRRAIANGIVEVLSVYRSAVLHIEQKLLSDALPIVATLTQGLNKFFVLLPPLFELILEIERDNIYGGKLLNLLHKRCHCGVPELQTCIQRLLWHGHQVMYNQLASWVVYGILHDPYKEFYISSQDDKDSEQESASNLLEKVTRLSVADTSLNDWHLGFHISLDMLPEYITMHVVESILFAGKAVRVLRNPSPGFQFKDGSSHQQIQRAFQRTQGYTMTISFQNNSLDKMLIGEDLLPQAEADKIESMLQDLKESSEFHKRSFENAIDTIKAVAASHLWQLVVVRADLNGHLKALKDYFLLEKGDFFQSFLEESRQLMRLPPRQSTAEADLMVPFQLAALKTIGEEDRYFSRVSLRMPSFGVALKPSPVDQPKVKVNKDGDSVGHPDASLEVSLDGWDGISLEYSIDWPLQLFVTPEVLSKYQRIFQYLLRLKRTQMELEKSWAFAMHQDHIDFAKLRSDSRKSSVPQHRRQRLRPMWHVREHMAFLIRNLQFYIQVDVIESQWNVLQSHIQNSHDFTELVGFHQEYLAALISQSFLDIGSVSRILDGIMKLCLQFCWKIENDESNRTTSELEHIAEEFNKKSNSLYTILRSSRLAGSQRAPFLRQFLLRLNFNSFFEATARGVLNVVNPRPSVSVLQ >Solyc06g053580.2.1 pep chromosome:SL3.0:6:36491871:36493833:-1 gene:Solyc06g053580.2 transcript:Solyc06g053580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTFSSSSFFSLRFTCICEAASFRLAYSSRSKFLYLSTNSLTLLSSFSLSSFSISISFLQASTSACLSLRSFSRSSNSVFIFWAACSCLVTNVLSTLQCI >Solyc12g010960.2.1 pep chromosome:SL3.0:12:3827726:3836500:-1 gene:Solyc12g010960.2 transcript:Solyc12g010960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEMIMNNKQIILKHYVEGYPKESDMEFKNNNIKLNVPEGSNVVVLKNLYLSCDPYMRNRMSKLEGSYIQSFTPGYPITGYGVSKVVESSDSNYQKGDIIWGMSGWEEYSVVTTTETTLFKIDHDKDVPLSYYTGILGMPGITAYVGFYEVCCPKKGESVFVSSASGAVGQLVGQFAKMLGCYVVGSAGNKQKSKFGFDEAFNYKEEHDLDATLKRYFPDGIDIYFENVGGKMLDAVLMNMKLHGRIAVCGMISQYNLDKTEGVHNLFCLIAKRIRMEGFLVFDYYHLYPKYLEMIIPQIKAGNIVYVEDIAEGFESAPGALVGLFSGYPKESDLEFKNNNIKLNVPEGSNVVVLKNLYLSCDPYMRSRMSKLEGSYVQSFTPGSPITGCGVAKVLKSGDSNFQEGDLVWGMIGWEEYSIVNATQSALFKIHDKNVPLSYYTGILGMPGMTAYVGFYEICSPKKGETVYVSAASGAVGQLVGQFAKTLGCYVVGSAGTKEKVDLLKNKLGFDEAFNYKEEKDLVADLKRYFPNGIDIYFENVGGKMLDAVLMNMKLHGRIAVCGMISQYNLEKTEGVHNLFCLITKRIRMEGFLVSDHYHLYPKYLEMIIPQIKAGNVVYVEDIAEGLENAPKALVGLFYGRNVGKQLVMVSRE >Solyc10g011800.1.1.1 pep chromosome:SL3.0:10:4016181:4016471:-1 gene:Solyc10g011800.1 transcript:Solyc10g011800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSKGRSFGNPSMMPYVNKGVGPRVPGYSLRGNIPSDENVLLDEGFGRFCSPRVTSMDQDAGPKGGWIKLEPPGKASKTSFIFDIGVLYVTLNH >Solyc01g091010.3.1 pep chromosome:SL3.0:1:84589955:84593708:-1 gene:Solyc01g091010.3 transcript:Solyc01g091010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAAFAPDHHLHHHHLSPPSGEQLCYVQCNFCDTVLAVSVPCSSFFKNVTVRCGHCTNLLSVNIILPTAANQLHLPHSFFSPHNLLDEIRNNPPSLLMNQQINPNESLMPVRGGVDEIPKPPVANRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKPNACQQEGEDVLMKEGFLAPANIGVSPY >Solyc09g013100.3.1 pep chromosome:SL3.0:9:5502237:5508238:1 gene:Solyc09g013100.3 transcript:Solyc09g013100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNFNPFNNNWFNKPSTPFQPMNLLSHFDSLKSRQHSPPFAAISNPFSRKPKSAPEKPGHYRKMLDQFYWECETRPDFRHSPEVERIMNEDPFIEKKENPTKEEIEENEKWFAEFRENPVFQFLLQAEEIADKINELELQENSTPYRKEDKKLWQAVPNVIGLDGRPMPRKAIKTKKESDDKFWDFARQFFFGLWGFRQRPYPPGRPIDAAQAIGYKRLEKRYHDFIMKSGGWFYKDRLGRSRGPMELIQLKTAWGAGIIDKHTFIWGEDMDEWAPIGMVYGLEKAIATWEVRLGAAATALLHKLQKGIPPWVPLRGHEPKTYKQMQEEAYESRRRDLAVLEANDGVWPGVRIPSHTMFLWASGSELTSILEADHMPNKYIPKDLRKELEKVIPGLRPWEVLSVEQAMDQITYGGEWYREPLGSYTTGPPYIAEWNKDVIRLFQIFHDLSVRVYNKLNRTVPGFGTVMEKVQIDSAARETRRMQKRAAKKMAEEEIALFGRTRNDDE >Solyc08g061250.3.1 pep chromosome:SL3.0:8:48374469:48377521:1 gene:Solyc08g061250.3 transcript:Solyc08g061250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGERLSWKSMIPCCYKVDDEFVKSKKQVKKQTSFQRLTLLDFDDPSSPLSADELSNSFIGSSLINFTFTDLREVTHNFSSANFLGEGGFGPVYKGFVDDKVRPGLKAQVVAVKVLDTDGLQGHKEWLTEIIFLGQLRHPHLVKLIGYCWEDDNRLLVYEFLPRGSLENQLFGKFSITLSWSIRMKIALGAAKGLAFLHEGDKPVIYRDFKASNILIDSDYTAKLSDFGLAKDGPEGDDTHVSTRIMGTHGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSLDKSRREGEHNLVEWLRPYLRDPKRIARVMDRRLEDEYPMKGAQTAALVAYKCLNHYPKPRPTMDDVVKILETLQDENNNIDTSISDPMITMTLSSDFSSGSEQNEDTAAPERNRNNKYLNNERNQGYGWKHRLNRQRMVASYSDTALYRRH >Solyc01g081173.1.1 pep chromosome:SL3.0:1:80327269:80330184:-1 gene:Solyc01g081173.1 transcript:Solyc01g081173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLQMYLEDVKLMADPGFEGYKFSISWSRLIPNGRGPVNPKGLQYYNNLIDEIVRHVDSKWFCWIKSLWSLPYTNKTADVNVAQRANDFYLGWFVNPLVFGDYPDIMKKNAGSRLPKFMKQESTHVKGAVDFIALNQYATVRVKDSSNSLENDNVLFVLSGQMTPATLNDTTRIEFIFKLILDDAVRNGSNVKGYFTWSFLDCFELFNAYESAFGLYYVDLNDKELTRYPKVSAH >Solyc02g069450.3.1 pep chromosome:SL3.0:2:39904842:39906558:-1 gene:Solyc02g069450.3 transcript:Solyc02g069450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPSNLSKPISTSKFGSQFTTKQRTSSIVCSSNQTPVSNSTEESSSSLKAFSAALALSSILLSAPVLPASADISGLTPCKDSKQFAKREKQQIKKLQNSLKLYSPDSAPALAINATIEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAVRDEKKPTMKEIIIDVPLANRLVWRGFIWPVAAYREYLNGDLIDPNV >Solyc08g015650.3.1 pep chromosome:SL3.0:8:5192053:5196466:1 gene:Solyc08g015650.3 transcript:Solyc08g015650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEESAIAVDLLTDSSATSLPSDHTDSCSSPSDLPSPTATRLNALAPAFVPRSISPTPSLNDLNQADFHPLPNHYVYAPQLQVQYPSPYYGGGGGGVADVDHASASKRGGLTDEAAQKIVNQVEFYFSDLNLATTDHLIKHMLKDQEGYVPISVVALFKKIKALIRNHAQLAQVLRSSTKLVVSEDGMKVKRKIPLAETDLKELQSRIVIAENLPEDHCHQNLMKIFSAVGSVKMIRTCLPQSSNGGASSGSRTAKSDSTLHSNKLHAFVEYETAELAERAAVELNDDNWRNALEVRLLLRSSEKSGQAQGKKAGHDSENFKGDDGSALEVNEKLNEESRHHIDAKSNELAEEHGSDGQRRGRGQGRGRGKGQGQRRGRPQSHQNNRGGHLGAPLSNVNRGSNVRNSLSNIIRVGVGKPVLEQSAAAKQSSVPRMPDGTKGFSMGRGKSTPIITM >Solyc06g009920.1.1.1 pep chromosome:SL3.0:6:4340598:4340921:1 gene:Solyc06g009920.1 transcript:Solyc06g009920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYLGAKSLSSSSYYIPSLLSISAVDVIEALNSIYGREDIPAATIGVDGTSFVRVRALAAITSASYLAVGGETTLRNVHVEAPLSSAGIDFFGSYLLQRLINRES >Solyc03g083180.1.1 pep chromosome:SL3.0:3:54443993:54444403:1 gene:Solyc03g083180.1 transcript:Solyc03g083180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDAVYTALDSFHDVGYDKEVVMEKIEGKADTAVVVVHKLLRSPKPEQLYLKYAHDILGVVAILGEFQTHKLSRLMMHAFNTPWRRSVGCSSMQIPCSARALDNYQMD >Solyc07g055270.1.1.1 pep chromosome:SL3.0:7:63480870:63481565:-1 gene:Solyc07g055270.1 transcript:Solyc07g055270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEFLNLFDFHWFEYQILKPKRPFSTTTPILEETPKLSKTPTFMVRSQSDLCLSSKDYIFDSISEKSQSPSPKSVLEAKLQPILSGKEYNELKSSPEAAVKNRANCRSRKKKSNNSKSLSELEFEELKGFMDLGFEFSEKDKDSNLVSIIPGLKRLGMENERGFDENDESGVSRPHLSEAWGVWEEQKKMEDWKKNSLKIWKFDSDFGNEMEIKHQLKFWAHTVASTVR >Solyc11g021110.2.1.1 pep chromosome:SL3.0:11:13388851:13389222:-1 gene:Solyc11g021110.2 transcript:Solyc11g021110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCGANDLITIFVVPECFNLCSYLLSGYTKKDVRSDEATMKYLLMGGASSSILVHRFSWLYGSSGGEIGLQEIVNGLINTQMYNSPGISIALIFITVRIGFKLSPAPSHQWTPDVYEGVRFV >Solyc06g017870.1.1 pep chromosome:SL3.0:6:14552245:14553246:-1 gene:Solyc06g017870.1 transcript:Solyc06g017870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDTRKNFPESCAPFVRENNITRNVYPEFPSNTKHVKVDPRKSSPENCVRQKKKGNDTQCYVDGESKSFSGDMAEPSNFEETSSHGGSSHLNTSCRPTNGNQPLKLKEENLVCDESTQQHEVLDWDQYESVVMKKQMDLGVSQQDLRNSAVMCDVSCHGLTTEYEHIDKVKEVRETLKRFDDVHTKLLREYKSRET >Solyc10g083540.2.1 pep chromosome:SL3.0:10:63452102:63455329:-1 gene:Solyc10g083540.2 transcript:Solyc10g083540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLRFTGATFTASTTVTVVRFDAIKPGAKLKTKRTRFISATITKPAAVVTAAEPLQISKSDDTLIPVVHPSSLQCEEGFLLPNYPRGEIGYNYVKEILTSKVYELAEETPLQRAHKLSERLGVTVWLKREDLHPVFSFKVRGSYHMMAKLSKEQLEKGVICSSAGNHAQGVALAAKKLGCNAVIVMPVTTPEIKWKSVERLGATVVRVGDTYEEAQAYAVAQGKEEGRAFVSPFDHPDIIIGQGTVGMEINRQFKDKIHAIFVPIGGGGLIAGIAAYMKKVSPDTKIIGVEPVDSNSMALALHHGQRVMLEKVGSFADAVAIRMVGEESFRLCRELIDGIVLVNHDSISASIKYVNFVSSDVTVESCFEQDMFEEKRSILEPAGALALAGAEAYCKYYGVKGENIVAITSGANMNFDRLRLVTELADVGRQREAVLATYLPEERGSFKKFYEMVGQTNITELKYRYDSEKENARVFYRIGLHTKVELEEMVDRMELEQLHTINYTDNELVKDHLRHLIGGRSDVHNEHLCRFIFPYKPGVLMKFLDAFSPRWNISLLHYHAQGETGGNVLIGLQVPQNEVDEFRGRAESLGYVCVVETLNEAFQQLMH >Solyc05g006630.3.1 pep chromosome:SL3.0:5:1281121:1291292:1 gene:Solyc05g006630.3 transcript:Solyc05g006630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHRFRWDIFLSFRGEDTRHGFTGKLYNELVRNGVRTFIDNEDLDRGEEISKKLVAAIEDSAASIAVISENYAESKWCLEELAKIWDCKKLLLPVFYEVDPSNVRKQKGTFAKHFDEHEILLEAAPEKVSRWRDALTKAANTSGWDSRFWEEADLIQSLVKKVLGKLDNTPIGVAKYPVGLHSRLDQLLTLLDVKANGVKVVGLYGMGGVGKTTLAKALYNQLVVDFKKRSFISDVKGTARQQNGLVTLQSKLICDLNSGASPIIDSTAKGIRLINESANNERVAIFLDDVDDANQLRELIGGRDQFCQGSRIIVTTRDQNVLDPSIVNDTFEVKVLYLSDSLKLLSYHAFGKEQPPKKFLDLANEFVTLSGGLPLALEIFGSSLFYKKGLKEWEDVVQKFRQIRPGELQDILEISFGALDEQERCIFLDLACLLLNTRLEREDAIAIFKGCGFAAETAITALTAKSLLKIVDGNVLWMHDQLKDMGRQIVQRENSGDVDKRSRLWNHDDIMTVLNNYKGTSNIEGIVFHFERNQDQNPKEVSWICLILKKVLEKYIGLGRTANGSTFHTRAFQCMVKLRLLQINHVKLVGDFKLLPADLKWLQWKGCPLEVIPPELLSRKIAVLDISESMITQVWIKKKWNLYQNKMAEQLKVMNLRRCRQLKDIPDLSGLQLEKLILEECNELVKIHPSIGDLTMLTLLNMKGCKNLLAFPDDVSGLKRLEVLILSDCSSLTKLPEDLGGWKSLRELLLDGTAITELPNSIFRLKNLQMLNLNDCWSLKLLPTAIGNLSSLSSLSLSGSALKELPDSIGNLKDLEELSLRMCKGLISLPDSLGNLRSLIRLYLDNSSIKELPPSVGSLSQLKFLSLSNCKSFSELPNFKNSFSSLIRLCLQGTSVSEQSFQLGSFESLEILELGYCTSIRSLSSSIGKMSCLTTLDLHNTSISELPDEICLLEKLWELNLNNCLNLQHLPASIGSLKRLCYLYMTETAVSELPDQIGMLSSLKLLKMRKTPQLRDDKLLLDMENGESSKRVTLPESFSNLSSLEFLDAHAWKISGNISDDFEKLAALEELDLGYNDFCSLPSSMKKLRVLKRLILSNCRKLKFLPDLPSSLVCLHAANCSALEQIASVANLKYLEDLQISNCKKITDIPGLESLKSLKRLYTVGCNACLPSIKRTISKDSLRHMQYLCVPGDDLPDWFIHEVPNFSTRKHRDLKGVIIGIVLSLDQQVEDNFRHKVPAIVDIQATITTPGDAKPKHTKTLYLLGVPDTDEDQLYLCRFQEHSFTFMLKEGDRLQVVVRENPRFNGLKLKKHGMHLVFENDDDFDNNDEDLFDESQQSVSKKLANFFHSQK >Solyc07g017650.3.1 pep chromosome:SL3.0:7:7713320:7714745:1 gene:Solyc07g017650.3 transcript:Solyc07g017650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRTWPLIMFGNGVVGILLEVTNKWERWPYTKRVHHYALYEDVGCEIFEDLFQCGLILGIKQPKLEMILPDRAYAFFYHTYKAQKENMPLLDKILAERASLFDYELIVEDTGKRLLAFGKFASRAGMIDFLRGLGLWYLNHGYSTPFLSFGSSYMYSSLAAAKAAMISVDEQIATKGLPSGICSLVFVFTGFGNGTVYSNLGSLISVH >Solyc02g062200.1.1 pep chromosome:SL3.0:2:34337053:34338764:-1 gene:Solyc02g062200.1 transcript:Solyc02g062200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMSTPTDGKEVVMEKGEASIDEKEVVTDKREASTDQKEVVMEKGEASIDEKYDDWIAKADEMVEWLYDYYYMHFRPTLEEKIADMEYQIDMCIHALNSIPQDILGSAYFSSFILDGGWNHDSTLRSLEGYEKAEKTHEMKSNPEFQYDCGIVSL >Solyc05g040065.1.1 pep chromosome:SL3.0:5:49884408:49886907:1 gene:Solyc05g040065.1 transcript:Solyc05g040065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQGSKKISSDYCVFVQQNSDDDFIILLLYGDDMLIVGKNTSKIDELKKELYVDVIGYLDNEKPTTGYLFTLLGGAISWQSKLQKCVALSTAEAEYIAATEAAKEMIWLKLAITLADSMIECRIPAELKMKGKSLVDSIQASSCKKRRIMINVHGNA >Solyc03g115720.3.1 pep chromosome:SL3.0:3:66833284:66842367:-1 gene:Solyc03g115720.3 transcript:Solyc03g115720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEASSSGFNLEGIDDVQDFPWANSGETPSISWDRYSHLCETMEMGNKAYRENRWDEAINRYTRASNIKPDDPIILSNRCASYLRYSQFLKSRSASDSEYRPLSGLDPTTYAGLALKDAEKVMHLQNNSVTSYILKANSLILLEKYELAQEIIRSGLQINPQSNPLLNLEKSIKTTLGRRTHGRPQRSDEFDCTLCLKLLYEPITTPCGHSFCRACLFQSMDRYNRCPLCRTVLFISPRTCAISVTLKNIIEKTFPEEYAERKAENDSLINLGVDLLPLFVMDVILPCEKLALNIFEPRYRLMIRRIMEGNRRMGMAVHDSTTGSVADYACEVEIIECEPLPDGRFFLEVESRRRCRIIRHWDQDGYRVAEVEWIHDICPAEGTRERHELLEMANKAAAFAQQWLRNAQQVAGDRRSAELFKAEGLMPSPQDPERLSFWLNTLTARRPTEKLDLLQIRDTPERIRRGLLYMKQEEQGCRLQ >Solyc06g065560.2.1.1 pep chromosome:SL3.0:6:41066571:41069953:-1 gene:Solyc06g065560.2 transcript:Solyc06g065560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4C7I5] MSESKPEAPVNNPPPSYSRNFPDFKQSVKLKYVKLGYHYLITHGMYLFLSPLVIVLAAQISTFSLSDLYVLWEQLRFNLISVIICSTLLVFLSTLYFLTRPRPVYLVNFSCYKPEDARICTRKIFMERSKLVGSFPDETLEFQRKILERSGLGESTYLPEAVLRVPPNPCMAEARKEAEMVMFGAIDELLAKTGIKPKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNIVSYNLGGMGCSAGLISIDLAKDLLQVHPNTYALVLSMENITLNWYFGTEKSMLLPNCLFRMGGAAVMLSNKGSERRRSKYQLVHTVRTHKGSDDKCFSCVYQMEDPNGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGRKLLKMKIKPYIPDFRLAFEHFCIHAGGRAVLDEIEKNLQLTDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWKALRSINPAKEKSPWMDEIDQFPVDVPRVAKI >Solyc03g026110.3.1 pep chromosome:SL3.0:3:3545165:3549192:-1 gene:Solyc03g026110.3 transcript:Solyc03g026110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWLRSLLGSKKSPSESSPPAKEGKNSKWGLRKSSNGTGRTTGAEIAYGNEDPPASPYAEALDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSGKRSTSAYGSSNTERRREWAAVKIQSEFRAYLARRALRALKGLVKLQALVRGRIVRKQSADMLRRMQAMARIQARASANRNVTSDPSHSSIRASRFEHPGIATPRKYDPQQYSFNCKYHGPNLKKSGSKLKLHESFGQDRSHLASQYIHHWMEECAKNGYGDTSLKKNGGDHDESTDKILEIDTWKPSLHPKPSEKKSHNSRYSSWNDNAHGTRTVNSMSKLLGNHMKPNPSISSGEVSSLRSLTFCQDTDQPAAWTVERSPGVHSTLSRPGSSSRRGPSLSRSDCSRSLFGDYLGHPNYMSNTESYLAKLRSHSAPRQRVQFEKIGSTKYVDGLVDADTNSEKSWRSLGNFMNKPKPGSGQSDRVGTPDHRSAVRSSSPFGPRQ >Solyc08g076355.1.1 pep chromosome:SL3.0:8:60446752:60447035:-1 gene:Solyc08g076355.1 transcript:Solyc08g076355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSSANGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSTFLALLVVVYSFDPGTWSFGVSQIQIGRMI >Solyc09g059440.1.1.1 pep chromosome:SL3.0:9:54669184:54670971:1 gene:Solyc09g059440.1 transcript:Solyc09g059440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREQSIESFYTRLRSSALASASTSPLLVFPSTSDVDSLCALKIIGHVLESDSVRYACYPVSSFKEIYKYTGDNLGAAADEPITILLINWGCQRDLKKILEIGPLARVFVVDSHRPIHLHNLSNQNDRVIVLYTRDDEQQADLAYDFDVSALANASDLNSDDEFEDESDSEDENDSESEEEDGDEMRKKRRVSAENEGDPVKLFGKLKREYYYMGNFHGKPSGCLMYELSHFLRKNTNALLWLACVALTDQFVHERLTDERYQAGVMELEQHINSSGNLDSITSVTLKDGTKVTAPNSSRIAYEYEPRLMLLQEWNLFDSMLCSSYIATKMKTWSDTGIKKMQLLLGRMGFAREECKQKFQYMSIEIKRRMKDMFEQYLPEFGLTDFYYRGFLLLHGYSSKVSAADVVYGVTALLESFVESDGSCASKQFGEAYDALSLTKLDKLENGMRQAIKVQRAILRQGSAAITKKGSIRSGSKFRWVKLEDSADAKLLCHPQALTKFGYFLMDALREKGARMKPLICICYTQERSKVLIVAICGKPRLGAVQGNAFGLAFRSSAEETGAEFFHELFESSWIVLDAVAVNSFMIRLTEKLL >Solyc05g014710.3.1 pep chromosome:SL3.0:5:8843131:8846943:1 gene:Solyc05g014710.3 transcript:Solyc05g014710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIEDKGCLNYGQKEQEVVGGSSMNFEFHGTNRASTHHRTAALGKPTPSKWDDAQKWLVNLSRGEKHQSKATPRNSNADDLRLIAPVPKKEEYSSDDEGDSSMIQFEVETKKVDCDESIWRINKNNNNFASVVRSICVRDMGTEMTPIASQEPSRTATPIRATTPAVRSPISSGSSTPIRGQNGTIENGQIVANIGQNRGNAGTTRVVRDVEETIDNELAAKKETDHPNKVNPLETRAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMKRMEVKAERIKARAEEKYKNKLAASRRIAEEKRGNAEAELNEKAVKTSEKADYIRRTGH >Solyc01g100650.3.1 pep chromosome:SL3.0:1:90495589:90515863:1 gene:Solyc01g100650.3 transcript:Solyc01g100650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLTPFLLPTYGKPKLAALFNSRSELSIQFLSKNFRMLDFESRKMGLKVSASQKLEEKNVPESGSQWGKVSAVLFDMDGVLCNSEESSRKAAVDVFAEMGVQVTVEDFVPFMGMGEANFLGGVAAAKGVEGFDTEAAKKRFFEIYLSKYAKPNSGIGFPGAFELVSQCKSSGLKVAVASSADRIKVDANLAAAGLPITMFDAIVSADAFKNLKPAPDIFLAASRILDVPTSECIVIEDALAGVQAAKAAKMRCIAVTTTLSEDTLNAAEPSLIRKEISDISLEDILNGGSGSHNVMVQESQSINDLALSFPEPNMTGSITELDNYVTSGAISSMGGVQVTRRNVVRYGSLGIAASCLLFTITNWKAMQYASPKAIWNLLFGTGNPPFEQKEDASSSQRIQQFVNYISDVDARKSTTIVPEFPSKLDWLNTSPLQLGRDLKGKVVLLDFWTYCCINCMHVLPDLEFLENKYKDKPFVVVGVHSAKFDNEKDLEAIRSAVLRYGITHPVVNDGEMNLWRELGVNSWPTFVLVGPNGKLLAQVAGEGHRKDLDNLVEAALLFYGKKKLLDSKPIPLRLEKDNDPRLLTSPLKFPGKLAVDVLNNRLFISDSNHNRIVVTDLEGNFLVQVGSTGAEGLHDGNFDDATFNRPQGLAYNAKKNLLYVADTENHALRVIDFVNETVRTLAGNGTKGSDYEGGGTGTAQLLNSPWDVCFEPENEIVYIAMAGQHQIWEHKTLDGVTRAFSGNGYERNLNGSSSTSTSFAQPSGISLSRDLKEAYIADSESSSIRAVNLRTGGSRSLAGGDPVIAENLFRFGDHDGIGSEVLLQHPLGVLCGKDGQVYIADSYNHKIKKLDPDSKRVTTLAGVGQAGFKDGAAVAAQFSEPSGIVEAENGRLYIADTNNSVIRYLDLNKSEAEVLTLELKGVQPPLKSRSLKRLRRRSGADTQTIVVNGGSSSEGTLNLRISVPEGYHFSKEAKSKFSIDFEPDNAAEVDSLEGNLSPEGSAVVHFRRSSASPTTGRVYCKVYYCKEDEVCLYQPLTFEVPFQEVNPDFAPAMITLAFDVKPKTSPTSLQIPAR >Solyc07g066360.1.1.1 pep chromosome:SL3.0:7:67957610:67957855:-1 gene:Solyc07g066360.1 transcript:Solyc07g066360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTYTCIFIVAIISLALGGKSEAGRNLLQTNNPSFPTIPGMPNIPSFPKIGSMPPMPSFPKIPTSIPSIPFFTPPPPKN >Solyc10g019100.2.1 pep chromosome:SL3.0:10:11718533:11718838:-1 gene:Solyc10g019100.2 transcript:Solyc10g019100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASSTEILSDFCSGGLRLKRDITEYGEFVRSFNAPQVDEKFEQLEIIANVFIVAPESLGPLFEGTPSFKKDAQR >Solyc05g007800.3.1 pep chromosome:SL3.0:5:2242538:2245924:1 gene:Solyc05g007800.3 transcript:Solyc05g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPSDESGKEPNLGNDPPKGEVNDALPAEDPKGAEEESQDPSLADWYPFYKCISHKGVLEVETEQEELMKKKYGGLVKKKPPLITKDHERAFFDSADWALGKQGGHKAKTPAEALRPKLEPTPHQQLRTRLSASKLTDTGEADGSNNDLDQLDDEQSGIPAADGENKS >Solyc10g086420.1.1.1 pep chromosome:SL3.0:10:65365178:65366680:-1 gene:Solyc10g086420.1 transcript:Solyc10g086420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTLLTTLSMENHHPSTLLSMDSSASSSHDELDLEMNRQVVITRPPDINLPLSADRSSPTQPWNDILDVGLGTQIYETETFLSVPKVGRKCAKRVDSIWGAWFFFSFYFKPVLNEKSKAKMIRDSNGISGFDKSDLQLDVFMVQHDMENMYMWVFKDRPENALGKMQLRSYMNGHSRQGERPFPFSADRGFIRSHRMQRKHYRGLSNPQCVHGIEIVSSPNLMVLDEEERKRWKELTGRDANFTIPPEASDFSTWRNLPNTEFELERPLPPIKGNPQSNSKKLLNGSGLNLSTQPSNHSNGDAMDLLPVNGKRKKDFFSNGTEEECYLQVNPPSYQIPDLEIHPNEPNWLNEFTGVMRDAYGPVTAAKSIYEDEEGYLIVISLPFVDLQRVKVSWRNTPTHGIIKVSCLSTSRIPFIKRQNRTFKLQVSSSEHCLPGEFVREIPLPARIPEDAKLEAYYDESGTVLEILVPKVRDGPEEEHEVRVCLRPHLVGNDLMLT >Solyc05g052300.3.1 pep chromosome:SL3.0:5:63423199:63425766:-1 gene:Solyc05g052300.3 transcript:Solyc05g052300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKTIICKNIKLKEQQKKTNMALAKNGAEIPLLPQTEQTLFTRENQQLILRAVFNVSTSIIGAGIMSVPATLKVLGVIPAFVLIILVALLVDISVDFMLRFTYRGESKTYAALMNESFGEIGSVAVQICVMITNLGCLIMYLIIIGDVLSGQGEHLGVLQEWFGIHWWNSRNFAILFIVLFVMLPLVLYRRIESLWLSSALAVLLAIVFVVICSVMAITAILKGQTVTPRMLPKMDDETSFFNLFTAVPVIVTAFTFHFNVHPIATELGKPAAMSSAVKISLVLCAAIYFSIGIFGYLLFGDSIMPDILVNFDKSSGTSAISSMLNDIVRLSYAFHLMLVFPLLNFSLRANLDELVFPKMPFLATDTKRFVCLSLVLLAFSYLAAIFIPSIWYIFQFTGTTTGVCIAFIFPGAIALRDVHGISSRKDKIIAVIMIVQAVVTSFVTIATNIYNMSGNRL >Solyc06g068290.1.1.1 pep chromosome:SL3.0:6:42429624:42430232:1 gene:Solyc06g068290.1 transcript:Solyc06g068290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDAVTHQRVSSILSPYHLKTHAQENIFFDGANSELSSKLAVLRLRFYDLDTQCIISLKAKPVISNGISRIEEDEEPIDPSIGRACVSEPWRLPLIDSSRIMRRVKEEYGIGEKGLVCLGGFRNVRAVYEWSGLKLELDETHYDFGMNYEIECESSDPEKAKDLLEEFLKIHGIEYSYSNVSKFAIFRSRKLPQ >Solyc04g016520.3.1 pep chromosome:SL3.0:4:7334683:7344583:-1 gene:Solyc04g016520.3 transcript:Solyc04g016520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKRPSTAAPATVNQPESSINTEKPKSSDSSPEPPIAPAKVYLIFKISLIFLIPYFYLIFYHYDIESELRRSILINAIVSLIGFFVTVTMIPVASKYVLRRNLFGYDINKKGTPQGSVKVPESLGIIVGAVFLVVAILFQYFNFTADSNWLVEYNAALSSICFMMLLGFVDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLVSYVGLEILDLGCIYKLYMWLLAIFCTNSINIHAGINGLEVGQTVVIAAAILIHNIMQIGASADPEYKLAHAFSIYLVQPMLATSLALLSYNWYPSSVFVGDTFTYFAGMTMAVAGILGHFSETLLIFFLPQVLNFLLSVPQLAGIVPCPRHRLPKFDPKTGLLTGTNDGTLVNLFLRQLGRMSEQSLCVTLLVFQAMCCGFCFLLRWLLTGWYK >Solyc02g087860.3.1 pep chromosome:SL3.0:2:50763482:50764918:-1 gene:Solyc02g087860.3 transcript:Solyc02g087860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor style2.1 [Source:UniProtKB/TrEMBL;Acc:B6CG44] MSSRRSRQSSTGSSRISDDQIIELVSKLQQLLPEIRNRRSSKASASKVLQETCNYIRNLNRQVDDLSDRLSQLLSTIDADSPEAAIIRSLLM >Solyc11g066850.2.1 pep chromosome:SL3.0:11:52947073:52954447:1 gene:Solyc11g066850.2 transcript:Solyc11g066850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGRRHHRHHLLPSSPFFLPLKPLSLSPSISLYTPFSSSSTSPQQLLLDSQENQVDFDNWDPVSASGVAESFNFDDSFDSVELKKFETPSIEVRELEDIPDQWRRARLAWLCKELPAHRTPTMVRILNAQRKWFRQEDGSFVAVHCMRIRENEAAFRVYKWMMPQHWFRFDFALATKLADYLGKERKHLKCREIFDDIINQGRVPCESTFHILIIAYLSSSGPSVLDEACNIYNRMVHLGGYKPRLNLHNSLFKALLGKTSSESKQFLKQAEFIYQNLTSSGLEIHRDIFGGLIWLHSYQDVVDMERIAALRAEMRSRGIEESKEVLVSVLRACSKDGNVEEAERTWSKLLSIDGCPPSQAFVYRMEVYAKIGEPTKSLKVFRRMQEQLGSTSVAAYHKIIKVLSKYQKLDLADSIMSEFINSGMKQLVPSFIDLMRMYSIAGLHEKLESTFLRYREVCHPNLTVFNIYLDLLVNTGNLSLAEEIFHEMRGNVAIGVDSRACNSILRGYMTSAEYVKAVKIYNLMRQKKYDVEIESSLMERLDYVLSLREKVIEKPIRLKLTAEQREVLTGSLLGGVQIRSDEERRLHAIHYEFNEESRIHSIFKRHIHDEFHEWLGSHDMMVDSTADIPSSFTTISHSDFTFYADQFWPNGRPCIPKLIHRWLSPRVLAYWYMYGGYRTSSGDILLRVKGSSEGVVSILKALKAKSLHCRVKNRGKVFWIGFLGDNATFFWKVVEPFIIDELKGLLKAGGDSNGSLETQCINFDSGSESNEKNSGYIEPDTSLSD >Solyc07g053375.1.1 pep chromosome:SL3.0:7:61960895:61961236:-1 gene:Solyc07g053375.1 transcript:Solyc07g053375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIYCFLPATYEQTGYWCLGSMMLPQQVTRSIDYQAYRDRPLRAGMTKKSSITNWSKLITNKNRGN >Solyc02g033060.3.1 pep chromosome:SL3.0:2:30208686:30212449:-1 gene:Solyc02g033060.3 transcript:Solyc02g033060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSSSISLSYGKLCSGFHYNKQPILSKYFKNSHFSVFLSTQNGHHLKLKVQYPRASFDTEGLPSEITEDSKFVSINAEDPKYGPPALLLLGFEVDEAAKIQQLLKEMDGEFLQVIFCTEDMISRSLWEAVNTKQTNLDASKIAKQLLRICFLSGLTGEEMMMFLDAFEESGLEDPVFAALVPNSAEKPLQELIDEIMGNHELLSAKNSS >Solyc07g005370.3.1 pep chromosome:SL3.0:7:288352:290530:-1 gene:Solyc07g005370.3 transcript:Solyc07g005370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKFIEQVQINAAASEVWNLYGTNEFPKFVVEKLPHIVEKVELIEGNGGAGTLVQVSLVGNPPYKEKFVLMDDEKRVKGVEIVEGGYLDLGFTFYGFKFEVIEKDENSSFIKLTIDFETKDVENVHLTIANLQAFIAIMKTSADYLEK >Solyc06g060980.2.1 pep chromosome:SL3.0:6:39073917:39075406:1 gene:Solyc06g060980.2 transcript:Solyc06g060980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPVFAVSVSEVEALFELFKNISSSVVDDGLISKEEFQLALFKNKKEENLFSDRIFNLFDVKQKGVIDFGDFVRALDVFHPNAPQEVKIDFSFKLYDMDGTGFIERQEVKEMLIALLGESAITLDEEIVEIILDKTFLEADSNQDGKIDKSEWHEFVGRNPSLLKIMTLPYLRDITTTFPSFVFHSEVDEAATQL >Solyc01g017230.1.1 pep chromosome:SL3.0:1:23812934:23813794:1 gene:Solyc01g017230.1 transcript:Solyc01g017230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIYFLTFEGNLNAHFQNYGGKQKITFYLISLWDKNGVKKNSCLLTMNNNQSTYFLSKSKYPSSNNSLDWNEFLKNAAVSVNISYFVIFIQPFLHKPIYSSLKISVQKGPKRILWDKILNGINHWSYNRAYIDAFNTRFFVGGIRGIGQYNHFVDRRVIGGMTNGVGVISFIIGEGIKYIGGGHICSYLFLYLAYVSVFLLVYYLLF >Solyc01g006080.2.1 pep chromosome:SL3.0:1:746437:749861:-1 gene:Solyc01g006080.2 transcript:Solyc01g006080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLTRYKEAIENFKLGELWDSFEEWSAYGVGVPLTLNNHNVTQYYAPYLSAIQIYTVQSPTTLSSCKIIPKNNNSETSSDNNNLSNFNTETMEYSKNQLGYLYLEYSDVCAYTWRHPLIDKVAQIYSQNPGIVKLNSVDLSPASWMAITWSPIGYIPLLGLRKRVPTYFITYHTLSSLFQENTNDETKEGVSMLKKKNSGIPLPPFGIASYKMQNDVYMDKAQAITKYDDLHGAANCWLNQLNYYHHDFRVFTSNAKNLDYIYLF >Solyc06g009867.1.1 pep chromosome:SL3.0:6:4134859:4135301:1 gene:Solyc06g009867.1 transcript:Solyc06g009867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKLIPLKTSDDEEFKVNKSVKSEVIKSLLEEDVTEVQLKNFDQNFLKISHSELLDVHLAATYLDDKQSDEVLFQDFLDRITWKTLEEMHEVFRIMNDYTLEEEELVRRENAWAFK >Solyc04g019315.1.1 pep chromosome:SL3.0:4:11588971:11910180:1 gene:Solyc04g019315.1 transcript:Solyc04g019315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPSKSADHDVRLFLLRLGNMTTNEKVLRSSIVRTRSLSFSVVRLNKRIPIHAVLLCCIMICRGIDPEKFLADTIYWQDQGFIISETKNPSYPEYKMFFGTSNYII >Solyc01g100340.3.1.1 pep chromosome:SL3.0:1:90287172:90288626:1 gene:Solyc01g100340.3 transcript:Solyc01g100340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGSREVERRILRLLHGQKTRTQLTQIHAHILRHHLHHSNQLISHFISICGSLNRMRYASIIFQHFQNPSIFLFNSMIKGYSLCGPYQNSVVFFSTMKRRGIWPDEFTFAPLLKACANLVDLELGQGVHKHVLALGFGRFGSIRIGIVELYSGCGRMTDAKKVFDEMPHRDVIIWNLMVKGYSQSGNVDMGLGLFRQMGERTVVSWNLMISLLAQNGREKEALALFHEMKNGGFEPDEATVVTVLPVCAQLGELDLGRWIHSYAKSEGLYPNLVSVGNALVDFFGKSGDLETAITIFNDMPRKNVVSWNAAISNCAFNGKGELGVQLFDKMLDEGVRPNDSTYVGALACCAHAGLVHRGGDLFDSMIANHGIEPTIEHYGCMVDLLGRGGCLKEAHKLVETMTMEPNAAIWGALVSACRTHGEMELAEYALKELIKLEPWNSGNYVLLSNIYADRGKWEDVEKVRVLMSGNSIKKAPGQSIIV >Solyc03g063150.3.1 pep chromosome:SL3.0:3:35605889:35611602:1 gene:Solyc03g063150.3 transcript:Solyc03g063150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLRFGLVLMVLCLSTSLVKGGDPTVTFEWKVTYGTISPLGVPQQVILINDKFPGPVINGTSNNNIVVNVFNQLDEPFLFTWNGIQQRKNSWQEGTLGTNCPIPPGGNFTYHFQVKDQIGTFFYYPTTALHRAAGGYGGLSVHSRALIPIPFDINPADEFFVLVSDWYTKSHTQLRKFLDSGRSMGRPDGVIINGKGGNGDGKDEPMFSMTPGKTYRYRFCNAGNKDSINVRIQGHTMKLVEIEGSHVVQNMYDSLDIHLGQCVSVLVTADKDPKDYLLVASTRFTKEPHTATSIIRYTNGKGPASPELPKAPIGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVNTAGTVDGKLRYAFNGISHVNPTTPIKLAEYYGVEDKVFKYDLMKDEYEPPAGKAEEKITLAPNVVKVIYRNYVEIIFENHEKSVQSFHLAGYSFFAVAIEPGKWTPEKRKNYNLLDAVSRNTIQVYPNSWAAIMTTLDNAGLWNLRSNSLERQYLGQQLYFSALSPKRDLKDEYNMPDNDVLCGIIKDMPLPKPYSV >Solyc11g007803.1.1 pep chromosome:SL3.0:11:2035661:2036433:-1 gene:Solyc11g007803.1 transcript:Solyc11g007803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHIFFQDLLLSILNTVLVPSPYSYCEDARNDVLSGAIYGSAELHHVGATFSKTIAPVTYMWQPQQCRKTDTKVDHAGICGEQQKIDGCASSRRLWVWIHAAAFSEGYNALLNACERQVDAAGSRVSCISLEDRLGKLELIGSRASELLQKLLHPATW >Solyc12g098120.2.1 pep chromosome:SL3.0:12:66741899:66743246:1 gene:Solyc12g098120.2 transcript:Solyc12g098120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKMTIQLEGKKIQDQDQFMVPQTNAFGHDFRDYNNAQSERQKGVEKFYKTQHINQTYDFVKKMREDYIKLDKAKMSIWECCELLNDVVDDSDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILVLPKFGGLPQWAVVGDTFPLGCAFDESNIHHKYFKENQDFNNPIYNTKNGIYNDSIGLENVMMSWGHDDYMYMVAKENGTTLPSAGLFIIRYHSFYPLHKNGGYKHLMNDEDEENLKWLHVFNKYDLYSKSKVHVNVEEVKPYYMSLIEKYFPAKLRW >Solyc12g062410.1.1 pep chromosome:SL3.0:12:32461106:32461516:1 gene:Solyc12g062410.1 transcript:Solyc12g062410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGFGIESVRERRNGATNGVTFGSLELIDIEQKHLKTKEKCEKGREKRKNGSRLAPVHQSYSRNRWIGEERINRGCFGGGLVMDYLARNNGEEGIYELAFTVKEMGF >Solyc10g011910.3.1 pep chromosome:SL3.0:10:4174666:4176501:-1 gene:Solyc10g011910.3 transcript:Solyc10g011910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDWDLNAVVRSCTATTTAGDISFTNFQPRTTDDDNNSNNNSVFCFEDLVLKQSLVLNRNKISDDFEELHQLCKPFINQPSEKLTVISSQSPSQQLTDTKSIQPNRTLSCNLHAQSQTIKRRKNHLKKVCQVAADGLSSDMWSWRKYGQKPIKGSPYPRGYYKCSTSKGCLARKQVERNRSDPNMFIVTYTAEHNHPMPTHRNSLAGISRQKTVKPTGSSPVSSPVTNSPAPENQESSRDEKEDTFEDDDDEFGVSDVGLDKMEPEDDFFDGLDELEIPATGDISPENFPANFQFPWLANNAATTAAGGR >Solyc01g006110.3.1 pep chromosome:SL3.0:1:766154:771927:-1 gene:Solyc01g006110.3 transcript:Solyc01g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELNMQEMPLPALFEQAKKIHDLASESSVDQGIVRKGCEILRVCEEMIGKLGLFSLNETKDDISTANLKYILVPYYLAELTEKIAEDDRIEVLKASQAKLKEFISFCETMELVPEDEIETSTQGGSSSSVDRRAKKIARFKRQRAAESKLLELKERRERRGRSTKAAALSSPVETGEEDVFDDDGEEEREAWLTTISLALCKAFDLLEMLKKEEEILSAVREKQLRDGEKEFSQLILDERTQKVESWHRDAAARARYTKPAAPITCATFAQDVIEGRAKVSQAHDHKHQPLLFGPASLVGMNPTTERQRIAAQVFQPHYRLPTMSIEEAGLTEMNMMNEWQERNVKLMEEATSSWYNDGPKTRPNEDDEEDDNDDAAQEKARAWDDWKDDNPRGAGNKKLTPCG >Solyc05g012823.1.1 pep chromosome:SL3.0:5:5978704:5984978:1 gene:Solyc05g012823.1 transcript:Solyc05g012823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLDLPRNLAASELVVVMKDSPQPHCPVAFGLTNMNSDLFIISSLTETDPKAIAIMCEIEDDEYIQTKKGGVSHLFPKTGHPIGSENIRKGKIFNMEQHELFEAHRYTLFNTGDEQVEAFIKEHKSLTDNRTRGNAWVKAQEENCPNIEETFWREPNDDIGSSERLVDVDVRWSREDLPVDIIDAPSIAQHSQDEAMKTSEEEDDFDDTDWDWMEVDD >Solyc02g091870.3.1 pep chromosome:SL3.0:2:53744968:53746854:1 gene:Solyc02g091870.3 transcript:Solyc02g091870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:K4BCY5] MRECISVHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTLGGGDDAFNTFFSETGSGKHVPRAVFVDLEPTVIDEVRAGPYRQLFHPEQLISGKEDAANNFARGHYTIGREIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAHHEQHSVAEITTTAFEPASMMAKCDPRRGKYMACCLMYRGDVVPKDVNAAVSIIKTKRSIQFVDWCPTGFKCGINYQTPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEYGQGEEGSEGEEY >Solyc02g055465.1.1 pep chromosome:SL3.0:2:2622635:2626633:1 gene:Solyc02g055465.1 transcript:Solyc02g055465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECTIFEVKVKKTVVFVNKTSSSLKNEFLIHGYAGRKKAIPYGGDMAVSNIIDFLAHHGSHFYDFPQEKGLV >Solyc10g047580.1.1.1 pep chromosome:SL3.0:10:41388971:41389273:1 gene:Solyc10g047580.1 transcript:Solyc10g047580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRAWSSSLTELKGLLMAGFLVRFFVVVESVFMGFWGHLVAVFVSGSTCWLQQCCFVMILAGDSGFDGFGVGYLVVLASSKLVRFMRYAPVWRKRTTAG >Solyc10g084810.2.1 pep chromosome:SL3.0:10:64332354:64346693:-1 gene:Solyc10g084810.2 transcript:Solyc10g084810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGSGFSFHVTVVIAAIAFIYFSTVFVFIDQWFGLWSSPGMLNAVFFTVVAVMCISNYALAIYTDPGQVPSSFVPDVEDPDNIVHEIKRKVLLAGSITINSPKDDQQSEGSFRVVYIISGLILVPLSLALGFFLCWHFYLILQNKTTIEYQEGVRAMCVAEKGGYVYSHPYDLGAYENLIAVLGPNVLCWLLPSTKHIDSGLRFRTSFDNKFRQQSRSLCIVSGSGSDESNSQDDEVDNLGVKAALSMLKFYKREISPIMPKSCRYIPTCSEYSMIAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEESPPQE >Solyc01g066900.3.1 pep chromosome:SL3.0:1:74967888:74971980:1 gene:Solyc01g066900.3 transcript:Solyc01g066900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFRMPPKKRKRLKMSSLITMKKIQLRICQRTMVKTPRSRLSYVHPFPKRFSSSSMKYRWACARLRSVLLFSFMMRSSL >Solyc10g083570.2.1 pep chromosome:SL3.0:10:63484485:63486037:-1 gene:Solyc10g083570.2 transcript:Solyc10g083570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGIDADELIKNAAYIATPGKGILAADESTGTIGKRLSSINVENVESNRRALRELLFCAPGALQYLSGIILFEETLYQKTAAGKPFVDVMKEGGVLPGIKVDKGTVELPGTNGETTTQGLDGLAERCQKYYEAGARFAKWRAVLKIGANEPSQLAINDNANGLARYAIICQQNGLVPIVEPEILVDGSHDINKCADVTERVLAACYKALNDHHVLLEGTLLKPNMVTPGSDAPKVAPEVIAEYTVRALQRTMPAAVPAVVFLSGGQSEEEATRNLNAMNKLQTKKPWTLSFSFGRALQQSTLKAWSGKVENIGKAQAALLTRCKANSEATLGKYAGSSNLGDGASESLHVKDYKY >Solyc05g007790.3.1 pep chromosome:SL3.0:5:2238512:2239329:-1 gene:Solyc05g007790.3 transcript:Solyc05g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BWV4] MKAVSIETGEGSKAKRVHGVNRGISVFDLVLRIVALVGTLASAVAMGTADQALPFSTQIVNFEAQYDDIDSFKFFVVSNSIVCVYLALSITISIFHIIRSRAGKSRVLLIVLDAIMLVFLTSGASAAAAIVYLAHNGNTSTNWFSICQQYTDFCQRSAGSLIGSFGAMALMVILIILSSIALSRR >Solyc01g102300.3.1 pep chromosome:SL3.0:1:90999674:91005471:1 gene:Solyc01g102300.3 transcript:Solyc01g102300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSEFLKMAIGKPESGQQKISSTSNLSSFPENDLVELKWQNGQIVMQGQNSSAKKSTVPNNLPSSASGDRDKYTGNSSTSKIGKFGLMDSMLNDMSLTVPTGELDLIQEDEGVPWLGYPADDSLQQDYCAQLLPEISGVTANEQSGQSVFGLINKRGSSDKMIGDSHSVPVHNAVNFERRNTSKVSPSSRFSPLSSLPSQKGHASIPTLESGVSDVFSSKNSNTPLSVLGESNQSKASAGDAKSNRIQKQNMPGNRSNLLNFSHFSRPATLVKAAKLQSSTGGSNISGSPILEAKGKKGEEKVTIGDNHVSAAATENFLTSKKDNFPHYPTNGVSSQLESRPSGASFHDRSCQAEQSDNAFRDCSSNNDNTHDHFTSAKATKDIADGERNVEHGVACSSVCSGSSAERGSSDQPLNLKRKTRDNEEFECRSEDVEEESVGIKKPCAARGGTGSKRSRAAEVHNLSERRRRDRINEKMRALQELIPNCNKADKASMLDEAIEYLKTLQLQVQIMSVGAGLCVPPMMFPMQHMHGAQMPHFSPMSLGMGMGMGFGLGMLEMNGRSSGYPMYPMPSVQGGHFPSPPIPASTAYPGIAVSNRHVFAHPGQGLPMSIPRASLGPLAGQPSTGAAVPMNVAREGVPVEIRGAQPNLDSKTPVHKNSQIVQNAEASCPQNQTCSQVQATNEVLEKSAQKNDQLPDVIGSAANRLTNRTNVPGNEAGPSL >Solyc04g071527.1.1 pep chromosome:SL3.0:4:58601177:58602697:1 gene:Solyc04g071527.1 transcript:Solyc04g071527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSAYRLDPGPLEPSVLTQQLTHRSRDIWNGSVNMILNTRRCDGKFWDLVKKYPIHPRVLKMIELSGLYGVYRSNRPSIDRSLITSLVERWRPETHTFHFRTGEATITLQDVEVLYGLPVNGDPVLGDESIRTIGDWQNICQRLLCFIPRPQDFNRSSLKVTALNAHMLEQLQIGVSGP >Solyc09g091050.3.1 pep chromosome:SL3.0:9:70865111:70875470:1 gene:Solyc09g091050.3 transcript:Solyc09g091050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQTHLQFPICSSPRLFHFKNPNSVSFSKKLFFSRKVNGLFSYSKFGAKDSFFCCSQTSGEILPLSSAQKEKETSERPPFDINLAVILAGFAFEAYTSPPDNVGKLEVDAANCKTIFLSESFVREIYDGQLFIKLKKGLNLPAMDLWGTSDPYVVLQLDSQVVKSKVKWGTKEPMWNEEFALNIKQPPLYDLQIAAWDANLVTPHKRMGNAAVNLEHLCDGDSHKLLVDLDGMGGGGKIEIEIKYKSFEKIEEEKKWWNIPIITEFLRKNGFESALKTILGSETVQARQFVQFAFGQMKLLNDAYNDSNSSSSPVLESDVLPESQQSSNLDDSSMPPASEISNNLKDTKVDGEVKLNRDGSDVTDEHDSPGTKILESFQSDKHFWKNFADTVNQKVVQRLGLPAPEKIKWDNLDLLNKIGLQSRKDADASYVESGLATPDKRENVNGSASTESPILNNIQSSLPDIKKVTQDLLRQTDTILGALMVLNATVSQFNKGAGLFGKGDAKEDSSTGLENDILLYPMNKDGIVLDEKKAEEMKSLFSTAETAMEAWALLATSLGHPTFIKSEFDKLCFLDNESTDTEVALWRDSARKRLVVAFRGTEQTKWKDLVTDLMLVPAGLNPERIGGDFKEEVQVHSGFLSAYDSVRIRLISLIKKAIGYQDDDLDTPNKWHVYVTGHSLGGALATLLALELSSSQLAKRGAIRVTMYNFGSPRVGNKKFAEVYNEKVKDSWRVVNHRDIIPTVPRLMGYCHVAQPVYLAAGDPQNTMDNVELLEDGYQGDVIGEATPDVIVSEFMKGEKELIEKILNTEINIFLAIRDGSALMQHMEDFYYITLLENVRSNYRTVPRPQLTEEKNISIG >Solyc07g065180.3.1 pep chromosome:SL3.0:7:67182739:67186646:1 gene:Solyc07g065180.3 transcript:Solyc07g065180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAADSTISMANIFLRSSHHHKKDVNLGSCMRKETWGFNLYLPKLKYQSRISFCCKNESIDFEERTSPNEVKKEIEQCYELIHRLGRGVVYLGSSRIGPGHPHYIKTFELGNKIATLLDCTTWSGAGPGLMDAATQGALQAGKPVGGFKIGKEAGEWTATNFHPYLPSESYLTCRFFSARKHGLVDAVVRCRSSERTAVIALPGGIGTLDEVFEIMALIQLERIGSQLPVPLLLMNYDSFYTNLLEFLNDCEKWGTVSKGEVESLWKICTNNSEALDYLAEFYGLSTIQTSRKAHLKINHG >Solyc04g074400.1.1.1 pep chromosome:SL3.0:4:60492687:60493616:-1 gene:Solyc04g074400.1 transcript:Solyc04g074400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFNYSKTPYPLVVLIFLSLLHITYATRNLFSLYQPPPMSLTYHNGDLLESELKISIIWYGKFSPAQKSIIVDFLRSLNSPSKIWSRKTPTVTKWWQTIQTYLTKAGKKETRLVLSNQFTDENCSIGKTLKKSQISELAHSKKGELILVLTAQDVVMEGFCMGNCGYHGSGQGKRSVFIWVGNSATQCPGQCAWPFHQPIYGPQAKPLGAPNGDVGADGMVVNIASLLAGVVTNPYGNGYYQGPAEAPLEAASACAGLYGKGAYPGYAGELLVDSITGASYNAHGTNGRKYLLPGLFDPNKSACSTIV >Solyc10g084850.2.1 pep chromosome:SL3.0:10:64359360:64364453:-1 gene:Solyc10g084850.2 transcript:Solyc10g084850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVRVVETSSALSQPSGGTSGNTLPSVCTLLSVGQAFSGTQNVSSQQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDTKNYTFFTGKWGATSEDDIKHWTKFPSFSPLLSQVDVDGGKSLDLNNYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSSSDGSINGFYYDPNSSPFQKLELKSTNEGRLGFSFSSYELQ >Solyc10g084390.2.1 pep chromosome:SL3.0:10:64079619:64080626:1 gene:Solyc10g084390.2 transcript:Solyc10g084390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVAIQKKDHDRRRNFLVEICMLSWLPHPNIVRLAGYCADGDHRLLVYENVQLGLLKEHLHDSAPDKKQLDWNTRMKIASEAAKGLEYLHDKQQPTVIHRRINCSNILLGEGYQAKLSGFSFAKLGPPDGKTHVSAIFERNYGHQAPEHATICEVSVQTGLLSVKSDVYSFGVVLLEIITGRKDIRNSKGGEESSLIAWAKPLIKDNKFSEMAYPALQGHYPATGLKQAVLVASTCVQKQPHTRPTMADVVTSLTYIAQGKYDSVTHALHNSVLNDGN >Solyc03g005660.3.1 pep chromosome:SL3.0:3:469598:474440:1 gene:Solyc03g005660.3 transcript:Solyc03g005660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTLEFALSSLTQQLVDNMGVIGVIKDEVSCLLSELHHLRAFLIDSNRNRRGSKILKHFVEELNRAINKAENSIDKFMIEVTLHKKRGIYRIFDLCYLVKAKRCCSDIRSIMEKLKEIRRDTAYALSLSLQLDDSKQTAHQLKRAPVVEEDEVVGFDEEADEIINRLLGGSDDVEFISIVGMPGLGKTTLANKVFKSVGYEFYNRIWVYVSQSYTRRDLFLKIINQFTRNTEQYRYVTEEALAEVIRKHLLFGKYLIVLDDVWTQEPLDDVKIALPNKMRGKVLFTTRDDEVGKFCCNEPHHIKFLTDHECWELLQKKVFHKDKCPLDLEVLGKRIAKKCMGLPLAALVIAGALTGRGKTKSEWEIVHQFVSEHIISSDIRMTKKLVQMSCDSLPVNLKACFLYCGAFPKGSEIPAWKIVRLWIAEGFIRETTGSKIESVAEGYLNELVSKSLLMVTQRTSNGQIKTFRVHDMLHEFCTLEASEENFFKEIKLGVEQSFPRNQELSTFRRLSIDSSVQEFISTNPYGDGIRSFLCFSSRNIAMSPYELETIPKSFPLLRVLDIESILFELETIRKSPFRKQFFQLYHLRYLAISSDSLKILPKFMEDLWNLQTLIISTQQETLNIEADICNMPHLRHVHTNASGKLCPSVLKTRNHRSALQTLSIIEAETLTEDVFARCQNLKKLGIRGDMTKLVGLLKLEYLEKLKLMNLASGKLQLDSENYGFPRRLKQLTLSGTWLDWEEIHRAVGHLELLEVLKVKENAFRGDSWELKKDYVFPCLKVLWIERSELVCWKGCDENFPSLERLVLRNLNKLEEIPINFANISNLKMMELVNTTKSTVKSAQKIESQSVCTGFKLTIFPPDT >Solyc12g062695.1.1 pep chromosome:SL3.0:12:34224025:34224549:1 gene:Solyc12g062695.1 transcript:Solyc12g062695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVECMKLGDVVIRRMDTEVNDYPGSGANNRHTPGHP >Solyc10g083335.1.1 pep chromosome:SL3.0:10:63294255:63303281:-1 gene:Solyc10g083335.1 transcript:Solyc10g083335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRDEYEEEITWVKLSTMAKNGHFFFLIRSLKGPSEEVKQLSIEQSANRWVACHPLKEQALSLHWHSHLVGTDAFQGKTFLLKGACWELDGDDGTSSSLGYEYLVISAPYPDKQMKRGWYKRHSILDRSSGTRYAGSRCNLPSRSCMKFLIGRRVDNLYMVSEQACRHWMTFLHRENGTTSASPRERMNREDCALGSFSPRKKEHKEESRSAGHRLKNSIHLTTAQMVGLTTLEPLQFKPKTYLIFVRFELYVPKLVENAPLGLRQWKFR >Solyc01g095360.2.1.1 pep chromosome:SL3.0:1:86511906:86513053:1 gene:Solyc01g095360.2 transcript:Solyc01g095360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTQILEINLISAQSLKTPVANLRRMQTYAILWVDSSTKLRTKIDHLGGENPTWNDKFLFRVSPEFISGETSGVSVEIYAVGYIKDFLIGTVRLLISSCLKGIAKHGITTATPAFNAVQVRRPSGRFHGVLNIAAAVYSSSDFSLLDGLSAICFGDLMEEKENGSRWRRRRLSRGGSKRSEPPPSSGGESYDFSDGTDSTTSSSSSSAVSMALKDCNCVPTVTEMAGKKDLKSDGGGLLCGLMLQKKIPFCPFDQNSSFWTDSFEKEP >Solyc12g008690.2.1 pep chromosome:SL3.0:12:2061198:2068983:1 gene:Solyc12g008690.2 transcript:Solyc12g008690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLRLCLMAIFGLVMALVIPSINAQVPEPAPAPTSDATPTLSISSILNKEELANISVNFLNLAKRPELFDWIVRIRRTIHENPELGFEEFETSKLIRNELDKMGIFYKYPVAVTGVVGFSGTGKPPFVALRADMDALAMQEEVDWEHKSKIPGKMHACGHDAHVAMLLGAAKILQEQRDILQGTVLLVFQPAEEGGGGAKKMLESGILDNVDAIFGLHISPMSPIGTVAASSGPIMAGSGFFEAVIKGKGGHAAIPQHTIDPILAASNIVVSLQHLVSRETDPLDSQVVTVAKFKGGGAFNVIPDSVTIGGTFRAFSKESFAQLKQRIVEVITRQAAVQRCNATVDFDTKNRPFYPVTVNNKALHEQFRNVAGQMLGIDKIIAMKPTMGTEDFSFFAEAIPGCFYLLGMVDETKGRFESGHSPFYRVNEDVLPYGVALHASLATTYLLEHQLKSTTRDQNVHDEL >Solyc05g046395.1.1.1 pep chromosome:SL3.0:5:59671029:59671055:-1 gene:Solyc05g046395.1 transcript:Solyc05g046395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKRPRRSL >Solyc03g096760.1.1.1 pep chromosome:SL3.0:3:60535926:60536264:-1 gene:Solyc03g096760.1 transcript:Solyc03g096760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTIVVPAAQTKASAVPESEVLRRRNEELEKELKKSIEREEKMKEELNKTWEKLRVAEEAEERLCSQLGEFEAEAVDQARIYRTRIHTLMDQLSTAQKLVQSDQITITDSQ >Solyc01g094085.1.1 pep chromosome:SL3.0:1:85535106:85537572:1 gene:Solyc01g094085.1 transcript:Solyc01g094085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFFTLNPSGKKTSTYLQSVIALALSNAALKFLPCSFEPHMLSSSTPKATLNTESRVAFMNRSYIDKTRITSLHKMKFKKMMYLAIVVKVCFENVLNVSRVRSINLIPERANQSVSLVLASKISHEMVQPVEVDELIENGATDWM >Solyc04g008160.2.1.1 pep chromosome:SL3.0:4:1839645:1841564:1 gene:Solyc04g008160.2 transcript:Solyc04g008160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKVCLPLEEERRRISDLIDDFLNGLKKIQNEEQKFIASKLDLIEKLRLELRFLRTFVLFGNSTNLDDFYRRMSLNISKLDTLIWSLFYEEEDKLILAKYNMDRLAPYLIKETASIVLRLKNVAIIMTEENMFEYRKSILKHLHDLPKYCSDLLQPLMSEYNILRQVCTHLRDFYQLECNKTTKTEFLYTRYQVTVDRVSQFCFDLWTEKYKDFDNEYDFSECSSKITSLLIDIIPLELEVLYISTSKLIKESTSTQLKRFVKQILKASPRILHNYLIHLQRRMEVAVAVNYAPTQSINVMMEFLLIFLTDIPKRFIHRKKLNDMLAHAGVLTRKISVLVSKLLEEISENNINEADFSAPDFFQEIEQMKGDIRQIFLKAPESSQLRFPMDDGFLFMNLLLRHLKDLLISNAYSVSLIKREIGMVKQSLEFLTSSFRQTLDESTSGVVKDCWLHALGVAYEAEHVINSILVRDKALSHLIFSLPNVTDKIKLIVAQVTGLQLEDKNGDETLDAKSSNEPIKQASSSFVEVVVGHEEDEAWIIGQLLDEHESKLDVISIVGMPGVGKTTLANKVYNNTLVASHFHVRAKCTVSQNFNKSKVLREILQQVTASETNGSEDDLAEKLRVALLDKRYLIVLD >Solyc10g081700.2.1.1 pep chromosome:SL3.0:10:62835707:62837638:1 gene:Solyc10g081700.2 transcript:Solyc10g081700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPIIIPSPPLLSLDKRQPLLSSNDSSRGVVRGSSSSSGGGSVVRRSRLAEVAGGTTAECAAVACCCPCGIANLLVLAVYKVPAGLCRKALRKNRRDRLMKKGLLPATGSGHCSCDEMELHAYQISSPIAMVGAGAGNLATDKDALELEKEMWDKFYGTGFWRSPSQRSEM >Solyc03g051590.2.1 pep chromosome:SL3.0:3:21427114:21429448:-1 gene:Solyc03g051590.2 transcript:Solyc03g051590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSNPIIPTYSSPLGSNEGSIEVVKLDIRDFLRNVLRENLIKLDNVWPSLVRKSLPFPSNYPASPGSSTVRAPFPPYDLFGSSSPDNSEAGLNQSAPDSPNPCEPASPPIAEPYHPLQEDGERLRELAGHKGASQPRDDSF >Solyc01g107300.3.1 pep chromosome:SL3.0:1:94783598:94786891:1 gene:Solyc01g107300.3 transcript:Solyc01g107300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVCVVCWSSWVYKYREKRVKALFTCSFSNYNSLLFSILLSQRIPFAKLWIIGEKSMMMRSCYRPLERCFERINGDGLLWHMDLKPHASGDFSIAVVQANSSLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNRHLFSYLHKFSKEQGGLSSDVIKSAFHATEEDFIQLVKRSLPAMPKIASVGSCCLVGAISEGELYVANLGDSRAVLGRRGFDAEKNSVVAERLSTDHNVSCEEVRKEVESLHPDDQDIVVHIRGVWRIKGIIQVGMMFYLNSPVRFIIAEP >Solyc08g065250.3.1 pep chromosome:SL3.0:8:53184104:53205045:1 gene:Solyc08g065250.3 transcript:Solyc08g065250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASGKSCGVPFTSLLDEQNYNVVNYDEKVMDGFYDVYGINPCAVIQGKMPLLVDLKAVSVLDNVAYEVILVNRAADMELRQLEERVYFMSRECRALKKVPVTSFLVEKIADLVVNRMGGLVNDAEEMSKRWTARSYELRISLNSIILPLGCLDIGHSRHRALLFKVLADRINLPCKLVKGSYYTGTDDGAVNLIKFDNGSEYIIDLMGAPGALIPTEAPTGQLQSYAVDVHSVTPLPSGGTVISFPVFDTQTRTGSGSVNAAHGTANTWISREEPAFYHNEAKGNYGNSSGRTGSTQFEHDSGNLPPLSARLCDASAVSHDNASIAQITQAREAYENVNSLAENSEAKLLGVSPESQMYLQSDLVLGVVAGKNQLSEERAVNTRQSSENNNQSLVTFTGMQFPYSISYESEQEYTVALPRNDTLNDTSGDKFFRGEFGNISHNDCTYKDKESATKAREIVTCIQSKSYAVQKEQLDPMLRGVAEWEIPWEDLHVGERIGIGSYGEVYRAEWNGTEVAVKKFMNQDITSDALEQFKCEIEIMLRLRHPNVVLFMGAVTRPPNLSILTEFLPRGGLYKLLHRPNILIEEKKRMRMALDVAKGMNYLHTSNPIIVHRDLKTPNLLVDKNWVVKVCDFGMSRMKHHTFLSSKSTAGTAEWMAPEVLRNEPSNEKSDVYSFGVILWELTTLQVPWTGMNSMQVVGAVGFQGRRLDIPPSVDPIVAEIISECWNQDPQVRPSFAQIISRLKRLQRLNIQGFETCTNRH >Solyc03g121090.3.1 pep chromosome:SL3.0:3:70796378:70798539:-1 gene:Solyc03g121090.3 transcript:Solyc03g121090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQKDKDSSFLRTHTLLLYILSYSISRINNTFEDMEKINQEEHQLWMIDEGHELDHGEICDNNNMSHSSSSSISSSIGEGSSNISNGSCCSSSLDTTDDASSSPSSDDGALYDLSSLMSQLPIKRGLSKFYEGKSQSFTSLSRVTSLEDLAKKESPYRRKMKSCKSYGAGLDSYKSYTLPKATILKKASKSSSFSSYGKASFISRSRPPLIPVQEI >Solyc08g005980.3.1 pep chromosome:SL3.0:8:744212:755176:1 gene:Solyc08g005980.3 transcript:Solyc08g005980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLIYSTTQCPSKLHHQNRSHPLLPTRIRHVDFKLPSLLRLESRRVNSLSIKCSHDSSSSFSGTQNGYEENPFVFPGSAYGSGSKTHFLKLIGERISQQNKALSASTIVLLSAVFVMLIHPVIVSPAFASFQAAAKAGGPAAAALTSRFVRNELLSSAWTGFFAGCLHTLSGPDHLAALAPLSIGRTRMESAAVGALWGCGHDAGQLIFGLLFLLLKDRLHIEVIRTWGTRVVGFTLLVIGAMGIKEASEVNSQFVPLENGDSDVSVYEDINTPVIGKKKKKVGFATFATGIVHGLQPDALLIILPALALPSRLAGAAFLGMFLVGTVMAMGSYTVFIGSCSQALKDRVPRITEKLTWASSLIAIGLGLAIIISQFFGFSLY >Solyc07g005360.3.1 pep chromosome:SL3.0:7:279105:286869:-1 gene:Solyc07g005360.3 transcript:Solyc07g005360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CB08] MRFTRRFTRIFIILCLIAVFVTTPILLFSSHRLIHLGSDASKEFVGDLSILKHRIEVQALNAVQQEEVETLKEPILDVYRDGNQISAVSLYTIDKNDSLGQPKNVSLAGNNETTHDTKKVGQQSLPEETLGRREKASEKSRPKEVLQNVQSHPRRVLDERVKEIKDQLIRAKAFLNFAPAGSNSNFVKEIKLRIKDLERAAGEVSKDSDLSRRAIQKMKAMSATLSKASRLFPDCSAMVKKLRAMTYNAEEQLRAQKNQASFLENLAGRTTPKGLHCLSMRLTTEYFALQTEEQKLPNQHKFQNPDLHHFAVFSDNVLACSAVVNSTVSTARAPEKIVFHIVTDSLNLPAMTMWFLSNPPGKATIQIQSIDMFDWLLNKDTLQKQESLDPRYTSPLNHLRFYLPEIFPYLNKIVLLDHDVVVKRDLSGLWHINMNGKVNGAVETCLEGEPSFRRMDMLINFTDPMVATKFDAKSCTWAFGMNLFDLQEWRKRNLTGLYHKYLELGSDRPLMKAGTLPIGWMTFYKHTRAIDRRWHVLGLGYDSGVKLNEIEQAAVIHYDGVMKPWLEIGLQKFKPYWKKHVRYEHPFVQQCNIHD >Solyc10g076900.2.1 pep chromosome:SL3.0:10:59968835:59973142:1 gene:Solyc10g076900.2 transcript:Solyc10g076900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCSGPTLNKDSADSSKTDGKGSDSNNVQNTPPPHLQIPGDQDEKSKNSQNGDDIVVEDVKRNKSSNLKRVMSAGLQVDTVLGRKTGNLKDIYTLGRKLGQGQFGTTFLCVDKAQPKEFACKSIAKRKLTTEEDVEDVRREIQIMHHLAGHPSVVQIVGAYEDAVAVHVVMELCAGGELFDRIIKRGHYSEKKAAELARVIVGVVEACHSLGVMHRDLKPENFLFVNEKEESSLKTIDFGLSVFFRPGETFTDVVGSPYYVAPEVLRKRYGPECDIWSAGVIIYILLSGVPPFWDETEQGIFEQIVKGELDLVSEPWPAISESAKDLVRKMLVREPKKRLTAHEVLCHPWVRVGGVAPDKPLDSAVLSRLNQFSAMNKLKKIAIRVIAENLSGEEIAGLKQMFKMIDADNSGHITLEELKKGLEKVGSKLKDSEINSLMQAADMDNSGTIDYGEFIAAMLHLNKVQKEDHMYAAFSYFDQDGSGYITQEELQKACEKFGLSNIPMEELMREVDQDNDGRIDYNEFVAMMQDTGFGKNGNKRV >Solyc01g104600.3.1 pep chromosome:SL3.0:1:92942773:92946758:1 gene:Solyc01g104600.3 transcript:Solyc01g104600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGVGDGVEGESTTKKTVRFNGYFSTQRSLGGAAEGIEFQDEWPYAIHLLGHIYSNDINSARFLWKKIPAAVKEARPEVVAVWRIGQKLWTRDYVGVHEAIRGFSWKLYRKRMFELLLSAYSTISTQDSAHFLGMNENDATNYVLQQGWALDSASQMLTVKKQAVLTEQKLDPSKLQRLTEYVFHLEH >Solyc07g017510.3.1 pep chromosome:SL3.0:7:7392942:7416727:1 gene:Solyc07g017510.3 transcript:Solyc07g017510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPENKKLSEIVDVVKLWMPRKTEAQVSVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCASNSIPVSNEEPKAGQEDGDRIRVCSFCFKKWQQGLATVDSRMNLPCPGLSPSPSTTSLASSQSGCTCNSGSSGSSTVYPTGPYQYVPCSSCQSASQSAQLGQAALQQDQATSMGNPEFSHFISCYRSDDEDDDYGVCGSHSEPRHFTATDVYYGAFNYKEMNDICGPNNGQPAEIESSSVNSSSLTENCEWKNSEGMKELAKEIDSCINRVEHEEPPPYDVNDTDNAPVDFESSYLLWLPPEPETEEDDRESLSFDEDDDCSEEAPGEWGYMDSSWNLADGEYHNRSTAEHRKAMKNVVDGHFKSLIVQLLQVEHIPPAEEDNSESWSEIVTSLSWEAATLLKPDMSQNGGMDPCGYVKIKCIASGHRRESMVVKGVVCKKNVAHRRMNSKFKKPRLLILGGALEYQRVANHLSSFDTLLQQEMDHLKMAVAKIDAHHPNILLVEKSVSRFAQEYLLVKNISLVLNVKRPLLERIARCTGAQVVPSIDNLTTPKLGYCDSFHVDKFVEEHGSAGQAGKKLTKTLMFFEGCPKPFGCTILLKGANGDDLKKIKRVIQYGVFAAYHLALETSFLADEGASLPELPLKSPLKVALPDKPSTMQRSISVIPGFSVPVAQRPHDHHSPGTPSHSSTKPLSGITSPSNSAPMLVEQSSFPECYNSLPSATTASNNVDFSDCPNSSHHSRRQFSDQADGRNEMAPNDPHEASPLDRGEDARNDYILNFPSNSLRDTGSFSHVVGGFRTVHLSSELVLPEFDNSYFEELGSLQQDYPSSPSDQLIIVVCLSTRCVWKGTVCEPPHISRIKFYGITDMPLGRFLRDRLFDENFRCPSCEMPPEAHVRCYTHRQGSLTISVKKLPECILPGEQEGKIWMWHRCLRCPRDNGFPPPTRRVVMSNAAWGLSFGKFLELSFSNHAAASRVASCGHLLHRDCLRFYGFGKMVACFRYAPVHVYSVFLPPPKLEFSYDNQEWIQKEGDEVRRRANALFAEVSKVLHVKLEKFSVDSSLKAPKTSEQITEMEEILEKEKTEFEGLLRKALSREIKVGQPTVDILEINQLRRQLVFHAYLWDRRLIHLSSSHGKNSRTPGSLTQLKEKPLSSSEELPERTAILRPGKSLGSYDSALQNVKTEITSSEGRHGQIPDGVHERLTTDENLTHGKDCEIFCAIRNTGDGNVLEPGKNVRRVLSDAKFPSVGSLSDTLDAAWTGESHLAIKEHNSAFPGSFVVDSTALTGVSANTDVERSLCDKNGAEIPHLSAKSDNTDYLTWATAHFSNFYRSFNKNITANPQYVAKLNEHNPVYILSFSELLHQGGARLLTAVGENDIVIPVYDDEPTSIISYALVSPDYRNQMSDESQNLKENHKFSASLSFLDSLNLLPLHSVDGVVSESPRSFGSTNESMSSGYSSRSSNMDPPAYINALHARISFSDDGPPGKVKYTVTCYYAKQFETLRKSCCPNESDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSDSIASGSPTCLAKILGIYQVTSKHLKGGKESRMDVLVMENLLFKRNITRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGTQAKRLLQRAVWNDTSFLASIDVMDYSLLVGVDEKKQELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNAAPTVISPKQYKIRFRKAMSTYFLMVPDEWPT >Solyc02g089075.1.1 pep chromosome:SL3.0:2:51622464:51625913:1 gene:Solyc02g089075.1 transcript:Solyc02g089075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTMMMLLVLLVTVIKVESVSECNGTSSSIDACLRDDDELLMESETSSRILLAAGGNARSGRLNYKATGKPEICNEQIVGNCINNKNVKATHCNFGTRCKRDVQQVTEALDDVDEFLMESQTSTMILAGNARDQHLSFDATGSKGEICKENIKGNCIDNENLNAQHCTYGSRCKHDVISS >Solyc09g072570.3.1 pep chromosome:SL3.0:9:65524014:65534205:-1 gene:Solyc09g072570.3 transcript:Solyc09g072570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASGAFKSREDHRKQLELEEARKAGLAPAELDEDGKEINPHIPQYMSSAPWYLNAERPSLKHQRNWKSDPNYTKSWYDRGAKIYQADKYRKGACENCGAMSHDTRSCMERPRKLGAKWTGKHIAPDEKIEQFELDYDGKRDRWNGYDAASYAHVIERYEARDEARRKYLKEQQLKKLEEKNNKDDKEGGDSDNEDCEDALKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRVSGQALEFKQLNIHAWEAFDKGHDVHMQAAPSQAELLYKNFKINKEKLKVQTKDDVMEKYGNAASEDTLPRELLLGQSEKEVEYDRAGRIVKGQEMSLPRSKYEEDVYINNHTTVWGSWWKDHQWGYKCCKQTIRNSYCTGAAGIEAAEASAGLMKANIARKEAAEDTHVPVEEKRQATWGTEVPEDLILDQKKLTEAIKKEEERRREEKDERKRKYNVKYNDEVTPEEMEAYRMKRVHQDDPMKDFLH >Solyc09g005570.3.1 pep chromosome:SL3.0:9:398825:400762:1 gene:Solyc09g005570.3 transcript:Solyc09g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKDTSSTKCFSSIFQRLICGGSLPTHPCDQFKEANTTTYEANSNKLVGGANSSPGIVARLMGLESLPREEKSKFGSFSRSKSANSLDYLMQFDLTQQFHHRRVRTSLSFREIPNQEESKSEYLVFCINDEKQEMMKPKKKRQNVEKKQVSGKQNRIGNNKVKKQVIKFEDYPTKMCVESKKNKRKSKCVVSTKIQPLYYNSTPNDHLQQDATIPSEGKIQVNSDAMKSKVEKQKEISKEKDHYIKVVGEICRLTEEELNESHWITSIRNGENINFEDLCQQFGQQLLQLLIDQLVHELVIFAQ >Solyc12g044410.2.1 pep chromosome:SL3.0:12:60285518:60293678:1 gene:Solyc12g044410.2 transcript:Solyc12g044410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSCKDGKSIEDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSHIEPRQIKVWFQNRRCREKQRKESSRLQGVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYFRKQTQTTKLASKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGMAARACGLVGLDPTRVAEILKDRPSWYRDCRAVEVLNMLPTANGGTIELLYMQLYAPTTLAPPRDFWLIRYTTVTDDGSFVVCERSLGNTQNGPSMPQVQNFVRAEMLPSGYLIRPCEGGGSIVHIVDHMNLEAWSVPEVLRPLYESSAVLAQKTTMAALRQLRQLTLEVSQPNVTNWGRRPAALRALSKRLNRGFNEALNGFSSEGWSMLDNDGMDDVTILVNSSPDKLMGLNLSFSDGFTSLSNAVLCAKASMLLQSVTPAILLRFLREHRSEWVDNNIDAYSAAAVKVGPCSLPGVRVSNFGGQVILPLAHTVEHEELLEVIKLEGVCHSPEDVIMPRDMFLLQLCSGMDENAVGTCAELVFAPIDASFADDTPLLPSGFRIIPLDSAKEASSPNRTLDLTSALETGPVGSKVANDLKSTGGTSKSIMTIAFQFAFESHMQENVASMARKYVRSFISSVQRVALALSPSNFGSLGGLRLPLGTPEAHTLARWICQSYRRFLGVELPKLSSEGSESLLDSLWHHSDAIICCSAKALPVFTFANQGGLDMLETTLVALQDISLEKIFDEHGRKNLCSEFPQIMQQGFACLQGGICLSSMGRPVSYEKAVAWKVLNEEDTAHCIGFMFVNWSFV >Solyc10g079060.2.1 pep chromosome:SL3.0:10:60816071:60819693:-1 gene:Solyc10g079060.2 transcript:Solyc10g079060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMQEILMHPDELYPLIKLMLSVKRVENKTSVWLLQPHWAFCYATLRKVSRSFALVIQQLPSDLRNVVCVYYLVLRALDTVEDDTSLAIEVRVPILRNFYCNFYDPQWNFSCGTKAFKVLMDQFHHVSTAFLELDTNYQEVIKDITKRMGEGMAKFLCKEVETIDDYNEYSFYASGLCGLGLSKFFYVSGREDLAPESISISMGLFLQKISIIRDYLEDINEVPKCRMFWPRQIWSKYVNKLEDFKYEENSVMAVQCLNEMVTNALLYVEDCLTGMSSLRNPAIFHFCAIPQIINMGNLSMYYNNVEIFKGVVEMRRGLCAKIIDQTRTMADVYGAFYDFCCIMESKVDRDDPNATITLKRLEAILKTCRDSGTLNQRKSHTFSHQPNYNIPVLVSFFWLIFIVHDLHILYICGMSIKNNFYVFIK >Solyc08g077360.3.1 pep chromosome:SL3.0:8:61360502:61367200:-1 gene:Solyc08g077360.3 transcript:Solyc08g077360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVIGLVNKIQRACTLLGDYGDDRTLPTLWDALPTIVVLGGQSSGKSSVLESIVSRDFLPRGSGIVTRRPLVLQLYKIEPGQQDYAQFLHTGNKKFLDFAMVRSEIQQETDRVTGKTKQISPLPINLSIYSPNVVNLTLVDLPGLTKVAVEGQPESIVQEIEDMVRAYVEKPNCILLAITPANQDVATSDAIKIAREVDPAGERTIGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGLVNRSQADINKNVDMVVARRKEREFFATSPDYGHLANRMGSEYLAKLLSKHLESVIKARVPAILSLINSSIDELEAEMNYLGRPVAIDASAQLYSILELCRAFDRIFKEHLEGGRPGGDRIYGVFDHQLPAALRKLPLDHHLAMQNVRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFILKELVKKSIGETQELRRYPTLQAEIASAAIEALERFRSDSKKTVLRMVEMESSYLTVDFFRKLPQDADKGGSPISSSADRYTEGHLRRIGSNISSYVGMVSDTLRNTIPKAVVYCQVREAKRCLLDHFYTQLGKKEGHRLSQLLDEDPVLMERRQKCSRRLELYKSARAEIDSTLWGK >Solyc02g086300.3.1 pep chromosome:SL3.0:2:49632533:49634492:-1 gene:Solyc02g086300.3 transcript:Solyc02g086300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEELSVVLPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVHLLLDSFEPIHGVLLCEGEDIDPSLYNDELSDLSVEELEEIRRLHASDTAIDKEKDTIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLIQDIGKEISKNLPENQRVVHMDYDNYDGHRHVIQVVEETPLHHWFKDSLEDEKMEISVNSYHHQGVKKLAQRFVPMAFAPDGLIEGFYDPDAYNPVEGKFIMGLQFHPERMRQEDSDEFDYPGCTFAYQEFVKAVVAYQKKLLSTTTIEKPLKLNQEMEKKRKIIVRSFSLARDLYEKGCTFQSSKTSDLDVGAEFLESNTALSLQQETRLKQMGATVRNASSYLQRLKMNEEKERLGRKVMGKMSVEQLSDLKTFYNMMGQICSEVLERKLQDLMTLDEEF >Solyc01g111290.1.1.1 pep chromosome:SL3.0:1:97500864:97501055:1 gene:Solyc01g111290.1 transcript:Solyc01g111290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYENRATNCTSIHSLEIRIEQTHQNLSCDIHSSLNTHYHCQLWANKPRAHAERVLEQLGFNN >Solyc06g060090.3.1 pep chromosome:SL3.0:6:38112644:38121772:1 gene:Solyc06g060090.3 transcript:Solyc06g060090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEFGVLVERYGVKVQGGKSTPMANLKAKNNSSNGFPSNLGFNSGQNTGYSSGDPFADDLDGIFRSKTSPNYDDNDIFGGVFANSKQQQNNVDIESVLGGSSSGNNNNYASKNVNDDFDLFGTEPKRSDSIDDIFGNLGLKSDSLKKDPAVNRSEGDDLIPGFGDFSSPSYSKKSDPRSPQQSNSSKSSSSSPDDPFLVFESSTSEANASSWPFSESSEQHRGSNPVTSSIDELENFANFKVRSDTTERPHVPKKDSSVKSPVDRAQEKSKNVRVTINKPSNTTKPKSAAEGHMSAGFHKKGMPEEKKSSLSKKNASPVKNFVDDLMFFGDSAPSSEAFQEVEDESEERRNARLKHHLKTQERMAKALAEKTQRDLQSQNEQEEKHRLADTLNYDIKRWATGKEGNLRALLSSLQHVLWPECGWQPVSLTDLITSTSVKKVYHKATLCVHPDKVQQKGATVRQKYIAEKVFDLLKEAWNKFNTEELR >Solyc08g023277.1.1.1 pep chromosome:SL3.0:8:29333391:29333930:1 gene:Solyc08g023277.1 transcript:Solyc08g023277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDDNNIKSTRLEEVDIPQNLELLNKWTIPKVDTRLIYDYGWFDKLSTKQVVKTTEQSLALNSDEQIVQLLNKRDIDIYKSRYNWMHIGMVQIAFKPLTLKGLLETFLAALGDARNLDFSQSLMGSIEFTVAYGPVYLNTQPNLQLSLYNRNILDALTLNVKTHGYNCAVGSELICLS >Solyc05g053950.3.1 pep chromosome:SL3.0:5:64811619:64817636:1 gene:Solyc05g053950.3 transcript:Solyc05g053950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFAGYRFDAAGAVAGEGGDCVSLYEGTVWHERRRPVHHSFRYPVRYALIDLDRSSNPPSDHFSANEARRISGTTGPVVGYVQNPLSLYYCYDTEGSLQYLKKCIAEVTNTPWGERVSFLFNPNSDVVAKSLHVSPFMDMLGNWTMKTNTPGDNLFVTISVNHPKHGEYFSASLMAKRVSSSTHTDLDLFFWLMPHKVALGIYWQALKLWWKGVPFLQHPRYYNPRYREEAILSDEKLQCCPAFAFETQNNQQAGEHCFSPADHSTSRHHGFTWRDAKWPWC >Solyc02g077440.3.1 pep chromosome:SL3.0:2:42947990:42951018:1 gene:Solyc02g077440.3 transcript:Solyc02g077440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKSKSKPPPKKRMDKLDTVFSCPFCSHGTSVECRIDMKNLIGEANCRICQESFSTTVTALTEAIDIYSEWIDECERVNNLEDDDGS >Solyc02g005390.1.1 pep chromosome:SL3.0:2:8595449:8597444:-1 gene:Solyc02g005390.1 transcript:Solyc02g005390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIGIADDINGRRGDKSVKEHTVVFDNGDEHQFDAVIFAIGYKKIVTKWLKDYSSIFHEYDKLIN >Solyc06g066550.3.1 pep chromosome:SL3.0:6:41917895:41925620:1 gene:Solyc06g066550.3 transcript:Solyc06g066550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNNINLQIYFSLCLDGFAFKYSLFTPIHFLLSFFLAFSMASLFALRISSLHNQEIVRSWNFTKSGSKKLCSSNINVNEQSFGCIKYIILQGHLSGSLSQKIPVKCIPSEALGSVAAAGNPDQNIIMVDSSIISSHRDEMEFSRVNCLVWVLHESARSFSVAVQPLELAKNGPELAMAWVGVDVHAWHKSIAYQVAIYALFKAAIEVEVFLSRKRSNNVSSVHEILSPITDFLGERIESQLNLRNPKLVQWFRTLELPRIAGMFIPLFKKWSVDYAGSGVAGNILAISCCTAVRKLGSGRVSCPLCSTSVEDALVELMNLSHRLVSIDKLHYLATEAGFEEDFLFHFGRKVLPSNNIEDVEFWIGLVQRKLSNAFHRENVIADKHNFHDKVQENSLATLGLFAYLGRETRLFLSEMGVKDLDEQTRDFLSYLECGSLLMHPEFSTLSEYQLFMEVVANEIGWLDFYAESASKFCVKRRSKQHPIQAEKEIILYTVLTVCYDVIAGFAHYNNSAQQPLDAKLLDFLLQSQSLLSVCLEDYWAAYDRTGEVQKFADRSASDQAASLFSKGGMGSSIILDVKEKPIYQYRSRLNQATSSAVMDPVTLVESECSTAPKPMHENLLRKSTTKLISASVDFWMGTELLFTDVSDALELLIKQLKGRQLTKRERKKMKRTLGDIATLVPITILMLIPVSAVGHAAMLAAIKKYVPSLIPSPFSSERLDLMKQLKRTKKKEVQARSSIDNPSSKVVE >Solyc01g008780.3.1 pep chromosome:SL3.0:1:2752197:2757534:1 gene:Solyc01g008780.3 transcript:Solyc01g008780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSFFGNFPWFKPHSANDMASTVASTSTLMQTPKQNAQFWKWTVFSFLPWAKVAEGNIQMPTTVNKKLKRRPSREGVDSLARKSAIRFRPYVSKVPWHTGPRAFLSQLFPRYGHYCGPNWSSGKDGGSPIWDRRPIDWLDFCCYCHDMGYDSHDQAELLKADLAFLECLEKPNMSTRGDPHVALLYKTMCTSGLRNILIPYRQQLITLQSKQLCFGFGWLGGIMEPAKCLKDRFVWLQK >Solyc01g091830.3.1 pep chromosome:SL3.0:1:85257042:85259462:1 gene:Solyc01g091830.3 transcript:Solyc01g091830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSPLARLSLERRPKLLKEFLLQDDPYSSNDFGSYPNKYIHGSTIIRSNKGSSHQLLRSRSSRAATATISAINKVISIVKFLPFTSVKSPSIFPRNISRKLSRKNNYKKSQKHNVDQDVSVKVKVKDILRWKSFRDLAEEKSTPLDSSYSPYRYGTITAMTTTTITTGKRTSWCDSDSTAEDLPSWWGENGELLGRKNSVGGYCMETTKSIINKEELCFDENEQHSPVSILESPFQEDDDEGSMAFSFQKRKSMLLHRIQQFESLAEENINSKVEEELKEDEEIEEKAKQLLINCEVNYMDDDQLLFDFFWNELITSGKKHQNNVSNVDEKLLREAKSWINDDYNEEFEWEIEDKREAYIKDMEKVANWNKFEEEKQEFILDLEFEVFNDLVNEVLVDVFSHN >Solyc04g054220.3.1 pep chromosome:SL3.0:4:51960346:51970680:-1 gene:Solyc04g054220.3 transcript:Solyc04g054220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIWLVALASVAIIFLVKEFIKRRKLPPGPIRLPLIGNLHMFGENLHQDLYKIAKKHGPIMTIYFGLIPTIVASSPYAAQQFLKDHDQIFASRPYHAACYYIFYKQRNLIMGKYGPYWRHMRKLCTLQLLNNVKINSFQSMRKQEVQILVNFLIKAASNRDVVDLSAKLASLSANMACLMVIGKKYNNVDGDFKDMIQQTSHMAATPNLAELFPFLRFIDFQGSVLRMKQIAESCDEFLENVIDEHTRQYSSDQSKTSIDMVDTLMEIMQSGEAEFEFDRRHVKAILLDLLVASMDTSSTSIEWILSELLKHPNVMKKLQSELDNVFDQKGIVEEKDLKNLDYLNMVIKEGFRLHPVAPLLLPHESIEDCTLDGFQMPKGSRVLVNVWAIGRDPDVWREPEKFIPERFVESTIDVRGQNFQLLPFGSGRRSCPGLQLGLTIVHLVVAQLVHSFDWELPNGMMPNDINMTEKFGLVTARALPLMAIPIYRLHNK >Solyc08g082110.3.1 pep chromosome:SL3.0:8:65119041:65121169:-1 gene:Solyc08g082110.3 transcript:Solyc08g082110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QETSLLLLQKLLNFITLQFIFLCKITIFKITFLSLKKKTTRKMDCGFNYEYNSLINELTQGLEHVKQLRASNKIQELDFHLQMILSSFEKSLSILNWSTSGLATQTPLLVAPPESSISVDENPKSDDQDFIYVSKKRKQMPTWSEQVRVSSENGYEGPTDDGYSWRKYGQKDILGAKYPRSYYRCTYRLMQNCWATKQVQRSDDDPALFEITYKGTHTCNQTYNCAAATQPKSPQKHKHKKQANNSRTTPSNQMLANLQANLRVNTDDLDKKEATCSFPFSPTFSGFVDENLHFQMSQVDDSLVGGYSPSFVSPTTPESSYFSLPTCQMNDSRRMYNAYHSESDLPDLFSANTSSTSSPIIGLEFPLEHVELDPNFSDFFR >Solyc12g016030.2.1 pep chromosome:SL3.0:12:6075782:6076116:-1 gene:Solyc12g016030.2 transcript:Solyc12g016030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAQGSFRKLYNGTYNGEDVAIKLLERPEHALEKAHFIEQQFQHEVKMLENLKHPNVIRFVGACRKTMVLCIVTEYASGGSVRQFLAK >Solyc06g050980.3.1 pep chromosome:SL3.0:6:34143832:34145846:1 gene:Solyc06g050980.3 transcript:Solyc06g050980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:K4C5P1] MLLKVAPAFALLNTPKSTNGENLLTHKSFSAKSGNGFMVCASKGTNHKPLTGVVFEPFEELKKEFMLVPSLPQASLARQKYCDESEAAINEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSAEEREHAEKFMEYQNKRGGKVKLQSMLMPLTEFDHVEKGDALYAMELALSLEKLTNEKLLNVHAVASRNNDVQLADFVESEFLGEQVEAIKKISEYVAQLRRVGQGHGVWHFDQMLLQEGAAA >Solyc07g026815.1.1 pep chromosome:SL3.0:7:31742277:31742710:1 gene:Solyc07g026815.1 transcript:Solyc07g026815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLTNAPATFCTLMNEILHPYLDKFLVGNQLYVKREKCEFAQPKVYFLGHVISHGELRMDESKIRAIQEWEAPTKVIELRSFLGLANYYRRFISGYFAKAAPLTELLKKNKPWV >Solyc07g049637.1.1.1 pep chromosome:SL3.0:7:60096960:60097331:1 gene:Solyc07g049637.1 transcript:Solyc07g049637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVEYDQSVDLHNDLLEDVNKNHRLIGKLLYLTNTRPYITFAVQSLSQFMQQPKVSHWDAALRVVRYIKGDPGKGLLLSSNQKPQLTGFCDADWAACPNTKRTVTGSILKFGDYLISWKSKK >Solyc07g047620.2.1 pep chromosome:SL3.0:7:58978911:58989884:-1 gene:Solyc07g047620.2 transcript:Solyc07g047620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTEKKAPINRCGKLSKQLYPLPSKSSVFKDGCPAVAQSFKKRKRFFLYCEVFVKSIATKQSKLDPIPLPHRTISEPKGQDLDFVNVAHSHLVHSDWTKLENLSSGLTQFRVKHILLKIQKDYVLSLEFFKWVEVKSPNSNTLENHSIVLHVLTKSKKFKSAESILRKLLESGSVDFPGKLFEAILLSYRICDSSPRVFDSLFKCHAHLKKFRNASDTFCSMKQYGFVPTVESCNAFMSALLSSDRVDVALAFYKEMRRSKISPNVYTFNMVVSALCKSGKLEKAVEVLREMENISLKPTAVSYNTLIAGHCNRDLLSVAMKLKTTMEMNGIQPVNVTYNTLIHGLCKVGKLHEANKLFSEMKRTGVAPNVVTYNTLINAYSQVGNSEMGSRLFEEMANNGLKADILTYNALIMGLCKEMKTKKAALLVKELDNANLVPNSSTFSYLISGQCTRRNPDRAFQLYKSMVRGGFYPNKPTLTLLISTFIKNEDYDGAMEVLKEMLERSITPDVGMLTEICSMFLRCGRDGVIIKLLQELEAKRLMPEGFDKTTVLSTQVWSSGQQPGWYIPHIGNWALGCESS >Solyc11g027988.1.1 pep chromosome:SL3.0:11:20020023:20036675:1 gene:Solyc11g027988.1 transcript:Solyc11g027988.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEIKSAHTHIDLRLIKKIVPWTDLYLSKLFSFDVSVIFLTKLLTSWSLPDRSCYKLSRCCFVRKRVFSLYTGEQLLCFLSIDRSSYSQAEQHQLFTLLFHPTAPSLI >Solyc11g008990.2.1 pep chromosome:SL3.0:11:3137060:3144216:-1 gene:Solyc11g008990.2 transcript:Solyc11g008990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRTPITGITMSSAPSISSCNRVVVVKVMPFRASFFGQGVGAVKLAGLQLTHSYRSRCNSHGGGALGARMNLFDRFARVVKSYANALISTFEDPEKILEQTVLEMNNDLIKLRQATAQVLASQKQLENKYKAAQQASEDWYRRAQLALGKGDEDLAREALKRKKSYADNANALKTQLDQQKAVVDNLVSNTRLLESKIQEAKSKKDTLKARAQSAKTATKVSEMLGNVNTSSALSAFERMEEKVLTMESQADALNQLTSDELEGKFALLETSSVDDDLASLKKELSGSAKKGDLPPGRTPVTRSSSPLQFQDSEIEKELNELRRRANDF >Solyc11g039390.1.1 pep chromosome:SL3.0:11:45451635:45453346:-1 gene:Solyc11g039390.1 transcript:Solyc11g039390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKERCAEDTTNAGSPQSMWVDRRAQDMDDAAGDDGSPGQRYARRPCVASTDNYVKAKGDAERQHTMCAKSSVKTKGDASNPRMIMNEQCANLQPMQEFHDQHNFSIVQKPQPMLPR >Solyc01g081050.3.1 pep chromosome:SL3.0:1:80212625:80246028:1 gene:Solyc01g081050.3 transcript:Solyc01g081050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKKLSTCCISHEFYKVATNNPNKIAVIQACGGLKIAKEFRLSCSENGDQDNREKFQEFVSSKMKSVNPPIYEGDQCFTFSEILSAVDSLSSRLRCILDGGDDPNLINSSAVVNIHQTANDCSSKDQLLLGSSDRGLEQYTQFHKTYIPRVVGIYMEPSVEYIVSVLSVLRCGEAFMPLDPSWPNERILSVISSSKADLIVGYKSSVDRPCHQLDKLRWLIHKGSYPLFYMSIENVMRKKSDSSLAWPCESERLRSFCYLMYTSGSTGIPKGVCGTEVGLLNRFLWMQGSFPFQKEEILLFKTSISFIDHLQEFLGAILANCTLIIPPFNQLKDNIFLVVNLSQEYSISRLVAVPSFIRAILPALHSMHYPTAQISLKLLVLSGEIFDISLWKMLVKLLPETTVLNIYGSTEVSGDCTYFDCKWLPTMLEQDALDSVPIGIPIDNCEVVLIGENSLDEGEICVSGSCVASGYFIHPSILSLDNVELHQEITDGKKDENEVYFRTGDFSRKLSDGNLVYIGRRDRTVKICGHRIALEEVESILREHQEVADSAVVSRCVQGDILFLEAYLLLKQKENNLEVFKSTIRCWMASKLPPTMIPARFYFVESFPRSSSGKVDYKMLATFAASEAGNRIAIEETQDIDLVNVIQKTFADALMVVDKISLDDDFFEIGGNSLLAAHVSYNLGINMKDLYAFPTPLKLQKAIQLKKVSSSRELRADALVGVNSQEQEKSKLPSNKSWMPGADNSTALSLTSDYPVKRLKTDSDLYIDSNDANGRDMNNSTSSQVSCSYSRCNKIRHDAGCEGYNCHSMLSWEVPRDKRGFMREQWMVNMESCVDASPLVVFKERSVYLLIGAHSHKFYCIDATSGLVLWEIKLQGRVESSAAILDDFSQVIVGCYDGNIYFLNFSNGIPCWNFQTHGEVKSQPVIDKKRHLVWCGSHDHNLYALDYENHCCAYKIQCGGSIFGSPALDEVQEKLYVASTSGRVTALFVGAPPFGQLWVQEFGVPIFGSLSVNPPSGNAHESIIIVAYCPRKNQKMSNTFSFIAKSSSASRPALIMTLFTDCRIHPMLTGHSPYQLCTISGRSSFSVFKEKGDLFWKHDVGHPITSSAYVDEHLLLACPDSSLSQRLVCVCSSSGSVHVLQVSLNFDGENQPCDMVREFARAFNDVSTIPFNKGFSHLFGDGNILHANDDNSLQLHLNQNTGSGFKSSDLYNHGFFSAKIKLPSDYTAGIVVAFYTTNQDVFKKTHDELDFEFLGNIKGKAWRFQTNMYGNGSTHRGREERYTLWFDPSKEFHRYSILWTNKNIIFYIDDVPIREIVRNDAMGGDYPSKPMGLYATIWDASDWATSGGKYKTNYKYAPFIAEFTDLVLNGCAMDPLEQVVNPSLCDEKDVELQKSDFSRITSRQRMSMKRFRAKYMYYSYCYDSLRYSVPPPECEIDPVEQQHFKETGRLKFINKHHGHRHPKKTKSEVLDARKYGNEDEE >Solyc01g107170.2.1.1 pep chromosome:SL3.0:1:94682307:94683605:1 gene:Solyc01g107170.2 transcript:Solyc01g107170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ZF2 [Source:UniProtKB/TrEMBL;Acc:I3NN78] MSIEALKSPMAAKLSPTVAELPPLEEIDDDSHNLDSWAKGKRSKRPRIENPPTRDQYLALCLLMLANDDGTGFGKGKGNGTGSIGVEQTEKKPAELKPVFIEEKTEQLLKPVVDKEKTEQLLKPVVVKEKTEQLFRCSECPKVFTSYQALGGHKASHRKINVPATGDDDSNPSTSTSTSGGGVNISALNPSGRSHVCSICQKAFPTGQALGGHKRRHYEGKLGGNNRYIGGGGEGGGVHSGSVVTTSDGGSGNGGGGASTPIARDFDLNMPASPGWQLDLTIDCGGRTQHPIEQEVESPMPAKKPRLFFE >Solyc09g098400.2.1 pep chromosome:SL3.0:9:72726091:72727116:-1 gene:Solyc09g098400.2 transcript:Solyc09g098400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTYIFPLLLVLLLSYYYVNAAETSQDEKNANEVKEASNQYNGGGHGGRGGGEHCHYGCCGGYSKRGCQQCCTPRN >Solyc10g005030.3.1 pep chromosome:SL3.0:10:41074:47089:1 gene:Solyc10g005030.3 transcript:Solyc10g005030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDSISTVLKCMVKGASDFLIKPVRKNELRNLWQHVWRRKTQSARSHPRNEVVENQKLVAASENDTASNYSSDNLDSVQNGNDKACKSQGVTDIRHKDDSNMCNNGRHEECVEMVERSFMPESKPLVNMTSSGMRTSSGTTPDSSVAIGSEDATLCAEPRVTANEVHHGCFSANKCTADEVDFQLNELYSAPYTAEAVDLMGNFENYLAHCDGHSHDSREKSNLASRLDLSLRTFNPSCLNDEASWEQSMISYSKASAFSKYENKMTVQPLFSGLSSNSAPLKEGSSASTWQHDASLTESQENETALVMRQPGNCKVALSGTQVSFIPVDRVRSGSMAASDIQINASVYNTQSSSHHVQSPRSQSPLPTSSLTYSTSEGQNMEHYKQPFDDTTVHSEENVEEEDDRNLVTVEKARYDTAICESSDLGGGMKNKLSSSHCGSISDEAVESSFPVVSPERAPVSESVNYNDRTGSENHSTSQREAALMKFRLKRKDRCYEKKVRYESRKRLAEQRPRVKGQFVRQLQNGTQPLSKHKDEMRIVLQ >Solyc01g020376.1.1 pep chromosome:SL3.0:1:29546519:29558526:-1 gene:Solyc01g020376.1 transcript:Solyc01g020376.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLKLQENPKFFRTQIDTVFLNKFLDKKPKGFLLDEIDIDDSYLDTELELLTRMDEMDVVAKIIDISSNLY >Solyc01g068490.3.1 pep chromosome:SL3.0:1:77547922:77551022:1 gene:Solyc01g068490.3 transcript:Solyc01g068490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTKTLIIQVCTEQQSITTTDDSMDSPQEHLSEFMEKLSSILTDLKDDKRVVMISNPHIQKAIESLEVDFRRAESVVKSSRISSTHDENIEQVTQTLARGLGLVLFASHDVVESSKKAEIEVLRRDMMKMSGVNKTNLIMSSDESEFSSYDRGVVEEDDRTTIDVDGIVEEDDRIALDVDEIVEEDDKITLDVDDVVVQIKYGDDELLKCALNGLKSLVLDGMITKEGVHHEDMIPVLFSRLSSSKTDHRLIILRILQALAAQDDEYKEMMAEMGNLSILVKSLGHDLEEQKEAVGLLVSLSDVAAVRRRVGRIQGCILMLVAILNGDDQMSSHDAANLLNALSGNTQYALHMAEAGYFKPLVHYLNQGSDMSKILMATALSRMELTDQNRANLGQDGAVEPLVKMFTSGNLEAKQSSLNALHNLCASKANVQRLIKSGIIATLLQLLFSVTSVLMTLREPASAILAKIAAQSEVGIVLVKQDVAQQMISLLHLTSPVIQCHLLEALNAIAACPNASKVRRKMKENGAVRLLLPFLTESRNTKIRNGALNLIYVLSNDMQGGELMEQLEQIHLNTLINIISSSSTTDDEKAAAVGILSNFPVSDKNVTDMFMKANLLPILVSILTSTTPTTTPHLLAENVSAVLIRFTLPSDKKLQHLSVENGVINVLVKLLTCGSIVAKSRAATSLAQLSQNSLTLRKSRKSRWFSCAPPHPTDTFCQVHDGDCSIKTTFCLVKAGAMPPLVQLLQGNERAADEAALRCLATLQQDEIWENGSNLLVKMSCVQPIMKILEEGISLKAQEKCLWILERIFRVEAYRVEYGEYAQVVLIDLAQNGNSLLKPTVAKLLAQLELLQQQSSYF >Solyc01g096510.2.1.1 pep chromosome:SL3.0:1:87471531:87472284:-1 gene:Solyc01g096510.2 transcript:Solyc01g096510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNNKKQKRKDGYKSKKSNFKVVYISTPMKVKTSASRFRSLVQELTGRDSDIARIMETNGSITEYEDIHSERDDFNELPVKSSSPSSSSLLAKSSNSTPMSSESDNYFIEPNFDDLFNSQMQEQFLAFLASSNYNLPPRSGDYLAEIDVLGSYDALL >Solyc07g053390.1.1.1 pep chromosome:SL3.0:7:61966536:61966802:-1 gene:Solyc07g053390.1 transcript:Solyc07g053390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFDNKENISPFSVQKLIPNSSKSKFRKRKFRRALKDITNSVAFERFHRSDSVSSQEIVCRKRKEIDEIVDSLQRKHCLKMLRRDFR >Solyc05g051305.1.1 pep chromosome:SL3.0:5:62447405:62448152:-1 gene:Solyc05g051305.1 transcript:Solyc05g051305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYPCPKLFNSMTHFNFFKKLKHLKPIEPFNRSRNDDKVGFLENDCDIFDGNWVWDESYQSEDCMFSNGRPDNFYTKWRWQPKDCPGLELKNRVIKKNGIRDKNAPNRAEDKLDNYKATEKDREIY >Solyc12g096780.2.1 pep chromosome:SL3.0:12:66538374:66541599:1 gene:Solyc12g096780.2 transcript:Solyc12g096780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQHSHLVVPKQISPVNFPATMSPPSTAVVYDKYGPPDTVTRIANIPPVVLNANEVCVKMLAAPINPADINRIEGVYLVLPPTPAIGGWEGVGEIHSVGSAVVALSPGDLVIHAAYLSGTWQTYVVEDQSLWYKIDKNTPIEYAATISVNPLSALRMLEDFVALKSGDTIVQNGATSIVGQCVIQLARIRGIHSINIIRDKPDSDKIKEKLIKLGATKVFTESELEVKDVKKLLGDIPKPSLGLNCIGGNAANMVVKFLKQGGTMVTYGGMSKKPITVSTTHFIFKDISLKGFLLREKNLDEAQYKCSIDHLLALVRAGILQYEMEMVPFKDFHIALEKAMGKQGSQRKQILTF >Solyc06g007470.3.1 pep chromosome:SL3.0:6:1477930:1480788:-1 gene:Solyc06g007470.3 transcript:Solyc06g007470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:K4C3C9] MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFELYTLPKLYLKMQYCVSCAIHSKVVRVRSRTDRRVREPPQRFRRPRDDLPKTGQAPRPAGGPPAAPRT >Solyc05g012570.3.1 pep chromosome:SL3.0:5:5796271:5798106:-1 gene:Solyc05g012570.3 transcript:Solyc05g012570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFAKGKEFLNSKDKDDEKETPNRSERTKHHDDVDDSDDHPQRKTAGKSGGGGGRGGGWSDSEGDDERPAGAGRGGGKAAAGTGAQNPNEHKQPTTSELMASAKLVAAAAQSQLGGGGEGKPKEELDTGKLAGAAADILAAASHYGKLGDDGIGKYIGQAEDYLHGYELKNSKPNKSGSGAGADAESGSGTGAASKKSTSSNAEHKNSSESHPRGNTRDDDDHDDERREHATKEKKMASKKPSGDNQSHDREEVGRGMPKKQVGDDHSADEHTMKGKEMPQKQSGGRERSKEDDDDPRSGRGESEGIAKKKPVGHGHDEDDSRSGGGYGEYIKKAQGFLNKKSDGGNGSPKADGDSGGGVMKMAQGLFKKDSDDRSPNKHDEDGNKTDYLKMAGSFFK >Solyc05g046013.1.1 pep chromosome:SL3.0:5:58919424:58922059:1 gene:Solyc05g046013.1 transcript:Solyc05g046013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGINSINRDDFEEYLNQFLEVLEIKDGNEDLLGWWSRRNDAFSTLSKMVRDVLAIQASSVASEAALNVCEITFYFCENSVYRQTLLRP >Solyc12g095970.2.1 pep chromosome:SL3.0:12:66049827:66051031:-1 gene:Solyc12g095970.2 transcript:Solyc12g095970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDRQLLVPQGLTQEEFVELEPLIRNYHTFEDLPNTCTSLVTQRIDAPVDVVWPFIRRFDNPEKYKHFIKSCRIVSVKILTRSLDTGDGGVGSIREVTVVSGIPASTSTERLEILDDEKHILSFRVVGGEHRLTNYKSVTSVNEFKKNGKIYTIVLESYIVDIPEGNTGEDTKMFTDTVVKLNLQKLALVAMSTMHGHE >Solyc05g056010.3.1 pep chromosome:SL3.0:5:66247688:66251788:-1 gene:Solyc05g056010.3 transcript:Solyc05g056010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYYATNPGPVEDIVLYDQDKHVSSAVWEGQERGALRCHEHTSKLDQWMLTEKQVELVKMAGFGYLRMIPAISLDNPLISSLVERWRRETNTFHFTVGEMTVTLEDVAYLLGLPVDGEAVIGVTYTSCEAVCIKLLGKAPDSGYTSGGMVKLSWLKETFSQCPEDASMEDIARCTRAYLLYLVGSTIFSTTTGNKVPVMYLPLFENFDEAGKYAWGAAALSFLYRALGNASLRSQSTISGCLTLLQCWSYYHLNVGRPKLNHDPIHECFPFVLRWKGKQSSPTSNRDVAFYRKSLDSMKPSDVDWCPYSNISHTVIPEHILRSLILGRSKTMLICYDKAERHLPDRCLRQFGMHQTVPEEVQKWERKSRGVDGGVDLSTKMESELNEWSNRHLYIVEAEEDVEESEYMQWYMRITRKLVGRPVPISSEFQRMNAALREIAHIAETISTHGLDDQQLQSVTRIRYITYECLRDQIASTVFVASNSQSEAGKKVRGKERVRRKGMGKRKRKEEVDQYYAAGIPMQHRIPATAFDVDPSHLYHIGNEMDNPHLCITVSEDDHGRISHVAQSVDDIDFCEDAKEVDESHFHHLVGEQDDHLSSEVAEDKPHASLDIVVPQVSHETREDVAQQNDYGVLV >Solyc01g008820.3.1 pep chromosome:SL3.0:1:2778261:2784205:1 gene:Solyc01g008820.3 transcript:Solyc01g008820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSERLANVALAGLSLAPLVVNVDPNLNVVLTACLTVFVGCYRSVKPTPPTETMSKEHAMRFPLVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIAAFAATLLPAIKRFLPEKWNEDLVIWHFPYFRSLEFEFTRSQIVAAIPGTMFCVWYAKQKHWLANNVLGLAFSIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADLKRPFSMLGLGDIVIPGIFVALALRFDVSRGKGPQYFISAFSGYAVGVISTIIVMNWFQAAQPALLYIVPAVIGFVAVHCIWNGDMKPLLEFDESKTQSTEEVAAEESKKVE >Solyc01g109900.3.1 pep chromosome:SL3.0:1:96658163:96659737:1 gene:Solyc01g109900.3 transcript:Solyc01g109900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLMLIKKISLFFLLISASLFSTSFAGRQSSFLSNFHQEELSATHEEEQLRHERVLKMNTKDYGRYDPTPALSKPPFKLIPN >Solyc12g044187.1.1 pep chromosome:SL3.0:12:59924722:59925258:-1 gene:Solyc12g044187.1 transcript:Solyc12g044187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDSLIYFLGLKFSSVNTPLKLNVKYCREEDDLLPDPTIFRQLVGSLNYFTFTRYNISFAVQQVSQFMQAPHHLHLVVVRRIIWYLLRTSTRGLFFTSVSPIHLNKSKNQDPGVDLHGSKDEPP >Solyc11g012960.1.1 pep chromosome:SL3.0:11:5799431:5799618:-1 gene:Solyc11g012960.1 transcript:Solyc11g012960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKCYAVGPNEEGLYFIITTQETTNMSVSRV >Solyc12g019915.1.1 pep chromosome:SL3.0:12:12319745:12332997:-1 gene:Solyc12g019915.1 transcript:Solyc12g019915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLSLEAGCSGVLEYYRASTSLQLEYYRAIHLVTLEYYRASTSLQLEYYRACTSLLLEYYRSIHLVAVGILSSHPPCHSWNIIEHPPRYSWNVIEHPPRYSWTIIEHPPRYSWTIIEHPPRYSFVKTKFDASLFVRYGAGDTVFVLVYVDNIIITGRNTSSVNQVITYLASKFSIKDLCSLHYFLGVEVIHSSNSLILTQANYVNEILNDELMIDCKSVNTPMSASELLTLSDGTHLIVATRYRRVLGRLQYLSFTRPNIACAVNQLSQFMQAPPDLHWKGTIQLELDITPIDQFSLHVYSDVDWGGDIVDRVSTSGYILFLGHNPISWSSKKQNTVSRSSTESEYRVVTNALSETLWVTNLGDTFLSKNPVLHSRVKIAIVDFHFVRHYFDIKRVRYVHVHGAD >Solyc08g083270.2.1 pep chromosome:SL3.0:8:65896392:65898980:1 gene:Solyc08g083270.2 transcript:Solyc08g083270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLTLRQKQISMSIFQKLNTNSSNSAIKLLLLCLHHTLHFVDSLFCHCKWVAFHPLCSIKRMNSPKWVALLPGLLTLDPPSTPPPITVPPTPTLPPRHTLGSLVPSPLFEPRILKSDIINSWELMAGLDSTSTTPISDSFRFLSLRKSTPDSSFRFLRSSPNKENSSPNIPSFTHALDKTDIFNPTRLSSASVLDGFERICPPNGDDKIVIYTTTLRGVRKTFEACNAVRSAIEGLGVLYSERDISMDKGFREELKQLMKGKESTELIPPRVFFKGRYIGGAEEVMRIVEEGNFGDLLQGLPKMKAGSVCEGCGGIRFMPCFTCNGSCKMVKEDVEQNEGRAVVVRCSECNENGLVLCPISWLVSNALSFISTATFGFDLLTGYSRSLTFSITSSARLLAFLNTMVLFVITLNCF >Solyc02g072270.3.1 pep chromosome:SL3.0:2:42132507:42135168:-1 gene:Solyc02g072270.3 transcript:Solyc02g072270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEIDAQNQRVVETVITIRLDETVENRDSGIGGNEVKGKVLKKESFSSVIDVKYVDDFEKVCRICHLDTYESGKKFVDLIEIGCGCKGELGFVHSHCAETWFKLKGNRLCEICRKIAKNVTGISDNRFIEEWNEARYIAGGTGSAGQDRGYCRGQPFCNLLIACLIIVFLLPWFCRINLF >Solyc06g064870.3.1 pep chromosome:SL3.0:6:40553688:40558884:1 gene:Solyc06g064870.3 transcript:Solyc06g064870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTMCMTKKEIREAGKNGVGSRSRRMERSKRRSLLEEELLHRQALSMAIQQHQLSQRFDGSISRRVGSTSSRRRNDLTDQQASSKQLRFIVRPLIIGLTRVLCFSDLDKYLLRNSDGWMDGKEYASPLFTDILVAPIIFVGSIPLNFSLALSTHAVDGEIYNIDRKPIFYLVQENFHVTLQIPYDIYAVKLPEFLENIKTKKIVLIHGEGFGAWCWYKTIALLEETGLVPTALDLTGSGIDLTNTNNVTSLEAYSKPLIDYLENLPEDEKVILVGHSAGGACVSYVLEHFPTKIAKAVFLCATMISDGQRPFDVFAEELGSAELFTQESKFLTYGNGKDKPATGIMFEKEQMHGLYFNQSPSKDVALAMVSMRPIPLGPMMDKLSLTPEKYGTSRRFYIQTLDDHALSPDVQEKLVRVNPPEGVFKIKGSDHSPFFSKPQSLHKILVEIAQIP >Solyc10g048090.1.1.1 pep chromosome:SL3.0:10:43976567:43976830:-1 gene:Solyc10g048090.1 transcript:Solyc10g048090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRWHGMVMIARYRLVNHSDCVINVTNVESNFHPFGKNWKFTLLIQKSYFTPMKQPMCRSIFISSRIVDSQLSVFVLDNKFTHNSPF >Solyc02g087820.1.1 pep chromosome:SL3.0:2:50727108:50728726:1 gene:Solyc02g087820.1 transcript:Solyc02g087820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNNVLICLFIFHLLAAGSVTGSKIGIYEIEKGDFSVKVISVLLPDKHNDKEYFGALLGRVANRIGGAQFTLDGTVYKLVPNEGNNTIHGGSEGFSKVVWKVSKHVQDGPCPYITLTYHSPDGEEGFPGDVLVSVTYATPIINCITTLTDWNIGGHNSGNVLAQVIQMFASHITQNDKKHIPTGVISPVKNTSYDFLKPRKVGSRINKLQNGYDMNYVLDSTEKMNPVAIVYDKKSGDSRVP >Solyc12g014625.1.1 pep chromosome:SL3.0:12:5637958:5638703:1 gene:Solyc12g014625.1 transcript:Solyc12g014625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLQLVRMLLFQTGLPSCCISYDIVARNIIEKIFLASTTNPSKQLPTIKKVLRVNNTIDMLEKFEKYRETVKKRSFGQYKNHPKITVDGNELLQFHITTMSCCYEHMVINPDELCKDPSCCVCRLIQFSFRTSYNSKNGILLSTNSDVLCEHANRVSKGMNVKRAVIVCRTIAGRVVSKDDVKLDEEYDSISIASGLHSRSQNLVIKDSSAVLPRFIIVLD >Solyc01g066515.1.1 pep chromosome:SL3.0:1:74312572:74314919:-1 gene:Solyc01g066515.1 transcript:Solyc01g066515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSASIVSWKNGVSEFAAGMLASLVILPKDAFGNNISSATDQRLNSYNFTFSVSTFNGSVATILDFTNKGWDTSGYLVAEFIAATAGTLSLNIQGDNQTLSGSPLIFIVNPGFLVVSKCLLHWEVETKYFQIFSVVEGFIHQHDQYGNLVPGLYEFDVEVIENGTNLIIPVTDIQFRQVGLGIQLFSFSLMEPGDFKLMIYHKEQNNSISTMPFHFTVYIGYCDGMNSIVNGSGLNDSVAGEAARFSVLLKDAYLYPSLVEVESLQVKVVNEFDSYQPQASIHPMKMVHGTPCSTNFNCSAHNDVELAFTPLAETNLDTKNMRFSAFDVDYIPQRRGIYEIRVFCGNIPLNGGHPFRKAVSAGTCFCS >Solyc01g079680.3.1.1 pep chromosome:SL3.0:1:78655396:78664810:1 gene:Solyc01g079680.3 transcript:Solyc01g079680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATTDNSQRRPFSIKLWPPSENTRKMLVERMTNNLSSPTIFTRKYRSLSKEEAAKNAEEIEDAAFTIANQHYEKEPDGDGSSAVQLYARECSKLILEILKKTPKSEDKEISISEVVPTVQETFFDISKGKRAFIEAEEAQELLKPLKEPGNSYSKICFSNRSFGIDAARIAGPILATLKDQLKEVDLSDFVAGRNEAEALDVMNIFSEALEGSNLKFLNLSDNALGEKGVRAFGKLLQSQTNLEELFLMNDGISQEAANAVSELVPSTEKLKILHFHNNMTGDEGAVAIAEIVKRSPLLEDFRCSSTRVGSEGGSALCEALGMCSHLKKLDLRDNMFGPEVGLVLSKALSQHENLKEIYLSYLNLEDEGAIAIANALKDSAPSLAVLEMAGNDITAEAASAIASCIAAKQLLAKLSLGENELKDEGVIQIAKALEGHKHLTEVDMSSNALRRAGARVLAQTVLQKDEFKLLNVNGNFISEEGVDELKEIFKKSPEMLASLEDNDPEGEDEGDEEKESGDEDVEDELESKLKNLDVKQEASVDAHAPDSN >Solyc02g031990.1.1.1 pep chromosome:SL3.0:2:27743970:27744518:1 gene:Solyc02g031990.1 transcript:Solyc02g031990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNMSIPTSPTYQWMDDIQMPYNNYQQTQFEQPINTPYENFSHSTIMTTNNMTTTNYPHDNQYSNLSPDNSCGDTKSASSKPVRRRSRASKKTPTTLVNASISNFRAVVQQYTGCHTCPINNQKGPINLSFGSQENSSIVGDASKYGYYNNSQDMNGTTTTHAGYSNSGSSRFGVEDYGCD >Solyc08g005520.3.1 pep chromosome:SL3.0:8:386139:390475:-1 gene:Solyc08g005520.3 transcript:Solyc08g005520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKRQSNDRNEELHAAARCGDLNAVQTLCSTNPLAVNTRDKHSRTPLHLAAWSGHAQIVDYLCKNKADVGAAAMDDMGAIHFAAQKGHLEVVRLLVSSGVSVKSCNRKGMTALHYAAQGSHLELVKYLLKKGSNVNTKNKAGKTSLDLASNEEVSSILRQPETASSKEALNDEENKVESEPKSSSQEEKLEKAATSEEGEIVAGKDESLKRKGDEDETKDKSKETKKAKVALNHLLTSDDNQEDEENF >Solyc01g109820.1.1.1 pep chromosome:SL3.0:1:96586818:96587687:-1 gene:Solyc01g109820.1 transcript:Solyc01g109820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILPTLQNIASSKASATASSALFKEAGTDVLVRCSQSHGGGVRLRTNMCKFNENEIVNATIGFPIMVIVSIKRHSSCLSFKDHIYDHRAMKTFWIPYDDIVSDEDATNIISKLICLMNVIPMLNEQSISYSDTKTSCTMMLTNKDSLISKIMNFARGMKECPSNENHWYMTLGITKVTYLPHENFMNSYSKMGHDYNWNAWKKTQEVLDSRIAAFKLLKKIEIKKMKFRASEFKDCNLLDMCSICHDGFLEGCMVSCINKCCHVYHDTCILEWLLRNRSCPYCRSKLA >Solyc02g088800.2.1.1 pep chromosome:SL3.0:2:51405301:51408270:-1 gene:Solyc02g088800.2 transcript:Solyc02g088800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4BC35] MGDCESSVPLMEEEEENLIAAAQKIVKALGSNRTLTDDARKILADLGSQLSSITRVSEPEDEGAGETEEQLIELEEELNLVQSKVMNWEVGKSMIWDCGQEEAYEYLRYVDQGRKLIERLESLNLVKGSKEDELLRRATDLLQTAMNRLEEEFTHLLVHNRQPFEPEHMSFRSSEDDTLDDGSIVSFGDDSIEDVVQRDSMSRSSGEYIIELVHPDVIPDLRCIANLMFDSNYGRECSQAFINVRKDGLDDCLFILEVEKLSIEDVLKMEWNSLNSKIRRWIRAMKIFVRIYLASEKWLSDQIFSELEAVGSVCFAEASKASILQLLNFGEAIAIGPHQPEKLIRILDMYEVLADLIPDIDAMYSDEAGLCVRRECQDILRSLGDCAKATFLEFENAVASSISANPFPGGGIHHLTRYVMNYMKTLIDYSKTLDELLKGHEKEESVPILPDMTPDREEENTDRRSHISPLAQHFRSFTSILECNLEDKARLYKDESLGHLFLMNNIHYMAEKVKNSNLRTLLGDGWIRKHNWKFQHHAMSYERATWSSILSFLRDEGLYNPGSNSISRTLLKDRLNNFYLSFEDVYKSQTGWSIPDSQLREDLRISTSLKVIQGYRTFVGRHTNHISDKHIKYTADDLENFLLDLFEGSPRSLHGSHRK >Solyc09g090070.2.1 pep chromosome:SL3.0:9:70099051:70110842:1 gene:Solyc09g090070.2 transcript:Solyc09g090070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLVMANDLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISMVTKLLGRLYYHHDGALKPGSLPPNVSAAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMIMVICSIASGLSFGHTPKGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIIVSAAFKGAFPAPAYEVDAIGSTVPQADFVWRIILMFGAIPAGLTYYWRMKMPETARYTALVAKNLKQAANDMSKVLQVEIEAEPEKVTAISEAKGANDFGLFTKEFLRRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPPAQTMNALEEVYKIARAQTLIALCSTVPGYWFTVAFIDKIGRFAIQLMGFFFMTVFMFALAIPYHHWTLKDHRIGFVVMYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAMVGAFGFLYAAQPTDPTKTDAGYPPGIGVRNSLIVLGCVNFLGMLFTFLVPESNGKSLEDLSRENEGEEETVAEIRATNQLQVLNALDVAKTQLYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYHHEGDNKPGILPPGISAAVNGVAFVGTLSGQLFFGWLGDKMGRKRVYGMTLMIMVICSIASGLSFVFAMQGFGILTGGIVALVVSGSFKNAYPAPIYSVNNEGSTPPEADFVWRIILMFGAIPALLTYYWRMKMPETARYTALVAKNATKAASDMSKVLNVEIEAEKEKIVETQGNSFGLFTKEFLHRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSKIGWIPHPETMNALDEVFKIAKAQTLIALCSTVPGYWFTVAFIDRMGRFAIQLMGFFFMTVFMFALAIPYNHWTKKENRIGFVIMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPSKVDTGYPTGIGVKNALIVLGCVNFLGMLFTLLVPESKGRSLEEMSKENEGEEEITKGENAQTIPVSV >Solyc02g061670.1.1 pep chromosome:SL3.0:2:33728006:33730860:-1 gene:Solyc02g061670.1 transcript:Solyc02g061670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGDTYQTVKDDSGDIASGGETPTDIPAEEQKVIYNGRILKDDQTLKSCGLEADHTVHLIRGSAAAASASATNVVNPNANQDAPSVAVPTTGGLFLRVGGGPLFSGLGSRGGSFGAGLPDFEQVQQHDSNMMREILNMPLVQDLVNDPEIICNFVVNSLQMQKYVNLNPELPHIFNDPAIFLQTWEAARNELMHETIRTIQWSLSHTESSPEEFNMLRHMYENVQEPFLNATSMAGDTRNDSGTNPFVALLGAQEQGRNRSTNPPATGSDTTANPLAPNSNPLSDPWASADFGGAQMNTAPISNASRNIWGSSPGDLDDIADLQRMLGGIPGASFENQLIGYPSHRYCST >Solyc11g020130.2.1 pep chromosome:SL3.0:11:10218864:10219834:1 gene:Solyc11g020130.2 transcript:Solyc11g020130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLFPFIFQSMKPLDLSPIHTRENVESSGYCVLKNCQTQCLYPCGISGWCGTISSGGWEDGGRKCPKGVCCIYSGWCGTTSDYCDVDKCQSQCSGPFPQGRCGWQADNGSCPTGVCLIPEGQCGKQASGRKCPTGVCCSDSGWCGTTSIYCDPNRCQSQCSGPTGVCCSLDGWCGTTPAYCASENCQSQ >Solyc12g088920.2.1 pep chromosome:SL3.0:12:65083755:65096290:-1 gene:Solyc12g088920.2 transcript:Solyc12g088920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDKQPSGILDTLKMETVRTIFPSYRYPHEHSRHFVIAVVVGCLFFISSDNMHSLIQKFDIKWWSMYACLLGFFYFFSSPFIGKTIKPSYSNFSRWYIAWILVAALYHLPSFQSMGVDLRMNLSLFLTLYVSSILFLLVFHVIFIGLWYLGLVARVAGRRPEIMKVFQNCVVISIACCVFYSHCGNLAIVREKTFDWRNSIWFSLWNKGEGNAWLVKFIRMTEFKDQVCKSWFAPVGSASDYPFLSKWVIYGELTCGGSCAESSDEISPIYSLWATFIGLYMANFVVERSSGWALSRPLSLKEFEKLKKKQMKPEFLDMVPWYSGTSADLFKTVFDLLVSVTVFVGRFDMRMMQAAMSKIEDGAKQDDLLYDQFSEEDGIWFDFMADTGDGGNSSYTVARLLAQPSIRAQNNDSKLTLPRGRLLLIGGDLAYPNPSAFTYEKRLFRPFEYALQPPIWYKEDHIAVKKPELPSGVTELKQYVGPQCFVIPGNHDWFDGLQTFMRYICHKSWLGGWFMPQKKSYFALQLPKGWWIFGLDLALHSDIDIYQFKFFSELIRDKVGENDSVIIMTHEPNWLLDWYFDQVTGKNVTYLIRDHLNGRCRLRIAGDVHHYMRHKFVESKSDEQVYVQHLLVNGCGGAFLHPTHVFKNFNSLYGTTYECKNPYPTFEDSSRIALGNILKFRKKNWQFDFIGGIIYFMLAFSMFPQCRLDHIFKDDTFSGHMGTFFDTVWGLFMYIFGRSYVSLTGAVLLLIIAISFVPSKVPWKKKVVIGILHVSAHLAAAVILMLLLELGIETCIRHKLLATSGYHTLYEWYKSVESEHFPDPTGLKERIEHWTFGLYPACIKYLMSAFDVPEVMAVTRNTICKNGMDSLSRGGAVIYYASVFLYFWVFSTPVVSLVFGSYLYICINWLHIHFDEAFSSLRIANYKSFTRFHINNKGDLEVFTLAVDKVPKEWKLDPKWDGESKHPQDPSYLQKFPSKWRASSPNQDPVDTVRIIDQFVIEKTEKHDSELANGSVNQ >Solyc07g008560.3.1 pep chromosome:SL3.0:7:3500634:3508016:1 gene:Solyc07g008560.3 transcript:Solyc07g008560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CBX5] MRNQLYLLLLGIFVLITLNKSVHVSAQNYISFGKQKHRHDDMQQMEQPLSGIQIHKTVLALTKSASIHVVGPMLLGSKGEDFEWVTINLRNANPTNDDWVGVFSPAKFNESFCPPVTIDEQQFGAPFLCTAPLKFKYANYQNANYTKTGRTSLKFRLINQRGDFSFAFFSGGLLNPKLISVSNFIAFANPKAPLYPRLALGKSWDIMTVTWTSGYNIDEAVPFVEWGWKGQEQKRSPAGTLTFHRNSMCGTPARSVGWRDPGFIHTSFLKDLWPNMEYTYKLGHMLNNGSIVWSKQYSFKSAPFPGQESLQRIVIFGDMGKQERDGSNEYANYQPGSLMTTDTLIKDLDNIDAVFLIGDLPYANGYISQWDQFTAQVEPITSRVPFMIASGNHERTWENTGSLYTGIDSGGECGVPAETLYYVPAENRAKFWYAADYGMFHFCIGDTEHDWREGSEQYKFIEQCFASANRHKQPWLIFAAHRVLGYSSNDWYAKEGSFEEPMGREHLQKLWQKYKVDMAFYGHVHNYERVCPIYQNQCVNKETSHYSGVVNGTIHVVVGGGGSNLNRFTTINTTWSLFKDYDYGFVKLTAFDQSNLLFEYKKSKDGKVYDSFTISRDYKDVLACVHDGCEPTTLAS >Solyc01g073960.3.1 pep chromosome:SL3.0:1:81191803:81198362:-1 gene:Solyc01g073960.3 transcript:Solyc01g073960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEPYDSSGTDDDLPPSHQNRIPRGGGRMNGNGRSAVMASVPYQRMYGETDMEAQIHQLEQEAYSSVLRAFKAQADAISWEKESLITELRKELRLSNEEHRELLGRVNADDVIRRIREWRQSGAQQPGMLGTGQAIHDPLPSPSVSASRKKQKIAPPLPSQSFAGPSPTFHPPALAAANQPSSSAAKRGPMMGPKGKKSKSVCLMFYTLSCAVHQCMGQMMPGASSMKMQYPPSGPFGRGQLDNRVSESAKAPVDSLIGRKVRTRWPDDNNFYEAVITDYNKAEGRHALVYDMSTANETWEWVNLSEISPEDIQWDGEDPGISRHGNYGGSGRGVNRPVGRDSGPGAGRGRGLAKPQSRKGFPPSQNGGRKGSDDIQLLHTDTLIKEVERVFGASHPDPLEVEKAKKVLKEHEQALLEAISRLGEISDGESDEHFMQAMNRE >Solyc07g064540.2.1 pep chromosome:SL3.0:7:66763783:66766433:-1 gene:Solyc07g064540.2 transcript:Solyc07g064540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKSKPNRSVGILEGEVPKGKLNGETDAGTAEKDEFFVVDVPFFVEIDRSNWLSDKHMGISEIVLLDLNVSDEFGTCLLDEDFFRDSRYLLRFRVSNVNEHLTRIKLGHWLVLSATSVCLEIVAKQEKEGLEETVVLIEGSFDGPDEGISGLVHLASLKFFTLRPVIVPSYLASIRMKVKILKSAFDGCESLLDTSRQLWKKSMMNVMAWLRPEVVIAEARYGYQVAAHADIGLASGLDESSSSARKLSREEPMFDDDLPGLLPKLRPYQRRAAYWMVQREKRNSDGSLLSKINHFISPLCLPLSLIDTPITIYYYPFCGNVSLHPESAPPVVPGGILAGKLND >Solyc01g086950.3.1 pep chromosome:SL3.0:1:81724387:81735967:1 gene:Solyc01g086950.3 transcript:Solyc01g086950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNSFWVEEVKDQLPNSLKSKRNVKIKKLEFVGWGSKPLIEFLQSIGKDTSRSYSQQEVTTIVTEYVNSNGLLNPQKKKRVMCDARLHSLFGKKAIPRIKIGNLLEVHFSENHDESEDESSDSSKEEDVVIASKGRKSTGSPMKKVPVVPKSCFAAVITENIKLVYLKRSLVQDLLKTPESFEDKIVGSFVRVKSDPNDYFQKNTYQLQQVEGVKKVMAASDGAFEIYLQLSNLMKDIPISSLSDDNFYEEECENLRERIKAGLLKRPTVLELESKAQNLHKDITKHELCLQINLYRR >Solyc06g069727.1.1 pep chromosome:SL3.0:6:43545325:43548331:1 gene:Solyc06g069727.1 transcript:Solyc06g069727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCESAESNPNAIFLKVNYEELKSMCNVLHILPFFRFYKGAQGKVCSFSCTNATIKKFKDAVSRYGNEGCGFSPATALEESELLALASIGQK >Solyc05g052680.1.1.1 pep chromosome:SL3.0:5:63744947:63746320:-1 gene:Solyc05g052680.1 transcript:Solyc05g052680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPPVALVSKCTVFPSEKSSLPDLKLSVSDLPMLSVHYIQKGCLFTRPPFPIPQLISLLKINLSHTLTRFPPLAGRFVTDSDGYVYITCNDDGVDFVHASATHICIRDVIGSIDVPHCVNEFFPLDRTVSYRGHFIPLLAVQVTELADGVFIGCAVNHSVTDGTSIWNFFNTFAEVSRGVKRIVRQPDFTRDSVLISNSVLKLPADGPKVTFAGDAPLRERIFSFSRESIQRLKAKTNNQKLNFDGGINIVELIAKQSNDHLKIKTETAEISSFQSLCALLWRAVTRARKFPPSKMTTFRMAVNCRHRLQPKLNPLYFGNAIQSIPIYASAGEVLANDLYWCAEQLTKNVNAHDDVMVRKFVEDWEKDPRCFPLGNFDGAMLTMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGRGGGGSVDLEVILSPETMDALESDPEFMQYVTVY >Solyc06g007560.2.1 pep chromosome:SL3.0:6:1560024:1562361:-1 gene:Solyc06g007560.2 transcript:Solyc06g007560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGLTAPLVPRTETGRLLSVFMQNDKALFNGFVEKELERLDCMKNDALLRSVFSVGTDEAILHRRISEKIKLECRNTVEDIMYMFIVNKFSQIGVHMVPKLSNCICNGRLEIFPRRDYELKSIHSVEVLEMVKEIGWEDMRKSNVKDSWGLTQVQKDQIRHVYGASILYGYFFKSTSFRYHLEQSFVKTYSNISFPRSWLLKQKGVPLSDTGSTSVDPVSLNEGKKYDNFRSYVTNLDDEIMIMCSKPKFKEAKSLIEKHCSALFGNESNEEVSTSFASLKRFVLEALAFGTFLWDAEDHVRKFYQLEEF >Solyc05g012630.1.1.1 pep chromosome:SL3.0:5:5830362:5832623:1 gene:Solyc05g012630.1 transcript:Solyc05g012630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYMPLFRSCTSSRSVAQLHAHLIINGLRKDPLASTKLIESYSQMGSLKTSRRVFETFPNPDSFMWGVIIKCHVWNNFFQEAIFLYHTMLCQLSETSSFIYPSVLRAISATGDLNVGRKVHGRILKCGFEFDSVVVTALLSMYGELGWTVYARKLFDEMSVKDVVSWSSIISSYVRNGKGEEGLEIFGDLVKEGVEIDSVALLSAVEGCGELGVWRVGKSVHGYILRKNIQSDGSLINSLVAMYGKCGDMCSAELLFRNAVDKSTYTWTAMMSCYNQNGCYHEALALFVKMHEFDMEYNEVTVMAVLCSCARLGWLNEGKSIHGFIVRNAFDCGNDLLGSALVDLYANCGKLSDCHKVFGSSQDRHIVSWNMLISGYVQEGFSDKALTLFVDMVRKGILPDSYTLASVLSASGDIGFSKFGCQIHSHVIRTGFSTEFVQNSLIDMYSKCGLVDYALVIFKDTQERSVVTWNSMMCGLTQNGLSREAISLFDEIYSNSSGMDEVTFLAAIQACSTVGWLEKGKWIHHKLIIFDVRHDMYIDTALTDMYAKCGDLRMARRVFDSMSERSIISWSAMIGGYGMHGQINDAISLFHEMVNSGIKPNDIILTNILSACSHSGYLNEGKYFFNLMINLSIEPKPEHFACLVDLLSRAGDIDKAYEVITSMPFPVDVSIWGALINGCRIHKRMDIIKMMQQRLENMQTDDTGYYTLLSNIYAEEGEWNESRMVRSKMRSLGLKKVDGYSMIEVEKRIPA >Solyc01g020525.1.1 pep chromosome:SL3.0:1:31044627:31066855:1 gene:Solyc01g020525.1 transcript:Solyc01g020525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGTLWLLQGTTFWKSSEGIQMEYIAVFLAVLFPGALLAFNHELLQALSKVSALRIYCAGIWHNAVLCAVCAWALFLQPFILYAFYIHDEGPMVLEVSPTSPLAGHLSPGDVIISLDDFRINNALEWSQIIDVLTEHSYQTFQNNSLLENSMKSSSGKGYCIPYSLVEEGKHVSLEGNRTCPDELSAFITIPCSDQAMVDDDNLEVNHQRDGGVFHCFYDKDVLKLEKCGDGWGRLHSNRSSCFCSKEATCFLPLLSTGVAWVEITYSSSSLPQCLHLGRTHVIEDNNSKENPCVKTSVFVGDAISIKHSVLLTSYQPRWSAKFGAHFPYVLERLLMFTFHVSMTLALLNSLPVYFLDGEAISEVVSHYFRIVSPRRRRTILQYFLFGGTVASIIMFVWMYYVFIYVLIFLCPLRLSVHKRARKLQFSHQ >Solyc06g073560.3.1 pep chromosome:SL3.0:6:45496443:45500954:-1 gene:Solyc06g073560.3 transcript:Solyc06g073560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFAVRSLSSTIAKNFKSLQNQQAAFSTSLLLDDTQKQFKESVAKFAQENIAPYAEKIDRTNSFPKEINLWKLMGDFNLHGITAPEEYGGLNLGYLYHCIALEEISRASGAVAVSYGVQSNVCINQLVRNGTPEQKQKYLPKLISGDHIGALAMSEPNAGSDVVSMKCRADRVDGGYVLNGNKMWCTNGPIANTLIVYAKTDTTAGSKGITAFIIEKEMSGFSTAQKLDKLGMRGSDTCELVFENCFVPKENVLGHEGKGVYVLMSGLDLERLILAAGPIGIMQACMDIVIPYVQQREQFGRPIGEFQLIQGKLADMYTALQSSRSYVYAVAKDCDNGKIDPKDCSGTILLAAERATQVALQAIQCLGGNGYINEYPTGRLLRDAKMYEIAAGTSEIRRIIIGRELFKHQ >Solyc07g042970.3.1 pep chromosome:SL3.0:7:56622343:56624752:1 gene:Solyc07g042970.3 transcript:Solyc07g042970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYYRTRRALPIFRWRRHLSSSSSSKVALLWESKSTDSQKAVTVQLYSWGRGASGQLGGGIEEIRIYPSPVASFSLSPTFRLSSPIPGRLPSAPVLLSSSFNEGKVDVEIGISCGLFHSALLVDGNLWIWGKGDGGRLGFGHENPVFVPTLNTHLDSVRSVALGGLHSVALNSLGQVFTCFQGLRWLWRSWS >Solyc01g066700.3.1 pep chromosome:SL3.0:1:74683099:74685745:-1 gene:Solyc01g066700.3 transcript:Solyc01g066700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLAKRVGARFLITSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGLNVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKQPLTVYGDGKQTRSFQYVSDLVEGLMRLMEGNHVGPFNLGNPGEFTMLELAKVVQETIDPNAKIEFKPNTEDDPHKRKPDISKAKQLLGWEPTVSLRQGLPRMVDDFRRRIFGDDKLDSGL >Solyc09g065610.2.1.1 pep chromosome:SL3.0:9:63994192:63995092:-1 gene:Solyc09g065610.2 transcript:Solyc09g065610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGCLASIIFCGYIVYDTDNLIKRYTYDEYIWAAICLYLDVINLFLSLLSIFRAADN >Solyc03g097830.3.1 pep chromosome:SL3.0:3:61593456:61602952:1 gene:Solyc03g097830.3 transcript:Solyc03g097830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTGFAFSCRPHFPLSQSMRKVFMSFSAAIEAIEEIDSGKEPAEWKRFNSKELGISSSMIARPTRVVLNGLKSKAELKEVCRTFSHCEIVGRRFPICHVHVDDEVVEVSSFHTSGIRPVRNLGLSFEKPVDCDEKDHFRWTNCLRRDFTINGLMFDPYSKLVYDYMGGVDDIRKAKIQTIGPASFSFVEDCARILRAIRIAARLGFRFGRETALSIKNLSSSVLKLDRGRLLMEMNYMLAYGSAEASLRLLWKFGLLDILLPIQAAYFVRHGFRRRDKRSHLLLSLFSNLDKLVAPDRPCHSSLWVTILAFHKALSDQPRDPLVVAAFSLGVHNGGDLSEALSIARRISAQHDGSFHELESRDLDLAALKEEVVELATSVQRALTNMTDEYSVSRAMANYPKAPYSDLVFIPLALYLKACKVCQCVRMGREKGFVAKQGSKIDYELLASGSLQEVRHVFARIVFDTIYPLNTGKDDT >Solyc04g025067.1.1 pep chromosome:SL3.0:4:25947025:25947715:1 gene:Solyc04g025067.1 transcript:Solyc04g025067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLITVFNKALDLTRRISKNHQRVDMMKIKNARNIKVTFSSSIVVFSPDGKVFSFFHPSMDMLVDRFLGRTSLYQITMNLEVSLQMEKNWCETPIEELNLVKLQHLKNAFKNIKKKVEKEAEMVNNNASLLQTLGGSWIPPNCST >Solyc06g064660.3.1 pep chromosome:SL3.0:6:40416970:40423585:1 gene:Solyc06g064660.3 transcript:Solyc06g064660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFDRIYCCRLMGCICSKGSSTDHDDVVEHEKEKEIQVDKSSVQLVAPSVREEIKEIVKPNVTSEDNGVTTKVDDDEKTRITERPKDGRFKRQSTMDFGLIRSRSRVVNMPHGGIGELCAAGWPLWLSSVAQEAIQGWVPRSAESFEKLNKIGQGTYSNVYKARDLETDKIVAMKKVKFVNMDPESVRFMAREICILRRLDHPNVMKLEALVTSRISGSIYLVFEYMEHDLAGLASAPGIKFTEPQIKCYMQQMLLGLEHCHSRGILHRDIKGSNLLIGDNGVLKIGDFGLATSFKPNQKQPLTSRVVTLWYRAPELLLGSTEYGVAIDMWSAGCILAELFTGKPIMPGRTEVEQMHKIFKLCGSPSEEYWSKSKLPLATSFKQQNPYKRGIADTFKDLPPTALALVDALLSIEPEKRGTASSALSSEFFKTNPLPCDPYSLPKYPPSKEYDTKVRDQEARRRKAEAGKAHGAETTKKSSGQAKEEPATEFNAHGQGQTSKGIRVKYNALEDNRAGFSIEPPALRYGLTHSNSVIHPNTSGYTWNNKAKDDSSAINERAYPASQHGVELSRQGSHKPRATGEFSNIRSRRDDRSSGGDSTVYVSKRSRLLHSGPLMSQGGSMEDMLKEHERQIQEAVRKACQDKTRPE >Solyc01g097260.3.1 pep chromosome:SL3.0:1:88046786:88053216:-1 gene:Solyc01g097260.3 transcript:Solyc01g097260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERFTSYVSLTYTLCITKFLFKRLLVSSSHSIFSFFWGFQLETQKTKSISLSAMAVDGEQSHQSHRSRQSVPTAQKKSKSDEKKKGVSQENNKQHNPKKKLNGIVEGQHDD >Solyc10g079630.1.1 pep chromosome:SL3.0:10:61258770:61260413:-1 gene:Solyc10g079630.1 transcript:Solyc10g079630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSESNLAIAKTVISAIGSAAAMSMLVHNLPPEVQDYLFFGLRHVFIKFSNQLTMVIDEFDGMMSNEVYEAAQIYLGTKLSPDTRRFKISKSEKEKSFNTSMERNEEVIDYYKGQKFKWIWVCKQIQTSRESFYNPRDINSTMRSEVRSYELMFHRKSKDFVLDCYLPYIINEAKLQYLETKTLKIHTMDYENMHDLSEVWTPVSLDHPATFETLALDSEQKDEILKDLDRFVKRKEYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTEVKKNSDLRRLLVATANKSILVVEDIDATIDLQEKLSSRAAAPSNDSHEEESKVTLSGLLNFIDGLWSSCGDERIIIFTTNHVEKLDPALLRPGRMDVHIHMSYCTPCGFKLLASNYLGITEHQLFEEIENLIGATAVTPAEVAEKLMKDDDVDIALKSLIDFLHLKEKEHEDIEVEEKENE >Solyc09g083400.3.1 pep chromosome:SL3.0:9:69478076:69483331:-1 gene:Solyc09g083400.3 transcript:Solyc09g083400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVTDICMDKEQDGSISYCDGASPDSSCIIDSNQDVQSSKLIIGEQETDMLKESVETKEYEVKECTAEVSVEMSKLSEIESTKEQYTKNSKCEIESPKKENNSEVGKLKDDNKRSRTSVKSATKSAGGNCKTKCTVPQPFALATEKRASHGTRLVGNDADNVNYKTPEVSNLRVPSTKQNKISSAVATRKPLEPDNKKHSDEEDSCSVTSCATLPARKSRATVASAPVFRLSERIEKRKEFYSKLEEKHQALEAQKVQWEARTKEEKEAAIKQLRKSLMFKASPMPSFYHEGPPPKTELKKAPPTRAKSPRLGRRKSCNDSVGLDKGTMGAYDRGTRHSLEVNNENDAFGSRNRKDRINIQNGTAIYKFNNEANRAEDINESYMTTMREEMNVDIAVHS >Solyc08g075100.3.1 pep chromosome:SL3.0:8:59376546:59388290:1 gene:Solyc08g075100.3 transcript:Solyc08g075100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSAAYMATTTFTSNLLETKQKPLFPNNFPYLFRPFSPRNLKNPKIFKFPKMTLSNDYMGIGSFNSTRTNYNTPTTGKFYKRMDSCLVIPPPKGIKPKAIIKFVGGAFIGAVPEVTYSYLLENLAREGYLIICVPYNVTFDHAQVSRQVFERFHACFDPILASGLPDSGLSADDIVDLPLYSVGHSNGALIQALVGSYFCEKIPKANVIISYNNRPASEAVPYFEQLGLLVGQMVPVISPAYSMAQSASGDALRVLLDTAGTIIPDYDPETVVSLTKFADQLPLVFGELAQGISEFKPTPSENLECFKNAYNVNRTLLVKFDNDAIDETDRLEETLKPRVESFGGKVEKIALTGNHITPCVQEPKWRVGAVYTPADAIAQVVKTLSINDTKGLCTTIANWFSSLKE >Solyc11g012790.2.1 pep chromosome:SL3.0:11:5526694:5562539:-1 gene:Solyc11g012790.2 transcript:Solyc11g012790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVISEESIAQFEALMEQVDESLKTTFQNVHQGCTRDTYLRFLIAREGNVANAHKMLVDSLNWRVKNEIDDILSKPIIPTELYRSIRDSQLIGMPGYTKEGLPVFAFGAGLSTYDKASVHYYVQSHIQFNEYRDRVILPAASKKYGKRISKSLKVLDMTGLKLSALNQIKLLTIISSIDDLNYPEKVVAYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLSGCGQDDLLKIMDYSSLPHFCRREGSGSSKYSGSSSENCYSLDHPFHQQLYDYIKQQALLRRPVRPVKQGSVHVDFPDAVTEGTEFVQTLASEFQKFGKQNGLSQSLDDLNINDHQA >Solyc10g008140.3.1 pep chromosome:SL3.0:10:2259364:2268393:1 gene:Solyc10g008140.3 transcript:Solyc10g008140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKDLEIEETSPPCREDETKTQVGKVKKKKKVKAEKRGVCHVSRVPPRMDHVKLRQVLSQFGEIQRIYLVPEAAAAQMNRKRAGGFRGQAFSEGDLESLSTSLSNFCLTGGRKRSSFYYDIWNVKYLSKIKWDDVTDEIAQRHAVREQKLALELSAAKRERDFYLTQVDKSRALSSIEERMKKKQKVQQESGVISDFPSDQFAPKVIRQFPQKKPVADQAGKLKPSLSKDVLAGVSSISPIPCFGERGAGKMNLNNVKVPKMPGGGATSALIKLGVIAGLGVYGVANSLYNVEGGHRAIVFNRIGGVKNKVYPEGTHFMIPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVSDQLPTVYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREVRARGLVCLVDVLGGSNLCYRWYFLLPVPLNCDYRPIGSESLFDAYVFFVEVGKEMNYGSCICDTGTCLNNVSREIRKILTDRAANFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFVVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNPAFITLRKIEAAREIAQTISHAANKVYLSADDLLLNLQDFNLDTTRK >Solyc06g011480.2.1 pep chromosome:SL3.0:6:7597801:7600702:-1 gene:Solyc06g011480.2 transcript:Solyc06g011480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPIEAILQIAGVEVIVASVENQLQIEVMYGINIVFDALILDSVDTEFDLISLPESTFMLKLTSCDSTYLLPFNTFTWCISKVTAKIPRIILVINKSIYELEFEMDHFGRPIYVDARAQLYTIVELCRVFDKIFKELDKG >Solyc11g008930.2.1 pep chromosome:SL3.0:11:3086905:3091021:-1 gene:Solyc11g008930.2 transcript:Solyc11g008930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNHKNSIMQRSLRGKGGRSCESQCRPNGSETLPEGIIAKTSNFERRPLWGYPEKKEPSKYLFAAAVGIKQKETVNKMVLKFLSSDFVVMLFHYDGNVDGWRTFEWSNSVIHISALNQTKWWFAKRFLHPDIVAEYSYIFLWDEDLGVEKFNLNRYISIVKDEGLEISQPALDIGKSEVHHQITARGRRSRVHRRTYKAGDTGTRCDYTSMAPPCTGWIEVMAPVFSHAAWRCVWYMIQNDLIHAWGLDIQLGYCAQGDRTRNIGVVDAEYIVHYGLPTLGEPEKKKNSTVGGENITSLGKQAPTQPNTPNFRVELLVSFNSKPVEVRRQSYNEYKIFKRRWKQAVNEDTCWTDLYPESEKFLKKDEMNARCCRFKKWR >Solyc05g053835.1.1 pep chromosome:SL3.0:5:64717121:64729792:1 gene:Solyc05g053835.1 transcript:Solyc05g053835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQSDPRQYQQQLLISRVSHDGDPRSDSSFPFYAESVLSSVNSKSDLSREVDEDTLLTLAHQNYKAGNYKQALEHSKAVYERNTQRTDNLLLLGAIYYQLHDFDTCIAKNEEALRVNPQFAECYGNMANAWKEKDNIDVAIRYYLIAIELRPNFADAWSNLAGAYMRKGRLSDAAQCCRQALALNPRLVDAHSNLGNLMKAQGLVQEAYNCYVEALRIQPTFAVAWSNLAGLFMDAGDLNRALQYYKEAVKLKPNFSDAYLNLGNVYKALGMPQEAIMCYQRALLVRPDYAVAFGNLATVYYEQGNLEMAMLNYRRAITCDAGFLEAYNNLGNALKDAGRVEEAIHYYRQCLSLQPNHPQALTNLGNIYMEWNMTSAAAQCYKATLAVTTGLSPPFNNLAIIYKQQGNYADAISCYNEVLRIDPMAADGLVNRGNTYKEIGRVNEAIQDYMRAITIRPNMAEAHANLASSYKDSGNVEAAIKSYRQALMLRPDFPEATCNLLHTLQCVCDWDDREKMFIEVEGILRRQIKMSVIPSVQPFHAIAYPLDPLLALEISCKYAQHCSVMAARFSLPPFSHPPPLPIKGGSRSGRLRVGYVSSDLGNHPLSHLMGSVFGMHDRENVEVFCYALSPNDGTEWRLRIQSEAEHFVDVSSLASDVIARMINEDQIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGANYIHYLVTDEFVSPTRYSHIYSEKLVHLPHCYFVNDYKQKNRDALDPSCQPRRSDYGLPEDKFIFACFNQLYKMDPEIFKTWCNILKRVPNSALWLLRFPAAGETRVRAHAAAQGVQPDQIIFTDVAMKQEHIRRSSLADLCLDTPLCNAHTTGTDVLWAGLPMVTLPLEKMATRVAGSLCLATGVGEEMVVSSMKEYEEKAVSLALNRPKLQDLTKKLKAVRLSCPLFDTGRWVRNLERSYFKMWNLYCSGQHPQPFKVTENDMEFPYDR >Solyc12g049640.2.1 pep chromosome:SL3.0:12:62384739:62385705:1 gene:Solyc12g049640.2 transcript:Solyc12g049640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMVKSLYCPIFYSLHITHSAAMVHKPFISQFHLCFCGVAALFTTISLFAQIQMRIIKVEKLIRKMGMHQGAGFELIDGNCEPLGMNFREGWNGDHGMVDSE >Solyc01g057750.1.1.1 pep chromosome:SL3.0:1:63583601:63585463:1 gene:Solyc01g057750.1 transcript:Solyc01g057750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKEKAIKAKGVANEMMENNRKRESTKSSENWAVSDHVPGQNLQCLNKENRLRSMRRRHRINYRDNLSDDDEEDFSKRFKEVGYPSPTKESEMQHLSCATTPNGERKKIEHCLSNIESFQNTEMEIETSNESVHDIGLCTVTESKSLEYPDPDFSNFYKDKDESCFKVGQVWAVYDTFDGMPRFYGVIRDILSPEFKLRITWLEPEPLNETKWLYEGFLTSCGRFRIGNLEHIEDHLMFSHLVCVTNGNNNDSINIFPLKGETWALLKDWGSKNLNYEFVEVLSNYDETIGVHVAYMDKTKGFTCLFHRVGDPFLVPTKGMFRFSHRIPSMKMTGMERDDVPEGSFELDPTSLPIDQLDVSASSINKSVIANFMEFVNSAENWVPPIPNQVLEPEVYKFAAERSQEKFQIGQCWALYSDEDALPRYYGLIKKIDTLPQFVLHVAWFYACPLPKSTIQWHDKTMPIGCGLFKFLNSKLNKYIVTNKFSHVVVAEPLKKGLYKIFPKTGEVWAVYKNWSPQLMKGNTLEYFEYEIVEIVDVSDNYVDVKFLEWVNGFKSVYKARVEEEEADKVVKICVSEHLRFSHRIPAFCLTEERDGSLRGFWELDPAGMPLCLRCIN >Solyc01g106943.1.1 pep chromosome:SL3.0:1:94543500:94545494:1 gene:Solyc01g106943.1 transcript:Solyc01g106943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCCRKVNQASRQGKSLGEIAVKKTLQEKDGRLKNLLKTSYLRRWTGLQDSDGNDADIHRDSEANNMDFARMKIENATLKESLESMEHLIRAVRRLRLSLLKFKEPAASKGMENCSSESLDNIINEASQLKTALGISLPLSWSVEADSGSSSRCVEEEMDNGHSTRENMDFVSAAGFEMVELLVFVAQLLKEYKCS >Solyc12g036143.1.1 pep chromosome:SL3.0:12:45122620:45125866:1 gene:Solyc12g036143.1 transcript:Solyc12g036143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKAFSCSLCFWQVCQQLHVLWSAPFRIVIAMVLLYQQLGLASLLGALMLVVMIPMQTIIVSYMRKLSKEGLQYTDKRVGLTNEILAAMAVVKCYAWEKSFQSKVQGLRNGELSWFRKAQLLAAFNNFMLNSIPVLVTVISFGGFTLLGGNLTAARAFSSLSLFAILRFPLNMLPNIITQLTRIVLS >Solyc04g050417.1.1 pep chromosome:SL3.0:4:46997356:46997955:-1 gene:Solyc04g050417.1 transcript:Solyc04g050417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKPKPTSWGHHPEPTLASLTVISSVYAFLSKVSVVPSTAALVIKALKEQNPRSMAKDLSETVKEILGICVSVGCSVDVKDPKDLQQEIIDGDEEIPQDWLLIDGIRVNYELIIPLMEVSSV >Solyc02g090900.3.1 pep chromosome:SL3.0:2:53019277:53025785:1 gene:Solyc02g090900.3 transcript:Solyc02g090900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNSTSLRNSGLYTSPATPEYGDNNVRGFQKGWSSERVPLPTNSGRRHISTTALMPFNSGRTVPSKWDDAERWITSPVSSYGVWRTPNAQTYRGPKSKSGPLGPPGLMYLPNYSPSMPVLESGGISNFIANSPFTTGVLVPDGVSIHYGAGEYAQNSMARATSAPGLSDLFSESSVPSSPDDVTKEPDSISFAVLRRDMATQMSSDDSTHTSPQERSSSIPPGVEQSRQHSTKVEIRDVQVDKGDPILGLSRKSRVRKPKKQLPDISSPISTWDVVDGTKSMTKSQREEARIAAWENLQKAKAEAEIQKLEMKLEKRRSASMDKILNKLRHAQVKAQNMRRATSESQPRRDSHRIIPFREYFKITSFSSCFVCRIP >Solyc02g078340.3.1 pep chromosome:SL3.0:2:43633464:43634014:1 gene:Solyc02g078340.3 transcript:Solyc02g078340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDNRNIHRKIGRSTTRTKCPAPFLSKTYDLLEEQEEENSNRNIKVVSWNGEGNGFVVWCPDEFSEVMLPKYFKHNNFSSFIRQLNTYWNHGCETIIFRQIIR >Solyc06g005050.3.1 pep chromosome:SL3.0:6:24511:26785:-1 gene:Solyc06g005050.3 transcript:Solyc06g005050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPNQSVLSKLPNNVIDEILIRLPFRDAVCTSILSKEWRKHWCRLPQLTLDSDFWKSKKDLTGDKRATSNFTMTIHNIITRHSGPLTKFTLCIQYWEIYPPIDNLLYFLSRNRIQHLVLKLPRQFKLPPSFFTCLHLRHLFLQNCLLLPPPDFKGFDRLIRLELHEVTISSKLLESLISNCLLLEQLVLRISDTLSDIIEINAPMLKSCDFTGDMTTICLKCVPRLTELSLRYYRKDWKEFDVANFLTSNFFESCPDHLEHLHLDYGLHVICPSSYPYFWLVLP >Solyc08g015770.3.1 pep chromosome:SL3.0:8:5577166:5580886:-1 gene:Solyc08g015770.3 transcript:Solyc08g015770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCNACWRELEGQAITTTCGHIFCQNDASKILSSDAACPICDQVLSKSLMKPVDVNPSDEWINMVMAGITPQILMKSAYRSVMFHIGQKELEMQFKMNKVVAQCRQKCEMMQEKFSQKLEQVHTAYQKVAKKCQMLEQEIETLSKDKQELQEKFAEKSRQKRKLDEMYDQLRNEYDSVKRTAIQPSNFFSRAEPDLFSNPANMMDNRDTMRKDWSVLTPETPGPREDIWPPTRQNGSNSGPFDVSNGSPVRQSAVPVDAGNRRAGAHPMLGVATGASNPSMTLRNLILSPIKRPQLSRNRPQMFT >Solyc06g074410.3.1 pep chromosome:SL3.0:6:46178820:46182317:1 gene:Solyc06g074410.3 transcript:Solyc06g074410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVLVEKILRVQPNVKKLYLLIRASDNNSARQRFTNEVVMSELFNVIRERMGTIYLSSVVDDKVLPISGDISKKNMGIKNSQLREHMFKEIDIIINSAATTNFDERYDIAMNINVIGAKNVLKFAKSCKKVKMLLHVSTAYVCGKTTGILSEKSLVMGETLNKNSYLDMDKERSIIASKFRELRAQNATAKELTIAMKELGLQRARLHGWQNTYSFTKAMGEMILGHLKENLEIVVIRPTIITGTYKEPFPGWIEGVKTVDSFLLAYGKGGMKFYYGDPYSKLDVIPGDMVVNTILAAVIAHENQYSQQVVIYHSSSSLNNPLIISNFALYMFRYFTKNPWANKDGKTIKVKAPMRPFSSMTSYRKHISTHYLSLLKMLKFVNQVSCHRYEKNYVLMKKKISKAIRMTELYEPYVFFYGSFDEVNAEKLRLAMKEINMDQVLNFDSRCIKWEDYFMNAHIPGAVKYLF >Solyc01g060040.3.1 pep chromosome:SL3.0:1:69385143:69400588:-1 gene:Solyc01g060040.3 transcript:Solyc01g060040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRKYIPPCDAPSGSMKSLNLSGMANQNSQPSDPQRSTEADVDIDMGEVYFLIMHFLSAGPCHRTYGQFWNELLEHQLLPRRYHAWYSRSGAPSGDENDDGRSFPLSYNRLVERYSHVGKDHLVKLFKQLLLSVKAPPLGMVGGNTINAAAVPTLLGTGSFSLLNSDQDQMNNEVKPPGHLRWPHMLADQLRGLSLREIGGGFSKHHRAPSIRAACYAIAKPSTMVQKMQNIKKVRGHRNAVYCGNFFAIFDRSGRYVITGSDDRLVKIWSMETAYCLASCRGHEGDITDLAVNSNNTLVASASNDCIVRVWRLPDGLPISVLRGHSGAVTAIAFSPRPSSIYQLLSSSDDGTCRIWDARYSQFNPRLYIPKPPETVAGKNTGPSSSTVLQSHQIFCCAFNNSGTFFVTGSSDTCARVWNACKSNSDDSEQPNHEIEILSGHENDVNYVQFSGCAAASRFSSIDASKEDCGPKFKNSWFNHDNIVTCSRDGSAIIWIPRSRRSHGKGGRWQKAYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAVDGSLVHSLTGHTDSTYVLDVHPSNPRIAMSAGYDGKTIVWDIWEGAPIRTYEIGRFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQQDAKYDQFFLGDYRPVVQDTNGNVLDQETQLAPYRRNMQDLLCDAGMIPYPEPYQSMYQRRRLGALGIEWRLSSFRFSIGTDFNMDQPYQTFPIIDLEMLIEPLPGFVDAMDWEPEIEIQSDESDSEYHVTEEYSSGKEHGSFCSDASANPENSDEDSEAADNQKDALRRSRRKKQKEEAEVMTSSGRRVKRKNLDECDNSSHRSNRSRKSRHGRKAKKKSSSKSLRPQRAAARNALHLFSRITGTSTEGEDEYGSESDSSDSESTLQDSNNGNEDSDTSLSSERHGHSKGKEICVDHSDETNKLQPFPNSNLNGGIRRRLVLKLPNRDPSKYGAPKNYEPGLAGPSLAPEEGAEVSHYFGCEDHNLSDANGDIREKCEIYQPTKIENHLDLLEGCKDRNIKWGGVKSRSTKRSRMGELFPSGSETGPSSFAEGSILKENVVNGHPMLEKENHSVPPCSGIQNETNGIIHVNENHCQDSMTENVKLVDGTDSDHPCKQNTTPVPMRLRIRSKTLFGHLDNCDMIDAKTSLEDSGRTACDTVSECQDTVKVLSSEAPTEVDSRTPTLDDEDREKKLDAENIGGSSGTELQVSQPVRSHDMMFTAVYRRSKFGRSRSGREGVSGSMEATTSNVGSHSLAEGSEAVIEGVRRTRSIRLRPTTCDVNPAHNNERFVQSHDGSDGTSVEKSTGNNNDESSFEEKLLGSASSVGLRSTRTRRASYSAREPSPPDRKKSYQAAKSSWLMLVAHEEGSRYIPQRGDEIVYLRQGHEEYISQNSLRDLGPWKTIKGKIRAVEFCLIENLEFKTRPGSGESCAKMTVKFVDPASDVVGKSFQLTLPEVTGFPDFLVERTRYDAAIERNWTSRDKCQVWWKNEGEEDGSWWEGRILNVQAKSHEFPDSPWERYVVRYKSDPSETHQHSPWELYDADTQWEQPRIDDETREKLMSAFNKLEQSGNKAQDYYGVEKLRQVSHKSNFINRFPVPLSLETIRARLENNYYRSLEGMKHDIEVMLSNAESYCGRNVELTTRVRRLSEWFRRTISFL >Solyc07g061750.3.1 pep chromosome:SL3.0:7:64837702:64842388:1 gene:Solyc07g061750.3 transcript:Solyc07g061750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHMYDDAMKGSTEYRDYSASIRLTREKKNGYQVTPKLDHTHIMDPRMYDAAMEGELVYYNFSVVDHLTRDEENGYQVTPKGNTILHVAALFGRQNFVREVLKRTPALLLYKNKKNETALHIAANVGQSEVVNELLSIQGQETVLVRMTDDIGDTALHKAVRSGHIDIVRILMNLLLDPEHDFPANKAEETPLYLAAESGFHDALIEILKVCKEPTHVAGPSNRTPLHAAVIQEHAECAMALLHWKGDLCEEYDVWGWNSLHYAVKQGLTEIVSDMLSRNKSLAYKQAGSENEWTTTFNIAASEGHEAMIHELLRHCSDCWDMVDSNGRNALHVAIMNDGELMVKLLREFIFCDSLVDEADNDGNTPLHLLAAYGKHLPLSVLFHPSAKKMAFNRENKTPLDIASSRTLTTEKERLVAVLRKFNARLGQRCNSEVQRQIEIQEMRKNDDEARAKREKLKMEKILKSTEIQIVVATLIMTVAFAAGFTLPGGLENDNGPNKGMSILLKKTAFRVFVISDVLAFTCAAAAIYIYSVMANCDVVAGEINVHLLNDLRMNYDTASNLQGFAMAAVVVAFVTGIYAALENSLGLAVTVCVIACVYFPIFVYINRTWNIVAKFFRAIHELFRS >Solyc09g007870.3.1 pep chromosome:SL3.0:9:1399607:1407814:1 gene:Solyc09g007870.3 transcript:Solyc09g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESETLTREYRQPSMLQRVLSASVPMLLIAVGYVDPGKWAAMVDGGARFGFDLVMLVLLFNFAAILCQYLSACIALVTDRDLAQICSEEYDKVTCIFLGIQAEVSMIALDLTMVLGTAHGLNVVFGVDLFSCVFLTATGAILFPLLASLFDNGSAKFLCIGWASSVLLSYVFGVVITLPETPFSIGGVLNKFSGESAFALMSLLGASIMPHNFYLHSSIVQQGKESTELSRGALCQDHFFAIVFIFSGIFLVNYAAMNSAANVSYSTGLLLLTFQDTLSLLDQVFRSSVAPFTIMLVTFISNQVTPLTWDLGRQAVVHDLFGMDIPGWLHHVTIRVISIVPALYCVWSSGAEGLYQLLILTQVVVALVLPSSVIPLFRVASSRSIMGIHKISQLMEFLSLGTFIGLLGLKIIFVIEMIFGNSDWVNNLKWNIGSSVSTPYVFLLIAASLCLCLMLWLAVTPLKSASSRFDAQAFLQTHVPEPYSECNQLGASNAMFGLVEGSSQKQEGAFHVEKSLVTHPDLSTKDPDQLLPESLLDFEKVHQLATIDESKSETTFSAPAVVHPEVPVSAGASPSVKSVCNEVSGVVSVDTSVFNTETVDVAEKTLRIEGDMANDRDDGDSWEEPEEAIKGVSENAQSFISDGPGSYKSLSGKLEDTGSGTGSLSRLAGLGRAARRQLTEALNEFWGQLFDYHGMATAEAKSKKLDIILGLDSKMNPKPAPASLKVESSAYIPSGSARIPEPLINSHVYSPKQQFASNIVDSAYRVPKEPSSTSSMWSNHMKLVGAYVQSSNSNMLDSGERRYSSMRIPATSAGYDQQPATVHGYQITAYLNQLAKERGSDYLNGQLESPSPRSVSSLTSNYAEPLARVSGQKPQSGVSSRAPPGFGNVPVGRNNSMQPTNTTSVDHSSTETAESVAGSANSKKYYSLPDISGRYVPRQDSIVSDARAQWYNSMGFGQSGGRSTYEQAYMSGSLRAGGPQRYEHSPKVCRDAFSLQYSSNSGTGSLWSRQPFEQFGVAGKPDVGSGDHGTVLSSSAQESTSTVDLEAKLLQSFRSCIVKLLKLEGSEWLFRQDDGADEDLIGRIAAREKFLYEAETREISRLTNIGESHFSSNRKPGSAPKPEEMDYTKFLVMSVPHCGEGCVWKVDLIISFGVWCIHRILELSLMESRPELWGKYTYVLNRLQGIVDLAFSKPHSPTSHCFCLQIPAGRQQKASPPPISNGNLPPQAKQGRGKCTTAAMLLEMIKDVETAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVGNQEVAGVAGPRKVTLSASSPPFVL >Solyc06g009010.1.1.1 pep chromosome:SL3.0:6:2952634:2953170:-1 gene:Solyc06g009010.1 transcript:Solyc06g009010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNNINILRSKYDRESSNYNSISEDGLIYKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHNEKRKTLNGDDICWALGSLGFDDYVEPLKRYLHKYRELEGERINQSKGVGLGNNIEDQRGRDDDELTQRGSTIPFKITIMDHKGENNFLPTRPY >Solyc02g049108.1.1 pep chromosome:SL3.0:2:4119033:4121351:1 gene:Solyc02g049108.1 transcript:Solyc02g049108.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYMSKKEEEKWVIARLVLNHNHELASPNSQKFLRSKRKKSEAQKKLIDLLNNSGIRPSKIASVLTTQAGGIENLNITGRDIQNYLSTKRQNCLEKGDAQLMLKYFQKRQSDSPGFFYAIQMDVEGHLANCFWVDARSRIAYKNFGDVVLFDPTYLTNKYKMPFVPFTGVNNHHQSILFGCSLLWDETKETFQWLLHTWQEAMFGISPRTIITDQDAAITNAVAKVFPNSAHHFCMWHIEKKIPEYLSHVFHAFDDFKNKFKHIWLRKIYTIREKWIPAYVRTTFCAGMSTTQRSESMNKYFKDFLNSSTPMSVFVTQYDKAVDARYDKVREKDYKTKHSKAILKTLYPMEDEAAKIYTRKIFQKFQEELIQSQKFISEKIEVQDGIHIYKVHLFQRETPTYIVRLNLELKNATCSCHKFEFMGILCRHVLMIFIKKEIHSLPPCYLLDRWTRYATTEKANDIASVGSLAYNLKSSTIWFNNIMTHSLGLSERATRSEKHYKFTYQKLLQLSKELDELPYEDNDNVCDDQVNESNNDLNSSEQREKFSLLDPPCMATKGRPRSFRMKSGLESSQKVKRSSSLKSKRETKIRKKGKGVSSHINVEKEFNSRETSINADPTMEFSAYSVPFEGQSGRADSYPTSFMDLMRATSYPYQRGF >Solyc01g008990.3.1 pep chromosome:SL3.0:1:2937513:2942056:1 gene:Solyc01g008990.3 transcript:Solyc01g008990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKGRRVAGKGETVPANYAFGPLEDDSIIKHRLLTRTTTTRGEPPLKKLQKKFTAFALEVEKEADNYGDCERLAKAFLQELNTFEIPLLKSKAVIDANLREKENFNDLKDEINGQILQAQAEIEDLKRQLEESKIERKHKEECESIRKLVAMQPPRSETQKVITELEKEIAMLGAENTASSRTLELRKKQFALLLHVVDELQNAIEEEQRSLVEELRNAVDDHNKNGVENGSGGPEAMAVD >Solyc07g008390.2.1 pep chromosome:SL3.0:7:3188065:3199013:-1 gene:Solyc07g008390.2 transcript:Solyc07g008390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKRANPSSEQGLHEFQTEIELLSKLRHRHLVSLIGACEENDEMILVYDYMANGTLREHLYKHNKPPLSWKQRLDICIGAARGLHYLHTGARYTIIHRDVKTTNILVDDKWVAKVSDFGLSKTGPNLQQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLSGRPALNASLPKEQVSLADWALHCHRKNTTMELMDPHIKGEIIEECLKQFIDTAVSCLSDHGTDRPSMGSVLWNLEYCLQLQSDPDEPKMVAEQKANDAYAMHKELLTDAQKDIDLALNFCPKVDRNDSNLSMTPLVVVQVTEFACGKGLALCVSSEHAVIDGFTALKFVYEWSKVSKMGINKINCFTFDDFGTIFPPTSDSHLLKRVESPRDDPNHDFPEMVARRFVINQSVISKLREHVGVVHIRPSRVELVIAFLWRALINVYRCKSNGRLRPCLLSVPVNLRGKIDFPRYENSFGNFAIEVPVKFIPGETGMELKDILLLIKDVIQKINVSFAKSSDDIYSLASKFHKEIQEWEENEQVDVCMASSLCRFPINEADFGWGKPCLLSFGLRRSDMFWLYDTPCGSGIIVQVDLKKDYMDMFGCDRDLLSLTCE >Solyc06g008780.2.1 pep chromosome:SL3.0:6:2706215:2708452:-1 gene:Solyc06g008780.2 transcript:Solyc06g008780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGGKSSKNAVAKKCLSTLIRLLGKEARAYSCMTWLQQTKGMNLRELDLGESEAKDLSGHWLSHFPDSCTSLVSLNIACLASVVSFSALERLVARSPYLRTLRLNRAVPIEKLPKLLRHASKLVDFGRRSYSADMQADVSEVFINVSQAFSGCNQLKGLSGFWDDVPAYFPTIYPVHSKLTSLNLSYATIQIPDLSKLIGNCFNLQRLSGLEEIANTCKELQELRVFPFDLFAPGPNVSLTEQGLVAVSMGCPKLQSVLYFCRQMTNDALVSIARNRPNMIRYCLCNIEPQTPDYLILEPLDTGFGAIVQQCKEVQRLSFSGLLTDRVFEYIGVHAKKLEMLSLAFAGDSDLGLLYVLSGCESLRKLETRDCPFGDEALLAIAAKLETMRSLWMSNCSRTVSGRRFDTPGFVWIIDEDATSTPYSNGISLWLLLRKTS >Solyc11g065910.1.1.1 pep chromosome:SL3.0:11:51786178:51787182:-1 gene:Solyc11g065910.1 transcript:Solyc11g065910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPHLVWFVAILSISNSVEASHNPYSWRKYYSYKPAPTFTPNPDKSLGQSSPSPQPSSSDYYISSPPSPLGWFSPQPSLVPSPTPTSSPLSPSPPSPSKWAWEPSPSYTTSPQLSPVLAPSNPDQSPPSPIEWFSRRPSQVPSPAISPSPSPSHLRWAPSPYSYSWEPSPSYTTSPQSSPVLAPFDPDQSPPSPIEWFSPRPSPVPSPATSPLSPSPYDNSSPPSPSEWAPSPQSSVVPVPAPAPSYSPPPYYYKSPPPPSPSPPPPYYYNSPPPPSPSPPPPYVYKSPSSPPPPPYYYNSPPPPSPSPPPPYYYNSPPPPSPLPPPPPSY >Solyc11g020780.2.1 pep chromosome:SL3.0:11:12013265:12018409:-1 gene:Solyc11g020780.2 transcript:Solyc11g020780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLLSRNPSLPPPVLSLNPLLSLPLSHPIGFYKHPLPPSPRMTSAAFHRLHHPTIGKPFLGFRNMSTDSSGKAVVSRGGNNCHICRCSLENAPVRAWVVLKQSRTSAAWFGTLASASGSEKGVPVTSAEEVEDSLSGVEDKEENVSASEEKPGLHRRQRGSGGGEGGVMASPDLLTIPGVGPRNLRKLVQKGFMGVDQLKQLYRDKFFGKSNEKMVEFLQSSIGIIHRNHAESITTYIRKSVDEELKENNSDSNVKSTQKKRLTFCVEGNISVGKTTFLQRIANETLELQDLVEIVPEPIAKWQDIGPDHFNILDAFYAEPQRYAYTFQNYVFVTRVMQERESSGGIRPLRLMERSVFSDRMVFVRAVHEANWMNEMEISIYDSWFDPVVSTLPGLIPDGFIYLRASPDTCHKRMMLRKRTEEGGISLEYLRGLHEKHESWLFPFESGNHGVLSVSELPLNFDKSVPPEIRDRVFYLEGNHMHPSIQKVPALVLDCEPNIDFNRDIEAKRQYARQVADFFEFVKKKQEVMPGAGEEQPKGNQAPVMLPQNGGLWVPGGKFSESTLNLDFRRNMSFMSH >Solyc07g049180.3.1 pep chromosome:SL3.0:7:59564864:59575376:-1 gene:Solyc07g049180.3 transcript:Solyc07g049180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFESNPKNFMLNLSLFFILIYLSSAPLPVNSQCNRGCDLALASFYVWRGTDLTYIANLFNIETRQEIMDYNTRNSIPNLDSVIAGTRINIPFRCDCLEDGDFLGHDFQYEVNSGDTYGRIVSNYSDLTSIDMLRRFNSRYPENNIPTGVNLSVVVNCSCGDRDVSEDFGLFVTYPLRSEENLTYVTATMNVSAELIRRYNSDMDAKFRAGEGIIYIPGRVNSQCNRGCDLALASFYVWRGSNLTLISEMFSTSIADIVSYNNRDNIPNQDSVIAGTRINIPFRCDCLNDGEVLGHAFPYRVKSGDTYDLVARNYSDLTTAQWMMKFNSYPENNIPNTVNLSVVVNCSCGNSDVSKDFGLFVTYPVRAEDNLTSVASAANVSEDIIRRYNPAAVSILDIGQGIIYIPGRGLSGGAKAGISIGAIGVVLLLAGLVYVGCYRNKTRKISLLRSEDHLHQYGHGPEGSTTVKAADSGRLADGNSPVLSGITVDKSVEFTYEELATATNDFSIANKIGQGGFGAVYYAELRGEKAAIKKMDMEATREFLAELKVLTNVHHLNLESYILKKVQKLLQLRDSRGFVTLVIEVLDRVRLIGYCVEGSLFLVYEYVENGHIGQHLRGTGRDPLPWSKRVQIALDSARGLEYIHEHTVPVYIHRDIKTANILIDKNFHAKVADFGLTKLTEVGSSSLQTRLVGTFGYMPPEYAQYGDVSPKVDVYAFGVVLYELISAKEAIVKPNGSVTESKGLVALFEEVLNQPDPDEDLRQLVDPRLGDDYPLDSVRKMAQLAKACTHENPLIRPSMRSIVVALMTLSSSTEDWDVGSFYGNQGMINLMSGRGKN >Solyc05g053510.2.1 pep chromosome:SL3.0:5:64429325:64432370:-1 gene:Solyc05g053510.2 transcript:Solyc05g053510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSEHEVTILYKVRKTVMEMLNDRGYVVEEFEIKMSKQEFLHKYGVNMKRQDLEILKAKRNDDESKIYVFFPEGAKVGVKEMRPYAERLRQEDVSQAIFVVKQKLTPKSDQFISEVSTMLNLEVFQEAELIVNVKNHAIVPEHQPLTKEEKKSLLEQYTVKESQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVV >Solyc08g062570.1.1.1 pep chromosome:SL3.0:8:51549535:51549951:1 gene:Solyc08g062570.1 transcript:Solyc08g062570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENKVTLHGMWTSPYVKRVERALKVKGIHYEYVEEDLMNKSELLLTYNPIHKKVPILVHNGNPICESSVIIEYIDETWKNESPLFPQDPYQRAKVRFWASYIHQVLLLYSTFLLSSQIHIKQTNPYTIFLIDLYIS >Solyc01g010280.3.1 pep chromosome:SL3.0:1:5016269:5017979:-1 gene:Solyc01g010280.3 transcript:Solyc01g010280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKYGSGNRNHSRTDAVVTKSETESNSPVASLRDSNQESKSRKSSDSILNDSVSGSSKSSELSYSTFSSSSGYSSDDFILMNPKIASNSISSNVTSKPLSRVDYNHLKLNTSTSSTSQVSDVTDESLLPTVSITRSPFIQVMDRQGGYDPNRVPSSIFESKSSTPNEWSAVSEESLFSINVSLSRKSAELRMCVESETCEEISKSGKLISRHSSPVKGGERTEKSSVFDTERGVIDRSNLIEAGNQHKSIKKQSHFEEEIKLRGNRDYSSAISHSEGNGGFKDSYNHCNGNDTSLPFPTDRKSTEPLFYPAYYKKKKSRWSSCFSSCFSCGPCCSGCPSCSCKCSSCFSCKWPSLKCCSCKWPTWKCCSCKWPSWKCCSCKWPSWKCCSCKWSSWTCCSCKWLSCSCYCRKWSRKQSCCCK >Solyc12g097080.2.1 pep chromosome:SL3.0:12:66705916:66707140:-1 gene:Solyc12g097080.2 transcript:Solyc12g097080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVKLIGTPFSFFTYRVIWALKLKGINYEYIDEDMSKKSSLLVKYNPIHKKVPVLIHGDKIICESMVIVEYINETWKLNPLLSTDSYERATSRFWAKYIEEKSHSSWNVFCYTGEKQQNAIKESLEMFKTIEENALGENNILFGGENIGFVDIAFGGYSLWMEIIEEIVGIKLLNPHNFPRINNWIKKFKEVQTIKDNLPNRDEMFVYMKNARGRMLASP >Solyc05g008470.2.1 pep chromosome:SL3.0:5:2815621:2822962:1 gene:Solyc05g008470.2 transcript:Solyc05g008470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCRNLKRRNVEGGKLDRLTNLPINIKYRIQEQLSVEEAARMSVLSTQWRYVWASKPKLVFSPQFCARKPLTDVIEAILSHHYGDIKSFCVDISSIPCSQHSVIDRWISFLSRNGITDLTLQNQSNALYKLPSCMYDIELESLRLSNCIFKLPCGFRGFHKLKNLTLHQVVLELNDVTPCLWMPYLVTLHVRECSGFPNSKVYAPRLSELFFFTRRTEDLELGHFMDCQKLKTVVLVSSKQNQHEVMNLTYLLKCWPEICSFGLDSCYFLKSFATEAERLPTYLNSLKSITLSEFDFDDDDHIFSLLRILTVSPHLVDLHLALNSKKKKTDDMKVINVVNHFEGPAYRTLGVLHKLQRLMINHFHGSKIEMFFVKFIFASAPVLLNTIIHEDVGSVDESQSLKISQELMSFPRASPKLKIHVNRLKMNMICRNIKRRNVEGEKLDRLSNLPINVKYRIQQQLSVEEAARMSVLSTPWRHVWASNPKLVFSPQFCQRKPLTDVIYTILLQHCGDIKTFSVNISSIPYSQHSVIDQWMLILSRNGVTDLTLQNQSNALYKLPSCIYDRELEGLRLSNCIFKPPCDFRGFHKLKNLTLHQVVLELNDVTSFLWMPYLVTLQTWPSAVHLSNKHRLPPLHRQTFIKIPFVLYVTELNIFFASSLRISGGGSVSAVQLLNQTFFDLNR >Solyc02g071550.1.1.1 pep chromosome:SL3.0:2:41522544:41522876:-1 gene:Solyc02g071550.1 transcript:Solyc02g071550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQESEILFPQEHEMELQNSADEEEDEFEFQVRHYNNNVSMIRKIIMKQDVESTPMNKASFFMDNFFEDEYEDDEMVPPDVIIERRVIRRMMAFSICIGYGGTLKGI >Solyc11g010110.2.1 pep chromosome:SL3.0:11:3212616:3229752:-1 gene:Solyc11g010110.2 transcript:Solyc11g010110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDVYLLPPVFASYRNAGNGNGLHGLQIQIAMRGLSLLKVGGRMVYSTCSMNPIENEAVVAEILRRCGESVELVDVSSEVPQLIRRPGLKKWKVRDKGAWWTSYKDVPEGRRNAIVPGMFPSGKTYLDVSEKNDDATRDQLSDNGNNANGIEVLEDPATAATISDKEVSTLPLERCMRIVPHDQNSGAFFVSVFQKLSPLPAAAFQQKKPVSSRGKPKSSDVIQAESLTTKVKEDVNVEDVKPVDSVGTQEVTMDDADIQDESVTTKVKEDEDTEDVKPVDSVVSEDVTMDGAGNGTDETALDTEPSEILEKTEKEETQPSTDTRAEPETGRGKRKLQMQGKWRGVDPVIFYKEEAVVSKIKDFYGIKESFLFEGHLITRNSDMNHVKRIYYVSKSVKEVLHLNFLAGQQLKIASVGLKMFERQTSKDGASAPCIFRISSEGLPLMLPHITKQILYASPTDFKHLLQYKSIKLGDFVDAEFGEKASQLLMGCCVVVLNKENKTLSEAQADPSTIAIGCWRGRANISVMVTALDCQELLERMSMGVEEETKASSPEIKPSTNKADEIVEAVEDEVTKNTKQP >Solyc05g006460.2.1.1 pep chromosome:SL3.0:5:1079535:1081520:-1 gene:Solyc05g006460.2 transcript:Solyc05g006460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVWWRNISSSRKQLSYLCDRDIASVQKLRYQSTLRCKISDAVPDIRRVNKNITNLIRNGRLEDARVLFDELTHRNTVTWNSMISGYVQQREIVKARYLFDEMPQRDVVSWNLMISGYLSCRGRGYLEEGRNLFGEMPERDYVSWNTMISGYAKCGRMDEALEVFECMPVKNVVSWNAVISGFLRNGDVKTAVEYFKRMPVRDSASFSVLVSGLIQNEELDEAEHFLYEFGECNDGKEDMVHAYNTLIAGYGQKGRVGDARRIFDNVPSFSGQGNSKKKKFERNVVSWNSMILAYSKAGDLVSARELFDQMTERDIFSWNTMVCGYVHASNMSEASSLFSKMPNPDVLTWNSIISGYAQAGKLELARNYFERMPHKNRVSWNSMISGCERNADYEGAIKLFRTMQQAGEKPDRHTLSSLLSVCAETVALFLGMQIHQLVTKTVIPDIPLNNSLITMYAKCGKIHEARVIFEKMKFQKDVISWNAMVGGYASHGFAFEALELFELMKCLKVRPTHITFISVLNACAHAGLVDQGRLYFKSMESEFGIKPEIEHFGSLVDIVCRDGQLEEAMKVINTMPLEPDKAVWGAVLGACRVHNNVELARIAAEALMRLEPESSGPYVLLYNMYADAGRWDDANEIRMLMETNKIRKEPAHSTVGSTSS >Solyc04g063340.2.1 pep chromosome:SL3.0:4:55457729:55460516:1 gene:Solyc04g063340.2 transcript:Solyc04g063340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFRNPRITPNLNVPTPRNHPSSSAWKSSTRLYNPNKFTIYIKLASRCCDTFRNVALRKLIFKSYKIEHISIALYRNLLSCLILRIILETSLYYYIVRLVVHTWPLSSCSVVVSIYFRSCMYPFVQRVYKYHTHSLSFYSRLVTHCFSFTSLQILHGKLIKLGFNKNTFLGNCYLDLYSKFGTKIDAFKVFDEIPYKNVVSWNIWLKVLVQSGDVHSARRLFDEMPDRDVVSWNSMISGYGLFENALGVFLEMQKYGITPSGYTYSILVSYVEFAFHGKEIHGNMLRNGVDLSNVVVGNSLIDMYGKLGLVDYATAVFLAMETVDVISWNSLISSCCKSGYEDTALSYFSLMRACRYSPDEFTMSSVLIGCSNLRSLEKGKQILCLCIKMGFLRNTIVSSAAIDLFSTCNSIEDSARIFKYSNSWDIALCNSMISSYTLNNFEENALRLFVLTLRKNIRPTEFTLSCILSCTTTFPVDLGIQAHSLVIKLGFVSDPVVSTSLVDMYCKYGLIDSATNTFNDLLTRDLISWNTIIFGLAINGEPTKSLSLYYELLELGGQPDRITLTAVLLACSYGGFFDEGISIFSSMEEEYGITPGIEHYTCVVGMMARAGKLKEAGDILQNMPHEPNSEMWESILLACDIYGDLKATEKVAGKIMELAPESSLPYLVLAQVYESRGRWESLVRVRKEMKERIENKVASYSWISVRSSVIMFQANQILSCGSKDIGIYSTLRLLTEDTWDKNEFSAQIEKQNLRLIIQWAKPNFVFAINFFQSSKFPHAYDGEPVRVKGYSPCYRMQNSSNSIELRMLHLTKTYSL >Solyc08g048180.1.1.1 pep chromosome:SL3.0:8:14814264:14814512:-1 gene:Solyc08g048180.1 transcript:Solyc08g048180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNIRQGQHASDKASPFLACDVGQWHVFSTKECKHISDLCVSRKRCRSMACDIRRVLHARTRHMLIVENYAVQRHAQSSKV >Solyc09g042750.3.1 pep chromosome:SL3.0:9:25520889:25531781:-1 gene:Solyc09g042750.3 transcript:Solyc09g042750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFFGISISISIFLQNSISASIENQTLSWRIHCLPMESNSSSPNTIPVFSTLASPFDENTDSNRKPLSLWPGMYHSPVTNALWETRSKIFETLLDPPIHAPPQSQLLTKKPSDSRTSILYNFSTDFILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDIDSMTRPLYLVTASVDKMVLKKPISVDTDLKMVGAVIWVGFSSIEIQLDVIQPANGNSDPSESVALTANFIFVARDYKTGKAAPVNRLCPETEAEKVLYEAAEARNNLRKRKRGGDRKEIENGGVNRLEELLAEGRIFCDMPALADRDTILLQDTRLENSLICQPQQRNIHGRIFGGFLMLRAFELAFSTAYAFAGLMPSFLEVDHVNFLRPVDVGDFLRFKSCVLYTEHESTDQPLINVEVVAHVTRPEQRSSEVSNRFYFTFTVRPEAKATNTQFRIRKVVPATEEEARRVLERMDADHQQSCVPTNEHLVGKN >Solyc11g027910.1.1 pep chromosome:SL3.0:11:19600495:19600834:1 gene:Solyc11g027910.1 transcript:Solyc11g027910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEISNLCIHIAGGFKWFHVLFDWRVLQHFR >Solyc09g065370.2.1 pep chromosome:SL3.0:9:63696698:63702160:-1 gene:Solyc09g065370.2 transcript:Solyc09g065370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPVPRKRNITLRCDIVSALSQANSLTGRQKKLRRLPHIFAKVLELPFNSDADVSIEETSDSFRFVIPTDDAGNNIRADTVEIYPGVTKIVIRGDNVLDSSLGEFELDLWRFRLPPSTLPELATADFADGELVVTVPKDPDEEEVDDDGIGEAGRLILLGVQRAKSIKTGELLGEEGISAMFSGQCGTDYVELHYHPGNSRKMRYVYFLLVAERSQAEVEKKAYDLVRAYRGLDLDVERSQAQAEKKAYDSVDVK >Solyc04g050827.1.1 pep chromosome:SL3.0:4:48632606:48648993:1 gene:Solyc04g050827.1 transcript:Solyc04g050827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRKHLRSGMDIQANVNYFNDKKINYKNNLDFNLKKFNSEITFVVEKDSQKYTELKFGGSGSTIATFRDTSLLELHIFSSLRYVDGGKVKIDYIFQCFGRLEGYGGHQHEFACICGAWVHLRPRVFLMIQKLKQELSKSFAMKDFGPARQILGMQIVRDRKAKKLVLSQEKYIQKVFRRFNMDKAKVVITPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVGSLMYAMVCTRPDIAHAVGVVSRFLSNPGREHWNAVKWVTRYLCGTSSLSLCFGTRKPILCGYTDADMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQNCVALSTTEAELIAVVEACKELLWMKRFLGELGCAQERYVLYCDSQSAIHLGKNSTFYGRSKHIDMHVTRSTTTNIIFDIRWMRRQEGKSGTHF >Solyc12g036723.1.1 pep chromosome:SL3.0:12:47793233:47798121:-1 gene:Solyc12g036723.1 transcript:Solyc12g036723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNHIDFCYGKLFKSSFDRMKENIIVITTKLEKIFPCGLFDVMEHLPIHLVQEVRLGGPVQTRLIGHASTHALEKLSRLESVIGLPKLKFEKDHVKRESIYYITPIHNDHGDTIQAKSTTLVDKGNIPREWRHNAYYPENFILGKPDDKIQTRSSIRKQASLDLV >Solyc03g097740.1.1.1 pep chromosome:SL3.0:3:61518263:61519927:-1 gene:Solyc03g097740.1 transcript:Solyc03g097740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPENDPTHSNSLLLQSLLDSIPHTQSFKGKWSLIRTKLSTLQSHLSDLSTAPINAFHNPLYNDLLQSLSVTLKDAFALSSICHSANPPEGKLKTQNDIDSVAAKIDNHVRDLEVLVKNGVLQENGAVSTNITSKRETIRAESRNLITRLQIGTTESKNSVLDSLLGLLQEDDKNVLIAVAQGIVPVLVRLLDSSSSPEIKEKTVTAIAKISTVDSSKHVLIAEGLCILNNLLRVLESGSVLGKENACIALQALGHTKENARAIGSRGGISSLLEICQTGTPNSQAMAATVLKNLAVFQEIKENFLEEDAIMILLRLSNSGTSLAQENAISCLCNLISEDTNMKLLVAREGGIESIKNFWDSAPSVQSLEAPVLMLRTLASFPSIADVIVDNEFLPRIVGVLNCGVLGVRIAAAKAIYELGYNTRTRKELGEIGCIPPLVRLTEGKSVEEKEAAASALSILMIYAGNRKIFRKEERGIVNAVQLLDPVVQNLDKRHPVSLLASLVYSKNCRKQMVASGACVHLQKLAEMEIEGAKKLLDCLSRGKLWGVFTRH >Solyc11g065280.2.1 pep chromosome:SL3.0:11:50969508:50975871:1 gene:Solyc11g065280.2 transcript:Solyc11g065280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIPTTYQITYRQLYCYFNADAQGIGVCYGKNGNDLPSTIDVVSLYLANNITKMKTYDPINETLPALKGSEIEVILDIPNSQLQSLGDPQQADSWVTSNVVNYVQQVKIKYINVGNEVSPVNNGTSQFVPFLLPALTNVQQSITKSGLQDQVKVTTAIETGLLATTYPPSESAFREDTIGFIKPIIELLKQNNAPLQANIYPYFGYIGDPAHVTLPYALFTQEQPDPSGYTNLFDAMLDSVYYAIDKAIGENNIEIVVSESGWPSEGGLGATVENAATFYTKLIEHAKSNNGTLHRPGKPIQTYLFAMFDENLKIEVECVGMCCGRNGNNLPLAKDVVNLYKANGITSMRLYDPNPETLNALKDSNIRVMLCIPNEKLQALTDPKEAYNWVVANVINYIKQVKIRYQSVGNNISPVNNGTSQFVPFLLPAMENVQQTGLLANATSPPSQSTFRGDVTSFIKPIIELLKQNNAPLPANIYPYFAYVADPDHVSFSYAMFTQNQIHRGILIYLRLCWIQFTMQLRKRLVKNNIEIVVSESGWPSEGGFGGSMDIAGSYYGNLVGH >Solyc10g026525.1.1 pep chromosome:SL3.0:10:15056921:15060233:1 gene:Solyc10g026525.1 transcript:Solyc10g026525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNNVLEPKKKTEEIQKKRPRKVTSTVSRPTLPKVDSKFKYLVNLIKAKHIEVMNSKNREDDQQSKDMGEKSTPNMVEVFDEEGNDGHQATSPIQMELDVNNQDAPSAQTPHHLFEGTMNEDTLVI >Solyc11g068370.2.1 pep chromosome:SL3.0:11:53419712:53430500:1 gene:Solyc11g068370.2 transcript:Solyc11g068370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRIGDTTSTSSIDHHMPYNTSFMYHSTLNSNNNQEPPTFDFGELEEAIVLQGVKMNNDDSFKSPLYGAGINRPAATLEMFPSWPTKVHDTLRGSSKSIGGEQSSDSDSALNTTISSRGEANVELESPSKSNYEKTLRRLAQNREAARKSRLRKKAYVQQLETSRMRLAQLEQELQRARSQGIFMGGGSTGPNISSGASMFDMDYSRWLDDDQRQICELRTALQAHLGDGELRIIVDAYIAHYDHIFLLKGVVAKSDLFHLLTGIWASPAERGFLWLGGFKPSDLIKMLITQLDPLTQQQIVGIYSLQQSSQQAEEALSQGLEQLKQSLIETIATCSVNDGMHHMAIALGKLANLESFVHQADNLRQQTIHQLHRILTIRQSARCFMMIGEYYGRLRALSSLWASRPRE >Solyc01g079800.3.1 pep chromosome:SL3.0:1:78787747:78793725:-1 gene:Solyc01g079800.3 transcript:Solyc01g079800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFSATQLHTLSWTSSINPNVAYRTPKLLLYPKPSRASFSSSNVETTTLTKDSSTTTLRELCYGHVQEHVILRAEEIGYVMPTKVQLQALPFLFSGRDCVLHAQTGSGKTLAYLLQVLSVVDSQRSAVQALIVVPTRELGMQVTKVARMLAANPSEHESGLKSCTVMALLDGGMLKRHKSWLKAEPPTIVVATIGSLCQMLEKHILKLDTCQVLVVDEVDFMFNSSKEVSSLKRLLTSYSSSKNRQTIFASASIPQHRRFLYDCMQQKWTRADVVHVHVNSVEPMPSCLHHRFVVCNRMEKNPMLLSLLQTDSPESAIIFVSEQSEKSKKAGSAPPTTLLVDFLKSSCGRFSDISLLEEDMNFNQRAASLSELRGGGGYLLVATDIAARGVDLPETTHIYNFDIPKDAVNYLHRAGRTGRKPFSDKKCFVTSIITVEERFVLKRFENELMFCCEQLFF >Solyc04g074510.3.1 pep chromosome:SL3.0:4:60586549:60588750:1 gene:Solyc04g074510.3 transcript:Solyc04g074510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAPTAREENVYMAKLAEQAERYEEMVEFMEKVSNSLGSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEEHVNSIREYRSKIENELSKICDGILKLLDSKLIPSATSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKAAQDIASAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQVIIMIMMMVLMKSRKIPNLKKKIEGN >Solyc09g047855.1.1 pep chromosome:SL3.0:3:11614968:11615466:1 gene:Solyc09g047855.1 transcript:Solyc09g047855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKQRIRQSHRSKGDTQLHGIQLLGSTSTKRIIVCSFSNFRKGIWQSRLCIGNGDGRRPNNTWIEAVGVPLHLWSQGTFKEIGNICDGYVSIEEETNLKNHLKWVRIQIAGDGGDCLNEISIQRDEIKITIPIWVEKGFDFKDCH >Solyc12g013930.2.1 pep chromosome:SL3.0:12:4764209:4765833:1 gene:Solyc12g013930.2 transcript:Solyc12g013930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSNKVISRTLSDDLLELMEKESISEISQRMNDAKEIDFVKPTNTLVTSQELTDAGNTTFIEQTFEQQWPCNVTMHTQEQQLALPMEAMDDYYGNELTHALTSVSDFVPGYIEVGNDASVFVDNTLVTSPELIEAVNTTFIEQTFEQQWPPNVTTHTQEEQLTLPMEAIDYYYGNELTHALRDFVPGYIEGGTDASVFVDTNQINSWNILGEQQTMDSPERSLRRQGKQPMVETGRKSKSPLSSLGLVRFRKIFSKKYFFF >Solyc06g068800.3.1 pep chromosome:SL3.0:6:42750415:42755606:1 gene:Solyc06g068800.3 transcript:Solyc06g068800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKAEVVTMKPNTDELLSKQIMKQDAAATEKEEIQMRCDDVMKPNNDKLLSKQRMKQEAVATEKEGIQMQCDDTITDQEMNKIELMRALVEKQDPSSKEIDDYALRRFLRARDLDIEKSAAMFLKYLKWRQSFVPKGSILVSEIPNEIAQNKMFMQGVDKQGCPIAVVFGGRHIQNKLGGVEEFKRFIVFALDKLCARTSPGREKFTIIGDLQNFGYCNSDVRAYLAALSIVQDCYPERLGKVLLVHVPYIFCTLWKILYPFIDNHTKKKIMFVENKRLTATLLQDIDESQLPETYGGKMQLVPIQDA >Solyc05g010190.1.1.1 pep chromosome:SL3.0:5:4382770:4383186:-1 gene:Solyc05g010190.1 transcript:Solyc05g010190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQYAYALIFLCISIEISPSVSQLLGGEGLLPGLGGQLDNGLFPDISKCLASVFNVPGCVEEIITSFLTIRLRLIGPQCCKAVLEIHDSCWPKILPFGALLPLTLKSFCPIQGSLPPSTPQTLFPNSDMKIVRNIKN >Solyc07g062460.3.1 pep chromosome:SL3.0:7:65320054:65322062:1 gene:Solyc07g062460.3 transcript:Solyc07g062460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSFLVNFQEQGKLGHCFLLPIPKALIRPNITTQLDISPKIDNPTAYFQVTNPIKLPKTKSCSYLILKHDFAYTYGKTPILTNYTPPSNCPSKKFSKIILEWKAMSKGRQFDRIFGIWLSGGVIWTVKKDITRYSSLLLTNQTLAVYIGNIVNSKYTGVYHVEIFVHFYPTEKVRNPFKGFDSVADLIAPVSRNLPLNDVEIYVSFHENDEFWYGNLPNEYITANNRREMVAFREVIVSVDDGVVASVWPFTVIYTGGINPLLWRPISGIDSFDLPSYDIEITPLLKKILDGSFHNISFSVTNALNVWYVDANLHLWLDKKSVKTEGKLLEYSSLPLSFSLASNFTGLDGSFVTNARRSISMTGWVKSSYGTVTTTSSQSLSYSNYMVMGNDAKTRSFSVQVLESFKKFQLHLYSDGVEIGDKSYASISNVTLIFHEKRLKYGSSASSVHNLQNAQGCMLVKDQSIDSGVGSTQQIYKYNDDKGCYFRNISSSNYTVLYDKVIHSCSKLLINTVSY >Solyc01g011000.3.1 pep chromosome:SL3.0:1:6802630:6805801:-1 gene:Solyc01g011000.3 transcript:Solyc01g011000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A-3 [Source:UniProtKB/Swiss-Prot;Acc:Q9AXQ4] MSDEEHQFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLSLIKDGFAEGKDLVVSVMSAMGEEQINALKDIGPK >Solyc00g007160.2.1 pep chromosome:SL3.0:2:32629105:32638038:-1 gene:Solyc00g007160.2 transcript:Solyc00g007160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPARQILGMQIVRDRKAKKLVLSQEKYIPERKPILCGHTYSDMAGDVDTRKSTSGYLVTFVGGAVSWQSRLQKCVALSTTEVELIAMVKACKELRWMKRFLGELGCAQKRYERVTVRTHLKVYGIIKNYTFWYNHGEVYGEPESECEDRDDNEAENGENEDEIREILIDFYPNHYGHTADVDFNESHEEEPNVEAKKFYRLLGDFEKTLYQGSKISKLSTLKVAQLHKEDDNRIMEDLLALSRGPTKYVLHYNGYIVNGYRFHAEDYDKNLWTQNCGVIVIGKTDKHSENIDYYGVLTDVLELQFTGRRVVLFECKWFDAYDKTKGVKIDVYDIVSVNWGRFLKTNEPFVLADQASQVVYAIDNSNRGWCDMKSSKDENQVASRVKVTTKYACVPSSSIGKGRGRGLKCMVSRTFIPFSPSTDQVMQYNQFTETNIENEERSFNQNDRCVSQSMSKPSNAQGWRTMNKKYLTSEKEHKQDDVPISLFTCDVMESTPTFETISCATGLDKNVRGSNKCKEVASLDIGQKLKGGKDGNPPDLATIFYETRMKNNTLVDSKTIEKHAQIQELVESEPLLYNIEIVEKCFGPQSRSHVFGFRGGVKARNLKGGASSKAELLPELRSTQKENQSLKDCMSNFQNEMKEFFF >Solyc11g011540.2.1 pep chromosome:SL3.0:11:4593265:4596096:1 gene:Solyc11g011540.2 transcript:Solyc11g011540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPKARKFGRSVLPDALSYLPDNIIDVILMCLPSKDAVRTSILSKKWRYHWCRLTKLEIDDSLWITKKDLLNPTIKFRKMMYQFLSLHEGPITKVSLDIVLLASCPEIDNFIYFLSRNNIQHLALHLPHRNEYKLPSSLFTCSQLRHLSLAYCSIQHPLASQGFDKLISLNLCEVNISSELLESLIFHCPLLEELGLDIGDRLDAIEINAPMLKSFDLTGNISCVCLKNVPRLVKLLLYGDYIQAEELDFAKLFECCPALEHLRFFLLDSGFFAEEGYEAPTRLPFNLNSVKRFYLPDIKLVESHKLSYALCLIRSSPYLEYLEIQVHDYRYDDEDEDDEDEPIPEPLELKHLSDVTFNHLKEVKLRCL >Solyc10g076840.2.1 pep chromosome:SL3.0:10:59905330:59914005:-1 gene:Solyc10g076840.2 transcript:Solyc10g076840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETQETVSKSVQELANTNQVPEKYIYAQGSINTYPLLFDVPQVDLSLLTSPNRQQQLNKLQSGLKSCGCIQVINHGMEDSFLDKVREISKKFFALPTEEKLKYARTVNQIEGYGNDKVLTDKQRLDWSDRLCLNVFPEDIRELRFWPQKPECFREVFEEYMKNMKLLSESLLKAMAASLNVEENCFLDQCGERGMTTARFNFYPPCPRPDVVLGVKQHADASAITILLQDKEVEGLQVLKDDQWFRVPVVPYGLLINVGDQVEVMSNGIFKSPVHRVVTNAERERNSLAVFIMPDVHVGIGPVEKLINEERPRGYKDIKNYVALFFQSYQQGKIPIEAAKISQEFH >Solyc10g075120.2.1 pep chromosome:SL3.0:10:58906047:58906856:1 gene:Solyc10g075120.2 transcript:Solyc10g075120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSFVFLHVLVIFCLAHTAFSDLSDDFYDDICPQALPTIRRVVEDAISQERRMGTSLLRLHFHDCFVNGCDASIPLDQTATIDSEKTALANNNSARGFEVIDRIKSEVDKVCGRPVISCADILAVAACDSVVALHGPTWEVELGRRDSTTASRTIADNDIPTPFRDLSTLVDNFKKQGLDEEDLVALSGAHT >Solyc11g021365.1.1 pep chromosome:SL3.0:11:13679352:13706335:-1 gene:Solyc11g021365.1 transcript:Solyc11g021365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPYPKVLWVLEVLIWFKVYTHRTYSKEKDRRELAKMIAVCGLPFSFPSHPGFVHYIRELYNPDYEVEPSSSKPKSDDTDDMMDEYLELETDETNNDFDLYFNQAREKIRRDEGELQPRILNWCKNREKQFPTLSRIVRDVLAIQASSWKSNNVSNEEKMANVSICCCFANSSITPTISQFNTINSRGQRSLVTSAAKSGGFSLKSIGSRCEGCGGKGAIECPGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPVCGKEGLTPEQRGER >Solyc05g006500.3.1 pep chromosome:SL3.0:5:1121432:1122845:1 gene:Solyc05g006500.3 transcript:Solyc05g006500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRTIVLSMSVLVVLLGLVGSDPDPLQDYCVADTKKATRENFYNGVPCINPQNVDISHFATSALSKAGKIGLVFGFNVTLTTIFNLPGMNTQGLTMARIDIGPNSLVSPHSHPRASEVAILLKGSLLVGFINTSNHLFTQNLSPGDSFVFPKGMIHFLYNTDSKVQALALSGLSSQNPGLQMASPAAFATEPTLPDEVSQKLFQINDQDVTKIRTSLGG >Solyc06g007310.3.1 pep chromosome:SL3.0:6:1328699:1336288:-1 gene:Solyc06g007310.3 transcript:Solyc06g007310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLILKIEKYKRRTISVSFSQISQSQLVLQTKTSSAAMATVRMIDIAVNFTDSMFKGIYNGKQYHMGDIQAVLKRAWSAGVERIIVTGGSLEESKEALAIAETDARLFCTVGVHPTRCKEFEDSGDPEKHLQDLLTLAKEGKEKGKVVAIGECGLDYDRLHFCPSDIQKKYFEKQFELAYTMKLPMFLHMRAASQDFCDILQRNKDRFVAGIAHSFTGSAEDCDKLLSFSNVFIGINGCSLKTAENLEVVKGIPVERMMIETDSPYCDIKNTHAGIRYVKSSWPSKKKDKHDQECLVKGRNEPCLVRQVLEVVAGTKGIADIDQLSQTLYHNTCRVFFPHDLDSAAEALLASCREDL >Solyc12g038090.1.1.1 pep chromosome:SL3.0:12:49639739:49639906:1 gene:Solyc12g038090.1 transcript:Solyc12g038090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPANKTIVQPHSPFSPLLAATSSFCEELQAPMNRREQDENNKSLSPFPFLLDF >Solyc03g078495.1.1 pep chromosome:SL3.0:3:52448511:52461807:1 gene:Solyc03g078495.1 transcript:Solyc03g078495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHVHVGGNCIGHSNYVGSHLAHTGSSAGCSSGSKCSTPPLGPNFTIVPMIAPQQQSHLMKMLDHTSPGKSSAHMVDEPATSFPASDVPASNAPIVIVNPSLDPVISPSISCSSTSMSSDTTTSHEPNRRSGRSFKPPIRMKDFVLGSKDSSAHSCLYPISKDIKASLHSSFKIKDLGCLRYCLGIEFARSKKGIVMHQRKYALELISDLGLAGAKPVDFTHEVALRLVRYIKSSPGTGILLPSHNSNVFAAYSNADWAARPNTRRFVTGYIVKFGFSLISWKSKKQLTVSRSSAEPEYRILASIVAEIVWLTRLFKELGVLFAYPYISLSSRFFNVVKVNMYRSHSFSSNYKFI >Solyc08g078400.3.1 pep chromosome:SL3.0:8:62325202:62338756:1 gene:Solyc08g078400.3 transcript:Solyc08g078400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVDYLADERKKAGFDVDEMKIVWAGSRHDFELTDRISKLVASDPGFSKEGRTMLPRKELFKNTLRKAAYAWKRIIELRLSQEEATMLRRYVDEPAFTDLHWGMFIPAIKGQGTDKQQEKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITDGKDYGVNGFIVQLRSLEDHKPLPGVTVGDIGMKFGNGAYNSMDNGVLSFDHVRIPRDQMLMRVSQVTKEGKYVQSDIPRQLLYGTMVYVRQSIVADASLAMSRAVCIATRYSAVRRQFGSQNGGQETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTQRLAANDFSTLPEAHACTAGLKSLTTSATADGIEECRKLCGGHGYLCSSGLPELFAVYVPACTYEGDNVVLQLQVARFLMKTISQLGTGKKPVGTVSYMGRIEHLMQCRSDVKQAEDWLKPSAVLEAFEARSARMSVACAKNLSKFENQEEGFAELAADLVEAAVAHCQLIVVSKYIEKLQQNIPGKGVKQQLEVLCGIYSLFILHKHQGDFLGTGYITSKQGSLANDQLRALYSQLRPNAVSLVDAFNYTDHYLGSILGRYDGNVYPKLEMEGIDYLAEERKKAEFNVDEMKIVWAGSRRAFEVSDYISKLVADDPGFSKEERTMLSRKELFKDTLRKSAYSWKHIIDLQLSEEEAEKLRYFVDEPAFIDSHLVGVFIPAIKGQGNKEQLKKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPQTDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITDGKDHGINGFIVQLRSLEDHKPLPGITVGDIGTKFGNGAYNTMDNGVLRFDHLHIPRDQMLMRVAQVTKDGKYVQSDVPRQLLYVSMVHVRQALVTYASGALSRAVCIATRYSAVRRQFGSQNGGQEIQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWFCTDVTQRLKANDFSTLPELHATTAGIKSLTTTATADGIEECRKLCGGHGYLCSSGLPELYAVSVPACTFEGDNVVLLLQVARFLLKTLSQLSSGKKPTGTIAYMGKIEQLMQCHSDVEQAKDWLKPSAILEAFEARAARMSVSCAQSLSKFDYPEEGFQELATDLVEAAVAHCQLIVVSKFIEKLQQDIPGEGVKQQLVVLCSIYALFLLHKHQGDFLATGYITSKQGLFANEQLRALYTQVCLIGFVICVCCSFVYPKLYEAAWKDPLNESDIPDGFHEYIRPLLEQQLQTARL >Solyc05g015725.1.1 pep chromosome:SL3.0:5:11840669:11841353:1 gene:Solyc05g015725.1 transcript:Solyc05g015725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTEYKKICTSISITFTNFIKCYEFNGVNKIGKCYIREIQPMRDRHLARKWRFQRRRPLVAVMAAWYKRKKTVIRTAF >Solyc06g062905.1.1 pep chromosome:SL3.0:6:39821589:39824107:1 gene:Solyc06g062905.1 transcript:Solyc06g062905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGFEFYETAKGNEHGIDELEALGSSDMSQSCKNDILLRLSILRNCTGFVLENIVLRLLCPVSKKCRETSILLMKA >Solyc05g008450.3.1 pep chromosome:SL3.0:5:2799924:2805239:-1 gene:Solyc05g008450.3 transcript:Solyc05g008450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILSLSFSPFPNSSLSHLSSHAPSRIQSLHPSSSMTLLKPLHHHLRRRLSISAAAAVRQDTNLWTTAPLVTVSPAAESLFHVTIDVSDYPDLANSHTKAGQYLQLRIPDVEKPSFLAIASPPSLAAAKGVFEFLVKSISGSTAELLCGLQKGDVVELSQVMGKGFDLDQISPAEEYQTVVIFATGSGISPIRSLIEAGFGADRRSDVRVYYGARNLKRMAYQDRFENWASSGVKVVPVLSQPDDAWKGEDGYVQAAFARAKNIFNPQSTGAVLCGQKQMAEEVTSLLVADGVSTEKILKNF >Solyc11g069730.1.1.1 pep chromosome:SL3.0:11:54630937:54631263:-1 gene:Solyc11g069730.1 transcript:Solyc11g069730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTTLLFLKKLVFFYLIFLYCLNNVTSIRELSSTSASIEPSTSTSMVDGTSYVLMKHNKGPIFHGKEVRNCLPKGFKHASAPSRYVNYHILGSIGCSQGKSYKKLP >Solyc02g087310.3.1 pep chromosome:SL3.0:2:50389752:50394641:1 gene:Solyc02g087310.3 transcript:Solyc02g087310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLHTEDSQWKNPSIYSRKDKSLGLLCSNFLKLCDREGVDSTIGLDNAADQLGVERRRIYDIVNILESVGVLSRKAKNQYRWNGYNAISKAVDLLKKEGLKDLSTVSSTCHNVSNVIACNNSDVKEKGEGKTAGSHKIDNRKEKSLMILAQNFVKLFHCSDVDLISLDKAASALLGDVHDPMAMKTKTRRLYDIANVFVSMNLIEKIRSPDNGKPVFRWIAWKENLRSGSLASAKSDDSTRRTFGAEITNTTPKRYRDDSSSDVMSNGQVKRLKVAKDDELKDKKQEISTEQLNERGTKDFVFGPFGPSSLPRSGISGKENLKQIQNWEDLASKYHPQYQNKAANELFAHYVEAWESWQVEAANELHKQHEH >Solyc01g105150.3.1 pep chromosome:SL3.0:1:93316322:93327197:1 gene:Solyc01g105150.3 transcript:Solyc01g105150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEGLERENGGLTSMESIESRWVFQDEDDLDIDSGDRDTVDGDDDSTTCNGMELESDDEDNVEQKLIRTGPRIDSFDVEALEVPGVQKNDFEDVSVGRAILLAFQTLGVVFGDVGTSPLYTFSVMFSKAPVNCNEDVLGALSLVLYTLILIPLVKYVLIVVWANDDGEGGTFALYSLLCRHAKVNLLPNQLRSDARISSFRLKVPSPELERSLKIKERLEASLTLKKLLLMLVLVGTSMVIADGVVTPAMSVMSAVGGLKVGLSGVKQDQVVMISVACLVILFSVQKYGTSKVGLVVGPALFIWFCSLGGIGVYNLIKYDSHVWRAFNPVHIYYYFKRNSAKAWYSLGGCLLCATGSEAMFADLCYFSVRSVQLTFMFLVLPCLLLGYLGQAAYLMENYADTTQAFFSSVPSGVFWPVFLIANIAALIASRAMTTATFSCIKQSTALGCFPRLKIVHTSRKFMGQIYIPVMNWFLLALSLVLVCSISSIYEIGNAYAIAELGVMMITTILVTIVMLLIWQINILVVLSFIIIFLGLELTFFSSVLWSVGDGSWIILVFAVVLFLIVYIWNYGSKLKYETEVKQKMSMDLLRELGPNLGTIRAPGIGLLYNELAKGIPAIFGHFLTTLPAVHSMIIFVCIKYVPVPVVPQNERFLFRRVCPRSYHIFRCIARYGYKDARKENHHTFEQLLIESLEKFIRREAQERSIESDGECSDSEEEYSYSRVLIAPNGSVYSLGVPLLADFRDTGKAVMEESTSEELKPGTSSESLVSEAEQSLEKELSFIRKAKESGVVYLLGHGDIRARKNSWFIKKLVINYFYAFLRKNSRRGTANLSVPHSHLVQVGMQYMV >Solyc08g005497.1.1 pep chromosome:SL3.0:8:373882:374821:-1 gene:Solyc08g005497.1 transcript:Solyc08g005497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVTSVDENSKLSFGVMVNNEGSVKSIASNLKISQEAILRKMLISLLQDTIGVKVEINQEEEIINAELTDRLQRSLKRRRYLIVVDDIWSTKAWDDISQWFPEKQQ >Solyc10g086110.1.1.1 pep chromosome:SL3.0:10:65179454:65179930:1 gene:Solyc10g086110.1 transcript:Solyc10g086110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRKNFQFLASMELKTSSDDLIFEFDESDIWDNTTNVVSHHSEPKRLIPTPRSSRKSPSPSPPSPSLARSLPVNIPDWSKVQGDKNKNEAIQDKEEVDIQDKEEVDADDDTWIPPHEYLAKKRGASFSVCEGVGRTLKGRDLSRLRDAILKQTGFID >Solyc04g007960.3.1 pep chromosome:SL3.0:4:1614249:1630946:-1 gene:Solyc04g007960.3 transcript:Solyc04g007960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEMGSEGSSRVVAVVYYRGGTCPVTLGVWGLIDCGVPRNFRLLEELERGEKGIGDGTVSYGMDDADDVYMQSWTGTIIGPPNMVHKMHRGIRDGPPQLLLIPCYLLPLPKVLTVHEGRIYQLKLFCGKEYPDQPPGVRFQSRINMSCVNYETGVVEPSHFPMLADWKRENTMEDILMQLKKEMTSPQNRKLAQPSDVKNIKLAKHTSDSGVYDSEGRFVQEKFEEIFSKHARSNGNALTAQELDDMLKANKQPNDSKGYVAAQSEWKILYFLCKDEHGLLKKEIIRGVYDGSLFEQMAKEQQAKKKK >Solyc09g008600.3.1 pep chromosome:SL3.0:9:2069288:2077021:1 gene:Solyc09g008600.3 transcript:Solyc09g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGMKLLTVVLVVAAAAASVVVAGAEKLPAGVLSLERIFPVNGKVELEELRARDKARHARMLQSFGGGIVDFPVVGSSDPYLVGLYFTKVRLGTPPREYNVQIDTGSDILWVTCSSCDDCPRTSGLGVELNFYDATVSSTASPISCADQVCASIVQTTSAECSTETNQCGYTFQYGDGSGTTGHYVADLLYFDTVLGTSLIANSSAPIVFGCSTSQSGDLTKTDRAIDGIFGFGQQGLSVISQLSSRGITPKVFSHCLKGEGNGGGILVLGEILDPRIVYSPLVPSQAHYNVYLQSIAVNGQLVPVDPSVFATSGNRGTIVDSGTTLSYIAAEAYDPFVSAIAAAVSKSARPIVSRTKPCFLVSSSIAEIFPPVSLNFDGGASMALRPADYLVHMGFVEGAAMWCIGFERQDQGVTILGDLVLKDKIIVYDLARQRIGWTDYDCSSSVNVSITSGKGEFINAGQLSVNGASGCAMFSLQHTRASALLLLLVLVIGSPFLT >Solyc11g008210.2.1 pep chromosome:SL3.0:11:2453646:2454805:-1 gene:Solyc11g008210.2 transcript:Solyc11g008210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRDTGRPRGFGFLTFADRRSMEDAIREMHGREIGDKVISVNKAQPKMSGEDSDHGYGGGYGGGGRGQDNCFKCGRPGHWARDCQQEGGGRGSRALSPPPRSRFGGGSAREDRYGSDRRYTDDQYDRGHYVDKERYDSKDDRYGSRDRFANDRHPAGGDRFADNKYGVSDRYAQNGSGKERGFDRDVGPRYGDRYSSGGPARYEGKSIRDRAGPYDQPRRGGGRPPAAAFDRY >Solyc02g083820.1.1.1 pep chromosome:SL3.0:2:47631170:47633137:-1 gene:Solyc02g083820.1 transcript:Solyc02g083820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLVKQILTKPIQLADQVIKAADEASSFKQECTDLRSKTEKLVALLRQAARAGNDLYERPTRRIIDDTEQVLEKALALVLKCRAHGLVKRVFTIIPAAQFRKMSSQLENSIGDVSWLLRVSASADERADDCLGLPPIAANEPILCLIWEQIAILYTGSVDDRSDAANSLVSLARDNDRYGKLIIEEGGVGPLLKLLKEGKSEGQENAARAIGLLGRDPESVEHMIHAGVCSVFAKILKEGPMKVQAVVAWAVSELAAHYPKCQDLFHQHNTIRLLVSHLAFETVQEHSKYAIVSKATSMHHAVVLASNTNGSATDTAHKLIEDDDKNHTLPHPLGNKKPSHMHSVVATAMKGQIKQPQQNPINGLNQTKVNGNNSQKQNQAHHHSQHSLSSSGLNNKGRELEDPATKAYMKAMAARALWKLAKGNSPICRSITESRALLCFAVLLEKGPEDVQYDSAMAIMEITSVAEVDAELRRSAFKPNSPACKAVVDQLLRIIEQADSDLLVPCVKAIGSLARTFRATETRMITPLVKLLDEREADISKEAAIALKKFASSDNYLHLDHSKAIISAGGAKHLIQLVYFGEQIVQSPSLLLLCYIALHVPDSEELAQAEVLTVLEWASKQSYLIQDEYMESLLQEAKSRLELYQSRGSRGFH >Solyc09g015370.1.1.1 pep chromosome:SL3.0:9:8610223:8612310:1 gene:Solyc09g015370.1 transcript:Solyc09g015370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMESNGNFDVTDEFLGESRFDRASNHMDEEYVIEQLKYCSSEGCFELGKLYHAFIIKTGIWFDKFVATALLNMYAKCGEMASAEMIFGSLSYVDVASCNSMISGYVSNGMESEAFAFFVKMGDILDIVSNHYTYSILLSACESVQVGQQLHAHIVKLEFMSLTVVGNSALTMYINFGMIEEAENLFEGLASKNFISWTAYISGFYRQKAFDKALTQFCLMRKNNTEPNEYTYSVALSCAASAAYHDYGCALHAQAIKNGMISKVFVGTAIIEMYSKCAELGNAGKQLKEMGRVASCASWNAVITSLVHNGEVGSGLEMFRKMLNNDIACDEYTCSLTLRACSLLPSLAICRQVHSWVVKGKFGANLHVASSLIETYAQCGNLEDAEKVFCQTFEPDDVTFNSMVKAYSQYGNPIKAIFLFEKMVEKGILPTSFTFLAVISACSHCGLVQQGKELFESMTRDYRIPPEENHYSCMVDLLSRSGQLEDALEFINQLPIEPNAPIWRPFLAGCRFHGCLEMAEMAASRILEHDPGDASVYVTLSNMYVEAGKVRDALNQRELMKSKSVQKEPGCSWLEVNAKIHTFFSGDARHIDTPKVYSRLDNLMQKIENKTTRELGKVTKEKCLFHSERLAVCFGLLNLPKGTTIRVFKNIRICLDCHTTMKHISKITNREIIIRDNYRFHHFKQGCCSCGDFW >Solyc06g068960.1.1.1 pep chromosome:SL3.0:6:42898782:42899246:1 gene:Solyc06g068960.1 transcript:Solyc06g068960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEGPKPCVYLQDMEEVKKVFARFDANGDGMISGDELSGVLKALGSDTSPEEVARMMAEIDTDKDGCINLDEFADFCKNDESVDGGAKELKEAFDLYDQDHNGRISATELHQILNRLGQNCTLQDCTRMITSVDANGDGYVCFEEFKKMMGNK >Solyc03g114970.3.1 pep chromosome:SL3.0:3:66317310:66320228:-1 gene:Solyc03g114970.3 transcript:Solyc03g114970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSSGGGQSSLGYLFGDGEPPKPTNNKVEAPQNQGPTTSDPQKPTATAPAPNVNKQIPAGIQGRNSNNYFRADGQNTGNFLTDRPSTKVHAAPGGGSSLGYLFGDGKN >Solyc09g059245.1.1 pep chromosome:SL3.0:9:54138469:54148907:-1 gene:Solyc09g059245.1 transcript:Solyc09g059245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSAAFEMKDLGPTKQILGMYISRDRSAGTLNLSQELYIEKVLSTFRVNDAKPRTTPLSPKTVEERDHMALVPYASAVGSLMYAMVCTRPDIAHALGVVSRYMANPGKEHWEAVKWLLRYLRRTSSTSLWFGKGNVTVQGFVDANIGGDVDSIKSTSGSLL >Solyc07g032610.1.1.1 pep chromosome:SL3.0:7:40628883:40629116:-1 gene:Solyc07g032610.1 transcript:Solyc07g032610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGANAEGSPKCLTFDKIQTNTCMEVKRTGTANQCPTIDGGVDSFAFKLGKYNAKKFYLETTSFTVKERVPKYQAYE >Solyc09g065955.1.1 pep chromosome:SL3.0:9:64465295:64481639:-1 gene:Solyc09g065955.1 transcript:Solyc09g065955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMFTWSMHALSNSVDSKLDVRTDSFFCPVSETEIPHRFAPTNKKFDFLAKFRKEGFSADLADHRSFNLSSCDIGCVILIDVNKQHILSPYAILRGADKDIEARGIQAKMQGVLKFLKLSAPDASLVCNVC >Solyc08g075670.2.1 pep chromosome:SL3.0:8:59911712:59924763:-1 gene:Solyc08g075670.2 transcript:Solyc08g075670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSRFFYRRPPDGLLELDDRVYVFDSCFSTEVLPEGIYQLYLHEIINELHEEFPDSSFLAFNFREGEKRSQFAEILCEYDVTVMDYPRQYEGCPVLPLSLIHHFLCICESWLSLQNHNNVILLHCERGGWPLLAFILASFLVFRKLQSGERKTLEMVYREAPKGLSQLLSPLNPFPSQLRYLQYISRRNISPEWPPPEQALSLDCLILRAIPRFDNQKGCRPIVRIFGRNLLSKDGLSTHMLYSMPKKGRSLRHYRQKDSDVIKIDIQCLVQGDVVLECVHLDLDPEREVMMFRIVFNTAFIRSNILMLNCDNLDILWDSKARYPKGFRAEVLFGDVESISPLKAPTAALNGEETGGLPIEAFSRVQELFSGADWVDTGDDAALWLFKQLSVLNDMKDLSILQSRMSGYSSPFDSEEENNASSIADSLDFLDSEKASSVSYANTTDVNFLDEQDSASDGTSDPKISEGQMKLSKSDLCPVQSLSESNCQLDTAISPERLKGVQICDTRTSSSCPLPSPPPSPASVISSIKVTQSSAPSPPSPPSLFGSPSKEVSLPPPPPPPPPPPPIIGVPSSVPRTPPLPPLASSNRSPPPPPPPPPPPPPPPSIGSHREHSPPIQPTSSNSPDSFSRGPPPPPPPLPNKSFLCSSAPPHPPPVPTFSTSRTPPPPPPPPPFMPTPLAPPPPPPPPPSSTSNRPTPLAPPPPPPLPPPSSSNRPTPLAPPPPPPPPSNNSTRPPAPPPPPLGVPRQGSTPPAPPPAPKAPNAPPPPRRGLTPTPPPPPGGKGLSVPAPPPPSTGRVRASVGSTALGKGRGTGGTSIPPKKASLKPLHWSKVTRAMQGSLWADTQNKENTSRAPEIDITELENLFSVASATDGTSKGGGRRGSKINKPEKVQLASYICFILFPIDLRRAYNCEIMLTKIKIPLPDMLNAILALDSSALDIDQVENLIKFCPTKEEMETLRNYNGDKGMLGKCEQFFLELMKVPRVESKLRVFSFTITFSNQVKDLRSNLSTINDATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLLHLEAASKIQLKSLAEEMQAVSKGLEKVEQELTASDNDGTISSGFQKVRKENGQVKKACAGKTPNLVCVGHGFRVESGDAVKLDCDVLKNFLDTAEAEVKSLTTLYIEVGRSADSLSLYFGEDPARCPFEQVTQILVVFMKMFKKSRDENEQAADAEKKKLEKEALKEQVAASSSGKKGVGDSDRVKLNFLNQLHAV >Solyc06g060920.3.1 pep chromosome:SL3.0:6:39033176:39037806:1 gene:Solyc06g060920.3 transcript:Solyc06g060920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLIEFGKKAMFYIRVLSGYEERRIRSYRLQMQQRLQQAEERKVAIRKLPEQMILSEVRRMVEEMQALNKKLEETEAAVDDYFKPINMEAEKIVKMQLEGEENRTKDMMNILQKQAFLERLQAEKLISAETVGTNKHDQDKAST >Solyc05g050320.3.1 pep chromosome:SL3.0:5:61295019:61297742:1 gene:Solyc05g050320.3 transcript:Solyc05g050320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKRSSRISSSSSSSSSRQSEAFKPSSPDSVKSQTNRHRWLITIFFIVFLIISLLIYCQRYYYYTATTVVVDVEKPYVYQRGLVKVDSTYREVIDENLNVSENISRRHFGNPVLAYITPWNSKGYDLAKKFSSKITHLSPVWYELKNEGSKLVLHGRHNADRGWISDIRMKGNALILPRIVLEAIPLDLLKKKKLREKAINLIIMECKIRY >Solyc10g049590.1.1.1 pep chromosome:SL3.0:10:46101763:46102074:1 gene:Solyc10g049590.1 transcript:Solyc10g049590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEGAKSMGAGAATIALAGAAIGIGNVLSSSIHSVAQKGPLVYLSIESNWPKVCVRLKNKRIRFVADLLQDQFGLALVRLENVVRGTKYCIFRIVTLPRHISY >Solyc03g045060.3.1 pep chromosome:SL3.0:3:11445742:11459899:-1 gene:Solyc03g045060.3 transcript:Solyc03g045060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEDPNNLPASDNLERGIENIEVGANGDTSKTTNFEVSESNEPLRESDSDMDLESDPGSQVGVDLTGTPSQVCVELAETVGITEEVTMVDSVIHAENGLLSLPDANYSSNQTEDQDHVSTQEIGGVKCLSGVKRPRATLDVEQPSVHVVYDSLTRESRKMLEGLLQQWSEWHAKHCSSAQDSRELLESGEETYFPALHVGLEKPSAVTYWVDKQASNNKSEFIPLDGNSIPLYDRGYSFALTATDSSTNVERGIEMVDSSRCFNCGSYGHALKECPKPRDNAAVNSARKQHKSRRNQSASSRNPTRYYQDSPRGKYDGLRPGALDSETRKLLGLGELDPPPWINRMRQMGYPPGYLEDDEDQPSGITIFADEKNKEETEEGEILDKSLPNLPRKMTVDFPGVNAPIPEHADERRWEAAPSSSRYSRSHSHNRYNHAQDYVNRGHYHEQRRSRDFEDDGPPGCDPRTSPLLSTYSYRYGAYDPRYSSHSPRDSASMPRSHSFGRSLSERERRNPIVKEGSYHHSFYGSPR >Solyc03g031740.2.1 pep chromosome:SL3.0:3:4199121:4226541:-1 gene:Solyc03g031740.2 transcript:Solyc03g031740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSIKLSSLSITHSNLISKRDYNQNLGFLSFSSTPKSKNQKWGFSYSIKKPLYISRIENPKAYEADKSQSLDLNIELPKSQVDGQRVKIGIYFAIWWGLNVVFNIYNKKVLNAYPFPWLTSTLSLATGSLIMLISWAMKIAEFPKTDFEFWKNLFPVAVAHTVGHVAATVSMSKVAVSFTHIIKSSEPAFSVLVSRFLLGETFPFPVYLSLVPVIGGCALSAATELDFNMTGFMGAMISNLAFVFRNIFSKKGMKGNSVSGMNYYACLSIMSLLILTPFAIAMEGPQMWALGWRNALSQIGPNFVWWIVAQSIFYHLYNQVSYMSLDEISPLTFSVGNTMKRISVIVSSIIIFQTPVRPINALGAAIAILGTFIYSQFEGAFLSDGKGLNNWDVFTHEAGHIADGSNGDIALDHYNRYQEDIKLMEDMGVNSFRFSISWARILPSIQPFVTLAHYDIPQELEERYGGWLSPKIQDDFCYYGDTCFKYFGDRVKYWVTINEPNVMAVRGYRFGTFPPVRCSGSFGNCNFGNSEKEPFIAAHNMILSHAALVTIYKTKYQVPIIFYGIAESDNPNSSLEDALNDTQRVEYMHNYLNSLANAMREGANQQQQPQYNAAAAAAQPTSSDEIRSLWIGDLQFWMDEQYIQNCFAHTGEVASVKVIRNKQSGQSEGYGFVEFISHAAAERNLQTYNGSMMPNSEQPFRLNWASLGSGEKRSDNGPEYTIFVGDLAADVTDYMLQETFRANYPSVKGAKVVTDRVTGRTKGYGFVKFADESEQLHAMTEMNGKFCSTRPMRIGPAANKKSVPGQVQASYQSTNGTQNEDDPSNTTIFVGNLDANVTDDHLRQVFGNYGQLLHVKIPVGKRCGFVQFADRSCAEEALRALSGTQLGGQTIRLSWGRSPSNKQQPQADPNQYGGYYGYSAGYDAAAAAAYGYAQPAQDPNLYYGGYAGYGNYPPQQQQPQVLPYPFNNLKNEFYY >Solyc11g010190.1.1.1 pep chromosome:SL3.0:11:3270051:3270890:1 gene:Solyc11g010190.1 transcript:Solyc11g010190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQAAVSFLTNIARAAFGLGISATVLNSSLYTVDGGQRAVLFDRFRGVIDDTVGEGTHFLVPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVHLTLRVLSRPEVSRLPFIFQNLGLEYDEKVLPSIGNEVMKSVVAQFNADQLLTERPQVSALVRESLVRRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESESAKLISDATAAAGMGLIELRRIEASREVASTLAKTPNVSYLPKQGNMLLGLSAPR >Solyc01g107790.2.1.1 pep chromosome:SL3.0:1:95106314:95107533:1 gene:Solyc01g107790.2 transcript:Solyc01g107790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFYSLIIFSILNNVNCQLLPPLPSPNLTFIDQSLALIFPIIQNFKNTITLDPFGVTKTWTGPDICNYKGFYCDNPPNNSSAISLASIDFNGFQLSAPTLDGFIDQLPDLAIFHANTNNFSGIISSKISNLPYLYELDLSNNQFIGTFPMSILNIKTLTFLDIRYNLLTGIIPPQIFLQYLDAFFLNNNNFIQKLPDSIGSTSAFYLTLANNKFVGPIPPTIGQAPNLLEILLLNNLLTGCIPYELGLLKKAIVIDVGFNTLTGPLPCSLGCLENVEQLNFAGNLLYGQVPEVICSLKNLVNFTLSYNYFTKVGPLCRELIENGVLNVEKNCINGLPNQRPILECVLFRMRIKLCPLQKSLRIIPCNISKFSPHRPERHLISYSALSKHTKLY >Solyc12g042980.2.1 pep chromosome:SL3.0:12:59513415:59516806:1 gene:Solyc12g042980.2 transcript:Solyc12g042980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQVEPKLPIIEFTNETLRSGTTSWLSTSREIRCALEEYGCFAAVYKKVMPELREAMFDHCKELFKLPLETKLKNNSDIYGFGYSGKFSTMPLAEFFGIVNGETIDATKDFANKMWPNDNVHKYCEESISYSKLIVELDDTIIRMILECYGIEKHYEALKNSCMYLMRLIKYRSPKNDEKSIGHLPHRDKSFMGIIDTNQVGGLEMQTRDGRWITFHPSSYKTLVIIAGEPFTAWSNGRVYAPIHRVIMKGDEDKYSLALFSFMRGTLEVPEELIDEENPKQFKSFNNFQYLKYCGTHGYKEKDPLKAFCGV >Solyc08g076585.1.1 pep chromosome:SL3.0:8:60660509:60663665:1 gene:Solyc08g076585.1 transcript:Solyc08g076585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGSSLTSSPARSSSSTMAMIGGNVGPPSSTAVDDFNFPVDLISVQDRKDEALQVLKSDLMASLNKEVKSLDEDSWMFDGPRSRIHRISRPGRLHKHVEVGKQKSKLAATLK >Solyc09g005550.3.1 pep chromosome:SL3.0:9:369068:377442:-1 gene:Solyc09g005550.3 transcript:Solyc09g005550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCRSPAAVAREDVKSSNYSGNDHGRKDKYSAGNKQKQITVLTDVKKENVEERYLVDRELGRGEFGITYLCIDRSSRELLACKSISKRKLRTAVDVEDVRREVAIMKHLPQNSSIVSFKEACEDENAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGEKFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGAIDFKREPWPSISEGAKNLVRQMLEADPKLRLSAKQVLEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSNEEVGDLKEMFNKIDTNNDGIVSVEELKAGLKLNSQLAESEVQMLIEAIDTNGKGTLDYGEFIAISLHLQRMANDEHLHKAFSYFDKDGNGYIEPDELRDALMEDGADDCTNVANDIFQEVDTDKDGRISFEEFAAMMKTGTDWRKASRHYSRGRFNSLSVKLMKDGSINLGNE >Solyc01g014536.1.1 pep chromosome:SL3.0:1:14025875:14026116:1 gene:Solyc01g014536.1 transcript:Solyc01g014536.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRHWLGSFATADAAALAYDKASIEIKRSNLHRRKATPSTIKEDKRHATPYMFSYFIGSSFHWFMLSNLFL >Solyc06g063015.1.1 pep chromosome:SL3.0:6:39893882:39901431:1 gene:Solyc06g063015.1 transcript:Solyc06g063015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLFDYIVLLSYLFFVYLSCYLSQNKFLCIFMQLEAIESGHLPGDILEDIPCKYVDGTLVCEVRDYRKCFPEVGQNAPSTTGCPIINRVCLKMSLENVVKDIPLISDSAWTYGDMMEVESRILRALQPQLCLDPAPKLESLCNNKASSKLTLGIGNLRRKRLRQLPDVIVMSNDKIHGKNICIDRVPESSRSGDTGQLLPQPAHENLNRQNNGPTNMLALRSNSFGSETSIPASPSVSQQPKYPMGVVSPRIMQDHRSGVLNASVASPAAPEMMLSYADAMSSGAASLHGKRENHDGQASSLSNLNKRARFTHMSADSNQQQLIGGQIDGSHAPDLHWKNSLLQQHSVPRGIPYANTNMQKYPQQIFEGGLNQEAGTMPFTGQQGIKYNLKEEPAEIERLDKLEPGRTKNEMQMVESDMNLMESQQARLKQRMTQQFTRSGFPQTPWNGLGQPLENNLRKEDPFQNRKIVQSPRVSAGGLPQSPLSSKSGEFSNGSVGAQYGAAVTSGLIQSMKEKQGSTSVAPAGGTTSMTSSANDSMQRQHQAQIAARRRSNSVPKTPMMSGVGSPASVSTMSLPINASSPPVGSTHSADQIILERFSKIEMLTTRFQLYPKKSKVEEFSSRKPNVFPTQQLHVHLSTNDSNNENVKDESCKMSLSKSLVGGSTNVCKRRVLDFLQTERVLQGNGYSCVPKARTRMVLSEKPNDGTVSMLIGEIEEVEYTNVEEHLPTLPNTHFADLLAAQFCSLMAREGFLVEDHVQPRPISMNRASSSQTNMPGMPPNGSVADLQQYSEGVSGQLSNELARPSNGINSSINSPQNMQGQRVLPSGNAQALQISQGLLTGVSMPSRAQQSDPLSQLMLASNPLAHLNTVGQNSMQLGMGNISNNIAALGGLSNVMGMGGVRGVGGPGISAPMGAIAGMGNISQNTINISQASNISNAISQQLRSGALTPQQAVFMQTKLRMAAQNRTNILGSQQSSLGGITGNRQMHPGSTGLSILGSLNRGNINPMQRPGMGPMGPPKLMAGAIHPMSTGNPEACPASPQLSSQTLGGNCYIIPAMSMNTSGQVTVKMKQNGASGQCWLNGCLCRLWNMDCLV >Solyc11g043180.1.1.1 pep chromosome:SL3.0:11:33568226:33568600:1 gene:Solyc11g043180.1 transcript:Solyc11g043180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQRRNVGPPNGLRRGPSENGVRWSNSPAKRGPVDARRNVRNKSPAAREAEKPGNQSPARNTENEGSSSKTEKPKEEVSPVTGESLENPLVLMECFIFRFDDKNILSHQTKNQFPPKSLLTRF >Solyc11g062320.2.1 pep chromosome:SL3.0:11:49547332:49575359:-1 gene:Solyc11g062320.2 transcript:Solyc11g062320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSSSSSSTASSWSSMLSGKSDVEIEELLDRMLTRLALCDDSKLQDLLTKLLPLSIASLSSPAPLVRNKVLEILSHVNKRVKHQNDIGLPLSDLWQLYMESSASSMVRNFCIMYVEMAVDRTIKEDKENMAPNFLANISKLPLQHQDILLRVTTKVIGECHSIKISDEVAAKYRRSGDLPDHKIFLEFCLHMVLYQPTSQSSTCPAGLSIAQCDRVTGKRQLTNDYLRNVKLGILNVVQAMELSTELVYPLYVAASSDCQESIVKRGEELHKKNASGVNLEDANLVSKLFVLFNGTAGTDQIPPESRVSPGNPSLRAKLMSIFCRSITAANSFPLTLQCIFGCIYGSNTTSRLKQLGMEFTVWVFKHGTMDQLRLMGPVILTGILKSLDGYSAAESDVIARETKAFAFQAIGLLAKRMPQLFRDKVDVASRLFAALQSEAQFLRLTIQEATNSLAFAYKGAPQNVLNDLEALLLRSSQVEESEVRFCAMRWATLLFDMQHCPSRFICMVGAADTKLDIREIALEGLFPDEDQRKAVSKSLNLKYPKLCDMLDYIIQQQPALLDSASVAGSKLLFPSKSYVAMIKFLLRCFEADMKQNNLVEGAHFSATVEKLCLLLEHAMAYEGSVDLHANASKALISVGSHMPEVITSRYVDKVAWMKQFLGHIDLDTRESISRLIGIASCSLPLRSLSDLISELIASISTTPKLRFEMQHGVLCTLGYVTANCMSRTISIPEALLQSTLKCLVDVVNLETATLASFAMQALGHVGLCVPLPLLLVDSSSVPILVVLREKLSKLLAGEDVKAVQKIVISLGHLCVKELSSSHLNIALDLIFSLSQSKVEDILFGAGEALSFLWGGVPVTADMILKSNYTSLSMSSNFLMGDVSSTSSTCVESEANEDGHGTVRDAITRKIFDDLLYSSRKQERCAGTVWLLSLTMYCGQHQAIQKLLPDIQEAFSHLLAEQNELTQELASQGLSVVYELGDASMKKSLVNALVGTLTGSGKRKRAVKLVEDSEVFQEGTIGESPSGGKLSTYKELCNLANEMGQPDMIYKFMDLANYQASLNSKRGAAFGFSKIAKHAGDALQPYLHALVPRLLRYQYDPDKNVLLFQDSFVISTILIRMCRMR >Solyc10g018740.1.1.1 pep chromosome:SL3.0:10:10014651:10014821:1 gene:Solyc10g018740.1 transcript:Solyc10g018740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRELKFSKKILIERTKYRISLGLFPSSKKLLVFNLIINIISIMLKYYNLTLFLI >Solyc03g083890.1.1.1 pep chromosome:SL3.0:3:55247082:55247231:-1 gene:Solyc03g083890.1 transcript:Solyc03g083890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLSAADKGNSTLLFSCYLIKSFYNQFLLQIPPNKKKNSLGLATLEDF >Solyc08g028990.1.1.1 pep chromosome:SL3.0:8:39025792:39026586:1 gene:Solyc08g028990.1 transcript:Solyc08g028990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRPFDMTVISADAIKNVNLYYPMDVYVEVSIFGYAKNKKKTFVDKKGGTTPKWNHPMKFTLDEPSLTKPDLSLFFRLRSNRFFGDKDVGMVTIPIQELFTHSNSNDDGSSERVVEYQVFTTGTRKPKGTLKFAYKFGKKFAHTQQHINGYPALPPLTGYPPTHMPPVWCGTSSTTYQYSPPGGGYNHNHNQYQQPPPPGYGYGYGYGYNPIIQQQYQNQHQHQLHQQVQQPKGNNNVGVIGAGLGLGAGIVGGALVGHAITH >Solyc02g085140.3.1 pep chromosome:SL3.0:2:48752541:48758415:-1 gene:Solyc02g085140.3 transcript:Solyc02g085140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDAASIAGIPSPRDIKVHRLMCMELIKFVAGVLMLLPAIEEARPGSNPGIQVLCQINRALDKAKDILQHCSESSKLYLALTGNVILSRCNKSKKLLEQSLSCVQNMVPVMLATQISQLVADLGAGIFSLDPSEEEARKVLSKLLHQYTSTTHSGEGHVFEAIQIAMEKLRITSPKDLLIEKRSIKKQLEKIGEGDPPKRKILLFFLSLLNKNGKSIVAEHTENGSLQHEDSLEFEVESRLKCNYNDAQRDIFNGSRLPDEFKCPLSSRLMYDPVVIASGQTYERFWIEKWFAQGNDTCPKTKRKLVHLSSTPNNSMKDLISRWTAAHGVSVVDPSVQAAVGHSWESSSTSIASLSSSMMDLSINIDFSNLSMGTSDASFVSHTSHSKISNDFHKGQSRESIHEMELERLTRLSSLSWESQCNLVGNIRNMLTQNDEASNWMSSDNFIQKLFRFLKDAHKCDDQDAQLLGCQSLLAVLDECGSSLPYLNDDAFALLTSLLGSEVSKEAIAIIEVLSRHQNCHHKITMSGALPILLEILDAHSRELQESAIKILCNMSGSSKIGSLIAPSELVPKLVPFLEDTALARNCVIILHSLCNKEDARIAIAETDGCIAAVVKLLERESRKDQEHAVDFLLSLCLQRVQYCQLVMDEGVIPELVSVSINGNNKAKAMALELLRLLKGEFSDTVESYEPEIDIPKPPTSDFTQQKSHSEGTGIFGKFFSKRSSTTAKRINRK >Solyc01g106330.3.1 pep chromosome:SL3.0:1:94152428:94164192:1 gene:Solyc01g106330.3 transcript:Solyc01g106330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKQVMLPANEVDLTAVEYLPEKIEAPHLTGFWFKLFVKVIEAPVIGSFIASHLKEKNGITEILKNTVIPEVPMFIPQFPLQEQEPGVVCLGEDGKPKEQVDLAFKYLPHYDPASRWSSDSGESSQFRCWKIRDYEYAYRNKLTTPSMVAEHFISAMEVFNSKQPSAPLLISFDPEEVRRQAAASTQRFEKGKQLSILEGIFIAVKDDIDCYPHPSKGGSKWFHEVRQVKADGVPVSRLRNNGAILVGKTNMHEFGMGTTGNNPNYGTPRNPHNPKRYTGGSSSGSAAIVASGLCSAALGTDAGGSVRIPASLCGVVGLKTTFGRTDLTGSLWEAGTVTIIGPITATVEDAILVYAAISGSSPTDRIQLNPSIPNFPDLSSSESSNILGSLTLGKYTKWFNDVSSTDISDKCEDVLNQLFRRYGCKTTEIVIPELRELRTAHTVTFGSESLSFLNPDCEAGKGVRLTNDTRTNLALFRSFAASDYISAQCLRRRIMYYHMEIFKKVDVIVTPTTGMTAPEIPESALAVGETNLQVVARLMQFAMTANVLGLPAISVPIGHDKQGLPIGLQLIGRPWCEASILRLAVAVEEMSAEYRKKPVEFYDILKGNGFHDSTEE >Solyc12g036650.2.1 pep chromosome:SL3.0:12:47302521:47303796:1 gene:Solyc12g036650.2 transcript:Solyc12g036650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFAYCQNGFVSFREIDVSKGVVCPKPRESVANQRFNTNATFLQINQEVEFCDLKAETDILDLILTEGRYDVEYSNSNPFFCGSPPTRASNPLIQDANFGNDSFIPIQPFPESALPFSTSSRVTGGGCVPMKFGNNSAPVRIEGFNCRGTCSIPAVS >Solyc02g087665.1.1 pep chromosome:SL3.0:2:50655969:50660884:1 gene:Solyc02g087665.1 transcript:Solyc02g087665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGPRTNFSAIANIAFLVPHAIILSWDAMKTPVELMQYEWLAIFHFWGYLSKLPVCFIQMSWNSNWRALNRFCYMDAIQIDILRLGTIILLSESRRRLYQTKLCSAISCASSSAAASPKMALISGIPKIKRLLPDITWKDNKGIENFATNLLIPEHCSEVSQYESKFPKAAYYPSAARLQQRGSHL >Solyc05g005610.3.1 pep chromosome:SL3.0:5:426462:434215:1 gene:Solyc05g005610.3 transcript:Solyc05g005610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCSNSKLLPMCDSGEAPFDTRRYYKHIHDNVHGNIYLDKQALNFIDTEQFQRLRELKQLGLGYMVYPGAVHSRFEHSLGVYWLASDAVHRLKTYQGQELGIESFDVQTVKLAGLLHDVGHGPFSHLFEREILPRVRSGIKWSHEDMSLKMIDYIVDENSIDIDSGTLKKVKEMIVASEAGKSVSSKEKQFLYDIVANGRNGIDVDKFDYIERDTRACGLRCNFQFQRLMETMRVIDNEICYRAKEYLTIHKLFSTRADLHRTVYTHPKVKAIEFMVVDALIKANDHLEIDSYIDEPAKYWMLDDTIVKTIEASTHQDLEESRNLIRRIRRRDIYQYCNEFTVSKENLEYFKNVTAQDIICSQNSDAHLNEEDVIVTNIKIDLANGRNNPLERVSFFQDYDSFEKFHIKEDCVSQLLPTCYQDLIVRVYARDPKLVDAVTNAFENFQTKTYGEKTQVHAITEKKRRLKYNGN >Solyc02g093320.3.1 pep chromosome:SL3.0:2:54858874:54862336:-1 gene:Solyc02g093320.3 transcript:Solyc02g093320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLPPQVVGTVYFSVERPNVKRKLVNIWNSKLEAQGGTCTGPHDGQSKEEFFTNKNSKFLRFWYKIVGTP >Solyc03g116250.2.1 pep chromosome:SL3.0:3:67238437:67239190:-1 gene:Solyc03g116250.2 transcript:Solyc03g116250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTARYHRDACVVVFSETIELNFFSGLASKWLL >Solyc10g006460.3.1 pep chromosome:SL3.0:10:1054753:1060163:1 gene:Solyc10g006460.3 transcript:Solyc10g006460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMLVVVLSMLLALLLVPLYLWKRRQNSQSSREHEDEPQVQQRDTVVRATGTRRMRRRPASSAASTSSAAANIDEPVGSDDEEPGDGYYTAKSSKKKEKKRQEREAQRQTEEAARESKQTKQSHYDEIRRRKEEEREALERALEEEAKARQAKEEEAAALEFEKWKGEISVDAEGTTENEVQDGSQGLLFDFVEYIKKHKCVPLEDLAAEFKLRTQECINRISSLEEMGRLSGVMDDRGKYIYISLEEMRAVADYIRREGRVSISHLASKSNQFIDLEPKVELVEDIGSIEEEAVVASA >Solyc06g054030.2.1 pep chromosome:SL3.0:6:36990455:36991366:-1 gene:Solyc06g054030.2 transcript:Solyc06g054030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIILSSILTLVLMIFSTQSVSGQISTVCSAAMLRSFSPCINFISNGGSNNSSPTSDCCRSLKYVMNNGTDCLCLVVTGNVPFRVPINRTLAISLPKACKMDGVPVQCKASPSPIPSPSPKAANNVPQPYTPPLRPVADTRPSPTDIFGDPDTNLGFKPNLIPSPAQGSQRFSVFVLLAACGVMALQFN >Solyc11g064850.2.1 pep chromosome:SL3.0:11:50382593:50390517:1 gene:Solyc11g064850.2 transcript:Solyc11g064850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKNMGRVSPLLLILLALGFFFATYNLVTLIIHYRATTSSSFSISTSGSSSNGGGGSEWFDPVKESNLVKSGDRKFHVALTATDAPYSKWQCRIMYYWYKKMKERDGSEMGGFTRVLHSGKPDNLMEEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHVFANPLPNLARGDLPAAFPFFYIKPAEHEGVIRKYYPEELGPVTNVDPIGNSPVIIKKSILEKIAPTWMNVSLRMKDDPETDKAFGWVLEMYGYAVASALHGVRHILRKDFMLQPPWDLEVGKKFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLRGPPPKNLSLPPPGVPESVVRLVKMVNEATANIPGWETE >Solyc03g118490.3.1 pep chromosome:SL3.0:3:68823456:68825637:1 gene:Solyc03g118490.3 transcript:Solyc03g118490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRVKPNYEELRKAQIMENQARFASLGLQNILGVLRTKPEKRKHNKVDYSSAPLRRSNRSKGDSAPLSKKESDDIKERLYQEALGQRSNRLKVAVSCHSCRQKNYCDEEDCKRCGDLNMDQPCLGMSDCSICHSSNGLLCRECLKNRYGEELEEVRANKEWICPHCTEEKGIKPYWFCNRSLCLKKRNIALTGNTLKLQGAVKGGDDKLFG >Solyc09g059800.1.1.1 pep chromosome:SL3.0:9:56233434:56233628:1 gene:Solyc09g059800.1 transcript:Solyc09g059800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDFEKESTKYCTFKTGSRQESAHAQEKKRRINNSTRMIVKLNTRQSINTLEILLKKKSNSKL >Solyc03g095808.1.1 pep chromosome:SL3.0:3:58839131:58841031:-1 gene:Solyc03g095808.1 transcript:Solyc03g095808.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLVSPQEPSLLLDDYILGIVAHEGYCCYFPLSLLSPVYLRANAVLFYTTSFSGTFVRIYPFFRRPCKIPSKSKTTYSILKNALRICKIYVTK >Solyc09g098500.3.1 pep chromosome:SL3.0:9:72813916:72818333:1 gene:Solyc09g098500.3 transcript:Solyc09g098500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYELDEQKKVGLGLIGFGIFFTFLAIMLFFDRGLLALANILLLAGVALLLGLRSTLQLFKVNYKNEMKTVMGTISFMLGLFLIFVRWPVVGIIVEIYGCIILFGCFWPSIKVFLFQIPVFGWILQYPALKKKKNELKSHIFILFSQARYWLPCNPNIIMMIERLSGL >Solyc09g057763.1.1 pep chromosome:SL3.0:9:50805209:50806228:1 gene:Solyc09g057763.1 transcript:Solyc09g057763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIWGRSCETLFSEFKSFMMKEFEMSNLGVLLYFLGLQVKQVEDGIFLSQTKYAKDLLFKFSMHNCKAGTDLAGLCRYRILIGRLNYLTHTFCFLLVLLSWYMHSPTYTPKIDIRKSTSGNVFNLGSVVISWSSKKQDVVALSSLEAEYVVTSARQEGEIEIFRDIKATIEMTKDPTFHNRTKHIDTRNHFIHDHTIRGDIELKICDTRDQTTDVLTKALPQAKHDQLWQKLGICNFESRGVLNID >Solyc05g015864.1.1 pep chromosome:SL3.0:5:12584151:12584788:1 gene:Solyc05g015864.1 transcript:Solyc05g015864.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLQTRLSAAFEMKDLGPAKQILGMRISRDRSSCTLNLSQYFKEKVTLQGFMDADLGGDVDSTKSTSGYIYTIGGIAVSWMSRLQKCVSLSSTEAEY >Solyc04g009795.1.1 pep chromosome:SL3.0:4:3096032:3100683:-1 gene:Solyc04g009795.1 transcript:Solyc04g009795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKLMKWMPWPPLLLSKKFEAKITVNCIKGFNFLSEKHVGVQDFDRLRVGIKWKGSTKGISLNLSSFTRKSVKKNFTKEECLKEDEGIVEWNEEFLSVCNFSGYKDGVFHPWEVVFTVFNGTSKGTDQKVPVLAAATLNLADFASLAGEKEDGIEIFVPLEASVGRFKSCLSLCLSLNLVELRNTNEASENIPKFIMSAPVSPIPGNVLLIDRNEGSSLKAGLRKVKFFKALSIGRHKKVSHEEESSSDGRNSVRSEDPNYVYPVDTDSLDDDSEEGESEKGMEDTSVQKSFSYETLVYANHAGGSICSITSSSSNDEDLVHYSHHISDTRHKYPEDTTAALRNQSAEQSSKRSIIPWKKRKLSLKSSKTKGEPLLKKHYGEDGGDDIDFDRRQLCSSDESSSGWYKSEETSPNRFSISEFGDDCFAVGSWEQKEIISRDGQMKLQTQIFFASIDQRSERAAGESACTALVAVIADWFHCNPKDMPIKSQLDRLIREGSLQWRNLCEKKTYMERFPDKHFDLETVLEAKVRSLSVVSEKSFIGFFHPEGIEEEEGFDFLDGAMSFDNIWDEISKSAETPSHGKSFVYIVSWNDHFFILKVEKDAYYIIDTLGERLFEGCNQAYILKFDKDTTVMQLPSESQQSDDKSSSDRKEKSDVKEAADEGKFVISTNGSDKMQEDMVPEIIYSGKEACKEYIKRFLAAIPIRELQVDVKKGLMASTPLHQRLQIEFHYTTSFDPKFESSSTQGLTANSLAILPSTSE >Solyc01g090230.3.1 pep chromosome:SL3.0:1:83747745:83771740:-1 gene:Solyc01g090230.3 transcript:Solyc01g090230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVVPEKIMSPSPPPSQHMHLSPPTSRRSTDTSSGTNASPDRRSSLDLPSSSTSSPSRLSDAQNQLALKSEEYRLLFRLPPDEVLVQDFNCALQENFLLQGHMYLFVHSICFYSNLFGFETKKIIPFHEITAVRRAKAAAIFPTAIEIVAGGKKYFFTSFLSRDEAFKLIDDGWLQHNGAAKESADLEPQSDLTFLDSGIVEGADSFRQATERVECLERNEDNMVQEDSKPLVNGQFEIVSNPSRVQDNVEEEVVIVQNTDCSPSEKSYGLKQEDSDAPRVPEGFTLVAEAKFPVTVEKFFELFISDAGVAFQESFRRNCGDKDFKCTQWRPHEEFGHTRNLSFQHPIKIYLGPKFGGCHEFQKCRRYRNSHLVIESSQEISGVPFADYFRVEAFWDVERDGDGPEGGCIMKVYLNLVFTKKTIFRGKIVQSTIDECRALYVKWIALARELLKQKKLEKEKADCLAANVVTSAQPKESYEHVENIVETSKEIRSQIPPLNQQAADSSTVSLTSSCRDFMLKCSASLKSQSHVSILIVITIAVILILMQMSILVLLGRPQHVQVISQGDSASSMYRLGETGVDILGFLDKKINHLKDEMFMVETLLGKMQQEHTLLKTQLKEFEHLRKLQKG >Solyc01g088245.1.1 pep chromosome:SL3.0:1:82930863:82936408:1 gene:Solyc01g088245.1 transcript:Solyc01g088245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEREEMESMNGPNKRVCVSVENGAVCTTGGRWDELNPEILASIFIRIVPPDLMVRSVVLVCRNWMETVSGPSCWMEIDLENWCRKCCIAKRPHLIDLGVSKAVRRSRSTVQRLTTYRLGIAGFSAAARRGRCLKTLQIPMCEVTDDVVVKYVGCLANLTFLDISYCFKVTEKGLKAFGTECKSLTHLRRNMPIWELPDSVEPSDVKDQEALIIADTMKGLQRLDYAFNRLSDTGVEAILTQCKALTHLEIQGCWNVELKGDLEARCKNLVNFQKPWIDDHDDLIYSSDDDSTEGESDDESIYSESSSSSDLD >Solyc06g068985.1.1 pep chromosome:SL3.0:6:42913060:42917003:-1 gene:Solyc06g068985.1 transcript:Solyc06g068985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLGILVWCSDTGTSEKPSGSFLISEVLSTTTKHCGLVNTTMKNFLKKLHIGSNQSEDSEGSTSSSRSKKLTDVSSPEKHSSSRSYHGSDNKPFSAISGWLNSVTNRHSPSPPSSSNVNRGNRMEHSDSVSIGGTDAVLDALQRDSESSSSRDPGVEEEYQIQLALELSAKEDPEAVQIEAVKQISLGSSAPENAPAEVVAYRYWTLEFEHCIIMNYNALSYDDKILDGFYDLYGVLMESNSSKMPSLIDLQRTEVSDHISWEAILISKAADSKLLKLEQRALEIAVEERSKLMDFSASSLVHELAVLVSDHMGGPVVDPESMLLAWRSISYNLKATLGSMVLPLGSLTIGLARHRALLFKVLADSVGIPCRLVKGKQYTGSDDVAMNYVKIDGRLLLFTLPSFLDAIDAIFFFYVLSGGVYILKLGQYFHFSWIFFLGWFALHKYTLWLSQRKCQPYQT >Solyc02g079380.2.1.1 pep chromosome:SL3.0:2:44516666:44524110:-1 gene:Solyc02g079380.2 transcript:Solyc02g079380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLLARSITPAPPPPAAIPQPPSLSQRVHIPSHIYKHPTAILLELCNSMKELHQILPHIIKNGLYKEHLFETKLVSLFTKYGCLNDATKVFEFAKLKVDPMYHTMLKGHTHHSNLDSSLAFYSRLRYDDVTPVIYNFSYLLKACADNSDVVKGKQVHAQLILHGFSDSLFAMTSVVNLYAKCGMIGDAYKMFDRMPDRDLVCWNTVISGYSQNGMSKRALELVLRMQEEGCNRPDSVTIVSILPACGAIGSLKMGKLIHGYVFRNGFESLVNVSTALVDMYAKCGSVGTARLVFDKMDSKTAVSLNAMIDGYARNGYHDEALIIFQKMLDEGFKPTNVTIMSTLHACAETRNIELGQYVHKLVNQLGLGSNVAVVNSLISMYCKCQRVDIAAELFENLKGKTLVSWNALILGYAQNGCVMDALTHFCEMHLQNITPDSFTMVSVVTALAELSVLRQAKWIHGFAVRTCLNGNVFVATALVDMYAKCGAVHTARKLFDMMDDRHVTTWNAMIDGYGTHGFGKEAVELFEEMRKGHVEPNDITFLCVISACSHSGFVDKGRNYFTIMREEYNLEPSMDHYGAMVDLIGRAGRLSEAWNFIDNMPTRPGLNVYGAMLGACKIHKNVDLGEKAADKLFELDPDDGGYHVLLANMYARASIWHKVANVRTMMERKGIQKTPGWSLVDLRNEVHTFYSGSTSHPQSEKIYAYLEKLFDRIKAAGYIPDTDSIHDVEDVVQEQLLKSHSEKLAIVFGLLNTSAGTTIHIRKNLRVCGDCHTATKYISLVMKREIIVRDMHRFHHFKDGVCSCGDYW >Solyc04g048900.3.1 pep chromosome:SL3.0:4:38504741:38508764:1 gene:Solyc04g048900.3 transcript:Solyc04g048900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCLVVVLLLFTLVTCSVCETFFEERFDDGWRNKWVKSEWKSSEGKAGKFKHTAGNWPGDPDDRGLQTTSDAKHFALSAKIPEFSNKNTTLVVQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLHVILSYQGQNYPIKKELECETDKLTHFYTFILRPDASYSIWIDGRERDSGSMYTDWDILPPRKIKAVNAKKPTDWDDREYIENPNDVKPEGYDSIPREIPDPKAKKPIYWDDEDDGMWKAPKVPNPAYRPGAWKRKKIKNPNYKGKWKIPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSIFDNILIGDDPDYAKQVIQEVFSHREAEKEAFEEAEKVRKAKEEEEAQRSREEGERRRRERGRDRHRDRYKRRYHHDYMYDDHDEL >Solyc09g064490.2.1.1 pep chromosome:SL3.0:9:62000235:62002547:1 gene:Solyc09g064490.2 transcript:Solyc09g064490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSTQSFSCLCLLLCFVCIQAQDLQTYIVQLHPHGATRTPFSSKQQWHFSFLAKAVSSGEQDSSSRLLYSYHSAMEGFAARLTEDEVELLRECKDVLSIRAERRLEVQTTYSYKFLGLSPTREGAWLKSGFGRGAIIGVLDTGVWPESPSFDDHGMPPAPQKWRGICQGGQDFNSSSCNRKLIGARFFRKGHRVASMTSSPDAVEEYVSPRDSHGHGTHTASTAGGATVPLAGVLRNGAGEARGMAPGGHIAIYKVCWFSGCYSSDILAAMDVAIRDGVDILSLSLGGFPIPLYDDTIAIGSFRAMEHGISVICAAGNNGPIQSSVANGAPWIATIGASTLDRRFPASVQLGNGKFLYGESLYPGKKVPSSRKSLEIVYIRDKDKGSEFCLRGTLSKAQVRGKMVVCDRGVNGRAEKGQVVKEAGGAAMILANTAINLEEDSVDVHVLPATLIGFDESIQLQNYLNSTKRPTARFLFGGMVIGKSRAPAVAQFSSRGPSYTDPSILKPDLIAPGVNIIAAWPQNLGPSGLPEDSRRVNFTVMSGTSMACPHVSGIAALLHSAHPKWTPAAIRSALVTTADTADHLGKPIMDGDAPAKFFAAGAGQVNPGRAIDPGLIYDIQVDEYITHLCTIGYRNSEVFSITHRNVSCHDILQKNRGFSLNYPSISITFRKGMTRKMIKRRVTNVGNPNSTYSVDVVAPEGVKVRVKPRRLIFKHVNQSLSYRVWFISRKKIESKRMSFAEGQLTWLGVRNKATKVRSPISVTWASMK >Solyc01g068340.3.1 pep chromosome:SL3.0:1:77366151:77381163:1 gene:Solyc01g068340.3 transcript:Solyc01g068340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKVVVCPENEELAAYMWNKKQEMAQSPNGISENISMALQKAYTNLCKSKTPIKTLKEFSMIKGVGKWLLRLMKGFFEADDGDASSSEDSDDSTGKGKKNKGTKRYMPQKNSVAYALLITLYRSTTNGEKFMHKQELIDATEASGLSRAPVGPEKGKGKPGQFSSPRDWYSGWSCMAKLVSKALVAKSSCPAKYMLTEEGKEIAQECLLRSGIADSKEISASLTGFSNLNKRDKPDKATSSKSSDLEVRHTTSVKERAVPLSQSSDNVRERAVPLSQPTGKKKMNVIPSESLDRFIQMGFSKEQVIQAYSEVLETSPNKDILLLWPSVLCRLREDQVYGQVGSNRVEDRLFESTCNGTLNSAKPSCRADFAHCSNALRACSSTVVDEQIPCLDFRSNLLAAPPLANGEKFKDVYEVVLILDDREQFTSRGSRSRKIVDNVSTQFKIKIDVRRLPVGDAIWIARNKVIGTEYVLDFIVERKNVEDLQSSIRDNRYRDQKLRLLRCGLKKLIYVIEGDPNACAAAESIKTACFTTEILEGFDVQRTSGLGETLRKYGYLTQGINQYYSCMDSERSDSRLCPKFKEFVRKCEDLDKMTVSDVFAIQLMQVPQVTEEAAIAVLDVYPTLSSLARAYSLLDGDVRAQEEMLKEQSNNLVSSAASKNIFRLVWSS >Solyc07g063320.3.1 pep chromosome:SL3.0:7:65938805:65944866:1 gene:Solyc07g063320.3 transcript:Solyc07g063320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERYYPNEMDELSIEELVPENTKDSLFKLLSLPYDTITQRLKLDAINLKDEVVRETWSAKGRHVKDYTLYTGTLGTAYILFKSYQITRNIDDIALCSDIIQACDTACNGFGPPTFIGGHAGIYALGAVAAKNNGDDQLCQIFLTKFKEMKLPIDLGDDLFYGRAGYLWACSFLNKHLGKGTISISQMREVVNELVKSGRKLSKLENFKSPLMYESRGEKYWGAAHGIAGVMNVLLDMELKQDEIEDVKGTLRYMIKNRFSSGNYRTSEGDESDVRVHWCHGAAGVALTLAKAAKVFGDDEFLEAAREAGEVVWRRGLLKRVGICHGISGNAYVFLSLYKLTREEEYLYKAKAFACFLHDRAHTLICEGVMHKGDHPFSLFEGIGGMACLFLDLVEPFESRFPAYEV >Solyc12g043005.1.1 pep chromosome:SL3.0:12:59542219:59543793:-1 gene:Solyc12g043005.1 transcript:Solyc12g043005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIHDSFRVFSLFEIWYVVITNLISLAALCFCAIWNSISQPLRLEIKRKLAQRSERVKSVDLHPSEPWILASLYSGTVCIWNYQTQKLSSGVWPGFSMAKICTTVSESPCSAKLMTSIFLMFFAYRTSLFTADTLFSKD >Solyc08g061370.1.1.1 pep chromosome:SL3.0:8:48679780:48680304:-1 gene:Solyc08g061370.1 transcript:Solyc08g061370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLVSQNIKVAMNPHQYGGFKFMWKHIAGAIKFQRLREPLSKSRGGCIISHPPGTGKTRLNIVFLQSLLKIYPKSRLAIIAPSSLLINWETEFHKWEVKIPFYNLNSKNFSSQEEEATVRVFRYLSDAGRKDNQPIRLLKLKSWDGTNSVLGISYDLFRNLTVKNVDVNDNVI >Solyc03g083360.3.1 pep chromosome:SL3.0:3:54615022:54618895:-1 gene:Solyc03g083360.3 transcript:Solyc03g083360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4BIB2] MGYGRLGKPDPGETSGRVIIPNPRSSKIKIMLISATVLLIASAISVAVLIGVRHKAGNRIDKPSQAMARTCSRTLYPSLCLNSLINYPGANSASDSDLVHISVNLTLQRFGKGLYKASDINNLQMNTQVRSAYDDCLELLEESVDLLSHSLDSVFSGDGDSPTGSTQDVMTWLSAALTNQDTCTDGFADVTGNVKDHMSENLKDLSELVSNALAIYTAANGDDDFSGIPIQNRRRRLMEFETYHDEFPKWMSRRDRKLMNKSVSTIQADIIVAKDGSGTVKTIAEAIKKVPEKSNHRTIIYVKAGRYEENNLKVGRKKMNVMFIGDGKGKTVITGGKSVSQHLTTFHTASFAATGAGFIARDMTFENYAGPNNHQAVALRIGGDHAVVFRCNVIGYQDTLYVHSQRQFYRECDIYGTVDFIFGNAAVVLQNCNIYARKPMANQKNTITAQNRKDPNQNTGISIHACKIMATPDLQASKGSFSTYLGRPWKMYSRTVYMLSNMGDHIHPHGWLEWNGDFALNTLYYGEYMNFGPGAAVGQRVTWPGYRVIKSVEEASKFTVSKFIYGSSWLPSTGVSFLAGLST >Solyc01g006830.3.1 pep chromosome:SL3.0:1:1399076:1404011:-1 gene:Solyc01g006830.3 transcript:Solyc01g006830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGVPVEEEKIVVVEKNLDMSKEEENVNEKKANANEEKADEAPNVSVVKTELSRNAPKSKGSSQKKLATGGTTKNNKMVKDQASLRATAALTRSNKASQSQSLSFPSRGVSSDVMRRSIDVYPKKSDVKELKTKGVKNETSHSKGSLASSSNPAIRGVSGGVLKNANTNGGVATNRRTTIAAGPSLRQSMSGKSLTANGNTKKATSEVSNDENKKPTKTELPVKEDEDARSTTSSSTTPRGQRRASIAGFSFRLEERAEKRKEFLAKIEEKIQAKEEEKNNLQAKSKENQEAEIKQLRKSLTFKATPMPNFYKEPPQKVELRKIPTTRAVSPKLGRNKNSTSTTNSSESGGSCFSPRVVNKEQVKSPRAMLSTSNKVTGASKGKPIETKKTMKSSSTTKTKGKAVATKSKPAEAKALDENICDEKSKEMIEPDERSAMNPADNNAEKPPNAIVMPSARVTVEG >Solyc02g085480.3.1 pep chromosome:SL3.0:2:48989070:48995729:1 gene:Solyc02g085480.3 transcript:Solyc02g085480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDDLPISTPTAPSSSFTTSKKETSYFAIFGRGRYKFWAFAAISLLALWSMFTGTVTLRWSAGNLNAISDDIDIPLPEDLDVLEMEEREKLVKHMWDVYTNSRGIKLLKFWQEAFEAAYEELASDVPGVPEDALSEIAKMSVRYIPIESPPLHSSGIRELSLRQMKREQTTATGRKL >Solyc01g107550.3.1 pep chromosome:SL3.0:1:94944262:94950825:-1 gene:Solyc01g107550.3 transcript:Solyc01g107550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGFRPLDEKSLVEYIKSTPSLLSILGKQFDNLEIKEVGDGNLNFVYIVVAPSGSIVIKQALPYIRCIGESWPMAKERAYFEATALKEHGRLSPEHVPEVYHFDRTMCLIGMRYLEPPHIILRKGLVAGVEYPLLAQHISDYMAKTLFFTSLLYLNTTDHKRAVAEFCGNVELCRLTEQVVFSDPYKVSEYNRCTSPYLDADAEAVRNDNGLKIEVAELKSKFCERAQALIHGDLHTGSLMVTQDSTQVIDPEFSFYGPMGFDIGAYIGNLILAYFSQDGHADQANDRKSYKVWILKTITETWNLFHQKFLALWDEHKDGPGEAYLPEIYNNTELRQLVKQKYMKELFEDTLGFGAAKMIRRIVGVAHVEDFESIKDVAKRADCERQALSCAKKLLKERRTIKSIEEVISTIEQVQLQ >Solyc04g077260.3.1 pep chromosome:SL3.0:4:62320993:62324035:1 gene:Solyc04g077260.3 transcript:Solyc04g077260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKRGPWSPEEDAKLKSYIEQNGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDRIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLFGKQRQKQGSRKGKEINSISSISNSINNMNQNPCWPEPLIMQQPIQFSNNDHTSIRKLLIKLGGKFSENDQLTNVVSPNSQHPIDNSSMQLMYQNHINLISSSPIDNVFNNMSTAPLYNMDGEASNFTAEFEHMINNHQQKLDGLEFLYEDNVFIDKSASTSGGNLDWESMNPYVLPFPPIVDGGNFQQGVILQEGTLDDELRYPREQ >Solyc10g086180.2.1 pep chromosome:SL3.0:10:65221133:65224831:1 gene:Solyc10g086180.2 transcript:Solyc10g086180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phenylalanine ammonia-lyase [Source:UniProtKB/TrEMBL;Acc:K4D451] MAGVINGQQDLDFCIKVDPLNWEMAAESLRGSHLDEVKKMVAEFRKPVVKLGGETLTVAQVASVAAKVNVENVKVELCEDARAGVKASSDWVMESMSKGTDSYGVTTGFGATSHRRTKNGGALQKELIRFLNAGVFGNGTESCHTLPQSSTRAAMLVRINTLLQGYSGIRFEILEAITKLINHNVTPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGETLNAEEAFHVAGVNGGFFELQPKEGLALVNGTAVGSGLASIVLFDANVLAVFSEVLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRSATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNARLALASIGKLMFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGFKGSEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQAIDLRHLEENLRNAVKNTVCQVAKRTLTMGANGELHPSRFCEKDLLRIVDREYLFAYADDPCSANYPLMQKLRQVLVEHALQNGENEKNASSSIFQKIVAFEEELKSVLPREVESARVALESGNPAIANRINECRSYPLYKFVREELGTELLTGERVRSPGEECDKVFTAMCNGQIIDSLLECLKEWNGAPLPVC >Solyc06g007710.3.1 pep chromosome:SL3.0:6:1691394:1703529:1 gene:Solyc06g007710.3 transcript:Solyc06g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIPPSVIVPPANSKSAAVESEKEKVDYLNLPCPIPYEEIHREALMSLKPELFEGLRFDFTGGLNQRFSLSHSYVPPLLEGKRCSLSDNKCVPFISVFMGPTELPTQSADIVKIPTAHYEFGANFIDPQMMLIGRVMTDGRVNARVKCDLSENLSLKANGQLTGEPHMSHGMVNFDYKGKDYRTQFQLGNGALLGASYIQSVGPHLSLGGEVFWAGQHRKSGIGYAARYNTDKMVAAGQVASTGMVALSYVQKISDKVSLASDFMYNYMSRDVTASFGYDYILRQCRLRGKVDSNGCAAAFLEERLNMGLNFILSAEVDHKKKDYKFGFGLTVGE >Solyc08g008190.3.1 pep chromosome:SL3.0:8:2650096:2654773:-1 gene:Solyc08g008190.3 transcript:Solyc08g008190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKARKNGIAFWTKEGASDSNQIIFAFEMFENLSKVSIQPTTAISPMNTMHEDIIAGAERKRVEMIILPFHKHQRIDGHLETTRADLRQVNRRVLQHAPCSVGILVDRGLGGASHVFASNVDFKVTILFFGGHDDQHPGINLLVVRFLVDPEVAGGSVTLDMDQTYSPEAQSKDEELLNDLKHRLPKNSSIKYEEKLVKDAAGTTELIRAYNRCNLFLVGRISEGEVAAALDQHSDCPELGSLGNLLTSSEFSTTASVLVVQQYRNDPLDYALPLAIVQICLVLVLTRVLAYLLSPLRQPRVIAEIIGGILLGPSALGRNQKYLNAIFPPRSLTVLDTLANFGLLFFLFLVGIELDPGYLRRTGKKALIIALAGISLPFTLGIGTSCVLRGTIAKGVSQGPFLIFMGISLSITAFPVLARILAELKLLTTDVGQMAMSAAAINDVAAWILLALAISLSGASNSPLISVWVLLCGTGFVLLCLVIGPPIFNWMDKRCAEGEPVDELYVCATLGAVLAAGFITDTIGIHALFGAFVLGVLVPKEGPFSGALVVKVEDLVSGLFLPLYFVSSGLKTNIATIQGAQSWGLLVLVIFTSCFGKIVGTTLVSLLCKMPVQEAVMLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIIVLMALVTTFITTPIVVSVYKPAKLATTEYKHRTIERKDTSKQLRILTCFHSTRSLPTMINLIEASRGTAKKGLRVYAMHLMELSERSSAILMVHKARKNGLPFWKKREVSDTNQIVVVFETFEHLSKVSIRPTTAISPMNSMHEDIIAGAEGKRVAMIILPFHKRQRIDGHFVTTRDDLRHVNRRVLQHAPCSVGILVDRGLGGASHVSASNVDFQVTILFFGGHDDREALAYGMRMAEHPGINLLVVRFLVDPEVAGRSVTLDIDQTYSPEAQSKDEELLTDLKHNMSKNDSIKYEEKLVKDGAGTTELIRAYKRCNLFLVGRMSEGQVVLALDIKSDCPELGPLGNLLTCSEFSTTASVLVVQQYQSELSQDSINSLKDGELTEGNSDSD >Solyc09g074730.2.1 pep chromosome:SL3.0:9:66967908:66976741:1 gene:Solyc09g074730.2 transcript:Solyc09g074730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSAWLSEAAGEEQTSVLHLILKVLCHLPLHKAFPGHMSAILQNVNSLRFYRTPDISDRARVLLERWSNIFAKSQAMTKHNGVKSASDMHDEMLLQQSISEVVGDEIWNSKIEDVEEAHANLCGTSENSRKLDSPQPVKLFMASSDDSNKRLKGASVTKSRERRKVQLMEQPSERTIARSLGRPAAPATQGRPLSADDIQKAKMREQFLKSKYGKTNNDDSSWVKPQAPNEITSSPNGILLGAPKLQDRPKVEECEKLNSVASQGSSQLENHLKLSLDVEEPPWKRCKKMQIPWKKPPGLQLRYASRVCAGGESKEVDVQNKRVRRETEAIYRTVQEIPLNPKEPWDPEMEPDDTLTTEVPLEQLPDEERAETGVLPQEDRETETAVLASTSNCIATTAKPDLELLATLSNGIATTAKPDLELLNILLKHPGLVYALTSGQGGNLLSDY >Solyc11g073070.1.1.1 pep chromosome:SL3.0:11:56474665:56474910:-1 gene:Solyc11g073070.1 transcript:Solyc11g073070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAMCLYTSWKRKNNATWFSATSIPFTNRFVGVKFMNENDINCDGVKFCLAVGYMIQLVYYLCCDFRDIILNRKLIQIHIV >Solyc04g072900.1.1.1 pep chromosome:SL3.0:4:59953856:59954650:1 gene:Solyc04g072900.1 transcript:Solyc04g072900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-responsive element binding protein 3 [Source:UniProtKB/TrEMBL;Acc:Q8GZE8] MNSQIFSTGFSGYGMEQQGSIGLNQLTPIQIQQIQAQINFQNQQQQQQQQMMLQTAHHASTMNFLAPKPVPMKQSGSPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYMLRGDFARLNFPQLRHNGNLIGGDFGEYNPLHSSVDAKLKDICQSLAQGKSIDSKKKKTKGLSAEKAAVVKMEEEESKTAEVGSESDGSHSGSGGSSPVTELIFPEFTEEEPTWDMSENFLLQKYPSHEIDWASL >Solyc04g015450.3.1 pep chromosome:SL3.0:4:5642456:5659147:-1 gene:Solyc04g015450.3 transcript:Solyc04g015450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation factor GUF1 homolog, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4BQ47] MATANFCRPKFIHHHHQHQNCFNLPTNLYFSQHLKSKRGDGAQSVRRRRQRWRSSLRVDCQAAATDLEATARAGKDRLLKVPISNIRNFSIIAHIDHGKSTLADKLLQMTGTVESRDMKEQFLDNMDLERERGITIKLQAARMRFIYENEPYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGADPARVCQEIEEIVGLDCSNAIYTSAKEGIGISEILNAIVQRIPPPRATAERPLRALIFDSYYDPYRGVIVYFRVIDGTIKKGDRVLFMASGKDYYADELGVLSPNQLQVDQLYAGEVGFLSASIRSVADARVGDTITHFQRKAEQSLPGYKEATPMVFCGLFPVDADQFSELRDALEKLQLNDAALKFEPETSSAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVHCIDGDTVECSNPSLLPEPGKRRSIEEPFVKIELLTPKEYIGALMELAQDRRGIFKEMKYITENRASIIYELPLAEMVGDFFDQLKSRSKGYASMEYNFIGYTESDLIKLDILINGDRVEPLATIVHKDKAYSVGRALTQKLKELIPRQMFKVPIQATIGSKVIASEAISAIRKDVLAKCYGGDISRKKKLLKKQAEGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >Solyc04g056430.3.1 pep chromosome:SL3.0:4:54144061:54144658:1 gene:Solyc04g056430.3 transcript:Solyc04g056430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKDRGPGVKILWLWAIGTAGILVTSVVRTRLRDMEEFMNAQQQTPGPIDSPAISDNDDHQSLNSEDFIREDRG >Solyc10g078490.2.1 pep chromosome:SL3.0:10:60428550:60433244:-1 gene:Solyc10g078490.2 transcript:Solyc10g078490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small basic intrinsic protein 12 [Source:UniProtKB/TrEMBL;Acc:K4D291] MGAVKAAVGDFVLTLMWVFCSSTLGIFTYLIATAFGIAQGMASLFITTVLLFMLFFVFGIIGDALGGAAFNPAGTAAFYAAGVGKDSLFTVATRFPAQAAGAVAGAVAILEVIPTQYKHMLGGPSLKVDLHNGAIAEGILTFVMTFLVFIIVLKGPKSALLKNWLLAMSTVTMVVAGSKYTGPSMNPANAFGWAYINNMHNTWEQFYVYWICPFVGAIMAAWTFRAVFPAPAKKKKPQKKKRN >Solyc02g085833.1.1 pep chromosome:SL3.0:2:49255668:49269458:-1 gene:Solyc02g085833.1 transcript:Solyc02g085833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGSWDRDTVVRALRAAYNNPERAVDYLYSGIPEQTEIPPVARAPAAPAVTAPASAQAINPAAQDASQLAVPSSGPNANPLDLFPQGLTNAGSNAGAGNLDFLRNSPQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQPDFLRLINEPVEGEGNVLGQTAGAIPQAVTVTPEEREAIERLEAMGFDRALVLEVYFACNKNEELAANYLLDHLHEFDE >Solyc02g082435.1.1 pep chromosome:SL3.0:2:46681533:46686567:-1 gene:Solyc02g082435.1 transcript:Solyc02g082435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFARRSIIKLLHDIAVCFDSASDIEKQICFWVEKRMWVLSLDLFLLNPVCVGISKYHRGAPDRQGPSFTAVPGEQFKTTFLASVGCKTEQANDLNFELCWPNSKQGLDLQHILLSFKIIYQSMELDKIAIYQKFHCTKSQHLLKSNVILIHKQPTSVTLLACAHGQATTVPLANLGTLHEQIAKLGSWSRSSSEACHGLRWHETNLELS >Solyc09g059736.1.1 pep chromosome:SL3.0:9:55508074:55508935:1 gene:Solyc09g059736.1 transcript:Solyc09g059736.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLTMLLNRVKSGVSYSVNKLSQFMYAPSQAHWKTVKHLLRYFKDTAYYGFRIAPSTNLDLSVYSDADWAGNVTDRSSTTGYLVGHQKNNIPLLSHLLKQSIRLLLVPLMRPLGFSFHHQMDSLIESVI >Solyc05g021140.1.1.1 pep chromosome:SL3.0:5:26477669:26478052:-1 gene:Solyc05g021140.1 transcript:Solyc05g021140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTSKVAIVGPPHMLEKLAEDHCWSILKQKEFLFGEVLEETLSMKNKIFEMFQGLPLAASVLGGHLCYKDKHEWQEILDGNPLVAGEKGIKKILTLNYDYLPSPYLKKCFGYFAMFPKDFEFEKD >Solyc03g061595.1.1 pep chromosome:SL3.0:3:32670013:32671164:1 gene:Solyc03g061595.1 transcript:Solyc03g061595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNGEDDATFSMEAMKFFVDSSLRSLPTCVMAEGTRWKITEDKLTRHDEMLADLLNSQEEVRHTQTGIQGTLDLILERLGVLERAQVVSNVGAGLLPIPNVDNRNRAQPNAVTLPRWELPCFAGQEPKVWIRNCDRFFTQYRVGNEQRVEMAALYLTDAAKVWYQSMVLSGGIPNWIEFKEDLISRFGEIVVSDVVEEFNKLQQIETVEEFLGLFEDLKAQMLIRNPALNEAHFLFSFVGAPKEEIRLEVKMFKPRTLKEAVEKVRMKEMTIEVGVATNSRRR >Solyc05g023890.1.1 pep chromosome:SL3.0:5:29883633:29885063:-1 gene:Solyc05g023890.1 transcript:Solyc05g023890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEADMSWNINACIYKLGFDCNPFVITSLIDAYSVSGLVDSSRDVFNSSSASFIYAYPFVFALVQPWLHWNQVFKIHSFTIKTIYDQDLAVGNALVDMYAKCGSTKDARLVFEMLIVLDIVSWNRLGHLYKARKLIGDIPFEPSVNVWCALLCACVLHNEVDL >Solyc02g082330.1.1.1 pep chromosome:SL3.0:2:46586215:46586529:1 gene:Solyc02g082330.1 transcript:Solyc02g082330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGRPEKHAMESSASTDQTFVSYAAAGRCSETWNDYCSAVSGRRRWTFVSYAAAGRCSDSPGMTTLSPSQVGGGGLSSPTQLQADVAPSQVGGGVVWSQSRQI >Solyc01g005680.3.1 pep chromosome:SL3.0:1:472529:477341:1 gene:Solyc01g005680.3 transcript:Solyc01g005680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFKKICVFCGSNSGYRKIFSDAALDLGRELVEKKIDLVYGGGSIGLMGLVSQAVYDGGCNVLGIIPRALVSVEQISGHAVGEVLIVSDMHERKAEMASRADAFIALPGGYGTMEELLEVITWSQLGIHEKPVGLLNIDGYYDCLLGLFDKGVEEGFIKPSARNIIISATSPKELLEKMEEYVPIHNQVAPSRSWNTDESVTTS >Solyc01g096880.3.1 pep chromosome:SL3.0:1:87749005:87752415:-1 gene:Solyc01g096880.3 transcript:Solyc01g096880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTVADAVNYKGLPADRTKTGGWVPAALVLGIEINERLSTMGIAVNLVTYLGGTMHLPSAASANIVTDFMGTAFLLSLLGGFLADSFLGRYKTIAIFALIQALGTGMLTVTTGLPQLRPPTCHPHDKYCEPANGFQMGILYLALYLIALGTGGLKSSVSGFGTDQFDEKDEKEKSQMAYFFNRFFIFISIGTLAAVTVLVYVQDEVGRSWAYGICCISMVIAIIIFFSGTKRYRYKRSSGSPIVQIFQVILAATRKRKMDVPYDVGMLYETNPEGSSRIQHTDQFRCLDKAAIVAQGDFDDHDLNPNPWKLCTVTRVEEVKMMARLIPIWATTILFWTTYAQMITFSVEQAATMERNVGKFKIPAGSLTVFFVSAILITLIIYDRFIMPLWQKLKGKPGFTSLQKIAIGLVLSTMGMGIAALVEMKRLSVAKSTGRNLSTLPISVFYLIPQFFLVGAGEGFIYTGQLDFFITQSPKGMKTMSTGLFLTTLALGFFVSSFLVSIIKKVTGSNGGHDWLADNINYGRLDCFYGLLAILGVINFVLYIICATWFKPRKDKYMETINNGNVVEDKC >Solyc06g064780.1.1.1 pep chromosome:SL3.0:6:40492532:40492810:1 gene:Solyc06g064780.1 transcript:Solyc06g064780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVETCGKGTLSGGEPSTKRTITSIYDDDEVVGFEKDAEIIMMKLIRGTKERDVISIYGMPGLGKTTLARKVYNNPYIVIYVSLAYFATIV >Solyc01g011050.3.1.1 pep chromosome:SL3.0:1:6970180:6972281:1 gene:Solyc01g011050.3 transcript:Solyc01g011050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHQFICFLAMISLMIINISANNACHPDDLKGLNDFKAGIHSDTSGRLSKWIGQDCCNWPGISCNSTTYRVVQIYLPGHYVSGDDESPNFVSSTMSGSISPSISLLTSLQVIDLNKLVGLTGQIPESIGVLKDLKELNLQTNQISSTIPESVFTLTSLTTLNLENNHLTGEISENICNLQALQKLFLSNNSFTGKIPLSITKLHSISTIHLEKNQLVGEIQLPLTPSQWPSIKTLSLDNNALTGVIPDSIGYLTTLSSLSISNNQLTGSIPSSLGNIKQLQMLKVNNNNLSVETLPTTMCGLTELSVLYVSQNKIHGPLPGCLSSFKYLLDVDVPFKRTATLPMGVQKQ >Solyc02g088560.3.1 pep chromosome:SL3.0:2:51245676:51249599:-1 gene:Solyc02g088560.3 transcript:Solyc02g088560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPSSQHHVFSPRRPLLPLKLTWIFSYMNCIIRWFGIFRRRSVQPDNSDDNDDDINPISNSIECYACTQVGVPVFHSTSCDGANQPEWEASAGSSLVPIQNRTDSKTGKSRSSRSRHTSGPFGRVLDPRSKRVQRWNRMILLARGMALAVDPLFFYALSIGRGGSPCLYMDGSLAAIVTVIRTSVDAVHLFHLWLQFRLAYVSRESLVVGCGKLVWDARAIASHYVRSLKGFWFDAFVILPVPQAVFWLVVPKLIREEQIKLIMTILLLMFLFQFLPKVYHCISLMRRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLRQQCERNPSCNLSLSCSEEVCYQFLLPTGTVGNPCAGNSTTVTRKPMCLDVNGPFPYGIYQWALPVVSSRSVTVKILYPIFWGLMTLSTFGNDLEPTSHWLEVIFSICLVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSQLRQRVRHFEHQRWAMMGGEDEMELVKDLPEGLRRDIKRFLCLDLIKKVPLFESLDDLILDNICDRVKPLVFSKDEKIIREGDPVHRVVFIVRGRVKSSQNLSKGVIATSILEPGGFFGDELLSWCLRRPFIDRLPASSATFTCIESTEAFGLDANHLRFITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQLAWRRYMMRTSRPTIHVIENGDNDHRLRKYAAMFLSIRPHDHLE >Solyc11g008850.2.1 pep chromosome:SL3.0:11:3033350:3043313:1 gene:Solyc11g008850.2 transcript:Solyc11g008850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIGSTFRASRRFLNRQLHFQCPIIAGDSAIAGIVPVSYRRFIPFNYSSSFGIIPASASLFSTLSSNSSIFNAPNTERAEENDNVESNLSVAGDAGVALLEVERVAPRLSDGILDAYLAIELALDSVVKIFTVSSSPNYFLPWQNKSQRETTGSGFVIRGKRILTNAHVVADHTFVLVRKHGSPTKYRATVQAVGHECDLAILVVESEEFWEGMNSLELGEVPFLQEAVSVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLLAIQIDAAINPGNSGGPAIMGDKVAGVAFQNLSGAENIGYIIPVPVIKHFISGVEERGDYFGFCSLGLSCQPTENAQIREYFQMQSKMTGVLVSRINPLSDASRVLKKDDIVLSFDGVPIASDGTVPFRNRERISFDHLVSMKKPNETAELEVLRDGEVHDFKITLHPLQPLVPVHQFDKLPSYFIFAGLVFIPLTQPFLHEYGEEWYNTSPRRLCERALRELPKKPGEQFVILSQVLMDDINAGYERLAELQVKKVNGVEVLNLKHLRQLVEDGNQKNVRFDLDDERVIVLNYESARIATSRILKRHRIPHAMSIDLTDEQNAAELLINGTSNNNVVVNVFNQLDEPLLLTWSGIQQRKNSWQEGTLGNNCPIPPGANFTYRFQVKDQIGSYYYYPTTSTHRAAGGYGGISVLSRALIPVPFETPEADHMVLAGDWYTKSHVELKRMLDSGRALGRPTGVLINGKSGKGDGKDEPMFTVIAGKTYRFRFCNVGMKDSINVRMQGHTMKLVEIEGSHTVQNVYESIDIHLGQCFSVLVTANQEPKDYYLVVSTRFTKDSHVATATIRYANGKGPASPELPKAPEGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGQINITRTIKLVTSAGQVDGQDKITVAPNVVNATYRNYVEIVIENHGKTVQSWHLSGHSFFAVGIEPGRWAPERRKNYNLLDAVSRNTIQVYPKSFAVIMTTLDNAGLWNLRSNSLERNYLGHQLYFSVLSPNKSIKDEYNMPDNDLLCGIVKDMPKPTPYKAN >Solyc11g067340.1.1.1 pep chromosome:SL3.0:11:53364562:53365863:1 gene:Solyc11g067340.1 transcript:Solyc11g067340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTILSRKIITPSPPTPSSHRHCNLSFRDQTANTLYLPIAALYSKPENHTITQISNILENSLSKILYFYYPFGGRIKDNKYVDCNDIGAEYFNVHINCQMSEILSNPYNDAIEIVFPQNMAWGNSLSEERSSLLVVQLSHFDCGGVGISICLSHKVADGYSAFKFLGDWISMARDDHKLNFQPSPQFDGALFLPPIDNPPPMPNVVPDPQQCVSRMYNLSSSTLSKLKDSIVSTNPQIQNPSRIEVAMALIHKCGVDVSMSKSGVFRPTMLYQVMNLRPPIPLNTMGNATCLFSTIAMSIDKTTLPSYVGELQKAKQQLRHKLKQMDTNQLASHAIEKLKEIVNIANEDVFDIYFCTSLCTFGSHKIDFGWGSPLRVTQVKHPTKNKFMFLDDPSGEGINVLITLTEADMLLFQNNKELLEFASPVVQSLE >Solyc05g015370.2.1 pep chromosome:SL3.0:5:10443758:10446802:1 gene:Solyc05g015370.2 transcript:Solyc05g015370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGTFKSNSTDEITPNQMRSSACKMRTILLRLIYHDDVTPADYEPPFFRGCTEEEALNPWTKNPLKSEVGNVNSKHLVLALKVLRVCLILDDNKDDVMSLGADSAERDDSASDTEFSDSNEDQYIVAPVEKKNDQDKGDMVDEDDTQDPPEDEQQLVRVKDWISTYHLDRVEVTDVLSNFPNISVVLIEDNLEKLVKEGILSSAGRDTYITKMQKKFDY >Solyc01g008320.3.1 pep chromosome:SL3.0:1:2361807:2396361:1 gene:Solyc01g008320.3 transcript:Solyc01g008320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYCSQVPVRHLVFMVHGIGQRLEKSNLVDDVSDFRHITSILAERHLTSYQRGTQRVLFIPCQWRKGLKLSGEAAVERCTLDGVRGLRVLLSATVHDVLYYMSPIYCQAIIDSVSNQLNMLYLKFLKRNPGYSGKVSLYGHSLGSVLSYDILCHQTTLSSPFPMEWMYKEQNENESSQQDQSNLSLDQNSALSSDDETSIRKGNKSDLSDKDKMNVEPSLSESVEDRTEDFCHPVGPPASSDSDEPVASDDIREPNDSSANENFRETPIDERDTINDAENVEDGIFEFNQKIDEGVSECEKDRTINSLRKEIDMLRAKIQELDTECIKKGCVMEAENGGTNAATRNQSIPEESDSAKSYTPQLRYTKLKFKVDTFFAVGSPLGVFLSLRNVRIGIGKGKDYWEEDNIVEEMPACRQMFNIFHPFDPVAYRIEPLVCKEYLNKRPVIIPYHRGGKRLHVGFQEFKEEVSLRSHAFVNNINTVKVKVITLCQSRDKDGEDEGSQESQEIEERSYGSIMMERLTGNEDGRIDHVLQDKTFRHAYISTLGAHTNYWRDNDTALFMLKHLYRDIPEDSYSSCEPVEGSSKDDRDTTTWYDQREEVDEEFPLTFADKCSNKESVEGISVLCKRGLEIPCTVSEYDVNEGRQESDYIDQEMDSAAMTSVCGKSALCSTPGLFLGRTNGIRSSQCSFIAGNRINFPRQTAQAHRVRTNSRKGHGALTVTCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSNQSYLVIPNVLSAAISRGCTMLHPGYGFLSENASFVEMCREHGINFIGPNPDSIRVMGDKATARDTMKNAGVPTVPGSDGLLQSTEEGVKLAEEIGYPVMIKATAGGGGRGMRLAKEPNEFVKLLQQAKSEAAAAFGNDGVYLEKYIVNPRHIEFQVLADKYGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTIEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKNFRPGPGRITSYLPAGGPFTRMDSHVYPDYVVPPSYDSLLGKLIVWAPTRERAIERMKRALDDTVITGVPTTIEYHKLILDIEDFKNGKVDTAFIPKHEEELAPPQQIVPAASKELINANA >Solyc06g051810.3.1 pep chromosome:SL3.0:6:35573917:35584964:-1 gene:Solyc06g051810.3 transcript:Solyc06g051810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSGEDTDISESEIEEYGEKSYEDLKSGSRSLKISDVAYTCPYCPKKRKRDFLYKELVQHASGVGSCSSNKRTAREKANHLGLAKYLETDAAVAADSSKPDAEPDTQTDPLADHDRDEMFVWPWIGVVVNIPTEYKDGRNVGESGSKLRDQLTRRGFNPTRVRPLWNYQGHSGTALVEFNKDWSGFGNAMAYEKAYEADHHGKKDWKVNHSKNSDLYAWIARADDYKAINIIGENLRKVGDLRTISDIMEEEARKTNKLVSNLTNVIEVKKLHLKEMADKFKETSQSLKQLVEEKDKLHQAYNEEIRKIQSSARDHFQKIFNDHEKLKLQLESQKKELELRGRELEKREAKNESDRKKLSEDLEQNATLNTSLSAAAEEQRKVDEKVLKLAEEQKRQKEDLHKRIIQLEKQLDAKQAVELEIEQLRGSLNVMKHIEDEGDQEVLKKVDTLLKSLREKEEEYDGLEALNQTLIVKERNSNDELQDARKELVNGLKELPRVGPIGVKRMGELDNRPFHEAMKRNYNESEADERATELCSLWEEYLRDPGWHPIKVVMINGKPENVIDEEDEKLKDLKRNYGEEVCKAVTAALMEVNDYNPSGRYIISELWNYAVNKKATLEEGVTVLLNMWKKKRGSD >Solyc02g080290.3.1 pep chromosome:SL3.0:2:45109362:45122667:1 gene:Solyc02g080290.3 transcript:Solyc02g080290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAMFCQIAICMLFCGFTLSSCHQTEILNIIKENSNQVSSSSNFLFGTSSSCYQYEGAILSDGKGLNNWDVFTHQAGHIKDGSNGDVAVDHYNRYLEDIKLMEDMGVNGYRFSISWARILPKGTFGDVNMAGIEHYNKLIDALLQKGIQPLITLTHYDIPQELEERYGGWLSSQIQDDFSYYADICFKYFGDRVKYWTTINEPNLMAVRGYRLGTYPPSRCSGIVGNCSAGDSEREPFIAAHNMILSHAAAVSTYRTRYQKRQGGMIGISLDTQWYEPFSNSPEDIAATERARSFYVNWFLDPIILGRYPKEMVEILGSNLPDFSKNDLSKLSYGLDFIGINYYTAKYIKDCLYSACEHGNTWSEGSFFETREKDGVYIGQPTEVDWLFLYPQGMKKIVMYMKDRFNNTPMVITENGIAENDNLNPSITDTLNDIHRVNYMHSYLNSLANAITDGADVRGYFVWSLLDNFEWLDGYKLRFGLHYVNYTNLQRTPKLSATIFIISSCHQTDILNIIKKNPNQVSTSSNFLFGTSSSCYQYEGAILSDGKGLNNWDVFTHEAGHIKDGSNGDVAVDHYNRYLEDVKLMEDLGVNGYRFSISWARILPKGTFGDVNMAGIEHYNKLIDALLQKGIQPLITLTHYDIPQELEERYGGWLSSRIQDDFSYYADICFKYFGDRVKYWTTINEPNLMAVRGYREGTYPPSRCSGIFGNCSAGDSEREPFIAAHNMILSHAAAISIYRTRYQKRQGGMIGISLDTQWFEPFSNSSEDIAAAERARSFYVNWFLDPIILGRYPKEMVQILGSNLPDFSKNDLSKLSYGLDFIGINYYTAAYIKDCLYSACEHGRTWSEGSFLDTREKDGVYIGQPTEVDWLFLYPQGMKKIVMYMKDRFNNTPMVITENGIAENDNLNPSIIDTLNDFHRVDYMRSYLNSLANAITDGADVRGYFVWSLLDNFEWLDGYKLRFGLHYVNYTNLQRTPKLSATSVVAGHIEDGSNGDVAVDHYNRYLEDIKLMEDMGVNSYRFSISWARILPKGTFGDVNMAGIEHYNKLIDALLQKGIEPFITLTHYDVPQELEERYGGWLSSRIQDDFSYYADICFKYFGDRVKYWTTINEPNLMAVRGYREGTYPPARCSGIFGNCSAGDSEREPFIAAHNMILSHAAAISIYRTRYQKRQGGMIGISLDTQWFEPFSNSSEDIAAAERARSFYVNWFLDPIILGRYPKEMVQILGSNLPDFSKNDLSKLSYGLDFIGINYYTAKYIKDCLYSACEHGNTWSEGSYLATTEKDGVYIGQPTGAPWIFLYPQGMKKIVMYMRERFNNTPVVITENGIAENDNLNPSITDTLNDSHRVNYLYSCLNSLANAIREGADVRGYFVWSLLDNFEWLHGYKLRFGLHYVNYTNLQRTPKLSATRYKQLMYNFHIQLETHIAQN >Solyc03g025240.2.1 pep chromosome:SL3.0:3:2722156:2723042:1 gene:Solyc03g025240.2 transcript:Solyc03g025240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNVFSSFIKHVSVLFFLSFSMNIDGWEVMLFIGINAAISVRVSNELGQGHPRATKYSVYITMFQSLLIGILCMISVLVVRNHLSVLFTNSKDLQRAVADLAWLLGITMVLNSVQPVISGVAIGGGWQSSVAYINLGCYYVFGIPLGCALGYVANFGVVGLWGGMIAGLALQTLILSFVIYRIDWNKEFIAKQYVVINMKI >Solyc07g008240.3.1 pep chromosome:SL3.0:7:2965816:2968253:-1 gene:Solyc07g008240.3 transcript:Solyc07g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-symbiotic hemoglobin class 1 [Source:UniProtKB/TrEMBL;Acc:Q9AWA9] MSSFSEEQEALVVKSWGSMKKDAGEWGLKFFLKIFEIAPSAKKMFSFLKDSNVPLDQNPKLKIHAKSVLVMTCEAAVQLRKAGKVVVRDSTLKKIGATHFKYGVVDEHFEVTKYALLETIKEASQEMWSVEMKNAWGEAYDQLVSAIKTEMK >Solyc05g023760.3.1 pep chromosome:SL3.0:5:29286719:29289267:1 gene:Solyc05g023760.3 transcript:Solyc05g023760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVLKLCFLCLQLISVHCHGRILKDDNSSSDQFKNRFQRIFLSILFGMFTGLICALVFAWLVRSFVRYINKAPILKGPVVFSPKIPPKTLQSALDNDTQLIGSSNSGKYFRTVLDNGLTVAVKRMEPGSPQLHTKSFKRRIQHELELIAGLRHRNLMSLRAYVRESNTFFLVYDYVNSGSLEDVMNKVRENQLQLTWEVRLRIAVGIVKALQYLHFSCNPTVLHRNLKPTNVMLDAEFEPRLADCGLAKIIPTLNLPAASNYGPPESFQSCSRYTDKSDVFSFGVILGVLLTGKYPTDPFFGDTSTGGSLACWLQRLQEAGDAREALDKSILGEEVEEDEMLMAVKIAVVCLSDMPADRPSSDELVSMLTQLNSF >Solyc06g076690.3.1 pep chromosome:SL3.0:6:47760364:47763691:-1 gene:Solyc06g076690.3 transcript:Solyc06g076690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKAFLELTQGESDRVSSLAFPPHRLGTECSFYEYYSLRGIRVDRIEPGQLFCTFKVPPRLTDREGKLASGAIANLVDEVGGAVVYVEGLPMNVSVDMSISFLSSAKAGRLLGGILLVRLAWEFEDMKDELEVIGRVLGQKGGYSGTSVLVKNKTTGELIAEGRHSLFGKHASKM >Solyc11g013895.1.1.1 pep chromosome:SL3.0:11:7346379:7346663:1 gene:Solyc11g013895.1 transcript:Solyc11g013895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEYEVTDSWAKEIVINITPEYNDWLCYEMINVLKVFEDGEVLFLWRDDFLFLHHPVKKTLKLLDIREGNLLACGHVSSSLSLNNFEAEVVNVF >Solyc04g011670.3.1 pep chromosome:SL3.0:4:4149532:4155300:1 gene:Solyc04g011670.3 transcript:Solyc04g011670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSTYTQFVASRRMGICEPIHQIGMWDDFNASCPSTSTTMILEVEKCLEDQIPMMDKRLDIETEDTSHGTVGTSNRYEAETSKPIEKVLRRLAQNREAARKSRLRKKAYVQQLENSKLKLIQLEQELDRARKQGLYVGAGLDASQLSYSGTASSGTAVFDTEYGQWVEEQNRQTNDLRNALHHSQISEAELRIIVDGCLNHYYELFRVKATAAKADVLYIMSGMWKTSAERFFMWIGGFRPSELLKVLTPHLELLTEQQLREVCNLRQSCQQAEDALSQGMVKLHQILAEAVAAGQLGEGNYSLPQMGPAIEKLEALVRFVNQADHLRQETLQQMSRILNTLQAAQGLLALGEYFERLRVLSSHWATRLHEPA >Solyc02g088100.3.1 pep chromosome:SL3.0:2:50926769:50928715:-1 gene:Solyc02g088100.3 transcript:Solyc02g088100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:Q9ZP31] MALLAILLMGISLMFQSAHGYGGWINAHATFYGGGDASGTMGGACGYGNLYSTGYGTNTAALSTALFNNGLSCGACFQLMCVNAGQYCLPGIITVTATNFCPPGGWCDPPRPHFDLSQPIFLRIAQYRAGIVPVAYRRVPCRRSGGIRFTINGHSYFNLVLVTNVGGSGDVHSVYIKGSRTQWQPMSRNWGQNWQNNAYLNGQSLSFKVTTGDGRTVVSYNAAPSSWSFGQTFSGGQFR >Solyc12g044880.2.1 pep chromosome:SL3.0:12:61177753:61184442:1 gene:Solyc12g044880.2 transcript:Solyc12g044880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/Swiss-Prot;Acc:P48980] MGFWMAMLLMLLLCLWVSCGIASVSYDHKAIIVNGQRKILISGSIHYPRSTPEMWPDLIQKAKEGGVDVIQTYVFWNGHEPEEGKYYFEERYDLVKFIKVVQEAGLYVHLRIGPYACAEWNFGGFPVWLKYVPGISFRTNNEPFKAAMQKFTTKIVDMMKAEKLYETQGGPIILSQIENEYGPMEWELGEPGKVYSEWAAKMAVDLGTGVPWIMCKQDDVPDPIINTCNGFYCDYFTPNKANKPKMWTEAWTAWFTEFGGPVPYRPAEDMAFAVARFIQTGGSFINYYMYHGGTNFGRTSGGPFIATSYDYDAPLDEFGSLRQPKWGHLKDLHRAIKLCEPALVSVDPTVTSLGNYQEARVFKSESGACAAFLANYNQHSFAKVAFGNMHYNLPPWSISILPDCKNTVYNTARVGAQSAQMKMTPVSRGFSWESFNEDAASHEDDTFTVVGLLEQINITRDVSDYLWYMTDIEIDPTEGFLNSGNWPWLTVFSAGHALHVFVNGQLAGTVYGSLENPKLTFSNGINLRAGVNKISLLSIAVGLPNVGPHFETWNAGVLGPVSLNGLNEGTRDLTWQKWFYKVGLKGEALSLHSLSGSPSVEWVEGSLVAQKQPLSWYKTTFNAPDGNEPLALDMNTMGKGQVWINGQSLGRHWPAYKSSGSCSVCNYTGWFDEKKCLTNCGEGSQRWYHVPRSWLYPTGNLLVVFEEWGGDPYGITLVKREIGSVCADIYEWQPQLLNWQRLVSGKFDRPLRPKAHLKCAPGQKISSIKFASFGTPEGVCGNFQQGSCHAPRSYDAFKKNCVGKESCSVQVTPENFGGDPCRNVLKKLSVEAICS >Solyc02g089980.3.1 pep chromosome:SL3.0:2:52304520:52310128:1 gene:Solyc02g089980.3 transcript:Solyc02g089980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEGFSTSKFPENRVTSSKHTRSKSFPVKPEQEENIVDHSVETSNRLKLDTSHGNDCNVSEKKPSSSAEIQSSLRQEIMQLERRLHDQVAVRCALEKALGYKSSSQDVNEVTAMPKPATELIRDIAVLELEVGHLEQYLLSLYRKAFDQQVSSLSPPTKDDKLKSPISTPRRRLDFSNSDVILKREKSTSRVDSQSELYPRKENNCMVEDKINESGVHRSHSSLSQRSALSSRASPPEETLGKTLRACHSQPLSMMEYAQNASSNVISLAEHLGTRISDHVPDTPNKLSEDMIKCMCTIYSKLADPPLTNPGLSSPTSSLSSISAFSPKDIWSPGFRNDSSFDVRLDNPFHVEGLKEFSGPYSTMIEVQCVYRDTQKLGDIEPMLQHFRSLISRLEQIDPRKLSHEEKIAFWLNVHNALVMHAFLAYGIPQNNVKRIFLLLKAAYNVGGHVVSADMIQNSILGCRMSRPGQWLRLLLSSKGKFKTGDERQTYAIEHPEPLLHFALSSGNHSDPAVRVYTPKRVHEELEVAKEDYIRATFGVKKDQKIVLPKVVESFAKDSGLCPAGVLEMIQQSLPESLRKSIKKIPQGKGRKNIEWIPHNFAFRYLIMKDLVK >Solyc07g041900.3.1 pep chromosome:SL3.0:7:54626103:54628551:-1 gene:Solyc07g041900.3 transcript:Solyc07g041900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLYSNNPSHITIITMSRLSLVLILVAGLFATALAGPATFADKNPIRQVVFPDELENGILQVVGQTRSALSFARFAIRHRKRYDSVEEIKQRFEIFLDNLKMIRSHNRKGLSYKLGINEFTDLTWDEFRKHKLGASQNCSATTKGNLKLTNVVLPETKDWRKDGIVSPVKAQGKCGSCWTFSTTGALEAAYAQAFGKGISLSEQQLVDCAGAFNNFGCNGGLPSQAFEYIKFNGGLDTEEAYPYTGKNGICKFSQANIGVKVISSVNITLGAEYELKYAVALVRPVSVAFEVVKGFKQYKSGVYASTECGDTPMDVNHAVLAVGYGVENGTPYWLIKNSWGADWGEDGYFKMEMGKNMCGVATCASYPIVA >Solyc03g007350.1.1.1 pep chromosome:SL3.0:3:1907176:1909413:1 gene:Solyc03g007350.1 transcript:Solyc03g007350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIDIDKTSMKLYRMKMIIRHSLTGTWRHQRWKWSFRFCSNMCCNSNNTHLSIVSTNIAITELAKKGLLEQARNLFDKMPQRSIVSWNTMISGYSEWDKFSEGLSLVSLMRSSCIKLNESTLSSVLSVCARSQSLNRGKEVHGLVLKSGYQSFQLVGSALLYLYSTCHEIEDARMVFDVLHRENELLWSLMLVGYVKCNLLTDALEIFRKMPTRDVVAWTTLISGYSKVEGGCQKALELFRSIREDTELVPNEFTLDCVLRVSGRLGSLYGGRAVHGLVVKFGFEWDHSVSGALIDFYCSCEVLDDAMLVYSALVNPSLNNSNSLIGGLILAGRIEEARYLFNGLIKQDHVYNLMIKGYAMAGQVEESKQLFLEMSERNLTSINTMISVYSRNGEIDKAVELFEEVKVQGNSVTWNSMISGYTQNYEHESALKLYTTMRRLTISQTRSTFSALFHACSCLGSLQQGQLIHADLIKTPFESNNYVGTAVVDMYSKCGSLNDAQTSFHSIAYPNVAAWTALINGYAHHGLGSEALVLFHQMLDEGINPNAATFVGVLLACTCVGLVGDGTRLFHVMQEYYGIAPTLEHYTCMVDLLGRSGHLHEAEKLVNRMTIEPDSIIFVALLNACWFWMDVEVGERVAEKLLSLDPNSTSSCVIMANMYAGIGRWWEKMRVRKAMKKLQYRKDPGYSWIELNNKVQFFSVDNRTHPFCDTIYSTLANLTANVDSEINFDCTSKLFGESELLS >Solyc05g055563.1.1 pep chromosome:SL3.0:5:66001491:66002897:-1 gene:Solyc05g055563.1 transcript:Solyc05g055563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILLKMAQVHVLRLPVQIMVIGKRHYGRSTNFIFLGSSTVIGIRYRCLSTLLLIVCRNSGLTVCVLKAEVGCMWKLYLSSVDFSAPFLCQLSYYYLPAVNAHKSILLKMFMRFRIETNNSRRSFHSCKKRSIDDVLIPIESCHMYDLSGTRIKHETELQQM >Solyc02g050180.3.1 pep chromosome:SL3.0:2:4137645:4171526:1 gene:Solyc02g050180.3 transcript:Solyc02g050180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLQLLASWKDPWKSILFMVFVSYAIIREWIKYALPSLLVVLAVIMFWRRNVRKGKPLEPLKVIAPPPKNAVEQLLILQEAITQLEALIQSGNIILLKVRALIFAVLPQATDRTALLLVIVALSFAFVPLKYLILFAFVESFTSNMPLRKIGSERDLRRVREWWIRIPAAPVQLIKPDDKKDKSKKPIFKKEKKHEE >Solyc12g008750.2.1 pep chromosome:SL3.0:12:2107865:2116716:1 gene:Solyc12g008750.2 transcript:Solyc12g008750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNENGLPQITPCDTGGDNEIAGVDNGEEEHGSSKRRSSSKHSSRDYEGERESSRSRDREGDKGRDKDRGRSRDRDRDQEKDRDRRHSYRSERHKTRGRDDDANVSDGGPESREYWQKDYDRERDERHKHRSKSYEKEREEGHRHRSKSYDKDREERDRHRSKSYDKDREDRHGRRSHSRGTSSRRSRSRSRSRSRSRSKSSCSGLYVISVMSVILVVTENLIDEANVSSFIGADLQIKQKYPHSYDSKRVSGFDMAPPTSALLSGAPALTGLLPGTAPAIPGMLPNMFPLASGPLGAIPVLPVQAMTQQATRHARRVYVGGLPPTANEQSVATYFNHVMSAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGTQVKVRRPTDYNPSLAATLGPSQPNPNLNLAAVGLSPGSTGGLEGPDRIFVGGLPYYFTEAQIRELLESFGPLRGFNLVKDKETGNSKGYAFCVYADVSVTDIACTALNGIKMGDKTLTVRRASQGTLQPKPEQEIVLMHAQQQIALQRLMLQPGGPPTKVLCLTHVVSPDELKDDEDYEDIVEDMRMECGKFGNLVNLVIPRPRSDGEPTPGVGKVFLEYADVESSSRAQQGLNGRKFGGNEVVAVFYSENKFSEGDYEG >Solyc09g042690.2.1 pep chromosome:SL3.0:9:25099441:25100106:-1 gene:Solyc09g042690.2 transcript:Solyc09g042690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQACLVSPELEFVVVNCCSVDTLSLPAGLEFRKSSAMSITCKTNRKSKKGKGKARNGEQLSGFIMGFSPEIEFGRLVWWVVFRRCFAGKMEEAEMARVEVWFLAGFEWWNGGDVWWFVVGE >Solyc01g106320.2.1.1 pep chromosome:SL3.0:1:94139441:94141307:-1 gene:Solyc01g106320.2 transcript:Solyc01g106320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSYTSYPESGDSSPRSREIDFENTAAWEDPNQIPNYKVKFMCSYGGKIHPRPHDNQLAYIGGETKILSVERNTKFPLLISKLAAICDTDTVSFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASPKPARLRLFLFPANTPATVGSGVSQSRSFGSEDSKSEKDRFVDALNSGPIQASPAAGAVAQQVGNADFLFGSEKGMVPPHVKLRDPNSEQVVKEPEMPVHGVDDRMMGTDPIQKHIQDLQRLHLEEQQNLYRRKSDDNLTAAFPGGDYYVQRGPEKIASPTVPGTVPAQVGYWQEKQVPGGVLPASSLGMEQPVYMIHQAPAGAYQPHQHQHQHPQFVRPMAGPPGQGYYAVQRMPQEPYRDQPVYNVMPSLPQAVPTVAAAPPTLQSQVPPKVAGYSEGYGMVRPATTMGVGVADAGGYTQMAYDGGVGRQMYYPPQGGVIAPPQQQPQYHAMPPQAVVGSDMRPQEAAKVVPVKPTQTSV >Solyc02g033085.1.1 pep chromosome:SL3.0:2:30217461:30218379:1 gene:Solyc02g033085.1 transcript:Solyc02g033085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYGYVNCGVTLAIKDKIPTSPSKGRVIVIGAGLAGLAAARQLMLFGFEVIVLEGRKRVGGRVYTKKMEGGNKIAASDLGESVLTGTLGNPLGVLARQLSYTLHTVRDQCPLYHADGTPVDEYLDKKSGEALETLREDSSVSMNDEEMNLFNWHLANLEYANASLLSKLSLAFWDQDDSYDMGGDHHFLPGGNGRLIHALAENVPISFEKTVHTIRYSRDRVKVITAGQVFEGDMVLCTAPLGVLKRGSIRFFPEFPQRKLDTIRRLGFGLLNKVALLFP >Solyc11g006830.2.1 pep chromosome:SL3.0:11:1397172:1400765:1 gene:Solyc11g006830.2 transcript:Solyc11g006830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCLGVSRKSASEIAPADVLKKYPAVKLYGNPNSVTTYYIRCALLYKPVTVNFVPSDTHQSPAVEYKSDSVTGSVDSVLRYLDMKFPEPKLLTGSIGGWYDETTPFVVWLVILQHRSIMWHLERMGRWAEDLAARGGKARGDPAMGTPRMEVRKFARGYSQLLELMLEHAQMEERVVFQILEKADRGLSKAANEEHARDLPMMNGIKEDIKSIGVLDSGHPAYQDALCNLSTRLKTLKEHSKKHFEEEEKNLLPLMEAAELSKAQQDKVLDQCLDVMHGTHSHLFRFFMEGLLPPDAMHYLDMLSRCSDQNRVSTMLRLITDKAV >Solyc03g097460.3.1 pep chromosome:SL3.0:3:61223172:61233128:-1 gene:Solyc03g097460.3 transcript:Solyc03g097460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTCLSEGRGYYFPPCHIVNICGFRVLLDCPLDLSALAVFSPLPIVISSLLDEKASIHSGQSSSNSESVRQEVSELLDSKKLIQAEPWYKTVKSLQLWSIYSIDVVLISSPMGMLGLPFLTRLKDFRAKIYATEAASRLGKLMMEDLISMHMELRQFYGPEESGCPQWMTWEKLELLPRALKDIVLGSDRTELGGWMSIYSAADMKGCMEKVQFLKYAEEACYNGSLTIKALSSGLEIGACNWNILSPKGNIIYLSGSVFASATATSFDYKALEDSDVLLYSDFAACNDVDGEKNDFPPATGSSYSSNIGSCWETIPESWLDSDEYSEEMEKVSFICSCVLDTISDGGSVLIPIGRPGVMLQLLENISLSLESSNLKVPIYFVSSVAEELLAFSNIIPEWLSSQLQERFCSGQPLFTHIQLSNEKKLFVSPAIHSSKFLTSWQEPCIVFCPHWSLRLGPVFHLLQRWCADPNSLLIIEERADIKLALLPFEPMSMKVLQCSFISGIKLKKAIPLLKILQPKHVLVPESLRPHISCWNPKFSVQSFSENETVVVPKLKAFADMDIAMDVASELLIDTKMIEGKNIARLKGELLIEQGKYRCVVRNKQVLSSQVRPLLFVGRVDLDSLLMALKKMGMKVAAQSSGGSEHVSLIFISEPSEALIEVTSTQTTISVGDETTASLISEAVRSTSVCF >Solyc03g083820.2.1 pep chromosome:SL3.0:3:55147223:55150956:-1 gene:Solyc03g083820.2 transcript:Solyc03g083820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCFPQPPAHKGGYYDAGDNVKFHFPMAFTSTMLAWSVEQFKNSMGPDLQHAMEAIRWSTDYFLKATNIPNVVYAQVGNAENDHNCWERPEDMDTPRTAFAVTAQAPGSEVSAEIAAALAAASVVFKRTDPAYSKLLVNRAVQTWKAAYQNSDGMPSMLVSMCLSPRWTHVQIRDL >Solyc11g066540.2.1 pep chromosome:SL3.0:11:52581785:52609012:-1 gene:Solyc11g066540.2 transcript:Solyc11g066540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDETPVSIIFFLFSLKLKYIIFSHGCHSYQPTKLLNWCIPGTEGKMCRC >Solyc03g025585.1.1 pep chromosome:SL3.0:3:3013074:3016496:1 gene:Solyc03g025585.1 transcript:Solyc03g025585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIEVPFGATWVEATMRTYGFDTARRFFIDTVQLFPLQRPIKWESVATFSSPSSKNFAFRVEGGQTMELAIAQCWFSGIGSHETTIVDFEEFLEENRCLEVVLRKASASSALIGEARKMLEEEVNFKCWKLLQRDSPSSRNDWQLRENRAIAIDKGTEKKFPDIIKKGRMGRPDQGLTAIGNGPIEKLNLLILSQRVEKLNTTETDRTTIIAFRGINISKEEVVLDGSEAPVRIDAEALLSTEKLVPSAVLNKAGEILT >Solyc06g060290.3.1 pep chromosome:SL3.0:6:38375934:38381709:-1 gene:Solyc06g060290.3 transcript:Solyc06g060290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVWISLFLCVFALLGSESYASENEDTQSKEFVVTLDHSNFSDFVGRHKFIVVEFYAPWCGHCKKLVPEYEKAAEILSQNDPPVVLAKVDANEEQNKALASEFDIKGFPTLKILRYGGSVVQDYKGPREADGIVSYVKKQSGPASAEIKSSKDAEDFIDVNKIIIVGVFPEFSGEKFENFTAVAERLRADYDFGHTLDAKLLPRGDSSVSGPVVRLFKPFDELFVDFQVFDVDALAKLVEEATIPTVTVFNKDPNNHPFVVKFFNSPNAKAMLFVNFNIFDSFKSKYHEVAEQYKGNDISFLIGDVEASQGAFQYFGLKEDQTPLIIIQTNEGEKYLKTNVEPDHIASWVKEFKDGKVKPYKKSEPIPEVNNEPVKVVVADNFQDMVFNSGKNVLIEFYAPWCGHCKQLAPILDEVAVSFESDADVMIAKIVSFPLDSSLSHNSGIYWRICLLLDATANDYSQGTFEVKGYPTLYFKSASGKLLQHQGGRTKEDIIDFIQKNRDKAAEQSPGKDEL >Solyc01g081210.3.1 pep chromosome:SL3.0:1:80350300:80352136:-1 gene:Solyc01g081210.3 transcript:Solyc01g081210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDALKCVEIEHHDEEQQILIPGLPNHLAHLCLSNLHPSLLYRVCKSWRRLIYTSSFPLFYCLYAVCSRSSNSTATSTAYNKNNSTSTNSTITTSNLEFFCLDPISSKWTQLPNPPTSDPPIHILRHHPSFISRSLSIQSLTVAERMILIAATTHKFLPALERPLGFDPSCNKWFFGPNLSTPRRWCGSSSVNGNVYVASGMGSAYHGDVARSLEKWDVKEKVDQWKWEKLKGLKDGRFCREFVDAIGYRGKLCMVNIKGRAVKQGTIYDVTKDEWKEMPKGMLEGWIGPSSATTTTTINDESEMYVVDETKGSLSKYVSETDQWEELIESCDDLKGAEQITVGRGRVCVICGRGRLIVVVDVSVTPVNVIRVVSTPPELEAIALHILPRISV >Solyc11g006450.2.1.1 pep chromosome:SL3.0:11:1140974:1141471:-1 gene:Solyc11g006450.2 transcript:Solyc11g006450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKVSEGLKDQLGEDKFNYYGYGFVFSFGIMVILIVLTYTSYLCIRFRSRNNPTISISTTTTVTRVGNGLIFIQQGLDEATLRSYPKLLYSQVKSHKGDFASSCSGCSICLGDYKDNDMLRLLPHCGHLFHLMCIDTWLRLHPTCPICRNSSLSSPSVEVSTT >Solyc09g090950.2.1 pep chromosome:SL3.0:9:70816716:70817705:-1 gene:Solyc09g090950.2 transcript:Solyc09g090950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGVRVEDSGVGSRIGVRVGSRVGCQGLGKILGLDRVLRSRAEIGFRSQMMVVFRLSRFKSWILNQMLGSESTIVYGRSRVLDREGWNPIQKLHHEFSNQESGLHSRTSGLKRSLHFLSLEIVESVTNEFSAIYCLWSVMGEDPVAAFLLAAQM >Solyc11g030783.1.1 pep chromosome:SL3.0:11:23531725:23543566:1 gene:Solyc11g030783.1 transcript:Solyc11g030783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKGKTTLLLAQEAKGMSSAGSSPHFFFSGPIPHALCAPLALYFPLILHWKVRMDFAVLSQLKSKGLYHVDIPVPARVVPKAGREHLSIRSRAVTGSPITPKVNINSPNQACIPIRVATDSVSYLFGAWRNAFVPPNILTRGNLPAAQRPITLVTTPTGLGRMTERGRFPELHRKKGPKRRAYSLRLLPPVRVAARPALEGKISKAGKERGSIILTASRELADRRSIQNGLCVNFVGRNYPFHSGLRGIKKLNLRIKDR >Solyc02g065270.1.1.1 pep chromosome:SL3.0:2:37009483:37009632:1 gene:Solyc02g065270.1 transcript:Solyc02g065270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEFQQWQIDNIESVTDVKEIKGADHMAMLYMPKQLCDTLLEIVQKYN >Solyc06g073700.3.1 pep chromosome:SL3.0:6:45609403:45612475:-1 gene:Solyc06g073700.3 transcript:Solyc06g073700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA-associated Sm-like protein LSm8 [Source:UniProtKB/TrEMBL;Acc:K4C988] MASGLGLESLVDQTISVITNDGRNIVGVLRGFDQATNLILDESHERVYSTKEGVQQLVLGLYIIRGDNISVVGELDEDLDSNLDMSKLRAHPLKPVVH >Solyc11g066900.2.1 pep chromosome:SL3.0:11:52994366:53003541:-1 gene:Solyc11g066900.2 transcript:Solyc11g066900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDPNKRPRPGPWPPAPESAAMPPTSWAKKTGFRPKFSGETNASDSGQIGIQQQQSTRRRESDPNLDLEAGRVRPRQEKVNGETTGEKDKPPVRKRRDSDGGGGSSGGGGGVAKSTNGQAVGTTATAEPAAVATTAAAAQQPSRRVSRNEEVVDVLPQVVDDDGFMSRHSHMKYELRDTPGLVPIGLYGFQHYLSILGSLILIPLVIVPAMGGNYEDTSNVVSTVLLVSGVTTLLHTSFGSRLPLIQGASFVYLAPALAIINSPEFLALKGNKFKHIMKELQGALIISSAFQAILGYSGLMSVLVRLINPVVVAPTVAAVGLSFYSYGFPQIGTCLEIGAMQILLVILFSLYLRKISVLGHRVFLIYAVPLGLAITWSVAFLLTAVGVYSYKGCDVNAPVLNIISDHCRENIPKMKHCRVDALHALGSAPWFRFPYPLQWGMPVFHWKMALVMCVVSIISSVDSIGSYHASSLLVASRPPTPGVLSRGIGLEGLCSLLAGLWGTGTGSATLTENVHTIAVTKMGSRRAIELGACVLIVLSLIGKVGGFIASIPDVIVAGLLCFMWTMLTALGLSNLRYSEAGSSRNIIIVGLSLFLSLSIPAYFQQYGITQKSNLQVPSYFQPYVVASHGPIRTNFGALNYVLNTLLSLHMVIAFLVAVVLDNTVPGSRQERGVYVWSDPETAKREPAVAKDYGLPFRVGKLFRWVKWVGL >Solyc09g031520.2.1.1 pep chromosome:SL3.0:9:30765898:30765978:-1 gene:Solyc09g031520.2 transcript:Solyc09g031520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSELHFGSGEVVVYSTCLIPVSLPQR >Solyc03g116340.3.1 pep chromosome:SL3.0:3:67305883:67309101:1 gene:Solyc03g116340.3 transcript:Solyc03g116340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTENPAPIVEKDTTDAETSLDSSHLRKKIQKKVPKRIHKAEREKMKREHLNELFLGLADALELSEQMNGKASVLSEAARFVKDMLSQIKHMRTENTTLLSESQYLSVEKKELEDENTVLEAEISKLQNEVKAREAETSLDLNLAPPEIHHTEFASQTNYMRLPASEHGFQQSQMMNPVYVFPLSSNPQAYPAPDAADPMAMPSSTVKKPQPRYPTPNDVWPSQILEKRPQLLRQEVQDGA >Solyc04g080620.3.1 pep chromosome:SL3.0:4:64819420:64823919:1 gene:Solyc04g080620.3 transcript:Solyc04g080620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYVFFLYFIYFFHNVKANVINDDFIKTKGVHFMLNDSPFYANGFNAYWLMLIGSDPSQRHKVSSVFQDAANHGLTVGRTWAFSDAGYSPLQFSPGFYNEIMFQGLDYAIHEAGKNGIKLILSLVNNYNDFGGKNQYVNWAKSQGQSLTSDDDFYTNSVVKGYFKNHIKAVVTRINSISGIAYKDDPTIMAWELMNEPRCSSDLSGSTVQKWISEMASYTKSIDSNHLVEAGLEGFYGNSDTQKNPNFQVGTDFIANNQIPEIDFATVHSYPDQWLTGQDDEAQLNFLTNWLKVHIEDSQTILKKPIIFAEFGKTTKGPGFTPQQRDVIFNTVYSSIFSSAKGGGAAAGGLFWHVLAEGMDSFKDGYEIILSESSSVSDIIIQQSKRLNKIRKMYARLKNIEKWKKARKLKD >Solyc11g069880.2.1 pep chromosome:SL3.0:11:54783514:54789706:1 gene:Solyc11g069880.2 transcript:Solyc11g069880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLALILSLCIIFTTFISSSYSISSCNGPCKSLNDCDGQLICIKGKCNDDPDVGTSICGGGGSSPSPPSTGSTSGMLTLNDFSEGGDGGGPSECDEKYHDNNERVVALTTRWYDNGSRCGKMIRIRANKNGKSVTAKVVDECDTKDGCKNNVVDAILSLIFTIFISTSNAISQCNGPCKTWDDCDGQLICINGKCNDDPNVGTKVCKNSPPSVPSPTPSNTCRPSGTINCNGVHPIYRCSPPVTSSTPAQLTLNNFARGGDGGGPSSCDGKYHDNNESIVALSTGWFAGRSRCGKMIRIRANNGKTVTAKVVDECDSTMGCDAEHAFQSPCKNNIVDGSIAVWRALGGKNGGSLSSCDQKYHNNNKRKVALSTGWFAGGLMCGKMISIRTKSNRRIVIAKVVDE >Solyc12g042065.1.1 pep chromosome:SL3.0:12:58023698:58025441:1 gene:Solyc12g042065.1 transcript:Solyc12g042065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCCLQLPEEAKELEKELRQITKEKNEAVRGQDFEKAGELRDREMDLKAQITALIDKNKEVSKAESEAADTGPLVTEADIQHIVSSWTGIPVEKVSTDESDRLLKMEETLHTRIIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEFMERHTVSKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNMMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRRIGFDLDLDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKEIADIMLKEVFERLKVKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLANEIKEGDSVIVDVDSDGNVTVLNGSSGTPSDPAPEPIPV >Solyc04g053140.2.1 pep chromosome:SL3.0:4:51680476:51692173:-1 gene:Solyc04g053140.2 transcript:Solyc04g053140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFPLLPSSKTDKKRPVKIFRAFRNVLRSFPIITPVCKLPSLPGGRIPETKVGVSGTLFGYRKGRVSLSIQENPGTLPTLVIDLAMQTNTLQKEMSLGMVRIALECEKRPDHKEKMKLLDEPCWTMFVNGKKCGYCSKRDATEEDLHLMEVLKAVSMGAGVLPPKPDVQGQVDDEMAYMRAHFERVVGSKDSETLYMLSPDGKSEPELSIFFANSCEFRGKTLVSIREFYNKEGKESPTTKGYPSIVEAVVAVLLHHQPQYHGFK >Solyc01g106250.3.1 pep chromosome:SL3.0:1:94082002:94085935:-1 gene:Solyc01g106250.3 transcript:Solyc01g106250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFVKIFSPENSGKRLKIPTSFTYYKNRKLPMKINLRDRFGNMWPVGVNKIGGNLYFQYGWEKFIEDNSVEVGDFLVFDYDGNKMFDFKLLGRTKCEKNGVGGLKAEEMIVEHQKSRESKEKNWASDSYIFKSGRATQPKNPYFVAKIRSKRRDQLYVPVDVVKDYKLELPSSMTIRDSIGREFVTKLNKWKDGRIWLVGGWRSLCRWNLVEKNDHCICEFVRGKRNKDLHLRVQVLREGESSVTL >Solyc11g007400.1.1.1 pep chromosome:SL3.0:11:1740601:1740990:-1 gene:Solyc11g007400.1 transcript:Solyc11g007400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMGMLDLNRNEVANEENSSQYFPYNIYDKFNKGKEIVVDEEANDDEKKMARKLSLVALWCIQTNPIQRPSMSKVVQMLEGEVEALEVPPQPLQSPPIVHQIMESSTTLSSDSIALLDNSVEVDIYAD >Solyc10g031565.1.1.1 pep chromosome:SL3.0:10:15728346:15728642:1 gene:Solyc10g031565.1 transcript:Solyc10g031565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQFKYNIDIDYTAIWTPEENGKFTIASAWEVIRKKRPKNIINKSVWHKHIPFKIAFFIWSALRGKLPTNEYYRSLEELKMIAIAVIERAQMTSNTS >Solyc03g095787.1.1 pep chromosome:SL3.0:3:58562985:58574282:-1 gene:Solyc03g095787.1 transcript:Solyc03g095787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVASFNVPATDSQAKSVCKLLKSFCGLKQASRQCNIKLTTPLVESGFTQSFLDYSLVIKHADGKKNPIVISKTVSSANSNVKEEKTVHSTFHLQLKRDASIHKKVKGAPTLSGKLYRKSRDEPKINKPAKSRGALLQGTNVIAYDCSDLVATTSNLLLANKIGYGAFGNAYKVIICFQQA >Solyc12g008780.2.1 pep chromosome:SL3.0:12:2126712:2129844:-1 gene:Solyc12g008780.2 transcript:Solyc12g008780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEHRNASPAAPHPPTDTAEEAIWLWTQIKAEARRDAEAEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNNFSSDPDLRAAASADLLAARYRDPACVSFSHCLLNYKGFLACQAHRVAHKLWTQSRRPLALALQSRISDVFAVDIHPAAKIGKGILFDHATGVVVGETAVIGNNVSILHHVTLGGTGKFGGDRHPKIGDGVLIGAGATILGNINIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEQPTKHEECPGESMDHTSFISGWSDYII >Solyc02g078500.2.1 pep chromosome:SL3.0:2:43733333:43735828:1 gene:Solyc02g078500.2 transcript:Solyc02g078500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFKKSVVDPPMELQSPASLQSSNKAVSPEETMKNFLASNTNNGFSIGFMDKAFLAYSKPPSSSNAQQRLFCGLNDIYCIFLGSLNNLWALNKQYGLSKGTNEAMLVSEAYRTLRDRGPFPAHEVLKGLEGSYGFVIYDHKAGNVFVALGADETAKMFWGIASDGSVMISDNVDHIKGSCLKSFAPFPTGCMYHSESGLKSFEHPSFKMKAMPRVDSEGAMCGACFKVDVYSKVNSMPRVGSSANWANWGQQA >Solyc07g053610.3.1 pep chromosome:SL3.0:7:62159207:62177013:1 gene:Solyc07g053610.3 transcript:Solyc07g053610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDGGGNGEADVPMAVAAAATSDAGVNGSCDAGKKKVPEGEPKVKRKMKTASQLEILEKTYATDTYPSEALRAELSVKLGLSDRQLQMWFCHRRLKDRKATPVKRQKKEEVSPAAMISSGGQGDEMAVSGEIGKDHVSGSGSRASPIGLMDLQVQQQLHQRVVHRPGTAVPRFRPEMPTLKRYYEPPQAISELRAIAFVEAQLGEPLREDGPILGMEFDPLPPGAFGAPIVAAMQHKPAGRPFEAQIYERPDVNAIKGTTRTLREYQFLPEQPSNRSDSYEQSVPSHHYRSTEVQSTRAILSTGRSFIHGSEQVASGCSIPGLIPTLNLLPQGRQGHISPASAEVEAVPQRSLVNIEVEASYSGQPMMALESPFMSSDKRVIHDEERLERKRKSEEARIAREVEAHEKRIRKELEKQDMLQRKREEQMRKDMERQDRERRKEEERLLREKLREEERYQREQRREMERRQKFLQKESMKAERMRLKEEMRREKEVARLKAANVRANARRIAKESTELIEDERLELMELAASKKGSPSTLSLDSETLQNLEAFRDLLNEFPPKSVCLRKPFEVEPWTCSEEDVGNLFMVWRFLITFSDVLHLWPFTLDEFVQAFHDYDPRLLAEIHIALLKLIIKDIEDVARTPASAVGANPNVNPGGGHPDIVEGAYAWGFDIRSWQSHLNALTWPEILRQFALSAGFGPKLKKQSVEPAYPRDENECNNGADIISNLRSGVAAEKAVAKMQERGFSNLRRSRHRLTPGTVKFAAFHVLSLEGSKGLNILDVAEKIQKSGLRDLRTSKTPEASISAALSRDTKLFERTAPSTYCVRDPYRKDPGDANAILSAAREKIRMFKNEYVNGEETEDVEKEVERDDEFESDAADDPEVDDLVSELKFAETPETQKIDRTDGRSSSFDLTQTPEDLCMQNSTAMMHSVNFGELKATAGDQSTASGVEAVNLDQEDTVIDENNAGQRWVQGLMEGEYSDLTVEERLHALVALIGIANEGNSVRLILEERLEAASALKKQIWAEAQLDKRRFKEEFLLKVQYPSVRSNTEQICSVTSMEARQSPLHAVGHNEVADIPSLQQEAMHKLPDEPNNPSNVAVEKTCQMQETYGGQDNSQPQHFAYVAEKSRSQLKAYIGHRAEETFVYRSLPLGQDRRRNRYWQFITSPSRNDPGSGRIFVELRDGRWRLIDSEKDFNCLMASLDIRGIRESHLHSMLQNIEATFKATVRKHKYTEVELDDSVKEHTSETVPSIDYCSNTGGSKSTICLSNQETSEPSTSFLLGFGRNKMEDSDALRRYADLEKWMWEECVHPQFLCARKYGRMRCENLISTCNNCHDTYFLEDKHCPSCHRTFSPTKSSYFLEHVALCKEKLEDLFWPLCIMDSLPPLRVRLLRAQLASVEACIPPEALQPVWSELYRRSWGTKLHIASAAGDLLQILTLLEGAIKREYLISNYETTNELLGAVSNSNLDGMVAVLPWVPHTTSAVALRLMELDCSLCYTQQQKAESLKDEESADFTMFKTNYAQVKRATRVISAEAREYEKLEPDYSVKVGSGHANSGQGRNRVRGGAHCRVHGGKSQRKVNASRSDSAQRSSTKNSDRLGHLPAWKGQDRGKGRRKRGRRSVRNRQKPVKNVEEVSPEEVPITSQQDWNDVEDEETPQFEAPDNDSDSGTSGSEDYKGQTTVNDYEDLMVADYGSFSGRNDHASTSVSYNISQRYTETAEDGIGDYEDDHDEEDEEDGLANKNVQRYFDGESDDEGDRFMDEDLVETPNKDSESSSEYSD >Solyc12g013640.2.1 pep chromosome:SL3.0:12:4473160:4481253:-1 gene:Solyc12g013640.2 transcript:Solyc12g013640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEIMENIENHIHIDHSKSEVIFDNTNRPITLKFENVAYKIKLVKEGCLKNSSKSEEDKIILKGVSGIVSPGEMLAILGPSGCGKTTLLTGLGGRLVNGHLDGAITYNNNPFSSSMKRSIGFVTQDDVLYPHLTVYETLVFTALLRLPKTYSIEEKIAHADAIIPQLGLTKCKDSIIGDSLLRGISGGERKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRIVSTLKGLANGVRTVAMTIHQPSSRLYYMFDKVLLLSEGNPLYFGRGEDAMGYFSGIGFPPLVAMNPSDFLLDLSSGILSDDPRVLSDAPKEDPASIKKTLVLAFKTNLAENLNEQLQESIDQQATEKLPDKKFSQWANTWWQQFTVLLRRGMKERKHESFSTLKVTEVLVMSFFAGLLWWKSNNIQDQTGLLFFYTSFWEFYSVFQGIFTFPQERMMLEKERSSGMYRLSAYFVAMTVGDLPMELVLPTISTLITYWMAGLKPSAWRFFSTLFSLLYNVLVSQSLGLAIGAMVMDEQSATVFALVILISFTLAGGFYVHHVPKFIAWIKHVSITQYAYKLLLGSQYSPGETYSCGINATCLVEDFPSIKTIGLGGKVISIVALAIMLLGYRFLAYIALKRIGFENVVYKINLAKEGCFKNSPKSNEEKRILKGVSGIVSPGEMLAILGPSGSGKTTLLTGLGGRLVNGHLKGVITYNNKPFTNAMNRSTGFVTQDDVLYPHLTVSETLVFTALLRLPKTFTVEEKTAHAEAIMTQLGLTKCKDIIVGGPFLRGISGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTALRIVSSLRDLAKGGKTVVLTIHQPSSRLFYMFKKVLLLSEGNPLYFGRGEDAMGYFSGIGFSPLVAMNPSDFLLDLSNGILSDDPRVLVDTPIEDPASIKKTLVTAFKTNLMENMKEQLQESDIHQVAEKLPEKKFTQWSNTWWQQFSVLFRRGMKERKHESFSSLKVGEVLVVAFLCGFLWWKSNNIQDQVGLMFFYTDFWSFFPLLQAIFTFPQERMMLEKERSSGMYRLSAYFIARTIGDLPMELVLPTIFTVITYWMTGLKPSAFNFFSTLFTILYNVLVSQSLGLALGAMIMDQESATVLGSVIILSFTLAGGYFVQHVPWFISWIKYISISQYTYKLLLGSQYSRGETYSCGINATCLVEDFPTIKSIGLGGKGISMVALAVMFFGYRLLAYVALMRVGVTKK >Solyc02g068960.1.1.1 pep chromosome:SL3.0:2:39406107:39406565:-1 gene:Solyc02g068960.1 transcript:Solyc02g068960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHGVWKHQCFICNQLFSSSQAIAGHTKIHYKDGWVKGTHHKKLFVPFPDYQQSQGSAAIDSLTYQQQQMFSTRVTNIDSPNSNQLGSSSPVLPPPYTNSPNPHQLGSSSPVLTPPNQNLRLRDMKTLARLKGRLTKEEERVILLLLDSAKK >Solyc06g060800.3.1 pep chromosome:SL3.0:6:38944749:38955521:-1 gene:Solyc06g060800.3 transcript:Solyc06g060800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRESIQLPIIDLTSPDPISTAGAIRQACVDVGFFYLINHGVDEKLFKEVLEQSKNFFSLPLEDKMKLVRRNHRGYTPLYAEKLDTSSTTQGDSKESFYIGSLEGKSVVSNLNQWPAEEVLPSWRSTMEDYHRRVLDAGIRLISLIALALDLDEDFFHKAGACDSPSGFLRLLHYPGELQLSEQVVYGASAHSDYGMLTLLATDGVGGLQVCRKKFNRPQIWEDVHHLSGAFIVNIGDMMERWTNCLFRSTLHRVMPTGQERYSMAFFLDPNPDCMVECLKSCCSDSSPPRIIPPPPQNQEGEWRGDGLYILKKISKEFVGAQTHRWIDLF >Solyc10g074780.1.1 pep chromosome:SL3.0:10:58524710:58525406:-1 gene:Solyc10g074780.1 transcript:Solyc10g074780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTYLALGPTDNTIDGVFRFDRYSLSIISQLSWCEISRKIFSHCLKGESGNARGGILVLGEIQNPNMIYTPLVPSKGHYNVDLHGVAVNGKLLHIDPTIFAISKDRRTIFDSETTQIHLVAKAYDSVICVVIILSHILFS >Solyc04g079380.1.1.1 pep chromosome:SL3.0:4:63985065:63985391:1 gene:Solyc04g079380.1 transcript:Solyc04g079380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSMVALGKKFTRNIQDNKRRLLSAKYELRRKLYKAFVQDPQLPPEMREAHQYKLAKLPRNSSFTRIRNRCIFTGRPRAVYEKFRMSRIVFRGLAARGALQGVKKASW >Solyc04g015600.3.1 pep chromosome:SL3.0:4:5893712:5897646:-1 gene:Solyc04g015600.3 transcript:Solyc04g015600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSFLLTVFFLVGLSSRFQLGNSQLVFHDQQVVEAIGKELSVPGWGVMNTTDFCSWHGVICSSNSTMVERLDLSGFRLQGNVTLISELKGLKWLDLSNNNFQGSIPFAFGKLPELQYLDLSFNKFGNSIPSELGKLRSLKALNLSKNWLTGAIPDELEGLENLQDFQIFTNNLNGSIPMWIGNLTNLKVFAAYENEFSGDVPVNLGLYSDLSLLNLHSNQLEGTIPESICANGNLEFLVLTQNKLTGTIPYSIGDCKRLSSIRIGNNKLIGGIPKSIGNISSLTYFEADNNSLSGEIVTEFAKCLNLTLLNLASNEFNGTIPPEFGQLNSLQELIVSGNNLYGEIPTSVLKCKNLNKLDLSNNKFNGTLPADICNTSRLQYLLLGENSIRGEIPYEIGNCVKLLELEMGSNELTGSIPPEIGHMKNLQISLNLSHNRLHGKLPQDLGKLDKLVSLDVSDNQLSGNIPPALKGMQSLIEVDFSSNQFTGPIPTFAPFQKSPNSSFLGNKDLCGDPLSGGCGDLNGYDHSVYGHKVSYRIVLAVIGSGLAVFVCVTVVVLLFMMREKQEKAAKEAAGNTIDEISSKPVILAGNVFVDNLKQAIDFDAVVKAVMKDSNKICVGTFSTVYKADMPSGMILSVKKLKSMDQTIIHHQSKMIRELEKLSKLVHDNLARPIGFGIYEDVVLLLHEYYANGTLTQYLHNSSQKTDYKPDWPTRLAIATGVAEGLAFLHHVAIIHLDISSGNVLLDSNFKPLVSEVEISRLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEAFGEGIDLVKWVHGAPARGETPEQILDAKLSTISFSWRKEMLAALKVALLCTDMTPAKRPKMKKVVEMLQEITES >Solyc02g070480.3.1 pep chromosome:SL3.0:2:40789292:40790119:1 gene:Solyc02g070480.3 transcript:Solyc02g070480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYPEPMDHFDRLPDSILLFIFNKIGDVKALGRCSVVSRRFNSLVPEGGVDD >Solyc03g019640.1.1 pep chromosome:SL3.0:3:62949957:62956269:1 gene:Solyc03g019640.1 transcript:Solyc03g019640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAIRAKEIAEQKLTMKDITGAQKFALKAQNLFPGLDGLSQFLEVVNVYVAHEKKTDGEVDFYSVLSVEPSAEEETIRKHYRRLALALHPDKNHSVGADGAFKIISEAWNILSDKSKRMIYDSKRALRNASMQANQHGFSNFSMSPASARKSTNANFQPVPVAPQSSKVEAFWTLCNLCQIRYEYLKIHRNKIIMCPKCHQPFHAREVGAPVKDQGSTFRWPSRQQQQGTKSAANESSASGVSTASNSEQTGYSGFGSTVGEKTQQDRIFKKRDANGAQAATAKRAAHPGQSAGGISKRTHAEAASSAANPAFRKKSNPFLKTDAGFVPDSVYSSSKADRLIKRRRTAELKSKSQGAGTINDMAAKGGSSQGNIHGNENGVLRAERVAAVGSNKPRSSAELSNIEIRNMLMKKARMEIKKKLKEWSTTGASRTSSKKEKEVEMKKQIIKNDLRRNKNKAAHDVVVDPKILRKKENLPVFSAPTDLESEAKSMTVPDPDFHDFDKDRMEQSFHDKQVWAAYDNDDGMTRYYALIHKVISRKPFEVQLSWLNSRNTSEFGPMNWIGSGFLKTCGNFRIGRHEVNKTLNSFSHKVKWAKGVGGVIQIFPQKGDVWALYRYWSPHWNELTPDDMIHYYDVVEVLGDYTEKEGVTVAPLVKVAGFTSVFRQRLDPKYLLRIPREEMFRFSHQVPSYLLTDQEAPNAPTGCWELDPAALPLEFLRVMTDTEIEARGKVADTSKLERTCGESKEKEKSYVVGDNSVTKGEETPRDSMSIVKQPMVTYSRKKKEKAEMIELDPIFSCSSPEFSILGTVRVVMALQPGSRRNLYFFLASPKYELQFLFVKMLMFFDPRHEVVRDCGGLLLSKLLLSVKLWDANLKMVIGSSCRDNSCCLSLPLVEVWSELLWIWMLGWASRISNAVPCGLSCS >Solyc01g006350.3.1 pep chromosome:SL3.0:1:934010:945512:-1 gene:Solyc01g006350.3 transcript:Solyc01g006350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYYRKALMLQSYLEGMITGDTEAGTTRNEITDTQGFDLSPESRAQADLKFTYVVTCQIYGKQKEEQKPEAADIALLMQRNEALRVAFIDEVETLKEGKVNKEYISKLVKADINGKDKEIYSIKLPGNPKLGEGKPENQNHAIVFTRGNAVQTIDMNQDNYFEEALKVRNLLEEFFQDYGVHLPTILGVREHVFTGSVSSLASFMSNQEASFVTMGQRVLANPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIFAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFYFTTVGYYFCTMLTVLSVYAFLYGKAYLALSGVGATIQDRANILQNTALSAALNAQFLFQIGVFTAVPMILGFILEQGFLRAVVGFVTMQFQLCTVFFTFSLGTRTHYFGRTILHGGAKYHATGRGFVVKHIKFTENYRLYSRSHFVKGMEIVLLLVVYAAYGYNEGGALSYILLTVSSWFLAISWLFAPYLFNPAGFEWQKTVEDFRDWTNWLLYRGGIGVKGEESWEAWWDEELAHIRTFGGRVMETILSLRFFIFQYGIVYKLDVQGTNTSLTVYGFSWVAFAVILLLFKVFTFSQKISVNFQLLLRFIQGLSFLLAVAGLAAAVVLTELTVTDVFACILAFIPTGWGILSIAAAWKPLIKKMGMWKSFRSVARLFDAGMGVLIFIPIALFSWFPFISTFQTRLMFNQAFSRGLEISLILAGNNPNTGL >Solyc05g013270.1.1 pep chromosome:SL3.0:5:6363341:6364252:1 gene:Solyc05g013270.1 transcript:Solyc05g013270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDSKETTYISDTTSYRFPVESSRIPFAALQEATNNFNCNSLIGLGGFGTVYRGVLCDGTKVALKRCKLESSQGIEEFQTEIEMLSHFRHPYLVSLIGYCDENNVTILIFKYMENGSLSKICIGAARGLHYLHKNAVIHRDVKSANILLDENFVAKTTDFGVSKTRTELDQTHVSTVVKGTLGYLDPEYVIRGKLIEKSDAYSFGVVLFEVLCARSAIGHYISKGMVTLAAWAMDSHKKGQLEQIVDPNLAAKIRQEYLNKFGETTVKCLADSGVDRPSVGDVL >Solyc09g064580.2.1 pep chromosome:SL3.0:9:62086299:62086960:-1 gene:Solyc09g064580.2 transcript:Solyc09g064580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFEGQKAVLKVLKHICSDGKWVLIDEIMEVNILAFIATAQFIPVPTAFLLIIYVKTVSQND >Solyc09g014945.1.1 pep chromosome:SL3.0:9:7298229:7298642:1 gene:Solyc09g014945.1 transcript:Solyc09g014945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKVQAIVDWQAPRHVKDLRSFLGLANYYRKFIAAFQNLKNAITSEPILKLPDFELPFEVHTDASDKEIGGVLVQEGHPVAFESRKLNDAAQRYSTHEKEMVAMVHCL >Solyc05g008210.3.1 pep chromosome:SL3.0:5:2600704:2603203:-1 gene:Solyc05g008210.3 transcript:Solyc05g008210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLGRKKTTKVMKIDGQTMKFKTPVYANEVLKNYPSMVLLESEEVKHFGVRAKPLEPQQELKSKRLYFLVELPKFPEEKNNNPRRVRSGIQMSAKDRLETLMLARRSASDLTIMKPASVMMSEEYSSSFGSGPLDGVGPQAQPVRLKLRLPKGEVEKLMMQSKDGSDVAEKIMKLCMSSNNDGVQTDLFQSKSGPLIEEDQSQWKKNNGGILIKKGIRSREKRVGFLPITEGEIQLAMTAS >Solyc09g059107.1.1 pep chromosome:SL3.0:9:53532667:53537781:1 gene:Solyc09g059107.1 transcript:Solyc09g059107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANDFEYKRCDNPKESWQDLPPGGQPSGSLPPGGLPSGSLPPGGQPSSSLPPGGLPSGDQGELRMDEAKVKAIQDWEAPMKVTELRSFLGLANYYRRFISGYSAIAAPLTELLKKNRPWLWSEECHEAFEGLKAVVTEEPVLMLPDFTKTFEIHMDASDIAIGGHVVYNNV >Solyc02g080190.3.1 pep chromosome:SL3.0:2:45032908:45036554:1 gene:Solyc02g080190.3 transcript:Solyc02g080190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTEIVGRAFVDHYYNLFDNDRSSVGALYQPSSMFSFEGQKLLGSEDISAKLNALPFGQCRHVISTIDSQPSSFAGGIIVFVSGSIQLLGEDHPLRFSQMFHLIPTVEGSFFVQNDIFRLNYG >Solyc05g025565.1.1 pep chromosome:SL3.0:5:34074465:34077459:1 gene:Solyc05g025565.1 transcript:Solyc05g025565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGKTKDTTKTQLDLQEINIRLEFHPIKKGEKIKVPTACYTLSPEDMNKLCLLLKNVKVPNGFSWNISQCVNLKDHKISALKTHDSHVLLQHLLPLAFRGKLTFYLRTYGSLQFCRESAQTHENARHFSDANGVDNLLNGLKIGTQNYGVVVLGENDEDSDKIDYYEVLIDVIVLKFVMDRRVVLFRCNWFDVYDEVKVVKKDEYDFVSVNPDRFLKRKELFVFADQASQVIYSTDNTNKGFKVMRKTQPRDAYDIVEQINDETEELGSPSQKKRKTTNEVQILIFDSFCKFKMKSLEIENEVGSNTKSTIRYTFVAPGAIGKGCGRGLKSLGEKESTPFKSLLPQSSDLVKKYIKEIETMDPTTIGNGLKQKSGSMSSTVRVSAKYSRISQQEYIYANEHMKTPSKSTKLGSTFSSSQAMQKVKKIVHPREDK >Solyc08g078480.3.1 pep chromosome:SL3.0:8:62390563:62391811:1 gene:Solyc08g078480.3 transcript:Solyc08g078480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QMTVIEVAAPSPMRYMMGAAVMMIGVVLPLAYMMFRNKRVPSSSSYSKQTNKVLI >Solyc02g030462.1.1 pep chromosome:SL3.0:2:26429606:26432247:1 gene:Solyc02g030462.1 transcript:Solyc02g030462.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIVSDDEIQVSTPVLNVQDDDHVCMHDDTDSVTRHSVDHTLEEPNMNPSSVVQEPTMGTTIGKRQSTRTSRPPLWQKDFVTSTKSRSKSNCLYSLGDRIEFARNTKGILMHQRKYALEIISNLGLGGSKTIATPVEINIKLTTIVFDKHTGSSTDPLLSGIGAYQRLVGRLIYLTITRPDLSYAVQSLSQFMNAPKRSHMDVAVRVVRYIKQNLGSGILLAAQSSDSLQAYCDVDWGSCLDTRKSITGYMVIFGDSLLSWKSKKQFTVSRSSAEAEYRSMASTVAEVTWIIGLFRELDIPIALPIALGSNLVVTTTPKMEEVSGIGAFFHMILGHVMILH >Solyc11g065230.1.1 pep chromosome:SL3.0:11:50885489:50886116:1 gene:Solyc11g065230.1 transcript:Solyc11g065230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTLCKLILLQVVGHSSWPRISLDISPAGFDIRIRSKLFISIFLRKADVFQGYSWPNLTSFSYQQINSVQEQVDLLRFRHFYSKIVCQFSALFVVLVCPADKSYLKWMV >Solyc09g057567.1.1 pep chromosome:SL3.0:9:50037262:50040957:-1 gene:Solyc09g057567.1 transcript:Solyc09g057567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTMCPKCDGPPIGNEEMTCILKMENQRLREQYEGVLKLVSSFPERPSLMVSNLAPSKSTIGYPLPFDSSSEGRSSSYSETYERTFPNPNLPYYQSSPTRIESTKDCEVVSMIAIRKARIVEVLDSRTWGGSIQLMYEKLHILSPLVEARDFFFLRCCRQFNPTTWIMMDVSYDPFNEIQSGVHSYSWKFPSGCAIQDMGNDQSKV >Solyc07g052510.3.1 pep chromosome:SL3.0:7:61147712:61171722:1 gene:Solyc07g052510.3 transcript:Solyc07g052510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSYLMSVLVLCVIIGYTNAQLELNFYAKSCPKAEKIIKDFVQQQVPKAPNTAAAILRMHFHDCFVRGCDGSVLLNFTSTNGNQTEKLANPNLTLRGFSFIDAVKRLVEAECPGVVSCADIVALVARDAVVATGGPFWNVPTGRRDGTISNVSEANADIPAPTSNFTRLQQSFAKKGLDLNDLVLLSGAHTIGVSRCSSFSERLYNFTGVVGTQDPSLDSEYADNLKSRKCRSINDNTTIVEMDPGSFKTFDLSYFKLLLKRRGLFQSDAALTTRTSTKSFIEQLVDGPLNEFFDEFAKSMEKMGRVEVKTGSAGEIRKHCAFVNSIFLDASHAQLQLNFYAKSCPKAEKIIEDYVHKHIPNAPSLAAALLRLHFHDCFVRGCDGSVLLNFTSSTKNQTEKVAVPNQTLRGFSFIDGVKKIVEAECPGVVSCADIVTLVARNSVVVTGGPFWNVPTGRRDGKISNASEALANIPPPTSNLSSLQTSFANKGLDLKDLVLLSGAHTIGVSHCSSFSARLYNFTGVLGSQDPSLDSEYASNLKGKKCKSINDNTTIVEMDPGSFRTFDLSYYKLLLKRRGLFQSDAALTTSATTKSFINQLVKGSLEEFNAEFAKAMEKMGRIEVKTGSADASHAQLQLNFYAKSCPKAEKIIEDYVHKHIPNAPSLAAALLRLHFHDCFVRGCDGSVLLNFTSSTKNQTEKVAVPNQTLRGFSFIDGVKKIVEAECPGVVSCADIVTLVARDSVVVTGGPFWNVPTGRRDGKISNASEALANIPPPTSNLSSLQTSFANKGLDLKDLVLLSGAHTIGVSHCSSFSTRLYNFTGVLGTQDPSLDSEYASNLKGKKCKSINDNTTIVEMDPGSFRTFDLSYYKLLLKRRGLFQSDAALTTSSTTKSYINKLVKGSLEEFNAEFAKAMEKMGRIEVKTGSAGIFLDASHAQLQLNFYAKSCPKAEKIIEDYVHKHIPNAPSLAAALLRLHFHDCFVRGCDGFILLNFTSSTKNQTEKVAISNQSLRGFSFIDGVKKIVEAECPGVVSCADIVALITRDSVVVTVGPFWNVPTGRRDGKISNASEALENIPPPTSNLSSLQASFANKGLDLKDLVLLSVLVTSRTTLILDLAFDYGYNSQFFGHNYNKGAHTIGIAHCSSFSTRLYNFTGALGTQDPSLDSEYASNLKGEKCKSINDNTTIVEMDPGSFRTFDLSYYKLLFKRRGLFQSDAALTTSSTTKSYINQLVKGSLEEFNAEFAKAMEKMGRIEVKTGSFGEIRKQCAFVNK >Solyc08g078290.1.1.1 pep chromosome:SL3.0:8:62217868:62219340:1 gene:Solyc08g078290.1 transcript:Solyc08g078290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNTSPNTSKKQKKDTEVPQNHAKHTKFYNHFLFKASLLVIFLVVLPLFTSEAPDFINQTLQTRSWEVLQLIFVGIAVSYGLFSKKSDETDKEQHSTKFDNAQSYVSGLLHVSSVFDDDDTDNHDENKVQTWNNQYHRGKNPVVVENHSLNEQRATNSRIGEKPLLLPIRSLKSRVVDDHSGEINGLSSKSRNGDFVVSSPKKLVESLEENVVRPSPIPWRSRSARNMEMKEDGDLVKKIEPFSLRSQSFRLPKNSNNSMNSSSSPRTLSPSQSRKLSFSPESQSKIVNEDVVRKKSFRKSNPPPPPPPPPPHFLYKMRSTSSVTNGNVMSSDKELRRSIRSVPMGEKVQTPRKAYSATTTELRPFIGTKEFTCPEEAFIGTTDKMMHESHNFSTRSRFMEFPNEEKKEYVEKFLVESDQEDSESGSEEYDYFEENAEKTETETQSDHEGPDVDKKADEFIAKFREQIRLQRIESIRRSAGQTTRKLVR >Solyc02g082450.3.1 pep chromosome:SL3.0:2:46701957:46706268:1 gene:Solyc02g082450.3 transcript:Solyc02g082450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMTLLEVASMPILQVLIVSGLGAVMATDYLKLLPADARKYLNRIVFVIFTPALMFASLAESVTFQDIITWWFMPVNVGLTFLFGGILGWIAMKILKPKPHLEGLIIATCSSGNLGNLLLIVIPAICKEDGSPFGDHKTCASVGLSYASFSMALGGFYIWTFTYQLIRNSSLKFKSLKTAEENEAFKGPNVDSETNEKSCLLDNNSQDQLPISTTKTTENQTVEVSSWRKIVGVVHTFLEEITAPPTLGAIVGFLFGSVTWLKNLVIGDAAPLRVIQDSVKLLGDGTIPCITLILGGNLTQGLRKAEVKPSTIIAVVCVRFIFSPLIGIVIVKTAANLGFLPADPLYHFVLMLQYTVPPAMNISTMTQLVDVAQEECSVLIMWTYLVAASALTIWSTIFMWILS >Solyc06g007370.1.1.1 pep chromosome:SL3.0:6:1405290:1405769:1 gene:Solyc06g007370.1 transcript:Solyc06g007370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLRCCLACVLPCGALDLIRIVHLNGHVEEITSPITAYEVLKNYPNHVLSKPCSQGTMARRILILSPESELKRGSIYFLIPASSVPEKKKKSGITSSSINRLPKNNNKKCHVTINDGDRDFSSDSTMPKLKKSSSHRRSKSGKVVVWRPHLTTISED >Solyc01g108083.1.1 pep chromosome:SL3.0:1:95332596:95333526:1 gene:Solyc01g108083.1 transcript:Solyc01g108083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLKPSRVLKRTQIDGLHTYFTGNYKKGNFLLLDDLVADITSSRGNFFLSLPETKVAKSAFICNWGKLDGYPNPRWLTNSLISFPPSLDRISPNLPYRVPARSLTGIRAFTITQPGRIGKSDDQSNNASYGQIVLHIEDCFSHPLNGDLEKSYLQIRRSYIDSCGTDTSYLHRASMTKMCEAN >Solyc04g039703.1.1 pep chromosome:SL3.0:4:12780804:12815689:1 gene:Solyc04g039703.1 transcript:Solyc04g039703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHIHDFVRACVVCHRDKTETLQPAGLLQPLSIPEQIWSGATTHVMLTDKANQEESQNTLKDFSCLFYEPVGLYAKRDCDHKIILEQGTKPVVV >Solyc07g043420.3.1 pep chromosome:SL3.0:7:57307290:57308879:1 gene:Solyc07g043420.3 transcript:Solyc07g043420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Steroid 16alpha-hydroxylase [Source:UniProtKB/TrEMBL;Acc:Q40131] MADLLSNWSSTLEAVPKSHCIPEHERPSDPVEIGDSIPVIDLGKANGEERSVVVKDLLKAFEEYGFFQIINHGVPVDLMDEAMKVYKEFFSLPAEEKENYAKDAANNTNRGAATLYSSSAKHYDSEEHRYWRDVLEHSCNLDGEDKKTWPDNPPRYREVIGAYGDELRRVSKVILGMLSEGLGLEAGFFDKELGQRMLVNHYPACPNPSLTLGVGGHCDPNLITIIQQEVYGLQILKDDKWIGVQPIRNAFVVNSGLPITVYSNGKLTSVAHRVVTNTTESRTSIGTFICPHEIVEPAKALVGPENPPQFKPFHWGIDFMPHYLSKKSVYHASLEPFKTEAN >Solyc07g054270.3.1 pep chromosome:SL3.0:7:62735540:62738112:-1 gene:Solyc07g054270.3 transcript:Solyc07g054270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEKGSLQSNLDCFLECTTPLVPSQFLPQSEIRNLNRLWHPWEREKVEYFTLGDLWNCYDEWSAYGAGVPIKTDSAETLVQYYVPYLSAIQIFISRSSVNFLREETESRDSSFSDSFSDESESEKLSRWDGCSSEEGDSLWHMNDRWGYLYFQYFERSTPYGRVPLMDKISGFAERYPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSACFLTFHTLSSSFQDMDLDDDMENGKRKRKEGESIPLAPFGLGTYKMQGDVWLSDRSGKDQERLSTLFSVADSWLKQLGVQHHDFNYFMGSRRG >Solyc11g071510.2.1 pep chromosome:SL3.0:11:55255865:55260501:1 gene:Solyc11g071510.2 transcript:Solyc11g071510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAVLHVISTSILGITAITITNTIAGEESVHKLASLLLIVLGGSYIILFLCGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLSLVGILTLIFHDHDHEGGSAGGHVHRKLIVL >Solyc05g023730.1.1.1 pep chromosome:SL3.0:5:29085830:29086087:-1 gene:Solyc05g023730.1 transcript:Solyc05g023730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGILPHKRLYRKTNFRRNEKDIYSRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGSIIGDTIVSGTEVPIKMGNALPLSAV >Solyc07g063650.3.1 pep chromosome:SL3.0:7:66186965:66200643:-1 gene:Solyc07g063650.3 transcript:Solyc07g063650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLRSNLARVRIPEPTTRIYKHECCISFDTPKSDGGLFVDMSTFLAFGRDCVDWNYEKTGNPVYLHIKQTKKADAEDRPSKKPTLLAIGLDGGFDNSEPQYEEFYEIVILPDNVTLPFPSVELPEKVRLAVDAILLAEGAERKEQLASWTADKKLVSKYATDLQQLDNGVAVPPVGWKCAKCDKTDNLWLNLTDGTILCGRKNWDGTGGNDHAVNHYKETGYPLAVKLGTVTADLEGADVYSYPEDESVVDPLLADHLAHFGIDFSSLQKTEMTTAERELDQNFNFDWNRIQETGEDVEPLFGPGYTGLVNLGNSCYLAATMQVMFSTRSFCSRYYFDQRLKEAFTTAPADPTVDLNMQLTKLAHGLLSGKYSGPVLEKQEGIRPRMFKSVIAASHPEFSTMRQQDALEFFLHFIDQVERINSGTPNFDPSRSFKFGIEERLQCSSGKVTYNRRNDYILSLNIPLERAINKKELAEFQNLKAERAAGGKELSADEIVRPRVSLKDCLDCFSAPEEVHDFYSTALTARTTAIKTAGLTSFPDYLVLHMRKFVMEEGWVPKKLDVYIDVPETIDISSMRSNGIQPGEELLPDSAAGDGEQSIKLLADDDIVAQLVSMGFNLLHCQKAAINTSNSGVEAAMDWLLNHMNDPDIDAPISENVQNPDIDQSKVDTLVSFGFEEKLAHKALKASVNISLLLAFTISLWGSLLFTANSIMFVHSLFLSAHQGGDVEKATEWIFSSPSASTAADMDVTTSSGAAVDTLMPDGGGKYRLLGFVSHIGTSTHCGHYVAHINKDGRWVIFNDEKVGVSKNPPMDMGYLYFFERLES >Solyc12g005530.1.1.1 pep chromosome:SL3.0:12:299432:299716:1 gene:Solyc12g005530.1 transcript:Solyc12g005530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVCRGMESVREVEVSILSEEEAWNLFKQKVGEEVLASPTLQAVAKDVSKECGGLPLAVVRRENDLRQWKNALSQLKSATGRIEGMENRVFARL >Solyc08g041860.1.1.1 pep chromosome:SL3.0:8:25512336:25512836:1 gene:Solyc08g041860.1 transcript:Solyc08g041860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVALLHPQHILKPHVGYRQPMRSRRNSTSNPLPNPNPNPKNNRRKRSPQKNGSANFSTSPPSSKNPHIVMGEVKILKRGQVLKETKLVTGEDLVLSTTDRLGPEPDMVPKNITIAHFYAGSGFSSSPPPSSLPVPAFFKKETQHNLHATSDLRRILRIDRSGRD >Solyc01g106560.3.1 pep chromosome:SL3.0:1:94280267:94284163:1 gene:Solyc01g106560.3 transcript:Solyc01g106560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLETENRIATILLKEAAELRRQAQSEGALSYLHRPNVRGRPNSRFLTATVLGVQQANRAVEVNEMWKLRQKEVELENRIMGRSADKNGDTKCHNFSVSERRSRERYDADSGSRGSKKRKIQDSHSSEDEGLRDAEIEEFLHSRVKRGRGTVGSRMDEAGPYLPSSPDPREKESASPDVKLSKGSGYHVVVGPEKPVWLNSPGSLENESLSDDNRKAPKKAKSSKHHDHCRKHRSKKKSKDREHKSRRREEKRLKRHR >Solyc11g011500.2.1 pep chromosome:SL3.0:11:4549082:4556342:1 gene:Solyc11g011500.2 transcript:Solyc11g011500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGEEVLEIDINGGRAEYEIEDMRDKMESSRGSRFKLIENDLVGADMFSRRRRKFSRESLLNGLKDLSQGFVIHPENRWYRMWENFILIWSIYSSFFTPMEFAFFNGLPRKLFLLDICGQIVFLVDIVIQFSVAYRDSQTYKMVYKRTPIALRYLKSHFIMDFLGCMPWDIIYKAVGSKEEVRYLLWIRLSRARRITYFFQKMEKDIRINYLFTRIVKLITVELYCTHTAACIFYFLATTLSEQQEGYTWIGSLKLGDYSYSNFRDIDLWTRYTTSMYFAIVTMATVGYGDIHAVNLREMIFVMIYVSFDMILSAYLIGNMTALIVKGSKTERYRDKMTDLLKYMNRNRLGRDIRSQIKGHLRLQYESAYTDAAVLQDIPISIRAKISQNLYQSYIENVPLFKGCSLEFISQVVTRVHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVGIAKDGLEETVSLLEPNSSFGDISIVCNIPQPYTVRVCELCRLLRIDKQSFANILEIYFHDGRRILSNLLQGKESNLRVKQLESDIALHIGKHEAELALKVNSAAYHGDLHQLKSLIRAGADPKKKDYDGRSPLHLAASRGYEDITLFLIQEGIDINAPDKFGNTPLLEAIKIGHDRVASLLVKEGALLNIENAGSFLCMVIARGDSDLLRRLLSNGVDPNTKDYDQRTPLHVAASQGQYSMAKLLLGAGASVFSKDRWGNTPVDEARVSGNKQMISLLEEAKSAQLCEFPDVPHEISDKLRPRKCTVLPFHPWESKDLRKHGVVLWIPQTIEELVTTASEQLDFPSGTSCILSEDAGKILDVDMIVDGQKLYLINEST >Solyc08g023597.1.1 pep chromosome:SL3.0:8:27230612:27234280:-1 gene:Solyc08g023597.1 transcript:Solyc08g023597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAQCAMECEETARNEEIHWIQRPRFQWIKEGDKNTNSWASRLLHPNKDLSFQKGSMHLIFLNRQE >Solyc04g083010.3.1 pep chromosome:SL3.0:4:66549295:66554205:-1 gene:Solyc04g083010.3 transcript:Solyc04g083010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVASFTANHHVMLPSCADAVRLSGANSSGGRRVKLRLNLPRAAQVEARPQLVPAIRDVAGNSNVTGRTLQVGPSSRDRADDMQSEARAMTRAVDASVYSPDMLSSKYGTRPIKVLRRALQIFNGLGSFALKVWLDQLNGELDRKMRLRAIELRQTFTRLGPTFVKIGQGLSTRPDLCPPEYLEELSELQDALPTFPDAQAFSCIERELGRPLETIYSSISPSPIAAASLGQVYKAQLKYSGQVVAVKVQRPGIEEAIGLDFYLIRGVGILINKYVDIISSDIVALIDEFARRVYQELNYVQEGQNARRFKKLYADKEDVLVPDIFWDYTSGKVLTMEWVEGVKLNEQEAIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSPNVDVSPIVPALRDFFDDALNSTVSELNFKTLVDGLGAVLYQYPFNVPAYYALILRSLTVLEGLALYADPKFKVLAASYPYFAKRLLTDPNPYLRDALIEWSRLENLLVQGKKDRDFSAKDALQPVLKLLLGPDGKELRDLVIKEAIRVSEAIILGSAIESFNSVPGPMRTFVFNGNASGPFTISAAEQQSLMELRAQVIKIWGLLQSSENFDPNLLQPILQVLQEPEARSIGGRVVGGISQRLAARLLQQVLRAPETTAAA >Solyc03g044010.3.1 pep chromosome:SL3.0:3:7817850:7822072:-1 gene:Solyc03g044010.3 transcript:Solyc03g044010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPGLFSDIGKKAKDLLTKDYIADQKLSISTYSDTGVDTSDSFKEYSQFDYGQTIDLWRPNFMFSNHDFLVQALTSTAVKKGGLSTGDVGALYKYKNTLIDVKVDTGSNILTTLTLTDIVPSTKTIASLKFPDYSSGRLETQYFHHHATFTTAVALKQCPAVDLSITLGTPTFALGAEASYETATSKLTKYTAGISVTKPDSCAAIILGDKGDTIKASYIHHMDALKTTAATGEITRRFSTNENTFTVGGSYAVDCLTIVKLKLNNHGSLGAVLQHEVIPKSLLTISSEFDTKALDKTPKFGVALALKP >Solyc10g078640.1.1 pep chromosome:SL3.0:10:60512818:60513200:1 gene:Solyc10g078640.1 transcript:Solyc10g078640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPTTLFLRQKGSTNGVRVTEKKSRGKDGAVDS >Solyc05g021377.1.1 pep chromosome:SL3.0:5:27101079:27102306:-1 gene:Solyc05g021377.1 transcript:Solyc05g021377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRMMSFGVDMEMEYKENDLNTIKVTLPRFKGSSDNDKFLEWKIQSERIFLRNNISASSKLKYALTQFEGYTPTWWESKRRENESHHNYELPTWLYMITLMELRYLTPNHYQEVLKKVYMLREGTKSVEEYYDEFENLMMKSKIVENMECTSKGRDNWKTTPTNKIKVEVKLTKSISITNPRQLSNHKAVTMLNQTFLDSLQFNALDVKGGDILIEKSLIDDDEDLNMNANLSCVVRRIVGRPWQHG >Solyc09g011860.3.1 pep chromosome:SL3.0:9:5131911:5135757:-1 gene:Solyc09g011860.3 transcript:Solyc09g011860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGGTTVAANSDSVIPIPTTTTHHRRRVADSATMTTTDSEKLSNSDYNFSSDEDNSNNPEISNCDLHHYHHHHHPLIKYLLVRKRVPESIVFRVEEWVLNVSTIIHYLRLKKNMGRTVFGFLMILLMLSVFLKFSFMMINTNVEDLNANFLRRENGIFVLPTFRNGLGNPQRIISETESSSSGSVSASGDFIRKRQMKEIPVPEIWMKPNSDNHYQCISRPRNRIRTGSSTNGYILVHANGGLNQMRTGICDMVAIAKIMNATLVLPSLDHESFWTDTSDFKDLFEWRHFIEVLKDDIEIVESLPPEYAAVKPLQKAPVSWSKASYYRGEILTLLKKHKVIQFTHTDSRLANNGLASSIQKLRCRANYQALRYTNEIEEFGKKLVDRLRDIGEPFIALHLRYEKDMLAFTGCSNNLTIEEAEELRTMRYEVKHWKEKEIDSKEKRLQGGCPMSPREAALFLKAMGYLSTTRIYIVAGEIYGNNSMDEFRSHYPNVYSHSTLATNEELEAFKNYQNRLAAVDYMVALESDVFAYTYDGNMAKAVQGHRRFEGFRKTINPDRFNFVRLIDSLDKGEISWEEFASKVKALQSNRLGAPYLRQAGESPRLEENFYANPLPGCLCNRSHEEASKQLNKRPRLRVASQR >Solyc11g071760.2.1 pep chromosome:SL3.0:11:55432314:55437016:-1 gene:Solyc11g071760.2 transcript:Solyc11g071760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTVSMAEKGSFFSRLRKRFFLKKANTPKKEHESVTTTTTITSTSTIDRLSVSDSNSGELERVFTYFDENGDGKVSPMELRRCMKAVGGEITVEEAEMVVRLSDSDGDGLLGFEDFTKLMEGMEEERNKESELMGAFGMYEMEGYITPKSLKMMLSRLGESTSIDKCKVMIRRFDTNGDGVLSFDEFKVMMTIRVFTYFDENGDGKVSPMELRRCMKAVGGEITVKEAEMVVRLSDSDGDGLLGFEDFTKLMEGMEEKRNKESELMGAFGMYEMEGYITPKSLKMMLSRLGESTSIDKCKVMIRRFDINGDGVLTTTTTNCVPVSDNGGSSNKGELERVFTYFDENGDGKVSPAELRKCVKAVGGELTVEEAEMAVRLSDSDGDGLLGIEDFTKLMEGMEEERNKEGELMGAFGMYETEGYITPKSLKNMLSRLGESTSIDNCKAMIRRFDLNGDGVLSFDEFKVMMTT >Solyc05g055980.3.1 pep chromosome:SL3.0:5:66232601:66239506:-1 gene:Solyc05g055980.3 transcript:Solyc05g055980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSTWKKYVYPCWKPTLDNEGENSSSRGGDPGGSVDGLWWYKDLGHHVNGEFSMAVIQANNILEDQSQLESGSMSLFESGPQGTFVGIYDGHAGAEAARFINGRLFENVKKFTSEDQVMSADVISKAYLATEEEFLSLVRKQWQTRPQIASVGSCCLVGIICSGMLYIANAGDSRAVLGKQERALKEVKAVQLSSEHNASLESVREELRSLHPDDPNVVVLKHKVWRVKGIIQVSRSIGDAYLKRAEFNREPLLPKFRFPGTFQKPILLAEPSVFVHKLLPEDQFLIFASDGLWEHLSNQEAVDIVSSCEHHGIARKLIKAALQEAAKKREMRYADLKRIDRGVRRHFHDDITVIVLFLDSHLISRSSFRAPVVSIKGGGGGP >Solyc11g010400.2.1 pep chromosome:SL3.0:11:3473027:3482580:1 gene:Solyc11g010400.2 transcript:Solyc11g010400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILISNWSNVEFVPKTYIFPPESRPGKLEFPICNDIPLIDLAHNNSDETIQQVIRACQEFGFFQVINHGISENLMDDTMNIYNELFKLPSEYKAKFYSNDTNKSCKIFSSTLAYDTEEVHYWRDTFTHRCNPLEEHIPSWPDKPTNYREVVSEYSIETRKLLNKILDMICKGLGLNLGYFEGELSKAHLISVNHHIPCPNPSLTLGMPAHSDPNLITMLQQGEVPGLQILKDGKWIGVKHIPHTLIIIPGLQLKVISNDRFITPVHRVVTHPKEARTTIGVFLVPSPEFLIKPATTLIQTVPVFRDFTYPEFLETFVDRRPGKIKFPVCHDIPLIDLANNHPNDAIQQVIKACQEFGIFQVINHGVCENLMDDTMNIYKEFFKLPSEYKAKFYSNDINKSCRLCSSTLAYDTEEFHYWRDNFTHHCYPLEEYIMTWPNKPTKYREVVSEYSIETRKLLYKILDMICKGLGLEKGYFEGELSKTNVISVNHHIPCPNPSLTLGMPVHSDPNLITLLQQCNVPGLQILKDGKWIGVQPIPHAIVVIPGLQLKLS >Solyc11g071680.2.1 pep chromosome:SL3.0:11:55372826:55385622:-1 gene:Solyc11g071680.2 transcript:Solyc11g071680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tousled-like protein [Source:UniProtKB/TrEMBL;Acc:B2YHW0] MADDMVIHFASNSSNQSDQSLPTKIAKLEARMVGKASSVTSQANSWSSPAMFENVAEPATVSSDSDDDDNGVEYLIQANTQKRRKLEDDDSSTSFDHVETAADTGKKMVENTETSKVASDGNRRKQSRSRGQTNSGRGRGSRVGDQTRLQAVSASNDLFENSYKKLQDSLPKEQLGHNGQTASEEEITTLRAKIVALEEELRKSRQEATDYQYRCQQLEKELKDLKDYEQQTKPKRTKIMSELLISVSKAERQEARMKVRQESLRLGNVGVIRAGTIISEAWEDGQALKDLNAQLRNLLETKEAIERQRKLLKKRQPDKSDGGDVEGGLQEEDSFIQDEIYKSRLTSIKREEDMIMRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAFDLVDHRYVACKLHGLNAQWSEEKKQSYIRHAIREYNIHKTLVHHHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIVQVFQGLIYLNKKSQRIIHYDLKPGNVLFDELGVAKVTDFGLSKIVEDDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSRVDVWSAGILLYQMLFGKRPFGHDQTQERILREDTIIKARKVEFPTRPAVSNEAKEFIRRCLTYNQAERPDVLTIAQDPYLTYTKK >Solyc04g026160.2.1 pep chromosome:SL3.0:4:18657071:18663043:1 gene:Solyc04g026160.2 transcript:Solyc04g026160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICEPVDSFLCNISSKSRRVIVVHDPSMSYSVQDVSSIPNAASYIFNCISALSLYCFVCLFSGVPINLGEELVKNILSFEGTMTYEIKNIIALQPPYTDMKSSEIHNTSKVMKASFLICWHKVNNNGQLDHFGLLNSQIISLYILWNNNYIFRYSNHRDSDGIRLKQKEVHMENGHYNPKSWLILPVGFMSHCGWNSCIESITMGVPVVAWPMHSDQPNNGFLVIKIFKIGLILREWDKRRELISPSTIENVVRKLMESEEGDVIRKRAEGLGEAVRRSTKKQGSSRIVLDYFIIFIKR >Solyc02g005370.1.1 pep chromosome:SL3.0:2:8542577:8543082:-1 gene:Solyc02g005370.1 transcript:Solyc02g005370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKIVCDKAVNQLEKALNSKLEATIAIQIQALFEHVNSTFNKVIVDHTGATQQQFDSVNSPLAIAPRDAINPALAMTQTTSREFTDSQRQLLSLIVSGGNFQSTNQLNHINNGSLLHQKIETPPDPTKEISRKLGEH >Solyc01g008900.3.1 pep chromosome:SL3.0:1:2855139:2860849:1 gene:Solyc01g008900.3 transcript:Solyc01g008900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCTKFQWQQPRNGLILSSAFNLHCGSSPNALKETSKSNLPKEEHVSRFLKRKWALRSPDTKINQITISKDDVQQGGEYLGNVSFLNNPQPSLGDHMTRNNRQQPSFYVVRDDLLHPLVNGNKARKLDALLPLLEDSSVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPSTLTGYNLISTLYGNVSYVPRSLYARREEMLLKHAHIVAGNDGLVFSLSDLEASLFSHGCGEHSSHVDSLTKRSKKIAIINEGAGDAAALLGVVRLVEYLSRDHLFGIDQQLKIIIDAGTGTTAVGLGIGAVCLGLPWEVTAVMLADTIDGYRKKEESLISEFRRCFTLHLDEQMLTGLEPGLVHWVERSSPRKFGNILKGEVEICRKIAQETGILLDPVYTLAAWELATQLGQEECAKVVMLHTGGTLGMFGLAQRYKSYFEIC >Solyc06g064640.3.1 pep chromosome:SL3.0:6:40394696:40409042:1 gene:Solyc06g064640.3 transcript:Solyc06g064640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISNFTACRRFQNGAALTKDSPGVGLITNKFLATKISTPFHKVSLVCSKRTFKGSNIRMTMLDDKLSSGKVVVPSEVLAYELVQGAKVKWSYIMEGSLPEPPTAVLLHGILGSRKNWGSFARRLAQEFPKWQFLLVDLRCHGDSASLKKRGTHTVASAALDVLKLLGQLRLTPRVVVGHSFGGKVALSMVEQVPKPLARPVRVWVLDATPGEVRAGADGDDHPAELISFLSKLPKEVSSKRDIVDALIQEGFSRDVAQWVVTNLRQTNTAGSSPSPLSWVFDLKGIAEMYQSYEETNLWKIVEDVPRGVHVNFLKAERSLHRWALEDIRRIHVAEEQAVEEGGGVEMHVLEDAGHWVHADNPDGLFKILSFSFQGF >Solyc06g084100.3.1 pep chromosome:SL3.0:6:49386245:49387823:-1 gene:Solyc06g084100.3 transcript:Solyc06g084100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKFFDYFLLHVVFNTYKNLFSHSKEHEQPGQNNVVDDESTLGILEKALLRTIQDIDSEFTQAHTVLLVFIDFLTFPSDFQINFCMQEALKNEYISGSTAIVVLWMNGQILVGNLGDSKALVCSRKTHFDQENEGDLVTTLQAKELTMDHHPDRDDEKARIEAAGGVVLVVGVPRVNGILAVSRSIGDIRLKRYGVFAEPEVTGWRRLSIEDWYVVIGSDGIFERMSPQDVCDILHDNKDKIDSSSSLADCIVHNAFRKGSGDNLSVIVIPLRQESPPSLEHNQQI >Solyc10g079080.2.1.1 pep chromosome:SL3.0:10:60836388:60838957:-1 gene:Solyc10g079080.2 transcript:Solyc10g079080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-associated protein 5 [Source:UniProtKB/TrEMBL;Acc:C4NAK4] MESSKETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKDMILKQEQAKFAATSIENIVNGNSSSNGKEPIATGAINVQPGSADLKVISTEASSDLSSGPSSEVKPKEGPTRCTTCRKRVGLTGFNCKCGNLFCAAHRYSDKHECPFDYKNAGRDAIAKANPVVVAEKLNKI >Solyc05g055745.1.1 pep chromosome:SL3.0:5:66111856:66113763:1 gene:Solyc05g055745.1 transcript:Solyc05g055745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNQFRDNVDYSEATLQEMSTENIDGKSGKLIRDGNGNGNGKSGSCKEVAPLLRDVVVQGCCPLFNIDAERTFPETPFVLFVDGTSWLCFPSYVVITDLKSSAALSTCVSRTQFKKKKLSTYTAIPGVRVSFDNFLAIFSPAIAIFKNINFTRHLSTIRVTYKQTTMSIGSDNIIGAEFLPTLLILLDNIESEASEMTGSSAAATSLLY >Solyc09g065540.3.1 pep chromosome:SL3.0:9:63893174:63910612:1 gene:Solyc09g065540.3 transcript:Solyc09g065540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMALILRRKILSKPYISHQSSLYSTTPEIKSLNSQRIEKILIANRGEIACRIITTAKRLGIRTVAVYSDADRDSLHVKSADEAFRIGPPPARLSYLNSSAIIDVANKSGAQAIHPGYGFLSESADFAQLCENENLLFIGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGDEQDIDFMKLEADKIGYPILIKPTHGGGGKGMRIVQSPNEFADSFLGAQREAAASFGISTILLEKYITKPRHIEVQIFGDKQGNIIHLYERDCSVQRRHQKIIEEAPAPNVSSDFRSHLGQAAVSAAKAVNYHSAGTVEFIVDTQSGQFHFMEMNTRLQVEHPVTEMIVGQDLVEWQIRVANGEPLPLTQSEVPFSGHAFEARIYAENVPKGFLPATGVLHHYCPVTATSAVRVETGVEEGDTVSMHYDPMIAKLVVWGQDRLSALIKMKDCLSKFQVAGLPTNIDFIIKLASHRAFQNGEVETHFIERYKDDLFIDGSNPISAEKAESAAKHAASIVAACICQNELARLKDKAPGGLHLWYGNPPFRINHFAKRTVDLEWENQYSISGSNLLTVSITYLPDGKYLVETGESNSPGLEIQVTQLSNNDYRVEVDGLSLNVCLAAYSKDQIEHIHIWHGDSQHQFKQRMGLEIYDDEETIDKPARVATSYPSGTVIAPMAGLVVKVLVKDGEKVQEGQPVLVLEAMKMEHVVKAPANGYVSGLEIKVGQSVQDGVKLFALKD >Solyc11g013320.2.1 pep chromosome:SL3.0:11:6297279:6300599:1 gene:Solyc11g013320.2 transcript:Solyc11g013320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:K4D6D6] MADSSKSQSQVEIKQQCASMASTFSTEMTADERVPFPFFRPLSQKRANTWIISLFVILHLGAFTVTMIVNDCWENSYGDCALKPLRRFSFQPLYENPLLGPSASTLEEIGALQKTLLTNNQQLRRIFTSPWLHAGLFHLIINLSSVIFVGLHLEQEFGSFRIGVIYILSAITGSLVASLFVQDRPSVCSSGALVGLLGTLLSGLIRNWKSYTNKFAGLVATMTILMTNLVLGLIPYINNFANIGGFMSGFLLGFVLLFKPQQEKLARNKGGLFEFDAKDIVKCRKSLDKPVQRGSALVIFALLLAGIIMAVLHGIDINKYCSWCHYFDCIPSKWWSCTDKAFHCEKLVSSEHLTLSCPNTGRFKVFPFTNISEARFQDICNLICS >Solyc11g042520.1.1.1 pep chromosome:SL3.0:11:36445182:36445460:1 gene:Solyc11g042520.1 transcript:Solyc11g042520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNDGFHPSPCSSPRSGGRCRFFKNLNGSGKFAFNLFPYPYILLGGAYGFRLTIVTLFPSPSALHRGVESFCSTAVIFSPSPTILSREVEE >Solyc02g030310.1.1 pep chromosome:SL3.0:2:25979408:25983543:-1 gene:Solyc02g030310.1 transcript:Solyc02g030310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFTFAIANWTKVVSMLNIIANTLEVERVSAFSSNGCTIISFHPYSLSRLPSSTFELGSEHDSSALYAISNASSKKFSFIELFVTVQYAFSMCISGILSVVFQEFQLSCIAASPTVALNVQEFAPILRVRAPPLMDLNLERAPIDRVRCLCNVSESRERMASEKLYEQMGRANLLSGFEIILQDGGSRNTCVTDY >Solyc09g057583.1.1 pep chromosome:SL3.0:9:50080998:50082075:1 gene:Solyc09g057583.1 transcript:Solyc09g057583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLDLSSSGSFGSLQQQLFQNSSSPIQTTPPIPRKPPKLFKEKEGLFLWICKFAPRKNVGMLLHCVVFAAAFLWVLYVGKGEVAAEQSNRMFTPENVHKIYF >Solyc03g117120.3.1 pep chromosome:SL3.0:3:67850301:67858082:-1 gene:Solyc03g117120.3 transcript:Solyc03g117120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDLGNPEQLIVSQSQCKRRKISALDQILGENEADLPTLRSPDYFTEPCLSELAVRELMSSGYCSKVENFTVGRFGYGFVEFSGETDVRGLDLDRIVKFSRHEVIVYEDENDKPPVGMGLNKPAEVTLLLEVRSSKHYDVDSSRGLVEKLRLRTEKQGARFISFDLSNGEWKFFVQHFSRFGLNDEEEDEDMIIDGVSPEVQDPADMIGGDVSDIDEETFLANTTDLSHSLPAHLGLDPVKMKEMRMLMFPAEEEDIDDYPGVPFDRKPQFGKESSKSPLQHKFQRVSPPLTRKTPLALIEYKHGSFGSDSPGSILLTQQNKGVLLKTTKTEGFKLDVRQQTPISGTYSCNVVDAGLFMGRSFGVGWGPNGVLIHSGAPVGSKDNQSLSSIINLEKVAFDQVARDENKKVRQELVDLCFDSALHLHKEITHETKEFGEGTFVLKLQRLVCDRLILSDVCRSYIGVIERQLEVPDLSPASRVLLMHQAMIWELIKVLFSTRQLSGQLKSLEDEDEEDMIPDARETAADVDPEALPLIRRAEFSYWLQESVCHRVQEEVSSLNDSSDLQHMFLLLTGRQLDAAVELAASRGDVRLACLLSQAGGSMANRSDVARQLGIWRVNGLDFNFVETERIRVLELVAGNIHRALHDVDIDWKRFLGLLMWYQLPPETELPIVFRTYQRLLNEGKAPSPVPVYIDEGPIEVSMNWNAVKHFDLGYYLMLLHANQEIDFSALKTMFSAFASTNDPLDYHMIWHQRAVLEAIGAFSSNDLHVLDISFISQLLCLGQCHWAVYVVLHMPHREDCPYLQAALIREILFQYCETWSSQDLQRQFMEDLGIPSAWLNEALATYFNYYSEFRKALEHFLECGKWQKAHTIFMTSVAHSLFLSEEHSEIWRLAASMEDHKSEIEDWDLGAGIYISFYLLRSSLQEDSDTMNQDTIENKDNACADFISRLNNSLAVWTNRLPVKARVVYSKMAEEICSLLLSGSGRSSSNEVQLSCYDTIFKAPIPEDTRAYHLQDAVSLFTSYLSEVSS >Solyc06g051440.3.1 pep chromosome:SL3.0:6:34938599:34943572:1 gene:Solyc06g051440.3 transcript:Solyc06g051440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSTGRKISFDILSTFLSDDDYDYDSSIIPTCLRSNSDPSPQIIPIDDATSPTGIRKKKKKKKKHRRITEHSTISEFSVTDEELDRSFPVGEFNGYCYSVAQSSSVVVCEEPEAMPPPMPHSSCSVSSVTGLPFGELRQRNVMVNGVSEESVGSPQIAERESESVKELESRSNSRVEMDLNMDGIAGRSLEKEVSLDWKRLMAEDPNQTFPVDKSPVKCFMEEMYAGNSLRSTVALGNEKERERVYDTIFRLPWRCELLINVGVFVCLDSFLSLLTVMPTRLIMICWRFLKTRQFKKLSAVELSDIGCCVALSSGAILLQQTDISLIYHMIRGQGTIKLYVVYNVLEVFDKLFQSFGGDVMQTLFNTAEGLANSSTENTQYWVRRFIVDEVVAVASSNILLYFGQYQEISFLASSGFLNSPYLFILSSC >Solyc01g104340.2.1 pep chromosome:SL3.0:1:92632297:92643080:1 gene:Solyc01g104340.2 transcript:Solyc01g104340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Green ripe [Source:UniProtKB/TrEMBL;Acc:Q1HTV5] MLPRRYPQMDANPSNGGERDNALRGILQDLWPLDEIDPSTQKFPCCLVWTPLPVISWLAPFVGHVGICREDGTIVDFSGDSMIHFGQLFYGTVAKYYQVDRQQCCFARNFGGHTCRKGYEHVVFGTAVSWDDAVQLFRRTFENRNFKVFSCNGHSFAADCLNLLSFRGSMRWNMINVGALIMFEGKWVSRWSMLRSFLPFIGILCFGYLMIGWMFPIGLLSFVIGTFGWYVMICYCCKIEDDN >Solyc06g036550.1.1.1 pep chromosome:SL3.0:6:26562511:26562723:-1 gene:Solyc06g036550.1 transcript:Solyc06g036550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSINLLRLTLFFRASVNYLWIISFISLYYVLFETLINTTAFSIFNILNLMQFNLVLCLCHGICLFLPCC >Solyc03g044385.1.1 pep chromosome:SL3.0:3:9030233:9042296:-1 gene:Solyc03g044385.1 transcript:Solyc03g044385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKALGNDFDAYINQITEPTEDVLKWWRDRTKGFPKLVPMVRDILAMQASSVASEGVFSAARFQLGEHRHSLAADSLEISVLFRDWINAERRNLGREPLPTKFQDDVDEVMQDHKVPYLKLLEIVYSKKMKSLVHAINYAFEDSFQHYLENYWMV >Solyc01g096980.2.1 pep chromosome:SL3.0:1:87843370:87846986:-1 gene:Solyc01g096980.2 transcript:Solyc01g096980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGPNRASPPVYEDFRPVSEWHQEAGAEILLIFLPGFMKESIRVSIEGKNTVRAGGELFIGSNTWCRFQEDFQAPDDCNIKRIDVKFEDGILTITVPRKRPRQLLDEQTEQFPHRIPHKDDNIPPTNNHSTDENPRKLPSLQPTTQHAHKDQDSTRNETLGSAESSNTQKGDKFPPRTTYPTTEAAPRKPTPVKPATQQPKHQHAHKDQDSTRNETSGSAESSNTQKGDKFPPRTTYPTTEAAPRKPTPVKPTAQQPKPQHAHKDQDSTRNETSRSAESSNTQKGDNVPSRTTYATTEAAPRKQTPVKPTAQLPKPQHTHKDQDSSRNETSRSAESSNTQKGDNVPSRTTYATTEAAPRKPTPV >Solyc02g068460.1.1.1 pep chromosome:SL3.0:2:38969592:38970170:-1 gene:Solyc02g068460.1 transcript:Solyc02g068460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSCIPQITKSSTLNCDTTTTTTTNSLCSHELSPKNGSIKKSPIRRRSRASKKTPTTHLNADASNFRALVQQFTGCHTANTFLGAHKGPINLNFGLDENSDDFEEDNTITHVSSFGYDCYNCNDTQKEKGGVFVSVNENKTFGSSSTTNSSNSTTMDIGDFELENLCLSDFTGDDQLITESIWDHDGYLLI >Solyc06g068550.3.1 pep chromosome:SL3.0:6:42606015:42608129:1 gene:Solyc06g068550.3 transcript:Solyc06g068550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPWPYLVFLLLFILLTSSNANNEQRLHLKTLQRTHQSNSPSCYSQKSKSENGATVLEMQHKDYCSGSVTNMNRNHQKQLIIDDDIRVRSIQDSIKNIISGKIETSSQNNIPISSGVKLHSLNYIVTVTLGGRNTTVIVDTGSDLTWIQCQPCRLCYNQPEPLFNPSVSPTYRKVICNSSVCQTLQSATGNSGSCGNDLQDCSYVVNYGDGSYTRGELGQDHLVLGSTSVENFVFGCGRNNKGLFGLASGIMGLGRSDLSLISQTFETFGGVFSYCLPSAEAKSSGSLVLGGDSNTTIFKNSTPISYTRMLANPQLVTFYFLNLTGVTIGGVAIQDSAFGKTGMIIDSGTVITRLPPTMYKAVKAEFSKQFSGYPFKQGVSILDTCFDLSAYEEVNIPTVKMQFEGGAEMEVDVTGVLFIVKSDASQVCLALASLQYDDEIGIIGNYQQKNTRVIYDIKQSQVGFAKETCSF >Solyc11g012160.2.1 pep chromosome:SL3.0:11:5093506:5099772:1 gene:Solyc11g012160.2 transcript:Solyc11g012160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKSFVEVEPESHFPLENLPYGVFKPEPDSLPRPGVAIGEFVLDLSVIACAGLFDGPLLSNSDCFNQPNLNKFVGLGQPAWKEARVTLQKLLSATEPTLRDNADLRKIALLPMEKVQMLLPVAIGDYTDFFASMHHAKNCGTLFRGPENPINLNWFHLPIAYHGRASSIVVSGTDIIRPRGQGHPTGNSAPYFGPSRKLDFELEMAAIVGPGNELGTTIDVNDAANHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTISPWIVTLDALEPFACEVPKQNPCPLTYLVEKTSRNYDISLEVLIKPSGQADSCVVTRSNFNHLYWTITQQLAHHTVNGCNLRPGDMFGTGTISGPEPESYGCLLELTWNGQKPLSLGGTTRTFLEDGDEVTFFGYCQGDGYKIGFGRCSGKIVPSPL >Solyc09g092715.1.1 pep chromosome:SL3.0:9:72146532:72147488:1 gene:Solyc09g092715.1 transcript:Solyc09g092715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKAFFLIVLAILLVITSKVTSRQLVEPKTSDTPNDGPKFIGVQPGFGGGGYGGVFPGVGGGYGGYRGGGYPGGGYGGYPGGGRGYYGGYPRGGYGGFYPGGGYGGGVYPGSGWYGGFPRN >Solyc10g085020.2.1 pep chromosome:SL3.0:10:64477421:64480392:-1 gene:Solyc10g085020.2 transcript:Solyc10g085020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYDGDADLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYYEDEDEAQEE >Solyc10g084125.1.1 pep chromosome:SL3.0:10:63901002:63903518:-1 gene:Solyc10g084125.1 transcript:Solyc10g084125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTHFKIKDLGEMRYFLGLEIARSKDGIMVSQRKFALDLISDFGLAGTKPVGTPLEVNQRFTSQDFDMSYEAQDTHEDIVLDDPTGYQKLVGKLLYLTMTRPDISYAVQNLSQFMHKPKKSHMEGALRVIRYLKNAPGLGIMLTSKVCKQLSVYCDADWATCPMTRRSVSGFVVKIGDSLISWKSKKQKTVSRSSAEAEYRSMANVVSEVVWLIGLYKELKIEMEVAPALITYRRKPTHKSGLETIREEINEGSDDDT >Solyc06g071850.3.1 pep chromosome:SL3.0:6:44402731:44412923:1 gene:Solyc06g071850.3 transcript:Solyc06g071850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIPASNSVKIPSMKSESLVVDRNSGSVRLQPPLQYQPSKIVLADLNVDPPDSDGNDSVPVAAVSPICLSRVIADEICQDKLKKDIEIAETEGKQLKKLGKCRSRMGKLECPPDCNGADAEADHNVHGVPSSREEKVSSLKTGLIHVARKMPKNAHAHFVLGLMYQRMGQPQKAILAYEKSEEILVRSEEAIDRPELLSLVQVHHAQCILLGTLEDCSSDEELDPEELENILAKLKEVVKSDVRQASIWNALGIILLRSGRLQSAISVFSTLLDISPDNLDCLSNLGIACLQSGNLELSEKCFQDLLIKDQNHPTALINYAALILYKYGSLVAGAGANTLYGTSADQVTAANVAKECLLAALKADSKAAHIWTNLANAYYLMGDHRSSAKCLEKAGKIDPNCLATRYAVGVHRIRDAERSQNPNEQLSWAGIEMASILREGDSTSIEPPVAWAGLAMVHRAQHEIVAGFEVEHNELVEVKEHAIYSLKQAIAEDPADAVQWHQLGLHSLCTQQFKTSQTYLKAAVARRKDCTYAWSNLGISLQLSEESSQAESVYKQALSLATPKQAHTIFSNLGNLYRQLKQYECAKAMFNKSLELQPGYAPALNNLGLVYVAEGKWNEAKDSFDKAIQADPLLDAAKSNMIKASNMLNMYTSMS >Solyc02g079710.3.1 pep chromosome:SL3.0:2:44725429:44729783:-1 gene:Solyc02g079710.3 transcript:Solyc02g079710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLVGLVLLLSLVRCNNTRSDNLLPGQILSFSAANTLVSKQGKFELGFFCPGDCEKLFIGIWYTNIKPNTVVWVANRDSPICPPFNNSHLELSDGNLGIFNALKQRVWDSNLSTADVNRAVLLDSGNFILTNGIEIQWQSFDYPTDTWLPGARIGFDKSKNTLQKLTSWTNTNDTASGHFSLELDPNQNGELVMLRNLVKMWIWWPLNLVESNFSYNPTEHSAFITYNVFNESVVSRIVLDHSGLMRELFWSNEHQNWMLMRSVPIDMCDTMNLCGAFGICDINTSPTCGCLQGYEPKFPLGWDTNDYSGGCVRITPLQCGSNNNGFVHMQNVELPASSESVQVGNDRICEYICSSNCSCKAYAYSNSGVCLLWNDDLINLRRLSGNSSQAVLNIKVFERSNKGNKKSLFVVLVASITSAIFVCGTCCYFLWRRKLKKKGILRKMKFREMLLSDSATNMSKPATSIGKRQEKKGDIELKFFELHDLKAATNNFSPDNKLGEGGFGPVFKGQLPDGQQIAVKRLSTQSRQGISEFKTEALLIAKLQHRNLVRFLGCCVEEEEKMLIYEYMPNKSLDYFIFDESRRSLLDWKKRHEIIIGIARGILYLHQDSRLRVIHRDLKASNILLDEDMNPKISDFGTARIFSANQDEANTLRIVGTYGYMSPEYALAGLFSVKSDVFSFGVILLEIISGKKNRISYNSDSPPNLIRQAWELWNDGKAFMLIDPTIVDSCPGEEALRCIQVGLLCLQVNAGDRPTMSSVLFMLSNEATVPSPKQPLITPNSESGTTETTPSSINEVTITTPDGR >Solyc02g087070.3.1 pep chromosome:SL3.0:2:50236632:50250671:1 gene:Solyc02g087070.3 transcript:Solyc02g087070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVMLKNLLLSSLRKFIHKDFHEIFDKMTLIDKLFFLIVHFIDKHNFWHRLPVFFGLLYLGARRSLHQQYNLINVGRTPTGVRSNPADYPYRTADGKFNDPFNEGTGSQFSFFGRNMMPLHQNNKLKKPDPMVVATKLLARRKFIDTGKQFNMIAASWIQFMVHDWIDHLEDTQQVELRAPKEVANECPLKSFRDGSVIYGSNEDVLKKVRTFRDGKLKLGENGLIQQDENGKIISGDVRNTWAGLLTLQALFVQEHNAVCDTLKKEYPELEDEELYRHARLVTSAVIAKVHTIDWTVQLLKTDTMLAGMRANWYGLLGKKFKDTFGHKPENHGVPYSLTEEFTSVYRMHQLLPDTLQLRNIDATPGPNKSLPLTNEIPMEEVVGSKGKENLSRIGFTKQMVSMGHQASGALELWNYPVWMRDLIAQDVDGTDRPDPIDLAALEIYRDRERSVPRYNDFRRGMLQIPISKWEDLTDDEEAIKTLGEVYDDDIEELDLLVGLMAEKKIKGFAISETAFNIFLLMAIRRLEADRFFTSNYNDETYTKKGLEWVNTTESLKDVLDRHYPEMTDKWMNSNSAFSVWDSSPQPHNPIPLYFRVPQDRERSVSRYNEFRRRIFQIPISKLEDLSDDKEVIETLVEIVHIIDKHNLWHRVPVFLGLVYLALRRHLNQEYNLINVGRTPSGVRSNPGDFPYRTADGKYNDPFNEGAGSEFSFFGRNMMPVEQHDKLKNPDPMVVATKLLARREFIDTGKQFNMIAASWIQFMIHDWIDHLEDTQQELRAPEEVASQCPLKSFKFYKSKETPTGFYEIKTGHLNRRTPWW >Solyc04g076060.3.1 pep chromosome:SL3.0:4:61112239:61116622:-1 gene:Solyc04g076060.3 transcript:Solyc04g076060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEEKGQKKTHKSPFSLFFSLHTYSVEKNRFLIRLNFLSTSSMAALIPENLSREQCLYLAKLAEQAERYEEMVQFMDKLVLNSTPAGELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEESRKNEEHVHLVKEYRGKVENELSQVCAGILKLLESNLVPSATTSESKVFYLKMKGDYYRYLAEFKIGDERKQAAEDTMNSYKAAQEIALTDLPPTHPIRLGLALNFSVFYFEILNSSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDAQDQLDES >Solyc02g030468.1.1 pep chromosome:SL3.0:2:26635986:26636450:-1 gene:Solyc02g030468.1 transcript:Solyc02g030468.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLWNIACKASQVISQNHIGFFDGDMAGYPLTLMSITGLYVFLGANWISNYSKKQHTRTMSGPELEYRSLISLAAKLTW >Solyc01g059965.1.1 pep chromosome:SL3.0:1:69321663:69323709:1 gene:Solyc01g059965.1 transcript:Solyc01g059965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucan endo-1,3-beta-glucosidase B [Source:UniProtKB/Swiss-Prot;Acc:Q01413] MATSQIAIIVLLGLLVATNIHITEAQIGVCYGMMGNNLPSHSEVIQLYKSRNIRRLRLYDPNHGALNALRGSNIEVILGLPNVDVKHISSGMEHARWWVQKNVRDFWPHVKIKYIAVGNEISPVTGTSNLAPFQVPALVNIYKAIGEAGLGNDIKVSTSVDMTLIGNSYPPSQGSFRNDVRWFTDPIVGFLRDTRAPLLVNIYPYFSYSGNPGQISLPYALFTAPNVVVQDGSRQYRNLFDAMLDSVYAAMDRTGGGSVGIVVSESGWPSAGAFGATHENAQTYLRNLIQHAKEGSPRKPGPIETYIFAMFDENNKNPELEKHFGMFSPNKQPKYNLNFGVSERVWDITNSTASSLTSEI >Solyc11g071560.2.1 pep chromosome:SL3.0:11:55278585:55280270:-1 gene:Solyc11g071560.2 transcript:Solyc11g071560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGAASNQTYEDFVPTTELVQEQDSDILLIHLTGFKKEQVRVQLTKTGILKISGQRPVAESKWLRFQKDFPVSQNCDKTKISAKFENGILHVKQPKLITSSENKGQELPTSDAQQQQKPADEPQSTPQRKDEQQTKDEKTPTPTEELPKHQDTNADKPEMEEPNTKEANDLAEKTPAERTGASSTVEDGNKPSYACKLDKDAYTGTATVLAEKLKMPRKLMNMTLIALLVLGIGLYISNKMKSNN >Solyc04g050413.1.1 pep chromosome:SL3.0:4:46959157:46959676:-1 gene:Solyc04g050413.1 transcript:Solyc04g050413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPLPLHPPFALLSPLPLTLPWHRSSIPPAPLSRKISSIKPKPTSLSGGIPACPWGHHPEPPLASITAISPGCAFLSNVSVVVPSTAAIVIKALKEPERDRKKTNNIKHNGYISPHVVIEIAKVMQPRSMAKDFS >Solyc01g020340.2.1 pep chromosome:SL3.0:1:29248107:29252053:1 gene:Solyc01g020340.2 transcript:Solyc01g020340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHQIECFFQRSKTMLHLLQLHSLFIKTAIHHDEYRLSQFISLSSSISLQYTRTFFDNSHIIPSIFAWNTMMRAYSQLESLKLFKQFQKIGLKPDKFTFPVVLKVSGHCLMIGTGGSLHSMAVKSGFGSDLHVDNTLLRMYAVFGVIKFARQVFDEMLQRDIVSWSSMMSAYVHCNLPSDALLLFQCMKLANEKPNSVTLVSLLGACTHILNIGLGKCIHSHIVTSGIELHVELETALLGMYAKCGHIQQAFRIFNSMDDKNLQTWTIMISGLADHGHGEEAMSLFARMEESGFRPDSLSFSAILYACSHNGFVDAGREYFEKMASIYDIRPTMEHYGCMVDMYGRAGELEEAYDIIRSMPTEPNSVILRSFISACKHHGHIPCAEENIREILLKIEPDLGSNYVLASSLSHLFGNCSDANSLRSSMKVKGIKKYPGSSWVQSLDSLAEGSS >Solyc05g012460.3.1 pep chromosome:SL3.0:5:5699681:5703435:-1 gene:Solyc05g012460.3 transcript:Solyc05g012460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDEEPPLAVEINDAVNSNQLTKSSKLPPVGVTVITGYLGSGKSTLINYILKTQHGKKIAVILNEFGEELGVERAMINEGEEGDLVEEWVELANGCICCTVKHSLVQALEQLVQRKERLDHILLETTGLANPAPLASVLWLDDQLESDVRLDSIITVVDAKNLRYQLKPNPDSSSFPEAYLQIAFADVIILNKVDLISLDDSGAVLEELVKEIRDVNSLANIIHSVRCQVDLSKILNCQAYDPTHAAHLEALLKENKSLTTKGLHDSEVRTLCICDAQQIDLDKVRIWLEELLWDKKYGMDVYRCKGILRVRNSDELHTLQGVREIYEIVPSRKWRNEEIQMNKIVFIGRFLNEEILLHSLHTCIVGTN >Solyc08g074280.3.1 pep chromosome:SL3.0:8:58496282:58515413:-1 gene:Solyc08g074280.3 transcript:Solyc08g074280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGNIYKRRVKVFAVALIIYFDYKALQQREKWANKLKIASLWEKAHERNAKRVLNLIVDLEGLWVKLGQYLSTRADVLPEAYTRLLKQLQDSLPPRSLKEVCKTIEKELGKTMDDLFLYFDKVPLATASIAQVHRATLSDGQEVVVKVQHDGIKAVILEDLKNAKSIVDWIAWAEPQYNFHPMIDEWCNESPKELDFNHEAENTRKVSRNLHCNKRCDDSNPANHVDVLIPEVIQSTEKVLVLEYMDGVRLNDAESLQALGVDKQKLVEEITRAYAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKLLSSSLKQALAKMFLAAAEGDHVALLAAFAEMGLKFRLDVPEQAMEVTSVFFRSSTPANEALESMKMLSEQRLKNIKVIQEKMKLNEKEVKRFNPVDAFPSDIVIFGRVLNLLRGLSATMNVRIVYIEIMRPFAESVLQCNLNREPALNPRWIYDTPIHSDVEAKLRQLLVELGNAEKILGIQVCAYKDGEVIIDTAAGVLGKYDPRPVQPDSLFSVFSATKGICAGLVHWLVDNGKLKLEDNIANIWPEFGSNGKDQIKVHHVLNHTSGLHSAMSDINQEDPFLMTDWDECLKRIAMSAPETAPGREQLYHYLSFGWLCGGIIERASGRRFQELLEEVFVRPLKIDGELYVGIPPGVESRLATLTIDMSDLTKLSNVGNRSDLPTTFQPQQMAQLATTLPAIFNSLYARRAIIPAANGHCSARALARYYAALAEGGKVPPPHHSSMPTLGSHPHIPKFPSQQTVKKQKSQKKTGLDDQGPGQTQSSNLSTQISSGHHDKGNVYIQIPSDNRCSIDDSSSDNRTIKLFHNPKVHDAFMGVGEYENLTYPNGMFGLGFKRSYSTNEELIGFGHSGIGGSTGLCNIEHKFAMAVTLNKMSFGTVTAKIIHLICSELNIPVPQEISRLVETGSTSQLGIGKPLIN >Solyc07g008090.3.1 pep chromosome:SL3.0:7:2782160:2786328:-1 gene:Solyc07g008090.3 transcript:Solyc07g008090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQQDRLVSIGNLEAAVSLLLSTPPESSYFSANALRAVALSSAVSTSLLELAVKVVAANMVRTDRSLSGTHLLCAVGRHQEACSQLQDAGCWTDAATLAATHLKGTDYARALILYVAAGALPEALASLRGAQQPDTAAMFILACQEIHSEYLSSLDDELRSSDKLVNLPGLNPESEDVHAVGEYYGQYQRKLVHLCMDSQPFSD >Solyc07g062300.3.1 pep chromosome:SL3.0:7:65193252:65197406:-1 gene:Solyc07g062300.3 transcript:Solyc07g062300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSFLFFSLIAFFIAYLQFQVHAAAPAGPLIKHLNSILKWSRSTSKTPQSDGNFLQFEEGYLVETVVEGNELGVVPYKIRVSEDGELFAVDAINSKIVRITPPLSQYSRARLVAGSFQGHTGHVDGKPSDARFKNPKGATMDDKGNIYVADTSNLAIRKIGEAGVTTIAGGKSNVPGYRDGPSEDAQFSSDFDVIYVRPTCSLLVVDRGNAALRQISLSQEDCDYQYSSVSTIDVLMVIGAIIIGYAACMLQQGFGSKTQVRVEVEQEEPSLLKEKPTPVVETVKDEQEAGWPSFGQLLWDLAKLAIEGLGSLFGYAVPLRYRPKSFIRPGLTPLKDSLIMPEDEVEPPLVQKQRAPPPASEIRHVPAVGDKVVPEGKPTKIRSSSSKDPNLSTKHRSSRRHEYAEYYGASGEVPSYVHVRSKSQKERTKHRRHDSSVGAAGVETKPADQPKAVNYEDPKFAHYSMRNKYGDSFPRYA >Solyc12g082750.1.1 pep chromosome:SL3.0:12:16907191:16912050:-1 gene:Solyc12g082750.1 transcript:Solyc12g082750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSKPANFVPNLGKDFCYSILLLKQMVTNGTPLAIESVVISGESSVPEEEVTVNYAIGALMQFEGEFVQFPCPVLQHVYILHYNYLSGVTIHSILDLGVKPHLISKALLLSNFLYKHRGLENVHHCSTPAAKDCIIRSSCVPYDKVHQKSMLCFILPQTLYLFVLSSIFLLGYSDVVKYHRCRDSSSRTLGSRFSNGRRVMITLSLSSGDDFDHWRIGEGNGIVSH >Solyc08g082410.3.1 pep chromosome:SL3.0:8:65341928:65349964:1 gene:Solyc08g082410.3 transcript:Solyc08g082410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSSKHKSHKQSKYSPKECRDYSYSDSDSEVKTKEKEKERSSKEDSLARVSKDSIHSGSGEKRKHSSQSKEGKDGKDLSGYGNGDASEEYVSSKRRKEKVEVGSGGADRWNGAADNALKGESLKIDADKGSKGKETKSSSDSKSKSSKKEGNVASLVEKEESKSGRVESKRKSEKDSGRKEGKDSKEVKEKERGSDREKKGHESKRDDADNVKKQGSQSGDVTEEKQNKKGRETAEWSIQNEAPNVDLDKDAEKRARKRREIPGDRDKYDDDINEGDERRLSSRSERTKGEKQRHEKHKEYKEDVDKDDRHKDDRYREDVDKDRKRDVEMTSTWKMLTEIADRRKDGRYREDDERDSRRRDDKYREDGDNDNRHGDDKYREYGEKDGHHDEDRYHEEGERDDRQRDIKYREDSERDKRRKDEKHRDDFERHGRCKDVSEADESDKKRRLNDAKYGDERAPRDHSGDRTDAKRSRDEGHASDLHLRRSGMHEGNPGYDRARYKDEPGRRRALDKEDLGDIRSRSSKDQRSDAEKRSISSVRVESVSDRGRSTSRNADAELTPQKSRWKSSPNAGPHSTRDNYRLSKQEESKYRDYPYEERIRHGGASRDYAGSAASIERISSSRSTEKMIQKEDIFLGDHSAERRLKSDVRSSPMHLVDRSPTSASNERRHLNRSDVRRSLDVEDSTQRSGGGSREVKEGRGNRDFAGDAFAGDELSQMDGDNASDSSPFIRGSHLSGSSKSALPPPPPFRSGVDSPSMFGSLDDDSRGKSTNRHRRINDPTIGRMQGNAWKGVPNWPSPLANGFMPFQHGPPPVGFHPAMQQFPGPPMFGVRPSMDLSHPGVPYHMPDADRFSGHGRPMGWRTPLDDSCGPPLHGWDANNFGEEAHLYGRPDWDQNRTLSNNSRSWETIGDVWKGPIRGTSVEVPSGSQKEVCSIQGPDNSFASQLAQQALGEQKQTDQDAESNNISFQSSSVPGRNTLEDLKINHEEQPIDVKSSGKGEASLNNVYLKKLDISADLTEPELFDRCTSLMDVEQILTSDNSKILFLEGAVESNVVLPSKFSTVPLIATVADSVFQKAISLYKRREKIEFTNGGHFTFSGQLGVSSPAPKLENSSSVHGKLECSGLVDDALVEEGDEGTDLLVSSISSEEVVLSQTTLQELCEPMGLNPGEKSDLPSSLDEGAVPTEKSDFPTTMDEGAVPIEKPDLPTSMDEGAVLSEKSDLPTSMDEGAGPSEKSDLPTSMDEGAGMEADTVVDVAQEIKVLETAEEVGQTDALASLVSKDLMGADDVDAKCDALPHTDVSTEVFEAVVPESIESNLSRIQHSSESTH >Solyc08g016415.1.1 pep chromosome:SL3.0:8:7541081:7541790:-1 gene:Solyc08g016415.1 transcript:Solyc08g016415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTKGYIYFKTSCQEWFSYIKAFFVGQGKKMRAKSEEELTEADMVKAKMEVEATDEAENTKKQLHKSS >Solyc02g011873.1.1 pep chromosome:SL3.0:2:14153764:14155455:-1 gene:Solyc02g011873.1 transcript:Solyc02g011873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLSNMDSSMCSSVPDREMWIIQGTLPWLTPPVRIGECLLTSFFSHRSYFTKTCLGIEEGGNTHTWKAQYNGELYDALGKEESLPKRNLLILFQLVGPLLARSSIDFSCTVDGVGGSPMVPSSEISGEEYQGSRTGNRILFNKRNSLALRSQIRQSGWILTYFLSLASMVKSIGGHQRRWFTLRRMSSTRDCIYSKVNGEVQTGKGLRWIPRNPEMRKGAVIDKSLRGVENKHRYGDSRIGQPLELQLDPWSGKR >Solyc12g008520.2.1 pep chromosome:SL3.0:12:1931474:1935049:1 gene:Solyc12g008520.2 transcript:Solyc12g008520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAIKWLKGLFGIKKLEIDEKGKIGTTCFGHSGRDTTAVAAVSDRLCHNPTTIPPNITPAEAAWLSSFYGDESDKEQSKHAIAVAAATAAAADAAVAAAHAAVAVVRLTSQGRSSAVFGREKMAATKIQTVFRGFLARKALRALKGLVKLQALVRGYLVRRQANATFHSMQALMRVQASVRALKINGGFYVNHQNSQFQQRKSIEKFEESRSGSTKQMSSRRLSSSFEANNINEESAKIVEMDTGRPKSRSRRTNTWASNPCDDPFEQVLSSWASDWAQQPVGTAQSTPRFANSCGSNTPTAKSVCVESKYFRNNNYYDTNNNYYPNYMAKTQSFKAKLRSHSAPKQRPELGSRVKKMSLNEMMESRVSSSGVKMQRSCSQAQEKISINFKNVVMSKLGNSTEGERDF >Solyc02g072335.1.1 pep chromosome:SL3.0:2:42169969:42171031:-1 gene:Solyc02g072335.1 transcript:Solyc02g072335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGFLDTDITPDHPSFNDEGVPPPPAKWKGKCEFNFTTACNNKLIGARYFKEFGNGTPLDENGHGTHVASTAAGNFVSGANVFGLSNGTASGVAPLAHVAMYKAACSESDTFAAMDLQSKMVLIPFWDDSIALCSFTAIQNGILVSTTAGYMGPEHGIVANGAPWLLTVGASTTDRKLRATVLLGDSEEIDGESAFQPEDFSQTLLPLVYPGKSSSDLMAPFCNAESLKNINVKGKIVFCEDGGKINGLDKGHFVKDAGGAGMILMNEEPQGFTTQAEPHVLLAAAHISFIDLFKGTTCGDDHAPAVAAFSSRGPFPESPGILKPDIIGPGISILAA >Solyc04g025740.2.1 pep chromosome:SL3.0:4:21174663:21178062:1 gene:Solyc04g025740.2 transcript:Solyc04g025740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVGKKHVGESSTSQNKANKKKKRFRLTVEQIQQVKDFLKKCPHPDKDQLIQISEEVGLKPKKIKNWIYNNKTQEKIQVEKSANKTLRTENEKLRSENMAMKEAMKKIICPQCDSERASNLENLKAENQRLTDKILWYSILLGGENF >Solyc09g064746.1.1 pep chromosome:SL3.0:9:62333848:62334695:1 gene:Solyc09g064746.1 transcript:Solyc09g064746.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTFTDGDMALMLLSSLPDEFEHLETTLLHGNDEVSLKEVCSALYSYEQRKREKQKGGEAEALVARDRDSQKMNVPFAEKKKDCPKLNSKAKPNNGKAVMDSNVADCDDSDYSLVTTDPSKSSDVWLMFIDLQEGECGVIHTANNNPLTVYIVGSVRLRNHDGLSRTLTDVLYIPDLKKIFIFRFKVIANNGVMRICSDALVVMKAIRRNNNMYHYQDSTIIGTTTTTSNDEKDAEMTKL >Solyc02g076870.3.1 pep chromosome:SL3.0:2:42554307:42562366:1 gene:Solyc02g076870.3 transcript:Solyc02g076870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECLEKLRGCLTLSLVQILASPLRHWSARINNICRSQSFRAHAMSTTEGGVMSPKVLMDTGDEPEHLLVLVHGILASPSDWTYVQAELRRRLGRNFLIYASSCNTFTKTFTGIDGAGKRLADEVRLVVKKKESLKKISFLAHSLGGLIARYALGVLYSSDNCNEQYNDAVTSTSANLKPVCSSNVGLIAGLEPVNFITLATPHLGVRGKNQLPFLFGLPILEKLAAPIAPIFVGQTGSQLFLTDGKPTKPPLLLRMASDCDDGKFISALGSFKWRVLYANPPWRSLDGYKHVVDVEYCPPASCEGPHYPLEAAKAKEAAQNAPNTQRTLEYHESMEEEMIRGLQQLGWKKIDVSFHSAFWPFFAHNNIHVKNEFFHNAGVGVIAHVADHIKQQEMQSESTSLITPSL >Solyc10g085255.1.1 pep chromosome:SL3.0:10:64628984:64636970:-1 gene:Solyc10g085255.1 transcript:Solyc10g085255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPTTGIGRASRDGKAEMKSTKSFRFLCEVPSICIAATMFCFMSTTLFFTSLPSSLNMSFLIVFSMTVLSIASNSNPALDEAPVTDPAAENLKLQDGVIAFIPSKGKYFSGRCKMVIIECKYAALTTIAPDTRAQAPSSAAFQDSLIHLLDVSNAPTTGVGGGSRDGKAEMKSTKSFRFLCEAPSICIPATIFCFMSTTLFFRSLPSSLNMSFLTVFSMTVLSITSNSNPTLYMWLRYLAVTGWSARNGLHIIGTSSHILSSKSLENKGYFQAAALVLNAPGMEVDSLIYLLDVSNAPTTGVGGGSRDGKAEMKSTKSFRFFCEVPSICIPATIFCFMSTTLFFTSLPSSLNMSFLIVFSMTVLSIASNSNPTLRNNIGYSMSCIVFQIVATDDPILLIALKKSSTGSATVLLTAGIGTSLNLKG >Solyc10g050323.1.1 pep chromosome:SL3.0:10:48882535:48883944:1 gene:Solyc10g050323.1 transcript:Solyc10g050323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTQYRPDRNSNSFNIDNNSLTIFSCHGKPLAGGSCTNLNTLEINQAHFYILQNCKEVRPWIEEHLEILTKENNRNVAKRHKEEFPLWFEKKVIQLKENGDNRITEELLILARPSDRRVFCYSGYILNGSRFRTMESELGLKTQNSGVVVKSDEHTGNIDYFGRIRRILEIQYMNNKSVMLFQCDWFEVPTQGRSQSRGYKKDEYGFVCVDITRLHYTSDPFILGSQAQSVYYVKHGQSEKWHSVIRVRPQHLYDLPEQKDEMEQYQLIDLVERGETIQEVELEHDNIRIERGDIDGVSVEAPLNNEEEADLVVIDDIDHENVDEFSDSEIDEDTSEYDEVEDDDWF >Solyc01g081000.3.1.1 pep chromosome:SL3.0:1:80170543:80171496:-1 gene:Solyc01g081000.3 transcript:Solyc01g081000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDIAPPKRCRVTDESEVDVIAGDYDERHEGLTPACDFMDEDIKPYETFIPEEVDSRDVAVTGKTIMVAGSFYIPKLNPAKPLGEDASFICLNKQVIGVADGVGGWAKKGIDSGMYSRELMKNAELAIHKQSNSTTTTSIDLMKVLNEAFSKTKNKGSSTACILSLSDDTLHAVNIGDSGFAVIREGTIVYKSKVQQSRFNCPFQLGNESTSDKPNVAEKISVPVIAGDVIVLGTDGLFDNVHDFELETIVNAGVDSWESDVPETLAWRIAQYALDNAKNTEVYTPFTRESHKAGIEHNGGKIDDITVIVAYIWPL >Solyc12g014360.2.1 pep chromosome:SL3.0:12:5213709:5219390:1 gene:Solyc12g014360.2 transcript:Solyc12g014360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWNFFSIFICIFTSFFVLLFHHSNANANANVKRSCNFFEGNWIEDETYPLYDSIQCPFIEHEFNCQKNGRPDQDYLKYRWQPHGCSLERFDGGAFLNKFKGKSIMFVGDSLSRNQWQSLVCLLYTSLPNTKYNTTRVGDVSIFTFLDFELDVMLDRSVYLVDVVREEKGKILKLDSIEGGKLWKGIDMLIFNTWHWWNRRGTTQPWDYIEIGGQYYKDMDRVVAFERALFTWAKWIDTNINPAKTIVFFQGISPSHYNGTNWNEPGVKTCLGQMQPISGSTYPGGLPPALKVLKKVLYTIEKQVTLLDVTNLCLLRKDGHPSIYGLSGMDCSHWCLAGVPDIWNEILYNFIVMT >Solyc01g009520.3.1 pep chromosome:SL3.0:1:3714708:3718066:1 gene:Solyc01g009520.3 transcript:Solyc01g009520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLILCLYKLQSDALREAITVIKNDSSEKKRKFTETIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKICMLGDAQHVGEAEKIGLEYMDVEGLKKLNKNKKLVKKLAKKYHAFLASEAVIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATIKFQLKKVLCMGVAVGNMDMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKTQRIF >Solyc04g072370.2.1 pep chromosome:SL3.0:4:59468127:59471990:-1 gene:Solyc04g072370.2 transcript:Solyc04g072370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLLWLLRSLLSAMIMVYILILRKTVVVTIINSFRSLSCCYLRTFHNVKGANNWNLVHRGSVHVAVQRDCTSRNEMQISDAGVACEEV >Solyc07g042950.2.1 pep chromosome:SL3.0:7:56611499:56620299:-1 gene:Solyc07g042950.2 transcript:Solyc07g042950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVEKFYSDAASLQQSFGLAEFHDRFPVSGIFKLLESDLINGNLVSVLIPLMTLRETIDQPLIPGLPDDLALRCLAMISHGYHGILETVSRRWRKLFHSAEYSNYKAREGWSGNWLFVLTGGSENQWVAYDPEADRWHPLPRIPTSHPDQEHLGFSCACVLNKFLLIGGTYGARDQVIPHQTALTTNEVFQFDPFRKEWRNVASMRTARSNFACSVVSGKVYVAGGRNTSSGGGLVLAEVYNPLTDKWEDLPPLPSPQMDCIGLPYNGKFYVLTDLVGLLEQETSVVFDPSDETWLSVNDTWPFSRAMHLGIQVLDGGHICTVVDWGGSAIETRDVDNTEWHRRGLVPPVVLPDHPRPLEVFDYGFVALRNEIYVLGGRVLRWEESGTGKFNIVKLSTVRVCNPLSLPLRWREIRPMCEPAFGSILGCASMETRSL >Solyc03g083340.2.1 pep chromosome:SL3.0:3:54591752:54594647:-1 gene:Solyc03g083340.2 transcript:Solyc03g083340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNKESEENIGKYTLKRKKGTKGMQNNAIDKVVTRKDYKEWTKDLHAAFMQAVSQLGEGRCYPKEILQAMNLPDLTRMQVASHLQKCRRNNWRSPEERKNIRRRSTKRRTVTFEEPKSNFRKFGVMPSPQANVPNQQWNPFPTHNTNNIFTQRESSIQRQQLYRPQFQVQPHYLSIDNSFLFSQTNNGENHSGKNYNSNLNVAQGATPIMPATYIQNAIINNYNLNVNNVTTYSSRGMMPNAYVGNVTINGMGATNANASSQQYVGEPIMNGPRNIDVAPYENYVEGSNSNKKENRDVYLNFNNMDSLFQNLGPSSANLPSELDTEFDQVYSDDQDLGPPNANLPNAQGNELDQVYSNIQNLETPSANLPNEQGSQFGQVYSDEQNRGSLSANLPNEQDNEFDQVYSDD >Solyc07g006980.1.1 pep chromosome:SL3.0:7:1792108:1792967:1 gene:Solyc07g006980.1 transcript:Solyc07g006980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWLLVRFNASDFSKRLKGKRLMLVGSTVNRNQFESLLLHNITQITLGEKNWNSRISEPGFSFNNTSPEFQMRLQIFGQLL >Solyc04g071400.3.1 pep chromosome:SL3.0:4:58462003:58465528:1 gene:Solyc04g071400.3 transcript:Solyc04g071400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLVISCAMSMEKQKHYIAMLLTQAIFAGMALFSKAAISQGMNPYVFVTYRQAFAIIALAPLAAFFERKSDVPLSYNIMLKIFLMSLLSTLSLDLYYFSIHYTTATFAAASTNLIPAITFFMAVILRVEALAIKKSHGMAKLLGSSIGVTGALVFALVKGPQLNFMKGNTRGTHSSNLNYSLKEEWLKGSLVMVLANFTWSLWLILQVRIVKQYPAKLRLATLYCLFSWIQSSICAMVMERNISAWKLKWDINLFSVAYCGYIVTGLTYWIQLWTVEKKGPVFVSMFTPLSLIITAIVSAFLWKETLYVGSVCGGILLVGGLYLVLWGKNREAEREINQ >Solyc02g077870.1.1.1 pep chromosome:SL3.0:2:43276388:43276813:-1 gene:Solyc02g077870.1 transcript:Solyc02g077870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKTSPIHRRDSVSGDSLSFAGLVCMQDVCVVQAHRDIPSSKKETEFEFGHHVTHNISNNCPADILFYKGQLLPQAIQLPQQNQVLKQFNSEKDFPTVRNNNNKTSAGESFGQKILRLASPCRDCHATATIPSTKPQALG >Solyc09g091090.2.1 pep chromosome:SL3.0:9:70922661:70925094:1 gene:Solyc09g091090.2 transcript:Solyc09g091090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CWD5] MNQYCNSPCSPLMVHELEQEDLFAGRCILVNGPVIIGAGPSGLAVGAGLKQQGVPFVILDRANCIASLWQNRTYDRLKLHLPRQFCELRYFPFPQNFPEYPTKYQFISYLESYAKNFEISPRFNESVHSAKYDETCGLWRVKTVCRNGSVIEYICRWLVVATGENAERVVPEFEGLEDFGGHIMHACDYKTGEVYEGKNVLVVGCGNSGMEVSLDLCHHNASPFMVVRSSVHVLPREILGKSTFELGVSMMKWLSIDVVDKILLVAARLLLGNIEKYGLKRPSIGPLQLKNTEGKTPVLDIGALQKIKTGDIKIVPAIKKFSQGKVEFVNGEIQEIDCIILATGYCSNVPSWLKESEFFSREGFPRSPFPNGWKGKAGLYAVGFTKRGLSGASLDAIKVSQDIGKIWKEEIKQKNQSVAVACHRRNKLSSF >Solyc02g030240.1.1.1 pep chromosome:SL3.0:2:25825206:25825379:-1 gene:Solyc02g030240.1 transcript:Solyc02g030240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPPCFLSGCRMILKSPPIHQQLFLLLRSSILLQNANLSEEVKFCVYNTFIKLLMK >Solyc02g068895.1.1 pep chromosome:SL3.0:2:39342771:39344863:1 gene:Solyc02g068895.1 transcript:Solyc02g068895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFEYLAVDSPISCHIVEDPLLQCTLLDLVLFPLSRFDIPEIVSCVDADGLAEEDSFPGKLLNVILYSNDVLEREALINLP >Solyc11g005270.1.1.1 pep chromosome:SL3.0:11:223452:224024:1 gene:Solyc11g005270.1 transcript:Solyc11g005270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVKKLYSIFDSRKENPRSSIPKPQSKHSSKPLLLAGTEDRVVIYFTSIRGIRRTFEDCYTVKMILGSYRVKVDERDVSMHMAYRKELQNVVGEKNNHTLPQVFIKGKYIGGAELIKQLNEIGELPKLLRGVPLRPIGYICEGCGDVRFVPCSNCDGSRKFFDEDEGQVRRCLICNENGLIRCTLCST >Solyc04g009410.3.1 pep chromosome:SL3.0:4:2830248:2840325:1 gene:Solyc04g009410.3 transcript:Solyc04g009410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTARSGKKGQGKEKLKQCFSSYCLDKIPSTFDEFVYWVCDDCEVKVPNELTIMNSVGVPPENCTSSRHLKASSEAKLDIPPSIAEVDLTAVQNKSSEKDVEKHPRIDLVEHSHLDKKSNPTSLIDEKNCIHAVVEQAQPVLDPIWRGGFTIWNKEYKTFDGLVAHLSVKACQKVFEEAKLFSLLLHLEMLPKSDIWPKSFNTLEPRVDNIALYFFPSDARYEQDFDHLIEEMIGEELALRAVMTNAELLVFTSTELPLQNWRFQHKHYLWGVFRAKQESSSSQMVSNRAKSVTLQTPVNVLAAVDHINLVKLNTSRAESPISTLSNNIFEYNGSALVAMVGKNCFAIASDRRFGVQLQTIATDFQRIYKIHDKLFIGLSGLATDTQTLHQRLMFRHKLYELREDRDMKPETFASLVSAMLYEKRFGPYLCQPVIAGLGEDDKPFICTMDSIGAKELAKDFVVSGTASESLYGACEAMYKPDMGPEELFETISQALLSSVDRDCLSGWGGHVYVVTPTEVTERILKGRMD >Solyc02g067310.3.1.1 pep chromosome:SL3.0:2:38062051:38063475:1 gene:Solyc02g067310.3 transcript:Solyc02g067310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNNNNTTISTITTTTTVKTPEAEIETPTQIQKLKPFPFSNGVLKRKSSFNHNNHHHPVVVIYRECLKNHAASLGGHAVDGCGEFLPSPAANPSDPTSLKCAACGCHRNFHRREPEEPVVIPPPPIATAVLEYQPHHRHHPPHPPPPLQGEHSSPNSPSPPPISSAYYPASAPHMLLALSAGFSGEKNQNPTSAPLGHSNGRKRFRTKFTPDQKVKMQEFAERVGWKMQKRDEDLVSNFCNEIGVEKGVLKVWMHNNKNTFGKKSDQPNSGSGDGDNDNDDNHHQNGNTNSDNGFCIVSRNNNHNNSDSEFHHHLQLHQHESNNNNDNKKGIIHHLHTSDSVVATNGSSSSS >Solyc09g064260.1.1 pep chromosome:SL3.0:9:61664351:61665816:-1 gene:Solyc09g064260.1 transcript:Solyc09g064260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVCLILPENPIIQFPLLSRTTPPIPPIPLVALVASSVLSLKVSIGGGVHLTATRNWVGVFYHVPNGFHPRDPFISKEIYFGSFKGNPSMTTLTSPPTILNALPSPRVLVISLVGFIPSVFADLNSTPKTSLIMDMGANITKKRFGQDSPVCHVKEINFPTDKFVVHIIKLSTRSHY >Solyc02g070700.2.1 pep chromosome:SL3.0:2:40926855:40928162:1 gene:Solyc02g070700.2 transcript:Solyc02g070700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKHAKISPLHYAPSIFLQQHGVVLQPHVCQLNQSPWDVITFPAEDLQNDNIINHHTLPSPPAPVPSSYSYKLDGYDSCAVNGTFYDSELSITSMKMEVSDNERKDIDNIVADVPADSGNLEKDGNDNITTMCVKNDGKGWQCSRESKIGHNLCEHHFAQKQYSSSNNESVHSTTTATSTAAVAVAVAAGETTQSSRGRPHRSKKSSSSEFYYYSGFGPLWGKKRGSSSTGKSAQSSSSQID >Solyc06g009225.1.1 pep chromosome:SL3.0:6:3195982:3196675:1 gene:Solyc06g009225.1 transcript:Solyc06g009225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKQDISHKKQNHDEEHKKQHILLLSVPIFNEVDTNLKSPDDFVTEGVSGIRETYDIAPYSAILLEAKNFVMTNQFVQAAIDRCQVV >Solyc09g009910.3.1 pep chromosome:SL3.0:9:3386210:3395458:-1 gene:Solyc09g009910.3 transcript:Solyc09g009910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIHLKLPSHGRSPSLRHHKLTVPRQVTKPITNIIFNFSISTSALKVKSSSTRAAANSGKFISPFTSIAPLKPYLLSEWKSILCGWLCSVISVYSLSKIVPKVGKFSSLMNPLDVVRLREECLVLGGLFFVRLVGNYLQQAFLWEAALNCVYKMRICVFHKVLQRDLGFFEGEKGIAAGDVAYRITAEASDVADTVYSLLNTIVPSSLQLSAMAVQMLVINPVLSLLSALVIPLMGFVIGYLGEKLRDVSNKAHLAAASLSSYLNEILPSILFVKANNAESCERKKFQSLAFADLSATMGKKKLKSFIPQLVQAIYFGTLLTFSAGTLLVSKGSIDCSAMVSFVTSLVLLISPIQDAGKAYNELKQGEPAIQRLFSLKTFEPEAYLRRASPEKLREGALTRLVFSVGKAEIVNPDAVDLDCVAGEVKYSNVSFRYGDNGPLVLKNTDLHIKSGEIVALCGPSGGGKTTLVKLLLRLYDPLQGSILIDGLDIRGIRIKSLRRNIGLVSQDTTLFSGSVAENIGYRDRMAGIDMERVKLAARNASAEEFIEALPLSYETNVGPRGSIFSGGQKQRIAIARALYQDPSILILDEATSALDSKSELLVRQALQHLMQNRTVLVIAHRLETVLMAERVFLLEDGYLQEVPRASLLDSRSGSLASMSLTI >Solyc04g076080.3.1 pep chromosome:SL3.0:4:61118096:61124536:-1 gene:Solyc04g076080.3 transcript:Solyc04g076080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWDEWGNDFGQEYEEEQQQQQEEDDSYLKFDFLSVLSKPKDYYRILEVDYDATEEVIRSNFIRLALKWHPDKQKDQDSSTSKFQEINEAYQVLSDPVKRQEYDNKGMLRAYDYNIVEYLNRYKGLILTCNGLGMKHSIW >Solyc07g055840.3.1 pep chromosome:SL3.0:7:63888061:63896473:-1 gene:Solyc07g055840.3 transcript:Solyc07g055840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Citrate synthase [Source:UniProtKB/TrEMBL;Acc:K4CGB7] MERGKSSAVARSRLSVITAHLAADYSASSHGLETSSVSAPTAAVSPPPNLKGALTIIDERTGKKYQVPVTEEGTVKATDFKKISTGYNDKGLKLYDPGYLNTAPVRSSICYIDGDAGILRYRGYPIEELAERSSFLEVAYLLMYGNLPSENQLSDWEFAVSHHSAVPQGVLDIIQSMPHDAHPMGVLVSAMSTLSVFHPDANPALRGQDIYNSKQVRDKQIVRILGKAPVIAAAAYLRMAGRPPVLPSNNLSYAENFLYMLDSLGNRSYKPNPRLARVVDILFILHAEHEMNCSTAAARHLASSGVDVYTAIAGAVGALYGPLHGGANEAVLRMLSEIASIDNIPEFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAVALEKAALSDEYFVERKLYPNVDFYSGLIYRAIGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDTKIMRPAQVYTGVWLRHYVPLRGRSPSTETDKFGQVSVSNATRRRLAGSGD >Solyc10g008400.2.1 pep chromosome:SL3.0:10:2523186:2528910:1 gene:Solyc10g008400.2 transcript:Solyc10g008400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLVFFGKFCGFVYALEIKKQDMALDLHFEEQIAESTFNKCRGSPLQKWKTLDDERDDDNRASGFDCNICLDVVKDPVVTFCGHLYCWPCIYKWIQFQGASDHQKPQCPVCKAKVSQKELIPLYGPGQATKSSADGVTSKGMVIPQRPLRPTCSGDIATTTNSLPSQQLNHRQQPHSGSYTASPTVIGEVVYARVFGNACPNSYNLEINTSLRMRRQLLHADRSLGRLYFFLFCCVLQSMALDFICNKQIEEGKPIEKLKMVDDEHEGGNLSRGFECNICLDLAHDPVVTYCGHLYCWPCIYKWIHLHSIPCENPAQRHPQCPVCKAHVSQTTMVPLYGRDQATKTSQDDGMAIPERPQQFHHRSSTSTIGETAHAERVDGNSFVPYPNAHHLAGTASLRMRRQQLQADDKSLRRVHFFLFCCVVLCLILL >Solyc12g056830.1.1.1 pep chromosome:SL3.0:12:63857776:63858528:1 gene:Solyc12g056830.1 transcript:Solyc12g056830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQQTPITFQSRSPPPTQLINGTVAKLSYSGGLKLPKLTLKLRSKRTSRRGRGRGVLGAKMADSAAGSYANALADVAKSNETLEQTTADLEKIEKIFDDDAVYDFFVSPIVSEEKKRELVDEIVSSTGIQPHVANFLNILVDMKRVELIKDIVKEFEKVYNTLTDTELAVVTSVVKLESQHLAQIAKGVQRLTGAKNVRIKTVIDESLVAGFTIRYGNSGSKLIDMSVKKQLEDIAAQLEIGDIQLAV >Solyc01g073680.3.1 pep chromosome:SL3.0:1:80931053:80932632:-1 gene:Solyc01g073680.3 transcript:Solyc01g073680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCTMRYQLRLVLLLILCVIGNSDTEKDKEECTQSLIGLATCLPYVGGNAPAPTPDCCTGLKQVLKDSKKCLCLLIKDRNDPDLGLQLNVTLALTLPSVCKAPANISECPALLHLPANSPDAQVFYQIANNSSSIAGSPLAHSPIPSVGSSPTGAPAGAPKSAGCHIGKRYFGLEAIVGVVLLWSLTSNFFIG >Solyc07g008540.3.1 pep chromosome:SL3.0:7:3465852:3470226:-1 gene:Solyc07g008540.3 transcript:Solyc07g008540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCLAGGRAAYKLDLEIIKSPSTSWISQSSSPSSTLSESSNSPIAISTRKPRTPRKRPNQTYNEAAALLSTVYPKIFSKKHLTKPCKFTKPHYPFSYDSSDLVVPYQIVENSGFLLHPPLIEKPDCVVIEHKSVSSCEKPCLSPGEIDSKGSGSIDVCEEFHEDFDAESILDEEIEEGVIDNIMGKIRDENELKNESIFSYSYSHSKRHQNNSGGTCYGFPIGLGFENGYQYYDFGTMRGGVRPLRNVDDGDNWWRYTSSVNVTDITPKFNKPPIEKKKKKVERLVEMRVGAETSSAKDNSITRKKNKENSNHSIKEEVIDVPKPKSGLLLKLNFDDVLNAWSDKESPFSDDIQGSDAAGNDVQARLAQIDLFSENGGIREASVLRYKEKRRTRLFSKKIRYQVRKVNADRRPRMKGRFVKSPNSPEDELG >Solyc10g011900.3.1 pep chromosome:SL3.0:10:4170590:4172455:-1 gene:Solyc10g011900.3 transcript:Solyc10g011900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVDKAKNYVSEKVAEMEKPEATVMEVDVKNINFDSISYHAKVSVTNPYNVPIPIMEIAYVVKCGGSRIVATGTIPDPGSLKAKTTTLVDVPAKVPHSAILSLVRDIATDWDIDYTLELSFIIDLPVFGNFTIPLTYSGEYKLPTLSDFWRGDGEEEKEEKEKKEEKEEKEEKEKKEKKEEKEK >Solyc01g097360.3.1 pep chromosome:SL3.0:1:88133961:88134804:1 gene:Solyc01g097360.3 transcript:Solyc01g097360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPCRLIQHSNVSCKETMDAQMRDALSPPQVKATFRLGSEAYSVEACKGILSEQLISMKEQSMTILKDYITKHNVPNEVPDEPEEFSSEDDGEIPEQPPVKSKKRK >Solyc03g119630.3.1 pep chromosome:SL3.0:3:69673923:69677647:1 gene:Solyc03g119630.3 transcript:Solyc03g119630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMRINIDCKGCYMKVSRALLTIPELETRFIEKNHSRVIVCGNFIPQDVAIKIRKKTNRRVEILEIQDLSGNDEQKQEEMPLITSCDNQVETETHVTSQNPQPHMYFQVYR >Solyc07g053960.3.1 pep chromosome:SL3.0:7:62484563:62490553:1 gene:Solyc07g053960.3 transcript:Solyc07g053960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEIEEISAHDGSVKVKDNKEVMTEDNPDTVAGSTPGSTDSGIKNSSNLDITFHEDENDGDDGLDDCDDMSNYDDDDDDYMYYDDEEDECDYLSMQAQFDNVDLPAGVEATVSWLNEPAPSSKVSSQASSSSHLAGAQTLNSTLSEHASSSFAQVPASSSSLVSGGSNSCGKEEVTEDELMKKYRSFKHFDVVEDFSDHHYSNLGVKGQQPPKAWSKKVQDEWKILENDLPDTIYVRVYEARMDLLRAVIIGPQGTPYHDGLFVFDVLFPQNYPDVPPMVYYYSGGLRLNPNLYDCGKVCLSLLNTWTGKGNERWMPNSSTMLQVLVSIQALILNSKPFFNEPGYEASYAGPEGQRRSNSYNEDVFVLSLKTMTYTLRRPPKHFEDLVKGHFRCHAVDILSACKAYIEGAPVGSVVNGIVQDLSATAEKSSVTFRESVSRMKNGLISLFTKNGANDCDRFRA >Solyc06g076490.3.1 pep chromosome:SL3.0:6:47663782:47669007:1 gene:Solyc06g076490.3 transcript:Solyc06g076490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASYSSVLRGKEEKGFPPTHGFAMEEQKQKKKVQDFNFTGTGCEEQRTDGLKKVKANWGNDSIFGTYGLSEHSSSSGLSSPFGSELGSTETDETESEEDEDFIVQLTRQMADYMLKDDEEEEEEDENDENRVLNSTPYTVQTSNTYGFAGSWSNYSSMEICYYNQESLGTYVNPDTDSVNHQMKNSNTVFYSSDDLKRPIQVYHLKDQPTTRKQKPSNSRGKKVKGTTNESVQQLKTEKNNTRSSLTKKQTVGGHGQNGDKIHHLHPQHPVQSTGAGIRAAIFLGGSGSKNGSSGTGVFLPRTINNPNPLPTPTTEPKKKKSGSSTVLIPARVLQALQQHYNHMDALSQSNTCAVSPTHFPKNENETDNLVNEHLEDQTSVVNDQEMQLPQEWTY >Solyc12g089030.2.1 pep chromosome:SL3.0:12:65166788:65172405:1 gene:Solyc12g089030.2 transcript:Solyc12g089030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQRELAENANGKPPIKKQSAGELRLHKDISELNLPETCSISFPNGKDDLMNFEVTIRPDEGYYVGGTFVFSFSISSIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNHEAAAVLSDNPSLFESNVRRAMSGGYVGETFFQRCI >Solyc07g052430.3.1 pep chromosome:SL3.0:7:61065903:61068902:1 gene:Solyc07g052430.3 transcript:Solyc07g052430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAFVKLLDLLLFVYFLLIAIVAPLFDGQVALPKHIFPPVLVNLKSWYTRQYGDYLVSEKPHFFVGLIWLELLFAWPLSILSLYAIAAGKSWIKTTSLMYGVSTLTAMVAILSEMKNSERASDKLLMIYYPFLGFAILAILRGLIPHSGKSLSIGKRSVIGRKKRA >Solyc04g082755.1.1 pep chromosome:SL3.0:4:66403358:66404350:-1 gene:Solyc04g082755.1 transcript:Solyc04g082755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLACMRSLAFCLGLFTTVTFFFLNCFITLSGGSSGNNHERAKKRDEVEGQFGVTGGPLSSLATDYGNISSLMTSN >Solyc07g047980.2.1 pep chromosome:SL3.0:7:59320014:59323834:1 gene:Solyc07g047980.2 transcript:Solyc07g047980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPGHSPRHLSTPSPSPSLAEQNPNSTLHNSNSSKAPTNKRRSKVLDEDTYVAAIEKIIERDFFPDIPKLRDRLDWLEAIRTGDPVQIRDAQLKIIERRGGRAVGSNTEGKLRTPGSTFFRNSTTPFEDPYKTPTPSVVNGYNWSSVGDTAEGGGGEVDGSLSLDEFFRRYTSEDNESFSKLIEKVNRKRKEKYGYLLEGEKEEEMKLIEDSKRAKVVTDGYGTSDQPVATLEGWKYAAKNLLMYHPADNGEVALTEEERAERLKGLTKEVSKVNTRFHGKMMESQPKEDETVAVLYTPVPGATPVPFLDRGDKTKKYDLDDLRKTPNQFFVESGKKAENGYSFVSTPSPAPGVDGSPFITWGEIEGTPLRLEQEDTPIDIGGNGEGPQYKIPMPPSRDTKAHSLSREAARKLRERSKMFQKPPLPSPVRGGSASPAARTLSPAAQKFMRKAIAKSSHSLDESLRASYRGSSPGMNTPKTGRSLSRLGREGSRDSRSPSIRGGSNPPWER >Solyc06g066060.3.1 pep chromosome:SL3.0:6:41527390:41535020:1 gene:Solyc06g066060.3 transcript:Solyc06g066060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRPQIPLMEKLTANLPRSEGYVGIHSSGFRDFLLKPELLRAIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPVPGQVAALVLCHTRELAYQICHEFERFSTYLPDIKVAVFYGGVNIKLHKELLKNECPHIVVGTPGRVLALARDKDLPLKNVRHFILDECDKMLDSLDMRRDVQAIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLSETEKNRKLNDLLDALDFNQVVIFVKSVNRAAELNKLLAECNFPSICIHSGMTQEERLTRYKAFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSEVLNQVQERFEVDIKELPEQIDTSTYMPS >Solyc09g089660.3.1 pep chromosome:SL3.0:9:69897460:69909673:-1 gene:Solyc09g089660.3 transcript:Solyc09g089660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYPKTAVPTNIFTERGSNIVVINPGSANIRIGLAQHDTPLNIPHCISRRTSQLPKRNAQDQMLNSQVTTAQHMERERAYDIVASLLRIPFLDEEVANSSYPRKMGRVDAYPPQNNMKEPVFTWTDVFERNVPHSSTTEDVPNEDDTSESPTLKESKIKVEPNSSERKYREYICGEEALRISPTEPYCLRRPIRRGHLNVSQYYPTQQVLEDLHTIWEWILDEKLHISHRERSMYSAILVIPETFDNREIKEMLSIVLRDLRFGSAVVHQEGLAAVFGNGLSTACIVNMGAQVTSVICVEDGVVLPTTQISLRFGGEDISRCLLWTQRHHQTWPPIRTDALSKPVDLLMLNRLKESYCQIKEGEVEAVAMVHSYEDGMQPGSHKTRLTALNVPPMGLFYPMLLVPDVYPPPPRSWFNDYDDMLEDTWHMDFPGGGAYPMWESYPVFQTKPKKEDIIGLAEAITKSILLTGRIELQRKLFCSMQLIGGAASIYGLIPAVEERVLHAIPSHEAIDTVEVLQSRTNPAFVSWKGGAILGILDISREAWIHREDWIKNGIHIGSGRKYKDSCYLQAQAMCYLNS >Solyc02g067095.1.1 pep chromosome:SL3.0:2:37893891:37896664:1 gene:Solyc02g067095.1 transcript:Solyc02g067095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILSQGPSRSARKQYAKRQWLREMVKIYKNVASEAEGLKELQANGGRRAGKLHPKWLVNGETDGKPMARRNWKQQQSKTEYQEVNGQPKGLLHWKKLREEDKGRDTNKQDQANEKSTFCGEPGQHNDMEAETIPDQALQHDQVQEIVFPSIDEVCLVKNGNSDSVAGLPATNKSNPVLKAAKKKTNGPAIEKGVNLWEQHSETINAEKEQLHEESSWGTWSSAKKSSWSERTLKGSARGPTMAFRRYKR >Solyc06g068050.3.1 pep chromosome:SL3.0:6:42286668:42290204:1 gene:Solyc06g068050.3 transcript:Solyc06g068050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELAEGVSNLNLVDSQKKNRIQVSNTKKPLFFYVNLSKRYMQQYNEVELSALGMAISTVVSIAEILKNNGLATEKKIMTSTVDVNEDMRGRPIQKAKIEIVLGKTVNFDELMLAGQKDGNNIQEQS >Solyc08g076880.3.1 pep chromosome:SL3.0:8:60931820:60932718:-1 gene:Solyc08g076880.3 transcript:Solyc08g076880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSQQSLRCCEKLEDGKVECKCAEGWSCSVTKTESSKAGKPFCQCSSGINCTRLEESDPEAQKALEGSDGCKVMCKTDAGYTCKISKAGEVIAECGEGCICFVDETGNVKCITKATETSCCASGCN >Solyc08g044550.1.1.1 pep chromosome:SL3.0:8:19298236:19298403:-1 gene:Solyc08g044550.1 transcript:Solyc08g044550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDLLLMQIYGNVGRFNKHSCSPNLCAKNVMYYCGDKRVPHIIFFASKIFYPLR >Solyc07g006900.2.1 pep chromosome:SL3.0:7:1754891:1758020:1 gene:Solyc07g006900.2 transcript:Solyc07g006900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:E5KGD3] MINGKDIYDVLAAIIPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLGFHFISTNDPYAMNYHFIAADSLQKVVILFALFIWHLFSKRGNLEWVITLFSLSTLPNTLVMGIPLLKAMYGDFSGNLMVQIVVMQSVIWYTLMLFLFEYRGAKLLIGEQFPETAASITSFRVESDVVSLNGREPLQADAEIGDDGKLHVIVRRSSASSIISSYNKGILQSNNMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKTASPKHGYTNSYGGDVFSLQSSKGPTPRTSNFEEEMSKIGSNKKNRGGRSMSGELYNSSSTASTNGLVYPPPNPMFVGQRKKEVGSGSGVPTPVPVPVPVPVSMSNSNSNSNSKELHMFVWSSSASPTSEGNHKHAISKGGSSELGVLDASKAVLQQEIAAARENASSVSKGNVDKEIEIEDGSKNIEDGEKKSQMPPASVMTRLILIMVWRKLIRNPNTYASLIGLIWSLISYRWNIQMPSIVKGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFSMAVRFLTGPAVIAATSIAIGLRGVLLHVAIVQAALPQGIVPFVFAKEYNLHPDILSTAVIFGMLVALPITILYYVLLGV >Solyc03g123450.1.1.1 pep chromosome:SL3.0:3:71806189:71806341:1 gene:Solyc03g123450.1 transcript:Solyc03g123450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEESQLMREKLEARKGLLQQAKENVVKASQARNSFRKVMNNGMRRPMH >Solyc05g023930.1.1.1 pep chromosome:SL3.0:5:30125115:30125429:1 gene:Solyc05g023930.1 transcript:Solyc05g023930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVIIILTASFLSQNKVGQESHCSTKEKQNRIWEWFFGSITDSRLHLLDSTPVDLSCYHPTVRRYKAKPTQETTITMPLLVKHVLLARLAELLHVTYIAKVVC >Solyc02g021655.1.1 pep chromosome:SL3.0:2:23971161:23972238:1 gene:Solyc02g021655.1 transcript:Solyc02g021655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGHDKYKNRVENKVVDALSRKQEGLGHLEDLAQGQLIQQFLQLLKENLNTAQARMKYFADNMRTDTEFEVGDQVYLKLQPYRQSSLACGGISSSALSIMDPI >Solyc08g078230.3.1 pep chromosome:SL3.0:8:62185499:62190691:1 gene:Solyc08g078230.3 transcript:Solyc08g078230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARWDQILSLPVQSPPTLEFSSAELVWSKVEGWRDNIDRVALIPFARVDDFVRGESANKECPTRFHVEARRRRPAESTYKPKVDGILEYILYWCSFGPDDHRKGGVVRPSRTTYVPKKKPSGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPQDKKSAGTRAMYAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVEKQGGPCNRDDLLTHRYVRRQERSIRRSTYELDTDDAVSINMWVESHQNQVFFYENFSDSDPFVLGIQTEWQLQQLIRFGNHGLLASDSKFGTNKLKYPIRSLVVFNSDNKAIPVAWIITPRFASGDTLRWMRALYNRVHMKDPTWKLAGFIVDDPSADILAIREVFQCSVLICFWRVRHAWHKNLIKKCSELETCAVIAKRLGQAVQRICKGDGTADLFEEFMEEFVDAADFLDYFKAIWYPRLGEFLLFSKTVSVGTNRIVIAIRLKYTSETGLWTSALRSLPLASQEMCSSMEYYHNQLKLRLLNEKEKCVYQRADWLVDKLGTTVHSYFWLDEYSGKDDFARYWKDEWMSGLTAWQKSLQIPDSDVLIEGDYSKVVDQEDRHKVHVVWNPASEYALCDCNWAKMGNLCEHILKSIKCLRDKGSNTPSVSMFQYMQALVDMLHCPPHDSLIRDHALSLAVWVQTQLNAQLGPGSGQSKRQALQLTTAKPDVVTASNRTHTLVNVENDLTELQHPSSATCNLSGGKIDRVATQNGTRTDIGSAVEHPSVEMQTSPVSISACASQLFSLDGITSANVFDENGDVMIDEELDTTNNIPSADALLQI >Solyc03g007960.3.1 pep chromosome:SL3.0:3:2447878:2450328:1 gene:Solyc03g007960.3 transcript:Solyc03g007960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carotene beta-hydroxylase [Source:UniProtKB/TrEMBL;Acc:Q0GGX1] MAAGISASASSRTIRLRHNPFLSPKSASTAPPVLFFSPLTRNFGAILLSRRKPRLAVCFVLENEKLNSTIESESEVIEDRIQVEINEEKSLAASWLAEKLARKKSERFTYLVAAVMSSLGITSMAILAVYYRFSWQMEGGEVPFSEMLATFTLSFGAAVGMEYWARWAHRALWHASLWHMHESHHRPREGPFEMNDVFAITNAVPAIALLSYGFFHKGIVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIANVPYFRRVAAAHQLHHSDKFDGVPYGLFLGPKELEEVGGLEELEKEVNRRIKISKGLL >Solyc09g055330.1.1.1 pep chromosome:SL3.0:9:39867055:39867231:1 gene:Solyc09g055330.1 transcript:Solyc09g055330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATCARKAPGTRRSPPCVGAVWLPIHKAWGDGGAARTYGGLDTPQFSMPWYGASARA >Solyc05g041430.3.1 pep chromosome:SL3.0:5:52555116:52557843:1 gene:Solyc05g041430.3 transcript:Solyc05g041430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMSLAKSIGIGRSRRDEVYVAAVPLRATKGPAQLLMSTVYSLNFWDLQHFMVIISPSSSLDSQVLVYDFQPQDPESIVVAVAALSGRNVPGVVLTRTLKKLPKRKCWFVGYSESDAMDAAKRFNEGWDSDLTINHHDCRNYVNGLVEYLTGMKAVLEHLQRSSMARDEMPLPRKD >Solyc12g035720.1.1 pep chromosome:SL3.0:12:44462234:44464301:-1 gene:Solyc12g035720.1 transcript:Solyc12g035720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLATGFFVWNCPFPFQRILFKGIGSAFVSILREKKESGSRLLGQRFVPDSKPTNGNRDITICGRSREINMMSADSRMLSHPQKEWEATEHIPSHKIQALQRLRRAINGLLVDSKKES >Solyc12g035865.1.1 pep chromosome:SL3.0:12:44511617:44512587:-1 gene:Solyc12g035865.1 transcript:Solyc12g035865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMGFLFLVSVDHFLFWDLPATETSTSVDQPEIEPRHVDLAIQVAPCGNEVRPSNQPPRVSVYQVEIPNQYSYIPPIEIMYLDKIEAKDLFEVKVQILRIMEVLDPTEDCLGRGFLDKLHTLLSDLESSRVNYDRVLFSRQRIDKSNGGRILFFRISNRVESTISVDYLR >Solyc04g056497.1.1 pep chromosome:SL3.0:4:54318025:54318960:1 gene:Solyc04g056497.1 transcript:Solyc04g056497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKKRKMARKTGEVLTCQGNQKSIIKCNSKGNTWSSWCSSSSAATPRDVSPSSSMDVMYTTLYNEATRIVTTVGSCARPTSSKKVEKKKSEMKTKKVATVIFLGELFFMLLFGGLVPSLKPAFASEKAMTSHGSADKKNDEVDLTVPGDLAILAFIEVLQRDKKLLDPNIEEKGKLQSYFVIQRQI >Solyc01g096340.3.1.1 pep chromosome:SL3.0:1:87290005:87290334:1 gene:Solyc01g096340.3 transcript:Solyc01g096340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGERSILHFPHLHIPQSGKSKQGKRDVPKGYLAIKVGQAEEEQQRFIVPVSYFNHPLFIQLLKEAEEVYGFHHKGTITIPCHVEQFRCVQGKIDKHHTHLHVPCFRA >Solyc12g009730.2.1 pep chromosome:SL3.0:12:2959234:2962141:1 gene:Solyc12g009730.2 transcript:Solyc12g009730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSLFFYYSFLCFVLLISECFSSSFDHHHLCSPTEASALIKFKQSFQNMSEEYYRDWSDGDCSPKTKSWNESRDCCSWDGVTCDLLNGHVIGLDLSCSQIVVTFHPNSTLFQLHHLHTLNLAYNHFNYSSIPHNIGRLANLRHLNLSDAWFQGKIPTEISYLSNLVSLDLSYSYGLQLDERTFVTMLHNLTNLELLSLSEVNISSPIPLNISSSLRYLDLDNTNLRGVLTESFFHLPNSLETLKLSSNDLLKGVFPKIHRRNTLLMELDISDTGISGELPDSVGTFSSLNILNLQRCHFSGSIPDSIGNLTQITELDFSHNNFTGHIPSTISKLKHLTGLHLSSNSFSGEITDVFSNLQQLRYLYLFRNSFIGLFPTSILNLRRLERLDMSSNSLSSPLPKNASILQNLNYLDLSYNSLNGTIPSWVFSLPLLSSVSLHHNRFRGIADEVIKTNPTLERLDLSHNQLSGSFAQSLANLKNLYYLDLSSNKITNDITGINITFPSLGFLHLSSCELKDIPYLLRNVKTLVYLDISNNKISGQIPKWFSGMRWDSLQFLNLSHNSLTGNLPRLHYYTLQYLDLKFNSLQGPLPSSICNMSSLILLDLSRNNISNSIPSCLGSMANLTVLDLRKNNFTGSLPTLCVHSTSLSTIVINGNRFEGPVPVSVSLEVLDVGNNAINDTFPAWLGSLEELQVLVLKSNKFHGPISTCQTEFCFTKLRIFDLSRNNFSGSLPAEVFGIFKAMIKLDNEDTGEIKYMRLSDTSYEDSVTLVIKGQDIELQRISTIMTTIDLSSNHFEGVIPKTLKDLSSLWLLNLSHNNIRGDIPMELGQLNTLEALDLSWNRLTGMIPQELTRMNFLAFLNLSQNHLIGPIPHGLQFNTFGNDSYGSNLDLCGPPLSKQCGTSDSSHLPQPLEEEEDESESYFFSGFTWESVVIGYSFGLVVGTVMWSLMFKYRKPKWFVEFF >Solyc08g066990.3.1 pep chromosome:SL3.0:8:55942329:55948997:-1 gene:Solyc08g066990.3 transcript:Solyc08g066990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDEKEMEMDRAYSMDDKSSHYSITSAILPSLGAHTNCKTKLRPYIISPSNPRYRAWNAYLVLLVFYTAWASPFQFGFLDRPRGIIPILDNIANSFFAIDIVLTFFVAYLDKSACSMIDDPKRIALRYTKSGFLFDVISTIPSELMRKSMPYFLQSYEYFSILRLWRLRRVSAMFARLEKNRKISYFGVRVLKLICVTLFAVHCAGCFYYFLAARKQDRSKTWLSLAIGDHHDRSISDLYVMCMYWSITTLTTTGYGDLHAVATEEMIFTMLYMLFDLGLTAYLIGNMTNLVVHGTSKTRKFRDTIQAASSFAQRNKLPVRLEEQMIAHLRLRHRTDSEGLQQQETLETLPKAIRSGISHYLFYPLVDKVYLFHGVSNDLLFQLVIPSFVSLISVIQIADLISSRSFYTIQVSEMKAEYFPPKEDVILQNEAPTDLYILVAGAVDLVSHKNGMDQVVGELKMGDVCGEVGVLCCRPQLFNVRTKKVSQLLRLDRSSFFNIVKTNIGDGTIIMNNLLQHLKERRDPMMTAILADIEHMLTQGRMDMPLSLCFAANRGDDLLLRQLLKKGMDPNESDSSGRTVLHIAASKGSVECILLLLDFGAHPNRKDSEGNVPLWDAMVGKHEAVIKLLVDNGAKISSGDVGQFASFAVEQGSLDLLKEIIKYGGDVTLLNSLGTTALHTAISEENAEIVKFLLEQGADIDKADVHGWTPRALAEFQGHKEIKELFNLMQPASKETNVCPPEAPCAPYLMKYQSDPAIPLFIPEETARETGSSNGRLRRRASFFQNSLIGFVAARQRHHEGGGGPDYSSTKIANSRIPSRITIRCLEKAHIGKRAVLLPDSIKELLDMGAEKFGISLAKVLTEDGALIEDIEVIRDGDHLILATSEN >Solyc05g050520.3.1 pep chromosome:SL3.0:5:61511546:61524473:1 gene:Solyc05g050520.3 transcript:Solyc05g050520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCVYLHDKSKIHSGCILCSQKDSNFLQTTTLFSRKEKMGLLTNRVGRNEIKPGDHIYTYRAVFAYSHHGIFVGGSKVVHFNRLEAFSDADDDEMSDLSSSCPTFPDCGFKLPNSGVVLSCLDCFLRDGSLYNFDYGVSPSVFLTRVRGGTCTTAASDPPEMIIHRAMYLLQNGFGHYNVFLNNCEDFALYCKTGLLTADSRGVGSSGQASSIIGAPLAALLSSPLKLLIPSPVGVATLTAGMYCMNRYATDIGVRSDVVKVAVENLEEKLGYGNSHGRVIVEHRSLNRGTARQLLDPMEDPQIELSSSEGFKMVLIRFKLILTHEAARTDEGLLKSVQIEDLKHCPFAEVCWYFTETWEQFRIHGRVDMIDASNSDPDKLKQRETAWFAGSVRSRLQYLGPTPGLPSLDEQQSHDSLDSSAGPVDAFCLLLLDPEQVDYLNLKSNERLAFTTARSVNGENFWTQNKVNP >Solyc06g060410.3.1 pep chromosome:SL3.0:6:38539790:38542283:1 gene:Solyc06g060410.3 transcript:Solyc06g060410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQRSQAKLTRTHSSLLRSSPMLRSSSFSVSSINEFVDDHELDIEEQKPHRTGATLVRSASSRFCDGTGRVAPVIAVFLLSVYVLFYFFNRGDRSISENLLLVLIFFAILLYFAGKNKSTIHQGYNGLKMTCNVYGRKLGLVSNKHVKVQWFIGERKLDVKEKKGKVREGVEVYSNGDVYEGEFHKGRCNGSGVYTYSMNSRYEGDWIDGRYDGYGVESWARGSKYRGQYRQGLRHGYGVYKFFNGDIYGGEWCNGQSHGIGVQSCSDGSCYIGEFKCGIKHGLGSYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGYGMYKFKNGDTRCGEWASGNLNTPLPPLTDVVLRVVQASRKAAENAINIRRVDDQVNRAVIAANRAATAARVAAIKAVQNRIHGKFCDTNN >Solyc12g088120.1.1 pep chromosome:SL3.0:12:64567785:64569312:1 gene:Solyc12g088120.1 transcript:Solyc12g088120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLFIFLDIILLAKHSIKKQRLSYQQIKHNIKPIHNQQSNYSDINFALIQHNYSNIKSL >Solyc02g091120.3.1 pep chromosome:SL3.0:2:53160890:53180127:-1 gene:Solyc02g091120.3 transcript:Solyc02g091120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADAGTLTLDSTTLYITALNSLSDKSSSNSIPLSLPLPPIPSSIPQPKLIPRTRFIIDGFKYAADFSVSYFLSHFHSDHYTGLSSNWSKGIIFCSSITANLLIEVLNVPAQYVVSLPLSEAVLIDGSEVLLIDANHCPGAVQFLFKVPVSDGKFERYVHTGDFRYCDDMKLEPVLNAFVGADAVFLDTTYCHPKFIFPSQQESIDYIVGVIEKSGVENEGSLKNILFLIATYVIGKEKILMEVSRRCQRKIHVDGRKMSVLGVLGHGEDGVFTTVESETDVHVVGWNVLGETWPYFRPNFEKMDKIMNEKGYSKVVSFVPTGWTYEVKRNKFSVRKKDSFEIHLVPYSEHSNYDELREYVKFLKPKHVIPTVGTDVEKLDSKHADAMRKHFAGLVDQMAIKQEFLMHFHPSVQGKEDVDTKESGLALVRITEEENTNRSTHTPVSIIKQENEDTSSDSKSCNAADMDTVIPSSFPQGESVSPGLEKISEGDMEEILEELQGCLPTWVTRGQMLDLVSISHKNVVDAVSYFYEHETEYREQVTASNSVTSSLEANSANKSALPCKPCLGKSLQQDETAASSKTVKLPNTDSSCSKKVSPGKRKRSTGNKSSSSSNKVSPGIRKRSTGNKSFEKAKGHTSMESGGPKQCTITKFFSKTLPLPLQNQNSEAGSKNFHDDSCMLPNASIEAYKEEADRFIQIMNGDDSLRSYATTVLAKTKGDISMALDIYFSEYKDVGETNGDGISKTNKLLQPQCAKEVYTSSKDDKLPKILGDVDPNLSLCGVPFADNAVNYVSLPHEEYSPVEHACWSKGQATPYIHLARTFELVKEEKGKIKATSMLCNMFRSLLALSPEDVLPAVYLCTNKIAPDHENMELNIGGSTVVAALEEACGTKKSKVRELYNSLGDLGDVAQLCRQTQSLLAPPVALTIRGVYSALRRISLQAGSGSAIRKKSLIVNLMCSCREKEMKFLVRTLVRNLRIGAMMRTVLPALAQAVVFNSTPYEGLVENLKDCLQRLSAEVVEAYNILPSLDVLVPSLMEKGIEFSSNTLSMAPGIPIKPMLAKITNGVPQVMKLFQNKAFTCEYKYDGQRAQIHKLSDGSVRVFSRNGDETTSRFPDLVNIITESCDSRGATFILDAEVVAIDRQNGPKLMSFQELSSRERGSKDSIIALDKIKVEICIFVFDIMFANGEQLLNLPLRQRRKYLKDLFGDGKVGYLEYATEMTVECDDACADDEATLARMNSFLNDALHASCEGIMVKSLDEDAGYTPSKRSDAWLKVKRDYVEGLNDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPDTEEFQSVCRVMSGFSDSFYVEMRDFFDADKICQKKPPYYRSEEVPDMWFSPEVVWVIRGADFTVSPVHHAAIGLVHPSRGISVRFPRFIRCLSDRKPEECSTSADIADMFRTQIRKMDVKVEK >Solyc11g020845.1.1 pep chromosome:SL3.0:11:12761843:12770354:-1 gene:Solyc11g020845.1 transcript:Solyc11g020845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNDDLWDCTKEKYDIPKIGVRWTLKTVQEAWRRRKFYLKTHHFEAYANDQIRMENKPNDVPTSQFKELLKYWNSKKFKGKKENKYLKNTPIKKKEYVDAEMFNQKEGTGSADCSADE >Solyc07g055364.1.1 pep chromosome:SL3.0:7:63548586:63556576:1 gene:Solyc07g055364.1 transcript:Solyc07g055364.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGCQCGSICGIHYIGAAIDPGNGGIGDKTFRRLKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGATRKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTTMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSLKTTLQPTVTLSTTEAEYMALTEAAKEGIWLKGLRMTAVNRVGGDIHESMALYSKKIDDSVMQYNKGKNVSGKANHAYVDSQIRDEFESVKGLMRTSCKGGAEWT >Solyc02g092310.3.1 pep chromosome:SL3.0:2:54056906:54062683:-1 gene:Solyc02g092310.3 transcript:Solyc02g092310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASTGPPCIPSPSPAIDIGKLKQNLLQKGVDPTPKIIHTLRIKELQKFNRRLAKKAAKEPPPLTDTQKQALAEESYFQAVKSEYKSFKKEVKAKNDERMVGRPWEKIEKLKLQELSSESKVYSGDKLNSEHLWELSNIIESERDKFSWLLDNDVEIKQGWFDNERANWDYRQRRRGEAETIRFIIDRLSGTGLGVKDYKFSKLMKHSGLQYTEEQMLRLVQGLGEKGQWRHALSVVHWVYTSKEHRRSKSRFVYTKLLAVLGKARRPHEALQVFNLMRGDAHIYPDIAAYHSVAVTLGQAGLLKELINIIDCMKQKPEKLKYMRRKNWDPVLQPDLVVYNAVLNACVQSRQWKGVFWVFEQLRRNGLKPNGPSYGLAMEVMLQSGKYDLVHEFFGKMKKSGEALKALSYKILVKSFWEEGRVNEAIQAVREMEQRGVVGSASVYYELACCLCYHGMWKEAFLEIEKLKMLRRTRPLAVTFSGMILSSMDGGHIDGCICIYDYSKKHCKPDIGIINAMLKVYGKNDMFYKAKELFEWAKTESHGRQLSKDDFSSSLSPDAYTYTSMLESSACSLQWEYFEYVYKEMALAGHLLDQSRHAYLLVEASKAGKVHLLEHAFDAILEVGHIPHPSFFFEILCQATCQHDHERALALIKSMVHVPFQVSKQEWIDLFNSNNGRISHSSLRELLDVICSHSLGSDATIVNLCRALRSVCGSCTSSMLIIDEPAKLTDASAMTADKDGSLYRCSVPANTDELPLQHVQVDEDDCSDEAYDEREKGADGELVSDMSHLSHREDERAGTNTMFELADDELTFDDQPDYLDDIDQLELGMSSDEDDNSSETKVPSAYEILKTWEDMRKKDATFFNFQLGQM >Solyc02g069620.3.1 pep chromosome:SL3.0:2:40025451:40028161:-1 gene:Solyc02g069620.3 transcript:Solyc02g069620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMAMAMALPSSTSPFLFSCTTQKQAHNFTSSRKPLHRFVTRSTLSDDLFLAAKHTVDSYVKNGMVVGLGSGHASTLAIQYLGQKLRAGTIKDVTAIPTCVSSASEAAKAGIPLEQYDDSLKIDLAFDEADIMEEETLAAIIGRRKLQGDDSIIKEKTILEAADQLIFIIKEKQFKGGVEGSVPVLIKSVNWLETAEEIDDLFLGDAEVWRRPAIGYAGPLGGDFPLVTKEGHNILDVIFTSPIESLGISPSLNYSFTTLLLNLFDANYLPSIVHMTVEVAKILDQIDGVVEHGVIFRKPCTAIIASENDLQIVDNTFLMESSNF >Solyc01g106340.3.1 pep chromosome:SL3.0:1:94165154:94173142:1 gene:Solyc01g106340.3 transcript:Solyc01g106340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKLVKLPATEVDMTAAKYTPQRVQAPHLTGFWLKLFVMLIEAPIIGSMILILVKNKNRITKMLKNTVIPETPMFIPEFPPKESEPGVFCLEEDGKPEERVEFALQCLPDYNPACIWINDPTTPFRYWKIRDYTYAYKSKLTTPSQVAEHFILAIEESNNKNPLAPLLISYDPDEVRRQAAASTQRFKEGSELSILDGIFIAVKDDIDCYPYPSKGGATWFHELRQVKKDAVCVSRLRNCGAVMVGKTNMHELALGTTGNNANFGTTRNPHAPDRYTGGSSSGSAAIVACGLCSAALGTDGGGSVRIPSSLCGVVGLKTTYGRTDMKGLLYHSGTVAIVGPITATVEDAILVYAAILGSSPAERVSLKPALPCLPNLSSCENWCSMGSLRLGKYTEWFNDVFSTEISDKCEDILNQLSEKHECKTIEIVIPELHEMFIAHVVSIGSEALSQLNPDLEDGKLARLTYDSRISLALFQTYSASDYIAAQCLRRRLMHFYMEIFKKVDIIVTPTTPMTAPIISPTALTVGETNMWISGSLMRFVLAANLLGFPAISVPVGYDKQGLPIGMQLIGRPWCEASILRLAAAIEETCAGPKKKPKQFYDILQGKLM >Solyc03g095530.1.1.1 pep chromosome:SL3.0:3:58097838:58098032:1 gene:Solyc03g095530.1 transcript:Solyc03g095530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYGSHQSQLPQSIGLLKQLLPKYGLNKICVFYSLYSVRRANNLLKIFFHVTLKLNCNYHID >Solyc03g097410.1.1.1 pep chromosome:SL3.0:3:61172847:61173812:1 gene:Solyc03g097410.1 transcript:Solyc03g097410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:K4BJF8] MPESLLAGERFRIGYALAPRSVSSFIQDSLVVYAEKRGVDLIPIDLEKPLVEQGPFDSVFHKLYDAEWKKQLEEFSLQNPTAIIVDPIESIEKLHNRVSMLDAVKQLKIENLEIPLQAFVDSNESLQDEIDNRGMKFPLIAKAMIANATADAHQMCLVLNRDGLNGVKTPIVLQEFVNHGGVIFKVYVAGDHVKCVKRKSLSDITEEKSGNSENLIPFSLISYFADNEQSDESLAKLMEAAIMPPSSFVNQVSKQLRHALKLHLFNFDMIRDSENGNRYLIIDINYFPGYAKVPEYEQVLTPCFLDLAHQKRSKETTKVDN >Solyc06g035620.3.1 pep chromosome:SL3.0:6:24753015:24761832:-1 gene:Solyc06g035620.3 transcript:Solyc06g035620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPLQGVPFSNLKSCLYVVSVTGDPIQRLGAYIVEGLVERKELSGTTIYRSLKCKEPAEACRNEDRIHIIDFQIAQGTQWMILLQALAARPGGALYVRITGIDDLVSQYARGDGLAAVARRLSAISEEFNIAVAFHAVPVFALEITWDMLDVRPGEAQAVNFPLQLHHTPDESVDANNPRDGLIRMIKSLCPKIVTLVEQESNTNTAPFLTRFVEALDYYHAMFESIDVTLLRDMKERITVEQHYLARDIVNVIACEGKERVERHELLGKWKSRFKMAGFQQYPLSSFVNSVIKDLMKRYSDHYTLVEQDGAMLICCGGGRSGI >Solyc10g062330.1.1.1 pep chromosome:SL3.0:10:25741916:25742821:-1 gene:Solyc10g062330.1 transcript:Solyc10g062330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIINSVVVVGLYYGFLTTFSIGPSYLFLLRALVMEEGTEKKVSATTGFITGHLMMFISIYYAPLHLALGRPRTITVLALLYLLFHFFWNNHKHFFDYGSTTRNSMRNLSIQCVILNNLIFQLFNYFILQSSMLARLVNIYLFRWNNKILFVTSSLVGWLIGHILFMKWLGLVLVWIRKNHSIRSNKYIRLNKYLVLELRNSMALIFSILLFITCVYYLGRIPSPILTKKLKKASKTEERVEREEERDIEIETASEMKGTKQEQEGSTEEDPYPSPSLFSKEGCDPDKIDETEEIRGYGSP >Solyc02g032200.3.1 pep chromosome:SL3.0:2:28487771:28492400:1 gene:Solyc02g032200.3 transcript:Solyc02g032200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQFVHHVFLSFISNTFGDHLHTALLTAGIPSFRPDDKKLQNAIQESRILIAIISKDYASSHRCLDELTHMIQTRKSLGNFLLPVFYDVDPSDVRKQKGTFEQPFFNFEKRYKTEKVDQWRADLREVADLGGMVLQNQADGSESRFIQEIVKVVVGKLRRTVLSVAPRPVGIDCRVKEIDLWLKEGYNYVDILAIHGMGGIGKTTIAKTAYNLNFHRFEGSSFLADVGKVLEKYDGLGRLQRQLLSNILGKDVEKIYNVNEGSVKIQEAISCKRVLLVLDDIDNIDQLNAVLGMRDWFSPGSKIIITTRNGHLLSSTEACRCRMYKLKTLDAKESLQLFSWYAFGEESPPLEYMDLTIDVVHHCKGIPLALKVLGSSLGDLSIEIWGSALRKLKDIPDSKILEKLRISYECLPDDNVQNLFLDIVCFFAGKDKDYAVRILDGCGFFSVIGIQILVDRCLLEIDHNKLMVHQLLQDMGREIIREESPWEPSSQSRIWKHKDAFNILQGKTGTERIQGLVLDIRMLKKVEYVGQKLNGNDVGHRQLDVRSLRMTDANSQGRQSLTVLELFRNVFSETSNVVQLEIDAFSRMKKLRILRLTEAKFTGSYQWFPKRLKLLHWRGFLLKSIPKDLPLESLVALDMRRSRLQQAWEGTRMLKLLKILNFSHSHFLRRTPDFSGLPNLEKLILKDCVRLFHIHESIGDLEELVLLNLRDCKSLSNLPRSFCKLKSLETLIISGCYGLALSTIDLRNLESLRTLHADEINYGHEKSWVALWQSWSSKLTKSPDYDNFSLYSLSSSLVSLSLARCKLTDDALSLGVNNLFSLRHLNLSGNLISNLPQSITNLCMLQDLWLDACPNLQSLPNLPSTLIKLKATECTLLERVTTMPSLLETHRLFLDVRGSEKLTEIPGLFKLEPVRNFEEEMVNTLNHLNLDDIQNAEVELFNRLTNTKKKNSVQGLYEFGIFSTYFPGSEIPSWFSMKGEENLLTLKVNSLTNTKIIGLNICIVYSRSDHQKSRCWEENQLANWYSFFIKLNNLSKGVKWIYEPTFIGIPGPNENLTFLCHWKLGKYLEANDEVNVSIIGWSNTFQMKDFGVSLECDTVEADLSVPSNELAIKSSDPSAYLSGQCVMERYMPVYQLAWNHYCFSHPDYFLFNGHQRKQATMRFILYQKLFDDFGQSPIDAGTQDDSDIDIYHEKYAEEAALAELEDDMEWPW >Solyc10g038137.1.1 pep chromosome:SL3.0:10:20005180:20006164:1 gene:Solyc10g038137.1 transcript:Solyc10g038137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPKILRRLRYWELVEDILSMGRQTLKDAKIYNVVYESPFYHDCNTNTMQSFCEAWFPTINTLLTSVGELSIPIWDLHVICGLPISGFLYEEFVPNVEDLTRTFENGKRFLPQSCEHIFDAFHQLQTENQEVAMEK >Solyc09g066030.2.1 pep chromosome:SL3.0:9:64548009:64559331:1 gene:Solyc09g066030.2 transcript:Solyc09g066030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSGKEPIGELSNSQKRSKRQSQYHRHSMEQIQRLEAFFNKCPHPDEDQQKQLAREAGLDHKQVKFWFQNKRTQTKTQNERSINNALRKEKERLLSENKAMKEAMKNIMCPQCDGPPIGKEERERNIENMKTENQWLTEQCEKVSNLISSVVGRPFVMDSNLAPPKLTLGSSSNSSDENLLNQNICGYPIRYPALCHENNQNNNNVQAHSININNIPAMSSSPQEHDEFHHDSRQQTIMFETIVASMNEMVELWKTNDPFWVDPSSDEKFSIHRESYGRKYSNQVLPYETSTTRIESSKDCGIVSMTAVELIHNFLDPVKWMNLFPTIVTKARTIEVLDSGTWGGSIQLMYEKLHILSPLVEAREFFFIRCCRQLDATTWIMVDVSYDIFNEIQSGAPCYSWKFPSGCVIQDMGNGQSKVTWVEHVQIFEKYQVNRIFRDLLCGREAYGAKRWIVTLQRMSERNSFSMGTTYPTTHDFKGVFHDPKGIKNTIKVSQRMVKSFFEILSMADKLDFPTSSQPNRGDRISIRKNEEITQPKGFIAIATTSLWLPRSFQDVFNFFEDDKTRNQWDILTGENNVIELDRVLTGTFPANNITIIQPYNMYKEMLVLEEKSINEMGAFLVYAPIELRVITSIVNGCDATKVPILPSGIIISPDGRLSSNRYNTANAQNGSILTVVFQILICDNNNPTSKQQQMETVASVHGVLSATILKIKEALGCSDL >Solyc01g010520.3.1 pep chromosome:SL3.0:1:5481931:5489694:1 gene:Solyc01g010520.3 transcript:Solyc01g010520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQDPQSTTEKQSSTQASHEAQSDQQNNATDTPVADSASVSASGNDNRKVSREDIELVQNLIERCLQLYMNKDEVVKTLLNRARIDPGFTALVWQKLEEENADFFRAYYIRLKLKKQIILFNHLLEHQYHLMKYPVPPKVPLTPMQNGINTMPVNNLPMGYPVLQQHPHPAAGQPHFDPMGMSSCHVVNGVPAPSNYHPMQMNSGNDMVVETSASDVAPAVPPSNAMSDMPVSPASVASSGHFPFTASEISGMGIDTSVLDSSFPSDVASSVGLQLPPDNGVGNSRDLLRSLDQIPWDFSLSDLTADLSNLGDLGSLGNYPGSPFPEQEDIVEEFFVDVEAAPGPAACPQSDEEKS >Solyc09g061940.2.1 pep chromosome:SL3.0:9:60997285:61000770:1 gene:Solyc09g061940.2 transcript:Solyc09g061940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMSRRFLDLPNLVVFLTFFLLLHNFALPSNEVDVLFSWLHSTNSPIPQAFSNWNRNDSNPCKWSHIVCSSSLFVTEIDIQFIQLALPFPSNLSSLQSLRKLIVSGANLTGTIPQDIGDCASLVTFDVSSNGLVGTIPKTIGNLINLEDLILNSNQLTGEIPGEVGNCINLKNLIIFDNMISGNLPSELGKLGVLENIRAGGNKDISGKIPDELGNCKNLIVLGLADTKISGPLPPSLGNLGKLQVLSIYTTMLSGKIPSEIGNCSELVDLYLYQNSLSGSLPAELGKLQKVEKMLFWQNNLDGLIPDEIGNCKSLVVLDLSLNFLSGSIPWSFGNLTNLQELMISNNNISGSIPSVLSNATNLLQFQMDTNQISGSIPPEMGQLKELNVFFAWQNKLEGSIPPALGGCRSLQALDLSHNFLTGSLPPDLFQLTNLTKLLLISNDISGFIPPEIGNCSSLIRIRLIGNKLSGQIPREIGFLDNLSFLDLSENRLKGSVPEEIGNCKALQMLNLSNNTLSGNLPSFLSSLSRLEILDVSLNQFNGQIPASYGQLANLNRLVLSKNAFSGSIPPTLGNCSSLQLLDLSSNELSENMPAELFDIQTLDIALNLSWNLLSGVVPPQISALNKLSVLDLSHNKLEGDLLSLSGLENLVSLNVSYNNFTGYLPDNKLFRQLSSAEMAGNKGLCSLGHDSCFLSNIEGGGMMSNSNVRGSWRLKLAIALLSVVTIALALLGMLAVYRVRKMSKEDNDSELGGGDSSTWKFTPFQKLNFSVEQILRCLVESNVIGKGCSGVVYRAELENGEAIAVKKLWPTTLATGYNCQNSKSGIRGGVRDSFSTEVKTLGSIRHKNIVKFLGCCWNQNTRLLMYDYMPNGSLGSLLHEQSDRCLEWELRYKIVLGAAQGLAYLHHDCTPPIVHRDIKANNILIGLDFEPYIADFGIAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSFGVVVLEVLTGKQPIDPTIPDGVHIVDWVRQKRGNGEVLDVSLCARPESEVDEMMQTIGVAMLCVNPSPDDRPTMKDVAAMLKEIRHEREEYQKVDMLLKDGRDNKSSSDHGGPSTKMHSLYLQSNNTSFSASSLLHSSSSNTNNIGFK >Solyc12g096500.2.1 pep chromosome:SL3.0:12:66376199:66377702:1 gene:Solyc12g096500.2 transcript:Solyc12g096500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENWSLTAKLCDSCKTSPATVFCRADSAFLCLGCDCKIHAANKLASRHARVWVCEVCEQAPASVTCKADAAALCVTCDRDIHSANPLARRHERFPVVPFYDFAVAKSHGGGDTDADAVDDEKYFDSTNENPSQPEEEAEAASWILPTPKEGTDQYKSADYLFNDMDSYLDIDLMSCEQKPHILHHQQHQHNHYSSDGVVPVQNNNETTHLPGPVVDGFPTYELDFTGSKPYMYNFTSQSISQSVSSSSLDVGVVPDHSTMTDVSNTFVMNSSSGAIAGAGADVVPNAVSGLDREARVMRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTETEIDSLITVDASYGVVPSF >Solyc11g006000.2.1 pep chromosome:SL3.0:11:808985:815730:1 gene:Solyc11g006000.2 transcript:Solyc11g006000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAP3Ka [Source:UniProtKB/TrEMBL;Acc:Q6RFY3] MPAWWGKKSTKNKDLQAKEKEREKYVKPRSFDELLSRNSPRTSKDFSGSGSGFSGFDSGSSLEKAHPLPMPSVSSLGNDHGVVLGCGSVSVSSTSSSGSSDGGGPVNTDQAQLDTFRGLGDNRLSPLSRSPVRSRGTTTTSSPLHPRFSSLNLDSSTGKLDDVRSECHQLPLPPGSPPSPSALPNPRPCVVAEGANVNMSKWKKGRLLGRGTFGHVYLGFNRENGQMCAIKEVKVVSDDQTSKECLKQLNQEIILLSNLTHPNIVRYHGSELDEETLSVYLEYVSGGSIHKLLQEYGPFREPVIQNYTRQILSGLSFLHARNTVHRDIKGANILVDPNGEIKLADFGMAKHITSCASVLSFKGSPYWMAPEVVMNTSGYGLAVDIWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDFPEIPEHLSNDAKSFIRSCLQREPSLRPTASKLLEHPFVKNQSTAKVAHVGVTKESYLRSFDGSRTPPVLELHPGGRTISPGRNISPAEGNYASHPVITVSRPLICARENVKAITSLPVSPTSSPLRQYEPARKSCYLSPPHSSYGIGGQSGYEANDYSMFQTRPSTRITLEPWLEIPHFRTQTPSRSPKTRPIL >Solyc08g023370.1.1.1 pep chromosome:SL3.0:8:28356628:28356921:1 gene:Solyc08g023370.1 transcript:Solyc08g023370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHASQGREPTTGNVRARSQRKETKECRVLGCPLTLMRLGQLGLNFGDVRGRRQVMRVALILYPVRKTNRRIDTNVSEFLARKKTNMGRAERIWS >Solyc07g062390.3.1 pep chromosome:SL3.0:7:65286489:65294312:1 gene:Solyc07g062390.3 transcript:Solyc07g062390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:K4CGS2] MSLRRSFLSRRIISRSFTFSKSFKEFKLPEPSTRDDFAVGDEQNGAAGESDSGDATSSWSNMLPELLREIIQRVEATEDSWPLRQNVVACGCVCKRWRQVTEDVVESSLQAGKITFPSCLKQPGPRDPPLQCFIKRDKKNATFYLYLALSPSLMDQGKFLLAARRYRNGAHIEYIISLDADDLSQRSKAYVGKLRSDFLGTNFTIYDSQAPHNGARPSSSRSCRRFASKQISPQLPAGNFEVGSVSYKLNLLKSRGPRRMICSLNCPCPGEAASDKSQDALKKKLVGSAEANSTVLKNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATMDQSQPDGKGDEDTVILQFGKVGDDVFTMDYRKPLSAFQAFAICLTSFGTKLACE >Solyc11g030790.2.1 pep chromosome:SL3.0:11:23541860:23542792:-1 gene:Solyc11g030790.2 transcript:Solyc11g030790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELLEKEFDGKLLSRSVQRLLLLLRIPRSLSCEFPNIFTLYMWAPDIYERSPTPVTALLSIGSYGATLQQIFFFYSITCMILRPLASMAQTKVKRLLAHRLITHVGYIRTCFSCGTIEGIQSVLIGIFFYASMMIDAFVIVLALQQNHVKYIADLGTLAKTNPIFAITFSITMFSYKEIPLLAGYCSTFDLFFVALGCGAYFLAQWE >Solyc04g051710.2.1.1 pep chromosome:SL3.0:4:50967792:50971024:1 gene:Solyc04g051710.2 transcript:Solyc04g051710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVWWSLLGAAIPAIVAGQAYRIKRNHAEEQRIKSARGREKSTDDIFVCERVCTSKRMLKKVGAFSKDPIPDTCITVCGVSELDACSDACARTVCVNQHQVPNWNDVCLRRCQSECLKLSSNLPS >Solyc03g121870.3.1 pep chromosome:SL3.0:3:71389548:71395210:1 gene:Solyc03g121870.3 transcript:Solyc03g121870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase-like protein [Source:UniProtKB/TrEMBL;Acc:K4BMY6] MHAAGAPPVTVSPAKWQGISAPRVRRRHLSIRCQTSFYSQSVNHHYSRRSQQYWDKFYKLHKNKFFKDRHYLEKDWGRYFDDEIESSNGKVVLEVGCGAGNTIFPLIATFPKLYVHACDFSAKAVTLVKSHANYSSEKINVFVCDAAMDDLCANVMPFTVDVVTLMASILENCKQVLKPNGHILLRDYAVGDSAQVKLHDRNQMISENFYFRGDGTCSFYFSETYASTLFQSAGFAIVDINTYCKEITNHSQNITMQRRWIRGIFGRS >Solyc03g123790.3.1 pep chromosome:SL3.0:3:72019879:72025582:-1 gene:Solyc03g123790.3 transcript:Solyc03g123790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEMGSAGDKLDLQLDEEIPFSSSQGTIKIDSLYYEAPHIASCRSTRVLTKMYQISLLRSIYIVIIKAKINLLLPFGPLAILLHYLTGKHTWVFFFSLLGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISLYALNNGMMRVVKQSLLGSILSNMLLVLGCAFFSGGIIHQQKVQVFNKATAIVNSGLLLMAVMGLLFPAVLHFTHTELHFGKSQLALSRFSSCIMLVAYASYLFFQLKSQPNLYSSMSEDEEHNSADPEEEEAPEITQWEAIGWLAVLTIWISVLSGYLVDAIEGASDSLNMPMSFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWFMGKPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSNGRSLMQSKQPWFYPCLGQMSTSTPGNVMNIIEAGQLLYPNDDWIGGMKGLACYNDMFRVVRY >Solyc04g076850.3.1 pep chromosome:SL3.0:4:61845929:61851389:1 gene:Solyc04g076850.3 transcript:Solyc04g076850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:K4BUC3] MSPPLLGVGEEEGQSNVTLLASSTSLGSICIKGSALKERNYMGLSDCSSVDSCNISTSSEDNNGCGLNLKATELRLGLPGSQSPERGEETCPVSSTKVDEKLLFPLHPSKDTAFSVSQKTVVSGNKRGFSDAMDGFSEGKFLSNSGVKAGDTKETSRVQPPKMKDANTQSTVPERPSAVNDASNRAGSGAPATKAQVVGWPPIRSFRKNTLASASKNNEEVDGKAGSPALFIKVSMDGAPYLRKVDLRTCSAYQELSSALEKMFSCFTIGQYGSHGAPGKDMLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIDTCKRLRIMKGSDAIGLAPRAMEKCRSRN >Solyc11g020600.1.1 pep chromosome:SL3.0:11:11758724:11760343:-1 gene:Solyc11g020600.1 transcript:Solyc11g020600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNKFLWGETNDETKMHWASWNLCDILGMKMESPLQDFKIYTRNSLLLRDSTQETLIPGMPCAKSKNIVDQHILWKICRERDSKLLVDCVNVLSITLWRIHDKFKELKDHMENIGFILNHSYREANKVAHALASMSFLNPGNNLYEDFTNLPFGVKGLMTMDRCGLTNFQTKPNKKQDIIWDPP >Solyc04g016160.3.1 pep chromosome:SL3.0:4:6901695:6916521:-1 gene:Solyc04g016160.3 transcript:Solyc04g016160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKKMMHYKRYQHWNRKGDVFVVCVDEETTPLGLVCGNSSYNHKRTKPKLFYLLFLCLISFSFFLAPQLFTSHHNFSLLCKLLSIFLSFCFLGFQFFLILFCFCMCADSFSSEEGGLISESDFNACSSVSNGSICCERSSIRSDVCVMKGDVRTDSASSRITLYRNNGHENGEVLRHEKIRPYTRKWEKNVMDTIDELDLVVKGEHSRVHQKCDVKHDVPAVFFSTGGYTGNLYHEFNDGLLPLYITSQQFNKKVVFVILEYHAWWIMKYENVLSHLTEYPIIDFSGDKRTHCFPEAIVGLRIHDELTVNSSLMGNDKTIRDFRDLLDRAYWPRIRSLIQDEEREARMNMEKHISLPSSETKMEEKQDMKKPKVVIIARNDSRAIVNEDSLVKLLEDVGFHVEVLRPQRTTELASIYRVLNSSDVMIGVHGAAMTHFLFMRPGSVFIQIIPLGTDWAAGTYYGEPAVKLGLKYIGYKILPKESSLYDEYDKNDPVLTDPNSVNDRGWEFTKKIYLDRQNVKLNLGRFRKRLLRAYYHSVANESLRYRHQTESCASAACVSRSWNLIINRLLTIPNLTSALSCHPCLQGAVDEAVDKVLARPIRPQFVIASIGPSFDLEEAQCLISDRFSSEIPIITSISCGIFGQDANTNEFEEVQWDTWEDNKAHEDLLNEDEGVLVTVGFLPGLTVDLIPLKKTRGLMTENFVRSIRERSFSRSGSSPMGILLFSDEDIDIKPVLAKLDYAFSSETAIVGDAGSKFVYQNGAAISFYNSRVSSSAAVALSFSRDTGKPPGVGETQFHVMLSTGVSPIGPKYKAVYVKAVSDDNSTRLMATTDEIDFNMDGQTILDPIYDELGDNTHSRTLYVGVTKMRKCTSRHVDTNWVFMHEFQMVLRGDYEYLYVHGDGIKSYDSFQFYHANSDLARASCQNVSNKMKLLKQDLNLVTDDQSNSYGSYGMQKKYVFGGMMFACYGRGKLFFGEPNVDASPFLENFPGITFSGTYCNGEIAHGNFSLYENGSKEHSSTRCSLHEFSTVYLVMSYTPPTLPQF >Solyc02g084810.3.1 pep chromosome:SL3.0:2:48534267:48545156:-1 gene:Solyc02g084810.3 transcript:Solyc02g084810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLKKCSGEDVAYHLLVTVVAAVLLQAIGAFSVATPNTGCYALDNSSHIHDFSSWIGQPFEYEGKGKDADLVVRFCKDVESRSQAGYVDYGRFDKLNFFRAGSGHVSFIQEYFNGDLMNCEQSYDKMGRTAKVNIICGNCPHGQCKGGLGCICNVEYESACRTVVELAVPCEKPGLRVFEGFTVGFHPRSWEIVYNGLTQLGYEEAHKEFSFNTAQTRVALYMTAIASVSGSVQKPLVKVSPEQGLKVTLSGSAATGGSPTTLSPTSLLIDWRCDVARDNPYEVEVTIPIENYDPVQFTLTKMCEYQQSEGGGAAGGWAIFGVLSCIVLVASTLFCCGGFIYKTRVYNQRGLDALPGMTLLSACLETVSGVGHGYSRPEDVNNPFANQASWERQPASTQATGRTSEVRYGSI >Solyc04g051760.1.1.1 pep chromosome:SL3.0:4:50995156:50995305:1 gene:Solyc04g051760.1 transcript:Solyc04g051760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCYNTIFVIKTSLNKINSTLKLLKQGNETKKRWSFIVFSNQWNSFFF >Solyc01g016655.1.1 pep chromosome:SL3.0:1:20892728:20897447:1 gene:Solyc01g016655.1 transcript:Solyc01g016655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQTRNTRKTIIFALSAAKGLSCAQAARASTASARYANAYGKNEITMSTNGLSDAGHAVNQVENIPLGPRGRLDIDSNFGFRKSFFPQRIGYWDCCIQPPRPGVFLLEGNHRKPPCRKSGCKLKQHYAMKYGTIPIVQAVGLRDIVQRVVMELANSYACNGRGALMDLPCVDLPPH >Solyc06g008030.3.1 pep chromosome:SL3.0:6:1862787:1868673:-1 gene:Solyc06g008030.3 transcript:Solyc06g008030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYCVVPDFKMDDDYYDIPSAIFNKKSTIADEEIMELVWQNGGVIMQSQNQRSVRKSNLFPEQSAVEQTVAVSAPLYMQEDEMNSWLQSPLDDSSFDDFLNTTPSCDAVTSAAAAPPGEIGTSKVEIRPPLVPPCSRPIRCTEGELPHRLQNFGHFSRLSGEAVLRNGTTSSSGHSVRASTIVDSNETPVAARVSENVTPVTAMNVRGRELTATSMATTSGGREVTMACELALTASTRGSGGSVSARAGPPQPSHTEADTAAYDRKRKSRESDDNEGQSEDVEYEFADARKQVRSSTSAKKSRAAEVHNLSERKRRDRINEKMKALQELIPCCNKSDKASMLDEAIEYLKSLQLQVQMMATGCSMVPMMYPGIPQYMPTMGMNMSMGMDMKMGRNRPLISYPPLMPGPAMQNAAAAAQMAPQYPLPAYHLPPFPAPDPSRIPVANQPNSHVGHNISQPRLPNFSDPYYQYFGLQQAQLMLPQNQEVEQLSSSKLNSCIEGSRGNHQSGEHTI >Solyc02g088150.1.1 pep chromosome:SL3.0:2:50957450:50958083:1 gene:Solyc02g088150.1 transcript:Solyc02g088150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVQSLFEAMLLRNLKPDVVTYNSLIDGFCKVGDMEKAFRLRDEMISLSKPTIATCNSIIKGYCRSGDASRAAKFRNKMQSQGLFPDSITYNTLLDGFIREENIYKALDLVSEMGNQGLLPDVISYNTILDGFCKFGRMQEANMLYRKIFERGINPDRSTYTSLIYGHVSKDN >Solyc03g114360.3.1 pep chromosome:SL3.0:3:65852413:65855041:-1 gene:Solyc03g114360.3 transcript:Solyc03g114360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFVKPQFFSFLREEAAAVVQRYSPHHKFMAQSGFGNQFAESTSSSADDVQDPEVSDSESSVVGSVHEERSSGLMRIDEGDKIYGIISNKFLSGLGCFGLSTEITAIQKETCSSFVKQAKLQSFLIFSKAVEKKCSGNANVKYAWFGGSKDEISNIFSHGFSCRSNNGAYSQAICLSPDDNSHDCLQAAVPDKNGVRHLLLCRVILGKTEVVHPGSGQCHPSSEEYDTGVDNLSSPRKFIVWSTHMNSYVFPEFMVSFRVSSHAKGEHLSSALRELECFRGCAGLLILVLIYAESQRNAVPIQNPKSPWITFPALISALSKFLPPQTVKLITKYHNDHKGRKITRRDLIQQVRKLAGDELLTAIIKSCKNKQSKGSTGNSSSTSSINFEQRDGGCCACHRSC >Solyc06g059990.3.1 pep chromosome:SL3.0:6:38041180:38043012:-1 gene:Solyc06g059990.3 transcript:Solyc06g059990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFVGRPIVKSSYNVYTLPSSICGGHFFKVSNSLSLYDDHRRTRIEIIRNSELIPKHVAIIMDGNRRWAKARGLPVQEGHKFLAPNLKNICNISSKLGIQVITAFAFSTENWNRSEEEVDFLMRLFEEFFEEFMRLGVRVSLIGGKSKLPTKLQQVIELTEEVTKSNEGLHLMMALNYGGQYDMLQATKNIASKVKDGLIKLEDIDYTLFEQELTTKCAKFPKPDLLIRTGGEQRISNFLLWQLAYSELYFTNTLFPDFGEEALMDAIFSFQRRHRRFGGHTY >Solyc01g073810.2.1.1 pep chromosome:SL3.0:1:81055373:81056169:-1 gene:Solyc01g073810.2 transcript:Solyc01g073810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLNGGTNEGKQHFSHQHVLKQIVNPTELTLTCNACEKPNNTNKPFYGCNTCQYFLHENCFNAPRILIHSSHPSHPLTLRQISSYVSGSYICNACGSGGNGFCFNCSDCEFDIHLQCASCPSSTLVDKHTHQLELHYGYPEDNEYVCDICFVRMNNDNWFYYCGGCDFASHLNCAIISPEVGVFPKQQCPNPNSNPNPSQNSNPSRNSSANAAVEMINSVNDDHDRLIAAQIGAQIAARSRQAILDLW >Solyc01g107690.3.1 pep chromosome:SL3.0:1:95041936:95043138:1 gene:Solyc01g107690.3 transcript:Solyc01g107690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFNVEAVRQWVVYIDEVDKITKKAESLNIGRDAFMEGFQQALLKMLEGTFLDFKCVLFLSIFLRSVISPAHLSLYLLPIVSVTDNRAWKHPCGDTIQQSSTKDVKLYELEIPCSVAHYCSLLMLPAHYTFPYTNLQIAKAIYFLFFFVLELLLVEKTSSERRQDSSTGFGVPVLSNMSWWSN >Solyc12g042011.1.1 pep chromosome:SL3.0:12:57252805:57253653:1 gene:Solyc12g042011.1 transcript:Solyc12g042011.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIGRKKIVIARIQNSKNRQVTFSKRRIGLFKKETELCTLCGACVAVVIFSSSNKVYSCGHPSAELIVDKFLGENQPGFDAPNSTSLSHQNVNVDDINNELNMLENSLEQEKKHGKALKGLWKELPYEQLNFSDLKKLIELLEAADEEVERVASQLMEYDTEFLYQTIGMSLSPLRFDGNSSSNFNEA >Solyc08g044470.1.1 pep chromosome:SL3.0:8:20223450:20224658:1 gene:Solyc08g044470.1 transcript:Solyc08g044470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEEVKEETYFFLDCDLDCRSWRGLRKGSFLGFSEPPMLELEDVMLPKSDTEKIENDLVHKGITQPFLLCAEETKQDEDDDQDFAEVLEDVNHKLLSQAMSSKHSKGTYNGGLLSTEAGTLDRVFGQKVNSVKV >Solyc08g045790.1.1.1 pep chromosome:SL3.0:8:16532623:16532796:-1 gene:Solyc08g045790.1 transcript:Solyc08g045790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLCPICNQGLLCPVMKNDRDYCALYAIKGLLCPLKTLKKNRGNCALYATRGYCAL >Solyc01g106175.1.1 pep chromosome:SL3.0:1:94017988:94025474:-1 gene:Solyc01g106175.1 transcript:Solyc01g106175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECWAFQEDLNRISRRRVTTLKICYNDKRVPSFDTFHSCSRYCGVMAIDSLANSSGGSIHCILVLDTAVAINLLIHVEPKIYCPTFHIMVLMLDQMHCIEHFHETKSYLSHPVWAPITGINLQPFSFNTFTEANLDKSQIFGAESRKFFTQKLPVVHKKSLYNKRSYGIQACRRYTIQINLPVTFLQVMQLLPQTCYFPLPKLAKRAR >Solyc03g044580.1.1.1 pep chromosome:SL3.0:3:10187560:10187895:1 gene:Solyc03g044580.1 transcript:Solyc03g044580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTKVVTILTYLLSFSILFSHTIQSIEGRFMKFKHISGPSSHTMVSKMQDWRVKDRDENPNVVYATKKGTFDDEPAPMEPPSSVPPPGRVDGFSPYGRGHSPGIGHSIQN >Solyc05g044600.1.1 pep chromosome:SL3.0:5:58016615:58016837:-1 gene:Solyc05g044600.1 transcript:Solyc05g044600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAILNPLERSWRKEQRNFGKKLRKKGWR >Solyc02g080490.3.1 pep chromosome:SL3.0:2:45241213:45253784:-1 gene:Solyc02g080490.3 transcript:Solyc02g080490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDECKGSSEPLIEEKEATVEHVSSELEDILLDARLSHFQRLRRASAVEFSNLFRLAAPAIIVYLLNNVTSVSTQIFCGHLGNLELAAASLGNEGIQLLAYGVMLGMGSAVETLCGQAYGAHKYETLGIYLQRSTILLMLSGIPIMVAYLYSKPILILLGESEKVASAAALFVYGLIPQIFAYAANFPIQKFLQAQSIVNPSAYIAAATLVLHLFLTWIVLYVFKWGLFGGAMVLSISWWIVVIAQFMYILWSDKCRKTWNGFSSQAFSGLWDFFKLSAASSVMLCLETWYFQILVLVAGLLPNPEVALDSLAVCNTILGWVFMVAAGFNAAASVRVSNELGAGHPKSASFSVLVVTLSSFVIAVVAAIIVMMFRDVMSYAFTGGETIAKATSELAPLLAASIILNGIQPVLSGVAVGCGWQGFVAYVNVGCYYVVGIPLGALLGFHFKLEAKGLWLGMFGGTAMQTLILVWATFTTNWEEESEAEKPLLAAHGASSELEEVLSDFQLPYFQRLRSAFWIEFQLLFRLAAPAVAVYLINNAMSMSTRIFSGQLGNLQLAAASLGNQGIQLCAYGLMLGMGSAVETLCGQAYGANRYDMLGVYLQRSTIVLSITGIPLAVVYIFSKNILLALGESKLVASEAAVFVYGLIPQIFAYAVNFPIQKFLQSQSIVAPSAYISLGTLFAHLLLSWIAVYKIGLGLIGASLVLSLSWWIIVSAQFIYILRSERCKNTWTGFRWEAFRGLWEFVKLSAASAVMLCLETWYFQILVLLSGLLKHPELALDSISVCMAVNGLMFMVSVGFNAAASVRVSNELGAAHPKSAAFSVFVVTLISFLIAVVEAIVVLCLRNVISYAFTEGEIVANAVSDLCPFLAVTLILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYGVGIPLGCLLGFKYDLGAKGIWTGMIGGTVMQTFILIWFTFRTDWNKEVEKAKERLDKWENVKEPLSKK >Solyc11g065540.1.1 pep chromosome:SL3.0:11:51338367:51340053:1 gene:Solyc11g065540.1 transcript:Solyc11g065540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRDHNFVYPPGYRFCPHDEELILHYLKKKVMNEFLPYNIIKDVNLYKYCPNELAENFPILGEKEWYFFTPRRRKYPNGERPNRAAGTGYWKATGADKPIIHKNVKVGFRKALVFYEGKPPNGIKTNWIMHEFRVDESRMIKTHASDMRLDDWVLCRIYKKVDKSSKTQTPPQKDDDHEQENPINLAEVENPINLAEVENPINSGAEVENPINSGAEVWNPTNSGAIANYFYEETMNSCGESQPTYQNNPNIAINPYSCYDPTLNYVLNLPPPFPLDNMQYEPNTNLSDQINYNIYDCDDLDKNQFL >Solyc11g020290.2.1 pep chromosome:SL3.0:11:10715967:10722585:-1 gene:Solyc11g020290.2 transcript:Solyc11g020290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSFSMHITLYPSRLQLQKLLLSPTLFQPSRLSHKERAMKILLDDASSVNNAGSAVNRRSLLLSKLKHGLLSKQKESKKLFPWKFGLEAKEKVEEEENRVEEERTSKNEKRLKTGPVDHDLVLRAMSRALEVTELAYLDMTDRVLDRYPKLALMGSCLLVALMRDEDVRSFVVSFFHLPMLRFNVLLSHAVINLAFFLFIWIFGAIKGEKSRNKFQQINHDGEVNRARYMPQNPFIIATKTVSAEVCVFDYSKHPSKPPLDGACNPDLRLRGHSTEGYGLSWSQFKQGHLLSGSDDSDICLWDINATPKNKAIEAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLHVWDLRTLSVTKPIQSVVAHQNVVPLFETVKDLREAGSVIRRLLSIDWYRNHVIKNHNGHQEELYKAQKDVVAACNEYEIKITLFHGRGGSIGRGCGPTYLAIQSQPPGSVMGSLRYTEQGEMVQAKFELPQMAVRQLEIYTTAVLLAALRPHSLQGNKNGVI >Solyc08g066900.1.1.1 pep chromosome:SL3.0:8:55838483:55838773:-1 gene:Solyc08g066900.1 transcript:Solyc08g066900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVALICYQQKVPYIVIRALSDMAGGGTSESNEASTFITLAATNSVEVTVQFINQLATKKLHQDASCLLLVPSVFRICIKISKNRQEISSDQV >Solyc01g101020.3.1 pep chromosome:SL3.0:1:90762986:90769672:1 gene:Solyc01g101020.3 transcript:Solyc01g101020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDICEASDFPFLAGKSTNWLCSVSSCIFGLLHILWWNTINVGIGITSCCFFTGYHHSSYSMADTRNSNVKASNDKASGTANPYAINLENFGKRLKTLYSHWTEHNDELWGASEALAIGTPPPSEDLRYLKSSALNMWLVGYEFPDTIMVFMKKQIHFLCSQKKASLLEAVKKTSKDVVGVDVVMHVRSKKDDGTGAMDAIFRAMQDQSESNVPVVGHIAREAPEGNLLETWTEKLKNTQFQLSDVTNGFSDLFAVKDTAEIMNVKKAGYLTSSVMKHFVVPKLERVIDEEKKVSHSSLMDDTEKVILEPAKIKVKLKAENVDICYPPIFQSGGEFDLRPSASSNDQNLYYDSTSVIICAIGSRYNSYCSNVARTFLIDANPMQSKAYEVLLKAHEAAIGALRPGNKAGDVYQAALNVVEKEAPELVANLTRSAGTGIGLEFRESGLNLNGKNDRMLKSGMVFNVSLGFQNLQTESKNPKTEKICVLVADTVVIGQNAPEVVTSMSSKAVKDVAYSFNEDEEEEEEQPKVKAKPVAANGLSSKATLRSVNHETSREELRRQHQAELARQKNEETARRLTGGSSGGADSRGSAKATGDLLAYKNINDLPPPRELMIQVDQRSEAILLPIHGTMIPFHIATVKSVSSQQDTNRTCYIRIMFNVPGTPFTPHDTNTLKFQGSIYVKEVSFRSKDPRHITEVVQQIRTLRRQVVSRESERAERATLVTQEKLQVAGAKFKPIKLSDLWIRPVFGGRGRKLPGTLEAHTNGFRYGTSRPDERVDVMYGNIKHAFFQPAEKEMITVLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTIGGGKRSAYDPDEIEEEQRERDRKNKINMEFQTFVNKVNDLWTQPQFKGLDLEFDQPLRELGFHGVPHKSTAFIVPTSSCLVELVETPFVVITLCEIEIVNLERVGLGQKNFDMTIIFKDFKRDVMRIDSIPSTSLDGIKEWLDTTDLKYYESRLNLNWRQILKTITDDPEEFIENGGWEFLNLEGTDSESENSQESDQGYEPSDVEPVSSDEEDDESASLVESDDDEGEDSEEYSEEEGKTWEELEREASNADREKGAESDSDNDRKRRNMKPFGKGRPPERRNLSSNISKRPRFSSICCINLDLRIVFLFFLCLPSSEFVFDLGTFVFQNLLSYLSATFLGQLLAYYSAKGLVPIIPGNVGAPPGCYSSDTPLRNDLRGLST >Solyc07g049310.3.1 pep chromosome:SL3.0:7:59686834:59695592:1 gene:Solyc07g049310.3 transcript:Solyc07g049310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plastidic glucose transporter 4 [Source:UniProtKB/TrEMBL;Acc:K4CF34] MQQALAIHSVQCFSTMNFHRKHHYFDHLRRNKQYPFPHSTSFSVSKEVKVSASSKQKPPQVESVIGDDEDELLLEKVIVVDDGGDLGWLPAFPHVLTASMANFLFGYHIGVMNGPIVSIAKELGFEGNSFLEGLVVSIFIGGAFIGSVTCGSLVDKLGCRRTIQFDTVPLILGAIVSAQAQSIEEMILGRFLVGLGIGVNTVLVPIYISEIAPTKYRGSLGTLCQIGTCIGIIASLYLAIPSENDPHWWRTMLYIASVPGFILALGMQFSVESPRWLCKAGRLDEAKKVINNLWGSSEVDKAIKEFESVLKNDGDDLNSSWLELLEEPHSRVATIGGALFVLQQLAGINGVLYFSSLTFKDVGISSSALASLYVGLTNFAGALCALYLMDKQGRQRLLIGSYAGMALSMFLIVYAISFPMDNEISNNLSILGTLLYIFTFAVGAGPVTGLIIQELSSSRTRGKIMAFSFSVHWVFNFLVGLFFLELVQKFGVAPVYGSFGAVSLLATAFAYFLIVETKGRSLEEIEMSLNPKFQGKRNSE >Solyc01g087680.3.1 pep chromosome:SL3.0:1:82485234:82489312:1 gene:Solyc01g087680.3 transcript:Solyc01g087680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSASLTRVPFSISNRRNYRRLPIILASSSSAMPSHEPSSSSSSSTEIGSILSSPKAPFVDSSKPPQNGYIASPNGSPLVKFVQHTESTIERAIFDFRFLALLAVGGSLAGSLLCFLNGCVYIVDAYKVYWTSCVKGIHTGQMVLRLVEAIDHHAWHQKFDLDKHLSVGETSEDVYLAGTVMLIFGMGLYGLFITNVSPDVHPTADRALKQSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGTDLLSYSVCIFLSSASLYILHNLHKSD >Solyc12g019754.1.1 pep chromosome:SL3.0:12:11447294:11450075:-1 gene:Solyc12g019754.1 transcript:Solyc12g019754.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRFSAAFEMKDLGPAKQILGLKISRDRSVGTLNLSQEFYIEKVLSRFRVNDAKPRTTPLANHFILSKEQSPKTAEERDHMALVPYALVVGSLMYAMVCTRPDIAHAVGVVRSTSLCFGKGKVTLQGFVDADPCGDVESSKRTSGYIYTIGGTEVSWMSRLQKCVSLSSTEAEYAEIAEAGKEMIWLADYARLSQNTFPQKVIDIYSRVFGFMMCWSFDLQVVANDLVIALLPLFSKERVWSVSYA >Solyc02g082615.1.1 pep chromosome:SL3.0:2:46842226:46845597:1 gene:Solyc02g082615.1 transcript:Solyc02g082615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPPLKPSIHCSLLAFWSILLRPSIARMKIKGESVSPCLSPFLGERKPAGPLLMSTEYFTVFSLNLIHLFGIFSDEVYVIKFLGRVVWSLSDIIAIKYVSNSLCRSSVKGNLLTSGIANYKLKGSAHVHTMGVRRSVTSGVLYFVGNSLVSGTIDQNCLEGVPNFSNRLIRSLFRLCHRHVLQHASDERIRLEELTTV >Solyc04g078690.3.1 pep chromosome:SL3.0:4:63471109:63476007:1 gene:Solyc04g078690.3 transcript:Solyc04g078690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPYRTNPHASSSLESEDMSSFFLNFLQGTPASSSATAAAGFYNRSGPAPVAESSSSLNFSDPGRFYAAEFKEGVENVFASAGLGECDGMNSANRREFLEDDKVDNFGFSSEECDGLDMPSDPTHPRSSKRSRSAEVHNLSEKRRRSRINEKLKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQILTLRNGLSLYPGYVPGSLQSVQLPSGNEFDGRSFMLSANGGATLPVNREMPQTAFEISNQNPSGKPTITSHNTENAVALETTIQNHYGLLNHLASSKDMCRDNTLSRLHLDMSCSGNNSSSGVSS >Solyc01g014740.1.1.1 pep chromosome:SL3.0:1:14729642:14729908:1 gene:Solyc01g014740.1 transcript:Solyc01g014740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSAELFETVGLMFTTIVIVRCCQLELEMHMKVQAYILATLIDLLVNMCNKLMSTSSAAQHLEIFGSLEELKCRPIIFPLWSLLRLL >Solyc03g118455.1.1 pep chromosome:SL3.0:3:68810507:68811050:1 gene:Solyc03g118455.1 transcript:Solyc03g118455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTQVGLALPVGKVLFNLLVCILKWWSGIRCPNMAVLFNQIQVFNHREYLLGGSPMHVHQSRGPKPLDGAVFLG >Solyc10g084680.1.1.1 pep chromosome:SL3.0:10:64244727:64246088:1 gene:Solyc10g084680.1 transcript:Solyc10g084680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTLEENNPQSQAPKLNFILKLKENLVFKSKWAELNGAMGDLGTYIPIVLALTLASHLNLGTTLIFTGVYNFVTGAIYGVPMPVQPMKSIAAVAISNPDFGIPEVMAAGICTAGILFVLGVTGLMQIVYRLIPISVVRGIQLAQGLSFAMTAVKYIKNVQDFAKSKSGGQRDWVGLDGLLLALICAVFIVIVNGAGDDDDDDRVDNPESGCREKVHKIIFSLPSAFLIFLLGVVLAIIRGPNAIKGFKFGPSRIDVMSISKHAWKQGFIKGTIPQLPLSVLNSVIAVCKLSTDLFPEREVTATSVSMTVGLMNLIGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGSSMVKVLTQFPVGVLGVLLLFAGIELAMCARDMNTKEEAFVVLVCTSVSLVGSSAALGFLCGIVVHLLLKMRNMGSNGQSCSNVIWFHRNP >Solyc09g066410.2.1.1 pep chromosome:SL3.0:9:65061716:65063317:1 gene:Solyc09g066410.2 transcript:Solyc09g066410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter [Source:UniProtKB/TrEMBL;Acc:A0A0B5GK48] MGGDMKVLNALDSAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSSKPGSLPPNVSTAVNGVAFCGTLAGQLFFGWLGDKMGRKKVYGMTLMLMCICSIASGLSFSRDPKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIFAIIISAAFEASFKAPPYQVDPLGSTVPQADYVWRIILMVGSLPALLTYYWRMKMPETARYTALVAKNVKQATADMEKVMQVDIGTEQKEPAAVSTVKSGNEFGLFTKKFLTRHGLHLLGTTSTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKTMNAIEEVQKIARAQTLIALCSTVPGYWFTVFLIDRIGRFTIQLIGFTMMTVFMFALAIPYHHWTLPGNHIGFVVLYSLTFFFANFGPNATTFVVSAEIFPARLRSTCHGISAACGKVGAMVGAFGFLYLAQPQDKTKADAGYPAGIGVRNSLIVLGVVNLLGLFFTFLVPESKGKSLEEMSRENEDSTEEGADNKTVPV >Solyc01g088690.3.1 pep chromosome:SL3.0:1:83303953:83313522:-1 gene:Solyc01g088690.3 transcript:Solyc01g088690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFFYFKERNRNRERKSAPVILQDQSKSDISGGAERVTKSSCSTSSARSFSDVYEGKGQNLRVFTFPELKQATNNFNRLLKIGEGGFGCVYKGNIKPADGKGESIIVAIKKLNRDGYQGHKQWVAEVQFLGVVDHPNLVKLIGYCAVDGERGIQRLLVYEFMSNRSLEDHLFNTAFPVLSWQRRLQMALGAAQGLAYLHEELEVQVIYRDFKSSNVLLDDDFKPKLSDFGLAREGPTGMHTHVSTAVVGTWGYAAPDYIETGHLTAKSDVWSFGVVLYEILTGRRSLERNRPKSEHKLLDWIKRYPADGKKFGMLMDPRLENQYSISAARKMAKLADTCLLKSAKDRPKMSQVVETLKQIIQISGENSSSTDTSFQGVDDVPVVEEKPKQMGATESAKRRMAHLAKLSEHVGGISRRRFLIMQKGKTT >Solyc03g097430.1.1.1 pep chromosome:SL3.0:3:61187733:61188380:1 gene:Solyc03g097430.1 transcript:Solyc03g097430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLQMKKIQSINKYRKQRLLNKVMLYSFTSMTCILILSSPIWYPLLRSFVKSLLFDSVPKLGALCFSSKCIFLVGNIIVIVLVGESKIFKSSSNVLKQSYAAEQEDEDKNFIFVTGECTISTSKYSSSLPVSVNFKFGVEQEEEFYSKQEEEEKGSVNLENVMDGIKGNYCTSNYRENEELEKFETEHNELSKRADDFIARVNNQIRLEAVSMI >Solyc10g061887.1.1 pep chromosome:SL3.0:10:23060128:23062283:1 gene:Solyc10g061887.1 transcript:Solyc10g061887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDITIKNKYTIPIVDDLLDELCGAIIFSMVNLRADYHHIMLKGEDMFKTTLRTLAGHYVMSSQEEAHNTQVRIQGKLELNLDRLVEVCYWSLELTRGIVTWIEFKEELISNFGDMLVEDVDLKVHILVQNLALNESHFLSSFIGVQRKEIRGLNDITIKNKYTIPVVDDILDELCGACGVNEPSKTPFFSPALLVKKKDVTWRFYVDYMGLNDITIKNKYTIPIVDDLLDELCGAIIFSMVNLRADYHHIMLNGEDMFKTTLRTLAGHYVFQMIPFGLTNALATFQVLMNQVFQPF >Solyc01g079240.3.1 pep chromosome:SL3.0:1:78193435:78198933:1 gene:Solyc01g079240.3 transcript:Solyc01g079240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNKFGLKVEEGKEGKNGEPSIGPVYRNPIAKNGFPSADPDLSTAWQLFRSAVEKYPGNRMLGWRDFKDGKWGPYNWKTYKEAYEETLQTGSALRAHGIEPGARIGIYGANCPQWIVAMEACSAHSLICVPLYDTLGSGAVDFIIEHAEIDIVFVQDKKVKELLNAECTHAKRLKLIVCFTLLQQEEKDKAVSMNIKPYSWNEFLNMGKENPSEPSPPQPLDICTIMYTSGTSGEPKGVILTHENMTASVTGVDHFMEEFEDKMTVDDVYISFLPLAHILDRMIEEFFFHRGASVGYFHGNINEIQEDMMELKPTFLAGVPRVYEKVHEGVLKALEELNPFRRHMFHVLYNFKLKWMNLGYKQKYASRLADLLAFRKVKNRLGGRIRLIVSGGAPLSTEVEEFLRVTSCGFVLQGYGLTETCGLATIGHPDEMCMLGTVGPPFVFTEVRLEEVPEMGYDPLADPSRGEICVRGRTTFTGYYKNPELTREAIKDGWFHTGDIGEMMPNGVVKVIDRKKNVIKLSQGEYVALEYLEKVYAIAPIVEDIWVYGDSFKSMLIAVVAPHEGNTKKWADKNGHKGSITELCSLQDLNQYVLLELKSAAERNKLRGFENIKGVILEPQPFEFDKELVTATMKKRRERLLKRYKLEIDDLYKRLNAAAKR >Solyc04g005060.3.1 pep chromosome:SL3.0:4:54277:58554:-1 gene:Solyc04g005060.3 transcript:Solyc04g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSGPTLEVSVSFGKYENDALSWEKWSSFSPNKYLEEVDKCKTSGSVAQKKAYFEAHYKKIAAQKMEQVESLDEPHIQDRNESTQVFDTHGVEETTRADVNNSDMKVNSLLVLIDKEGEILETGDNGEVSNLEKHESCEIGSQDDHKEISQVDNEAKISSAKKSKTPKSNLKNTARKVHPTTEDRISAGTKKKLASPVTKSSRISTPTSKPTPASKVISSSQTSVKKVNGVSYQRSSNSPVAQSNKLLSRSLISPSQSSIKKLNSSTLQRSKNSSTLENKRIAPTSLHMSLSLGPPNSTASTNTMRKSLIMDRMGDKDIVKRAFKAFQSSFNQGKPEVDTRYSGSKKVLPKGSEKKISASPTPKKEVERLRKTSDAVITQKCQSGTRSNSLSSRAPKDAVIERKKVNTVRPAGMSIDRSIDKLKEDIIKGKIHRAGSNR >Solyc05g020047.1.1 pep chromosome:SL3.0:5:26065927:26068010:1 gene:Solyc05g020047.1 transcript:Solyc05g020047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHKIWFLHLSGSLFYLFLFLTPSRGVPNVWHFPYFMGATSTNFLIIKLQPKIYDHIMLTIRISFLPKPKGHCIETATYYRHFLWFFLLSYVHVREEDWTNTQEEPLPLRGDPSRERRKKSTGSILSIEDKKKSDGEERKFPITLLDKIITVRIDYEFEVMRTKSHRDVRSSITSSPLRQSVNGGTGSVVGITFEWGRNSS >Solyc12g096950.2.1 pep chromosome:SL3.0:12:66639250:66643255:-1 gene:Solyc12g096950.2 transcript:Solyc12g096950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIKDTVSEKLSRLFSDSPSKSSDQQPQARPYTKEGRSLSSVLSIFLPSTSFSKFKDEDDVNSFQSHSFTWRSKSFSWRDRPLERYAECDDHNDHMEEGENGLVRSSIGVLNESFYTPRCNEEPNSARSVASGFEPFEDAPDGDSLEQSMPNLVEDSVFISRELYDFFQSSLPNIVKGCQWSLLYSTAKHGISLRTLIRMSANSSGPCLLITGDKRGAVFGGLLESPLRPTAKRKYQGTVQSFVFTTVYGEPRLFRPTGANRYFYLCMNEILALGGGGHFALCLDGDLLSGNSGPCDTFGNLCLAHDEEFELKNVELWGFTHASRYLTS >Solyc10g008320.1.1.1 pep chromosome:SL3.0:10:2453675:2454301:-1 gene:Solyc10g008320.1 transcript:Solyc10g008320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALGPGKFYGSSLPRPRFYENPNGERVDPPVSVMDPLMSWAEEAHWSMGGLSFKRLRLQGRIEGNIKKLRAEHEKMEKKSVKKGSVSSRVVHVSPSPPPAPLNLKRKMRLVDESDDENEEMGVEGRVEKRGLARKLDDDFDKVAEKSDGVVMGRTRSGRNKEAVVEKLKPRGRKLKKGVKGSEKKSVPVTPNAARTSPRLSKRRLP >Solyc06g054310.1.1.1 pep chromosome:SL3.0:6:37232114:37232902:1 gene:Solyc06g054310.1 transcript:Solyc06g054310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVYQGYQSYSDSNIIETTTLKLKVGTPTTIVENINTSNQKCWSSIQNLSSINYSNEMEKSYIHRLNEHSLALCTENLGSETGTDQNIDNNNFSISSRELKTEQSNYSKVTMSYKNTQTSRKLPPPLTTLRGSNSLQCRTYREGGRLVIKAIEAPKMRTYFHAERSNGRLTLCFLNDENSVSTIDEEDGESGDENDVFEREINDEEEEGMYMKRDMNGNSHDVEGEIGIVNCQRVSRCKEGRQGKKTFCNWRNPLWVATS >Solyc11g070180.1.1 pep chromosome:SL3.0:11:54996823:54997554:-1 gene:Solyc11g070180.1 transcript:Solyc11g070180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERASANPENLNSLRENAVEIICGACQAMKGKRNRCLGCDFTPPEKKSEFEELNRSFDVRELRQVYTEFKEKAEAEREYARLLRIARAERRHAQSKKSYKSLKRKNKQLKRTIEQNKDFMIRHLTESPGRQWNCSCGWRTEERTAAGGGAGVHEEELTGMGVRLNRNVNARRRPGFSRGQRPNDDTSSTSRARSDEEEMLAMGLGIRGQNL >Solyc05g056545.1.1 pep chromosome:SL3.0:5:66657181:66659114:-1 gene:Solyc05g056545.1 transcript:Solyc05g056545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPHIIRSAIRSTSSKIALLARSTTPFTNRSFCSSFESEKNSTAPSEASYYHLSGGPSHMRAAVFWEPGKPLTFEDFHMPRPKANEVLIKTKACGVCHSDLHVIKGELPFASPCVVGHEITGEVVEHGQLSDSKIIERFPVGSQVVGAFIMPCGSCSFCSKGQDDLCEDFFAYNRAKGTLYDGETRLFLRNSGKPVYMYSMGGLAEYCVVPAHALAVLPNSLPYTESAILGCAVFTAYGAMAHAAEVRPGDAIAVIGIGGVGSSLNTGGTLYV >Solyc01g108290.3.1 pep chromosome:SL3.0:1:95539216:95543559:1 gene:Solyc01g108290.3 transcript:Solyc01g108290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSVLVDRLREILRVSDLDIATAGTVRRRLEEEFGVDLLDRKAFIRDQIDLFLRNQVEETSKNDVHEEENEKEGENDDSCSQEEEQESKEDENGDSCSQEEEEGEDDSAKRAKKKPRSEKMNGEAKKKGGFNKPCALSPQLQKLVGEPELGRPEVVKKIWAYIREKNLQNPENKRKILCDEVLSGIFQVKSIDMFQMNKVLSKHIWPLNEENGTQVKTSVKRRLPKKGREEDSDEPKQKEKRQKGGGSGFLAPVQLSDALVKFLGIDENALPRADVIKRIWQYIKENELQDPSDKKTIICDERLKELFQVDSFHGFTVTKLLTAHFIKRED >Solyc02g088300.3.1 pep chromosome:SL3.0:2:51068003:51086193:1 gene:Solyc02g088300.3 transcript:Solyc02g088300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSALLTSVGINLGLCFLFFTLYSILRKQPGNAEVYAPRLVAEGRSQQISNFNLERLLPSAGWVTRAWRPSEAELLANSGLDAVVFMRIFIFSAKVFTFAVIVGIFILLPINYMGNQLSLDLFDLPNKSLESFTISNVDDGSNRLWIHFSAVYIFTAVVCYLLYIEYDYISSKRVSYFCSSKPHPHQFTILVRSIPASSGRSYSETVESFFSEYYPATYLSHWVVRRASKLQGLIKNSKRLYRRLVNLKSANHDRERFGRAGFMGLFGQRVDLLDHYEKKLEVIEDNVRAEQSSSLGKEVGAAFVSFRTRFAAASATHMQQGVNPTQWVTEPAPDPEDVYWPFFSASFLKRWISNLVVVVACVLLTVLFLIPVLIVQGLTHLEQLEIWFPFLKGLLRIAFVSQVITGYLPSLVLQLFLYVVPSIMIMFSSIQGYIAMSQIEKSACIKVLWFTIWNIFFANVLSGSALYRVEIFLEPKNIPAILAVAVPGQATFFIAYVVTSGWTSTSSELFQLSTLVFNFIKRNICRKYDDEFEVPSVPYHSEIPRILLFGLLGITYFFLAPLILPFLLVYYCLGYLIYRNQLLNVYAPKYETGGKLWPIVHDSMIFSLILMHVIAIGIFGLKKLPLASSLTVPLPILTLVFNSYCRRRFLPMFKSYSVESLLKKDKEEQNDPTIASFHERLATAYQDPALLHVGYSGNNASIIAPLLGTAEVDA >Solyc10g037915.1.1 pep chromosome:SL3.0:10:18048610:18060156:1 gene:Solyc10g037915.1 transcript:Solyc10g037915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIIGSTLALIEDTKQVLQKAFKMKDLGELKDFLGIEFSLSEDDILTHQRKYALELIVEVGMTTTKTAGIPIDVNVKLTSRSHMDAALRIVRYLKKEPGQGLLLTSSSDELVYVFCDADWASCSLTRSSAEAEYRSMPSKVSELVWLLGLLKEVGVGVKLPVQRSTGLCLLLYTFHDYGGLISKEREEERRKRGKINVKN >Solyc10g052800.1.1 pep chromosome:SL3.0:10:53488986:53489908:1 gene:Solyc10g052800.1 transcript:Solyc10g052800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREGDTKRITQGHWILHKSINPFLWDFEEWEKLLEFYKRVLGAGMHASYIGSGGVEQELFLGLFIYIDSFTQEFSFRIDELEQMSIGNRILKQQLVDIGTVTAQ >Solyc09g010130.3.1 pep chromosome:SL3.0:9:3488806:3512190:-1 gene:Solyc09g010130.3 transcript:Solyc09g010130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTMQRSGSGSLQSPRSPSSQAPFLSVSVTDPAKMGNGVQAYISYKVITKTNLAEYQGNEKIVIRRYSDFVWLHDRLFEKYKEYFYSSTSREKHCRHFVIIIIYLLAEKFRFSAEFIEMRRQALDVFVNRIASHHELRQSDDLRIFLQADEQTMDRARFQETGIFKKKPADLIQIFKDVQSKVSDVVLGKEKPVEESTPEYEKMKNYIFELEEHLAEAQKHAYRLVKRHRGAMPSSTLLAPPSLPIAKLLGGFILYLHPLTKWANFLCPRNSERSPSSTFLRENKVNQYIGEPVDDELLCTFVNSNSGLEKINVEVLLCEAKFHLQFCQGRELGESLSDFGKAVKLLGTCDDDALGKAFSELGAKSEIISIKLQKEAHHLLMNFEEPLKDYVRAVQSIKATIAERATAFKKQCELAETIKFKEIDLNKYKLTRSEKLAEAEREYEMLKAEGEETSRRFDTIVRLMNEEIVRFQEQKTSDMGLAFHEFAKGQARLSNGIADAWRSLLPKLEAHSSYEFSNYHNLSVVVKASGGDGPNDQDYEDGVSLGTMKLPKDIDLARFETLLFQMTFVHVWTLCASFNMQILCSSVNIERKDVVSTDIFWANSLCQGAMLPLPVPLKVDKIPRGARVCFISVDDAQTEVLAYIDCMVFPATETSPPIFRAIRNGPSRDKAPPGEPRIMRSLLGALQNNFCIIEGPETVQDFGQMQFQEIQDNIRSRRNKIFLLMEEVRRLRIQQRLKNSNTRGETGEDENEMPDIPSTIPFLPNMTPKTLKQLYLTSFSFIAGIMVFGGLLAPVLELKLGLGGTSYEDFIRNMHLPMQLSQVDPIVASFSGGAVGVISALMLIEANNVVQQEKKKCKYCYGSGYLACARCSASGKCLYTEPISVNGSYQSLRAPTLKRCANCSGTGKSRRKTREPKEETVTLGPATREGELVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Solyc02g090910.3.1 pep chromosome:SL3.0:2:53022936:53030936:-1 gene:Solyc02g090910.3 transcript:Solyc02g090910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKLVVLGIPWDVDTEGLREYMIKYGDLEDCIVMKERATGRSRGFGYVTFATVEDAKNALSKEHFLGNRMLEVKVATPKEEMRAPAKKVTRIFVARIPPSVSEATFRGYFEKYGEITDLYMPKDPSTKGHRGIGFITFANAESVDDLMSDTHELGGSTIVVDRATPKEEDFRPVSRMSQSGGGYGAYNAYMSATTRYGALGAPTLYDHPSSMYGRGGGGGGGGGGGFPSRGGLGQKIFVGRLPQEATTEDLRQYFGRFGRVLDVYVPKDPRRPGHRGFGFVTFADDGVADRVSRRPHEICGHQVAIDSATPLDDAGPSNNYMMDPPPPAPEAYGGYGGPMRTYGRMYGGLDYDDWGYGLGGGRPSRSDMRYRPY >Solyc08g079350.2.1 pep chromosome:SL3.0:8:63041179:63043630:1 gene:Solyc08g079350.2 transcript:Solyc08g079350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQMSLKFFKPLFESFPWLLQQLNAENKAVFFSCFLGFLALLWCFISNSNKGLPPGPKPLPLIGNLYSLDPTYFASLSQTYGPICRLWLGKKVGIIITSPTLAREVLKDKDTIFANRDVPAAAKELSYGGNSILWTPYGPKWRMLRKVCVRNMLSYSTLDSVYALRKRELRQSINYFYSQKGLPVNVGEQMFLSSLNVITSMLWGGTVKGEERATLGAEFRHIVTEMAALVSIPNYSDFYPGLAWFDFQGVAKKMKVLARRFDKMFESMIDQRQKLHRNAEMGYGVGQESKDFLQVLLKLKDEADPKVPLTMTEIKALLMYYGQEMVLGGTATTANTVEFALAEIMHKPDILSKLQQEVDTVVGKDNIVEESHIQQLPYLYAVMKEVLRMHPATPLLVPHCPSETCTVGGYTVPKGSRVFINVWAIQRDPSIWRNPTEFRPERFLDNKWDFSGNDFNYFPFGSGRRICVGIGMAERMFMYALASLIHSFDWKLPEGETPDLTEMFDITLRKKIPLVTIPTPRLSNPTLYE >Solyc11g069600.2.1 pep chromosome:SL3.0:11:54526168:54531802:-1 gene:Solyc11g069600.2 transcript:Solyc11g069600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKWRKAKLALGLNTCLYIPKTLEDSPATATATASTVAGGRFSDAVSLSPTTPNRNSDCHVDMPTTPTPSSSGLRMPKHHTSKSSKNTCAICLMSMKPGQGHAIFTAECSHSFHFNCITSNVKHGNQICPVCRAKWKEIPFQSPLTDISQPRSRNGQVHWPQHDAWMTVVRQIPPARLDTNRNISNLFQTVEPSVFDDDEVVDHRPMGSQKNSSTDVTNHHSMGAIEVKTWPEISAVTKSASHSNFAVLVHLKAPRAVGLLNQSGMPVTGQNSRAPVDLVTVLDVSGSMAGTKLALLKRAMGFVIQNLGPADRLSVIAFSSTARRLFPLRRMSDTGKQEALQAVNSLSSNGGTNIAEALKKSAKIMTDRKWKNPVSSIILLSDGQDTYTINSPSVAATQTNYRSLLPACMQRNAASSGLHIPVHAFGFGADHDAVSMHSISETSGGTFSFIEAENVIQDAFAQCIGGLLSVVVQELCVEVESVHPVLRLNSIKAGSYNASLASDNRSGNIEVGDLYADEERDFLVMLDIPADSSSNDMMTLVKVKCTYKDPTQSNNLDLVTLDHIGDVKIERPDTIGQLIVSMEVDKQRNRLQSAEAMAEARSAAENGDLAAAVSVLERCRKKLSETVSAKAGDRLCLALDAELKEMQERMANRRVYETSGRAYVLSGLSSHSWQRATARGDSTDSTSLIQAYQTPSMIDMVSRSQTMYLGSPAPRPPLRPLRSFPARQQPR >Solyc02g069983.1.1 pep chromosome:SL3.0:2:40338306:40340418:-1 gene:Solyc02g069983.1 transcript:Solyc02g069983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVPDNIRAIIPRLPLVEALCATSSISASAALGFFCDVYLGFLIHGVQQQQKGSDTSFDWMYRSISFWWMYA >Solyc06g076760.2.1 pep chromosome:SL3.0:6:47808335:47811568:1 gene:Solyc06g076760.2 transcript:Solyc06g076760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4CA34] MLGKIAEWLKILVVLAFLWPVLVLCKGNIRHYKFNVMMTNVTRLCSTKPTVTVNGKFPGPSIYAREDDTLLIKVVNQVHYNLSIHWHGVKQLRTCWADGPAYIAQCPIQPGKSYVYNFTVREQRGTLFWHAHILWLRATVHGAIVILPKLALPYPFSKPKKEVVIILGEWWKSDVEAVVDEATQSGLPPNISDAHTINGLTGPVSTCLSQEGFNFQVEPGKKYLLRIINAALNEELFFKIAGHNLTVEEVDAAYVKPFETETILTAPGQTTNVILSTNHKNGKYLMVTSPYMDSPILVNNKAATATLQYTGTNNSSTTFTVPPPRNATSIAFNFMDSLQSLNSKRYPAKVPIKINHSLFFIISLGLNPCATCYRGFRIVAAINNVTFVMPSVSLLGTHFLNKSGVFTDDFPGNPQNVFDYTGVPPENLRTIEGTKLYRLEYNSNVQIILQDTGLLSPENHPMHLHGFNFFVVGRGKGNFNPKEDFKKFNLVDPVERNTINAPSGGGWVAIRFRANNPGVWFFHCHLEVHTTWGLKMAFVVNNGNGPNESLLPPPEDIPKC >Solyc06g083415.1.1 pep chromosome:SL3.0:6:48862770:48863501:1 gene:Solyc06g083415.1 transcript:Solyc06g083415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSQAFLLLLLVASLCLIEVSLQNLPSVKPLAPFLLMNVQMRAINDAQQRLTKTIVYYFVTSVVIGVIVFHRVLLDKKIVVHVIEIGRLKKEDQNALENL >Solyc03g093350.3.1 pep chromosome:SL3.0:3:56046189:56052102:-1 gene:Solyc03g093350.3 transcript:Solyc03g093350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSQSPAVETLDHNSSQNLASHDAQLQASDNSCPNQASEEQKLKIQRPLLSENGLTNTHSGTDRDQSGGEEETSSRRRRRSRWDPPPTEFTNDGTGGGDGSGTGRKRKSRWADDGPKPVIQLPDFMKDFTGGIEFDPEIQALNSRLLEISRKLQSGIYDNMGIRINTREFRAREKLNRERQEIISQIIKKNPAFKPPADYRPPKLQKKLYIPMKEFPDYNFIGLIIGPRGNTQKRMEKETGAKIVIRGKGSIKEGRFQQKGNLKPDPADNEDLHVLVEAENQESVEEVLNEHKRQQLKELAALNGTIRDEEFCRLCGEPGHRQYACPSRTTTFKSEVLCKICGDGGHPTIDCPVKNTTGKKMDDEYQNFLAELGGTIPESLTNLLGLKPKEFDETNLYIGYLPPTLEDDGLINLFSPFGTTVMAKVIKDPLSGLSKGYGFVKFADVQQANSAITSMNGHRLDGRTIAVRVAGKTPQPQSMGTYPSQQYAAGGPIGNGDHLSSGAPAQTVSSGENQQTFTSPGEAQQSYPPEMQSQNVYGNSVTTMPPNYGVAPPSSASPPSSVGFCFSDGILPDYFESQFIHRFWGFESLESEFDTVMTPVKKVFCDYFNSLPMKRKFNFWGKELGWDSIVENRNAVENHLSEGGFGRLPSFEGPGKSNDTDSIVGGPDGIHFIKDPII >Solyc10g079810.1.1.1 pep chromosome:SL3.0:10:61435650:61435892:1 gene:Solyc10g079810.1 transcript:Solyc10g079810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYKFFPTDFFFPIQKTVSGENTSKQNLLIKIRNNDESLIEESKGKIVINNNKKNLKAISSSSLALISVPKQKKDQD >Solyc12g019960.2.1 pep chromosome:SL3.0:12:12756081:12763628:1 gene:Solyc12g019960.2 transcript:Solyc12g019960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIPMDSNLDHSSRERVQCLYNKNVELENKRRKAAQARVPSDPSAWQQMRENYETIILEDHVFSEQHEIEYALWQMHYRRIEELRAHFNAAANSSGTTNGKVHPTSGPDRITKIRTQFKTFLSEATGFYHDLMLKIRAKYGLPLGYNSDDPENQIPSSNDGNKSVELKKGLISCHRCLIYLGDLARYKGLYGEGDSKARDFAAASSYYLQASSLWPSSGNPHHQLAILASYSNDELVAIYRYFRSLAVESPFATARDNLIIAFEKNRQCYTQILGDTKVPSTKAVPLRTIGKGRGKGETRQPMKDDKVEAISVQEKASSMSDIFRTFSTRYVRLNGILFTRTSLETFGEVQLVVKNDLLKLLSSGPDEKYNFGTDAADCRLAIVRIVGILIFTVHNVNRESENKSYAEILQRSVLLQNSFTAVFEFMGHVVERCVQLSDPTTSFLLPGVLVFVEWLACHQDVALGNEPEEKQTTARSFFWKNCIAFFNKLMSSGFKFVDDDKDETCFFNMSRYDEEESGNRLALPEDFELRGFLPLLPAQLILDFSRKHSFGGDGGIKEKKSRLQRIIAAGKALASVVRVGEEGIYFNSTAKKFIIGIEPQVSGDYVHGCTMEVPKLSGIGLVNPAAGQLTVGALQPKQQLYVECEEEDEVIVFKPSAAEKHVNGSTSNMMATEVPVSYVGAANVPPRISITSDGLGNEMGPFSAALDGLITPSALHASVRPPSTIANNSGQYMQPIQPNTSLWSVQQDAVMNGLASLNLIGNDRTIKSELQDRSGVFPPATYSIPFPQSVNFSIANSIPAQVPDAAIPSNFSSLSSSVAGMDSMSVKSPSVTSTGIKKNPVSRPLRHLGPPPGFGYVPSKVVDESSSAITIKNEHSLPPMDDYGWLDGYQLSSSNQSTGFNNSINHSTQNYVSVSKSSSSVGMASFPFPGKQVNPLRVQSGNQKGREDYQISEQLKLYHEQPQQLKSVNQQSVALPQQHQGQSLWECRFFV >Solyc06g005650.2.1 pep chromosome:SL3.0:6:715055:716168:-1 gene:Solyc06g005650.2 transcript:Solyc06g005650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYWCYRCTRFVRISVGNDVVCPHCDSGFIEVAEGNIGSPESRRRFPMWNERPESDRSVNMGSRRSRRNRGDRSPFNPVIVLRSPSEAPGEDEAAAAAEERSYELYYDDGEGSGLRPLPPTMSEFLMGSGFDRLLDQLAQIEVNGFGRPENPPASKSAIESMPIIQIASNHVNSETHCAVCKEAFDLGSEAREINGRWI >Solyc11g006870.1.1.1 pep chromosome:SL3.0:11:1414942:1415340:-1 gene:Solyc11g006870.1 transcript:Solyc11g006870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEVEKMITVGLVWGATNALMRKGAIKWDETIKSLPQPNTPQHPVLTTIQNWLKLLLIWQYSLPFLLNLTASATFFAILSDTPISLAVPVTNATTFAATAVFGLILGEETHVALALFGTSLIVLGVYICIM >Solyc12g056350.2.1 pep chromosome:SL3.0:12:63255503:63261345:-1 gene:Solyc12g056350.2 transcript:Solyc12g056350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIHHTQTLISSPKPFNLIPFSVNKTPKLNVAGLGSRVLKANRGLRSKNRNVICSAADRWSFDDDTKRKRKVVEHVSLIKGKEDLSVEQEKDMLDYLYTTQYQMGGIVSISLGRVAGGNDDKYSHGIYMRFQTKEDLMKFYENQFYVGVLRDHVVPYCHEIINTDFESEVEDDMLSIFRKGEEFNYGVELVLLVEFGKSSLDGPAEDALLALSKLTMDFPSLIVQATIGSNFNISSAEYTHGVVIRFRSTEAFQMFMNSSEYNNMWRSKFQPNVQKHLSVHFSIDPVGTELM >Solyc01g079550.2.1.1 pep chromosome:SL3.0:1:78478110:78478932:1 gene:Solyc01g079550.2 transcript:Solyc01g079550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSIPQGTSKVVFSDGTFHCYDKPLTVAELMLEHPQQMVVEFKPIADGKRPIPLPADIKLEKNKAYIMLPIRKGKPSNLSSEEARKILTKTSTMLKSKSLLASYTGFLPVFARICPAAVSSLGNGRDHVLNSDKNLYLLKKKDAEDEGSKHDYFSDIVEGRPEFLSRQLSGKGWKPSLDTIKEKSMQEKIRHWLF >Solyc04g074790.2.1 pep chromosome:SL3.0:4:60792720:60796304:1 gene:Solyc04g074790.2 transcript:Solyc04g074790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMNTRKLFQIVTNQSADCIYVCDSTCPYACYPYVDLDYYIPPPPPPPSSWLQPQLSSKHHQNISPYVIISVALFASFFILVSYYLIIVKNCFNWNRRRTPPAQDDELFDENRAPVIDHPIWYINTVGLQPSVIDKITIFKYKTGNGLVEGSNCSVCLNEFQEEESLRLLPNCKHAFHIYCIDTWLRSHTNCPLCRSGILSNSLNAPASVPIELNLGAHYEERVLEDDEQREVNSNNEVVIGENGVNQQEFLQVERGCRDGEVESIRRSVSVDSSISSSRGLDRGEAVGENSRSTLHKEEMKRSFSYGDGDVLLGLYEGNIGFYGNCTENAFS >Solyc02g079160.2.1 pep chromosome:SL3.0:2:44327861:44329100:1 gene:Solyc02g079160.2 transcript:Solyc02g079160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQYPLFSTIVTLYTIIFIYFPTIAASPLIISTSLLLLSLLRLGAAQRISQKNNKKPEFEMLCIQNPPDFGDSIKCENDLVLDPDPDLDPVDSDVDSSNCEKDSVFEPNLEQRNESSVDTSCEKDSVLEPESRRFYGDCFVEWNVRAPLEVIYEAYEGEEEEDGEYTEEKRDEELRVIEKYASLSMYYPETDTDTDSSSGGDSPVIGNWDSPENVCFQWDDEDREELIEIELDCKRNSEFEEENLIEIDLSPANFPLDFAESNGM >Solyc04g024720.1.1.1 pep chromosome:SL3.0:4:29274136:29274420:1 gene:Solyc04g024720.1 transcript:Solyc04g024720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANGTGYEKLFEFVDCSNRICQDDPIRFMKIMLQDNFCLHRLDVPCLMGLLQDAFLFSLKIYLRKNSINGIFQRRSMKNFLCRYLKKTLCLRG >Solyc12g009800.2.1 pep chromosome:SL3.0:12:3005060:3009587:-1 gene:Solyc12g009800.2 transcript:Solyc12g009800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLFFSLFVFFTLIDNGNAGVTSSFVRKEFPAVDIPLDNEVFAVPKGYNAPQQVHITQGDYEGKSVIVSWVTPDKPGSSEVRYGLSKGKYDFTAKGSFTNYTFYTYKSGYIHKCFLNGLQYDTKYYYEIGNGDSARNFWFETPPKVDPDASYTFGIIGDLGQTYNSLSTLQHYMSSGAKSVLFVGDLSYADRYKYHDVGVRWDSWGRFVEPSTAYQPWIWNTGNHEIEYMPYMGEVTMFKSYLYRYPTPYQASNSTSPLWYSIRRASAHIIVLSTYSPFVKYTPQWKWLREEFKNIDREKTPWLIVLMHVPIYNSNVAHFMEGESMRSVFEAWFIEHKVDVIFAGHVHAYERSYRISNIHFNISSGDPYPVPDETAPVYITVGDGGNKEGLAARFRDPQPDYSAFRESSYGHSTLEIKNRTHAFYHWNRNDDGKKVKIDSFVLHNLYWSGTESSPEKVETKSSPFTHFKQSFNCTTVRTYFCNLLSN >Solyc03g119895.1.1 pep chromosome:SL3.0:3:69910426:69913821:-1 gene:Solyc03g119895.1 transcript:Solyc03g119895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSADPPITPPIPTPTPIIPIQCHRETTIFLHHQKFDKYQDNKKANFNFKPAEETSIVPVGILIHQLSWHLFDWQIVSRLIGHIGRAVAPDQVTYSKSRGNVAKVKVEID >Solyc04g082870.1.1.1 pep chromosome:SL3.0:4:66467980:66470394:-1 gene:Solyc04g082870.1 transcript:Solyc04g082870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAVKTSLQNQSATSSIANFYAVQLQLLCREHKHATSASALLRSIHANMITSGFRPRSHILNSLINIYCKNSGLVYAKHLFDRIPQPDVVARTTMIAAYSASGEPKLAREIFDKTPLSFRDTVCYNAMITGYSHNNHGHAAIKLFLDMRWKNFQPDEYTYTSVLAALALIADHEMHCRQMHCAVAKSGMANFKCVVNALICVYVRCASSPLASSLLLMDSASKLFYEMPERDDLSWTTIITGYVKNDDLDAARKVFDGMDEKLLVAWNAMISGYVHKGFIFEALDMLRKMYLAGMKPDEFTCTSILSACADAGLFLLGKQVHAYVKRTEEKIHVSVYNALITLYWKCGRVDDARKVFDNLVFKDIVSWNAVLSAYVSAGRISEAKLFFDEMPEKNSLAWTVMISGLAQNGLGEDGLKLFNQMRVKGIELCDYAFAGAITSCAVLGALETGCQLHAQLIQRGYDSSLSAGNALVTFYGRSGVIEAARNVFLTMPCVDLVSWNALVAALGQHGYGVQAVGLFEQMLDENIMPDRISFLTVISACSHAGLVEKGRHYFNIMHSVYKIIPGEDHYARLVDLLSRAGRLLEAKEVIQNMPYKPKAPIWEALLAGCRTHRNVDLGVEAAEQLFELTPQHDGTYILLANTFAAAGRWDDAAKVRKLMRDQGVKKEPGCSWIKVENTVHVFLVGDTAHPEIQVVYNYLEELRLKMRKMGFVPDTQYVLHDMETEQKEYALSTHSEKLAVVFGLLKLPRGATIRVFKNLRICGDCHNAFKFMSKVEAREIIVRDGNRFHHFRDGECSCGNYW >Solyc04g074040.3.1 pep chromosome:SL3.0:4:60147911:60152706:1 gene:Solyc04g074040.3 transcript:Solyc04g074040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRSGPPDIKDTYSLLVLNVTFRTTADDLFPLFDKYGKVVDVFIPRDRRTGDSRGFAFVRYKYQDEAQKAVEKLDGRVVDGREIMVRFAKYGPNAERIDKGRILEPVQRPKGRSRSRSPRPRHRDHRDKDSRRRSRSRSRSRSRGRYERDQYRGRDRDNRHRSRSRSPDYHRGRGRGKYDEDRRSRSRSHGRSASPARRSPSPRRSPSPRRTTPPRDASPDGRNHKDRSPTPKSISPRGRRAGSRSPLPRSDADD >Solyc10g062230.1.1 pep chromosome:SL3.0:10:25345005:25345850:1 gene:Solyc10g062230.1 transcript:Solyc10g062230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLGFRPAPVEILDDEKINIEPTTPISTLINVIKCSISDLSFSKDELRKAEEQIRMSLLSFINTVAKLIEILEATFIKHFVNGNQIKGMKFLRRQAKRDTHRVGFFMDKNTFLLVSSSSSSIIGDQMYCITFFETSRLISLA >Solyc06g083445.1.1 pep chromosome:SL3.0:6:48891645:48893852:-1 gene:Solyc06g083445.1 transcript:Solyc06g083445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSMDNIVISNEEEIYMMKAMHIPCGLYLNMVLRAAIELDLFEIIAKSTTQKLSSYEIASQIPTKNPNASSLVLERILRFLASQSFLTCNITKNDDGNVHTSYNLTPLSQSLILDKDGTSIAPFLLLATDPVAVNSWFHFKDAILEGEIPFNKAHGVHAFEYHGKDSRFNGVFNKAMQNVTCIDMKRVLECYNGFEGVKEIIDVGGGLGISLASIISKYPNIKGINFDLPHVIKDAPTYEGIEHVGGDMFKSVPQRELILLKAILHDWDDECCVKILKNCWRALPKDGKVVVIEQMQPEYPEINLISKNSFSVDMLMMTMLDGGKERTKQQFEDLAKQAGFTVFKIVARAYYCWVIELYK >Solyc03g005830.3.1 pep chromosome:SL3.0:3:560870:569598:-1 gene:Solyc03g005830.3 transcript:Solyc03g005830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSGPVTPGQVSFLLGYIPVLIAWIYAEWLEYKKWLFPFKVHSDNNLDELEISTTKEEDRAVLLEGGLSKSASVKLSSSSVKTNIIRFLTMEDAFLLENRATLRAISEFGGILFYYYLCDRTNLFADSTKVICIITTIGSPYGIKAYKHFESYNRDLFIFLYILLIIVSAMTSLKKHNDKSAFSGKSILYLNRHQTEEWKGWMQVLFLIYHYFAATEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSLARFAQMMWRLNFFVIFCCIVLNNDYMLYYICPMHTLFTLMVYGALGIGHKYNEIKSVMALKFLLCFVVVILVWEIPGVFEFLWGPFTFMLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESEPKRRRTIKSTICAVTLIVGYLWFEYIYKLDKTAYNKLHPYTSWIPITVYICLRNLTQELRNFSLTLFAWLGKITLETYISQFHIWLSKYAKWTA >Solyc05g018430.2.1 pep chromosome:SL3.0:5:20848761:20850806:-1 gene:Solyc05g018430.2 transcript:Solyc05g018430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTSTKHIGLIKSLEKRLQTIQFHIKPLGTSLGHLIEQEKRFRRSGFPSYMTSLMANTGFSKHMIIQTRSWVEMTWLVQNFNHRKMSMSPKIIVPRMDISKIVNHPLIPRNMLMIPRSSLKKQLNFKYIIDIHFKKMERTYFELSGYSSLKQAFVSSLPKDVSMAMTIIEDSLKSIIILYLLHKDD >Solyc04g010100.2.1.1 pep chromosome:SL3.0:4:3397185:3398911:-1 gene:Solyc04g010100.2 transcript:Solyc04g010100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKAGALFVRLVSTAGTGFFYVKKKTKTLITNQTKLEFRKFDPRVNCHVLFKEEKMK >Solyc09g015470.3.1 pep chromosome:SL3.0:9:8937942:8939530:-1 gene:Solyc09g015470.3 transcript:Solyc09g015470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLNELEKVIPGLRPWEVLSVEQAMDQITYGGEWYREPLGSYTTGPPYIAEWNKNVIRLFQIFHDLSVRVYNKLNRTVPGFGTVMEKVQIDSAARETRRMQKRAAKKMAEEEIALFGRTQNDDE >Solyc07g006560.3.1 pep chromosome:SL3.0:7:1372873:1375314:-1 gene:Solyc07g006560.3 transcript:Solyc07g006560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKLNVFWPSLTKQDPKDMWKEAWNTYGTCTIERFKTPIQYFNRASRLDSEIGDLLQSHLISSNGIVPCDSATYNNVEILNSFKQVAKDKDVSFTCKDINTTHAYLNQVTFCYTNDAKNFVNCPTSLINKRCRVQNIIVPRPSPPKPIKQQEKSLDQIAYGDSWSIV >Solyc01g100970.3.1 pep chromosome:SL3.0:1:90723268:90729683:1 gene:Solyc01g100970.3 transcript:Solyc01g100970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPSPVIVHVTGFKKFHGVAENPTETIVSNLKDYMKKRGMPKGLILGNCSILDTAGQGALVPLYQTLQAGLSGGDSESSNSKRVIWVHFGVNSGATMFAIENQAVNEATFRCPDEMGWKPQKVPIVPADGAISRKRETSLPVEEMTKVLAKMGYEVMTSDDAGRFVCNYVYYHSLRFAEHNGVRSLFVHVPLFLTIDEETQMQFAASLLELLASSY >Solyc06g024320.1.1 pep chromosome:SL3.0:6:11383955:11384522:-1 gene:Solyc06g024320.1 transcript:Solyc06g024320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFLLERKEKVVIQVVCQHDVSALAAGGGGLGTRRLEVGGGGFREHRLEVGGRVKGGQGLGKDASRLRGKGGGGASRLGYGGMGALEERCVEKGE >Solyc01g012740.1.1 pep chromosome:SL3.0:1:9961007:9961874:-1 gene:Solyc01g012740.1 transcript:Solyc01g012740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQNFHLESLEKLGKSFLRYAISIQLFKSDENYHEGLLNIKKNKIISNVAFFKLGCARKILRFIGDEPFYLKVWTIPCENPQVFNLKKFLDVPTSSWTGNVAALSFMKLIRMDIDFIYAPILRNFIVNAKNLVNVRYLEITATLQVSRIFAYAFKSSLHEHILHASPDLQRQICYTVENFEKLDIVSMFGW >Solyc01g065740.3.1 pep chromosome:SL3.0:1:72161024:72165810:1 gene:Solyc01g065740.3 transcript:Solyc01g065740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GAVFFVQPKRELGSTKHKIMAGETLDDRISELPDSLLLQILSLLPTEEAFTTCILSKRWQYVWTSLDSFFFSPKRYWERTKGFRSFVDYVLSHSTASKFDKFELHCSSLYTHKSQISRWLTFAVKKNVQHVVIYSHPPYIMPQTFFTCSSLITLHIANGSLVSDIVIAWKSLKTIKLEGMAVVDAEINNLLSGCPALETIVFNSVGGFRRLEINSLNVKTLILEGYCVNNSGHTFEICAPYLQHLELSRDFQDFCCSLVDVSSVVNAKITFDIMCIKDFGDDYLDSDEEDEDSCSDYHENFKTLLQDYLQKLRHATELTFGTLFTQVLCILQFKEVPIPELECKYLALELHLEKFSLYGAAGLLQASRLVETLNITIENQPFDDSRCCFERKYLVKGDSIGLQRYISSFVFSNLKNVKIVIFSGVCMKEHLKKLFKLSNFLLKNTVVLEKFIIVSNRQRCEICGIKCMSRFLSPLAKKLGSSTDSVIIFQQHVYVSSVAKSDQDSDDDEEDSYGEDHEDSDDEEES >Solyc01g067273.1.1 pep chromosome:SL3.0:1:75475382:75490539:1 gene:Solyc01g067273.1 transcript:Solyc01g067273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSINYYENVLDIFVEIGMGSINYYENDFEAAMLKDTAAYYSGKASNWILEDSRPHSMLKVSIPRRGVLETEEDRVSHYLHSSSETMLLEKVQDELLSVYATQLLEKEQSGFHALLRDDKVEDLSRLYRLFSKIPQGLDPVVIILKQHVTAEGTALVKQAEDAASNKKADKRDMVGLQELVFVRKVVELHYKYLAYVNNCFQNCTHFHKALKEAFELLSNKAVAGGSSTELLAHSVTKFSKNAGVRN >Solyc04g064795.1.1 pep chromosome:SL3.0:4:55962031:55979190:-1 gene:Solyc04g064795.1 transcript:Solyc04g064795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENAKKRKSLWDAEEESPPKYEEWGPPKPENSWQSKSRSGWSSGDNVTGTEDLRKDNYHYKSMSPAFERRERRSNSHSPDNGRAQSHRYVGRARSRSRGRGEGRSRSRSRGRGRGEGRSRSRSRGRDKFRTRSRSRSRDRGSMRVQNRSRSPHNTKRDPYASGDRRASLHISSQVCRNFAAGNCRRGSDCRFIHLDSASHRDEGHSEDNLSERLGSRPERGHISRYNDSEGPGYQSRDMLPDMHHLEDELNRNRSRGTITCRNFVKSNCRWGASCRFSHDGASGDYYDKGTRSTSFEHGQDNQATRTGKSLCEYFAAGKCYKDNCEFSHDATSRNHEIRPLDDIGEQVHQMESCPASMIKKLEEGLQEAQLQSVLNPSGPSGMLPSNPISSLVHALYGQTNPEMRVLDNYHPPDGLELNTSGNFKLPPDNSFYLDRDSIKVPMDQVNQTSTVDPELGNNDQIDEVKQQENELVEVDGKDKLAPGESKDVQENDHPGTMNLHGKVEEGSGNKDEKVMRLFKNALIEFVKEILKPIWKEGKMSREVHKTIVKKVVDKVTGAIQGEQVPKTQEKIEQYLSHSKPKITKLVQAYVERLLKNEA >Solyc09g012010.1.1.1 pep chromosome:SL3.0:9:5285489:5286727:1 gene:Solyc09g012010.1 transcript:Solyc09g012010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIDDIEFARQMLSLKENKYEENKSRGMKQVVKKSHKISTLSDLPDGILFNILVKIPPKDIHKYVMRVCKSWKEIVSESFFIEQNFVKSKTELVIQSRLGRRMKTKLIEIRKEFECESRKVGLSKLSKIHSSCDGFLLMSEPGNNGMLQIINPATKFCLTIPRYPSHCQHKACSAALAFDSSTKQYKVVHVVTDSYGFEIFNVSSDNDELHWKRVSSPWEDLNDRPFNPINFHWKNPVSINGRILHWYVNSAEYFITMQVKEEKFSRTYLPERVKQINETKNYALVELDGFLSFINCDSEKTMNVWVLEDFRRKVWFKKHMIVAELTNYMCPYKSSRQDERRMPRFWNLVAVAGVRNGEVLILEHKKNSSVFIYDTKSKVMKPVSSNMKKLESFVPHKDGLFRIISRSGS >Solyc06g075930.1.1.1 pep chromosome:SL3.0:6:47287754:47288065:-1 gene:Solyc06g075930.1 transcript:Solyc06g075930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc12g049100.2.1 pep chromosome:SL3.0:12:61476318:61479182:1 gene:Solyc12g049100.2 transcript:Solyc12g049100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLIFVHIFCCDTNFVFCCKIDSEPMDINKDSTPYEFPSSDLMVLEGHTSEVFSCAWSPEGSLLASASRDCTARIWTIGDGPCNSTIRRRAPNVLVLKSLESQTNETDDLVTTLNWNSEGTLLATGSHEGKVRMWNRYGELVKNLDNHSCPIFGLKWNKMGDYLLSGSVDRTAVVWNVISGKPKQQFEFPSVVIDVDWQNNDSFAVSLTGGMIYICKVGENRPVKRFSGHENDVNAVNWDPSGSLLASCSDDATVKIWSMKQDVCLHDFREHSEITYTIKWSPTGAGTSNPNQPLLLASGSFDATVKIWNVKQGRLLQSLNRHRGPVFSVAFSPNGKYLASGSADKCMHIWSVKE >Solyc11g066490.1.1.1 pep chromosome:SL3.0:11:52538200:52538826:1 gene:Solyc11g066490.1 transcript:Solyc11g066490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVNPPRPQPPQPRVVKPSQPFSICRFIAISLLTLLIVVGIVVLIIWLVLKPHKMVYSIENSSIHDYNLTNNNHLSGNFNFTLRAYNPNKRISIYYDHIDVKLFFNSQPIAFSNIEPFYQRRRNVTHINVSLPTNDVALYGDIARDFKTQRSGGNVEVEVKIRAKIRFKVGAWKSSHRKLKILCTPTVNYSDSRKNYKSFPCDADV >Solyc12g019955.1.1 pep chromosome:SL3.0:12:12722137:12730238:1 gene:Solyc12g019955.1 transcript:Solyc12g019955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILKPGAVPVSLRPYRYNYYQKEELERQVKEMMNHGLTTEELKILARLGNKARKLARETLHLL >Solyc06g005860.2.1 pep chromosome:SL3.0:6:873516:877731:-1 gene:Solyc06g005860.2 transcript:Solyc06g005860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVIIFAVAGLIIVSFPESDQIHCQGNNGMTIGCHPSIQTHSNSIDLKPGRQWVQGAVAIDTHNRFWNVKKLMAGKSGSTPTTYSPSPATRKKKRIPIADADWVRPDNRGFYQCRPAYLKTGAVSTAAGSAYAEFGNTKVIVSVFGPRESKKAMMYSDTGRLNCNVSYTSFATPNRGQGSDNKELSSMLHKALEGVIILESFPKTCVDVFALVLESGGSDLPVVISCASLALADAGILLYDLVASVSVSCIGKNFVIDPISEEESYQDGSLMITCMPSRNEVTQLIVSGGWSTPKINEAMELCLGACSKLGEVMRSCLKDVVTDSKE >Solyc01g059870.3.1 pep chromosome:SL3.0:1:68878387:68885698:-1 gene:Solyc01g059870.3 transcript:Solyc01g059870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PHYB1 description:Phytochrome B1 [Source:UniProtKB/Swiss-Prot;Acc:Q9ZS62] MASGSRTKHSYHNSSQGQAQSSGTSNMNYKDSISKAIAQYTADARLHAVFEQSGESGKSFDYSQSVKTTTQSVPERQITAYLTKIQRGGHIQPFGCMIAVDEASFRIIAYSENACEMLSLTPQSVPSLDKSEILTVGTDVRTLFTPSSSVLLERAFGAREITLLNPIWIHSKNSGKPFYAILHRVDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISHLQSLPGGDIKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAESKRSDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCHATPVRVTQDESLMQPLCLVGSTLRAPHGCHAQYMANMGSIASLTLAVIINGNDEEAVGGGRNSMRLWGLVVGHHTSVRSIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDSPPGIVTQSPSIMDLVKCDGAALYYQRKYYPLGVTPTEAQIKDIVEWLLAYHGDSTGLSTDSLADAGYPGAASLGDAVCGMAVAYITSKDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSSPWENAEMDAIHSLQLILRDSFKDAEASNSKAIVHALGEMELQGIDELSSVAREMVRLIETATAPIFGVDVNGRINGWNEKVVELTGLSAEEAKGKSLVHDLLYKESQESAEKLLYNALRGVEGKNVEIKLRTFGAEQVEKAVFLVVNACSSRDYTNSIVGVSFVGQDVTGEKIVMDKFIHIQGDYKAIVHSPNPLIPPIFASDENTSCSEWNTAMEKLSGWSREEIVGKMLVGEIFGSCCRLKGPDAMTKFMIVLHNAIGGQDTDKFPFSFFDRNGKYVQALLTANKRVNMEGDTIGAFCFIQIASPELQQALRVQRQQEKKCYSQMKELAYICQEVKSPLNGIRFTNSLLEATNLTEYQKQYLETSAACERQMSKIIRDVDLENIEDGSLTLEKEDFFLGSVIDAVVSQVMLLLREKGVQLIRDIPEEIKTLTVHGDQVRIQQVLADFLLNMVRYAPSPDGWVEIQLRPSMMPISDGATVVHIELRIICPGEGLPPELVQDMFHSSRWVTQEGLGLSMCRKMLKLMNGEIQYIRESERCYFMIILDLPMTRKGPKSVG >Solyc04g048920.1.1.1 pep chromosome:SL3.0:4:38547320:38547685:-1 gene:Solyc04g048920.1 transcript:Solyc04g048920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRDDGVTMIGICGMGGVVKTILAEKIRQKKKQGSLNNEVVMVTVSQQPYLKRIQGEIGRGVGLKSQRDTFWNHGYQVRSRLMVRNSRVLLILDDVWEAFHELENLVFPDAATTTNVLK >Solyc10g084440.2.1 pep chromosome:SL3.0:10:64098114:64100578:1 gene:Solyc10g084440.2 transcript:Solyc10g084440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPCKESDTEEFLTPGVFEIPGEPAVVINGLPPVSSNADINFPCPIVTDAESHKNSSFGQWLVGREVRKFFGDNYYRGKVIEFDGEVGWFRVKYEDGDIEDLEWHELEQVLQPLDITIPLITVATKVNKRKKDDIDMVKKNENSETSCGEW >Solyc04g054475.1.1 pep chromosome:SL3.0:4:52504368:52510558:1 gene:Solyc04g054475.1 transcript:Solyc04g054475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSFPTQTEFNWIWIRVNGLPLPLWSDGVMKEIGDRCGVGWKMKKKQNLRTPCYGLAFGINALCMAFYARSEEVRQTHSVHKLALQAVKPVIFPQLYPQGVLALEDALSRGLPIQKELENLHTSLEGIDNNSLLDVVLSSLPEETQRYGSDTFDTLKGTLRHFSLIPPGGGGILTHSLASVASWLKVREAGQSGDGIESLINKVESFLAQGKLSEAADALEKGLKDTHAAEIVDDWVKRARNRAITEQALTLLQSYATTIST >Solyc02g083770.3.1.1 pep chromosome:SL3.0:2:47604631:47605016:1 gene:Solyc02g083770.3 transcript:Solyc02g083770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKEVIKMITGSNLKSKRFRFSYTRNLRVQCC >Solyc12g016155.1.1 pep chromosome:SL3.0:12:6235664:6239113:1 gene:Solyc12g016155.1 transcript:Solyc12g016155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIHYSSFKASSDDARETQRRRNRDATAERQINDALLAGLETLETEKERAPLACNDVVLRPSLYFCAAVIYVILWHLASCGPVNTFSTFKGIHKSHVPYGSNVTLVTSEQYSLMYYLKEKLMN >Solyc05g042115.1.1 pep chromosome:SL3.0:5:55808716:55810051:1 gene:Solyc05g042115.1 transcript:Solyc05g042115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVLTLLHHASAPLKFRSLTFQSAIYLINRLPTPLLATKLDAKSNPCVFIGYSAATNSYVVFVEHTFPFTTNYPQFSRPQVSHMEKWLCPDDHSNVSPQPNPETPSNQIVTRSQNNIFKPKAVFDYLATSIVKHLPLTPNTFSQASLFPEWKAAMTKKHIALLKNKTWSLVPSTPSQNVVGTILVEKGFHQLRGIEFHSTFSPVIKITTTRLIFSLYVSLKWSLQQPPEFVDPRFPINVFKLHKSIYGLCHVDDIDFTVSIKDLGLLHFF >Solyc01g099540.2.1.1 pep chromosome:SL3.0:1:89632485:89633139:1 gene:Solyc01g099540.2 transcript:Solyc01g099540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKNCLVTFVICIFLLVNLAFASEISDSSSVHDETSTTEQAKGLDSYFPWIQYTRPWLFPRPWPYVYGGYHPHQWPFVLPQMPTGFFHPRFPYLWAYRFPWSFLYPSSAPSPPKGDEEAGKN >Solyc01g110970.3.1 pep chromosome:SL3.0:1:97325255:97326278:1 gene:Solyc01g110970.3 transcript:Solyc01g110970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPHFPEVLSYDSSTVTVVISRLNVTTMAICMPRIIKKSSTGGDVPKGHFVVYIGEEEICNPYIILSQPLFQDLLSQAEEEFGFDHLMGGVTIPCSEDFFC >Solyc06g059710.3.1 pep chromosome:SL3.0:6:37670859:37684761:1 gene:Solyc06g059710.3 transcript:Solyc06g059710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTSFSQFNIPSIVWASPLPTTTMLRGKTTSLQRLSPPYAVASSTSRHQVTHSMPPEKLEIFKSLEPWVSENVLPFLKPVEKCRQPIEFLPDPSQGPEQFEEEVRAIRHRASGLSDEYFVMLVDGVGDETGCSPCPWAIWTRAWTAEENRHGDLLRTYLYLSGRVDMLMVDKTLQYLIGAGMDIRLENNPYLGFVYTSFQERATYSSHGNMARLATQGGDPMLARMCGAIAADEKRHEHAYTRIVDKLLEVDPNATMLAIANMMKKKIVMPMHLMYDGQDPNIFEHFSSIVERQGIYASQLEKLQGLSGEARRAQDYVCRLPLKVRKLENRVKKIEPRQVKFSWIFNKQTTNFSQFNIPSIVWNSPPPTTTMLRGKTTSTQRLSPPNAVASTTSRHQVTHSMPPEKLEIFKSLEPWVFENILPFRKPIEKCWQPIEFLPDPSQGPEHFEEEVRALRQRVLGLSDEYFVMLVGNMLTEDALPTYQTAINTFDGVRDETGSSPCPWAIWTRAWSAEENRHGDLLRTYLYLSGRVDMLMVEKTLQYSIGAGIDVGVENNPYMGFVYTSFQERATFLSHGNMAKLAIEGGDPMLARICGTIAADEKRHENAYTKIIEKLLEIDPNTTMIAIAYMMKKRITMPLHLMYDGQDPNIFKHFSAIIQKQGVYTSRDYVEILEFFITRWKLEKLEGLTTGEARRAQDFVCKLPSKIKRFENRNNILDSHPVKLSWIFNKQVCV >Solyc11g006735.1.1.1 pep chromosome:SL3.0:11:1342564:1342881:1 gene:Solyc11g006735.1 transcript:Solyc11g006735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISSRKCNGKTQSMEKEQSKKNESSNTCLSMLSEGCIAEIFAFTSPPDVCRFSLVSTYLHSATNSDSVWAKFLQSDYLSIIAKSQTPIPDFRSLKDEYVYLADN >Solyc08g005620.3.1 pep chromosome:SL3.0:8:486316:487223:1 gene:Solyc08g005620.3 transcript:Solyc08g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSFAVLFLVVILFSSSFIENAAMATSSYCDEKCSARCWKAGLKKRCLKYCGICCVACKCVPSGTYGNKSECPCYRDKLNSKGKPKCP >Solyc03g123890.3.1 pep chromosome:SL3.0:3:72075415:72092818:-1 gene:Solyc03g123890.3 transcript:Solyc03g123890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAGSSHLNSSPQHHFSDLFHIPNTKNVSFHALKRWREAALVLNASRRFRYTLDLRKAQEKEQRRRMIRAHAQVIRAALLFKLAGQRAIVLGTEVAPLPPRGDYGISLEQLASVTRDHNLSALQQYGGAKGLSEKLKTDVDSGIADDDIELSKRKNVFGANTYPMKKGRSYLRFLWEAWQDLTLIILIVAAVLSLALGIHTKGLKEGWYDGGSITFAVLLVIFVTATSDYRQSLRFQNLNEEKRNIQVEVIRDGRRDKISIYEIVVGDFVPLRIGDQVPADGVLISGHSLAIDESSMTGESKIVNKNQKAPFLMAGCKVADGAGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLAVALFVLIVLLSRFFTGHSKNPDGTTQFVHGQTSVGKTMDGVVHIITAAVTIVVVAVPEGLPLAVTLTLACSMKKMMADKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAYVGKKKLDSPEDGSQLHSAVSSLLDEGITQNTSGSVFTSKDGKGTEVSGSPTEKAILSWGVKIGMKFDVVRSQSIVLHVSPFNSTKKRGGVVVREQSGSQVHMHWKGAAEIILASCTGYLDSNGCLQSIEKEKDFLKEAIEDMAAKSLRCVAIAYQTCNVNEVPTDEEQLAQWILPEDDLILLAILGIKDPCRPGVKDAVRQCSDSGVKVRMVTGDNIQTARAIALECGILSSNTEVTEFEVIEGKTFRELSEKEREQVANRMSVMGRSSPSDKLLLVQTLRKLGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLVTNIMWRNLLIQALYQIGILLLLNFQGKSILSLENDDPKHANMVKNTLIFNAFVFCQIFNEVNARKPDEMNVFTGVTKNPLFTGVVGTTFILQIIIIELLGKFTSTVGLSWKLWMVSLVIGIISWPLAAAGKLIPVPKTPVAKVFIKLYQRCIA >Solyc07g055310.1.1.1 pep chromosome:SL3.0:7:63518316:63520292:-1 gene:Solyc07g055310.1 transcript:Solyc07g055310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSCLRPLRFLPLRSANTRRFSAAGTELSILCSQGYVKEAFNKFSFLIWDNPSHFSYLLQACIQEKSFFLTKQLHSLIVTSGCFRDKFVSNHLLNAYSKLGQLDIAVTLFDKLPKRNVMSFNILIGGYVQIGDLDSASKVFDEMGERNLASWNAMITGLTQFEFNERALSLFARMYGLGYLPDAFTLGSVLRGCAGLKDLNKGRQVHGCGLKLGLEGDFVVASSLAHMYMRSGSLSEGEIVIMSMPDQTMAAWNTLIAGRAQNGCFEGALELYNLVKIAGFRPDKITFVSVISSCSELATIGQGQQIHSDVIKTGVISVVAVVSSLISMYSKCGCLDEAEKIFEERKEADLVLWSAMISAYGFHGRGKNAVELFHRMEQEGLAPNHITLLSLLYACSHSGMKDEGLEFFDLMVEKYNVEPQLVHYTCVVDLLGRAGRLQEAEALIRSMPVKPDGVIWKTLLSACKIHKNADMARSIAEEVLRIDPQDSASYVLLANVQASAKRWKSVSEVRKSMKDRGVKKEPGISWLELKNQVHHFIIGDKSHPQSDEVDVYLKELIAELKLEGYVPDTGSVLHDMELEEKEYNLVHHSEKLAIAFALMNTPEGFPIRIMKNLRICSDCHMAIKYISKMKKREIIVRDSSRFHHFKEGCCSCGDYW >Solyc06g060560.3.1 pep chromosome:SL3.0:6:38682169:38683377:-1 gene:Solyc06g060560.3 transcript:Solyc06g060560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQSKLAEARTNSKALSSKIVTIQRNSAAISEQKLAKLLVKVNLQNSVGPVHVVMSPENTVRELMKAAIEIYVKEKRRPLLPSSDSRCYELHYSQFSLESLNLDEKLMNLVSRSFFLCRKPNCRLNSSLKNKTKGTEKLPFILAKFMDVLIQIVINLSNIWPKFHPQWI >Solyc03g111090.3.1 pep chromosome:SL3.0:3:63225159:63237887:-1 gene:Solyc03g111090.3 transcript:Solyc03g111090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVPIDYVGQRESRKFFKKDSVDIMGKSRKGFKGYLPGIVPDYRNAVETMAESEGFGSSGRIDTERTASEDSCAPKRKSICLNADGHDQFGAPIQSMSLSSMSSSEKKVLGIRLKNELELVRGLQKKIASVGSNIGVLSPASDLQNCTNGQRRSGSEISQRYMAEAVIPPGKKKPAPVRNGPLTKGPGAKRPKTMQQAIPSDTSMVMIMKQCETVLSRLMSHQHGWVFNHPVDVVKLKIPDYFTVIKQPMDLGTIRSKLHSGEYSSPLQFAADVRLTFKNAMTYNPPGNDVHIMAQTLSKFFEVRWKPIEKKIPVIEEEPLPSKSSVIIETETDTPPALPPSKKKKIAPLENRVKPEPVKRVMSDVEKHKLTAELEDLLTELPENIIDFLKEKSSNGNQVSEDEIEIDLDVLHDDILYELRKLLDDYLLEKQKNQAKGEPCEMELHNESGFSNSSMQPCKGNDPADEEVDIGGNDPPVSSFPPVEIEKDRARRSNKCSSSSSSSSGSGSSSSGTDSGSSSGGESDADKDSVVQKATAASGARLEQEDELDLPETTDPLAGQTVLTSQQNSNFAELDDHREEESAEPERQVSPEKLYRAALLRGRFADIILKAQEKSIEKGEVRDPEKLKLEREEFERRRREEKARLQAEAKAAEEARKRAEAEAAAEAKRKRELEREAARQALQKMEKTVEINENSRFMEDLELFRAAPDEQLESFIDETSPGHSENILGSFKFKASSNPLEQLGLYMKEEDEDEEEEEEAEPHSIPDISNDPEEGEID >Solyc03g064040.1.1.1 pep chromosome:SL3.0:3:39609888:39610151:-1 gene:Solyc03g064040.1 transcript:Solyc03g064040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCRVNRSDPDAAVAPWRYGLDPLLPTPGYHIMSGIRGDILDVTTPLVGGCAALPFDRYTVEEADHERYRCGSNPGQGRLRRRLAYG >Solyc06g024375.1.1 pep chromosome:SL3.0:6:11264005:11276512:-1 gene:Solyc06g024375.1 transcript:Solyc06g024375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLWLTNMYEALSALFRELLWQLNPLNSAHSGNQNLTLDHRKLSLWGDEFAGTICIITGKCRQFIRLVELIRSDDIGRGMPFSPLGSTDGQTELGVACHHRPWIAHRVGRRAWHAIIVLGQHKWSAVVGRRMPSYPWKANTIKRRRAWYAISAFGQHIRSNNVGRGMPSWPLDSTHGRTTSGVACNHSPWTAIMVERRRALHAIIAFGLADTVGKHRAWHAIISLGRKTRSNDVGHGMPSAALDSTHGQTTSGEACYHHLWEGQTIRQHRAWHAIFALRQHRRSAVVGRGMQ >Solyc02g090360.3.1 pep chromosome:SL3.0:2:52555217:52558328:-1 gene:Solyc02g090360.3 transcript:Solyc02g090360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVALFHLICGIFIFWSVSLVKAEDTYKYFTWTATYGTLYPLGVPQQVILINGQFPGPRLDLVTNDNVILNLINKLDEPLLLTWNGIKQRKNSWQDGVLGTNCPIPINSNYTYKFQTKDQIGSYTYFPSTQLHRAVGGFGALNVYARSVIPVPYAKPAGDFSLLIGDWYKSSHKVLRQILDSGRSLPYPNDLLINGQKQSTFSGDQGKTYMFRISNVGLKNSINFRIQGHKMRVVEIEGSHVLQNFYDSLDVHVGQSMSVLVTLDQPPKDYYIVASTRFSRISFTATSVLHYTNSQTPVSGPVPPAPTGQMHWSMLQARTFRWNLTSNAARPNPQGSFHYGKITISRTFVMANSAPLINGKLRYAVNSVSYVNPDTPLKLADHFNIPGVFNLNSIQAYPSGGSPNLGTAVFPTSHHDFIEIVFQNDEATMQSWHLDGYDFWVVGFGSGKWTQASRNKYNLVDALTRHTTQVYPKSWTAILVSLDNQGMWNLRSAMWDRQYLGQQVYLRVYDPTPSLANEYDIPTNALLCGKAAGRHT >Solyc01g098140.3.1 pep chromosome:SL3.0:1:88599037:88602150:1 gene:Solyc01g098140.3 transcript:Solyc01g098140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLTAMSDQIVMSIDPNVDPYGCLGIVRNSDDSITRPQIPRSFFSTIPDNDFSVFIKDFVINSTKDMWARIVTPREVLNSEIKLPLVVYFHGGGFVMAVTVDTPILQKFYTTLAVEIPAIIVSVDYRYAPENRLPAAYDDCVESLYWIKNTPNELLKKHADLSKCFLLGTSAGGNIAYNVGLRVAEVSECLKPLEIKGLILHHAFFGGNERTKSELRLVRDKILPLNVCDIMWELGLPIGSDRDHPYCNPMVEIRSNENLFDQMKILGWRVLVIDCDGDPLIDRQIEVTKMLKEKGVQVVDSFSEGGFHGCEFFDDVKLNDMALIVNEFVRRMSDPNVDPYGYLGIIFNTDGSITRFQIPPRPFFTTIPDHDPSVFIKDLVINPVKDTWARIIIPREVLNSENKLPLVVYFHGGGFVMAITVNTPILQKFCATLAVEIPAIIVSVDYRYAPENRLPAAYDDCVESLYWIKNTPNELLKKYADFSKCFLLGTSSGGNIAYNVGLRVAGVGENLKPLEIKGLILYHSLFGGNERTKSELRLAHDKMLPLNVSDIMWELGLPIGSDRDHPYCNPMVEIRSNENLFDQVKIQGWKILIIDCDGDPLVDRQIEFSKMLKAKGVQVVDCFSEGGFHGCEYFDGMKLKELTLVVKEFMRSN >Solyc01g017470.2.1 pep chromosome:SL3.0:1:23871351:23871864:1 gene:Solyc01g017470.2 transcript:Solyc01g017470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHPIFLNREPTLHRLGIKAFQPVSVEGCAIYLYPLVCKGFNYDFDGDQMAGLVPLSLGAQLEARLLMFSHMNLLSPAIGDPIFVPMQDMLIGLYVLTNGNHRVTISIRKNPFSISYDAIGAYRQKRINLGSPLWLRRRLDQRVVS >Solyc10g055613.1.1 pep chromosome:SL3.0:10:56991033:56994084:1 gene:Solyc10g055613.1 transcript:Solyc10g055613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVDYLVLFIPALLTPHGMVSAWQTL >Solyc09g090460.3.1 pep chromosome:SL3.0:9:70443107:70450699:1 gene:Solyc09g090460.3 transcript:Solyc09g090460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSGLLQLWQVPSSSLFFGRSKGLYLVPVRVMYPMSCFCKQMQTTTYAIVKGSYVSNPQNQQENKEKLTPKVENVGAFQKLPMVMPSVDILHSALRKAKRVSPTKGIANAAKRERNKGAKQIDALMKELAVPLRTYKENFPNKKYLHPYERSLIELTLGDGNYEDVLGKLEALRKKVVSVGKEHASLCAKSLSKREAEERLNEGLKRIEEIFDGDGKAVDELLNIAKTLRAMPVVDLETPTLCLVGAPNVGKSSLVRVLSTGKPEICNYPFTTRGILMGHINLSYQNFQVTDTPGILRRADEERNNLEKLTLAVLTHLPTAVLYVHDLSGECGMSPSDQFVIYKEMRERFSSHIWLDVVSKCDLLQASPVIFATDDCNVDNFELVRYRKMGPDGALNVSVKNEIGLHELKKRVYELLVSQSERIKNQQSKEEELEVPS >Solyc12g098910.2.1 pep chromosome:SL3.0:12:67252342:67259292:1 gene:Solyc12g098910.2 transcript:Solyc12g098910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4DHR4] MVLIQHQQQQQEEEIVRRERGKKGMRVGKYELGRTLGEGNFGKVKFAKHTDSAKSFAIKILEKNRIQDLRITDQIKREIRTLKVLKHPNVVRLYEVLASKTKIYMVLEYVNGGELFDRIATKGKLSETLGRKLFQQLIDGVSYCHDKGVFHRDLKLENVLIDGGRNIKITDFGLSALPQHLRDDGLLHTTCGSPNYVAPEVLSNRGYNGATSDTWSCGVILYVILTGFLPFDDRNLAVLYQKIFKGDAPIPKWLSQGAKNLIKRILDPNPQTRITMAEIKEDEWFKQNYTPTNPDEEEVESDDTSSDDEVLTIHEAPLDIERNPESPSVINNAFQLIGMSSCLDLSGFFENEDVSERKIRFTSNLSPKELLDRIENLAVQMGFQVQKKPGKLKVLLENKGQKTQASLSIVVEVFEISTSLYVVELQKSSGDSAVYRQLCNKLSDELGVQQSEELLTNLIVKDGNHTS >Solyc10g005130.3.1 pep chromosome:SL3.0:10:111695:125349:1 gene:Solyc10g005130.3 transcript:Solyc10g005130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDGSGVSEKPSYWIDAYEDISCDDLIQDFVGSNTVPVAPLNQLGPDETLDPCFFGGIDGILEKLKNGDGSVHSVDGNNSNVHTEALAAPGICKDNGPQVKKDVDHNKGDLGKKSLQEGNGFSRHKERGCSDKEEKNGKRARLGDDSYQRRGCDRPLARKRLRENDEINRVGRDQRKRREYHGGRGGRDRNWREGSGFWEWDKEKKEMIYRVGSWEADRNREGKLPTERSREPSGAIEKKDDKLKEQAPKEEARKYQLDVLEHARKSNTIAFLETGAGKTLIAILLMKSLCSDLQKKNKKMLAVFLVPKVPLVYQQAEVIREQTGYQVGHYCGEMGQDFWDARRWQREFETKQVLVMTAQILLNILRHSIIKMEAINLLIMDECHHAVKKHPYSLVMSEFYHTTQKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLETKLDSVVFTIKDRKELEKHVPMPSEVVVEYDKAASLWSFHEQIKKMESEVEEAALLSSRRSKWQFMGACDAGARGELRQVYGVSERTESDGAANLIQKLRAINYALGELGQWCAYKVAHSFLTALQNDERASYQLDVKFQESYLDKVVSLLQCQLSEGAVAQSNLNAETHKGDNPNSDRPDEMEEGELLESHVVSVGEHVDVILGAAVADGKVTPKVQSLIKILLKYQHTEDFRAIIFVERVVTALVLPKVFEELPSLSFINSSSLIGHNNSQEMRTGQMQDTIAKFRDGRINLLVATSVAEEGLDIRQCNVVIRFDLAKTILAYIQSRGRARKPGSDYILMVERDNSSHEAFLRNARNSEETLRKEAIERTDISHLKDASKLISAEAPTDSVYQVESTGAVVSLNSAVGLIHFYCSQLPSDRYSILRPEFIMESHEKSGCPTEYSCRLQLPCNAPFETLDGPVCSSMRLAQQAACLDACKKLHQMGAFTDMLLPDKGSGVESEKVEQDEEGDPIPGTSRHREFYPEGVADILRGDWILSGKDPLVSSKFIHLYMYAIKCVNIGPSKDPFLTDVSEFAILFGNELDAEVLSMSMDLFIARTVVTKATLVFRGPIDVTEFQLASLKSFHVRMMSIVLDVDVEPSTTPWDPAKAYLFAPVSGDESGDPIKDINWDHIKKITETGVWSNPLQRARPDVYLGTNERSLGGDRREYGFAKLRHGMAIGLKSHPTYGVRGAIAHYDLVQASGLVPNRSSLDDVEVDLNKDKIMMADCSLRAEDIVGRIVTAAHSGKRFYVDCIRSDMTAENSFPRKEGYLGPLEYSSYAAYYKQNLQMLNCLQGLGGSSAPWLYLNNKRLLMYGVDLVYKKQPLIRGRGVSYCKNLLSPRFEHSEEHEGELEEATDKTYYVFLPPELCVLHPLPGSLVRGAQRLPSIMRRIESMLLAVQLKEMIGYPVPALKILEALTAASCQETFCYERAELLGDAYLKWVVSRYLFLKYPQKHEGQLTRMRQQMVSNMVLYQNALSKGIQSYIQADRFSPSRWAAPGVLPVYDEDLNEDETSIFDHETAENGTVAAKALAGDEFEDEETEEGELDNDSGSYRVLSSKTMADVVEALIGVYYVEGGKYAANHFMKWIGVEVDFDFNFKETEYSIRSCSIPENVLKSVEFDALQGALNISFNDKGLLLEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTDLPPGRLTDLRAAAVNNENFARVAVKHSLHLHLRHGSSALEKQIRDFVNEVKNELSKPGFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGCNTKSVWEVFQPLLHPMVTPETLPMHPVRELQERCQQQAQGLEYKATRSGNMATVEVYVDGVQVGMAQNPQKKMAQKLAARNALVVLKEREEAEAKKADDTKKKKNGNPSFTRQTLNDICLRKNWPMPLYRCVHEGGPAHAKRFTYGVRVNISDKGFTDECIGEPMPSVKKAKDSAAALLLELLNRRYS >Solyc08g075034.1.1 pep chromosome:SL3.0:8:59322587:59325368:1 gene:Solyc08g075034.1 transcript:Solyc08g075034.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITNATEKTISKRKKTRKSYEAGGVTLSKAANEDCRARRGMNFITESTLEPRLRRLNGIPQPNDGTENLWSKGMKSAENAILTGISAGGLATMLNCDKFKCLLPESAKVSTLFLYMISRKFDSLGSFMINNNAARQSMGLTKNLSLACTSVMEPSLGC >Solyc12g099720.2.1 pep chromosome:SL3.0:12:67726259:67729809:1 gene:Solyc12g099720.2 transcript:Solyc12g099720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLFKVPMPVGVCLVLVYNVHDVLQRDYHKNIKFGFMLIILMIVSLAISIVTFVILTIRAARREMYLCSALIKQMEATQQAERKSMNKSTASARANHDVRASLAGISGLIWMCRVQASPQSELVKYLDHMESCKNDLLDMLNSILDENKIEEGKKQLKEEEFNMEELVEHVVNIYYPNGAMKNVDVLLDPCDGSIARFSRVKGDRIELQRILNNLLQNADKFTSEGHITLRAWARKPGFEESSNLAPNTSDSSISCMPCLRLQKDEASAEVRVLNRVQQDPNCVEFIFEVDDTGKGIPKEKQKSVFENYVQVNDQTTSVHGSQAGTGLGLGITQSLVRLMGGEIGIVDKQIGEKGTCFRFNIFLIACDQPQLQEHEAISVNCAKEEDLESQLGGDYSVASDNSITSHQKSNVILFIKEEERSRVLRRFMMNVLGLKVHVVNRNEQFPQTLKKVKGKITNSSSSSCKSKEIPLSSLDGTDIDISSFQRRGRGLVIRFILIIIDTSDGLTREMSRAMAELRKDFPKNVSLRVVWLDKPGVDEDKLPSTDIVLTKPLHGSRLFRVLKFTHETTSRIKIQQHPLVQDVFVDVNKKLPLTGKKILVVEDDRMLLMICRTAVSKLGATVYTCKNGQEALDEVCKGLNEQRDIGSSTPSPPFDYILMDCEMPGMNGFEATKCIRKEEARYGIRIPIIAFTAHTEKEEIDKIFQAGMDYYLPKESKGEEILKVIDYIEHTKVSKMF >Solyc01g016697.1.1 pep chromosome:SL3.0:1:21320935:21330141:-1 gene:Solyc01g016697.1 transcript:Solyc01g016697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTTKSLLHRRIKRKPRLLVLMELSRSRECLLGCEMHRLLFRYAHEKKLNELKETQREAQQKIRSAQRIRLLQEPVEDGRFEETDRIAVEK >Solyc10g083165.1.1 pep chromosome:SL3.0:10:63160098:63160753:-1 gene:Solyc10g083165.1 transcript:Solyc10g083165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAINTERIEVDKETIDLLASMGMSELPGVVLKEEQTVAIAGPVGGFVFSE >Solyc05g015630.3.1 pep chromosome:SL3.0:5:11596376:11600137:1 gene:Solyc05g015630.3 transcript:Solyc05g015630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNDLAKAFVEHYYSTFDSNRAALGNLYQEGSMLTFEGIKTQGSQNIVAKLTSLPFQQCHHTITTIDCQHSGPAGGMIVVVSGNLQLAGEQHALKFGQMFHLMPTPQGSFYVFNDIFRLNYG >Solyc09g011430.1.1.1 pep chromosome:SL3.0:9:4757335:4757763:1 gene:Solyc09g011430.1 transcript:Solyc09g011430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIVIFLILTQSFVCLVMANENAINVAKSPSSSPSPSIRKLGKHNQEEEKGIDNDNIIIPKEVLIGSPHQQESINEGDNDDEIKINKHHHDSIDKSIFGGGVILGGLATTFFVAIFCYIRATRRKTNVEPSSPSATSSIV >Solyc10g007970.2.1 pep chromosome:SL3.0:10:2121129:2128290:-1 gene:Solyc10g007970.2 transcript:Solyc10g007970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKSRVGIQHRVTKEDSMKWFQVKYEGVILNKSSNIHKKGAKKRVISVPIKDCDISRSNYKGEIYPPFDSSTWRKYGQKPIKGSPYPRGYYRCSSLKGCPAKKQVERCHHDPNVLIITYSSGHNHPLPAAATKHRHPTTSFAATNTEQSTIFTDQPENDFIMAEVGAGELLGCFSYVGPTLLECTSPTMVGSTWVDDDVAFMLPIGEEDQSLFGDLGELPECSMVFRRYNRIVDGI >Solyc06g034234.1.1 pep chromosome:SL3.0:6:24093518:24093981:-1 gene:Solyc06g034234.1 transcript:Solyc06g034234.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNPNIMAEVREVFRGKKNYDDEEDLEKLTYLKLDLEMQTNVDGYTIPLKTKVLINKNPESFIPERFDFMENHFEFIPFGAGRRIYFIHFEWELPYGMNPKDLNMTETCGLGGWKQKDCF >Solyc09g018805.1.1 pep chromosome:SL3.0:9:17213580:17214385:-1 gene:Solyc09g018805.1 transcript:Solyc09g018805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIMSGKKLIWYHKTNIDYHETFSHVVHAPTLRLSYWSSKSIHFSAFYSIYYKRFSPYISIASLISAKYISDVLHKFHSHTCKPVRVPIASCTSISFMDDELLSYPSEYRSYKKFDYNGSIYRLCCECRMSIYACSPPLICIM >Solyc03g117200.3.1 pep chromosome:SL3.0:3:67888277:67890350:1 gene:Solyc03g117200.3 transcript:Solyc03g117200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRCKDLPVECWELIFSRLHHKSDLESFSVVSKQFLALTNRLRIGLTVIDSTLLTHGIKHIGKGAELPSLEVISAAGSALNDEGLVMIGSRCSRLLKLNLENCKGVTAEGLHAMVKNCRSLREINLKKCPQVSINSLNNLVFSSSSLRRVIPPCCAAFTDSLKGFYLHHGCRVYSG >Solyc03g098710.1.1.1 pep chromosome:SL3.0:3:62423407:62424081:-1 gene:Solyc03g098710.1 transcript:Solyc03g098710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILSFLLLSTTLSLVAFSISDTNVNVISTPVLDREGKSLKINEEYVINSIAVGGGSVYLDNIKNRTQCPNDVLHDSSGSFYNSTAVLFYTMQLGSLFVSENQDVSIMFSTSSVSKSCVNETVWQAGDYMLGPIHPPPRFVITGATLGFPGPNNIKNWFKIVKHETGRPHSYKLRYCPSKFICPTCQVDCADVGLYKDSGRTRLVLNDETYAFGFSKVNNHLDA >Solyc05g005410.1.1 pep chromosome:SL3.0:5:322909:324336:-1 gene:Solyc05g005410.1 transcript:Solyc05g005410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVTGNARKSITIGDLPNCILHTILEFVPIQDAAKTSVLSKKWMHIWSTLPHIVLDHLFFHYVSKSGASASSIISKILLQHTGVIMGLHLISSTCKLAQSDVDQCIIFVLEHGIQKLTLDMANDENYLLPDKIFTCATLTHLKLSRCFFELLDGTQFPNLISLKLEHSKIAGHRGLEINLYLPMLETLELRFCVDVDSVYLVCPKLDNMSIVSSYTVTFRCFNRNPIFARIKHLCLDGSSLKNLGSLSVPDMLDVSLNLQSLRICDIKISIKRISCALCLLRNSPNLVELDIDEVVKVNETICYADELLDYLSEAVNEVSKALRMIRTVRLRKFKGTSTEMYLIKVLLAHSPKLERMIIEQYKRSNVTYSYQHLNELLSYCRASPNAEIKYT >Solyc08g059717.1.1 pep chromosome:SL3.0:8:45150940:45151572:-1 gene:Solyc08g059717.1 transcript:Solyc08g059717.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding INHPSLINSSASFPSVCNERLRLSPLFFCAQQKYIIDLLLKLYMHTCNPIHTPIASQTFVSLMYGELLLYPSEYRSMVGALQYMTVTHLDITYTLNVVSQLMHDPRNTHLDCVKHIYRYLQGTFKYGSFLHSSSSISMVIATLMLIKPSIPMANVLLLDSLSSWVPILFLSMTRNNQQSQDHLQRSITYTLAEWIRHIR >Solyc06g008570.1.1.1 pep chromosome:SL3.0:6:2463799:2465829:1 gene:Solyc06g008570.1 transcript:Solyc06g008570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQSNILGSTCSKMTLALLFLLFLGATRSKAARIVSHSENKVVIQAINEHLLLSSLPLKSSVSQPSPNDGRTAPGSAGIKSQILERNFAGSKTTNKHLLLSSFPLKPPVSQPSPDDGRTTPGSAGIKSQILERNFAVSKTIHKHLLLSSLPLKPPVSPPSPNDRRTTPGSAGIKSQILERNFAGSKTTNKHLLLSSLLLKPPVSQLSPNDGRTTPGSAGIKSQILERNFASSKTTNKHLFLSSLPLKPPVSPPSPNDRRTTPGSTGIKSQILERNFAGSKTTNKHLLLSSLQWKPPVSPPSPNDRRTTPGFAGIKSQILERNFAGSKTTNKHLLLSSLKWKPPVSTPSPNGGRTTPGSVGIKSQILERNFAGPKTTNKHVLLSSLKWKPPVLPPSPNGGRTTPGSVGIKSQILERNFAGFETTNKHLLLSSLQWKPLAPLPSPNPGTINSHSTTSQILERNFTGRKTTKRYILLSSLPLKPPVPSPSPDHGGNTPLSSGINSQILKRNFAGRKTTNKYLLLSSQWKPPVPPLSPNPGTITSHPTTSQILERNFAGHKITKRYTTLLSSLPLKPPVPPPSLNPSSNTAHSTSMKSLILERNFAGHKTTKRSLLLSSLPLKPPVPPPSSNHGSTVPSIGMTNQISERNFGGRKKVARPTPTHDYPQLYKVPLVMANN >Solyc10g052540.1.1.1 pep chromosome:SL3.0:10:52870439:52870711:1 gene:Solyc10g052540.1 transcript:Solyc10g052540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVTPFVQANRFLRRSNGGVPKGCCAVYVGESQKKRFVVQVSYLSQPLFQDLLAQAEEEFGFDHSMGVLTIPCKEDVLVDVTSRLRRS >Solyc01g091490.3.1 pep chromosome:SL3.0:1:84995182:84996727:-1 gene:Solyc01g091490.3 transcript:Solyc01g091490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHAISDHLESFQPSCYTDLVHKSQTSKTNKIHVLKLRRRNGERLSNEAKKRAKLSKLKKIMKMKNLKLYEENKIIIEENEKLRKRALLLHQENKDLFTQIIQQISQPSNNHN >Solyc03g112860.3.1 pep chromosome:SL3.0:3:64641137:64646406:1 gene:Solyc03g112860.3 transcript:Solyc03g112860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFFLTETFPHNYYTSTTITSPHLLFLCSHSSLSSFFTSNFQMFGEGSSGNGLFPASLEGNRFQYDMTALPQLQLFGDVTVGCSGYPISHNVNDHPSVALRPTKRARDVEPNYSQQKLQISLNNNFGQNEVDQAGSILNPITVSTGLRLSYEEKERNSSVTSAPENTKASLPARLPIDSGFKYEIDRQREEFDHYMKVQEDNMMKGMRELVHRQTASLLNSLQKEVSRKLYEKDVEIDNMNRKNRELGERIRQITVEAQSWHYRAKYNESVVNALKSNIQQAMAHGSMQAKEGCGDSEVNDAASSTNYHLVMKTASVQVYMS >Solyc01g057570.3.1 pep chromosome:SL3.0:1:61875481:61883422:1 gene:Solyc01g057570.3 transcript:Solyc01g057570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSKTLNEFRVGSVPTVFYIPDFVTESEHHHLLKTIYDAPISKWKSLTNRRLQNWGGVVHEKGLIAQDLPPWLTRITERINEKSGLFPSSVNHVLINEYLPNQGIMPHQDGPAYYPVVAILSLGSPVVIDFTPHPNLSCRVGAHGKGAEEKMSDQEAAVMNSFEWLDNFHPFSIILMPRSLLIFKDMVYSEYLHGIKDSEVHGCNRVVNVTNVQNHGVVQHSSDSVKGLDSDDTFICRANTRVSLTCRVVTKVHKSIFKF >Solyc07g042200.1.1.1 pep chromosome:SL3.0:7:55385203:55385352:1 gene:Solyc07g042200.1 transcript:Solyc07g042200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLLNSQIEHSHEYVDRVSLFVVFYISFGVAETISLQLSEIYIKSKSC >Solyc04g071200.2.1 pep chromosome:SL3.0:4:58220157:58221352:1 gene:Solyc04g071200.2 transcript:Solyc04g071200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMRVKTIFFSLLIVIIFLSNVIESHKFAGRSNLTIETIHGTYMIVWTYTNNQLLCIRCLAPKDLRYNFYFSILKES >Solyc04g080610.3.1 pep chromosome:SL3.0:4:64806667:64811321:-1 gene:Solyc04g080610.3 transcript:Solyc04g080610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ornithine carbamoyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BVE0] MAAIFSHLTSLRSPDAVSFSSLSSSGIQSYRFSGVFASFPITFPATSQRVSCQATSPAASPSSSVNVNASQKDFLHITDFDKSTLLNILDRAKEVKELIKSGERTYLPFKGKTMAMIFAKPSMRTRVSFETGFYLLGGHAIYLGPDDIQMGKREETRDIARVLSRYNDIIMARVFAHQDILDLAKYATVPVINGLTDYNHPCQIMADALTIIEHVGQLEGTKVVYIGDGNNIVHSWLLLASVIPFHFVCACPKGFEPDEETVKKAQDAGISKIEITNDPKEAIRGADVVYSDVWASMGQKEEAAQRRQVFQGFQVDEELMKIAGRKAYFMHCLPAERGVEVTNGVVEAPNSIVFPQAENRMHAQNAIMLHVMGL >Solyc04g054750.2.1.1 pep chromosome:SL3.0:4:53126363:53126449:-1 gene:Solyc04g054750.2 transcript:Solyc04g054750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEETIYKATESFDEAKKLNQGGFDTIYE >Solyc05g007470.3.1 pep chromosome:SL3.0:5:2038185:2039768:1 gene:Solyc05g007470.3 transcript:Solyc05g007470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQDLWTQLGPTIAAIMFTWTMYQNYFPHELRGHIRRYTDKLVNYFYPYMHIIFYELETEGWFERSKAYVSIERYLSKSSSTQAKRLKANSVKDGQSLVLTMDDHEEITDEYKGEKVWWISSKKPASRQTISLYKEDEKRYFKLKFHKKNRDLVTNSYLKYVLDEGKAISVKERQRKLYTNNKGDGGGYRYRGGRMWSGVVFEHPSTFDTLAMDPNKKQEIIDDLETFSKSKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANYLKYDVYDLELTSVKDNTELRKLLIDTTGKSIIVIEDIDCSLDLTGLLNFIDGLWSAIGGERLIVFTTNYVEKLDPALIRRGRMDKHIVLSYCCFESFKVLAHNYLDVVESHVHFPQIRRLLEETNMTPADIAENLMPKSSKENADICLERLIKALETAKEEAKLKAEEEERAKAAEKEKEEKDREEKKEVTATEEAKSVDSVNEKDNGVKENGNVSKS >Solyc04g045560.3.1 pep chromosome:SL3.0:4:33985207:33990779:1 gene:Solyc04g045560.3 transcript:Solyc04g045560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFGSGFDSPPVGVYEKNSNCTVEEGCTSSAAPMMYGLGKRNNSSVELPLPSLSKKTKSSTHNPLKPHCQVDSCNLDLSSAKQYHRKHRVCHIHSKCPKVIILGRHRRFCQQCSRFHSLSDFDENKRSCRTRLSDHNARRRKPQFNSTSTRFSSLFYDNKQSMNLVFNNAPLVHSKPTADSTWETSEVSKFTLTRGLTLKPYKADSFNGQSLVGGFKLSGTTEMQTNASNLYSVSNDTKAEVFSQGVKETTFSLNMGVAPELPRALSLLSNENEFISIGHSKHVNQIIIPEQVTHAIPQSLPFESSERWQAEQHLFNPHIHTLVANGHSGGSFQEIQLSKDPFDDSYMSMD >Solyc02g024010.1.1.1 pep chromosome:SL3.0:2:25273860:25274309:1 gene:Solyc02g024010.1 transcript:Solyc02g024010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILQNTLFFIIIIIIVSIFSPNSYGRELRPSEHGLPYQHSSYPTAKSTDPELHSFFAGTGEKTPSSLPEARNLTWWANSGDQMSRDSNRKDHVRQVLLISSLVCGAAGVVLLTVSAVVFAVRLRERKQRETSLSTLGSTSVANVVNK >Solyc11g066970.2.1 pep chromosome:SL3.0:11:53044757:53049449:-1 gene:Solyc11g066970.2 transcript:Solyc11g066970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQQQQIIKMKNSGMISYNGSPMIDDRDEEMTRSALSAFRAKEEEIERKRKEVSDKVQAQLGRVEEETKRLAEIREKLETFVDPAGKEVTIVRKKIDLVNRELKPMGQNCQRKEKEYKEALDAFNAKSKEKAQLVTKLMELVSESEKLRMKKLEELSKHIEALH >Solyc04g077780.3.1 pep chromosome:SL3.0:4:62766156:62768254:-1 gene:Solyc04g077780.3 transcript:Solyc04g077780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAGTTQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKQTGSLDKSFEGTPKIVKPQKLIDSEKPQVAKVTSMFGGTREKCFGCKNTVYPTEKVSVNGTPYHKNCFKCSHGGCVISLSNYIAHEGRLYCKHHHIQLIKEKGNLSKLEGDHETIPAITTEVTAES >Solyc03g031670.3.1 pep chromosome:SL3.0:3:4142953:4151112:-1 gene:Solyc03g031670.3 transcript:Solyc03g031670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase [Source:UniProtKB/TrEMBL;Acc:Q8RW36] MGGCFSKKYTQQDANGHRAGRRVNQAYQKPPQPQPERPYQPQPQQERPYQPPPQPAYQPPPQPKPQPQPHPVPVTVQSGQPQDQMQGPHMNNILGKPFEEIRKLYTLGKELGRGQFGVTYYCTENSTGNPYACKSILKRKLVSKNDREDMKREIQIMQHLSGQPNIVEFKGAYEDRQSVHLVMELCAGGELFDRIIARGYYSEKDAAEIIRQIVNVVNICHFMGVMHRDLKPENFLLTSKDENAMLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEADVWSAGVILYILLSGVPPFWAETEKGIFNTILKGEIDFQSDPWPSISNSAKDLIRKMLTQEPRKRITSAQVLEHPWLRLGEASDKPIDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKAMFHNIDTDNSGTITYEELKSGLARLGSKLTETEVKQLMEAADVDGNGSIDYIEFITATMHRHRLERDEHLFKAFQHFDKDHSGFITRDELENAMKEYGMGDEATIKEIIAEVDTDNDGRINYEEFCAMMRSGTTQPQQKLF >Solyc01g087670.3.1 pep chromosome:SL3.0:1:82471340:82481832:-1 gene:Solyc01g087670.3 transcript:Solyc01g087670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFEPFRAIGYITTNVPFSVQRLGTETFVTVSVGKAFQVYNCAKLSLVLVGPQLPKKIRALASYREYTFAAYGSDIAVVKRAHQVATWSGHSAKVNQLLIFGEHVLSVDVEGNIFIWQFKGIDKNLAPIGHIKLEDEFSPSCIMHPDTYLNKIVLGSQEGALQLWNISTKKKIYEFKGWGSSITCCVSSPALDVIAVGCADGKIHVHNIRYDEEVASFSHSTRGAVNALSFSTDGQPLLASGGSSGVISIWNLEKRRLQSVIKEAHDSSIVSLQFFANEPVLMSSSADNSMKMWIFDTSDGEPRLLRFRSGHSAPPLCIKFYANGRHILSAGQDRAFRLFSVVQDQQSRELSQQHVSKRAKKLKLKKEEIKLKPVIAFDVAEIRERDWCNVVTCHMDTSRAYVWRLQNFVLGEHILTPCPKNPTPVKACAISACGNFAVLGTASGWIERFNLQSGISRGNYVDALEGESAAHNGEVIGIACDATNTIMISAGYHGDVKVWDFKRRQLKYKWEVGCCLVKIVFHRSNGLLATVADDLVIRLFDVIAQRLVRKFEGHSDRITDISFSEDGKWLLTSSMDGTLRIWDVILARQIDAIQVDLSITALSLSPNMDILATSHVDQNGVYLWVNQAMFSGAASFASYGSGKEIVSVKMPSAASEKDGEKSDNRTIFKPLESSDTPQFLNSSHQIPDLVTLSLLPKSQWQSLINLDIIKARNKPIEPPKKPEKAPFFLPSIPSLSGEILFKPSEGANEEGNAQNTRLEESSKKTDLPVSKFLQILKSCAEKENFAPFTNYIKSLSPSILDMELRMLQIIDDDDEQEPEKRQELHFIELLLDYFIHEISCRSNFEYIQALVRLFLKIHGETVRRQSKLQEKARKLLEVQSAVWQKIDNLFQNTRCMITFLSNSQL >Solyc02g021230.3.1 pep chromosome:SL3.0:2:22721047:22725383:1 gene:Solyc02g021230.3 transcript:Solyc02g021230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTDCNCATAANSVLFLRRIGTVALLFILASLSWFVLYKAGETIGFKIPESLTSHAPRVFFFIPPSDSLPPPSDTQENKLEKVLKDAAMEDKTVILTTLNEAWAAPSSVIDLFLESFRIGNQTRELLNHLVIIALDEKAFSRCLVVHTHCYALVSEGVDFSKEAYFMTHDYLKMMWTRIDFLKGVLEMGYNFVFTVFILILVSLSFQLSLDPFPHFYEDADFQIACDHFLGNPEDVENRPNGGFLFVRSNNRSIEFYKFWYTSRETYPNLHDQDVLNNIKYDSFIIDIDLKMRFLDTTYFGGFCEPSKDLNLVCTMHANCCFGLESKLHDLRVVLQDWKNFLSLPPTLKRSLPPSWRVPQNCSLDSLHLYTPPVENVIQENVEQ >Solyc09g055220.1.1.1 pep chromosome:SL3.0:9:37836099:37836314:-1 gene:Solyc09g055220.1 transcript:Solyc09g055220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDQLLIFISLCACTSILFESIRTPFSFIIPFLELIFFGVVKWSHVIGFKHKKNAAAAALKSRNRREKLG >Solyc01g009500.3.1 pep chromosome:SL3.0:1:3673460:3683804:1 gene:Solyc01g009500.3 transcript:Solyc01g009500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFRRILVLMMGCLGCADQTTEQNANNNMESKSSVVDESAITTLRVRLSDGRYLAYRERGVPKNKSKYSIILVHGFGSSKEMNFMASDELLDGMEIYLLIYDRAGYGESDPNPKRSVKSEASDIEELADQLQLGSKYYVIGVSLGCYPAWSCIKRIPQKLGGVALIVPFINYKWRSLPDDLTKDDYRKQLCRLMLLLTCYTPGLLHWWFTQKMFPSATVLEGNPKFFCDKDLAVLKNTDGYQLFTQDGIRKRRVFDSLRRDFIVAFSKWDFDPLELSNPYPQNESSVHIWQGYEDKVVPVHLQRHVSQTLPWIRYHEVPDGGHLLVYDTAVCEAVLKSLLLGEDPPLYRPKLASTLLHGAFGSIDPFQAALVHCNAGPIEKQNCCKALSVAVNNERFCWSSLFGQIFVADLINCRVIPKC >Solyc01g008360.3.1 pep chromosome:SL3.0:1:2409028:2411020:1 gene:Solyc01g008360.3 transcript:Solyc01g008360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSLRKGNTRLPPEVNRVLYVRNLPFNITSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEDEITKLQEKYGIPKDK >Solyc01g106947.1.1 pep chromosome:SL3.0:1:94546438:94559487:-1 gene:Solyc01g106947.1 transcript:Solyc01g106947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFHHKSGFCKGGELFVRRPMGRVCTYLMATVSPESLTLNFGVSDLISKLNVRAQLCTIAESRLSFIVDWRSTYKNGNNEELLKSSIR >Solyc09g042335.1.1 pep chromosome:SL3.0:9:23376861:23377711:1 gene:Solyc09g042335.1 transcript:Solyc09g042335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLNCNEVLYIEVFDEKNYFLIGPHSRIILVVNENGYYVITPFILLAHNRNSLQAPIIVNRARADEQSSCVANKKVEEDQVPTLKSTKLFQLYIKLINDNVEPNNTYTIPKDTKTLSQSAFVTFMAYKMQHSMKTRRYNLLFK >Solyc08g022070.3.1 pep chromosome:SL3.0:8:33108531:33117370:1 gene:Solyc08g022070.3 transcript:Solyc08g022070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSPLDDPLPYPTARRDESVTDNYHGVDIPDPYRWLEDPDSDETKKFVEKQVNLTDSILKTCETREKLRENITELYDFPKYEAPFRAGDKYFYFHNTGLQPQKVLYVQDSLDGEPEILLDPNTLSEDGTVALSICSISEDAMFLAYGISSSGSDWVTIKIMQVVAKSVEPDVLSWVKFSDASWTHDSKGFFYSRYPAPKDGESLDAGTETHSNLYHEVYYHRLGTDQSDDILCWKDPENPKCTRSASVTQDGKYVLLYTYENCDPVNQVYYCDLSALPDWVEGCKGRNELLPFIKLVDNFVASYEYVANDGSALTFRTNKDAPKYKLVRVDLKEPDSWSDIIQENEKDVLESAVVVNNNQLVVNYLSDVKNVLQLRDLKAGALLHHLPIDIGSVSGISARRKDDTFFIGFTNFLIPGIIYECNLNEEVPNLKVFRETVVPGFDHTDFQVNQVFVPSKDGVKIPMFIVAGKDISFDGSHPCLLYGYGGFNISITPYFSVGRVVIAKHLGVVFCIANIRGGGEYGEDWHKAGSLSKKQNCFDDFISAAEYLVEAGYTRPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSEKEEEFQWLIKYSPLHNVRRPWEQSPNQESQYPPTMLLTADHDDRVVPLHSLKLLATMQYVLCTSLEKSPQSNPIISRIECKAGHGAGRPTQKVIDEAADRYAFMAKVMGASWVQ >Solyc01g065615.1.1 pep chromosome:SL3.0:1:71830481:71831083:-1 gene:Solyc01g065615.1 transcript:Solyc01g065615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPGFTVYILVYVDDILVNGNHQRGVHYIIVALSQHFSLKDLGPFHCFLGIEVICSSAGLLLSQEKYTMHLLHGIAMDKRKGFSTPMTSTMVFYPSPYDHLVDDPLYRRIIAFVVSKLSQYIHQLFMSHWVSVKRLLHYLSSTTSFGVQLPMKLIVGCSRTLTHTGQEILKIAHRPLVMLSILEVP >Solyc09g005930.3.1 pep chromosome:SL3.0:9:641593:650002:-1 gene:Solyc09g005930.3 transcript:Solyc09g005930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLMRVVAKSNRSIQTSIQNQGLTLPLCRQFSTQMETPQKDNSSDSFLRNPSSVLYEVDPGSWYFHFPGLVYGRLFVTGKHTRKSDIINMLGSSRLSPDDIRFEYNMNYAPVSVMIQFPSRNSYEATLREGSRKGGLFRMERVGRQQWDSLPRYDGKTILLQGVPRNAVAEDVERFLAGCQYDASAIQMFGRPQRAADRPPTRTVFVEFPSQAKATHAFITKNRGFCLNNQITVRLLQ >Solyc01g096600.3.1 pep chromosome:SL3.0:1:87535802:87554867:-1 gene:Solyc01g096600.3 transcript:Solyc01g096600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFRQAILRQGPPEEFALLTVQEAIKPQKQTKLVQDENQLLENILRSLLQELVAAAVQSGQKLMKYGVSIVDGESSQGQIPRLLDIVLYLCEKEHVEGGMIFQLLEDLTEMSTMRNCEDVFGYIESKQDILGKPELFARGKLVMLRTCNQLLRRLSKANDVVFCGRIIMFLAHFFPLSERSAVNIKGVFNTSNETKYETEVPDGRHLSLHFLADLVLHFFNANSASTCLVSGISIDFNFYRTLWSLQEYFCNPPSLINAPGKWHKFTSSLTLVLNTFEAQPLSDEEGNAHNLEDDAATFNIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKSEKELPSEAMKEEIKTSEERAKKLLEMTPPKGIDFLRSIEHILERERNWVWWKRDGCPPFEKQPVEKKLVQDGTKKRRTRWSLGNKELSQLWKWADQYSGALTDAERVATPAITKYWKPLAEDMDESAGIEAEYHHKNNRVYCWKGLRFSARQDLEGFSRFTEHGIEGVVPLELLPNEVRAKYQAKPSERTKRTKKEDTKNSAQQAEENQIATPPSEMDNEVGRADPEASAAPMDTDAGIATVNICQEETPTPEDNQKQSSDTDVAQEAGQIEADTEAETGMIDGETDAEDLDTAG >Solyc02g088880.1.1 pep chromosome:SL3.0:2:51452047:51453160:-1 gene:Solyc02g088880.1 transcript:Solyc02g088880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQSKKAIAGAVQSQPVQVSRAAGDGLNPGFPRGTAAEAQYAAAKTSVWWDIENCQVPRGCDAHAIAQDINKALMNMNYHGPVTISAYGGTNVIPWSVQGALSTTGIRLNHVPGVTKRILVDMIFWAMDNPAPANYLLISGDGDFSNAIHQLRMRKYNILLAQPVYASPALAIAATNVWQWTSLAAGGSPQGFAFRNNTYRQNYIPTPISKPISANQPDFSNAHANNNTNANASRAQSLSNPGCYSDEKTKAIYVPKNSNQLTMTGMSTCSWYCPRAPAVAPVQFVQNRFFTKSDSSENHNSKFIENESVQRTQSQPPLVPVNFVKLNSCQDNVQPPLQRPEG >Solyc07g043050.1.1.1 pep chromosome:SL3.0:7:56715124:56716554:-1 gene:Solyc07g043050.1 transcript:Solyc07g043050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEG5] MSQFELVFVPTPSIGHLVPFCKFAEKLVDRDERLCVTILIIRPPPPWGSTLDAFIERISSAPEGNRIRYIILAQIELPSLEELAKSIENYISLLIASYRPIVKDAIISNKAWPATDSNPKIVGLVIDMFCTSMIDVANELDIPPYLFFTSGAAFLGFLFYLSVWHDQFGREFNRFDGDLNIAAYAHPVPSKFLPSFAFVKYGYDSFRNHGVRFKETKGIIINTVEEFESYAVNSLASDPELPPVYTAGFLLNFEAQNSNGNSKSEDEEIMKWLDQQPPSSVLFLCFGSAGIFEEPQLIQMAIGLEQSGVRFLWSIHLPVDAETTKLEEILPEGFLERTKNRGIVCGWAPQVDILAHKATGAFVSHCGWNSTIESVWHGVPIVAWPLYAEQHINAFQLVNDLEVAVELTMNYRMRDSDHSEIVKAEEMDKAIRRIMDRENPLRKRVKEMGEICRNALTEGGSSFISLGRFIETILDS >Solyc07g056360.1.1.1 pep chromosome:SL3.0:7:64367695:64368009:1 gene:Solyc07g056360.1 transcript:Solyc07g056360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSCNREESSATFSRKDKNVCKRQKRRRESGYIVKNNEEEEEEVEEKILALQKIVPGGETLGVDRLFEETAGYILQLQCQLKALKVLANFVEGNDKQRMKLGG >Solyc01g014920.2.1.1 pep chromosome:SL3.0:1:15907316:15907511:-1 gene:Solyc01g014920.2 transcript:Solyc01g014920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMIGVIMPYIQPWVIVPYEEKKSEIGVIVPYMQPGVIVPCEDIKKKR >Solyc02g005555.1.1 pep chromosome:SL3.0:2:9677165:9681917:-1 gene:Solyc02g005555.1 transcript:Solyc02g005555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMACKARHHPTIPRALMAFNARCCPVGYDGMPRKTSLRKTSSDRYKSDDGIPRSMSFDGVWFPMALITCHTRLHPTMRTVTPNVVRLYVQCQGDDGMPRPISSDCGYVGTPNFTSFDRVCIPRAMMASHVRRSPTMRGVLGR >Solyc06g069600.2.1 pep chromosome:SL3.0:6:43462217:43464356:1 gene:Solyc06g069600.2 transcript:Solyc06g069600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKCEVAELTWENGQVAMHRLGSNLSNEQTKHTWGKAGDTLESIVHQATFQKQHHSYIMGSDGQNQANINREKNVSYGAQQTRGVLKRMRSSDSDPQLYIGGISLEHLNARASAKDNDITMITWPCNEDSACHGGSENKEEERETKSSNPSKRSRRAAVHNQSERRRRDRINEKMKALQKLVPNASKVLHILQTNKASMLEEVIKYLKQLQAQIQLISYAKNMEQQMMMMSLGMQPAHIQMPLLATMGMCSSTTGILNNMTSNLAPAPYQSLIGGRAPLIYPTSSMPTLFPPFMSPPFATASSIPSTPPQPINAESISPKLTKYAAPPNIAASTSFPFSHPYNAYLPHSMKMEFNNEMAAQYLQRGNQENVNIQGQKK >Solyc12g042480.2.1 pep chromosome:SL3.0:12:58716082:58717698:-1 gene:Solyc12g042480.2 transcript:Solyc12g042480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMRLGLVPTIVVSSSDVAEKFLKTYDHIFANKPHNEAAHYLAYGQKNIIFAKYGPYWRNMRKLCTQHLLTNQKINSFQSLRSQQVELMIESLQNESRGERVVVDLSERVASLNANMTCLMVFGKKYMDEDFDKRGFKAVLQEVVHLAAITNLGDFFPFLGVIDLQGLTRRLKDLSKVFDEFLEKIIDEHVESHDENQTKDFVHTMLEFMQSGKAEFQFDRIHIKAVLFDMIVAAIDTSATTIDWILTELLRHPHVMKKLQIEIKQVVGLERMVKESDLENLKYLDMVVKEGMRLHSVVPVTQREAMEDCVIDGFNIRKGSRIMINHYAIQRDPNLWSEPEKFLPERFIGSNIDVRGRDFQLLPFNSGRRSCPAIQLGIIVVRLVVAQLVHCFDWELPNGMQPCDLDLEEHFGIVTSKEKHLMAIPTCRLNEQ >Solyc02g086140.1.1.1 pep chromosome:SL3.0:2:49539471:49540157:-1 gene:Solyc02g086140.1 transcript:Solyc02g086140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNNESLIQTQTLHSLYAALDPKSLILSQFSNSDQPQFLQLTTDCLLMERGPRYKAYADLREKKLRIKHMKQSIPEEEEEWGFKEEFVVTPPKKQVKFQGSLVTPPKRTKGSSILAQSVPDFSSALRKENRKPPPTMLPTLKEKSATPPPSGSKSGKFYGCVGSIGGSKSVNSGDKRIGGMMTRKSYANVDELKGLASVARSAINGENRVGRTNRVAAKTVLGYRQL >Solyc02g078180.3.1 pep chromosome:SL3.0:2:43511140:43518548:1 gene:Solyc02g078180.3 transcript:Solyc02g078180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASLGLRSSGSYGSLQQQQFQNGGSPFQASPLVPPRKPPKMFKEKEGLFLWICKFAPRKKIGMMLLCVVSAAVFLWVLYVGKGEDTQELIMSMNSGVFPPDTGQIRHIDLIEKNDVEKIASIESPPPPPPPLAYFKGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEQAIALMPDAPSFSPGVSSLTYIHEENLSRSEFGGSEFGGYPSLMQRNDSYDIRESMSVHCGFVRGARPGHQTGFDIDDSNLLAMESCRGVVVASAIFGAFDLIRQPQNISEYAKGNVCFYMFVDEETESFLRNSSELDSSMRIGLWRIAVVHNLPYDDPRRNGKVPKLLLHRLFPNARYSLWVDAKLELVVDPYQILERFLWRKNASFAISRHYRRYDVFVEADANKAASKFDNASIDFQVDFYRKEGLTPYTRAKLPISSDVPEGCVIIKEHIPISNLFTCLWFNEVDRFTPRDQISFAIVRDKIREKTNWTLNMFLDCERRNFVIQGYHRDVLERWPPPPASGAIAVVHPPAPVIDETLPNVVTSPVKKIPAKRGRERKSRRHRKVTPG >Solyc03g026290.3.1 pep chromosome:SL3.0:3:3745669:3754223:-1 gene:Solyc03g026290.3 transcript:Solyc03g026290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCHLLLFLAALSSIFGLLHASAGDADPIYSACVDQCEKTGCVGDECSQHCNFTSGGVPVDGPWYLQEPLYLRWKQWDCLSDCRYHCMLAREKERKKAGLKPVKYHGKWPFQRANGIQEPVSVALSALNLAMQFHGWVSFFIFVNYKLPFRPNRKPFYEYTGLWHIYAIFAMNSWFWSVVFHSRDVDLTEKLDYSSAVALLGFSLILAVLRVFSVTAEAARVMVSAPLVAFVTTHILYLNCYQLDYGLNMKVCLGMGILQLILWAVWAGVTRHPSRWKLWVVVIGGALAALLEIYDFPPYRGFVDAHALWHATTIPLTYLWWSFVRDDSEFRTTTLIKKAK >Solyc08g062270.2.1.1 pep chromosome:SL3.0:8:50883874:50883975:-1 gene:Solyc08g062270.2 transcript:Solyc08g062270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHSSIISIIASTTIGQAFRFRDDQAENSGKRG >Solyc11g063510.1.1 pep chromosome:SL3.0:11:49915614:49915911:1 gene:Solyc11g063510.1 transcript:Solyc11g063510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKRAEEPSDVPVLLIECQHLAMLSLARLTNKIERDFLACLVKSSFWPYVAGDDDVELTVEKDSVFRRATRWCGT >Solyc03g117880.1.1.1 pep chromosome:SL3.0:3:68372395:68372649:-1 gene:Solyc03g117880.1 transcript:Solyc03g117880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKNQLSEGEAATSELLPRSLLIREWKREDQMLVISVLLLVIAGAARKEKRMEGGAKDIAGCWCCCSLVFAGREKETTLPLAR >Solyc04g054153.1.1 pep chromosome:SL3.0:4:51769043:51769635:-1 gene:Solyc04g054153.1 transcript:Solyc04g054153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTFAWAGWEGAAHDSRIFGEALRRKELNFSHPLGNKYYLVDAGYAHRRDIWLHTKETINIVERTFGVWKARWSILRDMPYYNIDTQRDIVLATMAIHNYIKQKCNVDDAFQTAEDERYIPLVDSEFGSSSNTNNEENNMEEQNDTYWTGLRDMIANEIGNA >Solyc12g035425.1.1 pep chromosome:SL3.0:12:40393266:40401026:1 gene:Solyc12g035425.1 transcript:Solyc12g035425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGLTSTNHDDFEEHLNQSLEVVEDKYENEDLIPWWRRRSVAFPILSKMVRDVLTIRASSVALQDSFSAAIRHEVFPVLKNLSSSRNTFVPQVLDEEDEDSRLI >Solyc01g017870.2.1 pep chromosome:SL3.0:1:25740907:25742308:-1 gene:Solyc01g017870.2 transcript:Solyc01g017870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSTLAVFVFSLFIILVTTTKGAKVCLFSFEVPQPCDSNNCNIQCKQQFGAKPPGAVKGPLLGGNCIGENCFCSFCCKEKCADLHS >Solyc03g033970.1.1 pep chromosome:SL3.0:3:5686594:5690232:-1 gene:Solyc03g033970.1 transcript:Solyc03g033970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSKILIIGGTGYIGKYIVKESVKCGHSTFILVRKNTLANPEKSKFIDTFKSIGVTLIYGDLSNQESLIKAIKQVDVVISTVGGGQFADQENIINAIKEAGNIKILNSYQRFFPSEFGFDVDHVDAVEPAASHFALKAKIRNMIKSQGTIPYTFVISNWFGDFFLPNFGDLQAKTPPRDKVVIFGDGNTKAIYVKEEDIATYTIKAVDDPRTLNTTLHIRPPANILSFNEIVSLWEEKIGKTLDKVYLPEEKIINIIQEGPDLPSSVNLAICHSIFVKGDSTNFEVDPSIGVEATELYPEVKYTTVNDYYNKFV >Solyc01g057530.1.1.1 pep chromosome:SL3.0:1:61414397:61414708:-1 gene:Solyc01g057530.1 transcript:Solyc01g057530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILFKNSLPLLKKGPENERTVLLIPLRTLSAYSFPSHSGAEPSISLRHVPRDPDQYAYEWEGNYPGHRPNSFPSIASTWPLKKAKAGRETRPTYCLLPWFKL >Solyc06g074960.3.1 pep chromosome:SL3.0:6:46597096:46616607:-1 gene:Solyc06g074960.3 transcript:Solyc06g074960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDHYRSPSSSVSSPANRVPSSNYFYSRKPGALRQPISFEDSPVWDETDIEVKVDEGGDSINAATTPPSPSLSKINSGSLPSPSSIEREVVTRKIAGASIAWKDLTVTIKGKRKYSDKVVKSSHGYALPGTMTVIMGPAKSGKSTLLRALAGRLPDSTRMYGEVFVNGTRRHMPYGSYGYVDRETTLIGSLSVREFLYYSALLQLPGFFCQRRSVVEDAIDAMSLGDYANKLIGGNCYTKGLRSGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLATSGCTLIFTICQSSTEVFGLFDRICLLSNGKTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTEFDRIIAMCKSWQDVGTILTQLIVLPLFSVFVLLFYCAGESSLRKEQKETFAIRFNMKVQRSSSDNHGDLSSVSMDTAIAIRTIETTYRSSADAAAVESMIVKLTEKEGPSLKRKGMAGNATRVAVLTWRSLLIMSREWKYYWLRLILYVFLALCIGTVFSGLGQSLFSVMRRVAAIFVFVSFTSLLGVAGVPSQLKEIKIYTYEESNQHSGAFVFLLGQLFASIPFLFLISISSSLVIMMLSAGFFRIRSALPRPAWMYPISYIAFHTYSIQGLLENEYIGTSFAVGQVRTISGYEALGNVYDISDDSNTKWKNLLVLFVMAVAYKQLIHRNPMASSSFLTGERAVISVDASASVPLSHFFKTRPGASSGIFLGAVTLPGVMVSKLIQMSTAVSLNQSGSEVSWDGFGCVLGTLSKDEFLEKDCLVRLISKILGYPIVATSTTVKVPQILKNCTVQKFSVVSFELEVIGSTIALAYCLHKGLPFSAFGENAFLLIQAIILVQSSISFSAFGRKTLIRALSYCAIAPSVLAGEIDAIHFEASYACQHTIFFLLKPCLVEEMCNLASACVAENKSKQLQLFTFLFLFLGETLLVTVGLVIYFGDMLACTAAKSHGYFAVSKVVTVPYGIQRSEVSVIIQGLIIGLLVFPMMFKYVLQILERVSLASFRDTVDNHMRRSFVFYISLACVLIIAVPSWMQLVQDFHVHPFLWVLDFVLSEPLKRLSLCIYWLVVIYVSVIRFYNISKSSKIERILLRKYYHLMAVSMFVPALILQPKFLDLAFGAALAVFLMLEIIRIWRIWPLGQLVHQFMNAFTDHRDTDLLVVSHFSLLLGCALPIWLSSGFNDRPLAPFAGILSLGIGDTMASVVGYKYGVLRWSKTGKKTIEGTAAGITSVLAACSILLPLLATTRYNWFSLLFAVTISGLLEAYTAQLDNAFIPLVFYSLLCL >Solyc01g097510.3.1 pep chromosome:SL3.0:1:88211126:88214492:-1 gene:Solyc01g097510.3 transcript:Solyc01g097510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRIPDVVPSPDEDSETLVKSFKVLWLCVGLGTNEKSVISVLGHRNASQRKKIRETYQQLYNKSLIDDINSELSGDFRKAVILWTYEPSERDARLANEALKSRKKTITQLQVIVEIACASSPDHLVAVRQAYCGLFNRSLEEDITANVPMPIQKILIGLVRSYRYDRELVDPSTANEEAAILREAIRTKQLDHDNFLFILSTRNGYQLRATFECYKQNYGFSIDQDIKSCGKGLLESILKVVIWCIESPEKHFAEVVRASIVGFGTDEDSLTRAIVTRAEVDMMKVRGEYIIANKTSLDHAVIDDTSGDYRKFLMTLLGAKV >Solyc01g098340.3.1.1 pep chromosome:SL3.0:1:88763076:88767355:1 gene:Solyc01g098340.3 transcript:Solyc01g098340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETEDKTLFCFCHWGKKNKVLPDGSASYVGGITRQVIAKTGIKYEDFVNAVFHRLCIDPSDKILHFTVKFDRSQLIQLSDQEDVNTLLQFNDDFAHVYVSSSEMEPHSRLPSVGAKKIEPVVFDLELDTNPVRNDENDLASPLKKAQSNQSAGDSNPLKRSWAHQSIKDSKPQKKEAVASEQASRLPSGGAKKVKLTIDSDSDSGSDSESESESDTVPDGNLPEVYDYPDPEFSVFDKHKAQNCFAIDQIWACYDTADGMPRFYAHIRKVYSPEFKVMFSWLDAYPEDERSRAWVGAELPVGCGKFRRGSTEFTSDRLTFSHQVQCGMGKRGLYIVYPRKGETWALFKNWDMSWSSDPDNHRKYKYEIVEIVSDYVVDVGVLVGYLDKVSRFVSLFQRMRPTEVGTFFVKPNELYKFSHQIPSFKMTGTEREGVPAGSFELDPASLPLSPDDIWYPGKVMEDSRAVNSEPVENDLPAVPLGARDKSRKATTSLKSGDDIHATDGESSKVQITPKKMNISEKKRTQMSSSSANDSPSTDFDDNCVKKSRHSSPRMPIHLSCQGDRELHSCRKSFGLSNSVGSSKKISTFSVDKGFEETFCDFEMDRSTGKFQINQVWAIYGQNSTLPNTYALVKKIVPAPFKLHVVLLESCAGPKNAAQSVCGTFKVQNEKHQAYDSSSFSHVVKAISTKNRFEIYPREGEIWALYKSLRKSGLDPDKFEYDIVEVIEYSKEWIKVSSLVRVDGLKSVFKQQTSNSVTLEIQKDEFWRFSHQIPAFQLTGEKGGVLRGCWELDPAAVPCSL >Solyc01g081570.3.1 pep chromosome:SL3.0:1:80619622:80623531:1 gene:Solyc01g081570.3 transcript:Solyc01g081570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVKTEMFCLSVLFMVLLLLCDSDAAQAKQGMSLSSQKFEIQKHLNRLNKPAVKSIKSPDGDIIDCVHISHQPAFDHPLLKNHTVQMMPNYHPEGLFRDGKMSTAAKNKNYGSKSINQLWHLNGKCPEGTIPIRRTKKDDILRASNMKSYGRKTNFSTISKPESGRPGGVGNQNGHQHAIAYVEGDEYYGAKATINVWQPKIQQPNEFSLSQIWLLAGSFDSDLNSIEAGWQVSPDLYGDNNARLFTYWTSDAYQATGCYNLLCSGFIQINNEIAMGATISPLSSYHGSQYDISILVWKDPKEGNWWMQFGNDYVLGYWPGFLFSYLTDSASMIEWGGEVVNSESDGLHTTTQMGSGHFPDEGFGKSSYFRNIQVVDESNNLRAPQDLGTYTEDNNCYDVQLGKNNDWGNYFYYGGPGRNANCP >Solyc09g075480.3.1 pep chromosome:SL3.0:9:67600538:67608061:-1 gene:Solyc09g075480.3 transcript:Solyc09g075480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADGALSFSVTSVVEDVLQQHGNNSRSRNLDLDARKAEEDARRRYDAAAWIRKIVGIVGAKCLPAEPSEEEFRLGLRSGMILCNVLNKIQPGAVPKVVESDSAITSDGPALSAYQYFENVRNFLVAVQELGIPLFEASDLEQGGKSSRIVNCVLGLKSYSDWKQEGNTGVWKFGGNIKSNASVKQIVRKNSEPFTNSLSRSMYEKPINGACIEAQKNRTSSSSLSMLVRAILTDRKPEEVPNLVESVLNKVVQEFEHRVASKIELSKATTDDSTGSCDNKSLMRQTSDSAKVDQRNVTLEKKADSLPDEERERRYVKQYTIVDQQQKDIKNLKQTLLTTKAGMQFMQMKFHEEMHSIGMHINGLAHAASGYHRVLEENRKLYNQVQDLKGSIRVYCRVRPFLPGQSNSASSVDHIEDGTITISIPSKNGRGRKSFNFNKVFGSCSTQGEVFSDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPNNLTEQSQGVNYRALGDLFLLAEQRKDTIHYDVSVQMIEIYNEQVRDLLVSDGVNKRLEIRSASQGLTVPDASLVRVASTCDVIDLMNLGQKNRSVGATALNDRSSRSHSCLTVHVQGRDVASGAILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPEAVGETISTLKFAERVSTVELGAARVNKDSTDVKELKEQIASLKAALAKKEESVPMKHKEMSSPCGMQPSPIQSNPQKREILGDSNVQRRPMDDVGNIEISSNSAFRQKKPSYDLDELLGNSPPWPPVNSPCENYMGYDKDTGTGEWVDKVMVNKQDTIHGVGKPFGYWESENGMSDAFAQKYLSESSKLSQEKSSKLIPLGEHFDITPADELEEFDATTSDSSEPDLLWQFNNSKLNSLTSGNESRIQRSNPKHAKSPETRNVPYKVGPSPSRKTNGIGHTPLRNGRHAMPTEVKRKAGNRK >Solyc01g005690.3.1 pep chromosome:SL3.0:1:478644:480426:-1 gene:Solyc01g005690.3 transcript:Solyc01g005690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLFNPHFSFLQKRTLFLQTLLKIQFFFIKIEFLFKIQSFLLLKFEGFEVFVEMKDSTTDPIGQNLIKVISNVCFSVFVFSVLIITVIAITYQPPDPWESSRALTRVFTQVENATFKVDNSVLKTGEDVASSPIEAPAGAFALVPITEAIIEKSEENLSNETLKSGCEDVNVVNCSDPRVLYTIERFNLKAFKSIAFLDYRTPVNGSKPNECDVAWRFRNRKERSWRKYRDFRRFKIGFTDDCSYKVVHAGRWRSGVNARRPRIRVNSTRTSTRAKIAPPVIDEEINDTIPIMGSDSDFKNGRYLYYSRGGDYCKGMNQYMWSFLCALGEAQYLNRTFVMDLSICLASSHTSSHKDEEGKDFRFYFDFEHLKETASIVEEGDFHKDWRKWNKAHKKKISVRKVKDYKVSPDQLLKDKSTIIWRQFDAPEPENYWYRVCEGPS >Solyc06g017920.1.1.1 pep chromosome:SL3.0:6:15606073:15606423:-1 gene:Solyc06g017920.1 transcript:Solyc06g017920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNIFQFMATQATPNIPIPNRFDSAQNKMNNISKAAASKARSNNNKNKDILEIGKQNEEIRWGERITQMSCLPVDEQRSRDGYEQQPRRLPQLETRTPNAGMKVAGSISSFGIRKK >Solyc03g114210.3.1 pep chromosome:SL3.0:3:65687888:65692227:-1 gene:Solyc03g114210.3 transcript:Solyc03g114210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSDGFVRADQIDLKSLDEQLERHLNRALTMEKTNNKKKPLDDSCINYSTATAAAATVTAAAASLSLSSSVKPRQRHDWEIDPSKLIIKTVIARGTFGTVHRGVYDGIDVAVKLLDWGEEGHRTDAEIASLRAAFTQEVSVWHKLDHPNVTKFIGATMGSSGLNIQTENGHIGMPSNICCVVVEYLPGGALKSYLIKNRRRKLAFKVVVQMALDMARGLSYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICVWEIYCCDMPYPDLSFSEVTSAVVRQNLRPDIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMIEAIDTSKGGGMIPVDQQQGCFCFRRHRGP >Solyc02g080740.2.1 pep chromosome:SL3.0:2:45435359:45444331:1 gene:Solyc02g080740.2 transcript:Solyc02g080740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNNNSDKSSEFFIRAYVKPKMPRLRWTDDLHRRFVHAVDHLGGVDRATPKRVLQIMDVKGLTISHIKSHLQMYKNMKHQEMQEAANGKKRNRIDGSDSMNIPQRNLVRRHNHIKGKAAMFDGSDRMNFPQRNLVHRYNHIKSKAAMFDGSDQMNFSQGNLVHCYNHNNGKAPIFNGSDEMNFPQGNLVHRYNHNNGKSVFDGYLNPTVTTNYLDKIPSSSTAFPPPWFVSFFFSFFFLLKMGTILSVNTFYIINVVIT >Solyc12g006175.1.1 pep chromosome:SL3.0:12:715980:719024:-1 gene:Solyc12g006175.1 transcript:Solyc12g006175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSQNCVEGIKETPGENWGKIEQEEEEEWRCVVELIIFLLPSLADSSFFLPNPCRQNTVADMVLHVQIGSNSITV >Solyc04g072145.1.1 pep chromosome:SL3.0:4:59254935:59259528:1 gene:Solyc04g072145.1 transcript:Solyc04g072145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSPIPVGFSARFSCLSRVYKYFFWQGNLNLTAMQTAAKKFLGEHDFRNFCKMDADNVHNYRRHIISFEIVPFNERDNDDQLMIMKIKGSAFLWHQVRCMVAVLFLIGQGLESPNVIDLLLDIERTPRKPQFPMAPEIPLVLQSCEFEGLKFICSSDTKQALNEHLERECRSYKLQSAIYHEALLNSSCIEIDNNISSDHTKKKRASHIPLLSRPTEPSYEERRKKLDA >Solyc04g012030.3.1 pep chromosome:SL3.0:4:4361376:4366561:1 gene:Solyc04g012030.3 transcript:Solyc04g012030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BPQ5] MAKPKRLYQSWKGNNKFLLGGRLIFGPDAKSLIITFTLILVPVVIFCVFVASNLAHKFTAGSIGYAILVLAVVFTIYVLFLLLSTSTKDPGIVPRNSHPPEEVSGYDSSASIDVGKPLPRTKEIIVNGLPVRVKYCETCMLYRPPRCSHCSVCNNCVERFDHHCPWVGQCIGKRNYRCFFLFISSTALLCVYVFSMSALYLKLLVDDCGTVWKAVKVSPASVALMAYCFVSLWFVGGLTGFHLYLISRNQTTYENFRHRGLRGDNRINVYYRGCMNSFVEVFCSKIEPSKNNFRGYVHEGASKTSKGGNVQVTEVDISDEDRRVKVEDDLEIGDDLMKISQRRNSQDIGDIRGRGSDRSPISRSELDFGFGLESQFSSRSESRHSGW >Solyc02g080970.3.1 pep chromosome:SL3.0:2:45593044:45599065:-1 gene:Solyc02g080970.3 transcript:Solyc02g080970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSAAAMAMAATAGMSLQNYSLSDSELSSSTSSISSRSRALYYTGNPKVAFLFLVRRNLPLDFLWGNFFENADPGNFSIYVHSEPGFVFDESTTRSTFFYNRQLTNSIKEMYLIELLCKDFVGCICVPLYNFSFIYNYLMASPRSFVDRDVQRWKPKLQKQHNCIPDEHYVQTLLAIHGLEGELERRTITYTEWNESVTNMEKKGWHPITFSYADAGPVQIKRIKDIRNVYYESEYRTEWCRNNSTLAPCFLIARKFSGGAAMRLLSEGIVSPFDASSMMNLTP >Solyc09g011070.2.1 pep chromosome:SL3.0:9:4392042:4402921:-1 gene:Solyc09g011070.2 transcript:Solyc09g011070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSCLVLLCSFISFSILASSQQLDGFIYTRFNEPNNNITLSGAAEISQNGFIQLTNETSRLMGHAFYSSPFQFKNSTNGSAFSFSTCFALAIVPEYPKLGGHGLAFTISQSNDFSTALPSQYLGLLNATDVGNFSNYILAVEFDTVQDFEFGDINDNHVGININSLRSNMSAKASYFDDDLVKQDLNLKCGKVILAWVDYDSVTNLVNVTLSRFAKKPKLPLFSYHIDLSPFLKENMYVGFSASTGLLASSHYVFGWSFKLNGEAKFLDLDLLPSLPGLKKKHSGVIVAISVIVVVLVLIGILVAIYLVRRFKNADVIESWELEVGPHRYSYEELKQATRSFKDSELLGFGGFGKVYKGVLQSSNMEIAVKRISHESKQGLREFVSEISSIGRLRHRNLVQLVGWCRRRGDLLLVYDFMPNGSLDNFLFEKPRMLLTWEQRFKIIKGVASGLLYLHEGYEQVVVHRDVKASNVLLDGELNGRLGDFGLARLYEHGSNPGTTRVVGTLGYLAPELPRTGRATEKSDVFAFGALLLEVVCGRRPIDSKVGPEELVLVDMVWNKWREGKILDVIDKRLKGEFNESEVVMVLKLGLMCSNNEASSRPSMRQVMSYLEGEADIPDAPMAPGDYNGGFGFEENECMHSLASSRGHTSCLANGNVDALFLLDFSPASCEVDAFIFNGFQSANLSLDGIAQFKSNDLLLLTNSGTQNQGHAFYPNPIHFKNSSNGTVFSFSTTFVFAIRSDYGNLSGHGLAFVIAPHKGLQGSLANHYLGLFNSSNNGNTSNHVVGVELDTIYSEDFGDINDNHVGIDINGLRSVAIHTAGYFDDTDLFHNLTLISGQEMQVWIDYDGRTKQMDVTVAQSHMEKPVRPLLALKYDLSSILDQTMYVGFSSSTGSVPTHHYILGWSFKTNGKAQELSQLPKLPRLGTKGTSRFVTIGLPIISLVSLVAAVLAVVYYVRKKKYEEIHEDWEREYRLQRFKYKELYIATKGFREKELLGAGGFGKVYKGVMPTTKLEIAVKKISHESRQGMKEFVSEIVSIGRMQHRNVVPLLGYCRRKGELILIYEYMSNGSLDKYLYDQPRYTLDWNQRFRVIRGVASGLFFLHEECDHVVVHRDVKASNVLLDGELNGRLGDFGLARLYGHGTDPQSTRVVGTLGYLAPEHTRTGRATPSSDVFSFGAFLLEVVCGRRPIQPRQDGDDLILVDWVFSCWNRGNILDAADPNIGIDFVPGQVELVLKLGLFCSHSEPSCRPTMRQILLFLDGVVALPELSELGVSSANLTFEHRGGFDDFVKSYPSSLGYAYSGSPSVTDSFLSGGR >Solyc04g077170.3.1 pep chromosome:SL3.0:4:62179036:62180863:-1 gene:Solyc04g077170.3 transcript:Solyc04g077170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSQFIVFWHRNLQTIIFLLLLFISGLTHVRLTAEGRRILKTQTGVFTQRKNEEKMIKMRSLIGSRPPRCEGRCRNCGPCEAVQVPIVPNLKNQLTSRIHNQLNSYPNLFLAYSRGDDISNYKPMCWKCKCGNFFFNP >Solyc09g010000.3.1 pep chromosome:SL3.0:9:3447715:3450286:-1 gene:Solyc09g010000.3 transcript:Solyc09g010000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNRTRYIKKMDTNESKHDRKSELKAFDDTKSGVKGLVDAGVSKVPQIFISPPDTYSNSNTGQFIFPVIDLQDMDSHDQINRKKVIEKVRDASETWGFFQVINHGVPCDVMEEMIRGVCSFHEQDTDIKKQWYTRELTKRVVYNSNFDLYNAPATNWRDTFFCIMAPNPPSPEELPPICRDIIVKYSEEVKKLGRSLFELLAEALGLNRNYLNEMDCGEGLSVVCHYYPACPEPELTLGASKHADDGFLTLLLQDHIGGLQVLHRNHWVDVPPTPGALVVNIADLLQLISNDKFKSVEHRVLANRVGPRISIACFFSTFFLEASRLYGPIKELLSEENPSKYRETTIQEYAAYFTAKGLDGTSALQHFRL >Solyc07g008150.3.1 pep chromosome:SL3.0:7:2853611:2858289:-1 gene:Solyc07g008150.3 transcript:Solyc07g008150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILVRFLFMLLVIFNPNAQQTARAFTGTYGINYGRIADNIPSPDKVVKLLRAAKIKNVRIYDAEPSVLNAFKGTGLELVVGLPNGFVKEMSANADHALTWVKDNVKAFLPDTRIVGIAVGNEVLGGSDNELEVALLNAVKNVYNATKKLGISDVVQISTAHSQAVFADSFPPSYCVFKDGVAQLMKPLLEFFSKIGSPFCLNAYPFLAYTYNSDKIDINYALFQPNEGIVDNKTHLHYDNLLDAQIDAAYAALEDAGFRKMEVIVTETGWASDGDENEPAATPSNARTYNYNLRKRLAKRKGTPLRPKKMLKAYIFALFNEYQKPGQSSEKNFGLFKADGSISYDVGFSGLQDISAASSLFSLKGMQAQGYYLSATAITTSISILLSRL >Solyc01g088695.1.1 pep chromosome:SL3.0:1:83306851:83308023:1 gene:Solyc01g088695.1 transcript:Solyc01g088695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNIEVGNCVMKLQLLWQVAIFMNAGIWTGFVRWLMGSTRNGLEIQIVPRGLLEKDSVMTPLSLLCAPAGEET >Solyc04g082440.3.1 pep chromosome:SL3.0:4:66176468:66179480:1 gene:Solyc04g082440.3 transcript:Solyc04g082440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BVX1] MVSAGEIEFEQGFKMDEENDQSNRITTPIDNKDLVYELMGIIHIISSYAEFRRTQRKESRNLVRRMKLLLPLLEEIRDFQGKIPESGVHCLVKMKKAFHSAKKLLKTCHCGSKLYLALESEAVIRRFHSVYEKLSQTLDGMPYEELGISDEEKEQVELMIIQFKRSKKRIDTQDMELAMDLMVSLSSENDRNADSASIERLAKKLELHTVEDLKAEMISVNEVIKERRSHNAENTQRIVDLLDKLKKLAGMEETGPCDEPVMPKALEKSTSIAIPNEFLCPITLQIMADPVIISTGQTYERDSIQQWLDSNHHTCPKTGETLTHLSLAPNFALRNLIEEWCSKNNFQLPAKEAPTNPESPCAGSDEKLLSLIKDLSFSHLEVQRKAVTKIRMLSKENPEKRTLIANYGGIPPLVLLLSYPDSKIQEHAVTALLNLSIDESNKKLISRENAIPEIIEILQNGSVGAKENSAAALFSLSMLDENKVAIGSLNGIPPLIDLLKNGTIRGRKDAITALFNLCFNHANKSIAIQAGIVAPLLQLLEEKHLDMVDETLSILLILATHPEGRQVIGKLSFIETLVNLMKDGTPKNKECSVAVLFELSTYNSNLMLAALQYGVYEYLVEISKEGTDRGQRKAKSILQLMTKTEQIPY >Solyc11g011340.2.1 pep chromosome:SL3.0:11:4388156:4391129:1 gene:Solyc11g011340.2 transcript:Solyc11g011340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFENEHPIKAFGWATRDTSGVLSPFNFSRRVTGEKDVQFKVMYCGICHSDLHQLKNEWGNSKYPMVPGHEVVGVVTEVGSKVEKFKVGDKVGVGCMVGSCRKCENCSVDLENYCPRQIPTYNGYSLDGTLTFGGYSDVMVSDEHFVVRWPENLSMDAAPLLCAGITTYSPLKYFGLDKPGMHIGVVGLGGLGHMAVKFAKAFGTKVTVISTSANKKKEAIERLGADSFLISRDPEQMKAAMNTLDGIIDTVSVVHPILPLLMLMKSHGKLVMVGAPEKPVELPVFPLLMGRKLVAGSCIGGMKETQEMLDFAAKHNITPDIEVVPMEYVNTALERLLKSDVKYRFVLDIGNTLNKK >Solyc11g012195.1.1 pep chromosome:SL3.0:11:5112858:5115392:-1 gene:Solyc11g012195.1 transcript:Solyc11g012195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSFEDQSKATFSLTDEDHTLANAVRFTLNQDPRVTFCGYSIPHPSDARVNVRVQTTGDPARELLNDSCQDLMLICENVRETFEKAILKFKTEGGLSSMNIKK >Solyc05g025825.1.1 pep chromosome:SL3.0:5:36997730:37006922:-1 gene:Solyc05g025825.1 transcript:Solyc05g025825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDLSDRDASYPCLEIVEKKQHVRAKVLTLKVHHPVIGAFSLIRKMLDKSHHFLEWSSTKMKDVTDNLFSTVTIEKVLLLRSFNHQNVVVAVPPRRDEHLSSWRVPSSGFEDVLARSKETLDNIKTYDAIFASMFTYDQNENVLQAFCENWRRSTNMHSFDLPKVSKTSKNHTKPRMNHDPSGNIDMRFLTRSEKENAPFVELGVEESLRDETYLAAFLVCWLCKFVLPSKKADCIRASVFKVASLIAHGEIFSLAVPALASIYRGLKDISTFSNLGSCDTLLPLHYVYGWIDEERSDEFLGKVELHKSVHSSIHRGV >Solyc04g009970.3.1 pep chromosome:SL3.0:4:3285648:3293387:1 gene:Solyc04g009970.3 transcript:Solyc04g009970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVESIPDLAVQDPPGEDFSASDLSWTKFGTEERHDDVALIHYDRVDAFIIGECCNVEFPTRFHIERGRKRSKGSLKEYKPDEYLEHRLYWCSFGPENYGEGGGILPSRRYRLNTRNRAARPQSMRGCTCHFIVKRLYARPSLALLIYNNRRHVNKSGFVCHGPLDRDAIGPGAKNIPYICNEIQQQTMSMIYLGIPEENVLAKHIEGIQRYCGSDAKVNSLAAQYVHKLGMIIKRSTHELDLDDQASIRLWVERNKKSVFFYQDASENDPFILGVQTEWQLLQMIRFGHRSLIAADSTFGIKKLKYPLCTLLVFDSRQHALPVAWIITRTIAKPDVSKWMKALHDRVLAVDPTWKVNGFLVDDAAAEIDPIRQNSYSLPFLSWLRNIIKKCSNIEVQREIFKRLGNIVYRIWDGTDPFVALEELSQDFVDQIAFLQYFKATWVPKFEMWVTTMKTLPLASQEASGAIEAYHVKLKVRLYDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKAEYIATTSWNRALQIPDAAVTLDCKNNSFAKVLSQKDSTIARLVWNPGSEFAHCDCEWSMQGNLCKHVIKVNMICGNLQSYRPSMSFQSFNEILDSLSKKPVDDSIALDLSTAWTHQMLDQIRKLVELNQANNIGTIVNNMPLRWVAKKGRTSVGRPSTLALLPSSVNNNSTSSAAKKKQKRKRLSRLC >Solyc07g044860.3.1 pep chromosome:SL3.0:7:58048751:58050679:-1 gene:Solyc07g044860.3 transcript:Solyc07g044860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTQCFLHQYHALRSSPARTSSVSSPKPNQLICRAQKQDDASNAAVSRRLALTLLIGTAAIGSKVSPADAAYGEAANVFGKPKENTDFLPYNGDGFKLQVPAKWNPSKEVEYPGQVLRYEDNFDSTSNLIVAVTPTDKKSITDYGSPEEFLSKVDYLLGKQAYFGKTDSEGGFESGAVATANLLEASSATVGGKEYYYLSVLTRTADGDEGGKHQLITATVNDGKLYICKAQAGDKRWFKGAKKFVENAATSFSIA >Solyc01g111110.3.1.1 pep chromosome:SL3.0:1:97404048:97404450:1 gene:Solyc01g111110.3 transcript:Solyc01g111110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VMEATEESFGWILMIVPLVLLFAVKWLSTVDPPDWFFGESPWDRRRRTYQLPSEGSSPWGVAALIMLLLILLQYQSTFLDMWFL >Solyc05g055845.1.1.1 pep chromosome:SL3.0:5:66172761:66174746:-1 gene:Solyc05g055845.1 transcript:Solyc05g055845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEENIKPHAIMIPVPLQGHIVQFINLAIKLASKGLTITFVNTHITHQRLMKAQSVSESSSDYNIFSEAQKSGLDIRYTTISDGFPLNFDRMGNHDQFMEGLFHVFSTHVDDLIGNLVNSNHNPPVSCLIADTFFVWPSVIAKKYDLLNISFFTEAALAFTSYYHMDLLTLNGHFGSQGKQNFSYIASCITCIKTLNC >Solyc04g072500.1.1.1 pep chromosome:SL3.0:4:59592323:59593429:-1 gene:Solyc04g072500.1 transcript:Solyc04g072500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKARAVLFNFVGNGFLFRYSTAVATVPTDFTEKYFINSLGFSKQEAISASAKVTRTNSSKNPALCVNFLKQTGFNDTQIKILVFKFPDLLFYDVEKTLKPKLHCLSEIGLSGPELVKVLFRDWAFFQRGLNNSIKPLLSSLKKVLDSDENVVRVIKRCSWLISYYKGGTMEANLNLLRSFGCSNDKIKHIVLRNPSILKHSTKKLEELLHRVEKEVGVSPDSAVFLHIVSVLANLSQEKVDTKVGVFKSFGWSDTNILTMLQKLPYCVALSEARIQTALTFLMKEVRFKSIYIASRPSLLTYSLEKRLMPRYEIWKFVNEKNLIKSRQEFYTVMTWPESKFLDKYVLPVKAELPNLYNLYLKRIGK >Solyc05g052480.3.1 pep chromosome:SL3.0:5:63541255:63556535:1 gene:Solyc05g052480.3 transcript:Solyc05g052480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARSIFGFFSKKNTSHIRINKFKKCTTNRKNSNEEMLKNSMNFAPLLLLQLLLIAIAVQYSTADESFDVRQHLSTVSRYGIVKDIADDSFVPSLNLDQCTPIHLNLVARHGTRAPTKKRIRELEAFAVRLEVLVRDVKEQKQSLDKIPAWLMGWNSPWKGKGTGGELISEGEDELYHLGIRVREQFPSLFSEEYHPAIYSIKATQVPRASASAVAFGMGLFSGKGKLGPGGHRAFAVTSESRASDTVLRFHDCCQSYKDFRRSQEPTVKKLKEPILDEITHELVTQYGLNFTKQDVSSLWFLCKQEASLLNITNQACSLFSPAEVSLLEWADDLELFILKGYGNSLNYRMGVPLLEDVIQSMEQAIKAKEEGYAPASYEKARLRFAHAETLLPFSCLIGLFLKESEFELIQREQTLQYPPKPPKTRNWRGNLVAPFAGNNMLVLYSCTSDNSSKYFVRVLHNERPIPIPGCNGSDSCPFEVFKERIAAPHLKHDYNSLCNVNSEQEKKDSSPSMFSQMFGWIFSQRNSDAPTQKVEL >Solyc10g076370.2.1 pep chromosome:SL3.0:10:59433267:59435734:1 gene:Solyc10g076370.2 transcript:Solyc10g076370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIVQRKVKRRRNGSDSIEEILLRWKNFNQEVNWNHEQVKKKRKSPGNGSNKGCMPGKGGPENSGCKYRGVRQRTWGKWVAEIREPVYISGQYKSKGKRLWLESVVDDEKKTEIESDLKDDDGGVVVNMDLSYDYANHGSPACSWTEEELEVITEENSEIELTNLECDSRFFHKSHVKVERPIMEEEIDEDEFVHNDVSNTIDVEPTVMFSKDDFSRLDETRNSNDQIVLQDMDFRSSENLNEDVSTRLEYMEHFLMDDNCSMEAANISDIICLTENHDEAFDFQRFLEESFDFELNYAKNEEQFDCTYAYNQQIDHQNSETNFEIRSDGIRKEKNLHGFGLDDFGASNNQRKI >Solyc09g090260.1.1.1 pep chromosome:SL3.0:9:70266748:70267518:1 gene:Solyc09g090260.1 transcript:Solyc09g090260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNANVPPPSFLSSCRVLLRCPLCYGAFRTHLQFMNHIRTTHLLTSERNTILCSHAYASNILSPENPLGSQLAAPQHTSSQNVIRHSSGYPSATLLRENMLSAQSTTPQRVSPQNVNLRPPLYSSGSLLLSSVQHAAPQNVIQRCPLYGSGNFFTGNMSSPQPAAAQHHATSRGNNNIVILSSNRNSPTRISDRAPPINWQQMASRNRVGGPNSNDSAIDCTRSLANRLNVPVPTNVDELANIADEQNDVDLTLRL >Solyc04g015480.3.1 pep chromosome:SL3.0:4:5685122:5691989:1 gene:Solyc04g015480.3 transcript:Solyc04g015480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSASSSSSNLSAIFPNSSSFNSQITHLFPIQSSYFNKNYRENALKFSSLSCSAAKQQTGPVKKRTSTNNTKKKKKPISNFESDVEVEEKGVQSSETYHPLPLPKPPAGFVLDEQGRVLMASNKRIATIVDSTNNFPLECVIRRVFRSTRGDECLLLCPVDMPVQILKSTNVEGWSAVSDEEVEAILPTAAYALAKIHMHLVYSGFCYTARGGCCYTEDDIFEFRTDDGDDVDGLPSEGIEITCFHMDGSHYMIYTPSDPLLFVAVKGKDGLLQIADDELLEDPAIISAIDEETEFNALVEEEAALLESLLGER >Solyc02g014350.3.1 pep chromosome:SL3.0:2:16266301:16288027:1 gene:Solyc02g014350.3 transcript:Solyc02g014350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVRRIRSNNQRWNLVFQRFNHYVSSGYRTYSPTQSSIVTQIPLDCNSLGCVIGRALLDTSKSIHTASQRGNIRLLRSSPASWRWTHLRYFSSKGDGRDASEDKHVHTRDGTSSDKGTVRKEKSGQDVRHCDAHTQLGEQEQKEWLRNEKLSIESKKKESPFLSRRERFKNEFLRRIAPWEKIALSWDTFPYYIHDHTKNVLMECVASHLMHKKVTVAYGGRLSSSSGRIMLQSIPGTELYRERLVRTLARDLEVPLLVLDSSILAPYDFGEDCSSESESDVESGEECTSDSEIEDANDASNEEEWTSSAETKSEASEEDDVEASVEALEKLIPFNLEDFEKRVSGELESSSESTQDVVDQSEKAQRPFKKGDRVKYTGPSGVVKADNRILLGKIPTSGGPTNAYTVIHGRSMSSGQRGEIYEVNGEQVAVIFDVSEKQTMEEEEDEIPKAQDVKPSIYWIPANEIEHDLDAQAEDCYIAMEVLCEVLKFAQPIIVYFPDSSLWLSRAVSKANRKEFVHKVQEMFDQLSGPIVLICGRNKVETGSKEKEKFTMILPNLGRLAKLPLSLKRLTEGLRATKRSVDDDIHKLFSNVMSIHPPKEEDLLKTFNKQIEEDRRIVIARSNLNELYKVLEEHELSCTDLLHVNTDDVILTKQKAEKVIGWAKNHYLYTCVHPSIKGDRLYLPRESVETAILRMKEQETMSKKPSQNLKNLAKDEYENNFVSAVVPQGEIGVKFDDIGALEEVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLVAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLSPVIIFVDEVKLLFHVMPLVLIMLKHHFHKQDVRLIFLTES >Solyc05g053550.3.1 pep chromosome:SL3.0:5:64481575:64483189:1 gene:Solyc05g053550.3 transcript:Solyc05g053550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVEEVRRAQRAKGPATIMAIGTATPSNCVDQSTYPDYYFRITNSEHMTELKEKFKRMCDKSMINKRYMHLTEEILKENPNICEYMAPSLDARQDIVVVEVPKLGKEAAQKAIKEWGQPKSKITHVVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVIRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSMVGQALFGDGAAAMIIGSDPLPEVERPLFELVSAAQTLLPDSEGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLIEAFQPLGISDWNSIFWIAHPGGPAILDQVELKLSLKPEKLRATRQVLSDYGNMSSACVLFILDEMRKASSKEGLSTTGEGLDWGVLFGFGPGLTVETVVLHSVST >Solyc05g013115.1.1 pep chromosome:SL3.0:5:6190052:6193188:-1 gene:Solyc05g013115.1 transcript:Solyc05g013115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNFEIILAKYLHGHPLRPYLWNRFVTTAKTANFKAQRVLQQQNPQIFLIFVFLSKIYTYICEDLIYGVGLSARPKQSTFKVKRVLEIQNSDFIFVKYLHGCALRPYVWIWLVTTFSDFLFQKYTQYSVKTLSIESIFHHGQNDPFSRSNDQNSEIIFAKNLHGHPLRPYLWSRLIVIAKMIHFQGQTSPKEGNSSILLIFMSVETGHGQNGPFSRSNKPQSRQTSHFANCRYSLLSFLVIQKSKIIFSKIHTDVRYYLICGAGWSPRPKRPIFKVKRAISTIFFGDPEFRDHFWSRFGHHDDFFNLINSIFVYQYVRSWIINIDFSLALVEIMVLIYGDNYMAHHQGYLRFFAYMSFSVLPFGD >Solyc10g018180.1.1.1 pep chromosome:SL3.0:10:6897787:6898029:1 gene:Solyc10g018180.1 transcript:Solyc10g018180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGKLESAAHRLVPNTTQTCIGIGTYFCPANIVEPAKSFVGPDNPPLFKPFKWDTEFFPHYANKKSVYYAALEAFKIYA >Solyc02g065750.2.1 pep chromosome:SL3.0:2:37464694:37470151:-1 gene:Solyc02g065750.2 transcript:Solyc02g065750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP85A3 description:Cytochrome P450 85A3 [Source:UniProtKB/Swiss-Prot;Acc:Q50LE0] MAIFLIIFVVFFGFCILSTPLFRWIDIVYNKKNLPPGTMGWPIFGETREFLNQGPNFMKNQRARYGNFFKSHILGCPTVVSMDAGLNVYILNNEAKGLIPGYPQSMLDILGKCNIAAVHGATHKYIRGALLSLINPTMIKDHILPKIDKFMRSHLSGWDNCNVIDIQQMTKEMAFFSSLDQIGGFATSSSIAQEFRAGFLNIALGTISLPINFPTTNYYRGLQGRKTIVKLLRKIIEDRRGSKKIQQDMLGLMMNEEAKNRYTLSDEELIDQIITIMYSGFETVSTTSMMAVKYLHDHPKALEEIRKEHFAIREKKSLEDPIDYNDFKAMRFTRAVIYETLRLATIVNGVLRKTTQDMELNGYMIPKGWRIYVYTRELNYDPLIYPDPYTFNPWRWLENNLDHQSSFLMFGGGTRLCPGKELGVAEISTFLHYFVTRYRWEEVGGNKLMKFPRVEALNGLWIKVSAY >Solyc07g052073.1.1 pep chromosome:SL3.0:7:60763545:60765289:1 gene:Solyc07g052073.1 transcript:Solyc07g052073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWARAFGDPEVIRDVFNKHAVYQKPKSTPLTKLLEQGILSYEEDKWAKHRKIFNPAFHMEKIKDMLHAVHLSCSEMVSQWEEAVSTKEPSTELDKWPYL >Solyc03g078060.3.1 pep chromosome:SL3.0:3:51275962:51277241:1 gene:Solyc03g078060.3 transcript:Solyc03g078060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLQEEQRKIEEIAKLLCWHKKSEKGETSIWQKRMDVDSFRSTQEDSEATLRKSIDADDVWVSVCGKISGSLYTYSFVISDMVDNYHVKLPSKDVGARYNKMEACIKPSKGNGDDEDLKPFLERLYVVPPTISNRIVSEVSIEANLEDNKKWKKHVSAYKKINILIDT >Solyc11g006140.1.1 pep chromosome:SL3.0:11:905574:907556:-1 gene:Solyc11g006140.1 transcript:Solyc11g006140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFINSDPPINRSKAFRFLSIAENLLSNRDLVGSKSFATRALESDPTYVHVTNQILSIVDTLSAGDRLINNHHFDYYSILQIPSNQTQNADFIAEQYRRLKFLLNPQTNTFPFTGQAFHLVIDAFTVLSDPLRKSMYDKELGLLRNPYQVVASTSIPVHQSVYPSMPSPNADLMFVNLFTQDQGPHAAGVSFSRNPQAGMSMPVTFLTHEQETMTSMESLPIEQQLQQPETFLKQKTQPVTPISFSNTDEQPTSSFSLNQPQLLTSKRSFNRENPPFGTGVSSTQGREAVVCAEQHGNQQPLERNENVVGNNANKSASTGDNVKEKEGNVDASGKSIPSFWTACPYCLFMYEYSVEYTHCTLRCPNCKKGFQAVPIASPPPIIDGKINNFCNWGFMPFGLSLEDFNRNIGNASSWSPFSPMFTCPLFEWHGGSNVNNQSVGGQSNVNNLGSSHNAGGSKSGGGRKHFSPIICIDDDALVEASQSDEESNVDWNRNKERKKAKNGKRKCARKKTPSKNAKKHNEVEEPIIQMGQENEAGEGEGDTVDVVGFFKGLDEFRSSLPILKAEDGDKVEAACYNSLVSQQFTVVAICL >Solyc02g079300.3.1 pep chromosome:SL3.0:2:44462268:44473014:-1 gene:Solyc02g079300.3 transcript:Solyc02g079300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENEAVPDDLRCNRTDGRQWRCKRRVEEGKKLCEIHYVQGRHRQMKQKVPESLKIVRNTKSKNQRKIKNPKGSLEIGFSKSERALRILKKRKPLKHKPCVSEALDEALRRMELKRGDLPLELIRVFLKRQLEKKNEKESKNASAEVMREFPNALMAIPVIPAENFNNAGSVLDVKLGLDSSSNPFSLRHFRSKNIEPLPISTMQALPFARNGKNSSKVKRRRLCHWCRRSSYRVLIKCSSCKKQYFCLDCIKERRLEQQEIKVKCPICRRDCSCRICKRSELKPNIHKESLRHKRKVPKVQLLNYLVHLLLPVLEKINEEQRIEVEIEANISGKGESDIQIQQASAGDGKLYHCSNCNTSILDYHRICSKCSYRLCLNCCRDSRHGSLTEDCKSEGSNEEQACSSNFERQSRMNHTSTSRQSFSGIHYPSSRSCSNYQACADGSISCPPAEYGGCSDSFLNLRCVFPYTWIKELEISADAILCSYNIQETEHEFSSCSLCRGSDHKDADVDSFINVAERRNSRDKFLYSPSINNLREENLEHFQKHWGEGHPIIVRNVLRNSSNLSWDPVVMFCTYLEKRSKCSLDKETAKAQNHSDWCEVEIARKQIFMGSLEWQTHATMQREIVKFKAWLSSHLFQEQFPGHHAEILQAIPLQEYMNPKSGLLNLAVKLPPEMPQTDLGPSIYISYGGPEELSQAEFISNLCCESYDMVNILASATDVLASKEQVRKIKCLMKNKKPQDHKEITSHSSDQKGKSSLHSGDTEESDLQDATGEQLPDGIAEVPFYSSDSQKGQRYEDRDGNISSDNENDSESESDVSLFCSGSVERSEDSDSDHFFEDVDGAKKEAKTSGAQWDVFSREDVPKLLEYLKRHSSEFTSMRGYSKQVVHPILDQSFFFDAFHKMRLKEEFDVQPWTFEQHLGEAIIIPAGCPYQVKQLKSCINVVLHFISPENVAECINVTDEIRLLPEHHKARGKVLEVKKMVICGMKNAIAEIRNLTHSKQSS >Solyc09g005110.3.1 pep chromosome:SL3.0:9:60468:66936:-1 gene:Solyc09g005110.3 transcript:Solyc09g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIDTCKPINGDIANVPTNKAVTIQNSTIPFNSPDSTLGRHLARRLVQIGVTDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARARGIGACVVTFTVGGLSIVNAIAGAYSENLPLICMVGGPNSNDYGTNTILHHTIGLHDFSQELRCFQTVTCYQAVLNNLEDAHELIDTAISTSLKESKPVYISVGCNLPGIPHPTFSREPVPFSLSPRLSNMMGLEAAVEAAAEFLNKSVKPVLVGGQNMRVAKACDAFVELADSCGYAVAVMPAAKGLVPEHHPHFLGTYWGAVSTTFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKDKVIIVQPDRVTIGNGPAFGCVLMRDFLSALAKRVKHNPTSYENYHRIYVPDGLPLKCKPKEALRVNVLFQHIQNMLTGESAVIAETGDSWFNCQKLKLPQGCGYEFQMQYGSIGWSVGATLGYAQAAPEKRVIACIGDGSFQMTAQDISTMLRCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTTKVYCEEELVEAIETANGAKKDCLCFIEVIVHKHDTSKELLEWGSRVSAANSRPPNPQ >Solyc02g085070.1.1 pep chromosome:SL3.0:2:48720760:48722854:1 gene:Solyc02g085070.1 transcript:Solyc02g085070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCTATVNTESLNPIGNKLAIPGRSNHSSGRWKLISFAVNKTVATGTSIMTPSVVAVSSQGLQQLPFKPEGYNYWKWRSYRIHYVEKGEGFPIVLIHGFASSSFHWRYNISELAKRYKVYALDLLGFGWSEKTLIDYDALLWRDQVVDFLKEIVKQPAVLVGNSLGGFTTLLAAAALPDKIRGVSLLNSAGRFGDDVSTTDKTEESALHKFIVKPMEEIFQRVVVRSAFWITMQPVQIEAVLKSGVYRNHSNVDDYLINSILRPAADPNAYEVYYRLLKQLMSNPTKHTLDSVLSQLSCPLLLLWGDLDPWVHDRAKPNRIKDFYPNTSLVNLQAGHYPQDEVPEQVNKALLDWLSTLTSEI >Solyc07g042015.1.1 pep chromosome:SL3.0:7:54983105:54988740:-1 gene:Solyc07g042015.1 transcript:Solyc07g042015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSLLPLQSNCGREKNVIGKEALVKLLRWHFGHSDFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQVPALTKPGIVLVVSPLIALMENQVSTLKEKRIPAEFLSSTQTVLVKNKIYEDIESGKPAVRLLYVTPELIATSGFMSKMTKIHARGLLNLIAVDEAHCISSWGHDFRPSYRKLSSLRSHFPNVPVLALTATAVPKVQKDVIESLNLQNPLVLKSSFNRPNIYYEVRYKDLLDDPYADVCKLLKSCGNVCAIIYCLERTMCDDLAIHITSSGISCHIMLG >Solyc05g042003.1.1 pep chromosome:SL3.0:5:55337613:55341009:-1 gene:Solyc05g042003.1 transcript:Solyc05g042003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSGPPSPPFWKLFKVKTTLVLPVTGVVYILPFLQQSESFSVLHCPGGGFMPLILPLGWQAYSLASKQYVRVAKTSKTKSDVPTVDFMVFVPIFELGVVLKRYLCRYCDDQTGLSSISGQTVILSANFIFSMDKSWIRMPRTTKEYLVGLNQFLEFAFKNGAIEDRIKCPCPQCCFGKWQTREVVFDHLICKLEKNDREKSKDHIEARKDLQDMGIREDLWADENGDFRLGAFTIPKDKKVTFLTTSKNILIARWLFKLLGHFKSLIGNKSQAEGCIAEGQKIEEALTLYSRYFEDIKSRLKLIDVYYLIALQQIHLLSNEFRQHIKRSSRGRKPSVTEVEKRINREFTDWFPKRIMNPDIEDTISDDIKFLTQGPAPYASRFTAYNINGFKFQTLSREQ >Solyc10g080580.1.1 pep chromosome:SL3.0:10:61937282:61939302:1 gene:Solyc10g080580.1 transcript:Solyc10g080580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGREEDVYSGGPPSNQNTVPPRAGNPYAGASDRAEPRGGTRNGTPQKVLPIEIPVLPLDELNKLTGNFGQKALIGEGSYGRVFFAKLSNGQEAAIKKLDTSSSPEPDSDFTAQLSMVSRLKHEHFVNLMGYCLEANNRILIYEFAAMGSLHDVLHGRKGVQGAEPGPVLTWNQRVKVAFGAAKGLEYLHEKIQPAIVHRDVRSSNVLLFDDFTAKVADFNLTNQTSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPAKAIAKMAAVAALCVQYEADFRPNMTIVVKALQPLLTAKPAGPESNT >Solyc03g062837.1.1 pep chromosome:SL3.0:3:34598967:34605347:-1 gene:Solyc03g062837.1 transcript:Solyc03g062837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEGENVDSGQNRSGAYNSSQVSSGQSQGIDYNHPLFLNPTDVSGMSIISFQLLVSSAEKEVQQLLQGCTFTKDQYDHILKMIQQKSEPTTSGCNTANNTGKTFFVSEQSNMWIIDTGATNHMVSSLKNMLTKNTVHEPEVSKPVYLPNGITTQVSHIGSCNHSLQERVFLDPMQISDILSSDVHCTSPVIPRCPPPTVSHETHTLSSVDEVEQSPTGGTEMSQESASLTTYRRSTRQKLKPTWMKDFVSLTVNNDSEEGTILVLVYVDDMLITGSSLRLIEDTKKALQQAFKMKDLGELKYFLGIEFTRSAAGILMHQRKYSLELIAEVGLTAAKPAGPPIDISVKLTSKLYDEHVKQAEPDDPLIDQTTYQKIIGKLLYLNMTKPDISFSTQTLSQFLQQPKRSHLDAALRVIRYLKKQPGQGLLLASDSDGQVTAFCDVDWASCPLTRKSMTGIGVAAGIIEGNRY >Solyc04g015360.3.1 pep chromosome:SL3.0:4:5562895:5566899:-1 gene:Solyc04g015360.3 transcript:Solyc04g015360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4BQ39] MGSDFVDEIDCSSFFDHMEDLIEFSPENVCGGVDAVDCNDFPSIWNDPLPDSDPFFSGSYSNSASDFSAELSVPLEDIVQLEWLSTFVEDSFSCGGLTLGKEHCSVKKESSDSKFLTSSPVSVLESSSSSSSSSTSSSGPGKTLPPLSPCPRGPQRARTKRPRPTTFNPRSVNHLMFPTSVSPQQFVAPGVNSLDSENFAESPMKKKKKIKLSIPLAPIETNQDNYQPAPQAVRKCLHCEITKTPQWRAGPMGPKTLCNACGVRYKKGRLYPEYRPAASPTFVPSLHSNSHKKVLEMRSNVFPEEETHYKQAKPRRARPLITVQAENNTTASTTPTE >Solyc02g078630.2.1 pep chromosome:SL3.0:2:43842864:43846261:-1 gene:Solyc02g078630.2 transcript:Solyc02g078630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLLLVLVFVLDLIAFGLAVAAEQRRATATINRDAEYNYCVYESDVATGLGVGSFFFLLSSQLLIMFASRCLCCGRALRPGKPRSWAIVLFITCWVTFFIAEVCLLAASVRNAYHTKYRTYLAQHPPSCEVLRKGVFGAGAAFIVFTGIVSELFYVSYSKADEGSIPPRMDGGIRMNAFM >Solyc02g083815.1.1 pep chromosome:SL3.0:2:47629258:47630321:-1 gene:Solyc02g083815.1 transcript:Solyc02g083815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQDPHDISIKKQQPGAFSILSRYLRMNRGFESPEHNTDLQLIVAGLNHHVSFSDQKYKKSKWAPKAPAIVKCELGYMQQKGIITCKSKVVGIFDNVIG >Solyc02g083810.3.1 pep chromosome:SL3.0:2:47624035:47627412:-1 gene:Solyc02g083810.3 transcript:Solyc02g083810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVSAAVSLPSSKSTSFSSRNSIISTDKINFNKVPLYYRNVSGASRLVSIRAQVTTEAPAKVEKISKKQEEGVIVNKFRPKEPYVGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIADGVDANGKPHKLRLYSIASSALGDFGDSKTVSLCVKRLVYTNDKGEEVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATVIMLATGTGIAPFRSFLWKMFFEKHEDYKFNGTAWLFLGVPTSSSLLYKEEFEKMKEKAPENFRLDFAVSREQTNEKGEKMYIQTRMAQYAEELWTLLQKDNTFIYMCGLKGMEQGIDEIMSSLAERDGIVWADYKKQLKKAEQWNVEVY >Solyc01g008115.1.1 pep chromosome:SL3.0:1:2177643:2178244:-1 gene:Solyc01g008115.1 transcript:Solyc01g008115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLLQPYLLLYQGRTYQGPDMYLSLVRRYDPVQVEVIELVCCPAKRAAIRRPVISPSVVGRPSLYFESMKHCNISFSALPVRFREFMMFAKISASFFLALSRRRWAGIG >Solyc03g070450.2.1 pep chromosome:SL3.0:3:18470507:18472595:-1 gene:Solyc03g070450.2 transcript:Solyc03g070450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FCVFVLPSFAILFVFDAFDPNGNITIKWDFIKEKVFAWAHHLMQNRNAVWSQSMGIIQHEDRTHVSWTPDGYVRGHNSLGFCEMDLSLIIMYRHIQPPGWSLKWTWAKDEMIRSMLGSQTTEQVIDVLHEAPHNQQTANCCKGGVVNSWGQDPANSIISFQLTVGSAGTTNNTIRIPKNFTLNAPGPGYIYGRRVSQALMTWNVTCIYLQFMGHNNPTCCVSILSLYNDTIVPCSCGCKNSGTKPGSCVDYVLVYFFWAHLNCWNSFVIYYRCTSPFFYCRSEKPHLASIVSDHGKNNFTPLVQCTDRMCPVGIYRHVKLNYKDNWRMILQCYGEFNPLMIYLCNLFELLLQKDASNLTLDKGWAFPRRVYFDGDNCVMPPSEAYPHLSNDIPAMPPPDTDPHILNAASQWKVSLLKLVVTLMFSMAFFFC >Solyc10g005380.3.1 pep chromosome:SL3.0:10:275450:279062:-1 gene:Solyc10g005380.3 transcript:Solyc10g005380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQSLNGEFSEEKVYVSESFVVDMEHISHHLVEKEINANSRITLQRNHSRKGSLKKQNFNDINEKDTNLMAISPKGASMPEKSIVVTSDHSIPHVHNQNTSVVAASSGAAATTTTTTAIDGKVAVSKRFSFRRSSSSSPWTIDPRRILIFFATLSSMGTILLIYFTLSMTKLNGEDKASY >Solyc02g093840.3.1 pep chromosome:SL3.0:2:55180504:55185061:1 gene:Solyc02g093840.3 transcript:Solyc02g093840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNREERILAGTKLDNSYFDKEYPNEKLDRFGDFWGLKREIDKVHDHKSEKIEMVSDDISDFWDLNCEKGSFPDHKDENSKMGSDDIVDLLPQDPFDMDISTKITGWLEGINKDFGLKDLGFCTDEIEVDVQLYAEFNIVVKGAIRVHHDVGENSYVSEIDLGEGLPMDVEIEDIMNSCYWAFRDAAQEDQSGMNDHCDADGGSPSDALYLSLNYLGLRDLLSVEGVCKALRDVVRSDPLLWRDIQVDYPLSNKITDDVLIKLTDRAQGHLDSVCLMHCPRITDSSLNCVLERNSSLKKLSVPGCVRLTADGILRNLKVLRSAGKPRLKYLGVNGLFGMTHHHFEEFKVLLGVDSSKLPSTRKPRFLQGGQLSVSSDDDYAIDIEICPICQQLRVVYDCPSESCEKKKSTAQSCRACTICIKRCINCGRCLNNCEYEELFSFDNLCLDCCRDCYKEFLDHQERQERITVPLENPVPHKQTSCHFFFCG >Solyc12g044380.2.1 pep chromosome:SL3.0:12:60228443:60231767:-1 gene:Solyc12g044380.2 transcript:Solyc12g044380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSKQGGAFGGNRGLRPVPPEKGVFPLDHMHLCDLEKKEYLNCLKSSGHKSDNCRHLSKKYLVCRMEKNLMAKQDMSELGFGKNYDAETSSEEMEQ >Solyc01g044360.3.1 pep chromosome:SL3.0:1:43196405:43208114:1 gene:Solyc01g044360.3 transcript:Solyc01g044360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEQAQLAAILGPDSAPFETLISHLMSASNEQRSQAESIFNLIKQNDPNSLALKLANLLSSSPHHEARAMSTILLRKLLTRDDSFIWPRLTESTQSGIKSVLLRCIQHEESKSIIKKLCDTISELASSILPENNWPELLPFMFQCVTSDVPKLQESAFLIFALLAQYVGEMLVPYIKDLHTVFMQTLNNSPNPDVRIAGLSAVINFIQCLSSSNDRDRFQDLLPAMMKTLTEALNSGQEATAQEALELLIELAGTEPRFLRRQLVDVVGAMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMKMLLDIDDEPVWHSAEVEHEDAGETSNYSVGQECLDRLSIALGGSTIVPVASEQLPPYLAAPEWQKHHAALIALAQIAEGCTKVMIKNLEQVVNMVLSCFQDPHPRVRWAAINAIGQLSTDLGPDLQVQYHSRVLPALATAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGIVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNANDKSNRMLRAKAMECISLVGMAVGKDKFRDDAKQVMEVLMSLQGSQMETDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLLSAQLKPDVTISSADSDNELDDSDDDSMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFYPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGIAQGRNETYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECVQISGPLLDEGQVRSIVDEIKQVITASSSRKRERAERAKAEDFDAEESELLKEENEQEEEVFDQVGEILGTLIKTFKAVFLPFFDELSSYLMPMWGKDKTAEERRIAICIFDDIAEQCREAALKYYDTYLPFLLEACNDESPDVRQAAVYGLGVCAEYGGSVIKPLVGEALSRLNVVIGHPNALQPENVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPIKGDLIEAKVVHDQLCSMVERSDVELLGPNNQNLPKIVSVFAEVLCTGKDLATEQTASRMINLLRQLQQTLPPATLASTWSSLQPQQQIALQSILSS >Solyc05g021585.1.1 pep chromosome:SL3.0:5:28144263:28144532:1 gene:Solyc05g021585.1 transcript:Solyc05g021585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPKKTIFLCPSWIRCWIDLSKRGDFYCTGRSIENHFYFSIRDLCVQENVVWVVQCTRHISEMYDVDILRYGGGHY >Solyc12g044940.2.1 pep chromosome:SL3.0:12:61228639:61230005:1 gene:Solyc12g044940.2 transcript:Solyc12g044940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPWKDLTGKVVMVTGASSGIGLEFCIDLAKAGCRIIAAARRVDKLKSLCDQINSNSEETPRAIAIELDVAADSATIESAVKIAWEAFGRIDALVNNAGVRGSVSSSVELTEEEWNNTYNTNLRGAWMVSKYVCKRMIDAKQGGGSVINITSMAGLNRIAVPGTTAYATSKMALDMVTKIMAIELGGENIRLNSISPGVFKSEITESLVEQKWFHKFLYKTLPTRYLGTTDPGLTSLIRYLIHDSSEYVTGNVFIVDGGASLPGVPIFSSL >Solyc04g008810.3.1 pep chromosome:SL3.0:4:2432046:2434439:1 gene:Solyc04g008810.3 transcript:Solyc04g008810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S26 [Source:UniProtKB/TrEMBL;Acc:K4BP37] MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQEACAFELYTLPKLYLKMQYCVSCAIHSKVVRVRSRTDRRVREPPQRFRRPRDDLPKAGQAPRPAGGAPPAAART >Solyc06g051650.3.1 pep chromosome:SL3.0:6:35281051:35286577:1 gene:Solyc06g051650.3 transcript:Solyc06g051650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLMENLLVVLSWASLGKLSQRQQKTSELFAQLQIWQTFITQLVGEKGTGKAGKPLHYKGSSFHRIIPSFMIQGGDFTRGDGRGGESIYGESFPDENFDLKHTEPGILSMANAGPDTNGSQFFITTVTTSWLDKHHVVFGKVLSGMDVVHKIEAEGRQSGTPKSKVRITNSGDLPQ >Solyc04g051210.1.1 pep chromosome:SL3.0:4:50070343:50072216:-1 gene:Solyc04g051210.1 transcript:Solyc04g051210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAVAVNHAPTQSINMIMEFLLIFLSDIPKHYIHGEKLDDMLTHVGVLTMKISILVSKLMEETFENNINETDFSDPYLLDSALTHLIFSLPSVTDKIKLIVEEITSLQLHDENGDDNPLDAKSSDESIESTSSSFVEVTVGHEEDEACTIDQLLDKHESKLDVISIVEMPGFSKITLANKVYKNTLVASHFNVCAWCTISQKFIKSKVLQVILQQVAGSEDNKESNEGDKVVDLAEKLVFGDQGRCSSELSEFGHQIVERCQGLPLAVILIAGVIVRGKKKEKDLWLKIQHNMDSLISANNNLQIMKVMQLSYDHLPHHLKLLLFYFERSQKNKRTPLSKLMQLWMAEEFVDHCIPYKSSLEDNSNYLEALIYSSLVMVDPSVSKSSHRFSVAIKVCYVHDVVHDFCSVIAKKEIFFKLINSGAPFFILWIQYTIV >Solyc12g036173.1.1 pep chromosome:SL3.0:12:45259801:45260942:1 gene:Solyc12g036173.1 transcript:Solyc12g036173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIFKKRRPWQHDRSPKHDRRINKYSLMLNDRNNKEETKDIQRKVEELLNKVYLKESVSPRVVLILFIPNKDGTWLICVDCRAINKITVKYLHPILRIGYHQNQMNPVHEWKVTFKTKFGLYE >Solyc08g077870.3.1 pep chromosome:SL3.0:8:61902603:61905688:-1 gene:Solyc08g077870.3 transcript:Solyc08g077870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYMRERTVYVAVLVEGPTRKRSNSTNNKFHHFQHQHLHVKHVANGRGYNRKAQLLDYSRNLRASNHHQSKPSTPLVPQQVQRQNSQIVAVKNKPKYVSVPACMGNWKFVMPRFLRSFMTQNKKSKKKKNMDSKTNKIKAMVKSFQVQRKPGLFSKVFATLRKSHR >Solyc04g054385.1.1 pep chromosome:SL3.0:4:52390655:52391535:-1 gene:Solyc04g054385.1 transcript:Solyc04g054385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYVPTRWNSTYLMLDTTKKFRRLLRDGSIAGSIQYEDWANVRNVTKFLEKFYELTLKVSGSRYIFVNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAINVSKYGKGSKSQPSLSDSSDSSACGISQNVSKNSLRTKLHMKKQKNDSESLVNSPRFPVLPQLARDVLAIPMSSVASECAFSTDGRILDPFRSSLTPKCVQCLICVQDWLRQETKPICVEQ >Solyc06g072790.3.1 pep chromosome:SL3.0:6:45028861:45036628:1 gene:Solyc06g072790.3 transcript:Solyc06g072790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRVRTYAWLSVIASLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLATMCILWQLTKKIFLGTLRESEVERLNEQSWRELMEILFAITIFRQDFSMTFITMVTALFLVKTLHWLAQKRVEYIETTPAVTKLSHIRIVSFMGFLLLIDSLLLYNYMNHLIQTRQASGSLFFAFEYMILATTTVATFVKYVFYVRDMLMEGQWERKAVYTFYLELLRDLIHLTMYMCFFLMIFINYGVPLHLIRELYETFRNFKTRVADYIRYRNITSNMNDRFPDATLEELNGGDTICIICREEMTTAKKLTCGHLFHVNCLRSWLERQNTCPTCRALVVPPENGTSVAGSGYSQQGTSLASTSRGSQADPRTNGYVSQHQARLQAAVAAAAIYEKSFVYPSAPTLSRSHGHALFPPSYGPVSFISVDSQRESAANEWSQQHQYANMPFAYYPQSSFGPLGYPGANMPVGDGSRSNLSTSDTQLEAHRIFLQQQCEALQNQLKLLQSSKTQKVADGAKVSESKGKAISLENAQNGQAEGADF >Solyc09g014627.1.1 pep chromosome:SL3.0:9:6523451:6524330:1 gene:Solyc09g014627.1 transcript:Solyc09g014627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRDNQYFSYYSSSFPFHKQFLGQEANPENFRECIRQDLYESDNFCMYIHKVQKCSKHYFNDWTSCPFTHQGEKARRRDPRKYNYFTISCPSYKFASCIKGYHCELCHGVFEYWLHPTKYRTILCQAGTSCNRPICFFAHTLKELRPETKYNWCYVYRYPFYIQSYPDIMIENGPNGNWMIIPCNPYLQPPPPDQCYGYIMKVIFHFFSSKHTKLIEEMKNLELGSTSHANMNKIHDDNGKRIVK >Solyc02g091910.2.1 pep chromosome:SL3.0:2:53762832:53763855:-1 gene:Solyc02g091910.2 transcript:Solyc02g091910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNQTIPSLTISLLILTISSLSHFTFHAEGRKLLHTNRHGDNEIESEKRAMLTSQIGSRPPRCEKRCGTCGHCEAIQVPTNPQITNGNKNHTINNKKAYNNIAYARDNDNSNYKPMSWKCKCGNLIFNP >Solyc01g108720.2.1 pep chromosome:SL3.0:1:95847999:95849332:-1 gene:Solyc01g108720.2 transcript:Solyc01g108720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTTFNFGPKYFASHLYQLSPIQCFCKEK >Solyc03g096260.2.1 pep chromosome:SL3.0:3:59668494:59676492:1 gene:Solyc03g096260.2 transcript:Solyc03g096260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4BJ48] MESRKIMMSQLGTSRAHPTALTSRMGRLFVLTLEGKIYSCKHCGTHLALSENIVSKSFHCRHGKAYLFSKVVNVTSGEMEGRVMMTGLHTVADIFCVCCGSIFGWKYETAHEMSQKYKEGKSVLERFKICGPDGSHYSGSHDTHVAGSDADDV >Solyc04g008330.1.1.1 pep chromosome:SL3.0:4:1994019:1995362:1 gene:Solyc04g008330.1 transcript:Solyc04g008330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVENHELSKLKQDEVAIVMVPLPAQGHLNQLLQLSCLISSYHHPVYYVGLATHNRQARIALNPSNISKLHFHDLPNPELIAFETIWDASMHLRDPIASFIRDISSKVRRVIVVHDPMMSYNVQDVSSLPNAESYIFNNISVFSCYIIMCLFLKTSVQHEEELLKKLYSFEGVTTDEAMDLSDSQHPYMDIRSGDIHNTSKVIERKYIDSMEQAELSLNKKQWAIGPILPTKLDYISNKEDVCLDWLNKQPPRSVLYVSFGTTTSLSDRQIKELAMGLEQSKQRFIWVLRDADRGDIDRKVELPQGFEERVKGVGLVVREWTPQLQILAHSSTGGFMSHCDWTSCLESITMGVPIGVWPIHTNQPINGFLVTDILKVGLLVRDWGKREEVVSASTIQNVVRKLMASEEGDTIRKRAEELGEAVRQSTEKGGAFQIELDSFIAHITR >Solyc08g081250.3.1 pep chromosome:SL3.0:8:64423868:64432316:-1 gene:Solyc08g081250.3 transcript:Solyc08g081250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTLLGSQGFRKGTDLYFERHDGQAVTCEDFFAAMRDANNADFANFLLWYSQAGTPVVKVTTNYNAEGRTFSLKFSQEVPPTPGQSAKEPMFIPVAVGLLDSSGKDMPLSSVHHDGKLESFASSGQNVYTTVLRVTKKEEEFVFNDVSERPTPSILRGFSAPIRLESDLTDSDLLFLLAHDSDEFNRWEAGQVLARKLMLSLVADFQQNKALVLNPQFLQGIKSILTDSSLDKEFIAKAITLPGIGEIMDMMTVADPDAVHAVRTFIRKQLASELKQEFLITAKNNRSSGAYEFDHNNMARRALKNIALAYLGSLENPEITELLLNEYRNATNMTDQFAALVAIDQQPAIREEILADFYNKWQDDYLVVNKWLALQAMSDMPGNVENVKKLLNHTAFDLCNPNKVYSLIGGFCGSPVNFHSKDGSGYKFLGELVVKLDKMNPQVASRMVSAFSRWKRYDETRQSLAKEQLEMILSTEGLSENVFEIASKSLAA >Solyc04g015475.1.1 pep chromosome:SL3.0:4:5678659:5680110:-1 gene:Solyc04g015475.1 transcript:Solyc04g015475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEGKNYGGWRRSMLITLSAQNKLGFTNESWKSPAASNPNLHSWNMCNIISHSPHNFLHFHFSTKMVVSQATSSILAVIVVVCFLIRATVAADISSPAFGMSPKFYADFVLRSYVAGTQLSSYVSFLSKNNMAFSILLTSSSTIASVLITPFLTSLLISLVVPADAVAMAKSILQVVLLPVALGLVLNTSVF >Solyc06g050110.2.1 pep chromosome:SL3.0:6:32534995:32537586:1 gene:Solyc06g050110.2 transcript:Solyc06g050110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSIITNDKSSMVDEKNSLIFDASQMKHGKKDCAIPQELHAPLIDLGGFFSGDPTTMQEASRLVGEACKSHGFFLVVNHGVDENLISNAHRNMDMFFSLPLSEKLKAERKIGEHCGYASSFTGTFLSKLPWKETLSFQYSAKEHSSHIVEEYFQKTMGKSFNNLGKVYQEYCNSMSKLSLRIMELLGMSLGVQKENFKDFFEDNESIMRINYYPPCHNPELTLGTWPHYDPTSLTILHQDGVSGLQVFVDNEWHSINPNYNAFVVNIGDTFMALSNGIFKSCLHRAVVNNYIPRKSLVFFLCPDKDKVVRPPTELVDFNKPRIYPDFTWPTLLEFTQKHHPADTNTLQAFSNWLQHNNVQP >Solyc10g083210.2.1 pep chromosome:SL3.0:10:63200414:63205696:1 gene:Solyc10g083210.2 transcript:Solyc10g083210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITHFSSQNYFFSEHYNFMEESLRNSSSPPKQNSNQLEEIEIPKEHLFEKPLTPSDVGKLNRLVIPKQNAEKYFPLNDSINDSGEKGFLLSFEDELGKLWKFRYSYWNSSQSYVLTKGWSRFVKEKKLDAGDVVLFERHRVDGDRQFIGWRRKNGAAVAAASATTVAPPGGGGGWGQIHPFPSAAGVPYQTDFLHAGRGVMHNQLTTPNGNNTRRQVRLFGVNLECEVDDSSSWSESSTPDGSISSNHHQQSQEYYQSQAGQHYYQYQVHYSNPHANPPASSYNNNHHYNTDMDYSRDVNQMRYHQG >Solyc02g070060.2.1 pep chromosome:SL3.0:2:40469178:40474351:-1 gene:Solyc02g070060.2 transcript:Solyc02g070060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNCIVITLILILLWSSSSVLSDTTIPEKFYQCICKNSDFSDPFSSAFYTPNDASFNTILNSTAQNLRCLMPSVPKPDLIFTPITEPHVQASVICAKKLNLQLRVRSGGHDYEGISYISEMGFPFVIVDLLKLRGIDVNTEENSAWAQAGATVGEVYYRISEKSKTLGFPAGLCTSLGIGGHITGGAYGSMMRKFGLGVDNVIDARIVNANGTILDRQSMGEDLFWAIRGGGGASFGIILSWKMKLVTVPSVVTVFTVSKTLEDGATKVLYKWQQVADKIDDRLFMRVVINVVDKKQAKGERTVQTAYNSLFLGNGETLLRITNESFPELGLTQKDVTEMSWIESILYIVGYANNTPPEVLLQGKPQFKNYFKAKSDFVKVPIPETGLEGLWELLLEEDSPLMIWNPYGGMMANISESDTPFPHRKGVIFKIQYLTLWNQPNEELATKHVHWMRKLYNYMTSYASMYPREAYVNYRDLDLGMNGNSSFAQAILLVFFLLTITPISYGNLQDFATCMSLHTTSTSSSVVHSQQSSSYTYLLQESQQNPRWLNSTSLLKPSFIVTPKTQNETQGAILCAKKHGLQVRVMSGGHDYEGLSYLCKKPFIILDLVDYRSINIDIENETAWIQSGATIGEVYYNIAKKSNILGFPAGLCPSVGIGGHFSGGGIGTMMRKYGLAADNIIDANLVDAKGTILNRKTMGEDVFWAIRGGGGASFGVISAWKVKLVRVPSLVTVFTIHKRLDQEGVELVHNWQYIASKLPEGLFIRVLIQQIDRIDGQGNVKLPEVLFNSLFLGLKSDLISLMNANFPELGLKMEDCTEMSWIQSVLYFIGYKKGEPLEVLLDRKTQYKSNFKAKSDFVVEPMPESVFQGISERFLHKKLVFMIMDPLGGKMDEIEEYEIPFPHRKGNIYNIQYIVKWDSNEGSKQYLYWIQNLYKYMEPYVSNSPRASYLNYRDLDLGINQQGNDSSYGQAIMTWGTKYFKGNFQRLAKAKHQIDPNNFFTNEQSIPPLCC >Solyc07g008570.3.1 pep chromosome:SL3.0:7:3523086:3530138:1 gene:Solyc07g008570.3 transcript:Solyc07g008570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CBX6] MRNQLYLLLLSVFMLITLNKSVHILAQNYITLEKPKHTHGDMQPMEQPLSAIQIHKTILALTKFASIHVQGPKILGSKGEDFEWVTINLRNANPTDDDWVGVFSPAKFNESICVPVTKDEKKYGAPFFCTAPLKFRYANYQNANYTKTGRTSLKFRLINQRGDFSFAFFSGGLTISNFVAFANPKAPLYPRLALGKSWDIMTVTWTSGYNIDEAVPFVEWGWKGQEQKRSPAGTLTFHRNSMCGTPARSVGWRDPGFIHTSFLKDLWPNMEYTYKLGHMLNNGSIVWSKQYSFKSAPFPGQESLQRIVIFGDMGKQERDGSNEYANYQPGSLMTTDTLVKDLDNIDAVFLIGDLPYANGYLSQWDQFTAQVEPIASRVPFMIASGNHERTWENSGSLYNGLDSGGECGVPAETLYYVPAENRAKFWYAADYGMFHFCIGDTEHDWREGSEQYKFIEQCFASANRHKQPWLIFAAHRVLGYSSNEWYANEGSFEEPMGREHLQKLWQKYKVDMAFFGHVHNYERVCPIYQNQCVNKETSHYSGVVNGTIHVVVGGGGAHLNRFTTINTTWSLFKDYDYGFVKLTAFDQSNLLFEYKKSKDGKVYDSFTISRDYKDVLACVHDGCEPTTLAS >Solyc02g062953.1.1 pep chromosome:SL3.0:2:35450324:35453758:-1 gene:Solyc02g062953.1 transcript:Solyc02g062953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLSSINQAYAMVNQDESQRMVAGSSRIMSDMVPTAMFTSKSGPSSHKHRRPYNPNAFCDFCNIKGHMRTNCNKLLNVISVTKLIGYPADYKGKRDTIVAKNSTYHAGHSLYHDQMQMQFPYIPPSQHNESQQHVPMPLFTPLQHQKLLKMLDQTKLDGISGTANMKDSTSSVTCQDNECISSSQNCENCEIDSPDNSISYDTPSSPVRKSSRSSRPRVWHKNYAIKVGSKKCNYSIASVLDYTGLSPTYHNDLLITGSDSNMIHETKTALHHAFKIKDLGELSETEILIHQRKYTLELLADMGLSGAKPVSTPMELNLKLTSTEYDDHINSTYVDTLLEDPTMSKSIYACTKSFTYELSTQIGQIFEDRTKFGNSNVFCNTDWCSCINSKKSITDT >Solyc01g102320.1.1.1 pep chromosome:SL3.0:1:91016026:91017219:-1 gene:Solyc01g102320.1 transcript:Solyc01g102320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSCLFCFQVNKSLFLPDDILVKILCGLSAEDLLRCQLVCKKWNCLISTPQFNDMYLNGGAAVRRVIVRQYGKEEWGINILFIDDWYKKSRGIFKRKKKLRRTCTCEVLNCSLSDIFSYHGLLLVIYYGSQMRHVIFNPITGVQLSFQIPHTTVIALYYHPVHKEYYGIGGRYLGTTDQEFILLRIRSVLSNEVDFERGWRKLNYMLFPTPTCPAPIVKTDAIYWMAEQMHPSGNDGCCGVYVIVFDIKKEEFHVISHPGERYCCQVLEQENVRMDLIEKEKVLSFCVMTFDAEEIVKLELWDLEDEYRWSSSSETIILDTKLYHLNGSFNLAVRVVGMLNGELIISWLRRGVLAYHLQRRTLRVLDGLRGAHALIHNKTTFTFKGIPKIMNTPHI >Solyc00g007020.1.1 pep chromosome:SL3.0:2:32801257:32802421:1 gene:Solyc00g007020.1 transcript:Solyc00g007020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIRETSLHAQIQSLANIDVNPLYNRAIVDLLHDGLNKQIEVVATVRCLVKYDNQAPFYYMLCDSDGNSFVLTVFGIQKEAIKLGDQVTLLDPICKFVDFEWGGKHYQFKSVRVYFVKGIVVNGNPLRSNHSSLLDASHN >Solyc10g047510.1.1 pep chromosome:SL3.0:10:40935570:40937147:1 gene:Solyc10g047510.1 transcript:Solyc10g047510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHVLISIFATAGVGFGNGCAYAISIKVLYHRKISFLVMGYGWAGIMNKYVVEPAEMWWPSTLAQVSIFRALHEKENAFSVLCLAFPKSVLAHQLGSGQHGLGILSFTFDWSVFAFYTTPLKYNVSAIVMNTANYEAQGRITLSIIFSIPCGLGFATIIGPSPSSSSMGSK >Solyc03g051625.1.1 pep chromosome:SL3.0:3:22226447:22229374:1 gene:Solyc03g051625.1 transcript:Solyc03g051625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQDEYRNVLCRMMIIDELPFRFVEKEGFKVFMKVAQPCFHIPSCTTVTRDCSDIFDEQKYKLMVVFKETQQSMYLTTDTLTSIQRINYMVITTHWIDKNGTLHKRSINFCPITSHRGEDLGKSISKCLHEWGLHQIFTTTVDNAGSTSAAITELSKQLTKWGTNLVGGSHLHIRCMAHIINLIVQDGTKKVNVCIERVRQAMRYIKQFPVGWKKFQKCCEVENLSKKSVCLDVSTRWNSTYMILSKKCAIVEYADRDIGLALHLIWYLLNQLISSEDQVLAKIAENMKEKFEKYWGDTEKMNKMKHKSEKGGESSKSELVKCLDEETEIGKSDFDALLWWKVNSPIFPFLFEMARDVLAIPVSSVAYMCAFDWLRSEPQYISIEEDLDFLEQLEENFSKHGKQLCIDDINLPANCNVQIHCK >Solyc03g117420.3.1 pep chromosome:SL3.0:3:68033366:68053906:-1 gene:Solyc03g117420.3 transcript:Solyc03g117420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGACSRKRDQQVSEDSLHRGVSGRYSKSASSKWLGSSFSRGADAKQGKGKCPSLMELCIHRVCEDIDKYSTFSVLPRDISQQIFDELVCSQRLTDVSLEAFRDCALQDLNMGEYPGLDDNWMDVISSQGSSLLSLDLSGSDVTDPGLTNLKDCKNLQALNLNYCDQITDCGLENISGLTNLTSVSFRRNNTVTAQGMSVLSGLINLVKLDLERCPKIHGGMVHLKGLAKLESLNINCCNCITDSDMKPLADLTNLKGLQISSSKVTDYGVIFLKALEKLTLLNMEGCPVTAACLESLSGIVSTKLNCIEEIASVEYQLRPGNSNYYVFYKSYQCLQSLKVLNLGFNDITDAILVHLRGDLVFVYFFHLAGTFPASSVSTVGRLSYLESLNLDSCRIRDEGLIYLSGLHRLKSLELSDTEVGNNGIRHLSGLRNLESLNLSFTVVTDSGLKKLCGLSSLRSLNLDARQITDTGLAALTSLTGLTHLDLFGAKITDSGTSYLRYLNFITSNHLNSDYDFSGQLNLLIDFKNLRSLEICGGGLTDAGVKNIKDLTSLTLLNLSQNSHLTDKSLEVISGLTQLVSLNVSNSRVTNMGLQHLKQLKNLKSLTLESCKVTANDIRKLQSTELPNLVNYRPE >Solyc03g120820.3.1 pep chromosome:SL3.0:3:70561522:70569346:-1 gene:Solyc03g120820.3 transcript:Solyc03g120820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSDSSRIDEEYRSLPPLYFILTLIWFISVCSWTFNTCKNRHFQRLSIQERRITAALGCIFYLTHVGYRASVPYFSVLLTVGYVISFYVIFKHISQNLIVLQEQLTFIEDEEIPAMHEAIYTKYTMLKKFQNAMHMMAVAELAILINVDHSIGNYWLRLLVREWAQFCILSYIGWTFRSKDLAPRFSVMPVIKSLGEIMVPPIYSIEMDAATFRDFSTHGWHIGVPTSPKKGTLKNSVLVVVQHPHVCRLTPPDTHSQTEILDNVVEFGTTFRNKAWASNRSPIKNCSLASLVLEVQPVMLLCSVVIRLPGEITTTTARYNKSTPRNECRGLRPVPPEKGVFPLDHMHLCDLEKKEYLNCLKSAGHKSEECRHLSRKYLECRMEKNLMAKQDMSELGFGKNVDAGTKSEEKTDQTKEK >Solyc10g012300.1.1.1 pep chromosome:SL3.0:10:4842088:4842255:-1 gene:Solyc10g012300.1 transcript:Solyc10g012300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRETDFLVVSLDVVGRDNNVVEIKEKMLNMREEVVLCAIPIVGVGGLGKTTIAK >Solyc03g083430.3.1 pep chromosome:SL3.0:3:54725187:54734540:-1 gene:Solyc03g083430.3 transcript:Solyc03g083430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTLLEVTRASHEEVERFERLIVKDLQTEPATNKDRLHQSHRVRNMIEQIIDTTHKLVDIYEDKDGARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARAIIDTGDEYEQLLKEEPQIEFSGEEGSGRYLDLHELFNEYINSKFGEPIEYTAYLNVFSEPQKIPRKAKTTRRYLEYLQKLLEYLVYFFERTEPLQDLDRIFSKVTSEFEEEWTLGKVEGWENNGQENGSAPENYTVIDLDYYSTAEELMVVGPEKLKEALGALGLKTGGTIQQRAERLFLTKNISLEKLDRKHFAKGSWKPEQNSVVATSHVGDVKEIALLEAKIRRLCDLLDETIVRTRENVEKKQALTYEEMEAEREEDDVQAESESDDEDQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNHSYWGRRAYERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAKLLWERIQERQGVNKWRPDLEEEYEDQEGNIYNKKTYTDLQRQGLI >Solyc10g012420.3.1 pep chromosome:SL3.0:10:5059228:5063358:1 gene:Solyc10g012420.3 transcript:Solyc10g012420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNEEGEETAPSRNEWEVVSLIQSAYAAAPNSRQVDLVDDSSAEFVAETSDPMIMSGHFGFPPNQHENAPLQPSNDEILNEQGSEDATPEFVADKGVDSDADEVSTKTKGLSTTEFLGDQMFDEKVSLLSLSGAEFEEDVVLQGSSFVDKEQNFFSSTTFSSFNSEEPMNVSASTEESNMLAEPVELVHRVIDSGISNLPKAKAEDNDDAENLPCQAWWKRRAVSLLAHAKDANTFWSIFIAAAVMGLVVIGQRWRLERWQILQMKWQFGGHDEIMNRAFVSPISRLKEVIIGSGRRGSFIRGNASTQR >Solyc11g030865.1.1 pep chromosome:SL3.0:11:23575025:23578305:1 gene:Solyc11g030865.1 transcript:Solyc11g030865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVAQPSIEATYADFVSTRPLIKALTRSKRRCATGSTPFLPTWGVAMDAKMKTPQRQPTLTDISSIFVDGRSGESIQLDDAYLDASLHTTNIAIRAFFRGSLTYQGSMAKIVYRNEEDVSSVSIEVQSTSIYLYWLSYTYTRNPSVHLLVDVPMNRTEKPGKPTDSSKSDYSGYRVRVEDKGVLSLIATFLGHFALRVMPIQITEDHFWIDVLLESWEKSMEMGTSVDQPEPEPGHVPPAIQWRLLAEYSCTPLIGIMYLAKIEAKDLYDVKVEILNIMEVLDLTRDSLGRAARALENPRTATGENSLDKLNTLLLDLEFRGFTSESFSQLKGKVPLRRGWD >Solyc09g083100.1.1.1 pep chromosome:SL3.0:9:69208419:69209723:1 gene:Solyc09g083100.1 transcript:Solyc09g083100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CVT6] MDVKQPQPPPFTVKIRRTTSSDGSGSGSIILGKYQLVRLLGRGSFAKVYLGRCLDDNTEVAVKVIDKSSTAIDASMEPRIIREVSAMRRLNHHPNILELFEVMATKTKIYFVMELAHGGELFTKLNRRGRFSESTARFYFHQLVSALHFCHQNGVAHRDIKPQNLLLDKEGHLKISDFGLSALPEQFENGLLHTACGTPAYTAPEVVYRRGYDGAKADAWSCGVILFVFLAGSLPFDDSNLPSMVKSIHRREYTFPDWVSKSARRIINRLLDPNPKTRYGIEELMNTPWFKKSSSMKPEQSTKQFGEGILEKESKQMESINAFDLISMCSGLDLSSIFEEELNKKEMRFTTNVEVKVIEEKVMNVGKDAGYRVEKRKNGGIGLVKGRSVLLVEILELAKELLLVEFKVVNGGSEFEDRQWEELKAGLKEVAVSW >Solyc12g006060.2.1 pep chromosome:SL3.0:12:648703:656997:1 gene:Solyc12g006060.2 transcript:Solyc12g006060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPVPEMKKPDGNPAKYDLEHRYKGDDSWYGVLVTVDGETMTVKFEGYPEKFDVKFLADDLKSKEEMDEFVRRFRNVSPQLQDNECSSVKEGMIVCAACNAFGKDDMLFYDAVVEAIHKKNHTFHNGVEECTCTFVLSWLHGPKKDDLANSGIEGICIIKGTTQVDPRISSFLELVNQKHRKSSCKSTSTSEQDNSASKGSSRTKRVRYSSSEQKSDSSVKGISSARNAVDVRCTTEATDYSRHRDHDKDLGGQCSNYHLMLVENLERTLFPSSLRDFIHKHTSVWSQAYIYPCPSYMPYARGIIVVDCEEKLKKINRFLDNPAHLVVSSKGRPMVISEIDMRQSMIKTSLGSLMYSSQDVYQAKSICQDLIIVKTGTEAYRKAKQAKDLFLEFVSHQQRLYKKLALEEQISNGE >Solyc03g121480.3.1 pep chromosome:SL3.0:3:71056086:71058870:-1 gene:Solyc03g121480.3 transcript:Solyc03g121480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGYSVFSLRVTSFSPFSIFPSNTTYSSIPFKTAKLNSWGKRVVDSRVVNPFDYARNKPVLCFKVHATAAETDQPKWWEKNASNMIDIHSTQEFLDALSQAGDKLVIVEFYGTWCASCRALFPKVCMIAEKHPEILFIKVNFDENKSLCKSLNVKVLPYFHFYRGADGLLDSFSCSLAKLQKLKDAIENYNPAHAKES >Solyc09g064450.3.1 pep chromosome:SL3.0:9:61957387:61969754:1 gene:Solyc09g064450.3 transcript:Solyc09g064450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAITRKLGHQSLKHHSPAISSFKSLYPLSDDHYYGYLTPRYASNLATGGAGPLIRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSPRHLKLMGDLGQIVPMKYNPRDENSVKAVMAKANVVINLIGRDYETRNYSFDEVNLHIAEQLAMIAKEHGGIMRFIQVSSLGASPASSSRLLRAKAAGEEAVTRELPEATILRPSVMIGTEDRILNPWAFFAKKYGFLPLIGGGSTKIQPVFVADVASAIVSSLKDNGTSMGKVYELGGPDIYTMHDLAELMFDMIREWPRYVNVPFPIAKAIASPREFLLNKVPTPMPVPTIFNLDAIKALATDNVVSKDALTFEDLGLAPHKVKGYPVEFLIQYRKGGPNYGSTVSEKMSPESYP >Solyc03g007030.3.1 pep chromosome:SL3.0:3:1570963:1573515:-1 gene:Solyc03g007030.3 transcript:Solyc03g007030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTSIIQPGFSCGGALSSFTAKPRRVVMVRAQAINPDIKKDEAKVVDSVLVTELSKPLTAYCRCWRSGTFPLCDGSHVKHNKETGDNVGPLLLKKQ >Solyc07g016000.1.1.1 pep chromosome:SL3.0:7:6107784:6108674:1 gene:Solyc07g016000.1 transcript:Solyc07g016000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKYLQVGFNSLNGVLPNSIGNLSSTIENFEIGDSHINGLIPTSIGNMSGLTTFEENNFSGSIPSEIGSIKQLQGLSLFNNKFEGHIPEAVCHLSKLVQLFLDGNELSGLIPEYLGNLSMLQHFYLGSNKLSSTFPLRLCNMSGLLYLDVSENSIEGQVPSDIGGLKAIVELHLSNNHFSGMIPSQLGDLQNLKSLDLSNNSFSGSIPLSFANFISLEFLNLSLNALSGTIPISLEKLSSLRSINVSFNGLEGVIPSGGVFSNSTLQSFLGNKGLCGMHILEIPACAITTGKTIKA >Solyc08g078020.1.1.1 pep chromosome:SL3.0:8:62016528:62016986:1 gene:Solyc08g078020.1 transcript:Solyc08g078020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine rich arabinogalactan [Source:UniProtKB/TrEMBL;Acc:Q52QJ6] MALSHPMTIFSLFLTFLALTAAQSPMMAPTMPPSTMSMPPTTSTTTPPPMSSMSPPPSAMSPTPSTMSPPPMSPMTPSMSPMGPMTPTMSPMDSPPAPAGPGMAPGMSTPGPAPGPMGGESMASPPPSSGFVHGISISMAMVAIIGSVALFF >Solyc02g092030.2.1 pep chromosome:SL3.0:2:53876728:53880318:1 gene:Solyc02g092030.2 transcript:Solyc02g092030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTYLKCCNTEFFIYIAAVVFLVLCAGLMSGLTLGLMSMSVIDLEVLAKSGTPKDRLHASKILPIVKQQHLLLCTLLISNAAAMEALPVFLDELVPDWGAILLSVTLILLFGEIIPQSLCTRYGLAIGATVAPLVRVLIWFWFPIAYPISKILDRLLGKRHKALFRRAELMTLVDMHGNKAGKGGELSLHETNIIAGALELTEKTARDAMTPISETFAIDVNANLDRVLINLILEKGHSRVPVYNEHRTNIIGLVLVKNLVTINPSDNVPVKNVTIRRIPRVSATMPLYDILNEFQKGLSHMAAVVKKPDGTIANLSTRVREVRLNIPGEMFYQRKSSRARRSLRKSKRFSASISQRELSKSRRWSEEVHPEILPIKEKSLSALPSEEVIGIITMEDVIEELLKEEIYDETDHIDVNFMSRRTG >Solyc10g044915.1.1 pep chromosome:SL3.0:10:28863199:28864942:-1 gene:Solyc10g044915.1 transcript:Solyc10g044915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREHPALAEEEKRAEMWIAGFLVKHCNHVSACYFIHQFSLSQYSQEYTTKQCLQDTCNAFTTKQWWNIKVGNSQWKDFFWAKYCQRIKPVRSRGNYALDVWKVICGTFGIPSKDILLRQLLINWWRQNTSNPVYSIIVKYLPALTIWEIWKSRCEAKYGSQKINVNKSISQIAFNISQLVNHFLGSNKMKASWEEAESDTKLLVDCIKKKSTPPWTIANEVKRLQDLLNPSEYSITHCYREINQVADKLASLSHKYNTNILYSKTGELPSQIKGLITTDCWSLPIIRVSNRMKKDFTFNPP >Solyc08g080790.1.1 pep chromosome:SL3.0:8:64119773:64122069:-1 gene:Solyc08g080790.1 transcript:Solyc08g080790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRIVRQILLVLCFFLIYNVVQGVEELSKLEDMELEKQLKILNKPAIKIVKTKYGDTYDCINFYKQHAFDHPLLKDHNFHPQMEPTFAEIKQNSYDSTTNRLPTIWSKDGGCPSGTVPVKRITKEDLIRQRDLPSPEPAIFDNEYSNYNSEQKASYMPSYGGYKRAIVRTPKESTTKYTGAGMTTSLFNPHVEGKQISASRIRIEKGSEILQAGWRVDPTLYGDNKTRLFIHYQIGETQCFNTLCPGFVQVNRDIPLDSSYGNLTQRGVHTWEILMYIYRDQAYGHWWLLLGQKYDLVGYWPNIIFTELAQFATKIEWGGSVYSPPGVPEPPMGSSYFPIGNSSFDGYCRSIAYSNEEGDSVDIHNIFWYTDNIFAYKVIFKYLLEGSNNFLYVLYGGPGNKAQV >Solyc12g099260.2.1 pep chromosome:SL3.0:12:67439261:67445854:-1 gene:Solyc12g099260.2 transcript:Solyc12g099260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGQLFSKTTQALFYNYKQLPIQRMLDFDFLCGRETPSVAGIINPGSEGFQKLFFGQEEIAIPVHSTVEAACAAHPTADVFINFASFRSAAASSMSALKQPTIRVVAIIAEGVPESDTKQLIGFAKANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIQCKLYRPGSVGFVSKSGGMSNELYNTIARVTDGVYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKINKPVVAWVSGTCATLFKSEVQFGHAGAKSGGEMESAQAKNQALRDAGATVPTSYEAFEGAIKEAFEKLVSEGKTTPVKEITPPQIPEDLNTAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGLGVGDVISLLWFKRSLPRYCTRFIEICTLLCADHGPCVSGAHNTIVTARAGKDLVSCLVSGLLTIGPRFGGAVDDAARYFKDAYDRGLTPYEFVESMKKRGIRVPGIGHRIKRGDNRDKRVELLQLYARENFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKPEIDEIVEIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Solyc08g062930.2.1 pep chromosome:SL3.0:8:52409455:52411895:1 gene:Solyc08g062930.2 transcript:Solyc08g062930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNTTERSKWIFVLSTSRVLYVGKKKKGVFQHSNFLSGGATTAAGRLIVYDEILESHFALHAICPYISHYLPTEDNFKKFINFLEEHHVDLANVKVMILAMQILTFQCSANFAPVLLMLIGKSLTFYLQNCAIDNDRLLLESNKDMEKTLSQNCDTDKAKDDGMVSDVLTITAHEEKFTHTSKNKTEEPVFDLTKRLSYKWISGVASCIGCVRDYSIDLQSQALETVNLSPRVHLSQPKNYYQIPSQRPSPKILVSPRLAYMGLPSPRVSVTTS >Solyc07g052453.1.1 pep chromosome:SL3.0:7:61077984:61098435:1 gene:Solyc07g052453.1 transcript:Solyc07g052453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYKNEEETSDDHNNDEQEESGWTTYLEDFSMEQHNNNSINNNNSEISTYCDSFGSPSLLSDAASHVVWNNNHQVMINTSNYGSPFLKRLNLKKPRNNKISDPDLQDTASSPVNSPKVSSFNKQMDINYRRGDNNNNGNFQRVKVFVDSSFIAGKDNASKESDIEMGNRFTRSQSDSGIDSFNKQIQEIEKQVDRLSGLLKTLKDANEETKSVTKASAMKGQISAISLCLPAYQSSQESIFYLFLAIRKRMEKDIDEVGKIARNVKAKIEATNKENLANLQKPGCGKGTSVDRSRTNMTNSLTKKFRDVMTEFQTLRQRIDNEYREVVERRVITVTGTRPDEETINNLIETGNSEQIFQNAIQGMGRGQVLSTVEEIQERHDAVKEIERKLLDLHQIYLDMAVLVEAQGDLLDNIETQVRYAVDHVNMGTDALQTAKSLQKKSRKCMMIAIILLLIIAAIIVLSVIKPWKK >Solyc12g015900.1.1.1 pep chromosome:SL3.0:12:5884990:5885886:-1 gene:Solyc12g015900.1 transcript:Solyc12g015900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSSLESEASMNQKQLEDEKQTEETIVNKFGEDFTTCIYVAKIAHIFHAITTTWSKNMTSHTLDIIVEHLSEERHFTCKIDLKSWQFWGKKGLKSFRVGEKRTDIYWDLRSAKFSTRPEPVSDYYVALVSEGDMVLLIGDQNKEAFKRTKSLPAMLDASLLHKKETIYAKKCFCTRTMLGQGKKQHDITIESVLSGPSDPAMQISVNGTVAIRIANLHWRFRGNEKVFLDNVPVEIFWDVHDWLYSGPNYGPGSFIFKQGKLEERYSDSETNINDENCDLQSPCTEFCHFLYAWKTE >Solyc03g118400.1.1.1 pep chromosome:SL3.0:3:68775849:68776061:1 gene:Solyc03g118400.1 transcript:Solyc03g118400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIISFFNYFILYVIGVVYLSISLSIYINKKNCLYLFCITINNYSYSQKAPFFLIVFFLIYKFEEKRKC >Solyc04g074110.3.1 pep chromosome:SL3.0:4:60202571:60209230:1 gene:Solyc04g074110.3 transcript:Solyc04g074110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSSLNWVNSLVWVLREKNPNTVVIKKSTHLALRLGQLGSNLLSPFHTPPSPFYIPSYGQITCLMSQFCLNFKKTMISFLWLILLTHIRWVNTADLADVSTSKVLNIFILAGQSNMSGRGGVINHGQNGLANETWDGVIPPECQSNSNILRLNAGLTWVEAQEPLHQDIDLGKVCGVGPGMSFANSVLKRDPSIGVIGLVPCAIGGTNISEWARGSVLYNHMIRRTEAALQGGGKLQALLWYQGESDTETLEDAKLYRFRLKRLFKNVLRDLQSPTLPVIQVALASSIGSYMEQVRKAQLSINLPNVRTVDAKGLPLGMDYVHITTLAQVQLGQMLADTFLQTQQTTQAVLLPFQTETVTSNAPIRCSNFVMNLLLNPFRSSITCNKHSGVVPSSPEKGIKLTIKGIDRRKKLNKDVMLNTLDKAAKAHKLIITLLSSHMKYVLKNVGVESVAVNLPRRGWGVGKRLFNEA >Solyc02g071210.3.1 pep chromosome:SL3.0:2:41252297:41258436:-1 gene:Solyc02g071210.3 transcript:Solyc02g071210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSLSLSYPIHRFASYNKQLCALRSPQSHHTRISQHKLQQSSSFLGYNPLRVSIDVSGEMNMKRRGGVVCSAAALTPRNLQWVCTISSVVLMLAKGTAIHKSFLVPLFALQAPTAVVSWIQGEYGIWSAFLALLVRLFFSFPGELELPFIALLMVIVAPYQVANLRGTKEGVILSLAIAAYLGFQHFTRAGSLQKAFDQGSIIATLAIICILAVPCLLLI >Solyc05g050510.3.1 pep chromosome:SL3.0:5:61495893:61497444:-1 gene:Solyc05g050510.3 transcript:Solyc05g050510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4C183] MEEGLVGPRIYSCCKCRNHIALHDDIVSKYFQARTGRAYLFTHAMNVDLGENEERQLMSGLHIVADVKCSDCGEVLGWKYEKAYNETQKYKEGKFVLENFKIVKEGFYEHVSSG >Solyc02g064960.3.1 pep chromosome:SL3.0:2:36641329:36645265:1 gene:Solyc02g064960.3 transcript:Solyc02g064960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLNNSPDHIKDYESEEGKGVGSISNSSSSAVEELYGSEEEDEFLEQNGLKGKKKKNIPSKIFGFSMIAPPNNNNHNDDNLSSESEPPVTRQFFPVDESEIGSGNFNDRSCRFPRAHWAGVKFYQPEPSANSPALLGKGSELSQQVQPMKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGMEADINFNLEDYEEDLKQMKNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQLLGKKYVYLGLFDTENEAARAYDKAAIKCNGKDAVTNFDPCIYENELNSSECSNKAADHSLDLSLGSSSSKQNSREMEDTNKNQNYPSIQFDVDWRHQGSKPKQPSPLDMEYGRRRNVYNETETLQLFSQTHLHSPGSFKTNSNEMQRFGQYMRAGDSQMIPPQFTSSNYQVQFPSSSNRRDTQQWQSNTVPPPHLFATAAASSGFPQQILRHQNWPHKSGFNYSLTRPSN >Solyc04g026250.1.1.1 pep chromosome:SL3.0:4:18160542:18160934:1 gene:Solyc04g026250.1 transcript:Solyc04g026250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPVDDMPIQPKNTPITQSSSHSNGSFGTVFIILAVILVISLISCVIERICNKRRNGDHHHHSPKQRHEIHTKGREGHHDIEYGSDNKRIPNSKVAASNGDHSNIPTSSMSFDDIGPHGKGGVRFAEDH >Solyc09g059140.1.1.1 pep chromosome:SL3.0:9:53693968:53694369:1 gene:Solyc09g059140.1 transcript:Solyc09g059140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYSTIEITHLERVKNDKDAKESNLSAKGNLHTLSMSWDDDEPHKYDSKEVKVLESLKPHSNLAFLKIYGFREISLPVWMNHSVLTNVVDIEIADFKNCSCTYTHTHARTRTHTHTHTHTHTHTHTHTLNSQS >Solyc09g007550.3.1 pep chromosome:SL3.0:9:1150565:1153430:1 gene:Solyc09g007550.3 transcript:Solyc09g007550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGDLFSIHQQQHQQVLVEQNPNPKANSSSKKRRNLPGTPDPDAEVIAISPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKQEIVKKKVYICPEKSCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHTKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITTTNNLNFNQQQQQPVGVSQIGTSFAQDFTSMTTVNPLHQHQQKPRLSLWLNQANNNMSSQSSNLFGLPDHHMVQIPSHNMFGTNQILNPTPTTTTTTNTNTNTNTPATPNPPIPMSATALLQKAAQMGSTKSTPNYFSNTFGVMHSSSSPSSNNTTQIFNNNEIHQAFAKQTEDYNQTENILINGCPNMNSSVNSKAINLDQALLQTGGMHNQNVHFNLHNSLTRDFLGMGNEGGQPFLPQELAKFASINSAMGLSHYSTGH >Solyc04g080770.3.1 pep chromosome:SL3.0:4:64946191:64952519:-1 gene:Solyc04g080770.3 transcript:Solyc04g080770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCFCSNLKPSILFPESKFRWIQTRTRPVSFTKLIQTKYSYRGCIKAVGEEIGVLSPDDSLSERNPVIEEEPVPETEVNEVENGVASVVAEGKAQTWISKSENKEKLDEEDENASRFKLRNGKEVFEEKAYLVGVACKGDTEDSFGIEESLKELAQLADTAGLLVVDSTYQKLSSPNPRTYIGSGKVSEIKSAIRAFDVETVIFDDELSPGQLRNLEKAFGGDVRVCDRTALILDIFNQRAATREASLQVSLAQMEYQLPRLTRMWTHLERQAGGQVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRVSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDQLFATLDPTTRRVQMKNGKEFLLTDTVGFIQKLPTTLVAAFRATLEEIAESSILVHVVDISHPLAEQQIEAVEKVLSELDTSSIPKLMLWNKVDKAEDPEKIKLEAKMRNDVVCVSALTGEGLDEFCNEIQNRLKDAMVWVEALIPFDKGELLSTIHQVGMVERTEYSEKGTLVRAHVPLRFARLLTPMRQMCVS >Solyc02g072090.2.1 pep chromosome:SL3.0:2:41931915:41941119:-1 gene:Solyc02g072090.2 transcript:Solyc02g072090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAVRDRILKDANGNISDHLRNHIHLTNCIHLKNHMHKHSPILADRSLMRDLVVLQRSRSLRDPSASPPSWQSPSVVDALLKRSERDAVMSNGRRSVGIDRPRDGRGLSGNSPPLAVRSPSRVASAEINKHNAERMAGAPSDRSSKSGVRERRRVRGEESSRRNLGTDYIAEKDECPDDRNDLVHDPASENSEQRGRISNETERQRHDHRTRTLSEQLNDVPIDSDGVASSHIHARGRHNHNEKIAEQMEATTRGNGRVKRRKFRGARRTRTSVPSRDALAHNEMSVASNSLGQASAHQKYPAEDGYEEYVNQNVTRDPRNGCGIPWNWSRIHHRGKSFLDMAGKSLSCGLSDPRSKRSGGGPRGGDAADMPIMSEYSSSSSKSEAEALPLLFDASNSQGSSDHPAWVHDYSGELGIYADNLLKQELDSDLASEARSGEQRKFRTRGNSRHQSLTQKYMPRTFRNLVGQNLVAQALSNAAVKRKVGLLYVFYGPHGTGKTSCARIFARALNCQSIEHPKPCGFCDSCIAHDMGRSRNIREIGPVSNFDFENMMDLLDNMIVSKLPSQYRVFIFDDCDTLSPDCWSAILKVIDRAPRRVVFILVSSSLDVLPHIIISRCQKFFFPKLKDADIIYTLQCIATKEDLEIERDALKLIASRSDGSLRDAEMTLEQLSLLGQRISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKHLRDIMESGVEPLALMSQLATVITDILAGSYDFTKERPRRKFFRRQAISKQDMEKLRQALKTLSEAEKQLRMSNDRLTWLTAALLQLAPDQQYMLPNSSADTSFIQSPLDLNNAGGTERPRKSNVEHADMLHKNRGFPSKSRVENFQAGCSSDIYSDARMKGVHIGGKGHNGTGEFTQKAYGVSSDKNRTSSGQVTGKLHQDIEEMWLEVLENIEINGLKEFMYREGKLTSVSFGAAPTVQLLFSSHITKSKVEKFRGHILQAFESVLGSPVTIEIRCESGKDGRAGPIVLSAPHGVSHIGTNPGIHGNGVRMAGPDEISRAQVNDSEGLAFRKLDSRGIGGSEIVEEEASPRESKHNDQIDNNTQFDRRNLERDFPGGIMSIAKNSSTSIPERRNLGDRSQSLSLVKSKVSLAHVIQQAEGCTRQSSWSKRKAVSIADKLEQENLRLEARSRSLLCWKARRVTRRQLSRLKTRSRRPKSLLRFVSCGKCLSGRSPR >Solyc07g054115.1.1 pep chromosome:SL3.0:7:62630858:62632560:-1 gene:Solyc07g054115.1 transcript:Solyc07g054115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMVPENMLLERSTVITLVGNRGIGPVNLFVAKSIQRRSLIFFERSGTFPPISLFKNVNPGNCSQNQLGTSLESPETEKSSSEIYNSLNDDNRKRSGTDPVILFQDKSRRTRLGSSPTAPGMDSFNLLRPSLSSLKDANFATISVSEPPMYSRECDTHDKLFIISGSCPSRLLEDSCNNFKSLLLPRHLGKPPPSIINVTYGKVSQLMKTRNPLKLKSRVFKLERSPSLGTVVKSSPIQDKLRYSNLLRVPRKSGLILPINGAMAADALGPEGPRRSSLMTLLNIDQSTDKDQMRKI >Solyc07g042030.2.1.1 pep chromosome:SL3.0:7:55018615:55021530:-1 gene:Solyc07g042030.2 transcript:Solyc07g042030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLTIRQARQKTRALFNHPPYSQSAINKNTLLFYLFYSTDTPFAASSKAIPSYPYPFLPVSSNVLSTSRIGELQQKDVVLSFKEWFMTRKNPLFDQIFEILRTKDDITADISMSRFNLRLSEALILDVLNYEKNKDVLSCLKFFDWAGRQPGFHHTRSTFNAIFRILAKAKLMSLMAEFLDKYMKERYFHKARFYNTLVIGYAVAGKPELALQLFGRMRFQGVDLDAFAYHVLLNALVEDGFYDGFEMVLKQIKFRGFEDAITHAIFVKSLCQQTELDRAEEYLRDLLRNGGVGLSGIVVANLVDALCKNKKFTRAASLVQEFRESGLVSMEQAYSVWIKHLARAGELSEAVEFLKGKKLIDGYVPDVFRYNSLVCRLLRENRLEEVYDLLMDMKDQDIIPDDVTMNVTLCFFCKVGMADVAVELYDSRAEFGLSVSSMTYNYLINTLLGDASVDEAYLVLKNAIQQGHFPGRRTFSIIADALCREGKLDRVKELVLASLERNCVPSDSTYNKFISALCRASRVEDGYLVHGALSRFDKVTSRATYFDLISGFNKSSRGDIAARLLIEMQEKGHSPDRRLYRAVICCLCQMEDPDKLFYSLLEVQLSRHEPSCLVYNYFIDGAGHAGKPELARDVYEMMKRNGITPNLQSDILILQSYLKAGKIADALNYFCDLSNRRGLGRKLWNNMVVGLCKANKPGNAWNMFWEMRSTHLRPSMECYEELVKLLCSHRDYYKAILLVEDLMQVGRQVSSFIGNVLLLHSLQTHRVFSAWMHSRDLSNTKDNSLALGDLIKTFSGGSDLESDILQIEELIRQCFPLDIYTYNLLLRKLTISEMDLACSYFERLCKKGYEPNRWTYDILVHGFLKVGRSSEARRWMEEMFSKGFDLTEATKSFV >Solyc05g041285.1.1 pep chromosome:SL3.0:5:51948057:51948652:1 gene:Solyc05g041285.1 transcript:Solyc05g041285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMRANNVFSGNCTRSCLTNCIVVETNKSLKDDLNWILTALTIPDDGKASVSQFYTTKIVLMYNIFSNSCIIAIDLDRDAYEIELPIIKRPNIEHKINFIQPSAYQPMMNS >Solyc10g085410.2.1 pep chromosome:SL3.0:10:64730076:64732118:1 gene:Solyc10g085410.2 transcript:Solyc10g085410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGNRRSKGKTASKSGRRRRRSSDDIASDSDSISHTESESESDSSPPSSSRRRSSSSRSKSRAQKKAAKVAKKLKTQNVSGYSNDSNPFGDSNLNENFVWRKKIERDVTRGVPLDTFSMKAEKQRQKERMAEIEKVKKRREERAIEKAQREEELQMLARERARAEFQDWEKKEEEFHFDQSKVRTEIRLRQGRVKPIDVLIKQLEPSGDFDVEIDEPYVVFKGLAIKEMEELQEDIKLHIDLDRETPLHIQYWEALLVVCDWELAEARRREAMDKARVRGEELPHELLAEERGLHSSIETDVKSLLQGKGYGELEALQSQIESQMRSGTAKVVEYWEAVLKRLQIYKAKACLREIHAKMLREHLEHLEKPVESRDVEVEETLRTDEEDTDHEQNDVRAISPEPILNEDRAILERKRMAVLEERRAQDKILPPTPAEDNFEKKASKTMGAMEEGDVVFGSNDEINLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKARAPTYVIEKDGDSADTCIIKFHAGPPYEDIAFRIVNREWEYSHKKGFKCIFDRGILHLYFNFKRHRYRR >Solyc05g045820.1.1.1 pep chromosome:SL3.0:5:58445450:58445659:1 gene:Solyc05g045820.1 transcript:Solyc05g045820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVDAFLLRMKTKRDLLFNRKIWSRRSSISPEFVDFSIRIYNGKTLVHFKITEEKVGHKFGEFPFTRK >Solyc06g071240.2.1 pep chromosome:SL3.0:6:43969724:43971210:1 gene:Solyc06g071240.2 transcript:Solyc06g071240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRTFLKWFAIHLCYSFSTKIVASLPTAEVEPIRSNYSQCWKVVEDFLLSVSMFKVCPLILYRLGTKLTRAEVADKVKYFFKYYSIPSIDGQRRLAQVVRLTLESKHPY >Solyc07g063350.3.1 pep chromosome:SL3.0:7:65969829:65975585:-1 gene:Solyc07g063350.3 transcript:Solyc07g063350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRIRLDYALFQLTPTRTRCDLVIFAGEKSEKLASGLLEPFLIHLKSAKDQISKGGYSITLRPLIANVCWFTKATLQRFVKFVSTPEIIERFVSIERDIMQIESEQANGSINVEGNASAFDGDSKFSAGFSKSNGESNGVGDATKEENPKIRLQRVLESRKAVLRKEQAMAYARALVSGFDMDNLDDLISFSNAFGALRLREACIKFMELCNKKRDDGIWMDEVAALQAYSPSEFSYFGRSGITLAADVTQDNQSIDLSIRKQNDDTLSHGSLDTSQENGLPPPIKVHSTEGKSQPMWPNNMPPYLQNYQNPAFQQIPPYPGYMFSGNPSYYTGMPWPVNPEDSSRGPGPESDYNWKNKPPSKNKKKYSNGDRNESNNSSSNGDSDDYEEDKKMHHGKKSSRKVVIRNINYIASKRNEQSDHSSTEDSSSDEDGSTDAGSLRKQVEEAVGSWERHHNSTSRNKKKRDGKKRNNSGSISNGASKDEVTNSGKNWDIFQNILMQDADSRTDDTRPKDVQEEYLMTKKMTPSTDPLIINERNMGHGDEIPRQNMWEEKRRGPVIIRESTDEELLFSHRTQEPKGYPQSISSNIDTERVVLKSQKEEDWLAGNLLNKSTYQGKSSDQSIFVGDYASASHDDHLKTGKDKKGVQFDDSIVVRAHSVDNVSDYDQQTDIFMVLDIVGAEQVKHSMPNHAEEKLDPSDACEPNDLFMVLGRDSAAEQVSASRDTEMYDENDVFLSETLKSHTDIRPASAETKLQTKGEGTNKRIGRDLGRKAVIKEPKSKTSVTGSLGRTKSDTSSRIKKSPSTFQKSKADKDEESRKKLEQSLLQRQKRIAERSGATGLTKPTSRKNPATSSTIEKSKPEATNRLQKTVFKSSTIERLASTTTRTAKDRSTDSKTTPSRKASRKENKVIAPTKKSAGKESTKQGPRKSKPSDTKGHSSSEPPQKEKDSNTGVNSMLNERGAELSPQVSSEVVDAKNTEEVRSISLIEKKIDTPMISAEHSIDDKKQSPNKAVKFLLSEVETSAAVDNAIGVISHLTSSVSNVHLDTPVCQDILSNEVSTPPPNNEMNFETNQGRRKWTTDESSLRVTKGFRKLLYFGRKN >Solyc12g035960.1.1.1 pep chromosome:SL3.0:12:44566010:44566162:-1 gene:Solyc12g035960.1 transcript:Solyc12g035960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKARINNSNCMYGQFLNILFIRNKIISLFVKKTCFLGERYYFTNQVTDI >Solyc01g095400.3.1 pep chromosome:SL3.0:1:86545355:86548249:1 gene:Solyc01g095400.3 transcript:Solyc01g095400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHSFAALDITACPEEQVISCNQIDTEDVENENQDESVVAMIEEPHSGDEATNADDSSWNFDSPRIIKVETLHISSPILAAKSPFFYKLFSNGMRESEQRQVTLRINASEEAALMELLNFMYSNKLTTNTAPALLDVLMAADKFEVASCMRYCSRQLRNLPMTPESALLYLELPSSILMAEAVKPLTDAAEQFLAARYKDITKFQEEVMKLPLAGVEAILFSDDLQIASEDAVYDFVLKWTRTHYPQLEERREILSSRLGRCIRFPFMSCRKLRKVLACNDFDHEFASKLVLEALFYKAEAPHRQRSQAAEDSSSTSHRFVERAYKYRPVKVVDFGLPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVTFAVDYEFAARTKPGEEYVSKYKGNYTFTGGKAVGYRNLFAIPWTSFIAEDSPYFTNGMLHLRAELTIKS >Solyc03g062750.1.1.1 pep chromosome:SL3.0:3:34285716:34286084:-1 gene:Solyc03g062750.1 transcript:Solyc03g062750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQMGIRFHPTDTELINYLKRFFKGELCLNKQSPIQFADIYGDQPPWEIFEANSCKEKFRYFITPLRKRKMKDKRFCRTCAKGTWKGQTAEDLIRRNGMGPVVGFKRNFRFETSVCGQNKT >Solyc07g053520.2.1.1 pep chromosome:SL3.0:7:62070747:62073917:-1 gene:Solyc07g053520.2 transcript:Solyc07g053520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLFYRTESIKSNSIIAHYCLNFTRSFSSTSDLHSSSLWNLLKGRITHNHLRQIHARVFRIGAHQDNLIATRLIGQYPSNFSLRIFHQLKIPNIFPFNAIIRILSEEGSFTDAFLVFNKLRGQSLLPNELTFSFLLKACSRSGNSYYVQQVHTLVVKLGFGEDPSLCNGLLSVYARGLKELHSARKVFDEMPEKDVVCWTCLISGYAKFGMSEEALGLFLCMVKKSLIPENDTMINVLSACSKLDMLNIERWIGNFWYSMQQYDLGCSGCDSVNTVLVYLYGKWGKVDKSREIFEQISGDGKRSLVPWNAMIGAYVQNGCALDGLCVFRLMMELNCCCPNHVTMVSVLSACTQVGDLNLGVWVHEYMQGSRQKSFLLSNANLATALIDMYSKCGSLERAEDVFDQLFVKDVVSFNAMIMGLAVNGKGKEALKLFSQMLDLNLRPNAGTFLGLLCACSHAGLAAEGRQIFKEMSQCFRIAPRLEHYASYIDLLARVGYVEEALQVATSMPFKPNNFVWGALLGGCMLHNRLELARIISSILVEVDPNNSAGYVMLSNTYAIDHHWGAISRLRLSMKEKGVVKQPGCSWINVDGVVHEFLAGSSSHPQNERLHTELQVLQKEMKLASV >Solyc10g084710.2.1.1 pep chromosome:SL3.0:10:64257521:64258519:-1 gene:Solyc10g084710.2 transcript:Solyc10g084710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHRPFNNGNHQQRGYNSRRFPNYQPPGQYNLYGYVNPNAYDASFAQHEHIAPQFRNGNHQQRGYNSCRLPNYQPPGQYNLYDYVNTNAYDASFAQYEYIAPQFRNGNHQQRGYNWRRLPNYQSRRLPNYQPSSQYNLYYYVNSNTYDTSFAQYEYIAPQFRNDTHQQRGYNSRRLPNCQPYNQYNLYDYVNPNAYDASFAQYEYVAPQFRNRNHQQGGYNSRRRPNYQPYNLYDYVNPNAYNASFAQHEYIARQVRNDNHQQRGYNSRRRPNYHQQRGHNMRRRPNYHQQQGYNMRRRPNYQPSRQYNLEDHINTYLYGTSFVNAYRFQRV >Solyc06g072450.1.1.1 pep chromosome:SL3.0:6:44831742:44832266:-1 gene:Solyc06g072450.1 transcript:Solyc06g072450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINVTEMNSVQLHQSRMAMLSESIKSIAFKKQQITKEYEKGDEVEVASQELGFIGSYYAATIICSTGDDYYRIKYKTLLTDDESEPLEDVFSATELRPVPPHQHEKIPENGFRLYDMVDVFDNDGWWFGFISARVGDEYYVYFPTTADNIAYPPHLLRIHQEWSNGKWIFLP >Solyc04g024800.1.1.1 pep chromosome:SL3.0:4:28618334:28618540:-1 gene:Solyc04g024800.1 transcript:Solyc04g024800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATTSRSSQLNSGQQAPPTTRTGDPIGEQTKLLQPPSEVSRRPQAHNQGQRQQRLRRTIINNIDIR >Solyc09g018520.1.1 pep chromosome:SL3.0:9:15150407:15150882:-1 gene:Solyc09g018520.1 transcript:Solyc09g018520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYDVLIVAVSPDGKNVVVSLSDNTINVVFLHRIRVNSLFSIGSYLDLILMTSICFQVFYMDSLKFFVFLYGHKLPVFCMNTSSDGDLLVSGSTDKNVKIWVLVLGIFISHFLCSFMGVKIVSNTHWGKFV >Solyc02g071130.3.1 pep chromosome:SL3.0:2:41178986:41180342:-1 gene:Solyc02g071130.3 transcript:Solyc02g071130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCQVKKRVERSYQDPSIVITTYEGQHNHHLPATLRGSVARMLNPSMLAQPSPLMAPQASFHQEFIMSQMPQFYGHANVFANSSMYHQNLNHHQQMQLPHPHHDYGLLQDMVPSMFNLKQEP >Solyc12g094615.1.1 pep chromosome:SL3.0:12:65762557:65765561:-1 gene:Solyc12g094615.1 transcript:Solyc12g094615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTATEHSLFFGVPSLIRLTSVSMNTINLESTTKLVHHFHPRGSRVLEILAFEEAENCSFHTIVGSNSSEQSLGLMTSAPKFPPFSDMYGSSKRNKLIVEEVNSPGRLRQSSRVRKPNLFPSLSMLAPSAFLSSFVDSATIKSIVSSMS >Solyc01g013860.1.1.1 pep chromosome:SL3.0:1:10191660:10191929:1 gene:Solyc01g013860.1 transcript:Solyc01g013860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDHSKLKLCIPIGKIFEGITTKKCLQKFHLESLGKLGDSFLKCDVSRQLFKNYESQHEGLLRIKKIQSFPILHFATLDVLVKYEIYA >Solyc07g043100.1.1.1 pep chromosome:SL3.0:7:56763851:56765257:1 gene:Solyc07g043100.1 transcript:Solyc07g043100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEH0] MENTKQIVFIPGPGMGHLVAAIEIGKLLISRANWLSIVFFVIDLPIETRVHTYTNQSQLINKRLLFLHLSSPKTQTNHLSNRKTLLVETFNNSKELVRNAIIQNFMTTSLVGVVVDMFSDKMIQVATELGLPSYVFFTSSAAFLGLMFYAQILKDEHNQDISDFNNSDTLLPVSTYIHPLPAKVLPNAMLDKIGRLHLTLSTARMLRKVKGIIIKTFVEFELHSMNTLDNDDGVSVLYPIGPMINLNHEPDESINSWLDEQQDSSVLFLCFGSYGSFDEEQLKEIAVALDHSGCRFLWSLRQPQGKGEIGASDDVAHPEQVLPDGFFTRSMNRGKVIGWAPQAAVLSHRSIGGFITHCGWNSILESLWFGVPIATWPMYAEQQVNAFEMVVDLEIVVDIKMEYRSESPVLVTAEEIECAIRRLMFDSKEEKNGIRKKMEELKEKSRKTLFEGGSSYCFLESLITEFND >Solyc09g055547.1.1 pep chromosome:SL3.0:9:42195838:42198589:-1 gene:Solyc09g055547.1 transcript:Solyc09g055547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNDISVLKSQDALEIPYLFAIFGESIMAENVITDKVVGESGVSNSNAIDQSQIVESQVKKGRKKYLVRGIIFLVKLILIEMKRVFATTVRKSIFADTKEHGTTSILTHISKCPKMPYNIYIRQSRLAFQPMIGGNKGDVVVVPWKFDQEDCRKSLCRLVIIDELPFRFVEKEGFKQFMKVAQPCFHIHSRTIVTHDCFNLFDEEKHKLMVVFKGTTQRVSLTTDTWTSIQKINYMVISSHWIDKNWTLHKRIINFCQITSHTGEDQGKSISKCLHEWGLHHIFTFTVDNAGSNSVAITELSKQLTKWGTNLMGGSHLHIRCMVNVYVERVRQAVRYIRKSPARWKKFKECYENENLAKKSLLKRVIEYEGGIVEYADHDIVLTLHLKFVDMVNKNSTGTLLSSDWEGVKRITLFLVTSNIHFLEICQVGIYLNQLISNEDHFLAKMKMVFIPCVFDPHHKFITLGFALMKMYGEKGAALEIGVRTYMESLFNEYTKPVDSDKNGKFSSTEVDTSYSRSIDSRSGGEFRFFFEELPHHTPEKGRESSKSELFDILLWLKVNSPRFPILYEMARDMLSIPVSSVASECAFSTRGCILDSFRISLTPKLVQALVCLLDWLRSEPQPISIEEDLDFLEQLDGGEKRTSLM >Solyc01g104432.1.1 pep chromosome:SL3.0:1:92712167:92724301:-1 gene:Solyc01g104432.1 transcript:Solyc01g104432.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKKGHIGYCMGNRDVVKACKDKSQEDLRDRLHKIGGGQVQSISGMQSYQEKIQRELRFEDDIELNLVPQTFLLSPWIHSRPFSPLKPTSSSSTTSIFSPSRNAWALSTSATVSHNNLHCLHVQCHLPTFVLWSSAARNDSIKLEEHFNNQRFSPINCYSVQNVAQGSYQHPWHTRIGNLNIFDARDFGCTAALDAEHYLQEIGALEGPVSSFSCPCYPYLFYFPRIMEVNPSPVTPPAETQPSVQISVEHPNLATSILYGVSSLPVSNNPAMIRQKYSSIIRHSSLSKTYVAPKYKPTSDIPKGRSWILCEACLVVCVMLWLIDQKCKNNTYHMQRLQVRFSFSWMKKTLLTDQEPLIGVTAFHESFSRIKTGTPCFRLACKLKLLKGDKEMEQRGRYQMEMKILGALVFNLIGEWVKEWLERAVEEEEARDSCLELSRSRFINRNNFKQRCSLGFREPELFENIVAGWRPRAINTAKKIVNEETVVYLYFLVYTKYRTEVACQFLDKSQRDVWKKKFLKIKKTLGADLPDGLSCAVSSINMDKLPKRLRGKGTEVDVLFSTDAKEGNIDSVEQAWLPLQLKYWNQGDRKYIKLKRTSFDTSRRGTAIADRGVERSVVVVGDTSSIAPRPISLGGMSGGYELQDKPIEVPSGLLNSSSVEGEAGKLKFEIAKWKSSEEVIVEEGEAGKLKFEIAKWKSSEEVIVEVH >Solyc07g009575.1.1 pep chromosome:SL3.0:7:4752817:4755131:-1 gene:Solyc07g009575.1 transcript:Solyc07g009575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVNSIEVALGGLRSSGLSFDLLLTDIHMLKMDVFQFLQEIAKEFDIPICFMSNDKKDSTLIKGLDNRAVFFTLKPITQDGINYLWNYATSLGKKKHKGKQVVQVFEENTNEKILNEVIDIESPSFVSKKDKSIRKYDDVENKDYSSQRAKKSITLWRDPLHNKFVEVVDILGVKNARPKKVHELMNMPELTKKQIASHLQKYRLTLDKKPNPKLVLDSSKTTSGNANQLSIKTDNISALAPQVMTKNLNNAGPDTEPDLRNFMSDYEKDRNFSNILSRHLNQKPCKLCTNLTRMRRG >Solyc08g022220.1.1.1 pep chromosome:SL3.0:8:30088186:30088485:-1 gene:Solyc08g022220.1 transcript:Solyc08g022220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLRRLLLLRELAMSERVAREVLLLRELAAERVAREVLLLRELAAERVARAVLLLQELAMSERVAREVRKRERRSQAVRKRERRSQAVRKRERRAVV >Solyc08g005600.3.1 pep chromosome:SL3.0:8:446237:449541:1 gene:Solyc08g005600.3 transcript:Solyc08g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDKHAQYPPGGQYPPGGQFPLGGQYPPGQYTPALEGYPPQQGGYPPQQGYPPAQGYPPTGYPPQGYPQAGYPGQPAPHHGGHGMMAGLAVAGVATAGAAAYGAHHMGHGGGHHPAQGTAHYGHYDHGKHGKGHGKFKQGKGKGKHKKGKNHGGKFKKWK >Solyc04g081755.1.1 pep chromosome:SL3.0:4:65769982:65791418:1 gene:Solyc04g081755.1 transcript:Solyc04g081755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSIMVIFFISFLLLFINCCEGKVQLPNNMVVKAVFAFGDSIVDQGSNNYVSTIVKCNFPPYGKDFNGGLPTGRFSNGKTPPDLIVEELGIKDFVPAYLDPNLKIEDLITGVSFASGGCGYDPLTATIVSAIPLSTQLNQFKEYLSKLEVLVGEEEANDILKNSLFLVVAGSDDLANTYFTAGVRLKKDVNSYTDLMVAKASEFVQELYNLGARKIGIFGIPPIGCVPSQRTLAGGPHRVCSEEYNEAAQLANTKFSTQIHSLSQKLAQSKLVFLEIYNPLLDLIVNPKKYGCCGTGKIEVTVLCNKFSGTCEDDTKYLFWDSYHPTEKGKVQLPNNVVVKAVFAFGDSIVDQGNNNYIPTIAKCNFPPYGKDFNGGIPTGRFCNGKTPPDLIVEELGIKEYIPAYLDSNLRNEDLKTGVSFASGGCGYDPRTSTLALAIPLSTQLNQFKEYIGKLEGLVGEEEANYILKNSLFLVVAGSDDLANTYFTLEVRLKQNIDSYTDLMVSKATEFFQELYNLGARKIGIFGIPPIGCLPSQRTLAGGPNRVCAKEYNEAAQLANTKFSIAIDSLSKKLAQSKLVLIDIYNPFLDIIVNPQKYGLEEVEKGCCGTGNIEVTILCNKFSGTCEDDTKYLFWDSFHPTEKAKLQLRKDINITALFAFGDSIVDQGNNNNLITHAKCNFLPYGKDFMGGNNPTGRFSNARTPADMLVEDFGIKKLMPAYLDSNLKVEDLKTGVSFASGASGFDLLTPVVASALPLSVQLALFQQYIWKMNGFIGEEATKNIVKKSLYIVVAGSDDLCNTYFMLKFPRKIQYNVDSYTNLMVDGASNFINDLYNMGARRIWIFGLPPIGCLPSQILRGGGPSKICVNEYNQAARIANTKLSNKIHSLNKKLPQIELLYINIYDPLLDIIAKHNKYGFEDALSACCQGRNEYLLCDNVTKICENDANYLFWDSYHLTEKVFVFGDSIVDTGNNNGLKTIAKVNYPPYGKDFMGGIPTGRFSNGKVPSDFLVEELGIKDLLPAYLDPTLQSEDLITGVNFASGGAGYDPLTSELAKVISLDGQVILFKEYIVKLTELVGEDRKNEILANSLFMLVTGANDITNTYFGMPLRKSYYDVPSYADLLVNFASSFVQDLYGLGARRIGMFGIPPIGCLPSQRTLKGGEERQCVDNLNQAAKLFNNKLEAYSSSQGNKLPNSRLVYVDTYNVLLDVIDNPQRYGTIMIQDYRQGMLRHGENRILLLCNVQADMNVPHNKSIQAVIVFGDSIVDTGNNNGLTTIGKVNYPPYGKDFMGGKPTGRFCNGKVPPDLIVEELGIKELLPAYLDPTLQAEDLITGVNFASGGAGYDPLTSEIANVISLSVENVQRVHSKDRKNEILANSLYILVVGSNDITNTYFSTHLRKSYYDISSYADFLLNYGNITFQLNLNLRKCTRLNNEMLFMILKDLYEIGARRIGVFGIPPIGCLPSQRTLKGGEERQCVDYLNQAALLFNSKLAADLSSLGNKLPNSRLVYVDIYNLPLDVINNPQKYGFKIADKGCCGTGQIEVAELCRFACSSDSDYDPLMRSKPQEELTISVSKSRSIVVAATVRIQGATLTTVAGPGPSFPAEQETRIPFSTAWNAPIAIGSLENSTSRPDDEPSDSDKTSTPSAIASSNAFIIADP >Solyc02g082370.1.1 pep chromosome:SL3.0:2:46613889:46616274:1 gene:Solyc02g082370.1 transcript:Solyc02g082370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTSGGGVLLRISMFELFNFIDRTYKRLACIVQERLFLIGLHNLGKGNWKDISKEYVVSKTARQNVNNNNFGRFNQAKANDNNLLVMEENPNNNNFGKFNQAEFSFVMEQNPNNNNFGRFNQTAAYDNNLFDMEQNPNNYLNNFERFNQVEAYSNNSFSMEQNPNNNNIGSFNQAEAYNNNSIGMKQNLYNNNNIGSFKQAEAYNNNSFGMEQNLYNNNNLLMPMSPDPSFQCTCLLPG >Solyc11g032100.2.1 pep chromosome:SL3.0:11:25030755:25051085:1 gene:Solyc11g032100.2 transcript:Solyc11g032100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKVQMKRIENPVHRQVTFCKRRAGLLKKAKELSVLCDAEIGLFIFSAHGKLYELATKGSMQGLIERYIKSTKGVEVAEEAKDTQPLDPKEEINMLKNEIDVLQKGLSYMYGGGAGTMTLDELHSLEKYLEIWMYHIRSAKMDIMFQEIQLLKNKEGILEAANKYLQDKIDEQYTVTNMTQNLTDFQCPLTVQNEIFQF >Solyc04g073990.3.1 pep chromosome:SL3.0:4:60102620:60105073:-1 gene:Solyc04g073990.3 transcript:Solyc04g073990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:O81536] MASLTVPAEVPSVAEDCEQLRSAFKGWGTNEKLIISILAHRNAAQRKLIRQTYAETFGEDLLKELDRELTHDFEKLVVVWTLDPAERDAYLAKEATKRWTKSNFVLVEIACTRSPKELVLAREAYHARNKKSLEEDVAYHTTGDHRKLLVPLVSSYRYGGDEVDLRLAKAESKVLHEKISDKAYSDDEVIRILATRSKAQLNATLNHYKDEYGEDILKQLEDEDEFVALLRATIKGLVYPEHYFVEVLRDAINRRGTEEDHLTRVIATRAEVDLKTIANEYQKRDSVPLGRAIAKDTGGDYENMLVALLGQEEE >Solyc08g006510.3.1 pep chromosome:SL3.0:8:1108067:1124226:-1 gene:Solyc08g006510.3 transcript:Solyc08g006510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLIQARSSLSRFWTGSSRNVRFLSTESNKTDEPFKVEEAETVNMPPPPTEKLLVLGGNGFVGSHVCKEALDRGLTVASLSRTGRSSIQDSWANNVIWHQGNLFSTDSWKDALKGVTSVISCVGGFGSNSHMYKINGTANINAIRAASEEGVKRFVYISAADFGIANYVLQGYYDGKGAAETELLTRYPYGGIILRPGFIYGTRRVGSMKLPLGVIGSPLEMILQRAKPLSQIPLVGPLFTPPVNVTAVAKVAVRAATDPVFPPGVIDVHGILRYSQQRSV >Solyc07g055130.3.1 pep chromosome:SL3.0:7:63376151:63390951:-1 gene:Solyc07g055130.3 transcript:Solyc07g055130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERGDEVGPSEQRSPGAACWPSDFVEKFGSVSLDSKEENLRNKEPSENEIHDRLPCQTASQILWKTGTLSDPIPNGFYSVVAEKRLKEIFEDIPTFDELQALELEGLRADIILVDFEKDKKLSMLKQLIVALVKGLGSNPAAIIKKIAGLVSDVFKRSNPELSPSKAALEESSHISEIRGIQMLGQIKHGSCRSRAILFKVLADTVGVESQLVVGLPADGASECVDSSKHMSVIVVLNSVELLVDLMRFPGQLIPRSAKAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFSERIDPESSEKDDALQCQRRLEASSNAAGPSLRNMMLRSTSIDRKLSFSHSEPNIATAAWRRSRRKVITEQRTASSSPEHPSFRARARSMLSGDTKTFKDYSDDVATSRSEGASTSEPRRLRRRSISITPEIGDDIVRAVRAMNEAMKQNRREQGENSSSPHSSNDRGGTLDLQKNVSDFHHDDPEISRGQSSMFPLSREHLSSQKAVSLPSSPHEFRRQALEGRGQMNDKMVSTWNKILESPMFVNKPLLPFEEWNIDFSELTVGTRIGIGFFGEVFRGIWNGTDVAIKVFLEQDLTEENMEDFCNEISILSRLRHPNGISLIFFFSRIGFSFLLGSIILLLPSTVAVILFLGACTTPPHLSMVTEFMEMGSLYFLIHLSGQKKRVNWRRRLKMLRDICRGLMCMHRMKIVHRDLKSANCLVNKHWSVKICDFGLSKIMTDAPMRDTAAAGTPEWMAPELIRNEPYTEKCDIFSLGVIMWELCTLERPWHGVPPERVVYIVANEGSRLEIPEGPLGQLITDCWAEPKDRPSCAEILTRLLECEYAIC >Solyc07g039480.1.1 pep chromosome:SL3.0:7:47714832:47716305:1 gene:Solyc07g039480.1 transcript:Solyc07g039480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSSHMMDDSVAKDNVGPQFNSPNFDQQIILPMQMDFATVDDVDKPAMEVEKQKGSVEDVTKDSTSSVSISFGIEAVIDALAHGLQNQQINVNSLSEVIPLQLTWSDVFLSDSQLPTQLAVNDIDTKTLTRRNKMASKVLQSLYVHYFGSIYKGKDKIDDHIRPHTLFDD >Solyc01g010165.1.1 pep chromosome:SL3.0:1:4886603:4888502:1 gene:Solyc01g010165.1 transcript:Solyc01g010165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGQGQGFKGKKVLPGNNNTGCEICGFKNHVTEKYPSDFKTKRKQSDSCGSYQNNTEGFRSHGTYNSNVYNNTGNFKPYVNYASVDKQKEESEFTKKEYNQMKNLLHNKEQSDCKANLKGNTSLQTKSSAYDWIIDSRATHHVASYEELMYELKNTRNEHPSTIQLPTGSKAQDANLTDLWHFRLGHPPIEVMKQIPSLKKYFNITTYSCCHICPVAKQSRLSFPTNSVEEHVEETLINPIQSKLHIMLLLLRIICWSHNIMISQVMVILHQLEGLPDLLSLLFGIRTT >Solyc07g026880.2.1.1 pep chromosome:SL3.0:7:32112683:32113135:1 gene:Solyc07g026880.2 transcript:Solyc07g026880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GCRRCKSASLKELDSTKRLIEELKLNLERAQTEEQQARQDLEHATLRVEDMERGIADDSSIAAKAQLEVARARLEVAVSKLKSINSKLDVLRKDYDLLVSEKDAVEKAEEDVSVSNKVEKTLEDLTIELITSKDALEAAHDAHLVKKSIL >Solyc11g070090.1.1 pep chromosome:SL3.0:11:54921775:54927379:1 gene:Solyc11g070090.1 transcript:Solyc11g070090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKLIVILLLELIAFATFALGENGLVIKSIQSEDGDVIDCIDIFKQPALYHPALKNHKIQMTPSYNTMMKPTKTNDEEKSYKYVTTQTWHKSGSCPKGTIPIRRTQNNYKKDHIRKPNSFHLDRRLRIKEDINLAQKNHSLAILHTEGLRYMGGKTDMKTWNPHVEEDDEYSTSRLALMSGAYNDYQDIEAGWAVNPRVYGDRQTRFFTYWTNDGSRETGCFDLTCPGFVQTSHEIALGAAIYPISTQNGLPYSISVFIFKDLNSSNWWLQYGGSINIGYWPSKIFEGGLGVHAQTVQWGGEVYSKNVGKHPHTKTQMGSGAFPVYISENTGFMKNMRILDNSMELRFPQYIDAYSQEYDCYKTQYMGDYIEEPEFHFGGPGRNPLCP >Solyc04g024980.2.1 pep chromosome:SL3.0:4:27028366:27028739:-1 gene:Solyc04g024980.2 transcript:Solyc04g024980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHLHPYFPHCFAMKPISNGNTFTRPPIFTLSTREIVKPITTIVITIWEKPFSMKDFT >Solyc01g108090.2.1 pep chromosome:SL3.0:1:95344511:95345904:1 gene:Solyc01g108090.2 transcript:Solyc01g108090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHKKGALFRYKSWSPDIQREEVWLKRRKKHFQRLDAERRSLSHLTAERLSSSLSADEIRHLSLSNTDEPRSLSFTADERRSLSFIADELRLMSLSKGDERRSLSLIADELRSMSLSKGDEQHERRSFSLSNGDERRTFSLSDYERRLLSLSADERRSLSLSADEDRRHSMSITNDDVDELGACFELGFGFDSNSDLDPKLTKAFPALELYHAVNKISRSSSSVSTVTSDGETPSSVETSVSIVEPGADEETMKLKLKQWAKVVGLSVLPPPSISK >Solyc02g087850.1.1.1 pep chromosome:SL3.0:2:50752678:50753937:1 gene:Solyc02g087850.1 transcript:Solyc02g087850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKPKVCHKCRAPCSPVRRSYSMHDDGYHRVALTSSTLGSLKLDPMNQSQSIKDGDTSSFDLCDFDEVKSCKEEFAMGLIEAKTWSEMINEKIPKVVPKTPVRTPPGEPETINTWELMEGLEDSSPLKPAHHVRSFSFHVSPNPVSSQYDLPTPRVKDHIEGSPKPLWEEVAEDETNSSSNDTSIVSEFDSEVISTFRKALEDLPPANPFHLKPLITENQKPVADEEDPLEITDVKKVTEYKVSKDKLVVYFTSLRGVRKTYEDCGHVRVILKGLGVKIDERDVSMHSGFKEELKELLGNEYSGGGLPRIFMGGKYIGGADEIRRMNEDGKLEKFVENCERIEDGGVIGGCNCEACGDIRFVPCETCSGSCKIYYGADYEEDNEDEEELEEDEYGFQRCPDCNENGLIRCPICCD >Solyc01g110670.3.1.1 pep chromosome:SL3.0:1:97209424:97210656:-1 gene:Solyc01g110670.3 transcript:Solyc01g110670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRMIKKSSATRDIPKGHFAVYVGEMQKKRFVIPISFLSEPLFQDLLSQSEEEFGFDHPMGGVTIPCSEDLFINLTSILRK >Solyc11g066660.2.1 pep chromosome:SL3.0:11:52720597:52730244:-1 gene:Solyc11g066660.2 transcript:Solyc11g066660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMNVREHAILLNLGSLRAIVMQERVLIFNYNRLGGKAFIDSLLPRLNPTSTNGGPSMPFVLEVVEAALHSRIQRLEHRLWDLEPRVSMEHMSRTPPRLVQVQALLEVLPNRLTADILEELRISKQKLVELGSRAGALKQMLLDILEDTHEIRQICIIGRNCVLKKENDEIECSVPLDKDIAEEEEEEIEMLLENYLQRCESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRFELILQVGTFCVAVGALVAGIFGMNLRSYLEEHVFAFWLTTAGIIVGAVLAFYLMYWYLRTRKIL >Solyc10g038190.2.1 pep chromosome:SL3.0:10:20580201:20586879:-1 gene:Solyc10g038190.2 transcript:Solyc10g038190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTPDSGKPLAENSIEVEVTNIYLTFKKEVGTISDVHNFLEMDFTVPLQTWKGKHSYQEEEELMPNAGTIKRCDDSLDDSGFMTFYGASHPPEPVDTDLMKPVYVPIGPNKVDGKCLLENMSLKGSSLDDISIEVLNMKPSSFLLSPVDRWAENNDLRVLSSPFMVPRPSQNTLASLPLDSEEKECLWDVSLSPSSNVSPYSSIDITGVGTAMSIPSSCTRTYRSDRLLSESLLIMDGNCEGTKLIIQGDSLESAKTSFSRVSDSSELSDDSNWSNITGSANKPHKGNDPRCKAIIAIRARDGILGMSNFRLVKKLGCGDIGTVYLSELNGTCCDFAMKVMDKASLASRKKLTRSRTEKEILQLLDHPFLPTLYTHFETNRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYSARFYAAEVLLALEYLHMLGVLYRDLKPENVLVRDDGHIMLSDFDLSLKCSVSPMVIRTSSDDPSKRGAALCVQPACLAPTSTCFLPRIFSQKSKKRLQKPRTEPGFPANAIPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGNAVDWWTFGIFLYELLYGKTPFKGSGNRATIFNVVGQQLKFPDSPATSNASRNLIQGLLIKEPQHRLGVKRGASEIKQHPFFEGVNWALIRSSTPPEVPRQVEVEVPRKLKQVNPVEVCSKRIAGTNVNSGDNNYLDIEFF >Solyc01g111690.3.1 pep chromosome:SL3.0:1:97795494:97800041:1 gene:Solyc01g111690.3 transcript:Solyc01g111690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSSPTLNETFLGLLYPTENYKVYGYLTNTKVKLILVTTDLDVRDADVRNFFRKFHAAYVDAVSNPFHVPGKKITSRTFAERVSTIVKSFGLSSGS >Solyc12g015830.2.1 pep chromosome:SL3.0:12:5812087:5813134:1 gene:Solyc12g015830.2 transcript:Solyc12g015830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISTKIPHPLHHPNSPYPKSSKSSFLYSKPTKLAFFRSITIERISTKSVSATPSAAGEASSSSLQPIEELPPNLQEIVKLFQAVEQPKAKYEQLLFYGKNLKPLDTQYKTSENKVEGCVSQVWVRAYFDSEKNVVFEADSDSGLSGRPVEEILKVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALQLYVEAEKDANLRQSEVSNASSTESLGVGGNGNVESVASPEVNGNNVGASDDFLNSRGMRIKEKLEKELRPVELEVEDISYHAGHVGIRGSDGETHFNLKVVSEEFEGKSMVKRHRMIYSLLQDELQNGLHALSIVANTPSEVGSS >Solyc01g109290.3.1 pep chromosome:SL3.0:1:96197913:96200939:-1 gene:Solyc01g109290.3 transcript:Solyc01g109290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRPEPEKPDVLPSTALAYLDRNYWDKRFAQEEHYEWFKDYSHFRHIVLQHIKPQTSVLELGCGNSQLCEELYRDGITELTCIDLSPIAVEKMKQRLINKGYKEIKVLEADMLDLPFEDGCFDVVIEKGTMDVLFVDSGDPWNPHPATVEKVMKMLHEIHRVLKPEGIFISITFGQPHFRRRFFSDPEFTWSMEWNTFGETFHYFFYVLKKGQRSSESEECREKTHMPSISLYHDELEGEDFLFRTNIDEMES >Solyc04g082180.3.1 pep chromosome:SL3.0:4:66032611:66038038:1 gene:Solyc04g082180.3 transcript:Solyc04g082180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKQYFSAAGKPIRCKAAVARKAGEPLVIEEVIVAPPKAHEVRLKIICTSLCHTDITLWKLKEFPGCFPRILGHEAFGVVESVGEDVDELKEGDSVVPIFLPDCMDCVDCKSKKSNLCSKFPIQVSPLLHRNDTSRFSNAAGETLHHFLYISSFSEYTVVDVVNVTKIDPEIPPNRACLLSCGVSTGVGAAWKTANVEPGSTVVIFGLGSIGLAVAEGARLCGATRIIGVDINSNKFEIGKQFGITEFVNSKSCVDKPISQVIIEMTDGGADYCFECVGLATLVQEAFTCCRKGWGKTVVLGVDKPDAHLNLNSFEVLQSQKTLTGALFGGLKPKSDVPILVKRYLDKELQLNKFVTHEVNFEDINKAFDLLIQGKSLRWISKTAGKPIRCRAAVARKAGEPLVIEEVIVAPPKAHEVRLKIICTSLCHTDITLWKLKEFPGCFPRILGHEAFGVVESVGEDVDELKEGDSVVPIFLPDCMDCVDCKSKKSNLCSKFPIQSSLLLHRDDTSRFTNADGETLHHYLYISSFSEYTVVDVVNVTKIDPEIPPNRACLLSCGVSTGVGAAWKTANVEPGSTVVIFGLGSVGLAVAEGARLCGATRIIGVGRNSDKFEIGKQFGVTEFVNSKSCGDKPVSQVIIEMTNGGADYCFECVGLGTLVQEAFACCRKGWGKTIVLGVDKPDARLNLNSFEVLQSNKTLMGSFFGGLKPKSDVPILVKRYLDKELELDKFVTHEVNLEDINKAFDLLIQGKSLRCVIWMEK >Solyc11g007635.1.1 pep chromosome:SL3.0:11:1871557:1874928:1 gene:Solyc11g007635.1 transcript:Solyc11g007635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCNLQVSKSLSLSFSLRNQMNDGAVRQSAGGQLKISKSTYGIFNHSDRSITFWDKTYHVNDSYGTFGLYADCFYPKFHHGLQHCHL >Solyc12g098675.1.1 pep chromosome:SL3.0:12:67081524:67092010:1 gene:Solyc12g098675.1 transcript:Solyc12g098675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSQYGNDTDFLVTFLNLVHLACNIAVEQVIAGGSREEDDKEILNITTKSAFVFVITASTFLLLLYFFMSSWFVWLLIILFSIGGVEGMHSCIVSLVSSSKCKGCGRKKLNLPLLGESSILSLVVLILCVAFAISWVATRKASYSWIGQDILGICLMITVLQLAQLPNIKVATVLLCCAFLYDIFWVFLSPAIFHDSVMIAVARGDKAGGESIPMLLRIPRVSDPYGGYDMIGFGDILFPGLLVCFAFRFDKARKKNVLNGYYIWMVVGYGIGLLFTYLGMYLMNGHGQPALLYLVPCTLGMTVWQPLKPKVLTAVPRSFLQVAAKEEEAAPPLRVVQIEGLVILKIIKHCKEFSPALVTGQLLGLDVGSVLEVTNCFPFPVREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSFQTVELIETFMNYQENIKRCVCIIYDPSRSNQGVLALKALKLSDSFMELYRSNNFTGEKLREKNLSWVDIFEEIPFKVSNSALISAFMTELEPDTPVTQCDYERLQLSTNPYLERNVEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQAWLQKRRADNMARKASGEEPLPEEDPSNPAFKPIPEPSRLDSFLITNQIANYCNQINGVAGQSFSRLYLMKALQEN >Solyc10g083890.2.1 pep chromosome:SL3.0:10:63731893:63736664:-1 gene:Solyc10g083890.2 transcript:Solyc10g083890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQRYLSILFIIIFFSTTFIKAQEVEDEREFDYGEKSEKGPKMWGKLKKEWEACNNGEMQSPIDMSHERVRIIQKPDKRHYKLCNATVKNRGHDISLQWHGDAGSVTINGTNYPLQQAHWHSPSEHTVNGRRYDMEMHMVHLNENATNKIVVIGVLYKIGKPDRFLSKLIRNISSMIDKKDEVKNAGMIDPREIKIGSRKYYRYMGSLTVPPCTEGVIWTIKKKVRTVSRAQVKMLREAVHDYAEKNGRPLQPKNKRPIYLMAPDDTA >Solyc10g061883.1.1 pep chromosome:SL3.0:10:22898664:22910750:1 gene:Solyc10g061883.1 transcript:Solyc10g061883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIGLVSLIEFKEELINLFGDILVKDVVEEFNTLSQAEIDKNEINTSNEEDLVPGKRQLSILIYSDRFHSIIDEHTAQAMGHESSHCPPVRVIVADESYVMEGVDTDPQYIKELVEWPRPKTLKAFTGFLGLTGYYRKYVVNHGTIIRPLIDLLKKNAFKCNSDARLAFEDHKKAMRIKHEFVIETDASHPGIGELLMYKGLPISYFGKFKHFVVWSDQHSLKYLVEQKVTLTIELKGLTKMFGLDYEVKYRVFDALYRQQEVPDSLDDRATGNLMVISSCTRTRVQEIIRRYEKHAHFVHSCTSCTRLSWTQSMEIQGWYTKKQGQRICRIS >Solyc02g085100.3.1 pep chromosome:SL3.0:2:48728920:48733232:-1 gene:Solyc02g085100.3 transcript:Solyc02g085100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-epimerase [Source:UniProtKB/TrEMBL;Acc:K4BB24] MAALSMTWSCSPLTSSKFRRVNRYSGMAFASVKSTEGLGNLPKVVLTSPHGSEAELYLFGACVTSWKVDSKDLLFVRPDAVFNGQKPISGGIPHCFPQFGPGPIQQHGFGRNMNWSLVSSESVKEKPVVTVELRDGPYSRAMWDYSFHALYKITLDKKTLSTELTVKNTDNKPFSFTTALHTYFSASVTGASVNGLKGCKTLNKVPDPTNPVEGKEERDVVTFPGFVDCVYLDAPNELHLDNGLGDKISIKNTNWSDAVLWNPHLTMEQSYKDFVCVENAKIGTVQLEPEQSWTAVQHLTVA >Solyc03g095950.3.1 pep chromosome:SL3.0:3:59090033:59092093:-1 gene:Solyc03g095950.3 transcript:Solyc03g095950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSMKSLHAGKELTALFLSLRKRTDAIVCLFLAVSLLRLSTEAWQDSITW >Solyc02g082680.3.1 pep chromosome:SL3.0:2:46879607:46883656:-1 gene:Solyc02g082680.3 transcript:Solyc02g082680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNITLSLVIQVLLRNDFCFYLSNYDSVDTPRNSLELPVEASQWFYAGGDKAQCAYQMIDWQEKNCYGYEAPMKKLISEEIARRPNTGYNAPSVVARLMGVDTLPLDTRPLPKHVEKKNEMKDGNPSKEEWLRKVSIDHATQSSRQKISIPFNHDESCDSDRQIDSRKPNKYKPREHPQEEELQKFKKDFEAWQAARFKECSKFVEHGTSPSQWLAQQSLNKEKLTLYANSMRTAASEKPTELRGHTVAVNPWERGLLKHQKNINEFPAPAQNKTYCVKEVIPNPDFQNHPLTNSYRRPDVAPAPTKIVILRPGPERIVTNENSWASSPGISEDRGSIEEFLEEVKERLNCELQGTNSKRSITVRGGGIETPYSERSPDAKQIAQSIAKHARESVTRDFGTTLSRSESTRSYKSDIHSNGESSPEFVNRDTRKFLTERFRNVLKQETSHGVHRLARGSSRSMELNNETCSSEEMRYTSNTGDKATNLDNMKGELNMHNRSFRRDHGNDMLEQELSPRSLIRSLSAPVSATSFGKLLLEDRHMLTGAHIRRQHEAIEKVTMNVKKRQKEKFNLRRKVSSFSYSFILKGKLFGRKVHSWEEPHGQTYNLMKDFPSPPTGTPNFYERHENPTEVPPSPASVCSSINEEYWRQTDYLTPSTTSDVPALDDSEMPRVFRDISSNLNGISKSFYKCPQACVVLFFGLLIAYRQDHIPVRRT >Solyc01g109220.3.1 pep chromosome:SL3.0:1:96145582:96145910:1 gene:Solyc01g109220.3 transcript:Solyc01g109220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSWKFPGMFMRKPDKAAALKQLKTHVVLFGTWVAVIRVAPYILHYFSDQKEELKLEF >Solyc10g078570.1.1.1 pep chromosome:SL3.0:10:60486752:60487036:1 gene:Solyc10g078570.1 transcript:Solyc10g078570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSPIIVGVANVSADLCQYIACNPERLSSDEVLYLLFCFPCQQFRRFALCLWTFFCFPLPNPYLSFPSFSSSSSSLSSDSDLDLEDSHSHAY >Solyc01g102460.3.1 pep chromosome:SL3.0:1:91132837:91138368:-1 gene:Solyc01g102460.3 transcript:Solyc01g102460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTNNQPSSVDGEGGGGSGGEDDAVGGSRCKETDDSEGEGDNNKDWRKNKGKRVTCFRFRKVKSTLLRRKRKGVSGNSEGRREGSGGGRGCYLCLKRPLTSDSGGESQTSDPNSPNFTYEMLRVLIEKNDFYSNECNPHLDKVGRVGFIFEKDRGEARETVHPIASGGWQLEHEWFECGIIWIVQTKGKLSMPHALNVPQQFFSSLILNERAIHFNSIHEMRFIRRCKQGCLQFVIVKPILVLVTIILYVEGKYEDRNFSPSQSYLYLTIIYTISYSMSLYALALFYVACKDLLRPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKLELIKDTEEATDFGIL >Solyc01g109770.3.1 pep chromosome:SL3.0:1:96536773:96540965:-1 gene:Solyc01g109770.3 transcript:Solyc01g109770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1 [Source:UniProtKB/TrEMBL;Acc:K4B3J0] MEGVGGDGASAATALNQRRHELSKLFQYYLDKTTPHATYRWIGTFVLALLYALRVYYVQGFYVVTYGLGIYILNLLIGFLSPLVDPELEPSDGPMLPTKGSDEFKPFIRRLPEFKFWYAITKAFCVAFVMTFFSLFDVPVFWPILLCYWIVLFVLTMRRQITHMIKYRYIPFNLGKQKYSGKRPSASGSSPRAD >Solyc06g076060.1.1.1 pep chromosome:SL3.0:6:47370820:47371104:-1 gene:Solyc06g076060.1 transcript:Solyc06g076060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDSQNGCQNIASQTQEEEDGWRTPTSKEHKIPMKCPKAPRKAKSSSVVATNTVITKRRRRKVFFDVYNEEVELLFPPTSLLANLINKITTTT >Solyc05g017843.1.1 pep chromosome:SL3.0:5:18473770:18475133:-1 gene:Solyc05g017843.1 transcript:Solyc05g017843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVLSCNRDNPLNLTSSGLNFTRQEILTLLNGSGRVTWSSDSTRHRQNLLAQLLDSGIIVVRDADEDQPQNYLWQSFDNLGYTVLPGMKEMYPPRCHRNFQSLLPKHESSNNRKAIVHNQQHKV >Solyc03g083160.2.1 pep chromosome:SL3.0:3:54425245:54427944:1 gene:Solyc03g083160.2 transcript:Solyc03g083160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLETPWKMNYEVNGTNLAEIEGGEVATTNLLSCNGPEFVMQLSFHVKDSSGLKSEINFLRNKILLRGTCSPEGEECIEVMLKKLEADGFGITENFETFIHVSVRRLGRLLPDARWFWLPFMEPKLRKSDRAEVLKRCCFRGLPQDVDAGHEPPEEITAVVRPASFTSATASKNLDQKYIMKENFVMTPEIKFKDDENVKEQHIYSGQLNPSSLKGFHGLYISISIFSYRKLSNFCKGNARESFV >Solyc01g081160.3.1 pep chromosome:SL3.0:1:80323100:80325475:-1 gene:Solyc01g081160.3 transcript:Solyc01g081160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGFGDRVMYWTTINEANIYAIGGYDYGFTPPGRCSLPFIVNCSKGNSSAEPYMVALTRYLHLQRICIGEITSPVNVVSWDLIYMVCGSFLIQMQPLM >Solyc10g009540.1.1 pep chromosome:SL3.0:10:3657784:3660102:1 gene:Solyc10g009540.1 transcript:Solyc10g009540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSTCKVCVTGGAGYIGSSLVKNAFGYRLHCSCYPKKLRAEEFEEAIQGCEFVFHVATPSMHYEGSQYKDRTEATVDGVKKIGMICLKNGTVKRLIYTASIVAASPLKEDGITYKQLMDETCWTPLNFSNPYSQQWLWDYVESKMLAEKEVLKFEKEGLEVVTLCCGLVGGHTFLPYMPTTSAMFLSVFTQDEKLYNILKFLEDLNGKVPIVHIEDVCEAHMFFMNNVGSLNGRFLCASSYVSTEEIGNYYQHNYPEFKVNQE >Solyc12g099650.2.1.1 pep chromosome:SL3.0:12:67690850:67691191:1 gene:Solyc12g099650.2 transcript:Solyc12g099650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMTMTTSLAGGSIAALTKAPAATRGARVVMVKASSHVSEGENVVMSNKKEINNNNGGRRELFFAMAAAAACSVAGAAMADDEPKRGSPEAKKKYFQVCVSNPTARICRNAT >Solyc07g005240.3.1 pep chromosome:SL3.0:7:213695:219579:-1 gene:Solyc07g005240.3 transcript:Solyc07g005240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILRIILSIFQALYLACKTFVKSKCSFGISCKELNLHKESVLNLVDLMISIFFSGDYDAVIVCLGSGAAFLPELSGRLPLRMCRGVIAHLQLPDHIREDYPENSPSILSDAWLAVQGPQTLYLGSTWEWKSRNFSRHVPEEEASKALAELLPKASAVYPAIKNWTVKGTCAGLRAMPPLTAEGSLPLLGCVDEFIGGPTECKYWLFTGLGSRGLFYHALLGKLMAQAILSCNEDLIPSELTSWKNQVKQ >Solyc08g060957.1.1 pep chromosome:SL3.0:8:46149090:46149755:-1 gene:Solyc08g060957.1 transcript:Solyc08g060957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENNITDKGQSQTDESKLRKEGKKYLMRGIIFLVKLILMEKEQFANTKEHGTTSMLTHIVKFPKMPYNIDIKQSKLAFQLMIGGNKGDVVVVPWKFYQEECRKVFCCIEGLKQFMKVAQPYFHIPSSTTVTRHCFDLFDEEKHKLMDVLYEIQQRVSLITNTWTSIQRINYMVVTSHWID >Solyc11g013845.1.1 pep chromosome:SL3.0:11:7265578:7266148:-1 gene:Solyc11g013845.1 transcript:Solyc11g013845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLKNSATRSSCSIPSLFISLSLLCILPISLFFFRSSPTQLSLNPQINLQTSPNSIKVYVANLPRSLNYGLLENYWDLDSDSRIGSEVDNQIRKTHVGKSSKNSLPYPENPIIKQYSAEYWILGDLMTPEKLKLGSFAKRVFTAEEADVIFVPFFEERGE >Solyc01g089870.3.1 pep chromosome:SL3.0:1:83470573:83473377:-1 gene:Solyc01g089870.3 transcript:Solyc01g089870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQPTTTVKPPHQKTEKYVSFSSSLSYSLSFPSGFCNLGGFTKPLMCSKNHHSVISCSSTSQVHSYGTVDYERRPIVKWNAIYKRISMNDGPERGSVSVLNQWENEGKKVTKWELSRVIKELRKFRRYKLAFEVYEWMNNRPERFRLTTSDTAIQLDLIAKVHGISSAEEYFDKLPDTLKDKRIYGSLLNAFVRSRKKEQAESLLDKMRNRGYTDHALPFNVMMTLYMNLKDYDKVESVVSEMKEKRIPLDIYSYNIWLSSCGSQGSIEKMEKVLEQMNLDTDINPNWTTFSTMATMYIKLGQMKKAEDSLKSVESRITGRDRIPYHYLISLYGSLGKKEDVLRIWKTYQSQFPNIPNLGYHSVISSLVRLDDIEGAEKIYDEWLPVKVHYDPRIGNLLLGYYVRKGFVDKASAFFDQMIGAGGKPNSMTCEILAEGHIRDRRISEALSCLKDAVSSEGSKSWRPKPATVSSILRLCEQEDDIQNKEVLLEVLKQVGCLDDEKYMSYIPLSNGSFTSSEREIEKDTSDNDEGSDILLNQLQESL >Solyc03g006450.1.1.1 pep chromosome:SL3.0:3:1042073:1042552:-1 gene:Solyc03g006450.1 transcript:Solyc03g006450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSAKSIPIKDLLDAPLDVIDFKITEVSPHKIFGYFSVTEKCCNPFEVLHGGVSALTAESLASIGAYVASGFDRVAGVHLSIHHLRSANIGEIVYAEAKPLNVGKSIHVWEVNLWKNNSLILGERILISSSRVTIKTNMPLPKNVKEAAMNIKKHAKL >Solyc01g013820.1.1 pep chromosome:SL3.0:1:10138326:10138652:1 gene:Solyc01g013820.1 transcript:Solyc01g013820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLCIGASIKLMSIPIHLINDKPATLSARAEFHDPSMLVEALTQGSYMLPEISR >Solyc01g088660.3.1 pep chromosome:SL3.0:1:83297043:83298138:1 gene:Solyc01g088660.3 transcript:Solyc01g088660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAALYYTGCEENYQPHFLDTCSLCQRTLAHNHDIFMYRGDTPFCSQECRQEQIEMDEAIERKWKIAAAKRSSRTKTETQTTKETDSNKAVLNGTVAVA >Solyc09g010940.3.1 pep chromosome:SL3.0:9:4278431:4282297:-1 gene:Solyc09g010940.3 transcript:Solyc09g010940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGHVQRPKYDCLLFDLDDTLYPLSAGLAKSVCQNIEDYMVEKLGIEQSKIEKLGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFIHGRLPYENLRPDPVLRNLLLSIPIRKVIFTNADKVHALKALSKLGLEDCFEGILCFETLNPVHKSTPSDDEDDIEFVGSATSSNATATNGSGIFDIIGHFSQPKAGAELPKTPIVCKPSEVAIERALKIANINPHRTLFFEDSVRNIQAGKRVGLDTVLVGNSQRVAGADYALESIHNIREAIPQLWEVDRLAEVNYSGVAVETSVTA >Solyc03g113740.3.1 pep chromosome:SL3.0:3:65275678:65283892:-1 gene:Solyc03g113740.3 transcript:Solyc03g113740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLRRLYRRMITKPLTAIFTCSLSPTSIVGSTGGQPMCSVIRLARKLCFCAVMPFSCVEMNHQPKEENTYQNSAGEKRKEEEMIELEGPLGKSDVVNLELVSIERELSALRKNGSIDSFGLYLYGLVLKQKGSDNLARTVLVDSVNSYPWNWSAWSELQLLCTTAETLNSLNLNNHWMKDFFLANAYQELRMHSESLVKYENLQGTFSFSNYIQAQIAKAQYSLREFEQVEVIFEELLRNDPYRIEDMDMYSNVLYAKECFSALSYLAHRVFLTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLNKNYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPCDYRAWYGLGQAYEMMGMPFYALHYFKKSVFLQPSDSRLWIAMAQCFETEQLHMLEEAIKCYRRAANCNDREAIALHQLAKLHAELGRSEEAAFYYKKDLEIMEAEEREGPNLVEALMFLARYYKAQKRFEDAEVYCTRLLDYSGPEKETAKSLLRGIRYEMDVEHLPP >Solyc11g006245.1.1 pep chromosome:SL3.0:11:996987:999826:1 gene:Solyc11g006245.1 transcript:Solyc11g006245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCVKVTHLGFGSLDSPIRASVGIFWDLDNKPPKSFPPFDAATKLKKARHLLEKSGVVKVEDPYICRVCGRRFYTNEKLVNHFKKMDKYKGAVRDVLTPKVGYGLHLVDVMDKRMARLRCLKTVVVGDSNDGALKRTADAAFSWQEIMMGKAKKEAVSVVGRWKDRDVLKRLEWTYDPEVEKKLYYSEVESDDSDGIFSGEDKEDVDASISKEDAHAWWKLDSRSGHD >Solyc03g005775.1.1 pep chromosome:SL3.0:3:544524:546177:1 gene:Solyc03g005775.1 transcript:Solyc03g005775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGLFTKIWHHSRVFLLADDPEAFDELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADPVNNNVWAFATNFVPGK >Solyc06g053620.3.1 pep chromosome:SL3.0:6:36543327:36544531:-1 gene:Solyc06g053620.3 transcript:Solyc06g053620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIAHCHRLGVAHRDIKPDNILFTDSNELKLADFGSAECFHEGQLMSGVVGTPYYVAPEVLAGRNYSEKIDIWSAGVILYIMLAGVPPFFGDSASEIFEAVLRANLRFPPRIFHSVSPAAKDLLRRMLSKDVSRRFSAEQVLRHPWMTSNGEIRTVAPF >Solyc03g078407.1.1.1 pep chromosome:SL3.0:3:52307177:52309538:1 gene:Solyc03g078407.1 transcript:Solyc03g078407.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCKKQKNFQKFRIP >Solyc10g018165.1.1 pep chromosome:SL3.0:10:6885448:6886067:1 gene:Solyc10g018165.1 transcript:Solyc10g018165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNRKTRLSSTFEMKDLGPAKKILGMKIYRDRSVLSRFKVNDAKPRTTPLANHFKLSKEQSPKSAEERKVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTTVSWMSRLQKCVSLSSTKA >Solyc02g065620.3.1 pep chromosome:SL3.0:2:37349580:37356131:-1 gene:Solyc02g065620.3 transcript:Solyc02g065620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLINSPLIIRSKPRETRPENIFVLLFKTAAYKSTNSKIFPFPATCRASMEEEEANRRHNKERRPLPIRGMESVIATVSGYHGTERFNLIKLIDKSGASYVGSMNQSITHLVCWRFEGKKYELAKKFKMSIINHKWVENCIKEGRRLPEAPYTFQCGQDVGPLMLDSTLLIETIQLNLSKKPAIHIESEEDFNSWTDSTLLKENLFPEMGKYKDKRRRKSNVNIKNKDHPSSSKYCSDEHSLQGSHRVEIEDLNLASSASRKSNKRSSEFCETSRRSRRLMKKNISGDLLEITSGSGKHSLQIQARQELETSAQFYNLDVEMPEFSVNNRHGSGFSCYQSEPIRIDLDGIEETEVNRPLDSGNQNLQTESASSDLTGNRQEPCPAIDEVQNETDNTCKASTSTTLSCVICWTDFSSSRGVLPCGHRFCFSCIQTWANHMASSRKVSTCPLCKASFVAIRKVDDAIPADQKIYTQTIPEKNPEMDIYILPEGVITPRLPSNSSRTPVCCQCSCQEPEDLLVKCHLCETLCIHSYCLDPPLFPWTCTHCKDLQRLYGRNW >Solyc02g081740.2.1 pep chromosome:SL3.0:2:46126046:46127844:-1 gene:Solyc02g081740.2 transcript:Solyc02g081740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALLSSPSLVSVCDKTFIKPFSLTPPTLRYHKLSYIDQFYSNLYIPLAFFYPKVQQREENELSHIAHLLQTSLSKTLVSYYPYAGKLRDNATIDCNDMGAEFLSVRINCTMSEILDHPDASQAESIVFPKALPWANNYEGGNLLVAQVSKFDCGGIAISLCLAHKIGDGTSMLNFVNDWSRMTHSPMTTTLAPKFVGDSVFSSNNYSPIITPQMLSDVSECVQKRIIFPTAKLDALRAKVAAESGVENPTRAEVVSALLFKCAIKAASSTTTSMRPSKFVHLLNVRSMLKSRLPQSTIGNILSSFSTTATKEEDIELPALVRSLRKEVEEAYKKDHVEQNEFMLEVVESMRKGKKPYDDEYENVYSCSNLCRFPLYKVDFGLGKPERVSLPNGPFKNFFFLKDYKIGKGVDARVMLDKQHMSKFERDEELLDLIS >Solyc08g061210.3.1.1 pep chromosome:SL3.0:8:47959004:47959588:1 gene:Solyc08g061210.3 transcript:Solyc08g061210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTRKGPPNRWREVPKNEKSTPKQRVFVVPRQSKHKLPALTSFSALVCTTGQGILKPSSLSTQK >Solyc03g064047.1.1 pep chromosome:SL3.0:3:40352016:40352384:-1 gene:Solyc03g064047.1 transcript:Solyc03g064047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMPKTFIETEDMCKMTLIYFKETFLLSAQPTRNYVSKLHFELVDRGKYKDHPWENICFRTLLKPCIYECCSIVDTLIPQRVSTCVPRILNWHISDQLI >Solyc01g102830.3.1.1 pep chromosome:SL3.0:1:91404478:91407699:1 gene:Solyc01g102830.3 transcript:Solyc01g102830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLGTTGEFFRRRDEWRKHPMLSNQFRHAFPGLGIAVVAFSIYCVGEFAYNKMSTPSHSTSSAAASHSH >Solyc01g105000.3.1 pep chromosome:SL3.0:1:93202942:93205391:1 gene:Solyc01g105000.3 transcript:Solyc01g105000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKKLGGYKKMRGFMCHPQFSTAVCLSCDSRSVVVPAPPRHRMSMEEHTKLINKGTRLGDVPRTLGSMPISKRSRNVVAGSSVLTMPKRDQENGCKIVPSIPYSDNSFQVVVMRVSLHCQGCAGKVKKHLSKMEGVTSFSIDLDSKRVTVMGHLSPVSVLESISKVKRAEFWPINSC >Solyc01g005230.3.1 pep chromosome:SL3.0:1:181577:182840:1 gene:Solyc01g005230.3 transcript:Solyc01g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFPMNGGDAVYSYSKNSQIQREVLDGAKEMMKEAIIKNLDIKNMFTYSSNTFNIIELGCSIGPNTFNSMQHIVQVVKEKCHDNNLEFQVFFNDHVNNDFNTLFRSLPIDRSYYACGVPGSFHGRLFPSRSIHFAHSSCAIHWLSKVPKELLDEKTLAWNKGLIHYVGASSIVVNAYVAQFEKDMEMFFNARAEEIVPGGMMVILSPFSGYRYLNFFGSTLMDLVNEGMLDESLVDSFNVPVYFPSIEDMTKVVEKNNFFSIERIELTYPKSKLVDEADAKTLIINLTAVLQQVFINHFGNEITEETFTRTILKSEEISAWMKINYEKACQLFVALKRK >Solyc10g081870.2.1 pep chromosome:SL3.0:10:62938276:62940851:-1 gene:Solyc10g081870.2 transcript:Solyc10g081870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVVTTASLPSITGTAVDILFRAAHLARSEKQNAMLLVPWLCKSDQELIFPNHLSLSSPEDQDLYICNWLEERIGFKADFRISFYPGKFSKERRRITPSRDTSQFIPSRDADVAKQNGLGEGILEVDRSVKQSTRMTLMALVWSAALCTTMAELLQMGKFGPLSDEFFQVYPNLLDLHDA >Solyc08g016015.1.1 pep chromosome:SL3.0:8:6576296:6576715:1 gene:Solyc08g016015.1 transcript:Solyc08g016015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGIGSDRYPVVSWTGFLVVTPVRSSSCCTRKLEAKFEKIESCIDGKGADPTVKDKQSGEVQLGKKKDFRIYVYGNGELNRWTGHEQFATQVSE >Solyc02g084440.3.1 pep chromosome:SL3.0:2:48088455:48090998:-1 gene:Solyc02g084440.3 transcript:Solyc02g084440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASLLKTSPVLDKTEFVKGQSLRLPSVSVRCQPSALTVRASSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGMENTEANRQAFRTLLVSVPGLGEYISGAILFEETLYQSTVEGKKMVDVLVEQNIVPGIKVDKGLVPLAGSNNESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHNIDRTFEVAKQVWAEVFFYLAQNNVMFEGILLKPSMVTPGAECKDRATPQQVADYTLSLLRQRIPPAFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWSGRPENVKAAQDALLVRAKANSLAQLGKYTGEGESDEAKKGMFVKGYVY >Solyc02g021050.3.1 pep chromosome:SL3.0:2:21818908:21880615:-1 gene:Solyc02g021050.3 transcript:Solyc02g021050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLSTSVLPLCGSLNPENVQHITKVRLNPWKICNLNFRKKGLKFVVREQKSNFVDFDWEDEYEDEYEDEDSPWEGAVVYKRNSSVTHLDYYTTLERLGLGKLSTKVSKCRASVMGLRVTRQVKDYPDGTPVLISFDVTRMKHKLRLDGIIRTVIALPCNRCGEPAAESIFSNFSLLLSEEPLKEAETLDMGIMFGDDKFKSFVNVEEEMEENDGWIPLEDQLYFPGDEKMIDISKHIRDLVHIEITINAVCDPKCKGLCLKCGANLNVNRCSCHMEKIEEKGYGPLGGLKKQMQQT >Solyc01g008930.3.1 pep chromosome:SL3.0:1:2883056:2887029:-1 gene:Solyc01g008930.3 transcript:Solyc01g008930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNENCARNVLDFAAFPKPEFDLPIFCANFFTTAKMNIIVLDLNPLHDIMDQEDYKEKYYKDLITLGLKYSKLLPWGGKLTSESLRFFSPIVIWTRFSSSPHNHSVLFSAFKDYYQAWLGLMDRSEGETDASQIACNCEAQHRYLTWRSEKDPGHGVLKRLIGEDLAKDVITKFLFNGVNELGNKTFLDYFPEYRCEDGKVNEKRSMIGKSFENRPWNARGEFIGDRVEIV >Solyc12g035550.1.1.1 pep chromosome:SL3.0:12:41911705:41911875:1 gene:Solyc12g035550.1 transcript:Solyc12g035550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKIPLLSLQKTLPNELDTQWVSTNKEKSNNLNKEFLNRLEILDKESLSLAPTV >Solyc10g085910.2.1 pep chromosome:SL3.0:10:65052250:65055082:-1 gene:Solyc10g085910.2 transcript:Solyc10g085910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDMFCASQAATAICLSMEELGSSSSSSSVIQLGGGSISGSRTIDRYNPIIRDSRRTGPKSISAPCSARSPIPPKPHSKSRKSTSKESKRSKKLLIEKDDEKRKSSVSEGNENIFKTSSWSCKKPGEFITPPGSSRYLLSEKNILDALSDFEPVLKLVDSSSSSSVAEDVKTETDQSCPPPSASANQVVVLRVSLHCRGCEKKMRKHISRMQGVKSFNIDFAAKKVTVTGDVTPLGVLASISKVKNAQLWTPTLASAVPTAKVNLSNSELKNNDKQMVLSHEKIENVTPTTTTIQLM >Solyc07g017955.1.1 pep chromosome:SL3.0:7:8631444:8635757:-1 gene:Solyc07g017955.1 transcript:Solyc07g017955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQLFLRPVSAKDMFCEGHVQHVFVGKFKKELPSISWKCSFCQASYMSLSLCPSNGDNTADAVTDAPLIQGPAKWLAESYPVWGGNFFNSGGGILVHTFIAVHHLLIFQNDEVPRFVLGVLFVEC >Solyc10g054060.2.1 pep chromosome:SL3.0:10:54485654:54490125:-1 gene:Solyc10g054060.2 transcript:Solyc10g054060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSVMNIFNRPNVALNPLNWGLQQWRGIRVKVRNNNLDQALFLMQRKMQSSGIERLIKREQLHHIKNSEKRVLARKILERKIRSQELARKLKSILIKKVRGFIKEDSSTASFTNYPDNGRMVATNIILLHLPMWATPLRLMFCFCFSIRFLIYLM >Solyc02g077970.3.1 pep chromosome:SL3.0:2:43360007:43361471:-1 gene:Solyc02g077970.3 transcript:Solyc02g077970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSITKNAILNLSKSLPRTSSIFLRRNVSFFYPSKTIPRTTSLVIRHKISHVCFSSSPNHSEGIHASEDLKRRQDFDRGVKEEKSPMREMADKTKDDVKESMDETKRTAKDMKDKTKQSAENMKDKTNDMAAKAADKAKEGRDKAAEMAHNTKENAKERAHDMKEKTKDTAESMADKTRDYAHDTKEATKEGASKVAETAQAIADKAKQAMQDAMGAAKETGQKIKETVVGSAEDENKSVDDYIEDRTREKTMDEDVVEQRRPAAGGSGHSKKF >Solyc01g011375.1.1 pep chromosome:SL3.0:1:8692648:8695071:-1 gene:Solyc01g011375.1 transcript:Solyc01g011375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSILVMHSGKWDDTNSYVDYTIEGVVFKEQSTFLDFYSTIAKQIGVTMNNKTLKIEYKVEESNKRMVIHNDMGVRVYVMLKKANTDFNKFPICITILDSCDRQISQCKELGVLATFGENDCHDMIVVEPEDTNVVFVSIDTTWVILDESNKHVEVDQVYKDKSILKAVMERYAIKERFQYKTTRSNSISFSQTSFSDRRIPWKILIDDSIGLISIKVEFSSIIRTIQTTNNGKFSYCESKRCAIYIKKYCIHRTTFQLPQHNASKQL >Solyc02g077720.3.1.1 pep chromosome:SL3.0:2:43173177:43174570:1 gene:Solyc02g077720.3 transcript:Solyc02g077720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLVDGHLGLKT >Solyc11g018600.1.1 pep chromosome:SL3.0:11:8766705:8768122:-1 gene:Solyc11g018600.1 transcript:Solyc11g018600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNTSDTKDTLVQILSPQRLRGKVAVITGGARGIGAATARVFAENGAHVVIADILNEVGTSLAESIGGRYIQCDVSKEEEVESAVKVAVEWKGRLDIMFNNAGIAGFGGSITNIRMDQMMTLLAINLHGVVHGIKHAARTMIAGKRGGTIICSSSSAAIMGGLASHSYTMSKEAILGLARSTACELGVHGIRVNCISPHGERGSLLRGRGGRLEDVAQAVLFLASDESGFVTGHNLVIDGGYTSAFSQMSFIYND >Solyc05g026060.2.1 pep chromosome:SL3.0:5:39703853:39707979:1 gene:Solyc05g026060.2 transcript:Solyc05g026060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAITLIYLFVFFHVCFFFVSSSLSDFSILDYNFDSDPFKADYSPPSPPPPSPPPHPPSLTCHELQGIGSLNTTCQLNYNLNFTRDVYLEGTGNLFILQGVLLSCHVPSCSLTINITGSLELKANAKILAGSVYIVAGNASFISGSVINVTGLAGDPPEQSTGTPKEYQGGGGGHGGRGASCVMDNKKLPEDVWGGDTYSWKSLEQPFSYGSKGQSTNKEDNYGGNGGGKIWLDVKDIFDACGTLLADGGDAGIKGGGGSGGSIYIKSKKMIGGGKISASGGNGFAGGGGGRVSVEVFSRHDDSEFFVHERCRSHAYLQEDQL >Solyc07g056160.3.1 pep chromosome:SL3.0:7:64148473:64152827:1 gene:Solyc07g056160.3 transcript:Solyc07g056160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGSLLIIVITLVFSFVIGITLNHFWPLFFNNYGTTLHVIPKGTFGWPLLGETLSFLKPHPSNSIGTFLQQHCSRYGKVFKSHLFFSPTVVSCDQDLNYFILQNEDKLFQCSYPKPIHGILGKVSLLVAVGDTHKRLRNVSLSLISTIKSKPEFINDVETLALQILQSWKDKHQVRYWEEARKFSFNVIVKQVLGLTPDNPQSALILQDFLAFMRGLISLPLYIPGTPYARAARSRISSTIKAIIEERRRKHVVDGDGKKNDFLEILLCVDTLSEEEKVSFVLDSLLGGYETTSLLMAMVVFFIGQSQTAFDRLKEEHDNIRSTKEKELLNWEDYQKMDFTQKVINEALRYGNVVKFVHRKALKDVKFKDYVIPAGWKVLPVFSAVHLDPSVHPNALHFNPWRWESDEQISKKLTPFGGGSRCCPGFELAKVEVAFFLHHLVQKYRWEVEEGEQPIAYPYVEFKN >Solyc10g018820.1.1.1 pep chromosome:SL3.0:10:10663850:10664002:-1 gene:Solyc10g018820.1 transcript:Solyc10g018820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLHLQARKDDCCTLSCPVVRTRVEKRDVREGCLCVGGRRRAQRLLSLPF >Solyc07g066190.2.1 pep chromosome:SL3.0:7:67795695:67796212:-1 gene:Solyc07g066190.2 transcript:Solyc07g066190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGPRPWPEFIAGASAVDIPTSFSDAAIRVRRNLKYFSVNYAILISACAACSLIGTPILLIFFCLIFASWLIFLFFREDPMVVLGHQVSDLAVISGLATVSAIVVWYTGILNSLMIGIMMGVLLSVIHGSLRNPEGLFVDEDDAVSSGLISNAERGSLH >Solyc04g074090.3.1 pep chromosome:SL3.0:4:60183928:60197536:-1 gene:Solyc04g074090.3 transcript:Solyc04g074090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKQKIVLPPDLPPEVPDEAVEVSDEDVLFVSENREYTGFLSNLDTKSINKHVTRVADVKEDELESLYERRLKKKSLDKETEKQGLEVDPVDALPVKTLDGKLYYRTVPKATQKSENEDKDEATTNNKEAGIDASVVRLTKAEKRAKLKKIRKEAKKQAKEGTEVEDVEQIPQAEVLDEVRNDMTAEEANQKKKFRLAELGTALLTDPESNIKSLKEMLEISKDGDRDIAVLSLQSLLAVFRDIIPGYRIRLPTEKEQEMKVSKAVKKMRFYESTLLSAYKAYIQKLLAVEKQAVYKRVAVRCICILLEAVPHFNFRENLLGAVIRNISSEDDISRKLCCATVKSLFTNEGKHGGEVTVEAVQMIADLVKASDCQLHPDSIEVFMSLTFDDDLGRREAQDAKNKFKSKNAKRKDLKEQKESAANEKKRTRKEMMSKTREEVTTELKAASLATDVAERRRMQTDVLSAIFETYFRVLKHAIKPRSEAGFSSQPAGSYPLLTPCLNGIGKFCQLIDLDFMSDLMNYLRKLARSGNSSDGSSKDVSACLTVSERLQCCIVAFRVMRNNLDALNVDLQDFFVQLYNLLIEYRPGRDKGEILAEALKIMLCDDRQHDMQRAAAFIKRLATFSLCSGPAESLAALVTLKHLLQKNVKCRNLLENDAGGGSVSGAIAKYQPYATDPNLSGALASVLWELNLLSKHYHPAVSTMASNISMLGTGDNQIHLSNKSPQQAFKELSLEQDSFIVKVDLNAKRKKGNASLKHISEGADLDSTVKVDENDVKRKLSEHYSLLHDIAENERLRGELVGTTLSLNLYEQYKKQKKRRTK >Solyc02g014400.1.1.1 pep chromosome:SL3.0:2:16679933:16680205:-1 gene:Solyc02g014400.1 transcript:Solyc02g014400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIVDEPKAHIFLETWISSIVVFTRIIVVHNHPLFIICEHYLIRALGPIIFSVCALVWISDTSALLHFSHLSDENFNYILKKLSDGKMK >Solyc08g013754.1.1 pep chromosome:SL3.0:8:3215098:3216151:1 gene:Solyc08g013754.1 transcript:Solyc08g013754.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMYMYIHSLDYCAFEILVLLAGLMPNPKTSISIISSRPLRCPEEECNTIVEKDESSCCWVVLFSDSTEIINKSLSSQIFICVISGDFYFCTIAWKKLEDERK >Solyc07g053830.3.1 pep chromosome:SL3.0:7:62373740:62378044:1 gene:Solyc07g053830.3 transcript:Solyc07g053830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGSAPPTAFQKIHGHSHLFSLISPHTHSKYTGSYNMTGGYVNEILRGACMTNCQAANLEVRQLGNILIQAPSEEKKANSFVVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGISDCFGRTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDNKAAKKGGERQFNGLVDVYRKTLKSDGMGGLYRGFTISCVGIIVYRGLYFGMYDSLKPVLLVGDMQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKGSMDAFSQIVKNEGTKSLFKGAGANILRAVAGAGVLAGYDKLQLIMFGKKYGSGGGG >Solyc01g104775.1.1 pep chromosome:SL3.0:1:93031546:93034082:-1 gene:Solyc01g104775.1 transcript:Solyc01g104775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVSPDEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDCLMKILTERGYSFTTSAEREIVRDMKEKLAYVALDYEQELETARSSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSLVGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKGEYDESGPSIVHRKCF >Solyc07g019623.1.1 pep chromosome:SL3.0:7:12348547:12353777:1 gene:Solyc07g019623.1 transcript:Solyc07g019623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDKPVIVQENANRAANIGQEIDYNHPLFLSPSDVSRNQTISFQLTGNYESALYSRTNGNQKFTRNSHLYCDVCKIRGHNKDNCWKIVGYPPEFKFKKRKFSEGGSAAYNVSAKENTQNEVLQAGNEQSEFKYGSDTNVFSHGKGSSSMDQIQSKPSQVEANHFRQEQYNHIVQMLAQHNPQVNQNSMSNTAANTAGMTSSMALNVSHKPNWIVDTGATNHMASRLELLNKLSVNKLGYNRTVELPNGDETKVTHTSLSSISDDNACQWSSIPVTTPTQQVQQHIQYEGRESCQQNEEEELRTVINEDTTQIPLQPSRKSGRSNLGELRYFLGIEFARSNQGILMHQRKYTLELISETGLSSSKPAATPMDTNVKLTTKLLDEYIKLRNSEKFNSNDQLADQGAYQRLIGKLLYLTVTRPDIAFGVNTLSQFLQQQKKSHMEAALRIVRYVKNQPGLGVLLSINKNTTLTAYCDSDWASCPHIRRSVTGYLVKFRDSLLTWKSKKQTTISKSSAEAEYRSMAAIVSELIWIIGLMKELGLNQYKKLFNRRGPSPSGASGPL >Solyc09g089500.3.1 pep chromosome:SL3.0:9:69686573:69687640:1 gene:Solyc09g089500.3 transcript:Solyc09g089500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFAHIILFFLLALFFQSLMARDLISDGIEVLQLPVENDGEFVCPGKLSWPELVGKSATYAKEVIQKENPNVSDIRVLYPGMMGPFNYVCGRVLLYVDWKAIVKITPRMG >Solyc05g005300.2.1 pep chromosome:SL3.0:5:240255:242752:-1 gene:Solyc05g005300.2 transcript:Solyc05g005300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKALEWLRPLVDSKNWEYCVVWKFGDDPSRFIEWMGCCCSGANGVDVNVKRENGGKQTFSSLCRDIQVQHPIRTKACEALAHFPHSISLYSGIQAEVVTSNEPKWINHAEISNSNLSHELKGTLVLIPVAGGLVELYNSKMIYKDQKTINFIINRFKLGSEEANSSVAQKEDQVLDFFPYEKSNFCAPLLQYATSFPSSAPHISQVSESSANPSIQGSSTGSIPSNELTLCHSPPDHLSRNVPLSQSTEGYFEHTELQCSGNLSRMEDTIFPWKQENYIVAGDMFSMGKKRQKGPYQSKNLVTERKRRNRIKDGLFTLRALVPNITKMDKVAILGDSIDYINELQEKVKLYKIELNKIEAEVTNNESTPEMVLSDMTEMSKVTGQTNEKTQISVNTTDRTRMEVEVNQIGAREFLLKVSGSRKPGGFTQLMEAMNYLGLELVNVSCTTSGGEIVSVYIVEANVDRFIDAQKLRSSLIELTS >Solyc05g010513.1.1 pep chromosome:SL3.0:5:4718246:4719156:1 gene:Solyc05g010513.1 transcript:Solyc05g010513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKASTILLYLAILLIFSSDVILMGKACTLASECPTRCRVGIPQCVDGVCICCVGPTCQGDQENINLGQIL >Solyc04g051240.1.1.1 pep chromosome:SL3.0:4:50104019:50104249:-1 gene:Solyc04g051240.1 transcript:Solyc04g051240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKYWTASYLSYFNYLLMILELLKSYYPFGNSRRANSQLATCWIPIQILQEIDGNSFMQSFPSYFFSFISCFRID >Solyc04g056580.3.1 pep chromosome:SL3.0:4:54482639:54485113:1 gene:Solyc04g056580.3 transcript:Solyc04g056580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISSLLLPPPPPPFINYNNNNNNIYGKSISIHQPHRFSFRVINDSNRTELATEDADKLVDGMDFGELCDEFECISSPSVEATARQLVRDILELREGNRALGTFAVSVKYKDPVRSFTGRDKYKRPLWITDALQNPKPSVQEMVMLSTSVLNIKWTIKGKAKSLIGSIGGDLMIKVNSRFTLNQISGQVVEHEEEWDLSGSSIIAQAYFWASRRLFATVEAGKDVVDIVNDLSSKSAKENKNMDVYPDPYGDPAKFFQRDDSFQRDFYQIALLLAVIYFVVQFLRTTL >Solyc05g007530.1.1.1 pep chromosome:SL3.0:5:2085614:2086732:-1 gene:Solyc05g007530.1 transcript:Solyc05g007530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESIISVLPHEIIVEILLKVPPKSLLKFTCVSKSWLELISSAKFIKDHLKLTANDKEYSHHRIIFQESACNFKVCCLPPMLNKERGTELFDIGSPMENPTIYTWSVGSVNGLICLYSKIEEPVLWNPAINKSKKLPAFGANLKNSCSYHLKYGFGYDETRDDYTVVVIQCIYEDNGSYDTVVNVYSLRADSWRTINKFQGNFLVNSPSKFIDGKLYWALSADVDTFNMCNIISLDLANETWGRLELPDSYGKSSYPLALGVVGGHLSVLCLNCIEGTNSDVWIMKDSGDEVSWNKIFTINHPKDLGEFIFFTSIFSVPCYKSNKDEILLLLPPAIMTYNGSTRQVEVADQFEECAAAEIYVESLVDPLLIS >Solyc06g050310.3.1 pep chromosome:SL3.0:6:33003542:33011851:-1 gene:Solyc06g050310.3 transcript:Solyc06g050310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATRSCSQAHLPLQSISFPPQFYKKFQFTKSKPSNSHPRLVIAKALGTGDNGDFEHFTATPNKIFMEEAIGAEYGEGFETFRPNGLLKVDVDFLNDRLQEGFLRRIRYAMKPDEAYGLIFSWDNVVADSRALMLDAWNQLASEEGLELPEDDSVQRLLLYATADHILHKALAGEKNEADQDRLKTRLSHLYQDKLLKISEPIEGLKEWLDAVSTARIPCAVVSSFDRRNMVEALERMGLMKYFQAIVTEEDGMESIAHRFLSAAVKLDRKPSKCVVFEDDPRGITAAHNCTMMAVALIGAHPAYDLGQADLAVGSFSELSVINLRRLYAHKGSNFMDLEKQIAEKAPRRRKLTIDTIF >Solyc06g064890.3.1 pep chromosome:SL3.0:6:40562480:40566582:-1 gene:Solyc06g064890.3 transcript:Solyc06g064890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQESLQRFKKQQEKCQAITSMAARAGPSKGAPPRPANAKPPAPAVKFSNDTERLQHINTIRKGPVGSQMKRVIDLLLETRQAFTPEQINEACYVDLIGNKPVFDSLRKNVKVYYDGNRFSYKSKHALKNKEQLLILIRKFPEGIAVIDLKDAYPTVMEDLQALKGAGQIWLLSNFDSQEDIAFPNDPRVPIKVDDDLKQLFRGIELPRDMLDIERDLQKNGMKPATNTAKRRAMAQVHGIAPKPKTKKKKHEISKRTKLTNAHLPELFKL >Solyc07g018035.1.1 pep chromosome:SL3.0:7:8924931:8932798:-1 gene:Solyc07g018035.1 transcript:Solyc07g018035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGIGIVQHSALISFSPQIIAAVLDSGEKSSLFSSSHRLLTLLSLSNFFQSRISSTDMNFDSQTGIMLSFEIMQLQWQVVHTISTSSIVSLLLQFSFVNICGYIVEEDRSSYKQSCTTRSKVDISFDQYFVVVDLLCLLDEMSDLYNYNYRGAIILKSQLLVLFNEYFYIVLELSAPIIMSYRHSKVVSIVALLALIISFPLWFAQSIEASGACGASPSSRLDIGESETLLPTI >Solyc02g089590.3.1 pep chromosome:SL3.0:2:51997164:52005150:-1 gene:Solyc02g089590.3 transcript:Solyc02g089590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSQNASYQAGQARGQVQEKGNQMMDRAANAAQSAKESVQETGQQMQAKAQGAADAVKNATGLNK >Solyc06g054670.2.1 pep chromosome:SL3.0:6:37549748:37551683:1 gene:Solyc06g054670.2 transcript:Solyc06g054670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTTNFSQFNLPSILCRKTTSSRRLSPVLAVASPTITSPSRHQVTHSMPPEKLEIFRSLEPWVSENILPFLKPVEKCWQPIEFLPDPSQGPEQFEEEVRALRQRVLGLSDEYFVMLVGNMLTEDALPTYQTMINTLDGVRDETGSSPCPWAIWTRAWTAEENRHGDLLRTYLYLSGRVDMLMVDKTLQYLIGAGVDIRLENNPYLGFVYTSFQERATCSSHGNMARLATQGGDPMLARMCGAIAADEKRHEHAYTRIVDKLLEVDPNATMLAIAHMMKMKIVMPMHLMYDGQDPNIFEHFSSIIERQGIYTSRHYAEILEFFITRWELEKLQGLSGEARRAQDYVCRLPLRVRKLENRVKKIEPRQVKFSWIFNKQVNA >Solyc02g079260.2.1 pep chromosome:SL3.0:2:44420884:44426676:-1 gene:Solyc02g079260.2 transcript:Solyc02g079260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNIQSLFKSTDCLRKEIWSSQYGKQIRLKNCSFSSCASINSVVLDDCSDEENEYYSSIVHQQVAKDKGYFDHTYYLSLLDSCLSEGSIIDAKKLHGKLLTLGFGADYRIGARFLDIYVAGGDLSSASQIFDNLPIGIRNVSCWNKLLSGFSRIKRNDEVFNLFSRMLGEDVNPDECTFSEVLQACSGNKAAFRIQGVEQIHALITRYGLGLQLIVSNRLIDLYSKNGFVDSAKQVFEDMVVRDSSSWVAMLSGFCKNNREEDAILLYKDMRKFGVIPTPYVFSSVISASTKIEAFNLGEQLHASIYKWGFLSNVFVSNALVTLYSRCGYLTLAEQVFVEMPQKDGVTYNSLISGLSLKGFSDKALQLFEKMQLSSLKPDCVTIASLLGACASLGALQKGRQLHSYATKAGLCSDSIIEGSLLDLYVKCSDIETAHKFFLGSQMENIVLWNVMLVGYGQMGDLDESFKIFSLMQFKGLQPNQYTYPSILRTCTSVGALYLGEQIHSQVLKTCFWQNVYVCSVLIDMYAKHEKLDAAEKIFWRLNEEDVVSWTSMIAGYAQHDFFVEALKLFREMQDRGIRSDNIGFASAISACAGIQALYQGRQIHAQSVMSGYSLDHSIGNALIFLYARCGKIQDAYAAFDKIDTKDIISWNGLVSGFAQSGFCEEALKVFSRLHGDGVEANMFTYGSAVSAAANTTNIKQGKQIHARIKKTGYNAETEASNILITLYAKCGSLVDARKEFLEMQNKNDVSWNAMITGYSQHGCGNEAIELFEEMRHLGVKPNHVTYLGVLSACSHVGLVDKGLGYFNSMSKDYGLMPKLEHYASVVDILGRAGHLQRAMNFVETMPVEPDAMVWRTLLSACIVHKNIEIGEETGHRLLELEPQDSATYVLLSNLYAVLGRWDSRNQTRLLMKDRGVKKEPGRSWIEVQNTIHAFFVGDRLHPLANHIYDFVEELNKRVVMIGYVQDNNSLWNDLELGQKDPTAYIHSEKLAIAFGLLSLHEMIPIRVMKNLRVCNDCHNWIKCVSKVANRAIIVRDAYRFHHFADGQCSCNDFW >Solyc08g075710.3.1 pep chromosome:SL3.0:8:59959461:59966183:1 gene:Solyc08g075710.3 transcript:Solyc08g075710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTTTTTSSSETLQNSSISVADKKTSQQNGDNNNQVLRGVNVGTFKIELHFFMKLRVSAQREAAIPMGDYNGAEYIGINEVPSPRANNSNKVSLLPLIFLIFYEVSGGPFGVEDTVQAAGPLFALLGFLIFPLIWSVPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVMFLDYLKSAIPALGGGLPRIVAVLALTVVLTYMNYRGLTIVGWVAVSLGILSMLPFVVMGLISIPKIRPERWLVADVHSIDWNLYLNTLFWNLNYWDSISTLAGEVRNPKKTLPKALFYAVLLVVLSYLFPLLIGTGAVPLERELWTDGYFSDIAKILGGVWLRFWLQGAAAVSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPILGIIFSASGVLLLSWLSFQEIVAAENFLYCFGMILEFIAFVWLRIKYPNAPRPFKIPGGIIGAILLCVPPAILIGVVLAFSTIKIMIVSLAAVAIGMVLQPCIKLIERKRWLKFSTSSDLPDITAHGPLIR >Solyc08g062610.3.1 pep chromosome:SL3.0:8:51631746:51634750:1 gene:Solyc08g062610.3 transcript:Solyc08g062610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVQLPYDATVRLMLRSLERNLLPDTVIRRLTRLLLAARLRSGYKPSSELQLSDLLQFVQSLKEMPIAVMTEKPKSQHYELSTSFFKIVLGKHLKYSCCYFKDKLSTLEDAEKAMMELYCERSQLKDGHTVLDVGCGWGSLSLYIAQKYSACKVTGICNSVTQKAHIEEQCRELQLQNLEIIVADISTFEMEGSYDRILSIEMFEHMKNYGDLLKKISRWMKADSLLFVHYFCHKAFAYHFEVYIRLADQCVSVSSTSIIDAASNIVQDVNDDDWITRYFFSGGTMPSANLLLYFQDDVSVVDHWLVNGKHYAQTSEEWLKRMDENKSSIKPIMESTYGKDSAVKWTVYWRTFFLSVAELFGYNNGEEWMVAHFLFKKN >Solyc02g044020.2.1 pep chromosome:SL3.0:2:155577:156238:-1 gene:Solyc02g044020.2 transcript:Solyc02g044020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKRLYGDNIWAQCSEELEGLNKDFRKMLGEHAEFKTLNLKDILTASDGTKKLEDGLVIETVLIPCERGRNTVCISSQVGCAMNCRFCYTGR >Solyc06g075970.3.1 pep chromosome:SL3.0:6:47298904:47302364:-1 gene:Solyc06g075970.3 transcript:Solyc06g075970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSSESSRARAMWRTCLASALRTALACTIVGVATLFGPQYIKNQVALPAFSYVTVILVVTDATLGDTFRGCWLALYATIQGVCPAMLSLWLIGPARLTAGTTAIAVALSAFLVVVPENTHLIAKRIALGQIVLVYVIAYINGGQTETIMHPVHVAASTGLGVVACVLALIFPYPSLACCEVKQNCKLFAENASERFNLFVKAFTAEDNSSALAFISQAKSLVKTGSKLLQDIKTKQESMKWERFPFKFLRPYGENPGSRFQDVQIPLRGMEIALDNSPPFPVEILNTDQKSVLHMLGDHIPKQVNSISLESSATVPESNQQNTQMFFQTLQPTKKDLPSLFFLFCLNLLLNKPITNSPSSTNPKQQNQEGFFQNYLSITKSNKRFMAAFKCSLSLGLAIYFGSIYSKDNGFWAGLPVAISLAGSREATFKVANVKAQGTVLGTIYGLLGCFVFEKYVQIRFLSLLPWFIVSSFLRQSTMYGQAGGLSAIIGALLILGRKGFGPPSEFAIARITETFIGLSCSIVVEILLQPTRATTLAKLQLSKSFQILNESISLISFGSIGNLVESQNKLKTHVIEMGKFIAEAEVEPNFWFVPFHSVCYRKLMGSLTKMLEYLHFGSQAFMLLEQESGGLIDNFVHKLDGDIKLFKDFVGSSMKCFEEVSLVKSLAILDKEFEKKKLSVDVELGTSQSSSYCNIIRYASEEEIDDNFRSYFEHSKEFVDQIVNGEELKGQVVLSLSALGFCMDGLVKETKEIEKAIKELVQWENPSSHVNLHDISCKVRALANIIVAN >Solyc05g013660.3.1 pep chromosome:SL3.0:5:6959439:6963942:-1 gene:Solyc05g013660.3 transcript:Solyc05g013660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGRGSNSLKSTETMRLMLSVCCGIIFGLIIGISFPSSSLTKLNITSSIISNFPIARDRNNVVSDEKPADPSIKTQNATDQLKIWVPSNPRGAERLPPGIVASESDYYPRRLWGKPSEDLQSKPKYLVTFTVGIKQKRNIDAAVKKFSDDFTILLFHYDGKTTEWDEFEWSKKAIHVSVRKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDAKEYIKLVKKHGLEISQPGLDPRRGTTWQMTKRRGDREVHMKTEEKPGWCADPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCVDPAHKKIGVVDAQWIVHQALPSLGNEGEAKDGKAPWRGVRDRCRKEWTMFQTRVANAEKAYFKSIGVDPSNFTSY >Solyc06g065125.1.1 pep chromosome:SL3.0:6:40740936:40742411:1 gene:Solyc06g065125.1 transcript:Solyc06g065125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPELQYIPKEALIGHPTGLFRVRSGYSLLERVSWPWKYSKAPLKLLRSWVLFSISEALLSGEVH >Solyc07g042560.3.1 pep chromosome:SL3.0:7:56138699:56145930:1 gene:Solyc07g042560.3 transcript:Solyc07g042560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGVPGTPLSKTGRTPSRFPGSRRTIPSSTPGGPKLREEKILVTIRVRPLSPKEQAAYDLIAWDFPDQQTIVSKNLIHERHTGPYSFDNVFDPTCSTSKVYEQGARDVALSALNGINATIFAYGQTSSGKTFTMRGITESAVNDIYEHIKFTTERDFVLKFSALEIYNETVVDLLNRESGSLRLLDDPEKGIIVEKLVEEIVKDGQHLRTLIGACEAQRQVGETALNDKSSRSHQIIKLTIESSIRENSGRVKSFLATLNLVDLAGSERALQTSADGTRLKEGSHINRSLLTLTTVIRKLSGGKRGGHIPYRDSKLTRILQPSLGGNSRTAIVCTMSPALSHVEQSRNTLCFATSAKEVITTAQVNMVFAEKQLLKHLQKEVSRLEAELRSPDLSASPCFRSLLMEKEQKIQQQPIGSVLLLIFTCEEFLVSLHNPVENSRLTEASYLITAYNMEEEMNELKRQRDLAQSQLELERRSKKELKASDHHGPSRQVVKCLSFTTENEVVSGSLSTPLGRKKLLDRQAAIRRSTNSTNPSMLVHEIRKLEMRQRQLGDEANHALQLLHKEFASHRIGSQGATDTIAKLFAEIKELHKISSVPEQIEIKDKASLKEEIARLRSQENNIASLEQKLENVQRSIDELVTHLPSCQESVDLRTPKKKKVLPFNLSNTSNIPNIIRSPCSPMSPSSCNIVEGEIENRAPEFTNVGSAGDSFCSQKSTPIRRTDSNCISSREGTPGSRQSNSVNMKKMQKMFKKAAEENIRSIKAYVTELKERVAKLQYQKQLLVCQVLELEANEAATDEADITEQSPLSWHLVFEDQRQQIIMLWHLCHVSLVHRTQFYMLFKGDPSDQIYMEVELRRLTWLDQHLAGLGNASPALLGDDPAGYVSSSIKALKQEREYLAKRVSSKLNAEEREMLYMKWDIPPEGKQKRRLQLVNKLWSDPLNMQNARESAEVVAKLVGFCETGEHVSKEMFQLNFVSPSDKKTWLGWNLISNLLHL >Solyc04g072440.3.1 pep chromosome:SL3.0:4:59534760:59543360:1 gene:Solyc04g072440.3 transcript:Solyc04g072440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKTSCCGICENSNLPSVCTLCVNYRLNEYSTVLKSLKGRREALCGQLSEILLAKGKADDQLSWRVPRNEKLARLREKLRQQKEQVSQGKAKIEKMSHDLKVQYELLGSATRMMAITSELLHKQSVVVKQICKLFPQRRVTIDGDKKDGSSGQYDSICNARLPKGLDPHSVPSDELSASLGVHVLEYGNEILTGMPVRRLEGGEASWYDRSSSNSGTSSNFGVTSMESDRKPRLDSSSSSSFNYASASLHSIETHKDLQKGIALLKKSVACITAYCYNTLCLEVPAEASTFETFARLLATLSSSKEVRSVFSLKMSGSRASKQVQPLNKSVWNVDSAGSSSTLMESGHVPVLRNTFEKSLPSSGGNLMYATEVSNVGRNENLIEDWDLIEHPPFPPPPSHTEDVEHWTRAMFIDATKK >Solyc01g055165.1.1 pep chromosome:SL3.0:1:51457189:51458941:-1 gene:Solyc01g055165.1 transcript:Solyc01g055165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNFDGNHMKIFISSFINRGRSPTLFLLNVKVKLFPNGANLPNYYYEAKKIVKVFHMIRLMLVLVIACYTERRIAYTILESFMPRLQRLFMSTKTTTILTWHKDDIFDDSIMRKPADSMAWKSFDELDPSFAVEPRNIRLGLASDGFQSFQNS >Solyc10g018560.2.1 pep chromosome:SL3.0:10:8737192:8739459:1 gene:Solyc10g018560.2 transcript:Solyc10g018560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4CYY0] MDVYGRLTPEVFRIDDFLDFSNEEDIFSSSKTAIDFDLNHHYQPPPTDSIADTGCYYHAPPNSVDFTDKLCVPSDDVAELEWLSNFVEDSSNNFPSNNLTQTMYHLNNTNTILHSKSRSKRSRNSNSTSWNTSSLQRHKSANQKNSNQDENSGDYNSNKLSNNSKIITSRKCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEIIDQQQQHGMYGHHYPVC >Solyc06g059980.3.1 pep chromosome:SL3.0:6:38024934:38040843:1 gene:Solyc06g059980.3 transcript:Solyc06g059980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIKWAALGGLVLSFASLIVHLFLAKSSADLVQYTAITVFTEDLTPTLAIRKSPAFRKLWRNVKSLEPLHPYPNPRSTYPVAVEQNNGFIYAKISGSFEKIRNSIVDLVAISRLLNATLVIPEIQESARSKGISSNFKSFSYLYNEDQFIAALANDVTIVKSLPPILMEARRRKEYQIFKPKSSASPRFYTSEVLPRLKKAKVIGLILTDGGCLQSALPSSMVEYQRLRCRVSFHALQFRPEIEALGKLMVERLRASGQSYLAYHPGLKRDALAYHGCAEIFQDVHTELIQYRRAQMIKQGIISEELNVDSHARKRNGSCPLMPEEVGLLLRAMGYPPRTRIFLSGSETFGGQRVMIPLRAMYTNLVDRTSLCSKTELANLVGPETPLIPDPDQLLPVKSPNQLKSEWDKSGPRPRPLPPPPDRPIYRHEKEGWYGWVAEKDKEPEPSLNDQREQAHRLLWDALDYIVSVDAEVFFPGFDNDGSGPDFASLVMGHRLYEMASARTFRPNRKYLAELFNTTVDHLYYPPRNWTFAVREHLNKSLAEEGLLKESNLSKTKFFLSHPIPECSCSTVKATDIVHSGKNNNLHLLFEGQDECPKWMQQLRTQEANADEVDSQEDETDLEGQSESEGYNGADAIPSLEQDEEMDPDD >Solyc08g068320.3.1 pep chromosome:SL3.0:8:57479878:57490539:1 gene:Solyc08g068320.3 transcript:Solyc08g068320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDRISTPSDGTSNSYQRVRPLHGRTSGPTRRSTKGQWTPEEDDTLCKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEVIGELVKKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPGINKEAWTQEEEMTLVHAHQIYGNKWAELTKFLPGRTDNAIKNHWNSSVKKKLDTFLTSAPLAQFPALPIVNHQNQSIRSSIKLQQSSEDDSVRKEGTEMEEVSECSQGSNLAGCSQSTSDMGNTFAHTREEGKLLEDSNYRKDPSASSAPCSEYYTPAFEDITFSVEEVPSELAESKLLEHNFSHDWATSMGKDWQFNPDDIPNVSPLELMQDSSGLFMQCLTGTENHDMVSFPQQNGMKFETTNDGSMVVGLHQPNEMFTSVEGFRMVYPEAEIPQCFPSETAMNGVDETAGSLIYQISEGGNMSIENCNPLCSDVMGTSSCQPCSVPSQFSSEQSSFMFGIASNQFHNPPHPAHESQTSKCDSFPYPIESDSPCDNIMDDPLLKDQLDQTKDSVQLVSVNDFGSTSSNTIQTCPMVNGNSAVKEEKKDGGALCYEPPRFPSLDVPFFSCDLIQSGTDTQQEFSPLGIRQLMMTSVNCLTPFRLWDSPKRDGSPDAVLRSAAKTFTSTPSILKKRHRDLVSPLSEKRCGKKLESDLRRESFSNLAKDFSRLDVMFDDSANEKAMSSLTKDQTVELQASDEDKENINPTEDGRKEEDKGCNGHSICGTSGRQLDGGEVHYKDQGTREGKRIGANAAIGKIKQPSGVLVELNASDLFFSPDRFGANADRATNLSNKALGNQYARRIEAASNQGSVSSSFETSCFSVICSPRIRGKKDGSSFVITTSMQSAPTSTALENSAETSGNGVGAETVSISGETPYKRSIESPSAWKSPWIFTPFLSSPRLDNELTFEDLALFMSPGDRSYDAIGLMKQLSEQTAGAFADAQEVLGGDTPETILRGRNSKNQKADENHSLLSANAMSERRTLDFSECGSPGKGKETEKCCSSNNSFSSPSSYLLKGCR >Solyc06g033786.1.1 pep chromosome:SL3.0:6:23143153:23144204:-1 gene:Solyc06g033786.1 transcript:Solyc06g033786.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSGIIQASQSPYSSPALLVKKEDGTWRFCVNYRGFNDLTIKDKYPKPIVDDFWDELHGAIFSKVDLRAGYHQIRMKIEDVHKMAFWTHMGHYEFRSVDVETAFEALKIAMTTTPVLALPDYTQEFVVDTDASHGGIGVVLIHQGRPIAFFSKVLAPKHRGKSIYAKEYMALLNAVDKWRQYLQFKHFMVKTDHHSLKYRLEQ >Solyc04g011530.3.1 pep chromosome:SL3.0:4:3961733:3968830:1 gene:Solyc04g011530.3 transcript:Solyc04g011530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRRFISFLRRSPSASGKVVAEEGKAKTWGRRIVSGTLICLTGGVALSALDDLSIYHSCSSKALERASKNKPIIDAIGEPIVRGPWYNASLAVAHQRQSVSCTFPVSGPQGTGIFQLKAVRNGEDKWSSFLRASDWEILIMEALVHVPGNEEKQQTFRIKVSDYLPPPASNACTDCIPQESSGNVDKK >Solyc03g116975.1.1 pep chromosome:SL3.0:3:67732137:67735083:-1 gene:Solyc03g116975.1 transcript:Solyc03g116975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNRVPMSIEHSDFWVKYVMQFSWIQLNSNNYHTIFGRMVQGATMYVVTCYLSLSKVISLRFLRLKTCFLNCVALEKGNDSLACASVSEEECNKKLLEVGSELLQPPSSKEELLEKHDIWRCYVYAILSSYEVRAGIAPVSDNIFTKCTDFYSDAESDVLEISRKHRMITTRSLNGQPLR >Solyc01g017877.1.1 pep chromosome:SL3.0:1:26025760:26027200:-1 gene:Solyc01g017877.1 transcript:Solyc01g017877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRFEAIANQTDYMEDRLMLRLYISGLREDFKISTLIQERRIYVEKGPIRPALANKGASLLPNQNVASSYQNTSAAIAINNNLNRASARSLLKHLSLAEIPNRHEFLAEELQCLEVQEHSAISYHALDGGNFSSMLRYFGHVNGSPVQALVDGGSDHHFIQARKVVTDYGERTFQFSYQGTNNISKGLEKTSVKPIQLHSLGRDTTTSAISSYYCLQIVSTVPTHDEQKKVMDEMATEMLAEGVIRASTSPFSSPDGTWRFCVEYRAQNAVTIRDRFSIPTVDELFNELYGAMYSSKLDLFAVYLQI >Solyc12g005070.2.1 pep chromosome:SL3.0:12:28437:31682:-1 gene:Solyc12g005070.2 transcript:Solyc12g005070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4DB45] MTSNLKALDCTLRLFVIPLSIASIWLSITNQQNNSSYGKLEFTNFTGLKYMVTISAASGGYALLTAIALWVRGLVTKAWVFFVSDQLVAYLMVTSLAAIWEILYLAYNGDQMVSWSEGCTSFGKFCSRLKLVLVLHAITLCCFLALAVISAYRVFSKFQPPSKDNEEEKEMH >Solyc11g008495.1.1 pep chromosome:SL3.0:11:2672969:2674190:1 gene:Solyc11g008495.1 transcript:Solyc11g008495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFNEMQAMMKDPFGNYVVQKVLETCDDQSRELILSRIRIHLTALKKYTYGKHIVSRVEKLIATGEKHVALSMNSSRGNPVLKN >Solyc05g052760.3.1 pep chromosome:SL3.0:5:63804571:63810291:1 gene:Solyc05g052760.3 transcript:Solyc05g052760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:K4C1V6] MSTQTYRVCFCFRRRFRVVAAEAPADVKNLFNRYSDNGVMSADNLHRFLIEVQKEENATLEDAHAIMNNLHDLKILNIFHRRSLHLDAFFKYLFADINPPLNSKLGIHQDMNAPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIQALHRGVRVIELDIWPNSAKDDVEVLHGGTLTTPVALIKCLKSIKEHAFAVSEYPVVITLEDHLTTALQAKTAEMITQTFGDMLFTSDSCLKEFPSPESLKRRVLISTKPPKEYLQAKEVKETGATKGTDDTEAWGREVSDIKARYNDKYDSDEGEADDDDEEDPTSQQNTAPEYKRLIAIHAGKGKGGLSDWLRVDPDKVRRLSLSEQELGKAVVTHGKEIIRFTQRNILRIYPKGIRFDSSNYNPFNAWTHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDILLKAGPSNQVFDPEASLPVKTTLKVTVFMGEGWYYDFEHTHFDAYSPPDFYARIGIAGVDADIVMKKTKTLEDNWIPTWDEQFEFPLTVPELALLRVEVHEYDMSEKDDFAGQTCLPVSELRQGIRAVPLHSRKGDKYNSVKLLMRFEFI >Solyc12g039045.1.1 pep chromosome:SL3.0:12:53120432:53121546:-1 gene:Solyc12g039045.1 transcript:Solyc12g039045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSYQFHLQINYTMSETQTNAKWDNDAHLKFIELCELEIRKGNRPNNHLSKDGWKNTIKHWDGMKAEWTLFKQLTRGDTCIGWDATKNTIMADDDWWNYFKEDVRYKKFRNKDLSLIWFRYDALFSDIVATGERARASNQSQFFESEVDCDEERQNGIDNDDMEHFINTNNEGGDESDDPEEMNSSMFPKPSIKRQNSTDGIGNSNQVKKSKTKSTATSMKEDMQSLLELMSNKSTATSHAVDDPTIDKYNYFMNMFLKKDIRQVFLKMPTDEVRKSWMEYNYKLYLKKV >Solyc04g072050.3.1 pep chromosome:SL3.0:4:59181258:59200858:-1 gene:Solyc04g072050.3 transcript:Solyc04g072050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLAIALKSLFSVLGCLITATVIYTVATDGLPFRIELLTPWMAATLIDFYIHIFVIWSWVVYKESNWITAILWVVLLVCLGSIATCGYIVLQFLKLSTQESLQDPIYFVLLRRQEKTETEQQKKCSLLTARILFPALGCLMLGTLIYTIVTDGSPFRRDVFTPWVIATVIDFYVGVVALSVWVAYKESSWLSAALWIILIICSGSISTCAYIALQLFNLSSQDPVYLVLFSSRNRAEKGYEVTSRTESIGEGQLRKKLCG >Solyc03g007520.3.1 pep chromosome:SL3.0:3:2089215:2095201:-1 gene:Solyc03g007520.3 transcript:Solyc03g007520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDFRNRTGPPYDTQSPMYGRPATGAPQPHPMYGQAPGLYPRPGQHSGGRNPPFHHTPPPSSNTGIGIRVAIKPEYRITPPPQLSTQVGEIPRSTFNFDFDLEKKILAEAEKESQNWSKLGLENLPPIMPEQPSMGYTGDLMMNKYATAGFNREAVAIAVANYGDNPIKVKEFVEGYTLLKEMGFSSNSVADALLMNDNDKDKAAAQLLGNSS >Solyc03g031690.3.1 pep chromosome:SL3.0:3:4177214:4181324:-1 gene:Solyc03g031690.3 transcript:Solyc03g031690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLFEDIFTVTQKDPDGKKFDRVNRIEARSEQFDMFMLLDVNTEIYPMRVKDKFMMVLASTLNLDGTPDTGYFIQGNKKSLADKFEYVMHGKLYRISEEGSGKHVKADIFVSFGGLLMQLRGDPSIAAKFELDQKIFLLIRKV >Solyc09g008170.2.1 pep chromosome:SL3.0:9:1623104:1623646:-1 gene:Solyc09g008170.2 transcript:Solyc09g008170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMFGFHQLIFKSKKRSNNNPTTSSTDQFYDEMPRGETLLVPSDVKEGHFVVVSMNPEEEPQKFVVELHWLNHPSFLKLLKQAEDEYGFKQQGVLQFPCRAGELHRVLSTGCSN >Solyc09g075320.1.1.1 pep chromosome:SL3.0:9:67460771:67461367:1 gene:Solyc09g075320.1 transcript:Solyc09g075320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDDYSSSTAIGSCCDDDTPHYAFSGKLMLTSVLLFFFVCFLIAFFHLYANRFLLRRARRHHNRRRRYRHQIPAPPIADSSSQGLDPLLLKSLPVFVYDAEFYNPPIECPVCLAEFENGETGRVLPKCNHCFHCECIDMWFQSHCNCPICRAPIQVKAIDVKESGEDEVVIMVNESVIEEVQNCESSQSDDGKISVE >Solyc01g080580.3.1 pep chromosome:SL3.0:1:79673233:79678423:-1 gene:Solyc01g080580.3 transcript:Solyc01g080580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEKMLLLNELIVMLTMVLILLVNGVKGHRILVDTDMDTDDFFALFYLLKLNTSQMDLKAITISTNGWTDAGHAVNQVYDMLYMMGRDDIAVGVGGEGGILPNGTILPDVGGYLPIIDQGNATAGYCRYRQAIPVGPGGRLDINSNFGFRKSFLPQGKRHYSPLRQPTVQQVMINTISSGPTVVFLIASHTNFALFLLSNPHLKKNVEHIYIMGGGVRSRNPTGCCPQKVSSSCQPQQCGDVGNLFTDYTSNPYAEFNFFMDPFAAYQVIHSGIPVTLVPLDATNTIPVTEKFIETFQKNQHTYEAQYCFKSLKMARDTWFDDQFNTSYFMWDSFMSGVAASIMQKQHNQHGENEFAEMEYINITIVTSNKPYGISDGSNPIFDGHITPKFSVARNEVHSGHVQTKLRDPFCIEKNRRGRCQDGYTKEVFGPGGVPILVAIRAKPNRNANSELDREFFVSFLDVINRRENAGRFNFSTQFPYYRKVLYKPDFRGKHLGKNVVFDMDMSAGDFLALFYLLKLPIEEINLKAIIVSPTGWANAATIDSVYDLLHMMGRDDIPVGLGDVFAMNQSDPIFYAVGDCKYNKVIPQGCGGFLDSDTLYGLSRSLPRSPRRYTAENSMKYGALRDTDHPELRQPLALEVWESTVKSLRPGSKITILTNGPLTNIAKIVLTGENTTKAIQDIIIVGGHMNHDNIENGNVINVPSNRFAELNMFLDPLAAQTVLSSDLNITLIPLGIQQKVSAFPEILEMLNLTKRTPEAIFARRLLSRLHHLKKRHPKYKHMDTFLGEILGAVVLAGDHSVLESTFGVKNIKVSASGCESEDGQILIDEKQGKSVRVLENVDPLAYYNAFANRLGDVKQSAIVGSFDKQRRIWNTPFNRKKTTP >Solyc07g047800.3.1 pep chromosome:SL3.0:7:59138877:59144117:-1 gene:Solyc07g047800.3 transcript:Solyc07g047800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPGKKVLLTSNGDEICNNIAYHLAQRGCQLVLMGNERQLKSVAENIKQSLKGSVAVEVVGLDMTEDRETAFDEAVDKAWKIFGKLDALVHCYAYEGKMQDPLQLIDDEFKKIVKINFMAGWYLLKCIGNRMRDGKSGGSIVFMTSIIGAERGIYQGAAAYGSCAAGIQQLVRLSAIELGKYQIRVNGILRGLHLEDEFPLSVGKERAVKLTKEAAPLNRWLDPKKDLASTVIYLISDDSRYMTGTSIFVDGAQSLVRPRMRSYM >Solyc12g035730.1.1.1 pep chromosome:SL3.0:12:44465071:44465451:1 gene:Solyc12g035730.1 transcript:Solyc12g035730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWGKSKDKKKGIIPASYFNSFISIGGYSLFLIAQEREISKSLDFTTMHLSNIFFLLQVRKEHHIIGYTIQKHRSKGLGPLFIGQWNIYAQNPDSSSHLFGTTVGAEMAILTLLRDSTLKHKIYY >Solyc07g051805.1.1 pep chromosome:SL3.0:7:60413352:60415498:-1 gene:Solyc07g051805.1 transcript:Solyc07g051805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVHQNLVYEASWPSRPIRPILNEPEALIPPNLDVFRVLYQTIFRVIQISTSKIQNIFVDVRQYLAYASSWPSRQPVGHHGQSNSFSRTNEPRSAHTPISMIFVCYSKPFFGLSRLRRQKAKFFCGCSSRPCLCSRLAIMSNLTYLQGQKSPEASIPLISTIFVCYSKQFIGLRRQKFKIFCGRPSRPCLCIRLALTASTTNFEGQTCPEAANPSFRRFSCAIVNHFWVIQNKTSKIPKIFVDVRQDLGYASSWPSRLVRPIFKVKQSSKRAYPTIVTNFGDPNSDVKKANFFVDVLQDLVYAAGWPSQSIQLIFKVKRAPNQANLSFRRFSCAIANLFLGDLDFDVKNAKFFYERPSRPCLCSLLASTSNSTHFQGQTSPEEGIPQFRRFS >Solyc10g008060.3.1 pep chromosome:SL3.0:10:2199203:2203793:-1 gene:Solyc10g008060.3 transcript:Solyc10g008060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NGWSNICLLLYSRQEQTEPFSPVNLKFHQKMAYTIKFRPIIPSTIKFNLFSPHFQTISTAKSTTITSSCSIHYPELPNQWTGLHNWRRGSLNHHRFWGPNGPDNVPQSSNLIEESALLDSISSASSLAEMGAAVLSTSDPLLKSKLTHLGYCRWRQEGLPIGNFEPPVRPARPSKPHLVPPKEIPSPKHSGLSLNAYMLHNLAHVELNAIDLAWDTVVRFSPYSDLLGEGFFADFAHVADDESRHFAWCCQRLSELGVSYGDMPAHNLLWRECEKSSNNVAARLASIPLVQEARGLDAGPRLVQKLIGFGDHRTSKIVAKIADEEVAHVAVGVFWFVSVCEKMGRAPCTTFRDLLEEYNVEVKGPFNHLARNEAGLPREWYDPSSSVEDKQKKLSQVYDRLECIIAMEKDNSSLNSPHG >Solyc07g008010.3.1 pep chromosome:SL3.0:7:2709068:2711322:-1 gene:Solyc07g008010.3 transcript:Solyc07g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKDVKTRMKRGFWKPEEDLILKNCVETHGEGNWATISEKSGLMRSGKSCRLRWKNYLRPNIKRGMMSEDEKDLIIRLHKLLGNRWSLIAGRLPGRTDNEVKNFWNTHLNNKRSCRGKKKHVKSKEANTQSTQGKMQEYPAETVSNQEVATKTALDSWIEEMQDLLSPPTMNNMPFLEDEPFIPILDDIVLLEAFTSTGKETWNEIQPFL >Solyc09g009390.3.1 pep chromosome:SL3.0:9:2835231:2841364:1 gene:Solyc09g009390.3 transcript:Solyc09g009390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKSFKYVIVGGGVSAGYAAREFAKQGVKPGELAIISKEAVAPYERPALSKAYLFPEGAARLPGFHVCVGSGGERQLPEWYAEKGISLILSTEIVKADLASKTLVSAAGESFKYQTLVIATGSTVLKLSDFGVQGADSKNIFYLREIDDADQLVEALKAKKNGKAVVVGGGYIGLELSAVLRLNNIEVNMVYPEPWCMPRLFTEGIAAFYEGYYKNKGVNIIKGTVAVGFDTHPNGEVKEVKLKDGRVLEADIVVVGVGARPLTTLFKGQVEEEKGGIKTDAFFKTSVPDVYAVGDVATFPLKMYNEIRRVEHVDHSRKSAEQAVKAIFASEQGKSVDEYDYLPYFYSRAFDLSWQFYGDNVGETVLFGDADPNSATHKFGQYWIKDGKIVGAFLESGSPEENKAIAKVAKVQPPATLDQLAQEGISFASKI >Solyc10g084020.1.1.1 pep chromosome:SL3.0:10:63812124:63812519:1 gene:Solyc10g084020.1 transcript:Solyc10g084020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKISNCMVMLKHIMRKLKSTHLQLIPKYSNGDQFDVVEMETPRANNEVVPNDVKEGHFAILSVNPEEEPKRFIVELHCLTNPSFLKLLKQAENEYGFQQKGVLEVPCSAAELQEILGASVLHTENWIA >Solyc10g077000.2.1 pep chromosome:SL3.0:10:60026041:60033338:1 gene:Solyc10g077000.2 transcript:Solyc10g077000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVIFTIEVCYRTVRNHPFLVGMLCFLVFLYRSFPFVFSILVSVSPILVCTAALLGTLLSYGQPNIPVFEWEENTTDHIVPLETRDAILVESDNSYFTERYSDQERDKVDQSTRSEVHRGKRFGDHSALIGGVLVGGVTGQVAREFYEHKNEDKFSDAELTEDQYSPTSKGNEESVEFDNDKSVDSFDSRRANFDSPPGSPWKRREEALYSGSDGAESSSPDASVADIMPMLDELHPLLEEDNPQPVGLSRDVSHAPSESSTKSPESDDESDDDVSEDQEEVEVADDENEDEEEEETRDTEGPKSAITWTEEDQRNLMDLGTSELERNQRLESLIARRRSRKNMSFTDEKSLIDLVSVDFMPPISTARQNPFDLPNDNYGPGLPPIPGSAPSILLPRCKRNPFDLPYDPSEEKPDLTGDTFDQEIITSQPKETFFRRHESFNVGPSIFGLNKKDTRFRPYFVPDVMAAEGTSYSPLQRHPSDLSDSKVSSVLETESQGSIEDLEYKNLNEEDVEDKNLNEELEGKDLTDEHIFKEPKLISKIEHATEDIGHGSQSSEEVECLVLGASDKRDIELDDTDVTLPNVENHHDLVPNVFHEETAASSMVLDPSKICSKSATSEQKCSSQSSSSSSSEVGEKIFADKVVVRSSSEESTCHVETISEHPSPNVSDLNITSSSVEESSHLEPIYDASPPSIKKNTSSSSIASDLLLESETGHASEREPVANNRDIEKSIPTKEQIFSSADSKELRLREAITDKHELDVAKLEISNNDEVFGSASALPVPVADSQTSIDSKSSTKEAPVSTEKARTTESLNVSKVQEVPPSITSPRSPESVKTSNAKVATEESESSKNGPVHKVSSLKDHEMGLPTLEPRPTGDIDLINKQTLEENADRRVLHDSIDDVHVRESKGKGVSLHSQDVGADLAVKQVMKNDIDKPNTSLQQALKTESREASSSKNSESSLKKIVVSGRSEADAGKIDQEVVKQEDKVTTTEKPNLAVELPQETGVVTGDVIVKKENHTSQAQVQVPVTSKENVDS >Solyc12g076357.1.1 pep chromosome:SL3.0:12:22655581:22656103:-1 gene:Solyc12g076357.1 transcript:Solyc12g076357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIKCHHCPLDNTYGRTTLSVACRRILGVAFHHRNWTGINRVRQCRAWHAIISLENIPYQTTSGMPCHHRPWETTHSETKSGVAWHHCPREAYVVELRRMCTHGETTSSMEMMSLHLHSTHRLEYVGHGMTHVPWAA >Solyc11g073255.1.1 pep chromosome:SL3.0:11:56570070:56573155:-1 gene:Solyc11g073255.1 transcript:Solyc11g073255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSTRSASAAARIIYFDQVMTIKIQDICTGRDHLSVFLPVVYGEAMHATVNMLSMLISASWYSYQSREPHFRRVTGLLHQLFEFLVAIQSKLTPYIEADGRKDYQPRLYKFFNVKLSINFIMCTSQNIFT >Solyc04g011630.2.1.1 pep chromosome:SL3.0:4:4103151:4106632:-1 gene:Solyc04g011630.2 transcript:Solyc04g011630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDSRNYKGLYDATSGIQLKDEDDDKLFFQDLNLIDHLRVSDALVERNLEPGDFVPSAMDNSHEDYDFSDVVLKYISQLLMEENIEEKTCMFQESAALQAAERSFYEVIGEKYPLSPILDLGQDGRRGVDCSTNNYYSCGSDVTDGLLCPNWNPDLGDTDASHTQQFVVDSGTSQSSLSSPSSSGTVTDAHVDSPVNSIQIPDIFSDSESIMQFKKGVEEASKFLPTGNSLLLDVKYNVVVKEDNENGKYAVEKMEDRGKQKSPEGSRGKKNIHHDDVDVMEERSNKQSAVFYESAVRSDLFDKVLLCSGGKNESALRESWQVVSSKHAPENVLPKGSNGRKSRGKKQGGKRDAVDLRTILTLGAQAVAADDRRTANEFLKQIRQNSSPTGDGMQRLAHYFANGLEARMAGSGTQIYKDLISMPTSAADILKAYQLFLAACPFRKLSNFFSNKTIMNVAETASTVHIIDFGIMYGFQWPCFIQRLSSRPGGPPKLRITGIDFPNPGFRPAERVEETGRRLADYAESFNVPFEFIAIAQKWETIKVEDLKIQKDEVLAVNCMYRFRNLLDETVVVNSPRDIVLNLIRKLNPDVYIQGIVNGAYNAPFFITRFREALFHYSSVFDMLEANIPREIPERLLVEKLIFGREAMNVVACEAAERIERPETYKQWQVRNTRAGFRQLPLNEEILRMAKDRVKAYHKDFVIDVDGHWLLQGWKGRIMYAASTWKAAL >Solyc04g050622.1.1.1 pep chromosome:SL3.0:4:47683564:47684007:1 gene:Solyc04g050622.1 transcript:Solyc04g050622.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKESSRLHPVAPLLIPHESIEDCEVDGFHIPKGSRILINVWMIGRDPDIWVEPEKFKPEKFQENNIDLRGRHFELLPFGSGRRSCPDLQLGLTAVHLLLAQLIYCFDWELPNGMTPKDIDMTKKFCLVTTRVQHLMLIPKYRLHI >Solyc10g085160.2.1 pep chromosome:SL3.0:10:64561217:64565088:-1 gene:Solyc10g085160.2 transcript:Solyc10g085160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METALFSPSSLFGGSDSNSSDEENTDAQQNFVERRHQFPEMELLIQEFSFHQMNANLLWPGTFAFAEWLAQHTSWLRGRRIIELGSGTGALAIFLSKSYQLDITTSDYDDPDIEENIAHNCQANGVSPALPHIRHSWGDVFPLADPDWDLIIASDILLYVKQYENLVKTLCFLLKSYKPKVNETGSGISEEKDTCPLPRPAFLMSWRRRIGKEDESLFFTGCVKAGLEVNHLGSRVYCIKPKETSATEAVSESLGN >Solyc09g018685.1.1 pep chromosome:SL3.0:9:16653491:16653843:1 gene:Solyc09g018685.1 transcript:Solyc09g018685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGASKSDTSLFIYIENDVTIYIMLNVDDIIIMRSHTSFLDSIISKLGSEFSIRDLGPLSYFRGVQVSTGPDGLHATVLTFSNPYGSEYKASYRGQPTIF >Solyc11g016910.1.1.1 pep chromosome:SL3.0:11:7476291:7476572:-1 gene:Solyc11g016910.1 transcript:Solyc11g016910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSEKSTVNATQSVDAYSTMSHLNIEKIEQEYMEMFWTDQEREMEKIDNFKNNLLVSPNHIKNIMKTNKDVRRITSESPVLLAKACDFFIQ >Solyc06g071690.3.1 pep chromosome:SL3.0:6:44301985:44303892:1 gene:Solyc06g071690.3 transcript:Solyc06g071690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSVFVKEKTRKGLWSPEEDEKLYNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQEEEDMIITLHKVLGNRWAQIAAKLPGRTDNEIKNFWNSNLKRKLIKQGIDPNTHKPLSENHQVRNEPNCTDKTSSLLMPKLPNMSDSAEIQQPFHFFNSKRNFNSQAVTRELTEVSKNQLVSKQVFDPLFLYEFQASVNPIGPYAHHHNQIEGNQDFGFCSNFQHVHMTTESDISDSSTSRMSTSNSSNTMISHYNSVGIQMNEMLEWDADNKIDSLIQYPYVGIKNEENYSNNNNTLSGENLDVFHHI >Solyc04g054745.1.1 pep chromosome:SL3.0:4:53124884:53125141:1 gene:Solyc04g054745.1 transcript:Solyc04g054745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRCCLSSLERATPFSTGNTTYCLALLEKGVGQRKRGYSQKMRKKRVEEIECIASISINYSLI >Solyc11g032235.1.1 pep chromosome:SL3.0:11:26153002:26154935:-1 gene:Solyc11g032235.1 transcript:Solyc11g032235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYYSTLTKMENKSAEEKQHIPLLTPYKMKKFQLSHRTTKGGLLIAEATKVSDTTQGYTDTPGIWTKEQVESWKPIVNAVHDKEGIFFCQVWHVGRVSNTGFQPNGQDPISCTDKPLTPQIRSNGLDVAEFTPPSHLTTDEIPHIVNDFRLAATNAIEAGFDGVEIHGAHDYLID >Solyc11g006040.2.1 pep chromosome:SL3.0:11:845786:849528:-1 gene:Solyc11g006040.2 transcript:Solyc11g006040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSLKLVSLLVLGISAFFLVVESQDDDVSVMLALKKSLNPPKEVGWSDPDPCKWNHVGCSDKRVIRIQIGHQNIQGTLPPEISKLTELERLELQGNNISGPLPSLSGLSSLQVLLLGENQFSSIPANFFTDMSSLLSVDIDKNPFVGWEIPESLRNASSLKNFSANSANVIGRIPNFFSPDEFPGLVNLHLAGNNLEGELPSSFSGLLLESLWLNGQKLNGGIDVISNMTFLKEVWLHSNNFSGPLPDFSGLKALETLSLRDNAFTGPVPSSLMNLESLKFVNLANNFFQGPMPVFKGSVVVDSAKGTNSFCLLQPGDCDPRVNTLLSIAKSMDYPMIFAKNWKENDPCADWFGLTCSNGNITVINFQKMGLSGTISPEFASLKSLQKIVLADNNLTGTIPEELTTLTGLTELDVSNNQIYGKVPAFRKNLILKYSGNPDIGKDKSDAPSQGSSPGVSTGSDDGNSQAARKKSNRRVGIVVFSVIGGVFMLCLIGAAAFCLYKSKQKRFSRVQSPNTMVLHPHHSGSDNDSVKITVAGSSVSVGAVGETHTVSASEAGDVQMVEAGNMVISIQVLKNVTNNFSEDNILGRGGFGTVYRGELHDGTKIAVKRMENGIITGKGLAEFKSEIAVLTKVRHRHLVGLLGYCLDGNEKLLVYEYMPQGTLSSHLFDWAEEGLKPLEWTKRLTIALDVARGVEYLHSLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDESQPEESMHLVTWFRRMHLNKDTFRKAIDPAINLSEETLASISTVAELAGHCSAREPYQRPDMGHAVNVLSSLVELWKPSDECSEDIYGIDLDMSLPQALKKWQAYEGSSHMDSSSSSYLPSLDNTQTSIPTRPYGFAESFTSSDGR >Solyc12g082700.1.1 pep chromosome:SL3.0:12:16390252:16391458:-1 gene:Solyc12g082700.1 transcript:Solyc12g082700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGNAPMEGLSEHYTTSHIEDVFLFHPIVFFLVFSIVYWKSTFLGFWWKIGKPSPQGLGALKGWNDFRALVISFSPTVESMELDILLRFCVLELRFFLFYCWWLMVMRVLQMFVMWFMI >Solyc05g055580.2.1.1 pep chromosome:SL3.0:5:66003117:66004983:-1 gene:Solyc05g055580.2 transcript:Solyc05g055580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVEPTHPADSLMYLSKHSLQSQRLLFYSLLPSFLCTPFHLHAFVPPKQSPQIQITSVALQPPIQQDLPR >Solyc02g014183.1.1 pep chromosome:SL3.0:2:15745779:15746373:1 gene:Solyc02g014183.1 transcript:Solyc02g014183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAPSPCSSLDTSTSGLSGSSVSTQVIPVSNVAYKSAFSTGGRLLDQLRISLTPKLVQVLVFLQDWLRSEKLKQPGSLEEDLDNLEQIEKDFANEGKDPTIVDV >Solyc02g076780.3.1 pep chromosome:SL3.0:2:42442913:42470315:1 gene:Solyc02g076780.3 transcript:Solyc02g076780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTGNDTGPSEALPPDSPWWPADISDKLQLITLVSSEDKANSTVLSSKQEREGLASKRASQILWDTGELAEPIPDGFYFVIPERRFKELFDTIPSLDDLYALETEGLRPNVILVNMHKDKKLSMLKQLTLTLVKGLSSTPAVVVKKIAGLVCDFYKHPKYKSTHVSGTLEEVSHALGSQGIHMLCQIKDGSCHSRAILFKVLADTVGLECKLIVGFPRGGALECADSSKHIYVTVILDSIELLVDLMHCPGKLFPCSTKQLHRSHFFGESDSVETDSCNSPMGPISPTCFSSDYSGTGSPEHPFLRGPGRSILGGRAQSFKDCNYDVTSSRSAGASPIHTRRRRRRSISMIPEIGDDIVRVVREMNATMKKNHLSAEQATFDLSTRKGGDNFGHQVQNFRSDDLNTGNSEKGQAPHFHRKCLPSDKAISLPSSPRWSYGRGKAAGIFGSPDMMSRLDKVIESSRILNKPLLPFDEWNIDFSEITIGARVGIGFFGEVFRGIWNGTEVAVKVFLEQELTEENIEDFANEISILSRIRHPNVILFLGACTTPPRLSVVTEFMEMGSLYHLIHVSGQKNNLSWQRRLKMICDICRGLMCIHRMKIVHRDLKSGNCLVNKHSKVKICDFGLSRSLTPSPMQDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIIWELYTLKRPWEGVPPIQVVYAVANDGKRLEIPEGPLGKLIADCWAEPDERPSCEEILSRLGECIRSSAN >Solyc05g010060.3.1 pep chromosome:SL3.0:5:4268586:4273832:1 gene:Solyc05g010060.3 transcript:Solyc05g010060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKQFEGQLIPEWKEAFVDYWQLKKDLKKIHLLNNNVNNANKESSFTRNIYTSLRKLHMFGPQRREHEIIQVHTKIGQTLSKGDMYETELLEQFADTESAAEFFALLDFQLNKVNQFFRTKEKEFFERGECLKKQMEILVELKDALIKQQYDKGTSSGQNIKEDELISATISCDQVIPDSPRSSELGNPANINTEDNKSKSLSERVINSQGKSLKIHIPLTNPTRTFSAITYLLRDDMINQSSKKCGPNGRKKLHINRTKLKHAEKMIRGAFIELYKGLGYLKTYRNLNMLAFVKILKKFDKVTNKQVLPIYLRVVESSYFNSSDKALKLADDVEEIFIKHFAEDDKKKAMKYLKPTQKKESHAVTFFIGLFGGCFIALLVGYVIMAHITGLYRPKSDTIYMETVYPVLRQVFDYGLNDSNVI >Solyc08g075330.2.1.1 pep chromosome:SL3.0:8:59580080:59580715:-1 gene:Solyc08g075330.2 transcript:Solyc08g075330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTYHGQSSGTLSWSNRLNIALDVARALDYLHSVADPPVIHRDVKSSNILLINDDHAKLADFGLCKLGNDVISATTPTKVKGSLGYVDTYYLNTGLVSPKSDVYSFGVLLIELITGLKSVQGSMTLAEWTEECRGKNENVEVLIGMLDPKLNGNVDIEQLRVLVDVANSSLLENCEARPNMAQIVYKISCCMEPQCVHELPV >Solyc03g034380.1.1.1 pep chromosome:SL3.0:3:6274896:6275198:-1 gene:Solyc03g034380.1 transcript:Solyc03g034380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKATSFVAIFLVGAMALFLGEFLVTAQVKCNVMELSSCVPAISSSTPPPPSPTQKCCAKLKEQEPCFCDFLKNPLAKPYVNSTRAREVLAICGVPFPQC >Solyc09g010140.2.1 pep chromosome:SL3.0:9:3517083:3520856:1 gene:Solyc09g010140.2 transcript:Solyc09g010140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNGVVSFHHRRSPSSDRFLGVFSPPQSDSAIGDADVSIADDELNEDEVFWIGDFTEPKRRSTSPSSISSRKTFLQPEKFGILAALPEDHRKLNRPVVYRKPSITSSPTSTKLFHSPPVASAMAFSRGFPTIPKPPLDREHSYNRNYSQTMPVRKFQHSVPVNVPMMPKKAPRSDLADAWEEHSKGEIFVEFAMLFSAKQFIRNIRTRKAKISDQADSVPSIRPREKEILKSGRFLIPLQLG >Solyc05g009770.2.1 pep chromosome:SL3.0:5:3967729:3969660:1 gene:Solyc05g009770.2 transcript:Solyc05g009770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCHNNSITYLSSSDQLVEDDQLSTVLSLGPPGQKNSTIFHDYFSSSSNCHEFQSYNNQESVTVALRIDSSSTISSNNNNNPSRNKGDHNSSTNNNISLVNGQYWIPTPAQILVGPTQFSCAVCNKTFNRFNNMQMHMWGHGSQYRKGPDSLKGTKQASSMLRLPCYCCAEGCKNNIDHPRTKPLKDFRTLQTHYKRKHGTKPFKCRKCGKNFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVKAFGYGHAPHNITRK >Solyc11g032220.2.1 pep chromosome:SL3.0:11:26134833:26137724:1 gene:Solyc11g032220.2 transcript:Solyc11g032220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHHLILHSFCVSIATVSYDNVPQSNAILYFSQRTTKGGLLIAEGCGISDTPIEARKPVVGAVHAKGVIFFCQLWHTGTVSSIDFQPNGQAPISCTDKPSKPLICFDVQQFPPPQRLTTCYFDGIEIHGAHGYIIDQFMKDQVNDQTNQYEGSLENRCRFALEIVVAVLNEIGADRVRNKAFPIC >Solyc12g094680.2.1 pep chromosome:SL3.0:12:65816440:65820145:1 gene:Solyc12g094680.2 transcript:Solyc12g094680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHARGNSSDSGSGNGRKEGFFRSKKSGESNNQNNNVARSFAFKELAIATQSFRETNLIGEGGFGSIVAIKQLNLEGLQGNQEFVVEVLMLSLMHHNNLVNLIGYCTHGEQRLLVYEFMPLGSLENHLFDLEPGTTPLSWKTRLKIAAGAAHGLEYLHKANPPVIYRDLKSSNILLDNDFNPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGKLTLKSDIYSFGVVLLELITGRKAYDRTKKQGEQNLVVWSNPFLKDRRKYIHLVDPMLDGQFSSRCLHHAVAVTAMCLQEQASFRPSITDIVTALDYLLLQAQHSGTSRGGSQSDKHIPPPSTEEFNVSSRNRSYDNMAITF >Solyc03g111830.3.1 pep chromosome:SL3.0:3:63928449:63934693:1 gene:Solyc03g111830.3 transcript:Solyc03g111830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSISLHSVSAIAYGFRYRAPLKHACLLYAHHLHSFHRQKSPLFSSSFCRVLNPYSFTGNSRFSRMEVLFPSVCCFSTPRVSQFWSGSAGNRNKGMTEQLRRCGVIKSQKVIEIMETVDRGLFVPEGTPAYVDSPMSIGYNATISAPHMHAMCLELLEDRLQPGMHALDVGSGTGYLTACFALMVGPQGRVVGVEHIPELVAWSIKNVEKSAAAPLLKEGSLSLHVGDGRKGWPEHAPYDAIHVGAAAADVPQDLIDQLKPGGRMVIPVGTFFQDLKVIDKKLDGSISVRSETSVRYVPLTSREAQINGTD >Solyc04g025210.3.1 pep chromosome:SL3.0:4:25200872:25215638:-1 gene:Solyc04g025210.3 transcript:Solyc04g025210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSSKNDSKSVNPYSKSPQPLQDNKNTQQIINEDEFYDGIPRFSSQKSKSTRVPKVAEVSSRLGRAGSAGFIRTVQALDTIGSSLTNLNLSNGFVSGATIKGNELSILSFEVANTIVKGSNLMHSLSRRSIRQLKDVVLPSESVQLLVSNDMDELLSIVADDKRKELQVFAGEVVRFGSQCKDPQWHNLDRFFEKYRREPTPQRQLKEEAELMMEQLMTLVQYTAELYHELHTLDKIEQDYQHKHLENGKSNASQKGNGLIILAAELKSQKRIVRNLKKKSLWSRSLEEVMDKLVDIALFLNREIDNMSGSADPNSEKKHSLGSKQRLGPAGLDLHYANIILQIDSIVARSSSMPPNARDTLYQNLPPNIKFSLRSKILSFHVKEQLSVTEIKAEMEKTLQWLVPVAIITAKAHHGFGWVGEWANSGSESNRRSVASVDVIKIETLYHADKQKSEAYILDLILWLSYLVAQSNTTLNGGRMRSLVKPPECSSPAIANQQPTQISAADQGMLKENNQSTKAESVQQK >Solyc04g024755.1.1 pep chromosome:SL3.0:4:29252826:29269488:-1 gene:Solyc04g024755.1 transcript:Solyc04g024755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSIWDMRQTNSQLILALVELVFDWDFDVRRFPQCDPEMSRETNPFLSPNASSPQHHPSPNNSSPDPSSPQHHPSPNNISTIPFNKLRFRLFSICIELNFHKDTLNLTWKTV >Solyc08g061694.1.1 pep chromosome:SL3.0:8:49499789:49501712:1 gene:Solyc08g061694.1 transcript:Solyc08g061694.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQASISSIKRNTRMLICNQLDKIQRLISEKMWSGHHIIATNVFKEDREENLDEAWTNTVLQPCQDIVKRFLKNYHHNIIIDCTKLIIVKLNQIQTSQLKIFVCNLSSI >Solyc11g005730.2.1 pep chromosome:SL3.0:11:578327:582837:1 gene:Solyc11g005730.2 transcript:Solyc11g005730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSSASPVAGLSRPVAQRKVHPSADYRRRPRMSVSPPPKKFRSMVEIMKVATRVELPEESEESEEEDDYEEVVCEQCGSGERPDELLLCDECNKGFHMLCLSPIVVRVPMKLWHCPHCSADQHRVIKSFSQKKIVDFFRIQKESQMVVKCSSAQVDIKKRRKRSLVFHKRRRRLSLYIPTEDPHRKLVQMASLASALTALDMEFSDELTYMPGMARKSANSANFESGGMQVLSKEDTETLEQCRAMYKRGECPPLMVVFDSREGYTVEADGPIKDLTILAEYTGDVDYIRNRQEDDCDSMMTLLLARDPSKSLVICPDKRGNISRFINGINNHSPEGKKKQNLKCVRYSVKGACHVLLVTIRDIAKGERLYYDYNGYEHEYPTHHFV >Solyc03g033940.1.1.1 pep chromosome:SL3.0:3:5670079:5670645:1 gene:Solyc03g033940.1 transcript:Solyc03g033940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKTAGRQKIPIEKLQDETKRKVAFSKRLPSLYKNASKIVRACNVDIGIVSSCPSGRTQYSYVHPTSVVVIDHFVNPIMELDLGTRLVAENASNIAIENNIRLNELEAREAAEKEKIRSLEQMNNAREKCWWESIDQIDAENLTTFETTLNFAEGILKDQLKKLEIETSSSSEAPPENEDSYSSIL >Solyc10g006760.3.1 pep chromosome:SL3.0:10:1204299:1207527:-1 gene:Solyc10g006760.3 transcript:Solyc10g006760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQPPHTADSGMPNLANIKIKCSSPRFPPPTTPSATDTPTAGAQRKIGIAVDLSDESAFAVKWAVHHYLRPGDAVILVHVRPTSVLYGADWGSVDVSIADTDNIETQKKLEDDFDAFTSAKSSDLAQPLVEAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATKRGNDGRLGSVSDYCVRHCVCPVVVVRYPDEKDGGNAARKGGVPVASASKENEEEGEYHDACDGRQGSQPAIGKGSRTDN >Solyc10g018810.1.1.1 pep chromosome:SL3.0:10:10202592:10202825:1 gene:Solyc10g018810.1 transcript:Solyc10g018810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPYCIVKGKARLGSIVHTKTAPALYLTTVKNKDKMELSKILEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAK >Solyc02g070620.3.1 pep chromosome:SL3.0:2:40891194:40893365:1 gene:Solyc02g070620.3 transcript:Solyc02g070620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKGKGNGKRKGKGKGNSKKTKSKPPDPTSNCIFPREIISNILSRLPVKTLLRFRCVCKPWRNLISKPNFIDTHLHRSSSLKPTFSPILIHTLHVKYTDHVLSLVDSPESSVTELDNPFPFFYYNMVVVGSCSGIVCLCKPPWGDLITLWNPVMRKFRTVQLPKKKPLLGVHAGVSIGLAYDSQENDFIILSLFCFREESRVPVEVEMCSMKSFCWKQVKNEVGFRVIRPCCNVIINGVPYWTALLEDKYGLRDVLVYFDVDRKVFDKLPMPGITVGTQWQLVNLEDTVGMLIWAKTVKHNIDVWVMHDEDGWSKKCTVGLVLGFDRLIGCLRNGDILAEDENGVLLLFDMVTSSVKAKLCIDNAKRGSSVIFNYSESLVLIREMLPVEKETARDKQHRENLLKAGINLKIISTDDPQQANWVNRIV >Solyc01g095240.3.1.1 pep chromosome:SL3.0:1:86458842:86459932:-1 gene:Solyc01g095240.3 transcript:Solyc01g095240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHFTYYIVLFTMENSQPPLATESFSYSWLLSRKPSIDGLTESPRPSYSDDDHDNDDEEEDMKFIAYSKRFLEEAQNFNFDVHPVSESVHADEIFSHGHIMPRYLDKSKIESFREALNNFNIISSVPSSSSFHPTPRVSDSTTSTQADDFLKKWRRFSRRVLVKWFGFIRPISKRISSSRKSTAKVDDLQRKVSEIQSCKATDSPPHRIMKSHSVVDWAGNRNDQRSRVKTLRKVKSWSSIKSPQAAYNSPVKTPSYDHSAAVSDAILHCKRSFGMVTEPDIQS >Solyc10g055710.2.1 pep chromosome:SL3.0:10:57184553:57191809:-1 gene:Solyc10g055710.2 transcript:Solyc10g055710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein [Source:UniProtKB/TrEMBL;Acc:K4D1G1] MDQLSSSLKKSLTPHGSYKKVSDAHDIENQPILAHRSPEAPSACSSMNSSSLTTRSSIDLNDRREVIVKIDGGEKNNGNEHNMLWHETSYEFWREEMNNGPQNNVPKTLQRGKDMSEDPPSRLIGQFLNKQRAVGCEMTLDMDMEMDELRTHPKPENDHSAAGSSPLINFPPDHTHNHSHTTSRELRVSFQDPSPSSNVVDIEPDQLYNNDSSSDEEDGEISDATPNEQKHLNRRRTININNSPDDNNNSNTTYYTPKNGGGESDQVLRCTSFQRRASVLGRAKTKSRLIDPPHDIPERRSGKIGKSGQLKSGMLGRTSGILKPPEEEDDDPLFDEDLPEEFKKGEVDCWTLLQWISLIVIVTALISTLTIPLLKSRILRGLHLWKWLVLVLVLICGRLLSGWVIRLVVFCIERNFLLRKRVLYFVYGVRKPVQNCVWLGLVLIAWHSMFDQKVDTNNQFLGYINKLMICMLIGTMLWLVKTLMVKVLASSFHVSTFFDRIQESLFNQYVIETLSGPPLLEIHRSQEEEDRTLAEVWKLQNIAGAQLPPELRPPLAPRYSSKGASVNGGQTPTPKPSRTVSIAISGPLSKNPDEPNQGISIDHLHKLNPKNISAWNMKRLIKIVRYGVISTLDEQLHDTKREDDSTTQIRSEYEAKVAARKIFRNVAKPRSKFIYLKDLSSFLRDDEALKTMNLVEGSPEREKISKASLKNWVVNAFRERRALALTLNDTKTAVNKLHQMVNVLVSIIILVICLVILGIATSKFLLFISSQVVVVAFVFGNTCKTIFESIIFLFVMHPFDVGDRCEIDSVQMIVEEMNILTTVFLRFDNQKIIYPNSTLLTRPIGNYYRSPDMGDSIDFTVHISTPAEKIAAMKQRMISYIENKKDHWYPSPSVVLMNLEDLNRLKLSVWIRHRINHQDMGERWNRRAQLIEEMIKIFKEFDIEYRLYPIDINVRGMPPITSNRVPSTWPTAGN >Solyc03g058855.1.1 pep chromosome:SL3.0:3:28415347:28415730:-1 gene:Solyc03g058855.1 transcript:Solyc03g058855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKIICYDCKDIAFSPYGDNWRHMQQLSAKMVKTFSLIRQEEHSTLLSSIASMDVDSPINLPQKLLWCMNASMSAFGNVCKDQKELITLIHQAQSLSGGFQLADFFPSKKFLNGTSGM >Solyc11g006290.2.1 pep chromosome:SL3.0:11:1022182:1023835:-1 gene:Solyc11g006290.2 transcript:Solyc11g006290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIFREILFQEPNSIFIKVMIMINLIFSAILGYSEGSGKQNLEYSKFWNLKSQEKIKIPSKIGMIIAYTPSLITCLSFFWIFPNSGIRFFMLNFAITIHFFKRLLEVLFVHKYSGSMAMKSAITISSSYFIAFSSIIYIQHLTKGSIEPIIDLKYSGFIVFLVGIIGNFYHHFILSKIRKKSESGYKIPKGGLFNLVICPHYFFEIVTFFGFSLISQTLFSFSSTIGTLFYLMGRSYATRKWYISKFEDFPRNVKALIPFVF >Solyc05g011910.2.1 pep chromosome:SL3.0:5:5133807:5134253:1 gene:Solyc05g011910.2 transcript:Solyc05g011910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKIKLIYLCRNSKDIFIFLRHFVNNLRFHHKDTNFIHEMSDLFCEGLNLYDPLWNHVVVDEILRMCSFENLRNLEMNTNGKLSTLEGNKVFFRQGEIILLQK >Solyc02g037595.1.1 pep chromosome:SL3.0:2:31690982:31697464:1 gene:Solyc02g037595.1 transcript:Solyc02g037595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEALDTLLKDLMNTKALFRGKIIQVEISDDELRACYMPNSFLAGVAVFKWLQLIGMHKRRTTLDGTEYNLLLLDTEGIDAYDQTNLVVIKEENQYGTKLNFKQFNLFSDLYNSHFQECQIKAVLYADEIEQYAEMLKLMNTYLISTARVKISQTSHGKPIHKFYWVLDKETVIEHITPSNGVENPLPPPTKLNLTTFDRIPHMMLDSALEIDILAIVLRCSPQKYAGRTNHKGREIIICDNQ >Solyc08g076920.3.1 pep chromosome:SL3.0:8:60957847:60964672:-1 gene:Solyc08g076920.3 transcript:Solyc08g076920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASENFSDKNAVFRKLKSKPENKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLKMMYFGGNNRAQVFFKQHGWNDGGKTDSKYTSRAADLYRQLLSKEVAKSTAEEAALQAALPAALPASPVASQAAQATNVFPDIKTSEPPKETSSLKDEKTEVIASPKASQAVTTFLKKPTVAKKAGKSGGLGARKLTKKSSESLYEQKPEEPPVQVPSSNAASNAPTVGSSFASRFEYTDNVQPTETSSGGSRVLNHVAPPKSTSFFSDYGMDSGFTKKTSSNSSKAQIEETDEARKKFTNAKSISSAQFFGDQSKAAMEASVSLQKYSGSSAISSADLFGQDDGAAYEISAGDLINRISFQAQQDMSSIKNIAGETGKKLSSLASNLISDLQDRIL >Solyc01g020460.2.1 pep chromosome:SL3.0:1:30831912:30835288:-1 gene:Solyc01g020460.2 transcript:Solyc01g020460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYRKGEVTLQMLSPPMPNTPSRFHRISRVSTADPISLVMERISDVERYHHDNSICPMGERRGLNPRVVDSQSTALIHLATSDPTLRTGETKLQQVEASWQSFKQRGWAVHFIDLTSLYLRLMIGVGRAVKALVDIERAASTYSYQNEKQQAELELEEAGLYKRKPLRASPLYRVPNLSTHKLQAFFEAPTTCDI >Solyc01g091455.1.1 pep chromosome:SL3.0:1:84943107:84943526:1 gene:Solyc01g091455.1 transcript:Solyc01g091455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISLICQSNILKLKYEATSMFIFSIVKSLGCTQPQYQSRSGFSSLSITSSLNFPAPIHILATHIAAANPLLTPSATTFVSRRAIANPDPV >Solyc04g078840.3.1 pep chromosome:SL3.0:4:63586695:63591769:-1 gene:Solyc04g078840.3 transcript:Solyc04g078840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AREB [Source:UniProtKB/TrEMBL;Acc:Q6QPK1] MGSNYHFKNFGNEPPGEGGSGGGGKQPGNFGLPRQPSIYSLTFDEFLSSTGGSGKDFGSMNMDELLKNIWNAEENQTIGGPGINGQEVGVPGGHLQRQGSLTLPRTLSHKTVDEVWRDMSKEHGGGKDGNSVGVPPNIPQTQRQQNLGEITLEEFLVRAGVVREDAQFAAKSNNAGGIFGDLSYAGNNTGLAFGYHQANSRNTGLMAGSIPNKNGETVIQSANLPLNVNGVRSTQQQLRPQQLQQNQQSQPQQQPIFPKQPALPYGAPMAIPNSGQLGSPGMRAGMVGIPDPALNSNFIQGASLMGGGMNMVGLGASGVTVATASPGVSSSDGLGKSNGDTPSVSPVPYVFNGGLRGRKYSTVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENDELQKKQEEMLEMQKNQVIEMMNLQKGAKRRCLRRTQTGPW >Solyc06g061050.3.1 pep chromosome:SL3.0:6:39200050:39204564:-1 gene:Solyc06g061050.3 transcript:Solyc06g061050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPSTVKGGSSRDGTAKTMAELMKLPKSLFAKTSTIKNTELVLEQLPRVISSLDAHMDNGLQCVPQLKTVMQLLSNIENCQLKTLSRAQAIQQETESVEQPPKRANLP >Solyc02g072060.2.1 pep chromosome:SL3.0:2:41910515:41911768:1 gene:Solyc02g072060.2 transcript:Solyc02g072060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RPRLTGLRGNSPPPFLVKTYEMVDDPETDPLVHWTSSKTTFLITDPNKFCVEVLPKYFKHSNLSSFIYQLNNYRFRKVCSYKCEYGNPWFRAGKKHWLKNIKSRIQLSKENNTQQGSHSPRVDLVSNNLEEELEKLRNDHISLRVELQKLKDGQENMRSFFPMLPGCGKEKEIRNIMKLLLEKSEVRGDSSSDDTTKRTRLVESPDRVAGSVQDGIGQTSNSAGGSVSSNEKQKEEATARNAKNREFWEKLFEDESESKNEGAEESEQELNRLKAMAKIEEMVESKIAMEGESLIAKAAASLNDETEAYLQLWT >Solyc03g095800.2.1.1 pep chromosome:SL3.0:3:58565049:58565150:1 gene:Solyc03g095800.2 transcript:Solyc03g095800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QILKELCCRTVMSLHMILLIYLQPLTIFCWNIK >Solyc09g018900.2.1 pep chromosome:SL3.0:9:17729930:17737983:-1 gene:Solyc09g018900.2 transcript:Solyc09g018900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPISGWMAALNAYNNLPYDSSERPVMYTERSPWGQSMLPHQLMLQAGGVSSPEVAGLFK >Solyc10g051253.1.1 pep chromosome:SL3.0:10:51726633:51727206:1 gene:Solyc10g051253.1 transcript:Solyc10g051253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGSRLCIGLSIIYFMISTGNLKRLARIIENLERKFIMRRRKREPSLRRKDFISPMQDAKNKKTLELKLNSATN >Solyc02g089250.3.1 pep chromosome:SL3.0:2:51753568:51756198:1 gene:Solyc02g089250.3 transcript:Solyc02g089250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNIRISWVWILVLFLGFTFHNLSTSQAENTRHRHHSTAVIEGTVFCDTCFQQHFSGASHFISGATVAVECADSVRRSSFYKEVKTNEHGKFSVDLPISVSKHVKKIKGCSVKLIKSSEPYCAVASTATSSSLHLKSRTQGTHIFSAGFFTFKPLNQPDLCSQKPSIQKSKKKLTDPQKSAISNPNDPTFYPPIQDPPAPASTSSSLQKKNPSP >Solyc07g041500.3.1 pep chromosome:SL3.0:7:53433977:53436313:1 gene:Solyc07g041500.3 transcript:Solyc07g041500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLVVFLSLLVLFPLLILSYFLVKIFYSIFMKPKLLEKRLKRQGFKGTHYKLLLGDLKDVGNQMDEAWSKPISLTHDIVSRVDPFTHYMVQKYGKLSLSWLGTSPRLIITDPTLIKEVLLNKQGHFHLPPLNPLVLILTKGLTTLQGEKWAMHRKMINPAFHLDKLKGMIPTIAESCTFTIEKWMKTISPEGTSEIDIWPEFQQLTGDIISRTAFGSSFEDGMKILELQKELQQLVLEAMGMLYIPGFRFVPTKKNRRRKDLDRRITSMLKKIVDTKENMIRTGETRGDDLLGLLLQFNNENNSVNNSQMTKEDIIEECKQFYLAGHETTSSWLTWTLIVLSIHQNWQEKARQEVLQVFREKNPDAKEISHLKIVTMILNEVLRLYPPVIALYKRAYKECRIGDLSIPAGVDLTLPIMLINRDIELWGDDADEFKPERFAEGISHACKDPTQMAFMPFGWGPRTCVGQNFSMLEAKIALSMILKQFSFKLSPTYAHAPYTVMTLQPQHGAHIMFHSLN >Solyc12g013737.1.1 pep chromosome:SL3.0:12:4566848:4570652:-1 gene:Solyc12g013737.1 transcript:Solyc12g013737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGFNTESSSGRGDFQRAPFLIEKQQDKIKMMLDNDKQPEYMAHMAGINFKTLSTVKQIKWIIDTGATNHMTASLDTLNDIESVRSSHKRKVHLPNGEVTMDLTSGILKGIGKESDGLYIMFSQQNDKHSNSSTGETHRVNVAEKRQEDMLLWHRRLAHPSSVSMRHLFGYKLDECKSISSSSPTPSLVVPSTSPDMILPMSNPVPLRKSHRISKAPLWHVDYVTKNAAHVTYPISAYLSYENISPSHQRKYALEIISELGIGAVKPAWTPLEVNAKLTTLEFDSLVQGGDDNMLEDKTKYQRLIGKMLYLTLTRPDISYAVQTLSQFLQQPKQSHWDAAVRVMKYIKREPALDWASCPNTRRSVSGFVIKHGNSLVSWKSKKQTVVSRSSAEAEYRSMANVVSKVVWLTTLLKELGSEIMTPVILPLMAVNGSLKKFDSLAHSLNS >Solyc01g060220.1.1.1 pep chromosome:SL3.0:1:69741010:69741294:-1 gene:Solyc01g060220.1 transcript:Solyc01g060220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTLIPSTAELEKSYLINWKDLENRQPHSTSLVYVDDIIIVAASPDHGRTDVVVSSSLDHGIMTVDIDSQRTSSHCCCFSGSWKDGCCCFFFS >Solyc01g068260.3.1 pep chromosome:SL3.0:1:77186874:77191575:-1 gene:Solyc01g068260.3 transcript:Solyc01g068260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGIQNRFKIMDIDLPKHSVQFVEPLMLHLNVLSINPLDTYSPEPEGGELKGQLDGCLLDVGDHILYSPLPCRVPFPAFKSFRLCVSQYDEKERQLLRNLCFTIGAKFVEKLTKKVTHLLCKFTDGPKYEAACKWGIQPVTCEWIYECIKQNKIVSADPFYPKEVTSEDREAGACTVSQFPTQAFGMISGDTTSQPQTQPQELVNVRTEAFAGRNTAKEEKKYPSRWNKKARLFVVEEPKCSLSCSPKESNAFCGASPPEKNLTGSTNEGSSAVPDVAAAIEDLLEQTSKLFTSGCNNLAQGHGDNHATLGLSNHWTNRNTSAATPNNLVLGFTKFEKEDETHTHSGDATANVYDHFSETQTDSQVVGYAEDLSGRQMIIDRVRTRSSGLT >Solyc03g119920.1.1.1 pep chromosome:SL3.0:3:69945491:69948646:-1 gene:Solyc03g119920.1 transcript:Solyc03g119920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFASYWTMASIIIWLMLLSVGFVHSYVVDGELGPRTRTSMPYKYERTDEVKKECAFVLASASELEPDDNRIYSIKHELSFLNGDWRQVSNGAASIMPFDDRDLSNRSSDLRSPLNLVSFWVTNVDRAHQSKKSVSVSGILQIGITLDGLFSSKPYERSPHFDIWPGHSQLSVTFEGVYIESKKNQGERVMCLLGTTMLPSRQQESTDPWQWVKESGYTNQPPLMQDDQILLVLHYPRTNTLTNRAVLGTMKSLNPKTSFKYFDEVHMSSWLGTSSKYEFGSEKFVSKACDPYPYKDSLSTEINTYRGLDFCYILQRFTQQEALTVVPNWKCNGTDDFCSQLGPFRSDKEINATDGGFKDVKLVLQDVRCDTISVKDNVTSSRVSSVFRVISPLENQFTAAQRTGLSNMTLSAEGIWKSSSGQLCMVGCRGVVGAEDSNCDSRICLYVPLSFSITQRSIIIGHFSSIDGSSRRYFPLSFEKLIRPVELWDQYTASRPYYKYSKINAAATVLEKNEPFTLGSMFKKSLLTFPRLEDADSFSVSLSILSEDLSLHTSAVADQISGSANQRVEIEMEILSLGQMFGPLTNGSIGEKENSYHAKAEYTEKQLLLNVSAQLSLTGTSYSNISLLFVEGMYDPHVGNMYLIGCRDVRASWKILSESMDLEAGLDCLIEVVITYPPTTARWLVNPTAKISVSSQRNDDDPLYFNPVNIKTFPIMYRKQREDILSRRGVEGILRILTLSLAIFCILSQLFYIRGNAESVPYVSLAMLGVQAVGYGLPLITGAEALFKMMGAEINETPSYDLENSQWIRLIDYTVKVLVLVAFLVTARLSQKVWRSRIRLSARSPLEPHRVPSDKWVLVSTVVMHVAGYIIVLFIHSFNTSQKPLHAERYVDSTGNFHTLREWETELEEYMGLIQDFFLLPQVIGNLFWQIHCKPLRKLYYIGLTSVRLLPHVYDYIRSPVPNPYFSEEYEFVNPRFDFYTKFGDIAIPVAAVVLAVVVYIQQRWNYEKLSQTLRLGKIKLLPVGSRVYERLPSAEAELTSGVKDRDVD >Solyc04g015010.3.1 pep chromosome:SL3.0:4:5219441:5223890:1 gene:Solyc04g015010.3 transcript:Solyc04g015010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFYEVCGEELFHVHPDPVILELNNLQNQLKEKDRELGAAVSEIKALKASEVLKDKALEELGNEFQRLEGKLKIGEDVVEQKVMLFKSNHFFTQKGHVKMQNLDIKRLVNEKKEALAAQHAAEATLRRVYADQKDDDAPPLESIIAPLEAEIKMYKNEIAALQEDTRSLERHTRSKEAALLEAERILKSALERALIVEEIQNQNFELRRQIEIFQEENKILDKTNRQKILEVEKLSQTIIELEEAILAGGAAANTLRDYKRRISELQEEKRTLERELSRVKVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLRDKLSISERTAKAEAQLKDKLKLRLKTLEEGLKQAFNPNGSTKPQKTKHYLGNSLVKKSLWTSQNKIIGSTVGKENAETKENSIMDINSKETIDAHKIKNGGGDQDEIKTNGCSQSVNDDIVSGFLYDKLQKEVICLRKFCETKESALNTKDEEIKILTKKIETLSKAIEVEARKRKVKQQGS >Solyc05g056430.2.1.1 pep chromosome:SL3.0:5:66578725:66579039:-1 gene:Solyc05g056430.2 transcript:Solyc05g056430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGWLAIQVGLEEEEEDGGIQKIVIPISYLYHPLLQKLLDKAHDVYGYHVDGPLKLPCSVDDFLHIRWRIETGNLMEATIINTPYKSIHKHLPNTLSFHSC >Solyc04g050540.3.1 pep chromosome:SL3.0:4:47472978:47493523:-1 gene:Solyc04g050540.3 transcript:Solyc04g050540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLSIHLPFNSKFNLPIPYTSISRSIGSRVKASVSSQPRKTRRKKQQQHQLKTVDDDGSMASGTEKVLRLVFMEELMERARNADSAGVSQVIYDMIAAGLSPGPRSFHGLVVAHVLHRDNDGAMHALRRELSEGLRPLHETFLALVRLFGANGLATRGLEILAAMEKLNYDIRQAWLVLVEELVRSNHLEDANKVFLKGAEGGLRATDEIYDLLIEEDCKVGDHSNALTIAYEMEAAGRMATTSHFNCLLSVQASCGIPEIAFATFENMEYGDDHMKPDTETYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRLQPNVRTYALLVECFTKYCVVREAIRHFRGLKNFEGGTQVLYNDGKYGDPLSLYLRALCREGRIVELLEALEAMAKDNQPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYIARYSACSICLVNLSTDCAKVDGVILKCDAGIIKQKMTRHKMRRKKWSKLKANQK >Solyc09g092330.2.1.1 pep chromosome:SL3.0:9:71934622:71935968:-1 gene:Solyc09g092330.2 transcript:Solyc09g092330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPDTSKTTKLERYNSYIRRVNSTKLIAASSKLLFRVTLLVALLLIFFFTINYPPLTSEKSFNNNIHTTTHNLLSSAIYGGGASWEKQVRHSSTPHRPNGLSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPSLKRARQDQLSKHQIFIVEGDINDTELLKKLFDIVPFTHILHLAAQAGVRYAMQNPLSYVNSNVAGFVNLLEIAKAADPQPAIVWASSSSVYGLNTKVPFSEDHRTDQPASLYAATKKAGEAIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDMIQGKSINVYVTQDDKEVARDFTYIDDIVKGCVGALDTAEKSTGSGGKKRGPAQLRVYNLGNTSPVSVKKLVAILENLLNLKAKKFFIKMPRNGDVPFTHANVSLALRDFGYKPTTDLSSGLRKFVKWYVSYYGIQSRVKKESEGEK >Solyc12g019144.1.1 pep chromosome:SL3.0:12:9333190:9337006:1 gene:Solyc12g019144.1 transcript:Solyc12g019144.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSHSSRIFYTRPEFHLIGMVPNILGSYHSSNENLIVVLGNGTNIYYVSIVPRNPFSFWIPQGFLTNTMIPNFSLSLPRILWNYTPRLVEVNHALDRMTLPLRLTNFPMDLLALPDQTENINMGLSREVILARMNHIMYQSTKRSISDDNDTCSICLDDYSDGQSIGIVDCHHTFHSDCISQWLMQKNSCPLCKRIALAV >Solyc04g014275.1.1 pep chromosome:SL3.0:4:4586306:4587245:1 gene:Solyc04g014275.1 transcript:Solyc04g014275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQKGIVYNEALTENIEEKYSILWMQIIWLFIPEMEGRREAFSPNGPYAWYPTRRNNRKEKNKKSSPERFELSRGNPMYLAGTRLNHSAKATC >Solyc06g050910.1.1.1 pep chromosome:SL3.0:6:33868737:33868931:1 gene:Solyc06g050910.1 transcript:Solyc06g050910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHCLTLNKNDNSKKQTKKKFDSVFDPLRGFAKYNVRLVKRCRATSQIAKNSIKLPLILQSISL >Solyc12g010530.2.1 pep chromosome:SL3.0:12:3524075:3527791:1 gene:Solyc12g010530.2 transcript:Solyc12g010530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKAFGALKDSTMVGMAKVNSEYKKLDVAILKATSHIEVMPKEKHWSHQHVAESSSDEISVVYGKFGPFFNAISCNRPRADVGYCLHTLAKRLAKTHTWQVALKALVVIHRAMREVDISFLQELINYSAHRGHLLNLTHFKDDSSANAWDYSTWIRSYALYLEEYIECYCLVKFDFQRERKRMKELNTPTLIETVPCLQKLLSRLFDCQPKGAAQYNFLIQHALSIVAAESASLYVAIADGMLNLVDKFFEMQRHDAVRALEIYRRAGDQAVKLSEFFEICRNLDFGRGQKYVKIEKPPESFILAMEEYLTDAPKPLMLTWKPDDDDQNTKVIAVRGSKLEADEEQETEVQTKEESNVETPAPPLIPDLLSFDEPSEDQSIAPEDNNNALALVISTPDELSNSLSNSDQSTQPTGWELELVTASTGASIDHNNLIQGGVLDRSKLDNLYETALARQNIVNEPYNREVSSNPFEVADNTTQDAFYSSGTNDAQMAAMAHYHDYGVFMQQQAANMSQSHESFIGQHETLMMQQQMAIVSLQQQNMIQQHEAIQIQENIVGDVPQLLLEGPAALVNNNDKNPFGNPFSDQDVTNYNNPSQDQSHVSQNQNSHSSLI >Solyc01g010295.1.1 pep chromosome:SL3.0:1:5027095:5029668:-1 gene:Solyc01g010295.1 transcript:Solyc01g010295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVESKGGAIVCMLLSLFFLGTWPALLTLLERRGRFPQHTYLDYTLTNLLAAVIIAFTFGEIGTSSLEKPNFLSQLAQFLLV >Solyc02g094095.1.1.1 pep chromosome:SL3.0:2:55369409:55369464:-1 gene:Solyc02g094095.1 transcript:Solyc02g094095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREM >Solyc06g066120.3.1 pep chromosome:SL3.0:6:41564535:41569760:1 gene:Solyc06g066120.3 transcript:Solyc06g066120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTNISILKAFRTFVVAWFIIFEGVHGDINYQEALTKSLIFLEAQRSGKLPPNHRPSWRGDSALQDGKSANVDLVGGYYDAGDNVKYGLPMAFTITTLAWAAIAYHSQLQSAGELENVRSAIKWGTDYFLKASVKRNCLYVQVGDPVKDHECWTRPENMKTPRTVLMIDQKNPGTEIAAETSAAMAAASIVFRGTDLSYSRKLLNKAKQLFQFGKSYKGTYDGECPFYCSFSGFHDELLWAATWLYRATKRPTYLEFITEEATTATVAEFSWDLKYAGAQILLSKFYFEGEKDLANFKQQADGFICSNHPQSPHHQVHMSPGGLIHLRDGANSQYVTGAAFLFSAYSDYLAKYKQTVSCGDKKFNSADLMAFAKQQMDYLLGKNPRGKSYMVGFGNKPPTQAHHRGSSVPQMSPNKLVDCSMSFVNWYNTEKPNANELTGAIVGGPDKNDNFEDRRSSSSMTEPTTYTNSLAVGVLAKLTKHHSSS >Solyc04g071900.3.1 pep chromosome:SL3.0:4:58999031:59008663:1 gene:Solyc04g071900.3 transcript:Solyc04g071900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPINLTILVTIIVQINYLAIAMVSTTLSSFLLVLVLSFFVSEAQRPALTKGLSWSFYQSSCPQLESIIRNRLQKQIKDDVGQAAGLLRLHFHDCFVQGCDGSVLLDGSAGGPSEQTAIPNLTLRKRSFKIIDDLRKRIQDECGQVVSCSDIVAIAARDSVVLTGGPNYDVPLGRKDGVNFATEQATIDNLVAPSANTTTVLSLLATKGLDATDAVALSGAHTIGISHCPSFTDRLYPNQDSTMDKTFANNLKGSCPTADSNNTVNMDIRSPNVFDNKYYVDLMNRQGLFTSDQDLYTDRRTRGIVTSFAVNQSLFYEKFVIGMIKMGQMNVLTGGQVTQAQGTQPIVKGLSWTFYDSICPNAESIIRRRLQNVFRQDIGQAAGLLRLHFHDCFVQAFRIIEDLRRRVHRDCGRVVSCADITAIAARDSVFFSGGPDYDLPLGRRDGLTFATTNETLANLPPPSFNTSLILASLATKNFTPTDVVALSGGHTIGISHCSSFTDRLYPNQDSSMDKTFANNLKTTCPTRNSTNTTVLDIRSPNKFDNKYYVDLMNRQGLFTSDQDLYTDRRTRGIVTSFAINESLFFKEFVNSMIKMGQLNVLTGTQGEIRANCSVRNSNNYNLIQGTWSEI >Solyc02g032150.1.1 pep chromosome:SL3.0:2:28170158:28171054:1 gene:Solyc02g032150.1 transcript:Solyc02g032150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKGKTSTYRSDEQEIDLNLTLGGIYNTGNPNEISIPQQFSQIIASVTNNLAFQRAIKGIRSEMNNNSKDREEMPEIYEKRKETNPTFVPESNGESAIKFNAKKGKSILLQESNGEKPSKKVKNSDEVLDKGKSIVLPESNGEKL >Solyc11g063465.1.1 pep chromosome:SL3.0:11:49907606:49908394:-1 gene:Solyc11g063465.1 transcript:Solyc11g063465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEPYSDFTTEDFCLQAGIYIEKIFKTQRVPIIVGGTNSYLEKLVEDPVFMFKYKYNSCFIWIDVEQSVLNRRIDMRVDQMVKVGLVDEVRKIFIPDADYTKGIRRFIGVPEMDRYLREETNIDRDYESKQMILQASISSIKRNTRMLICNQLDKIQRLISEKTWSVYHIIATAVFKEYLDEAWTNTVLQPCLDILKRFPKTNHHNIIIECT >Solyc07g064010.3.1 pep chromosome:SL3.0:7:66442448:66449271:-1 gene:Solyc07g064010.3 transcript:Solyc07g064010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQGQMGVRGVVVHFFVFFFMLLVAVLGSKKDSDLINSPQINRDMAELLWLNCRIELTRANKPVEDLEYYVAEEVLSVRDKVLLKSSSEKHEPNGDLHPLVKQALLGCLREKNLLFLICGEEKGSPNWYARCVEFLSSLHRAPKRRILKAAPNPPPASPKSKPAKSQDSKKKSSAKDSKKANTVGVPIIAAAAAISLLIALLCICCCCKCCRRSKKGLNDERPLLSLSSSDYSSHKSSALGSSFNNAGNHSFTNAGNHSFNNNPNDDSKIEIRRTGPAGMPPLKPPPGRAVHSEAPSPPAPPPPAKPTTPAPAAPPPPPGKPSSVGPSPPKAGPPPPPPMKSGPRAPPRPPSMGLKPPRTSPLGPNASASASAEGDDTDPKTKLKPFFWDKVQANTDQSMVWHQVKAGSFQFDEEQIETLFGFASAEKKKNGSKKDSSQDPSNLYIQIIDQKKAQNLSILLKALNVTTEEVCDALKEGNELPAELIQTLIKMAPTAEEELKLRVYNGELSRLGQAERFLKALVEVPFAFKRLETLLFMCTLQDEASMIKESFATLEAASIELRNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGIRAARAARDQRSLSSIKSDDLLEDLPQDQEDQYRNTGLQVVSGLSSDLGNVKKAAILDADNLTGIVSKLGHSLIKAREFLNSEMKHVDEEDGFHQTMKNFVQNAEGDVMSLLEEEKRIMALVKSTGDYFHGNAKRDEGLRLFVIVRDFLVILDKVCREVKNAPRKINSTPRRENVTLTTSESTLSQRPDQSVPSPRPDQSIPSPRPDQSVPSPRPDQSVPSARPDQAIPSALPHQAIPSARPDQRQKLFPAIADRRIDDFSSDDDSP >Solyc02g068125.1.1 pep chromosome:SL3.0:2:38729856:38731049:-1 gene:Solyc02g068125.1 transcript:Solyc02g068125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYSVTAEIIGVSFFKILELVFSVSSLCLPGEEKVLSFSYRFQNLGLLLTTLLVGFGGVS >Solyc04g071510.3.1 pep chromosome:SL3.0:4:58573138:58581351:-1 gene:Solyc04g071510.3 transcript:Solyc04g071510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLMASSASRNSDRRKSSAASSSSSSTMPNDLLNQQYSNNGSNNSSNLEATSMTVDGFLRNVYGEGQGTEANTLLNANITLLDAAGAITPISDSETATVSGIPLVRRTVDDVWREIVEGKREQRRAAVAGCKEEAVDEIMTLEDFLVKAGAVEEEALAGEGPVQGEVKVELGTERLSGGIFAFDSPYMAMPQQSVQGYGNGIDVIGGGRGKRKAILEPLDKAALQRQRRMIKNRESAARSRERKQAYQVELESIAVRLEEENEQLLKEKEERTRAHYKQIIEKVIPVVEKRKPPRVLRRVCSMQW >Solyc10g047050.2.1 pep chromosome:SL3.0:10:39188626:39208483:1 gene:Solyc10g047050.2 transcript:Solyc10g047050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKTNSCFVGPKPKYVFSRSIHSKLFGWFQNSIEIKASSHTLNSLVKMAGEESSPDSATKNIDELEGQWRLYEAYNELHGLAQEFDTPFDAPAVLVVGHQTDGKSALVEALMGFQFNHVGGGTKTRRPITLHMKYNPECDTPLCHLLSDSDPSVSHEKSLQDIQAYIEAENMRLEKETCQFSAKEIIIRIEYKYCPNLTIIDTPGLVAPAPSRKNRALQAQARAVESLVRAKMQHREFIILCLEDCNDWSNATTRRVVMQIDPELSRTVVVATKLDTKIPQFARASDVEVFLSPPASTLDGFMLGDSPFFTSVPSGRVGFGPESVFRSNDEFKQAISSREVEDLVALEEKLGRVLSKQERSRIGVNSLRLFLEELLLKRYMDSVPSIIPLLEKEYRSSTRKLSELDQQLSSLNEAKLKEKGRTFHDLFLTKLSLLLKGTVIAPPDKFGETLQDERVNGGAFIGSDGLQFPHKLIPNAGMRLYGGAQYHRAMAEFRFVVGGIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLSHILKRLLPIAVYLLQKEGEYLSGHDVFLRRVADAFNNFAESSEKSCREKCMEDLVSTTRYVTWSLHNKNRAGLRQFLDSFGGSEQSAIIGNATSTVFSQDSATASVASDNKQDVKPRTDVKLSHLASGNDSNMSTQTTETRLADLLDSTLWNRRLAPSSERIVYALVQQIFHGIREYFLASTELKFNCFLLMPVVDKLPAMLREDLESAFEDNLDNVFDITNLRHSVAQDKRQTEIELKRVQKLKEKFRYIHEQLNSQQAMFGQ >Solyc01g057275.1.1 pep chromosome:SL3.0:1:60280851:60283902:1 gene:Solyc01g057275.1 transcript:Solyc01g057275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFREDMVIALIKLGVAAGAVDDPTTASPGGRTAADLASSRGYKGIAGYLAESDLTSHHQLLATSKNALDTIGAGLEAEKVYESAVQEIVPLNGTIDDDVSLKASLASLRKSAHAAALIQAAFRARSFRQRQLRESRNDVSEASLDLVALGSLNKVQKVNCFEDYLHSAAINIQQKYCGWKGRREFLKVHNQIVKMQALVRGHEVRKQYKKFVWAVSILEKGILRWRRKKTGLRGFWPEKTSETGIVEREKEEEYDYLSIGLKQKCAGVEKALGRVESMVRHPEARDQYMRMVAKFKSCKLDDGGREVNRSSPPV >Solyc08g078845.1.1 pep chromosome:SL3.0:8:62665035:62668354:-1 gene:Solyc08g078845.1 transcript:Solyc08g078845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNFVVSQASPGSEGRSSPGKSAFIFFAIMRLDPEEISAKLTGYERKHDAVTCIGMRTYIPDEAITNLKPDFFWFGVDEIDLKLGIRIPQFH >Solyc01g006420.3.1.1 pep chromosome:SL3.0:1:1029976:1030461:1 gene:Solyc01g006420.3 transcript:Solyc01g006420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFTCCWSLAEQVVAGAGGGGDLGGGGGGEHGCGRGRGLGLGGGHGCGPRIRPRQILT >Solyc02g060595.1.1 pep chromosome:SL3.0:2:33610949:33614888:-1 gene:Solyc02g060595.1 transcript:Solyc02g060595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDPNSHPGDMMPNPELIHQLMSSERMQEYLVQQGLFPYLDQPQSNQSLDYIGFFDSEEALIAVEELEEDSGE >Solyc12g098130.1.1.1 pep chromosome:SL3.0:12:66744385:66744792:-1 gene:Solyc12g098130.1 transcript:Solyc12g098130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANWSIIFIFALVLVHANARNIPEVQNVNTKTLSTNSPTPSKIGGLNDNKNFITYGGIGGCSGIGGVGGVLPILGGAVGGVGGAAGGVGGVGGGLGGAGFKGIGGGVGGAGGVGGGGLGVGGGIGGYKGVGVIIP >Solyc05g014280.3.1 pep chromosome:SL3.0:5:8089884:8092607:-1 gene:Solyc05g014280.3 transcript:Solyc05g014280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHCLSRFPISQPILFSNNPKYSNSSLPISSRKYQGNYKKLKVMVVDERHNLDHLQRQNKTPQPRKRTPQMAPVGLWDRFPTARTVQQMMDTMDRVIEDPLAFNGGWVVGPSTDDIGYRRGRTPWEIKENEGEYKMRFDMPGMTKEDVKVWLEEKMLVVKGEKMVKNNEKEEEWSAKSYGKYNTRIALPENIDFEKIKAEVKDGVLYITIPKASSNPKVFDINVQ >Solyc06g084360.3.1 pep chromosome:SL3.0:6:49544814:49551070:1 gene:Solyc06g084360.3 transcript:Solyc06g084360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPWAGLSCCLSAAALYLLGRSSGRDAEVLKSVTRVNQLKDLGKISILAPNESQAVLDDILRRISPMCILSTLNYLCTPFILAQLLDTASKVLPLVVTISGRVGSDTPINCEYSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMCKEVPWYLDDGTGRTFIVGGRGATGLVLTVGSEAFEEAGRSFVRGTLDYLQGLKMLGVKRIERVLPVGTPLTVVGEAVKDDIGTVRIQRPHKGPFYISHKTIDQLIANLGRWARWYKYASMGFTAVGVYLLVKHTFHYMMERKRHWELRRRVLAAAAKRAGDEDEGSDATAENGVDNKDLLMPDLCVICLEQEYNSVFVP >Solyc01g111940.3.1 pep chromosome:SL3.0:1:98010404:98014360:1 gene:Solyc01g111940.3 transcript:Solyc01g111940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNSKAETSRARKNAVESERKDRESREKEDQYWREAEGAKPRAAKKREEEAEKRAEAAAKKAEVRRLAELEEKELEKSIKKPDKKANQASTVDDAIAHLAISDSLPPDRHPEKRLRAAFKAFEEAELRALKEEKPGLTHTQYKDLIWKLWKKSPDNPLNQVADKS >Solyc10g049900.1.1.1 pep chromosome:SL3.0:10:47039696:47039863:-1 gene:Solyc10g049900.1 transcript:Solyc10g049900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTICNTFRDLLEEYNVEVKGPFNHLARNEAGLPEKRYDPSSRVDDNQKKLSQI >Solyc03g097990.3.1 pep chromosome:SL3.0:3:61784781:61789555:-1 gene:Solyc03g097990.3 transcript:Solyc03g097990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRYAMVCSSNQNRSMESHCLLKREGFDVASYGTGQHVKLPGPSIREPNVYDFGTPYKQMFDDLRRKDVELYKRNGILPMLKRNLGVKLAPQRWQDNAADGPFDVVITFEEKVFDLVLEDLHNRNQVLLKPVLVINLEVKDNHEEAAIGGRLALILCQELDATENWEDAIDDIINNFEKQHRRKLLYSISFY >Solyc05g012130.3.1 pep chromosome:SL3.0:5:5333357:5343849:-1 gene:Solyc05g012130.3 transcript:Solyc05g012130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPSSWRSRKPPPPAKQSDIYSTFVIHDNDRKTDEKDNSDLYATMVCKDDDDDDVVDDLNDDESLPPLLKRLPKDFGGGGGAIDSVSDDDMASISGTMIVKTDRSSKFTTPKQPQQQTARYMSYWDRDEKSPVRRRYEEDEDEDEEEEEEDGRFSTFVVKDNEFDSGTMVRRTVRSGSNEGAGSTMSRAVASMQAAGEIGIGRQRNRSSRAPSDEEGGTLRPQGSKVSSSSIPDSVTREDPCTKYELLHELGKGSYGAVYKARDLRTSEMVAIKVISLSEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVADLMNVTDEALEEYQIAFICREALKGLSYLHSIFKVHRDIKGGNILLTDQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRATVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKDPRLRPTASEMLKHKFIEKFKAGASVMMPKIEKAKQIRASMALEAQNIASETPEVLGGPKVNDEFGDTVPSKPKNDDAPSTSLEPVGEGDFGTMIVRDGPDIDKTASQIRNAEASSTLRRTGIPSIPTIAGKSNDPWLLNDIDVSSPVGMSQRQSMQVSSPGTLPSPDQGLKGSTTSQATVSSGGGGYNTGTLPNETVSRRALDKLRSIYSAGNTVPIPFLRATDISPIALLSEDVLGDWQRDNSGKTAVEAMQELFSGDSQSKKGRSRQNEVPLPPSVYQRLTSSPTLMNLAQALAYHKMCYEEMPLQEMQASQEQQTIQNLCDTLRTILRL >Solyc09g031780.3.1 pep chromosome:SL3.0:9:28003447:28020672:1 gene:Solyc09g031780.3 transcript:Solyc09g031780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSLLLPINQPPPVNTFRSQFLNPTPLRLTPKFYLKRRIQSTVISSSSASLQHKPTSVNPDVFGGKKELSPIQSLVDAMSPPIRIASSALIFAAAIAAGYGLGLRFGGSRNAGLGGAIAFGAAGAGAAYALNSCAPQVAAINLHNYVADFDNPAALNKEDIESIANKYGVSKQNEAFNAELRDIYCRYISAVLPASTEELRGDEVDTIIKFKNALGIDDPDAADMHMEIGRRIFRQRLETGDRDGDMEQRRAFQKLIYVSTLVFGESSAFLLPWKRVFKVTDAQVDVAVRDNAQRLYASKLKSVGRDIDVNQLISLREAQLAYRLSDELAHEMLKEHARKLVEEIISTAVGILKSRTRATEPTRVIEELDKVLSYNNLLISLKNHADASRFAPGIGPVSLVGGEYDGDRKMDDLKLLYRAYVTDSLSSGRMEEDKLAALNQLRNIFGLGKREADTITLDVTSKVYRKRLAQAVTSGELEAFESKAAYLQNLCEELIFDPQKALEIHQEIYRQKLQLLVTDGELSDEDMKALERLQVMLCVPKQTVEAAHADICGSLFEKVVKEAIACGIDGYDTETKNAVRKAAYGLRLTRDVAMTIASKAVRKIFITYIQRVRGAGSRTESAKELKKMIAFNSFVASQLVADIKGESSDTPAEETQQEQIQQNEEEDEEWESLQSLRKVKPSKKNLRKDIQTEITLKDDLPERERTELYKTYLLFCLTGQVTKIPFGVQITTKKDDSEYVFLSQLGSILGLTDSEIVGVHQGLAEQAFRQQAEVILADGQITKAKMVQLNELQKNVGLPPHYAQNIIKSITTTKLAAALETAVGQGRLSIKEIRELKESSVDINTMISESLRENLFKKTLADIFSSGTGEFDEEEVYENVPKDLNINVEKAKKVVHELARSRLSNSLIQAVSLLRQRNHKALVYSLNDLLACDKAVPAIPLSWEVPEELSDLFIVYLKSDPPPEKLSRLQYLLGISDSTAETLRTVKDRELPNGAGEEEFVF >Solyc01g057260.3.1 pep chromosome:SL3.0:1:60238777:60242259:1 gene:Solyc01g057260.3 transcript:Solyc01g057260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYTLSHHSFNTCILYVMTRHSFVGFRVKERRMTHSIEITPIDDQIPLLRQAKKDEIEEGRKVNHLIDIKERFDEMDRDLDNSSIETCTIFKVNMGLRGSNSTAYTPKMVSIGPYHKKNPQLRPMEKYKLLYLRRFLRRNEALDMEICISELEELKEKALKCYEDIEDLGNHSHEFCQYVIA >Solyc05g005873.1.1 pep chromosome:SL3.0:5:683678:685418:1 gene:Solyc05g005873.1 transcript:Solyc05g005873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTLATPVLPSLSLICNTSSISKHSSLSFSTPTSFPKTAGLVIKCVRVGGVEVPNNKRVEFSLQYIHGIGRTTARQILVDLQMENKVMKDMSEEELITLREEVSKYMIEGDLRRFNALAIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKKVAIAGKKKAPR >Solyc10g038080.2.1 pep chromosome:SL3.0:10:19527788:19537280:-1 gene:Solyc10g038080.2 transcript:Solyc10g038080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVGLLKNSAMVCAPLMATSVDQLIDEMVEAKSQGADCVEIRLDAIHNFQPHKHLQLLFKNKPLPILILYRPIWERNDFEADAHKQLEALRLAKELGADYVELDLKIASEFAKNEKSSWSSGCKLITSCFVDNVTSKEDLSQVVASMQSTGADILKIVINANDITELEKTFHLLSHCQVPLIAYSVGERGLISQLLGPKFGSVLLYGSLDGNAVPGLPSLASLRQAYGVDLMDNDTKVFGLISKPVGHSKGPILHNPTFRHVGYNGIYVPMFVDDLKEFFRVYSSPDFAGFSVGIPYKEAVVSFCDEVDPLAESIGAVNTIIRRPCDGKLIGYNTDCEASITAIEDALKANGEALVPCSLARKMFVLVGAGGAGRALAFGAKSRGARIVIFDIDFDRAKALAAAVSGEALPFEKLASFQPEKGAILANATPIGMHPNKDRIPVPEGSLKDYVVVFDAVYTPRRTTLLEDAEAAGALIVSGVEMFLRQAIGQFNLFTGSKAPEEFMRDIVMSKF >Solyc06g060215.1.1 pep chromosome:SL3.0:6:38283047:38287033:-1 gene:Solyc06g060215.1 transcript:Solyc06g060215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDDSLGGSSSRTQGETATGNVVMIDHNHPLYLSSSDVPGALSVGIQLTGMENYTIWSRATEIALLGRNKIGFIDGSVLRTDFEDNLKKVWDRCNAIVISWLTCNVSKELLSGILYSPSAHQEALDHIGKNAMHSRLHDDKVHMDRTIQGQEDIPNQLGKLIQKATPTQLQQMLGILQGNKEFLNPQGCVNLAGNPNYCLKWIIDTGASDHMISNDHKMILETKGILKDSFKIKDLGELRYFLGIEFARNSTGILMHQRKYCLELISDMGLSSSKPVGAPIELNKRLTTTEFDLYFFPADKHDKLLKDPGVYQKLIGRLLYPTITRPDIAFSVQLLSQFMHNPKTSHMDAAMRVVRYIKQSPGLGIFMTSEVDNQLKAYCDAD >Solyc07g056140.3.1 pep chromosome:SL3.0:7:64118890:64124421:-1 gene:Solyc07g056140.3 transcript:Solyc07g056140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CGE7] MAASIGALKSSPSSHNCINERRNDSTRAISSRNLSFSSSHLAGDKLMPVSSLRSQGVRFNVRRSPLIVSPKAVSDSQNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPDWFQGTADAVRQYLWLFEEHNVLEYLILAGDHLYRMDYEKFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPQGEQLQAMKVDTTILGLDDKRAKEMPFIASMGIYVISKDVMLNLLRDKFPGANDFGSEVIPGATSFGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSAPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVVGLRSCISEGAIIEDSLLMGADYYETDADRKLLAAKGSVPIGIGKNCHIKRAIIDKNARIGDNVKIINKDNVQEAARETDGYFIKSGIVTVIKDALIPSGIVI >Solyc08g082700.3.1 pep chromosome:SL3.0:8:65523189:65528249:1 gene:Solyc08g082700.3 transcript:Solyc08g082700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKITEVSQTFERFKAAVVRNDFDACTRLLSQLKVLLTSFTSLPPLFQETSNAIHELTIARDIYEHAVVLSVKMEDQDAFERDFFQLKPYYTDARGRLPASPQEYPILGLNLLRLLVQNRIAEFHTELELLSQSALDNPCIKHAVELEQSFMEGAYHRVLSARQTVPDATYAYFMDLLAKTVRDEIAGCSEKAYDRLSTSDARQILLFSSDKELLQYVEKEHPEWEMKNGFIIFQKVKDSVPCKEIPSLQLITQTLSYARELERIV >Solyc09g098130.2.1 pep chromosome:SL3.0:9:72527604:72533377:1 gene:Solyc09g098130.2 transcript:Solyc09g098130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYDDDDMISCPTQYVRFIIKYSLTNYVGCFYIFEQRLIICTTFQKEKMAENEIEEMLEHLRRIKSGGDLDWFDILRIEELEMVLRVFRTFTKYHDVLLPDSLVKLTKMAKLTGEILHRVLGRIPHKCKTNLNLERLESHLLEFFQGNTASLSRNYELNDFDLSKYMDCLEKFLNDVLMMFLQKGRSCHSKRKLAIHRSIKELKIVQKKMRFLKYIYATEINGYVDYEKQECLEIRIQFMTNTVGQYCVAVLDYVAEGELNDENDNFSKPPYLLSLIVFVELEMKKLFHGEVKASKFTRSKTFKDKKLPKGFSHHLHNLLMYLRNKKLENFPNNIAAQNIDVAIEFLLVFLDADVSNHVINGNWLKEVLLKVGAIAGDILYVIQKLLPRSINKDETSNISLCSIQILEKTKDLKAQVETYYKSLKFTPSQFPTFGGLSFLDSLLRKLNEMSTSKSGLGFLTKPLLGNLEKELSSLASILEKELSSIFSDVVHHEHNIPKDLQRRTINLACEAEVAIDSILAQYNVFLHIFCSLPTIVKEIKQINAEVTEMWSADIPLNPHYVAAPLKHLPDRHSNLVTDEEVVGFENKAEELIDYLIRGTNELDVVPIVGMGGQGKTTIARKLYNNDIIVSRFDVRAWCIISQTYNQRELLQDIFSQVTGFNDNGATVDVLADMLRRKLMGKRYLIVLDDMWDCMVWDDLRLSFPDVGIRSRIVVTTRLEEVGKQVKYHTDPYSLPFLTTEESCQLLQKKVFQKEDCPPELQDVSQAVAEKCKGLPLVVVLVAGIIKKRKMEESWWNEVKDALFDYLDSEFEEYSLATMQLSFDNLPHCLKPCLLYMGMFSEDARIPASTLISLWIAEGFVENTESGTLMEEEAEGYLMDLISSNMVMLSKRSYKGKVKYCQVHDVVHHFCLEKSREAKFMLAVKGQYIQFQPLDWKGTRVSFSFSEELSKFASLVSKTQKPFHQHLRSLITTNRAESIDVILFCQISELRLLKVLDLSSYTVEFLSLATFKPLNQLKYLAVQADKFYFDPGSHLPHIETFIVKNFPYGIGLPVSFWEMKKLRHAHFGKAEFDKQGLSEGSSKLENLRILKNIIQFDRVDVLSTRCPNLQQLQITYFGNNEEPFCPKLENLTQLQQLQLSFVRPRTLSGLQLPSNLNKLVLEGIHIESVIPFIAGLPSLEYLRLQDVCFPQSEEWCLGDMTFHKLKLLKLVKLNISRWDVSEESFPLLETLVIKKCGDLEEIPVSFADIPTLEQIKLIGSWKVSLEDSAVRMKEEIIQIEGSNCCSHSSLASPFLFYTLFMYHMIGDKYKSVAFDQFLSIEHLHISIHINHVVDFIIRSTIRKSSSLNLLLEI >Solyc08g041668.1.1 pep chromosome:SL3.0:8:26764697:26765821:-1 gene:Solyc08g041668.1 transcript:Solyc08g041668.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHILNLIVQDGLKEIGKSVKLVRQAVKYIKQSPARHRKFKEYCESELITCKKSLCLDVPTRWNSTYSMLDVAQHFELAFERYSFYDIGYLNHLRTFGSDSSENKDGTRVEDGTNVEDETSVEDGTTANIISSLDYVPFAIVDMFGKEVGEKLCSKVKKYMNKLFEYYVKKSPKTSLHVPSSPTSSDNSSSISSVSGYGNFVNRGRMRTKKQFEKHKEVSGSSGNKSELERYLAKDIEQDSDDFDILMWCKVNEPRFPILAEMVRDVLPIPISSVASECDLCS >Solyc10g086190.2.1 pep chromosome:SL3.0:10:65227557:65232127:1 gene:Solyc10g086190.2 transcript:Solyc10g086190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEGILLGMGNPLLDISAVIDQDFLNKYDIKMNNAILAEDKHLPIADKVILYPRYEEMTSKYTVDFIAGGATQNSIRVAQWMLQIPGATSYMGSIGKDKFGEEMKKNALDAGVNVHYYEDESPTGTCAVCVLDGERSLVANLSAANCYKVDHLKRPENWALVEKAKYYYIAGFFLTVSPESIQLVAEHAAAKNKVFSMNLSAPFICEFFKDQQEKVLPYMDFVFGNETEARTFSRVHGWETDNVEEIALKISQWPKASGTRKRITVITQGADPVVVAEDGKVKQFPVILLPKEKLVDTNGAGDAFVGGFLAQLVQEKPIADCVKAGCYASNVIIQRPGCTYPEKPDF >Solyc10g044720.2.1 pep chromosome:SL3.0:10:27579404:27581680:-1 gene:Solyc10g044720.2 transcript:Solyc10g044720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKYNSEQKILGFVVDFFDYCSCEKFFFRGNVGHLIFIVPESLSGKAVWANLRSVWTG >Solyc05g025930.1.1.1 pep chromosome:SL3.0:5:38167054:38167245:-1 gene:Solyc05g025930.1 transcript:Solyc05g025930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLYFDELVVSKFDIHAKGFLSQAYSRISVLFSILRDGIGESPSLVKLSMDVLADQLCKWI >Solyc05g021510.2.1 pep chromosome:SL3.0:5:28039063:28040403:-1 gene:Solyc05g021510.2 transcript:Solyc05g021510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEEEERWIDPPDQETYEIFFLHVRQAVMDQESDENDKFDKESEDDEE >Solyc01g010750.3.1 pep chromosome:SL3.0:1:5726468:5731640:-1 gene:Solyc01g010750.3 transcript:Solyc01g010750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPFGHHGHHHHHHRRDDDDDRREEFPPPGHRPPYDEPPPPQVGQVFHTSHVGGPPPMEPNYDRPHYPPPPMDDNYGRTEYTPPMDDIYGRTNYPPPPMEENYGGSYNRPPPRSDYYDAPPPPPTSGYPSVEHVSHESESGDRHHDRHRFQPHVPTAFHHQTSDPELMDKPSFRVYTKADTNYSLTIRDGKVVLASNDPSDPFQHWYKDEKFSTKVKDEEGFPSFALVNKASGLAVKHSIGASHPVQLTPYNPDVLDASILWTESRDVGDGYRAVRMVNNINLNLDAWNADKEHGGVRDGTTVCLWEWWKGDNRNQHWKIDMLACESWLELG >Solyc05g008490.1.1 pep chromosome:SL3.0:5:2831294:2834777:-1 gene:Solyc05g008490.1 transcript:Solyc05g008490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLENIKSNLLALRQLYGLLRNDRDGVSNLNSDGLDHEARVMLKNLLDTTTNDLLKAHSEIIAHQAHVHNLPQPQHLVDMVKQRPLALLDMPKSSAVIQSCGNEPVVVEESTLSKEKQESIVPVTQTASVQRPKFSFSASETGNKRKFCRICQRPKFEKAFVPCINTKSSEIEASHSTKVVALQEQQNSLFGSFSRDIQQEALQSLSTESRKPKEVLDLHRISSGAETVASISDRPANDLTQAAYNFSGLIRSVTDQNHDHLSTDDVIKQLELHISAMQMDAEDLVLADRHAADHNFKPITNFVPQVELASPINKIEGAVVNDKLDSLQLVITQYSQEPKGTSSSLYPGYTIPSIRISNISADQSPPPESSSCQSNQLRQQQIVANIVPAEHRDTSTELDLVEEHPWQIQRGNIINHQSIQSVVDQFEPLGQSENQNNSTSRQSRYAALPAMLINENLNQNQNQMPSNEKSGQLGKLNARLPAADISRNNIGTPGLIDHGSKLAWSGTNESRMDSPYSEHSIMRRSALNHKLGQLKPSRSSYANSDQNSSKYPRNRNHIKQLRRRHLHEQESEVSHSSSSYSSSSTDLQQTSTYSSDKDDSLPRQARTKMRYSDETSSYGEDESYPQRDSSQTDYTDSSSCSDHEGYSSPIGSERAHERKGEKSSSLDPSGSDHLEELSYYSADSSSRRSSTARAYKSANSKKSKKHNGRWKKLKDKLAIVFHHHHHHHHHHGKDDKAKEKQTSLLRQRGKRFPGHYSSSKDETYGGKALEKFGKSAIDKQAGTKTKGDQFHTLARGLMQHIQHSKKSKHSSSRPADKEQHSNKKVINKFRWWQLLRHHRGMNKTPVMLGSAHKKHHQKLFPR >Solyc06g073220.1.1.1 pep chromosome:SL3.0:6:45258551:45259003:1 gene:Solyc06g073220.1 transcript:Solyc06g073220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFKVIVIIAIAMGLTISLLTMQHKSNNPEFHEDVKVSDVEVTKRVKVSRFLAQQEIKNPRAADHCHKDNEICHVSEGGRNSTCCNNKCMDLGYDDHNCGACKKKCPFTETCCRGQCVNLSYDKRHCGYCNNRCMTGGYCFYGICDYA >Solyc08g014470.3.1 pep chromosome:SL3.0:8:4530933:4553869:-1 gene:Solyc08g014470.3 transcript:Solyc08g014470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNALWVIVSASFVLLLSVASIPLLNNNGNYLTYLLNWRSSSFLSEGADLLVTNGTIYTSDEALPFADSMAIGNGRILRVGNYSSIKELATSWTKELNLDGKIVVPGFIDSHVHFIPGGLLMALVDLRGVNTKDLFVDKIRKAVANVKHGSWLLGGGWNDDIWGGDLPMASWIDSITPHNPVWLTRMDGHMGLANTLALTLAGISRNNDNPNGGAIVRDDEGEPTGLLIDSAMKLVLHHIPEATVDERREALKRASNHALKRGVTTVVDFGRYFPGASPEQSWEDFSDVYKWADLSGNMMTRVCLFFPMETWSRLVDLMSKSGRVLSQWIYLGGVKAFADGSLGSNSALFHEPYADDPNNYGLQVVDQDGLYNMTLSSDKFGLQVSIHAIGDKANDLILDMYASLPSEKQFRDRRYRIEHAQHLAPGTVARFGEQSVIASVQPDHLLDDADSATKKIGSERAERGSYLFKSLLTNNAQLAFGSDWPVADINPLKSIRTSMKRMPPGWEKAWIPSECLCLEDALKAYTISAAHACFLDKYVGSLSPGKYADFVVLSTNSWEDFATGVNVDVEATYVGGSKAYSIKPEDQK >Solyc07g062545.1.1 pep chromosome:SL3.0:7:65367866:65369956:-1 gene:Solyc07g062545.1 transcript:Solyc07g062545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHGRHKESNQELEGTTLTRVDVPLGLYLSLNRSGKLSITAEAATQISGYIGYRNLHRQ >Solyc11g020850.2.1 pep chromosome:SL3.0:11:12826190:12829332:1 gene:Solyc11g020850.2 transcript:Solyc11g020850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESYTSQLDVASLKETLCAQQQLLQKLYNELDEEREASSSAASEALSMIVRLQGEKAAVKMEAEQYKRLAEEKMCHAEESLDIFEDLFNQKEMEIAALEYQVQAYRYKLLSTGCADPGVGEFKYPDNLLQRNETLAGEMNPQALGRRNSAPHFPLKFPKKGALEIDDSSLERESNSKTVDEYTGQEMNEQQSDTAKKTDISRTESINSCWQQIRKLDDRVKEITGVSYANLRSETRSPSPLSQRSMKISKSENEMYQPKLHVSKSETGTPSDSGCSPNVLDVFEVPRAEKDTVDIGLPPKHDRKMVLHNDERLERPDSVQQEAVKSSVKDEAELLKKYFVAAQREKKLRRASEAASISCHLAISRPTTSISGTNELHLHNRTSEIGEVGREATRQEMAREELKLLHEIKEQLNLMHSEIQRLKTDKLPPIDESSLLPLSEPLHVKLLPSSMKPRALLLECSSTIGSISVNS >Solyc03g063030.3.1 pep chromosome:SL3.0:3:35256196:35261111:1 gene:Solyc03g063030.3 transcript:Solyc03g063030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSISEQSFYIESEEEDDEHLEKHENEEEGNESDFSNEDNNDDETNRPNSLTSAWPQSYRQSMDLYSNVPSPSLHFLGTPSLSRLGSSFFGSSLIRRHTPEVLPSLHKPLILPAEEEKAPYRRSSHGLIPPLHPRKSSIKKIPDIERPSKDAHGLPISHQSTYGQAVVNGMNVLCGVGLLSTPYAVKEGGWAGLSILFIFGILSFYTGMLLRYCLDSQPGLETYPDIGQAAFGTMGRIVISASCVEYMILEGDNLSAIFPNAHLSLGGFELDARHLFVLIATLAILPTVWLRDLSVLSYISVGGVIASILVVLCLYWAGLVDHVGFESKETILNLPTLPVAIGLYGFCYSGHAVFPNIYTSLADRSQFPAVLLTSFGLVTLLYGGTAVLGYLMFGDSAESQFTLNLPKELMVSKVAVWTTVVNPFTKYPFPESMM >Solyc08g077570.2.1.1 pep chromosome:SL3.0:8:61671532:61672205:1 gene:Solyc08g077570.2 transcript:Solyc08g077570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTASEFLILQQLRILPFLEKEPCRIWFPKVPKLVCILINVEELRDILSPLVHKCSKNVKKLGLPGIRDTVIHLKPTELQKELLKRVPENLGSFYEQNLMSMISVHPSLVANRKEFSELESQLKERRCRLDPDIGVKIKFVIELIRLCGG >Solyc07g062030.3.1 pep chromosome:SL3.0:7:65004560:65009126:1 gene:Solyc07g062030.3 transcript:Solyc07g062030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chalcone-flavonone isomerase family protein [Source:UniProtKB/TrEMBL;Acc:K4CGN6] MAAAGAISVWISTSTPTKITAFNSIPRICYPLKLSRNGIYPLSTFERNGQYEQNLTIKAAASSSVGSAEYTEEPATKVKFQRSLSLPGCSTSLSLLGTGYREKIFAIIGVKVYAAGLYVNDSVFSSLDAWRGRSAADIQQDPSLFNKIFEADLEKSLLIVLVRDVDGKTFWDALDEAISPRIKSPTADDKSALSTFRGVFQGKPLKKETSIFLTWIDPNKMLVSLSFDGMPSSVDATIESPNVASALFDVFLGGDPVSPTLKASVAKGLEATLK >Solyc11g005980.2.1 pep chromosome:SL3.0:11:776841:783348:1 gene:Solyc11g005980.2 transcript:Solyc11g005980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPARPAEGMDPPQTPVGGAGPSSLTRRPSRSAATTTFSMEVFDNEVVPSSLQSIAPILRVAREIQNERPRVAYLCRFYAFEKAHRLDPTSGGRGVRQFKTNLFQRLERDNASSLASRVKKTDAREIESFYKQYYEQYVVSLNKGEQADRAQLGKAYQTAGVLFEVLCAVNKSEKVEEVAPEIIAAANDVQAKKEIYAPYNILPLDSAGASQSIMQLEEVKAAVSALSNTRGLNWPASFEQQRQKTGELDVLDWLRAMFGFQRDNVRNQRENLSLLLANIHIRLIPKAEPLNKAYLLVYSSSACFLDDRAVDALMNKLFKNYKTWCKYLGKKHSLRLPQAQQEAQQRKILYMGLYLLIWGEAANLRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDESFLRKVITPIYRVIDKEAKKSKDGKAPYSNWCNYDDLNEYFWSQDCFSLGWPMRDDGDFFKSTRDTTQGKGAANKKPGKMGKSYFVETRSFWHIFRSYDRLWTFFLLSLQAMVIFAWSGIPVLDIFKKDSLYNLSSIFITAAMLRFLQSILDLFLNFPGYHRWRFTDVLRNFLKVVVSLAWCVILPLFYLQESNSELLTKIRSSLTFLDKMKGIPPMYLMAVAVYLLPNLLTTALFIFPMLRRWIENSDWLVVRFLLWWSQPRIYVGRGMHESQFALIKYTLFWVLLLCAKFAFSYFIQIKPLIKPTKMIMNINHVQYSWHEFFPDARNNYGAVVALWAPVVMVYFMDAQIWYAIFSTLCGGVIGAFDRLGEIRTLGMLRSRFQSLPGAFNTYLVPNDKADKKRFSLSKSFNEVYFVLTYSVVYMLFILQVSPSKRSEAAKFAQLWNEFICSFREEDLISDRNSPLTHLFAF >Solyc06g024382.1.1.1 pep chromosome:SL3.0:6:11251047:11251544:1 gene:Solyc06g024382.1 transcript:Solyc06g024382.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMYLTHTAMLWWRRKKEDMEKGICCIEGWEQFKVYLKCQFYPENVVHEDTRTFRELKQTSTIQDYVKEFTNLTLQIPSLTSESLLFYFMDGLQNWAKQELQRRQVHDVDEEIVVAESPNDFRADAAKRRDNRSKAIPPKVYNNRNKGRPTPNRGSDTRVNTRD >Solyc06g062560.2.1 pep chromosome:SL3.0:6:39590785:39591989:1 gene:Solyc06g062560.2 transcript:Solyc06g062560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phosphatase [Source:UniProtKB/TrEMBL;Acc:Q8GRL8] MAGIVVVFDFDKTIIDVDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMKELHTQGKTIQDIEEVLKRVPIHPRIVPAIKSAHALGCDLRVISDANVFFIETILKHLGIRDCFSEINTNPGYVDGEGRLRILPYVDFQKSPHGCNLCPPNMCKGMIVERIQAKEGKKRMIYLGDGIGDFCPSLKLREADFVMPRKDFPAWNLINKNRTLVKAGVHEWTNGKELEHILLQWINTINIEESQLLSMEYCKFQTKPNADHGALPRPLPVPY >Solyc01g107937.1.1 pep chromosome:SL3.0:1:95212601:95214721:1 gene:Solyc01g107937.1 transcript:Solyc01g107937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSSDSQLSKFKLVFRTWENLALLANVRVFGGSLEPFYFSTTLMGYTRFILSFVISLWHYSCGMVLKKFLGRIIVTAPCLPIKLQNQAINGCKLEVAPDCTYWEKNDKKEEDGERK >Solyc02g067950.3.1 pep chromosome:SL3.0:2:38593486:38600799:-1 gene:Solyc02g067950.3 transcript:Solyc02g067950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVKLDNDSMYNLSAEYLRISSPAVDSTIRSVGGGKVIYGRRHTGIASSEPIGIMELGSSVCFVVCPVMGDSACLMHAFSYASALPPNEAKQGNHMHALGESISFGRFTTESLAWDKWSAFPHKRYVEEAERYAQPGSVAQKKAFFEAHYKKIAAQKAAALLEQENTQQDTLAVDPNVNSSMEGVADVKEKENVAPLARVGSLKQPQETFSGSELSETSYTEKPLLKSSSSKQDDDVTSAMSKKKTALSSFKSSVHSIKSKIPPSPARHNMSRLVNKENNFTPITKNPTSALANEKKSTSKSLSRLINFTPAKETDKVPPPPPPTFKKESSKLVSNAAKKCITPLKTPAETSDGAVKHLMTTPSSENRRMETPIHPSASGSQTTGPKWNILSSVCSKSLTACRNKLQSPSLSTPFLLRTEERAARRKQKLEEKFNAKEVQKVQLQTKIKEKAEMELRKLRQSFCFRARPLPKFYKERETARNHTKKTPVKRPQSPKLGRNPSNSTMQDLASHPTSTYSAKNSSYKYSGKKNCPKPINSHTSSTVMSRDQNASPNIQHQFGVSPN >Solyc07g017530.3.1 pep chromosome:SL3.0:7:7462682:7467259:-1 gene:Solyc07g017530.3 transcript:Solyc07g017530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNSIVWVGMAGRPHLSKKISKTMDGKVLTRRRVSQISPESATFDRRVRARAVLFSGGSCWGLVAGEQQSAAIQALSHAVAERPFPSNLDQVSGHDNSLSVSTKLSSLEDSGAIEAVLFYKWFADLEAAMKLESEEKYQHYASTLTEQIQTCDSILHQVDETLDLFNELQLQHQAVATKTRTLHDACDRLLLEKQKIIEFAESLHNKLNYFDELENVATTFYSPSMSVGSTNFLPLLKRLDECIS >Solyc07g018095.1.1 pep chromosome:SL3.0:7:9194224:9194596:1 gene:Solyc07g018095.1 transcript:Solyc07g018095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVESLQYPTLIHPDLSFVVNKVCQFMHMSWSLKKQCTIVRSSTGFKFKVLVDGAAQLT >Solyc10g080920.2.1 pep chromosome:SL3.0:10:62226860:62230791:1 gene:Solyc10g080920.2 transcript:Solyc10g080920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNWTTKQNKKFEEALVMYDKDNNGEKWHNIARYVGGKSVEEVRRHYDLLLKDITQIENGQVPLPNYRTTSDQTNARGYANEQRLLKNLKLQ >Solyc12g035290.1.1 pep chromosome:SL3.0:12:39723308:39723956:-1 gene:Solyc12g035290.1 transcript:Solyc12g035290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSSTRNTINRSKLCMSHRTGSKPIRDFTYELGGKDGNPPNMATIFFETHKKGNELVKLQTNGKYVCSLLNLIYHFQSGLILLDEIQELVQSEPSLTNIEVVEHCLGPQFKSHAIGFGGGITTKELKGGSTYKEVLLEELKATQKEKESLQKRIDILESKYDLLESILVRQRLSPPSPPQGWQTFH >Solyc02g063130.3.1 pep chromosome:SL3.0:2:35718201:35724752:-1 gene:Solyc02g063130.3 transcript:Solyc02g063130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFVKTLKGTHFEIEVKPEDTVADVKKSIETVQGQDVYPAAQQMLIHQGKVLKDPTTLEENKVAENSFVVIMLSKNKVSTSGTSATQPAPSNSAQPATSTGQPTQTVAPQATAASVAPPLTDVYGQAASNLVAGSTLETTVQQILDMGGGSWERDTVVRALRAAYNNPERAIEYLYSGIPEQAEIPPVAPASGQAVNPPVQASQPSSGPNANPLDLFPQVGLPNVGANAGAGNLDFLSNSPQFQALRAMVQANPQILQPMLQELGKQNPQLMRLIQEHQADFLRLINEPVEGEGNVPGQPAGAIPQAVTVTPEEREAIERLEAMGFDRALVLQVYFACNKNEEMAANYLLDHMHEFDE >Solyc06g010165.1.1 pep chromosome:SL3.0:6:5253906:5255061:-1 gene:Solyc06g010165.1 transcript:Solyc06g010165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKTSFQLGVVGALFLSVTSSVSIVICNKALMSNLGFPFATTLTSWHLMLTYVTLHVALKLNLFENKLIDMKTGSL >Solyc12g009100.2.1 pep chromosome:SL3.0:12:2419441:2430897:-1 gene:Solyc12g009100.2 transcript:Solyc12g009100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEIHSSSISESPDGNSLFVDVLHEAPLSGHRKPTSLIGSIFYCFLLAGFAVLAVGATWIFHPIERLVFPLLCSCNAALLLVTGIFQQYLVYQVKKIRLQGYYIFSQKLKHVIRLPFATIAYGTAAMLLVMVWDPHIGILSMLTLFRIVMLMEVVCVSSFMTVYIGCVHQYNSLDSQPDVLKSLYSPLQPSSSLEGLRYQDGGRLSDQQMALLQYQQENIHFLGEEILRLQETLSKYERCNDGSAPQVDLAHLLATRDQELRTLKAEMNQLQSELRLARSIVEEKDAEIQRIRNANNQYVEENERLRAILGEWSSRAAKLERALELERMSNLELQKNITTLKIQMCE >Solyc02g005290.3.1 pep chromosome:SL3.0:2:7843571:7857382:-1 gene:Solyc02g005290.3 transcript:Solyc02g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4B4D1] MENGVLQQGSIILTNSIVDSEIMQRRGKLMPRGGGGGSEKMIKKKSEKVGAWSKEDEITILKGLIKLKTEKGKIRFDYVQLYDSIKQSLAHKSATPLHLQKKIKCLREKYKNNFNKSTRTWSIPHEEELFYLSDKIWGKDDHQQLKIPSSSLMNQQQLTIPSSSLMNQQHLTLPSSSLMNQQQLTLPSSSLMNQQQLTLPSSSLMNQQFTISDTTFVGDLGLALTTMSRNQSNQMQVALQQFKLVSQSLSIRKSYANQILHAHITLKEEGLSEQKIARSYFDTKIQHAQLVSDAYNASHGFGGSLVNIVSDCVLKEDATKVMNEGSCPPATIGCRKPINGKPSGTSLKSVREMCHSQERKKKKKRKIENPEKHRDSSIQSQLEMENQSAVAYAKINYESAKSSDIHTLSDGLTVEVMVKGKADGKVASLGKQIKIHFIAKLRDTGCIVGSTIGAAPHQFCLGYEKVLKGLNIGIEGMHVGEKRRLTIPPSLGPGRKAKPPIMPDSWLLYEVELVDICE >Solyc08g083315.1.1 pep chromosome:SL3.0:8:65935404:65935739:1 gene:Solyc08g083315.1 transcript:Solyc08g083315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGDSDLLVQFEINKSSVDTLHCREEVVGEDGIVKGENFITDSDAGDFSSRRIFHLGKVLIAKTDDELNAGVSKGFEDMWVSIIELDSGSSDGFD >Solyc02g091410.3.1 pep chromosome:SL3.0:2:53326628:53348020:-1 gene:Solyc02g091410.3 transcript:Solyc02g091410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLERLGSEEALGPESSIMKEELSMDIDPPFKESLATTEDWRKALDKVVPAVVVLRTNACRAFDTEAAGASYATGFVVDKRRGIILTNRHVVKPGPVVAEAMFVNREEIPIYPIYRDPVHDFGFFRYDPAAIQFLSYDEIPLAPEDACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVINWQGRAVALNAGSKSSSASAFFLPLERVVRALEFLQEGLNLTTNKWEAVTIPRGTLQVTFLHKGYDETRRLGLLSATEQLVRNSSPPSETGMLVVDSVVPGGPAHNHLEPGDVLIRMNGEVITQFLKMETLLDDSVGQKVELQIERGGTPMTVELLVQDLHSITPDRFLEVSGAVIHPLSYQQARNFRFHCGLVYVAETGYMLFRAGVPRHAIIKKFAGEDISTLEELISALSKLSRSARVPLEYISYNDRHRKKSVLVTIDRHEWYAPPQIYKRDDSSGLWTVKLALPPESPLLFSGIHPGKQDLSNHSVSSCATEVSAMDLRPQQVSQESMDGVTKTEISCDNVTVGLNSQDDSDAGTKKRRVEENLSADGDVIIGRSLNGHREERFDESGAVEDQGAAPVSNNASVAERAIEPTLVMFEVHVPSLCMLDGVHSQHFFGTGVIVYHSHNMGLVAVDKNTVAVSVSDIMLSFAAFPIEIPGEVVFLHPVHNFALVAYDPSALGTAAASAVRACELLPDPALRRGDSVYLVGLSRSLQATSRKSVVTNPSAAVNIGSADCPRYRATNMEVIELDTDFGSTFSGVLTDERGRVQALWGSFSTQLKYGCSSSEDHQFVRGIPIYTISQVLGKIISGADGPPRLINGLQRPMPRLRILEVELYPTLLSKARSFGLSDTWIQALVKKDPMRRQVLRVKGCFAGSKAENLLEQGDMVLAINKEPVTCFRDIEHACQSLDRSNDSDGRLNLTIFRQGQEIELLVGTDVRDGNGTSRAISWCGCIVQDPHPAVRALGFLPDEGHGVYVARWCHGSPVHRYGLYALQWIVEVNGKPTPSLDAFVDVTKTIEHGEFVRVRTVHLNGKPRVLTLKQDLHYWPTWELRFDLETAMWRRKTIKALDSGVL >Solyc04g063370.2.1 pep chromosome:SL3.0:4:55500446:55517261:1 gene:Solyc04g063370.2 transcript:Solyc04g063370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMGNNSSHTDVDSLITGRIVIALDATRDHPEQEIKRIIEEIRSQGNILHAGDTIIVLGVLHKYLHPMGYQMEAEPLSMFGTHKREIEKEVTKKIDAYVNMLMQSAQDCEGEGVDIEVKITAGTPVRKVVVQEVTTINTTCVVLDRHLRRDLTYYLKHIPCKVALIGDNLFVKVVRPYSIVDADNIEQKLYFSMSKQVPLAPPPTAENTEQSVVSINYSGFVESSEIPENEQVVYNQLEHSTSHEDLSFNSMQERSDRTARGDMKHPIPPVFQKERRLPTSWRSSNTPFLCIACGAKTELYIKDSMRFSFSEIQLATDDFSKDNLLGEGGYGHVYKGRLKDGQVIAAKVRKEESTQGFSEFHSEVYVLSFARHKNIVMLLGYCCKENVNILVYEYICNNSLEWHLFENTENILEWHRRYAIAIGTAKGLRFLHEECRGGPIIHRDLRPSNILLTHDYVPMLGDFGLAKWKTNEDNIYTRILGTLGYLAPEYAENGIVSVRTDVYAFGIVLIQLMSGRKAIDAMREDSHHSLRQWAIPLIERLALHELIDPRIGDSYDTFEVYHMARTAFLCVQNDPELRPSMGEQFAFRSLLLDSVQPKILLLIKRQAVDGLASSSRGVRPSPPDRGAGNWKELYKLCLQEIY >Solyc09g018180.2.1 pep chromosome:SL3.0:9:13409499:13422453:1 gene:Solyc09g018180.2 transcript:Solyc09g018180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDEINYQSILQRENDAMIRLLSSAAEFGVVRIIGHGISSEDIRTLLIENELLFRLSEKYANYDKFLWDWSDDAMVQKAKFALGDANFLIFREKLEEVLKKLKGIAKEVDEIIRLSDNEQCWKKIDSEEAKMYIYRCTRNQSSNVDQTWLPPHNATLEDSLKYALHLFLPLEPIEFSMHSKRGRLPFNTTPDTIIVTIGDQLEEWSDGKFRSADGEVALKPNLHIDQTLLSLELKWSFTNLNKNEKIITLSDQFPSYSYFSQEDI >Solyc08g068060.3.1 pep chromosome:SL3.0:8:57216679:57220284:1 gene:Solyc08g068060.3 transcript:Solyc08g068060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGRDTRRRRIVERGNDRLALITGRIPTLPSETGSGSETRHLHTASCPTWISENHLPSNQSSPVSISGSEGSRPLLFDGDHGIQPGEHEGSDGKSRTTPFMRKCESNIESTSEPALYLDDKDHLYADSSTVNPPSLSASDGGRFSKLKSNYHNIFAPNKISSAIAASESIRLNFSLVAAILVLLSYDSHFFKLVIFFRPLLLLMLTNISIVIARLLAEEAGSQRNQKAAANGIPGAGMVDQIGKALELGLLLQNVMGAVFMDCSIYSITVVCGLSIAQKLGW >Solyc10g018198.1.1 pep chromosome:SL3.0:10:7194486:7199396:1 gene:Solyc10g018198.1 transcript:Solyc10g018198.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLKKKFKRFGIPTGSN >Solyc01g097530.2.1 pep chromosome:SL3.0:1:88223312:88224587:1 gene:Solyc01g097530.2 transcript:Solyc01g097530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFHMLAGVLGGFLFSAMVTLLLSCLACSRYIWFTALGISTMAFNLNGFNFNQSVVDSQCHVINTWADIINRASLGMEVMHER >Solyc07g007770.2.1 pep chromosome:SL3.0:7:2406440:2411987:1 gene:Solyc07g007770.2 transcript:Solyc07g007770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWSILFNKNGLLNGSTHKYTKKELVSPKVVRPCEYKRTLNDRVFSFMLSLLSLPLRRYSLLCSTTVDSSTFCYGGLTFPTLLSAFDINFQRIQNRVENPTIISNIMCEKEFRLSCSSLTSSLESSISEDSCKSWITTNV >Solyc03g121890.1.1.1 pep chromosome:SL3.0:3:71404341:71406092:1 gene:Solyc03g121890.1 transcript:Solyc03g121890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDGEDMTPFWLQNTTNLRRTERLRHRVSSLFFNAGLLLIILLLVTAIFFAVFVVPSTLSMFKPTNVKKSWDSVNVVLVLVAVIFGFLSRNNNEDKNLNQYQRTASPINRNQVQKSNPSTPNSRWFSEVRNPNPITPRTWFDNGDRGYFSNNGGGGGGLRRSFSSYPDLCEVSPRWITAEDHWRFYDDTHVDSYRFSDPGQLNRRRSWREVDRSPEPETKTIYEDPLRSHNVPTFTPLATIPPPLSPPSPPSAPPAPAPVYEEKVIRASHSVPRKKERASKKRENHEREATKIIMAPATLPPPPPPPPPPPPLPQYVEPRSSKSDRKRGGASATKEFINSLYHKKKRKNRSKSVDNFDALLYKSEPPPLHYQRPASSTPPPPPPPPPPPPSSLFQNLFTSKKARRKRNAPPPPPPPPPPVKAVPVRISRPNTPFTRTPAPKPVKIRSFDSVEENPNSGGESPLIPIPPPPPPPPFFRKHAWKFVVQGDYLRIDSTLSSRSGSPELEDIDSAENTPMAEDAGAGEGMAFAPSPLFCPSPDVNTKAENFISKFRAGLKLEKINSFNKNDLGRSLSNLGPGVGHS >Solyc01g080830.3.1 pep chromosome:SL3.0:1:79979062:79981701:1 gene:Solyc01g080830.3 transcript:Solyc01g080830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKHSLMPVVRKAKPVLQHIEAEFSVGCKGGESWKMSTPMAASTTIVTGREEKKVSVSRHSFRQPTICYEGEILDRHLTAIKREIATARKSDISLPEKFWLKQQFSVGVNEVTRVLERVPPVPANDRSSLSLKMHSTQLQVILIASDCHPRLLTKHVESLASSKKVPVVFVKDKKRGSLRLGELVKLKTAIAIGVKNKGNKFNQFISTEILHKFE >Solyc07g054720.2.1 pep chromosome:SL3.0:7:63071958:63073466:1 gene:Solyc07g054720.2 transcript:Solyc07g054720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKVGVLTLFFLCVFVVGSNYVEAQICPQFCEPNVDYMTCSSSGSTILRPTCINCCQATGRGCQLFRRDGSTICN >Solyc11g039660.1.1.1 pep chromosome:SL3.0:11:43333237:43333392:1 gene:Solyc11g039660.1 transcript:Solyc11g039660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLYGNILLSGGSTKFPGIFDRMSIEITALAPSSMKIKVFAPPHILSHFS >Solyc10g007990.3.1 pep chromosome:SL3.0:10:2131367:2142262:1 gene:Solyc10g007990.3 transcript:Solyc10g007990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVQKLYNACKASLSTNGPISEDALEKVRSLLDKIKPSDVGLEQEAQLVKTWKGTMHERNGGLRPILPIKYLHLHECESFTMGIFCMPPSSIIPLHNHPGMTVLSKLIYGSLHVKAYDWIDSPGPSVPPEGARPAKLFKDCEMTAPCGTTTLYPTAGGNIHCFKAITPCAIFDILSPPYSSDDGRHCTYFRRSPRGDLPGELEFDGEMFTDVTWLEEFQPPDDFVIHRGQYKGRTIKP >Solyc04g076690.3.1 pep chromosome:SL3.0:4:61670293:61676094:-1 gene:Solyc04g076690.3 transcript:Solyc04g076690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERQGNEESLYQIQAKSLVRPISRREDEKKFLLALVGNIKRAASTRELIELGSDSAQNLYKVNGTVFKVIAEPTK >Solyc12g088617.1.1 pep chromosome:SL3.0:12:64890261:64893677:1 gene:Solyc12g088617.1 transcript:Solyc12g088617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTMNENLQETARRRKMEMTEVIHSPLQLICYLYEHCEHEADTSTFFCVGLVDTKFCFCCMIDLEPMDICTDSTSLPCEISSCDVRALEGHTSEVFVCAWSPEGSLLASGSGDSTARIWTIGDGSGNPTMQRMPPNVMVLKHLDSRATEENNDVTTLDWNRKGTLLATGSYDGQARIWTRSGSLFQTLNKHEGTIFSVKWNKKGDYLLSGSTDRTAVVWNVKSGELKQQFCFNAGFLDADWLDNNSFAIGSTDNKVYICKVGVNQPVKRFSGHKNAINAIKWDPSGSLLASCSEDTTVKIWSMKRDVCLHNFREHSKEINTVRWSPTGAGTSNPNKKLLLASASFDSTVKLWDIERGRLLHSLNGHREVVYSVAFSPNGDYLASGSLDKRMNICVRLPILDSD >Solyc12g036095.1.1 pep chromosome:SL3.0:12:45096737:45100750:-1 gene:Solyc12g036095.1 transcript:Solyc12g036095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESYLSDLSLLMLESVCLHSGYQTNGEDGEAVYLGEEGEACNLSGEGGEGEAVNLGGESEACNLTGEGGEGEHVNLGGEEVDDNLGGEEVDDNLVWEGEHFSAYKSKKQPTKLFSNKKRDSSSSQLPPLSGHKRPYSSASFAAATGENRRPATGFGVYSNPTTGAHVFN >Solyc10g007400.3.1 pep chromosome:SL3.0:10:1756725:1762563:-1 gene:Solyc10g007400.3 transcript:Solyc10g007400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIETLGIVDEIQALVSDKLQVVSYKWLSRNFLVSSNSAKRLLQKFVEKHGNGVEVVYSLSGWLKDSPSTYHIRLVTSANLAVVATTYQSPTGYFYAEAKKEFSDDCSVQVYSVQACIPKDPVALWNYEFVQAEELFRQSLSADNCLLDNRFCGVSNPFITRNGGGTIPSTNAVSQVKSEASGLCNSNSTAQVKPEQKKVQLPSPSASVPSTHVVDAKSESRGTSGPGEGSKFVADKHKVAQLPPAKKAVQSEKSSKNGGALANMWGRVPTKPKVDIVSAASSDATPNPVGLYLSLFGLVIEFALCFVSFVLDYELHLTDHFLPFGTYVYLGNAAQICTPEGIEDRISDDDDQQVSIRRTSNGEGNRKRRVIFDFSDEEDGFKDAVNLASPEPPKQKSILGSKQTPSTPELEKREVKKAKDSKSHEQETMEAGSKSPEQETFSKKSPEQETFSKRSHEQERKPLPTSERKSSKLHSSEIISEHASPKNAAVKDEVTNAAPTSPKRRKVMKTRIDERGREVTEVVWEGEDTETKADSNTMKKADNNPVNSTGDRAPMAKKSPALGSTAPTNQASKAGNKKAGNKDPKQGNILSFFKKA >Solyc04g082990.3.1 pep chromosome:SL3.0:4:66537320:66540108:1 gene:Solyc04g082990.3 transcript:Solyc04g082990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMCSSAPDPEMWIIQGTLAWRTPPVRIGIRPAELFFQMHLLARRLTEGSVAMSNLEPSTSPLRWILQAIHINPSCLRYWRALLKFME >Solyc11g005930.2.1 pep chromosome:SL3.0:11:751252:753210:1 gene:Solyc11g005930.2 transcript:Solyc11g005930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSSMVPLNRTSSIENEPRTLNLNQIQFARDTALYVINTRGLEEAMRIFTEGLEPVSQCVHDKEEVIMEYAEELENLSNPLRINPETRDIATAPF >Solyc06g064800.1.1.1 pep chromosome:SL3.0:6:40496833:40497627:1 gene:Solyc06g064800.1 transcript:Solyc06g064800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNKLILSCIFFIFLLYLILRANSPSFKLKNLSISSCNKIPPSLAESILHYATTNKTIQQKHEEISITLKVLEKKSPCNFLVFGLGLDSLMWSSLNHNGRTVFIEENIHWIQKITKEVPSLEAYHYIYDTRLDQAPELLSIGKREDSCKKNLGDPRNSKCPLALTNMPKEVYEIEWDLIMIDAPTGSFYNLPGRMKVIYTVGLLARNRENGETDVFVHDCKRYVEDQFSRNFLCEGYIRGQVRNLRHFTIPSYRSGLVKSFCP >Solyc01g095950.1.1 pep chromosome:SL3.0:1:86946859:86947932:1 gene:Solyc01g095950.1 transcript:Solyc01g095950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQANWMPLITNLHKLKVTIFSVADLLQDQFGLALIRLENVVRGTICGAIRHKLIPTPQNLVTSPPLTTTYESFFDLPSLSQVLNRTNSLTQIVHERKLSYLEAFKFTIHVYLYIQ >Solyc06g060720.3.1 pep chromosome:SL3.0:6:38850105:38854636:-1 gene:Solyc06g060720.3 transcript:Solyc06g060720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDHSDDLDQLLDSALDDFQSLNLTTASQRNGDGEGKKESSCMPSEVQGLGMSLPNLKAKNKGKQKAAKEVKDSHVSEALDKLREQTREAVKGLESVAGPRPSVENFGSDPMMEDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMREIGERYPKWLEDNKAKLSGEEYDRYRQQYELIRDLNKVYETEPSNFNKIVELMQKMQECGQPPNDIVQELAPDFDISTLGQLSPEMLESQQNCCIM >Solyc03g097770.2.1 pep chromosome:SL3.0:3:61542733:61543488:-1 gene:Solyc03g097770.2 transcript:Solyc03g097770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFIQGGSERSRQRRMQERCGGCICTLYPTVNARGAVDARGERGHWYSLHHLVVLHYLVRTSHVMVNKMIFLIRRHTEAHFLKKHFRDINMCNSICFCRVALTKVCHCVLMCSSSFYLRVPRQHFYMAMWMCPAIVSFKQGIFGKLTVNLRIHITIVNS >Solyc02g036235.1.1 pep chromosome:SL3.0:2:30751229:30763762:1 gene:Solyc02g036235.1 transcript:Solyc02g036235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLPAAFEIKDLDPAKQIFGMKISRDRSAGTLNLSQELYIEKVLSRFRVNDAKSRTIPLANHFKLSKEQSPKTAEERNVTLQGFVDSDLGGDVDSSKSTSGKKMDAKPYHRRPARGRKIIAIIQCSCVHKYVGKI >Solyc08g081850.1.1.1 pep chromosome:SL3.0:8:64917067:64917993:1 gene:Solyc08g081850.1 transcript:Solyc08g081850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKNRSQWPRRSNFVQNLYILLLFLFLKLFVLVQCSNNNSLTKSLDVILHEHAFNTLIHQHTGSLYNATVPSSLAGMKLTLVRLRSRTLWEKGANFSGFSIPPRTIPVPYVKRIHIVYNDMGNLSAQYFNISGYNLLTSVIGFIVYDAPSHISTITSLRKLDLRPMRQPISIEFKNLTEMIKGRSTTKYCAMFDENGKVSLSEMRFPNLCYTRNHGHFTIVLPSETRKKKRIWGFLVIGFVIGLLGVGLVAVVGRMVLGVYKSKRTCEMEREAEEGEILESVMVGRSKMPVAMVARTHPVLEGPCFP >Solyc01g080750.3.1 pep chromosome:SL3.0:1:79832565:79833984:1 gene:Solyc01g080750.3 transcript:Solyc01g080750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRSLDNALPIEIERPKKQAKVVVTQNQKQADCVVNDENKAPLPPGDAAVDYVPSENLEAIDDPDSIIHGLVGGLESKDWLEICRSLNDTRRFALFHSALLLPILDKVLLVIVKSMKNPRSALCKTSVMASADIFKAFGDELFESSTSDAFDDVILQLLLKASQDKKFVCEEADKTLKAMVESMTPLLLFHKLHTYVKHSNMRIRAKAAISTSHCVSKMELDRMKEFGFVSLVQMAVNLLNDRLPEAREAARSIVVSVYEALTKDEEQKQEAWQDFCQSNLPAIHAQAIVKIASS >Solyc05g018170.1.1.1 pep chromosome:SL3.0:5:20155675:20155881:-1 gene:Solyc05g018170.1 transcript:Solyc05g018170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNCLSLYPFQNFQYLHVQFYSTFSREVLRKQSVVEQDTIFKISFVSSLSSQRSSVFLSSPIIRYNS >Solyc01g018044.1.1 pep chromosome:SL3.0:1:26981679:26984583:1 gene:Solyc01g018044.1 transcript:Solyc01g018044.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTRLDSVVVSVQADAVHNAAEAEDANNTSSTTAFLAAADLTRTTDASNYTEELLTRAGMSESKTAPTPMVVRPPSTSDSRLFDNPTCYQNNVCGLNYLAVTRPDIQYAVNRSSRRGLLFQKGNLELSVYSDSDSANDKDDHRSTT >Solyc09g015700.3.1 pep chromosome:SL3.0:9:10766648:10769949:1 gene:Solyc09g015700.3 transcript:Solyc09g015700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLKLFYKLKLPKFFEIKLINNTNSIEDLSKVINR >Solyc12g038570.2.1 pep chromosome:SL3.0:12:51521445:51524350:1 gene:Solyc12g038570.2 transcript:Solyc12g038570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFPQFTHLLPSNCYLLIIACQNAYCYVHYCLQLLELLKIYHHVFELMLKIVHEKIVWQQYARNGMQGPTSLSKISSGGYYGTKPSEIPYYMAPINGIGVPPVGGEGT >Solyc03g111510.3.1 pep chromosome:SL3.0:3:63600665:63604107:1 gene:Solyc03g111510.3 transcript:Solyc03g111510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTNYYEFVKSTSCEDSLKAIEADIQYANLLAASIPRFKNGTRLQMKLVYSNLAPVLLFLLQWMDCSCTCLLPSYFNFFHITVYKVIPNAKKKIHSDARKASIREFYAVLLPSLRHLHDSSSEQEHSHDEPQVSKMIVGKKVQEKKHFDADVEKENECGICLEPCTKVVLPNCCHAMCISCYRDWNLRSESCPFCRGNLKRVNSGDLWVLIGSNDVVDQATLSNEDMLRFYIYINNLPKDIPDAMFLVYYEYLI >Solyc01g008420.3.1 pep chromosome:SL3.0:1:2439382:2446147:1 gene:Solyc01g008420.3 transcript:Solyc01g008420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEVSGREDVKNPLSIFFRDIRSIFKLDELGQEIGRIALPAALALTADPIASLVDTAFIGHIGAIEQAAVGVSIAVFNQASKIAIFPLVSVTTSFVAEEDAITNANEDLQDDRCMEKNEGVDAEAFETDSPSKSESKSLIPKNDSTESVCKSENLVTSFEIVQCKPEKRHIPSASSALIIGAVLGIIQAVFLIAGAKPLLKFMGVKTGSSMSKPAQDYLRLRSLGAPAVLLSLAMQGVFRGFKDTKTPLFATVAGDLANIILDPIFMFVFHLGVRGAAIAHVISQYLISVILFWRLLEKVDVVPPSLKYLQFSRFLTNGFLLLVRVIAVTFCVTLAASLAARLGPTQMAAFQVCLQIWLATSLLADGLAVAGQAILASAFAQKDFSRCTATASRVLQLGVVLGLVLALVLGIGLHYGARVFTKDVNVLNLIGIGIPFVAATQPINCLAFVFDGVNFGASDFAYSAYSMLTVALFSIIFLLILSSSYGYIGIWVALTIYMSLRALAGFGRIGTGTGPWKFLRS >Solyc10g017650.2.1.1 pep chromosome:SL3.0:10:5595269:5595373:1 gene:Solyc10g017650.2 transcript:Solyc10g017650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FNNPVTLTTIIIHKFHQHLHQKQHVLDLNRLIPL >Solyc02g021364.1.1 pep chromosome:SL3.0:2:23059100:23059524:-1 gene:Solyc02g021364.1 transcript:Solyc02g021364.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETYEVTMGRGKANHTGWIALVYKGQILFEMDGVSFSNAQKAATLAPL >Solyc12g040340.2.1 pep chromosome:SL3.0:12:54414214:54414943:1 gene:Solyc12g040340.2 transcript:Solyc12g040340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding THKDAFADSNVQDSGFERIRPSGRQLDAEELTNFSGEEAISDLKKTSPRLDVLKTTKRSDVDSMRCIKGNSCRFLHISHETSKIPHDKGENLRMRGCKDRLHPNSQLECTSKYPAFPSFLTRYSWNNDLSQDTRYSPDYTITDIWEPSIPFRPSFLLSQMILYPISVLYDGIRDSIDQSNEGYGSFSVLTKNMQANAGPASTGTNKV >Solyc03g111977.1.1 pep chromosome:SL3.0:3:64030552:64031985:-1 gene:Solyc03g111977.1 transcript:Solyc03g111977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKRDKLSLRARLKVPRSGPFCVLAAASTMTVKPRHTTPHHISLVNSWHKLTGGIIRTEYW >Solyc10g079150.2.1 pep chromosome:SL3.0:10:60882080:60888983:-1 gene:Solyc10g079150.2 transcript:Solyc10g079150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKSDSDFKREGKHVEFIPPIMGENLRAANQFELMTPSSIAFKSYPFSEVPQYSGGNVTIACGEPMVNQNMERSSIVHHNGRMILPVEVKEEPMYVNAKQYHGILRRRQLRAKAVLQQKVVKSRKPYLHESRHRHAMRRARDGGGRFLNTKKKIQSTTTTTNNNTTPSSRGKSSLDSNSSPNYLLNYEGDIGSSNNTNSVEGFQFQSGIHNTTENLQLGCHYQWNLNDNNHCNCMHSEHF >Solyc10g054130.2.1 pep chromosome:SL3.0:10:54611927:54617246:1 gene:Solyc10g054130.2 transcript:Solyc10g054130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPEDIYPLLKLKVAAQYAEKQIPSQPHWAFCYIMLHKICIFYLVLRALDTVEDDTSVATEVKVPILMSFHRHVYDREWHFSCGTKDYKVLMDQFHHVSTAFLELGKQYKEAIEDITMRMGAGMAKFIYKEVESIDDYDEYCHHVAGLVGLGLSKLFHASGKEDVASDSLCNSMGLFLQKTNIIRDYLEDINEVPKCRMFWPRQIWSKYVDKLEDLKYEENSVKAVQCLNEMVTNALSHIEDCLTFLSTLRDPAIFRFCAIPQVMAIGTLAKCYNNIEVFRGVVKMRRASLSFNFVAIFSLPSYWQLLRFLGSSFLGLTTQVIDRTRNMADVYGAFFDFSCILKSKVEFKDPHVAKTLKRLEVILRTCKNSGTLNKRYVTYPSIFPKFYEYQLMHLPMITRKSFVIKSGPNYNSTFVVVLVVLVAILLGYQSGNRI >Solyc02g067930.3.1 pep chromosome:SL3.0:2:38578216:38584060:-1 gene:Solyc02g067930.3 transcript:Solyc02g067930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:K4B7C5] MAPSNTKPVAVTDEVLQKSRVTVVGSGNWGSVAAKLIASNTLNINSFHDEVRMWVFEETVPSGEKLSEVINRTNENVKYLPGIKLPKNVVADPDIEHAVKDANMLVFVTPHQFMEGICKRLVGKIRKDAVAISLIKGMEVKREGPCMISTLISEILGISCCVLMGANIANEIAVEKFSEATVGYRDNKEIADKWVCLFNTPYFLVSAVQDVEGVELCGTLKNVVALAAGFVDGLDMGNNTKAAIMRIGLREMKALSKLLFPSVKDNTFFESCGVADLITTCLGGRNRRCADAFARNGGKRSFDELEAEMLQGQKLQGVSTAKEVYEVLSHRGWFELFPLFATVHQICSGRLAPSAIVEYTEHSARLPLL >Solyc01g011397.1.1 pep chromosome:SL3.0:1:8855339:8858100:1 gene:Solyc01g011397.1 transcript:Solyc01g011397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQMQESMEKMEIQLEEQKKIVRQEVTANVIAQLKLARLIDPNMLAALLAPSPRESTSIQGAEQGDEIEEGDKRSSEDLT >Solyc03g083710.1.1.1 pep chromosome:SL3.0:3:55080570:55081157:-1 gene:Solyc03g083710.1 transcript:Solyc03g083710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSCQSLIFLLFSIVLFSSQTHVLVTAAKDSNTNFVKTKCKITTYPSLCVKTLIPYASSVKTNSTKLCKEALNVATKGARDATAIVLNLKKQKGITRYEAAAIKDCIEDVKDAVYELKLAVEAIGHLGDKDKAFQLANAKTYASAAITDADSCTGGLTDQGKVNPKVMDAISRSMAVVIKLSSNALSLINHIYE >Solyc03g098540.2.1 pep chromosome:SL3.0:3:62279474:62280702:1 gene:Solyc03g098540.2 transcript:Solyc03g098540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINENKKIVDTVATEMGEIRALIERRFGELTIRQEKFEERMFGELNVRLEKFEERMFGELNVRLDKFDEQILELKKCIKSSWDHDKGKKIIEAAMNPSSNSHENSSMHQLEQVKQRREPTVATYSRKRNKKKDVISLTEDASADAPIVGYVPTEEATIDVIEHNNVRTSIVDVVATEEDMIAKDNNNSSIVDVVSTEETEMNMELAVGCEFCVTGYHKEIPLAVLMGEQETTHNFINESLADKLGCETVPIQPQTVRSDLGEMVTSRLCNNFQLSLEGIVFNLKVYLVPLPLKHDMILGGEWLECLEKIVISYDGIELYLREGEKKFIPFKKSIRGRKRNRF >Solyc10g009110.1.1.1 pep chromosome:SL3.0:10:3118987:3119655:1 gene:Solyc10g009110.1 transcript:Solyc10g009110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKEKIGAVTAMAMVNLNGISKEVHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDNAAREFRGAKAKTNFPKLEMEKEEDLKFAVKNEINRSPSQTSTVESSSPVMVDSSSPLDLSLCGSIGGFNHHTVKFPSSGGGFTGSVQAVNHMYYIEALARAGVIKLETNRKKTVDYLGGGDSDSSTVIDFMRVDVKSTTAGLNLDLNFPPPENM >Solyc03g082470.3.1 pep chromosome:SL3.0:3:53821251:53824723:1 gene:Solyc03g082470.3 transcript:Solyc03g082470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFDVVHCFIFFASVVAVFSASLPQDSVHLLEFRSNLPEPSQQLLPWNQSVSHCKWKGVTCYSDKTSQVESLYFRDFLVSGILDKAFSNLCRLPRLVSLDLSGNHLTGGIPAMLANCSQLDTVFLNDNRFSGSIPPEIFNSKRLVYLELGYNQLNGTIPSEVGLSTSLQYLGLWNNFLSGNIPKELFGLPNLTELYIHTNNLTGPLPDFPSSCSLSQLLIHQNRFSGSLPISLGNCHNLSAFYATSAHLGGLISPEVFRGLSNLEFLSLDDNDFEGEIPETLWNGKLQELVLSINNFNGSISEKIGGCHQMTYIDLSDNKLSGQIPKSVGRLKNLYKLLLYDNMFSGSLPAQVGNCTSLAEISLVSNFISGEIPSEICSLQNLETFNAFKNRIQGQIPECIGRISGLQELALYENRLTGKLPLGITNMTKLSFLSLAHNNLTGEVPPDLGKDNFPGLVKVDLGYNNFSGQIPSKLCNGNRLAVLALENNRFNGSFPTYLAKCKSLYRVKLPNNNLQGSIPDDIEKNENISYLDVRQNMLVGTIPAAFGYWTNLSMIDLSENMFNGSIPTELGKLQNLVKLSFSSNRLTGQIPFQLSNSEKLEELDLSNNNLSGRIPKEIASSSVLTNLVLQDNKLSGALPDTFSTSQMLVKLQLGNNLLEGPIPCSLSKLMQPGFSLNLSMNKFSGEIPRCLGNLDKLEVLDISSNNLSGAIPSEMEKMSSLSFLNISFNSLSGKVPNTWEKLLSSRPGSALGNPGLCLMDTERSNCKHVKKSQVKWKTLAGVISGCVLSMAIIVAAMYLLVTRIWHPSLLNKHRLVKCQSGIEDLPDGITFEDIVRATEGWSENYVIGRGTHGTVYKMESAKSNKLWAVKKVDLAQRAFNDEMRSLNSVRHRNLVRLGGYCMKHGYGFILTEFIPGGTLHDVLHQRKPPVVLDWKSRHCIALGIAQGLSYLHHDSLPQIIHRDLKSDNVMLDSEMVPKIGDFGIAKMVSDEENLTNSNVVGTLGYIAPENAYSVQLTEKSDVYSYGVLLLELFCRKMPVDPSFEEGLDIVFWVRKNLQRSNNILSFLDEEIRLWNVEEQWKALKIVDLALQCAQLEASIRPAMRDVVRSLVEL >Solyc01g095620.3.1.1 pep chromosome:SL3.0:1:86703860:86705290:-1 gene:Solyc01g095620.3 transcript:Solyc01g095620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:D7S016] MAQIPHIAILPSPGMGHLIPLVEFAKRIFLHHHFSVSLILPTDGPISNAQKIFLNSLPSSMDYHLLPPVNFDDLPEDVKIETRISLTVSRSLTSLRQVLESIIESKKTVALVVDLFGTDAFDVAIDLKISPYIFFPSTAMGLSLFLHLPNLDETVSCEYRDLPDPIQIPGCTPIHGKDLLDPVQDRNDESYKWLLHHAKRYGMAEGIIVNSFKELEGGAIGALQKDEPGKPTVYPVGPLIQMDSGSKVDGSECMTWLDEQPRGSVLYISYGSGGTLSHEQLIEVAAGLEMSEQRFLWVVRCPNDKIANATFFNVQDSTNPLEFLPKGFLERTKGFGLVLPNWAPQARILSHESTGGFLTHCGWNSTLESVVHGVPLIAWPLYAEQKMNAVMLSEDIKVALRPKVNEENGIVGRLEIAKVVKGLMEGEEGKGVRSRMRDLKDAAAKVLSEDGSSTKALAELATKLRKKCQMIDVANH >Solyc10g006660.3.1 pep chromosome:SL3.0:10:1156976:1159056:-1 gene:Solyc10g006660.3 transcript:Solyc10g006660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSRKSNFNDFLPLMAEKLGGDGLIGELCKGFQLLMDRDKGVITFESLKKNSALLGLQDLSDDDLKGMVEEGDFDGDGALNQMEFCVLMFRLSPELMEQSQFLLDEALHQEFNFSLALITLLMHCYLE >Solyc10g007100.3.1 pep chromosome:SL3.0:10:1480193:1484215:-1 gene:Solyc10g007100.3 transcript:Solyc10g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4CXP0] MDDNSISNSLKSPMLLPQTSLDTNKWWIIVINKLIDVEEAKNQILFSLPMIVVTSCFYFINLVSVMFAGHLGQLELAASNLANSWAVVTGLAFMIGLSGALETLCGQGYGAKMYRMLGIHLQTSCIISFLFSTVIAIIWWNSDTILILLHQDPDIAKKAGEFLKLLIPGLLAYGFLQNILRFFQAQSIVLPLVVCSVTCLVIHIGIAYGLVHWTSLGFNGAPLAASISIWISVLSLSVYVLFSERFSHVWRDGGFSFEPFHYVLVNLKLALPSAAMVCLEYWAFELLVLLAGLMPNSETTTSLIAMSVNTEAIAYTISYGLSAAASTRVANELGGGNIDKAKHAMVVTLKLSILLALIVDLVLFFGHNVWAGLFSDSTEIINKFATMTPLLLISFVFDFFQGVLSGVARGCGWQHLAMCINLATFYFIGMPIAALLAFKFNLHAQGLWMGLICGLACQGLGLLLLTLFIKWEKVVASTKSNGENELLA >Solyc09g092240.3.1 pep chromosome:SL3.0:9:71842227:71863091:-1 gene:Solyc09g092240.3 transcript:Solyc09g092240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSDMYAVKSLNKSPGRRRFTFKTFSERIEDVDIDVYRNLDPLKAEPTEGSSFFRDCITEWRELNTAEDFISFYEEIFPLVQTLPQIILQKELIVAKLLSRLDMKGRLSLEPILGLIAALSRDLLEDFLPFLQRIADSLACLLKSGADREPDIIEQIFRSWSFIMMYLQKYLVKDVVDVLKVTVQLRYYPKEYVHEFMADSVSFILRNAPVKQLIKGVRKLMFEVAKKPLEIRKSAVSSLLWYVVRGSPSRLHSRAEQVLRLLTDKSLFVIGDQFTGGAEAILEVLVLALQRLCEELEATELELMWVCLYDEITECVTQGHLLHLGRLLSLLVSTLQASYIQKISDYQGLLQLIQLLVQTYILPYPTVKEIDQASNVVDKVMQSMLCIFDGLYRANNISTLSSVSMQWAPVFDLRNKSLLSFVEDLLLKDPCIVHFFRASIISALNDMIEISEEEVIHLLQIFFKRLPAQGHSFLDEVPNEKLSRIHSFLREGIGRWILRIQKKPYSAQIDENELAILWGIVACYPIAGGSANESLLMDLVKALDELLSTESADIAGHPRTTWQSLVGAALGSYCKLVATQNSRFDDSVVSSFLDLARKHKTCSQVLSPVADFLDSVCGSIIQADASTKKYHPELVVSKLVDTLGVFAANLSHHDKNLRLSTLRILCHYEPLTDVSSANEQPFEKKVRMDNPQSTLVDYHGNDVIHLLLLIEETPLSIVTSRKVILLISKIQMSLSSGRVAEEYMPVVLSGIIGIFHNRFSYLWNPTFDCIAVLLSQYFGLLWDRYIEYLDHYLSVFLGSCDEAAQSKGESLETASDLNGSFRTYVCPVSDGASCATVFSLLIQCLQRIPSVAESRSRQIIPLFLKFLGYNIEDLKSVELYNQESCKGKEWKSVLQEWLSLFRLMRNPRSFYLNQFFKEVLLYRLLEEDDADLQSKVLDCLLNWKDDFLLPYEQHLKNLINSKSLREELTTWSLSRESDLVDTRHRAFLVPIVIRVLSPKVRKLKALASRKHASVHHRKAILGFLAQLDVEELPLFFALLIKPLVSASQGAAAKSAWPWTTPGVLQHGLDSFSVLEHFSRDCINAISWKKRYGFLHVIEDIVAVFDEVHISPFLDLFMGCIVRLLDSCTSTLEGTRNDGALADHAHQLEDKIVTNMAAKQCKDLRSLCLKIISFILSKFEDHDFSPEFWDLFFMSVKPLVASFKQEGASSEKASSLFSCFLAMSRSSKLVPLLSREKNLVPDVFSMLAVSTASDAIVSSVLKFVENLLYLDIELGNEDNLLRRLLLPHVDVLVCSLHHLFVHDGAHKRKIVKYPGEKELNVFKLLSKHIKGPLAARKFLDILLPVLSKRSKDPEICVGSLQIIKDIVEPLGSESSKKIVKSVSPLVISAGLDVRTSICDVLDAVAENDSSVHPTANLLRELNATSTVELGDLDYDTVIAAYEKISADFFHTVPEEHALIILSHAIHDMSSGDLILRQSAYKLLLSFVEFSSQIVDRELKSEQESSGAWVRHILSNFFLKHMGTAMNKEDTIKKVWIDLLRDMVLKLPTVEDFRSFAVLYSEDPEQDFFNNIVHLQRHRRARALLRFKNVISSGNLSKVLINKVFIPLLFKMLLDGQVGKGENIRSACLEAVGSISKFMDWRLYYALLNRCFREMTLKPDKQKVLLRLISSILDQFHFSETTSDHVTKDSMQDIQNTSLIESGKVIGFSELSEIQKCLQKDMLPRVHKMLTADTDNLNVNISLILLKLLKLLPGDIMESHLPSIMHRIANFLKNRLESVRDEARAALAACLKELGLEYLQFVVKVLRGTLKRGFELHVLGFTLNFLLSKFLLNPSSGKLDYCLEDLLSIAVNDILSDVSEEKEVEKIASKMKETRKQKSYDTLKLIAQSITFKTHALKLLAPILKHLQKQLTPKVKSKFENMFSHIAAGIQCNPSVNQTELFIFGYGLIKDGIKDESPGRAETSTLMEGKQKKDEVSSQIAKSDKLIGVDPRYSHLITEFALGVLQNYMKNMKFDKKDEQLLSMLDPYVRLLGECLNSKYENVMSASLRCLSPLVRLPLPSLESQAEKIKHSLLNIAQGSVTSSNPLLESCVKLLTVLLRSTKITLSTDQLHMLIQFPLFVDLERNPSFVALSLLKAIVSRKLVVAEIYDIVNRVAELMVTSQVESIRKKSSQILLQFLLDYHISGKRLQQHLDFLLSNLRYEHSTGREAILEMLHAVIMKFPISIIDEQSQTFFLHLVVCLANDRDNRVRSMTGTVIKLLVGRVSPRSLQSILEFSRSWYLGDKPHLWSAAAQVLGLLIEVLKDGFQKYIDSLLPVMRNILQSAVNVLTNKQVDLPNDATISSWKEAYYSLVLFEKILNQFPKLCFRKDFEDLWEAICELLLHPHLWLRNISNRLVACYFATVTEACKENLELPQGTYFLMRPSRLFFIATSLCCQLKVLQTDDAASDLITQNLVFSICSLHSFLGKTECKDKFWSTIEHDEQGLLLKAFQQLDSRKGKNIYLSLVSDLSDQEDEGQRYLVISYLLKTMGKISLHVEDMQMRIIFNCFKSVSPKLIDQSRLLSPEGEVDCQSFAYHMLLPLYKVCEGFAGKVISDDVKQLAEGVRGSISNVIGTHIFVQIYSHIRKNIKSKRDKRKQEEKVIAVVNPMRNAKRKLRISEKHKAHKKRKMMAMKMGRWM >Solyc12g057130.2.1 pep chromosome:SL3.0:12:64157758:64164207:1 gene:Solyc12g057130.2 transcript:Solyc12g057130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENGRLRERKKSVVVVVGIKMMHVLASVEEQLIVKSIKEECNWEDLSKRLQAALNSKEEWHKRIIEHCIKKRLQWNTSFASKVCKEGEYYEEMLRYLRRNLALFPYHLADYFCRVMRMSPFKYYCDMLFEVMKNEQPYDSIPNFSAADALRLTGVGRNEFIDIMNKCKSKKFMWKLNKSIAKELLPTQPVDFVIEPWWAKLTEEEMATIDKICEEANSFVLFNPEIIRGLHRHGLVYFDVPVYADDRFKVSRLEGFVSNREQSYEDPIEELLYAVFVVSSEHSTVAELSTTLQADLSQLQAAASFACRLGWAIKLIDPSAILQDSNVPGSPKCILSDEEDGSNASLVFANASTDGNAFQHGEIPWAENNISGSGYGRIAFLVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCNDLSTLEGAVFEGELQEFANHAFSLRRVLECLTSGGVQAEEVEKTTIISRPSDDTTSLMDISVTENSGDSATNEFEINDDNSVHSGTPKECTDERKHLSGNSSSDNIYSKTDEKSVCTEDLDTAKGLKKQKNHRVDILRIESLAALSPATLDRLFQRDYDIVVSMVPIPPSVLPGAKGPAHFGPPSPLSMTPWMKLVLYSAMTSGPLSVVLMKGQCLRMLPAPLAGCEKALVWSWDGSSIGGSGKMAEGNLVKGSILLHCVNSLLNHSAVLVQPLSRYDLDEAGRVVTLDVPLPLKNSDGSTPQIGEELGLPNKEMLNLNSLLSGLGNKMSFWTVGYIRLLRLHKGRLMENLAPDDHEMYEWVPLSVEFGIPLFSPKLCNNICKRVVSSQLLQTDLLTEHHNEMQDLRRRLRDVCAEYQANGPTAKLLYQKEQSKDAASRHLMTYASRIWNPLANPSSPISCPTNKSQRLKLANRQRCRTEVLSFDGNILRSYALPSIYEAEESPSNTTKVDQDDTDSKELIHPGANLLYDGSELRPFEIGACLQARQPVYLIADASAASAFYSVK >Solyc04g076090.3.1 pep chromosome:SL3.0:4:61127535:61149830:1 gene:Solyc04g076090.3 transcript:Solyc04g076090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLSSIYSPSSSFKSEVKSIHKITSSQLGSIYLPNKSRFHVHAVAREVSASLSAGNNDVVHKLKKENVGLEKNPNALWKRYVEWLYQHKELGLYLDISRVGFSDGFLEEMEPRLQKAFKDMVDLEKGAIANPDEGRMVGHYWLRSPHLAPNSFLRLQIENTLEAVCEFANDVVSGKVKTPSGGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQKAFREAGLIFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGAALMDEANRTTVVRDNPAALLALCWYWATDGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRAVNRSSVMNKISLHSLIHIVDRISVVKKISGVYVYTTFFHSQVNQGISVYGNKGSTDQHAYIQQLREGVHNFFATFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYSNDRESITVTVQEVTPRSVGALVALYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLQVLNEASCKQPVEPLTLDEIAERCHCEDDIEMIYKIIAHMAANDRALIAEVHFNTVGWYQGPQMFTEGLDNNALKWVREGSGQQTEEVPFSISSQGSRKSDIKIQIGSMRNGGRNVGLPPPSKFRSGHLSGVIPVSRVIPADLDESASVSDNDMITDSEEEVYGGRYSLDSSPHDDRVPSTTAATQRYYNLPPRRGAMQYASDSMYSDDVSSSMETLARGRGHVVDRLMRGANRYPIGSSVYTEEESSDSAASSEFSSTQVGTNNRTVPRSTNYASEGYASSIPSKLNTGNKTQKDMTPGNLQKKVVDEDVPSAPPFYTPAAEIKEVDERIPASRTANVQSMAEDSGLSAKADSHNSSGINHQVKVPNNSDSPVSTTAAAAESGGLLGSYPARLPTFHASALGPWHRVLAYDACVRLCLHSWARGCMEAPMFLESECALLRNSFRLQQVLLQSEEELMANRSSELPKEAAAPKPKQMVGKMKIQVRKVKMGLDPPTGCSFSSLKTPKIKIESVRYHLSNMRSSISSGWRAIRKVHFAPRVPANGSFSRQSLAYMQASTQYVKQVSGLLKIGVTSLRSNPSSYDIVQDNLGDDLIVEVLDSNGKHYGRVLAQVATIAEEPGEKLRWWSVYREPEHELVGKVQLFINYSTAFDENSHLKCGSVAETVAYDLVLEVAMKIQQFQQRNLTLHGPWKWLLTEFASYYGVSDAYTRLRYDIFYIYGVSDAYTRLRYLSYVMDVATPTADCLTVVHDLLLPVIMKGRSKSILSHQENRILGEIEDQIEQIFGLVFENYKSLDESTPSGIMDVFKPATGVVPPALEPAVKLFSLLHDILSPETQNTLYSYFQAAAKKRSRRHLTETDEYVSGNNEGLLMDAVTVSTAYQKMKSLCMNIRNEIFTDIEIHNQNILPSFIDLPNLSSAIYSAELCCRLRAFLIACPPAGPSPHVTDLVIATADFQRDLACWNIKPVKGGVDAKELFHLYIILWIQDKRLSLLESCKLDKVKWSGVKTQHSTTPFVDEMYERLKGTLNDYVIIICRWPEYTFVLENAIADIEKAILDALEKQYADVLSPLKENLTPKKFGFKYVQKLTKRSVCPYVVPEDLGILLNSMKRMLDILRPNIEQQFKSWGSCIPEGGNTAPGERLSEVTVMLRAKFRNYVQAVIEKLVENTKLQNNTKLKKILQDSKENVIESDIRFKMQPLKEQLTSTINHLYTIFEPNVFIASCRGYWDRMGQDVLSFLESRKENRSWYKGSRIAVSILDDTFASQMQQLLGNSLQEKDLEPPRSILEVRSMLCRDASNNKGSNYFY >Solyc10g047210.1.1.1 pep chromosome:SL3.0:10:40137259:40137762:1 gene:Solyc10g047210.1 transcript:Solyc10g047210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWSKLDFCKFAADWAGQDCPCVSEFDETDGNSENSVEFSNGDLEGVSEAMQNLRIENGYDELRPKGARIPLPWEILQPVIRILGHCLLGPLNAEDVKDAASVAVKRMYARASHELNPQAILATRSLIQLDKKGRETAKIAAAVTVMSNVNTPSKAKKPEILLVSK >Solyc06g071480.3.1 pep chromosome:SL3.0:6:44135299:44138010:1 gene:Solyc06g071480.3 transcript:Solyc06g071480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSLQVCMDSSNWLQDTIPEENEFDSSSSPSGGDIFTCSRPLMERKLRPQHDKPINCPRCDSTHTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNIPVGGGCRKNKKVSSKNSKLPNDNITTPHVESSNNYPEMSFSHFGNFMGNNNMNHNFMHHAPIDFMDSSKYQALVGTTSTRNQDFFGNVNVGTTGLINGYGEMDISRIGPHYCSSAFGLPNMDGNIINYEGQNITMDVKPNPKILSLEWHDQGYFNGGLGTWSGLMNNGYGSTATTNSLV >Solyc09g091770.1.1.1 pep chromosome:SL3.0:9:71468235:71468654:-1 gene:Solyc09g091770.1 transcript:Solyc09g091770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASYIEKIVWQVVAVLFHFATACFVMIRGETVIMLLSLAWVAFSPLVHLYAFLSTRRHGDEQIRRPNLVRLVDFLGWIVLWVTSHFLSKPKLDTMLYAGLMCGMSGLLCILMAFRPIATDGGVHYIVSHLIMNMSVRD >Solyc12g038800.1.1.1 pep chromosome:SL3.0:12:51893773:51894240:-1 gene:Solyc12g038800.1 transcript:Solyc12g038800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFSLNFDGCFFLLLAVIVILYLFPLLRHSSGDENQLLRLQLWRIIPQRSTLTRSPFYGVLQLHLMNLRITRKLKLSHYRFYPPISGTKMTFPCRKTSAIYHRSRVSSPMICFSSRTLLPYPTCFLNRILYPTAITCYSDQVLLIMVLDLHPGP >Solyc03g096810.3.1 pep chromosome:SL3.0:3:60594794:60603760:-1 gene:Solyc03g096810.3 transcript:Solyc03g096810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRDSITTAGGQNSVERDFVEEASRIVEQAKELQDAASSLISRTTREEDSLRQKAKSLDSSVQGLRSAVRKSKLDPNQAEKLEEELFKASYVLSEGDAAAFLPSKSHGGFLRMFLGPINVRANRKDVQLKVKEEYNSFRDRTAYLFLLFPSVLLVLRSWMWDGCLPALPVQLYQAWLLYLYTGLALRENILRANGSDIRPWWIKHHYCAMAMALISLTWEIEREPNCSQKQRGVQLFLKWAIMQGVAMLLQNRYQRQRLYTRIALGKARRMDVVWGETAGVDGQLLLLFPVLFILQGFEAYVGVLLLKTAFIGVVSEWQVVTCGILLIIMAAGNFANTVKTLVTKSRVKAKMKRGKSKQDLKSESPAKSS >Solyc01g095695.1.1 pep chromosome:SL3.0:1:86756616:86759454:-1 gene:Solyc01g095695.1 transcript:Solyc01g095695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQPGFVYKFCADIEIAQPQNSFPENADIQKERNNNMNIKKNSARVPLFFNSPLYPLPSLPCNQTRCSAAISASSTFPCIRHDVRRAPQTAAFGSIGID >Solyc06g073120.3.1 pep chromosome:SL3.0:6:45192393:45194474:-1 gene:Solyc06g073120.3 transcript:Solyc06g073120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDRPAVASSSKIRFDDSDEHESSSEDEEEKGIEEELADVTFEELQRARSDGSATVYRKLNSEGKSSRANKNRPMEMSSKKPVSRFREIIQVPKRATRDPRFESLNGQVDEEGFKKRYNFLYEDNLPAEKEDLKKQMRKSNDPEERNELKSRVSWIDKQLKSAGVKHTEKEILAEHKKKEREAAKQGKRPYYLKKSEIQKLKLIEKYKSLKEAGKLESYIEKKRRKNAAKDHRFLPYRPFS >Solyc09g090775.1.1 pep chromosome:SL3.0:9:70676832:70678730:-1 gene:Solyc09g090775.1 transcript:Solyc09g090775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILSAIRILKFSTKTQRLSTSSSTANFTDLLQFSIESQSLKDTQKIHAKILQLGLDQNSVIATKLVSAYFACQNPLDSQLVFDTFEHKSEYLWNILINGYAKSKLFGESIKLFNQMCKSEVTPDEFTFSGIVKILGELGDVVSGEVVHGRCVRNGVVLDTVVANSFMAMYSKCGIFQDSLKVFDEMPQRNVSSFNVVISGYMGVKEKNLDGKLWDFVKDMLYEAMINGYVLNGDFDEALLLFKAMQVEGVEPNKVSLISIIPACCSFDRLKGGKQIHAFSTRRGLNHEVSLCNALIDMYSKSGSLSCARRVFEHDCVTKDAISWSSMISAYSLHGNGQGAIVLYEKMLQHGMRPDRITIVGVLSACARSGLVDEGIRIYSSAVNEYDLEPTLEICACIVDMLGKAGQFDRGLDFIKTMPMEPGPSIWGALVNASAIHGNSEVHDLAYRFLIQMEPENPSNYVSLSNLYASSKRWDVVAQVRTMMKDKGLKKFPGCSWISVNTETHSFYVANKSHPCSVVIYEMLDQLILAMKQDDNGVVFEDTLKVYE >Solyc02g081980.3.1 pep chromosome:SL3.0:2:46285678:46289991:-1 gene:Solyc02g081980.3 transcript:Solyc02g081980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKHNISNVYNLFNIMLLILVGLPLSSHANDYSEKYAVIFDAGSTGSRVHVFRFNSNLDLINIGNDLELFLAIKPGLSSYADDPKAAANSLKPLLEKAEAVIPKNLQSQTPIKVGATAGLRLLKGDSSEKILQAVRDMLKNETTLSYKDEWVSVLEGTLEGSYFWVALNYLYGNLGKNYPDTIATIDLGGGSVQIAYAVSKQSAINAPKLPNGDAYVQQKALLGTNYYLYVHSFLNYGLLAARADILKASKNYTSPCIVEGHNGYYTYNGVSYKAASRKQGPNIRRCKAIIRKLLQIDAPCNHKNCSFAGIWNGGGGAGTKNLYISSFFYDYASTVGIVDPKEAYGITQPIQYYKAATLACKTKKQNMKSVFPNINDKDIPFICMDLLYEYTLLVNGFGIDPIRKITVVHQVNYKNHLVEAAWPLGSAIDAVSSTTSENMISYVGRISY >Solyc12g006950.2.1 pep chromosome:SL3.0:12:1379135:1392171:-1 gene:Solyc12g006950.2 transcript:Solyc12g006950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPKSLHGVNFAPPRSFGTILKANLKETLFPDDPFYEFKNDKLSKRILMGIQYFVPIFQWLPKYNFGLFKFDLLAGITIANSSFVPPLIYAIFGSSKHLAVGTVATCSLIMAESIQQKVKPHDNMQLYVSLIYTATLISGLLQAALGIFRLGFLVDFLSHSTITGFMGGTALVICLQQLKGMLGLKHFTSHTDVVHVLRAVFENRKEKKKKPNLFWVSAIAPIIVVIVGCLFAYLFNAEKHGIAIEGIAIARSFSIMDNEQIDGNKEMVAIGLMNIVGSLTSCYLSTGPFSKTAVNHNSGCKSQMSNVVMSMCMLLTLLFLAPLFGYTPLVALAAIIMSAMLGLIDYEKAYHLYKTDKFDFLICMAAFFGVAFISMDMGLVMSVGLALIRALLYVARPPTCKLGTVSNNAFRDVEQYPGSKQTPNMLILKLGSPIYFPNSNYVRERSLAAKNIKIILVNPRLGVMEKLIVTRFIDVIGKESSLHGVNYAPPRSFKTVLKANVKETLFPDDPFYDFKNEKLSKRILKGIQYFIPICQWLPKYKFGLFMYDLLAGITIASLAIPQGISYAKLAELPPIIGLCTLHTLTVYYYLNNSSFVPPLIYAIFGSSKHLAVGTVATCSLIIAEAVQQKVKPEDNMELYVSLFYTAALISGLLQTALGVLRLGFLVDFLSHSTITGFMGGTALIICLQQLKGMLGLKHFTSRTDVYHVLRAVIENRKEWTWQCAAVGAFFLAFLQLSRYVRKKKPSLFWVSAISPIIVVVAGCLFAYFFNAEKHGIAIVGKLNKGINPSSIHLINFSPEYLPNVVKAAIITAMIAIAEGIAIGRSFAIMNNDQIDGNKEMVAIGLMNIVGSLTSCYLSTVMSLCMLLTLLFLAPLFGYTPLVALAAIIMSAMIGLLDYEKAVHLYKTDKFDFLICMVAFFGVAFISMDMGLILSVGLALIRALLYVARPPTCKLGTITNTAFRDIEQYPGSNETPGMLILKLGSPIYFPNSNYVRERILRWVRDEQSLENSQRNEIEYLLLDFGGVTSIDITGVETLKELRRCLAAKNIKIILINPRLGYK >Solyc08g078207.1.1 pep chromosome:SL3.0:8:62181740:62185290:1 gene:Solyc08g078207.1 transcript:Solyc08g078207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIFISMFIIVIHVKALANSTSYSPINCSNASDLNIQFPFKLQEFQLHCKQNRTILHFPSYGDLVIKSISYDLKKLELIDPKNCVPEVFLNLNLTNTPFSYYYTLKEYQYLNCSAEISSSFFQVPCLSGNGHHVYVVETSFVVPDFCNYVKTVRIPFSYSPFLSDNTFGLGLSWNLENSHEDSVTTGQFKGLNEIANDKALGCIVLFMFIVVMLLKLSQYSKRVEEKVNHKEPIILGNYEALDKTYAPSVIQGLELQPGILALASAASPSSDLFDTEWKEFDQPRRVM >Solyc10g011985.1.1 pep chromosome:SL3.0:10:4269379:4271416:1 gene:Solyc10g011985.1 transcript:Solyc10g011985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSVEEQSSDSVSGRRCAICFATRPFILHLLFYRGLQQHLCTSCVLDSHPGSFCPTCFEVFLHNSPPPHLLALCKKCPSISHLSCVPDVAFASNDYSCPPCSNPNFTFFCVTPNRANNAIEINLHLAKQLVAAATIATESIRNAAVMARYNAEIRVKEALVAKAEAAEVLKRFNNLLNNHGHQFGNDAGGSKIHEEEGCSSRFPNADDDTFLLEGVVKIKYGGIMPKKPPLIFEVVDQVALPCATLLVLGMSDPDAIPFCLAKRRPCLHSLPVPSRYDTLLVQDS >Solyc07g048050.2.1.1 pep chromosome:SL3.0:7:59362869:59363972:-1 gene:Solyc07g048050.2 transcript:Solyc07g048050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPSQDASIAWTFHPHNVTLDIVFFGSFISPSGWVGFGINPNSPEMTGTRALIAFPDPNSGQLVLLPYIIDPSVKLQKSPLLSKPLDIHLVASSSTLYGGKMATIHNGATIQIYATLKLVSNKTKNVHFVWNRGIYVQGYSPTIHPTNINDLSSIATIDVLSGSQSTCHNNFLTLKDVHGVINSISWGVILPLGAVTARYLRHVESLGATWFYVHAGVQLSGISLGTIGFAIGIRLGQLSGGRVYGLHRNLGIATFVLGMLQTLALFFRPKTTNKFRKYWKSYHHFVGYGCVVLGVVNVFQGFEVMGEGRSYVKLGYCLCLSTLIGVAVALEVNSWVVFCRKAKEDKMRREGLIGSSAKFSGSSHG >Solyc10g018935.1.1 pep chromosome:SL3.0:10:10951553:10952170:-1 gene:Solyc10g018935.1 transcript:Solyc10g018935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHTGGSKSIAKLMNEQASNGMEPTRAKKNLLTDKKHVNGRPVDNVSAKDMINQQMINRKGSIDQPLNRVAWKHDVYSQVLGNERNGYVRGLGIAPTPSVLCGSRSSLGNIDEEDSSNEVVQMLEHEITELKKKQNEEIYI >Solyc06g084180.3.1 pep chromosome:SL3.0:6:49425827:49426793:-1 gene:Solyc06g084180.3 transcript:Solyc06g084180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQKFVAVFLMCMVVFTAVCEATEEEYQDCYHTCHDECTAKQGQGYTFCEMKCDADCSKKDFVAQFPKFKA >Solyc03g117055.1.1 pep chromosome:SL3.0:3:67801274:67804751:-1 gene:Solyc03g117055.1 transcript:Solyc03g117055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGDDWTAPDKLYHILFCFFIAIISSFLAERTRYSFIRRRSIWVGSIVSLAAGAAKEVADELGFFRSAGASTKDAVADVFGTLIAALAFSLYKSSFIRRRPDQSVQAKVLQMFDSRVNACPNESAKNRSSKRNHHAYSSSVGGHGSDNEHEIEADDEFQSKSLNITTRRNSDSTAHNWVQNYL >Solyc02g063360.3.1 pep chromosome:SL3.0:2:35971691:35982091:-1 gene:Solyc02g063360.3 transcript:Solyc02g063360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHLGLLRIRIIRGINLAIRDLRSSDPYVVVRMGKQKLKTRVVKKNVNPEWNEDLTLGVAQPILPIKLQVYDKDTFSRDDKMGDAELDIVPFIDAVRMTRYKNIPNGVIISRIMPNRQNCYSEESCIVYENGKVVQNAFLRLRNVERGEIQLQLQVRIIRGINLAIRDLRSSDPYVVVTMGKQKLKTRVVKKNVNPEWNEDLTLCITEPILPIKLQVYDKDTFSYDDKMGDAELDIVPFIDAVTMTRFKNIPNGVIISRIMPSRQNCYSEESRIVYEDGKVVQNAFLRLRNVECGEIELQLQWIDVPSSKNL >Solyc01g105497.1.1 pep chromosome:SL3.0:1:93540734:93542725:-1 gene:Solyc01g105497.1 transcript:Solyc01g105497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMGFVISKKRDKLVLLYKEITQANMDFLVSWLGNMQNRRSIDIAFKVHKYSADNNQMAPRDLEDMQQVGSKTGRSLGQMSLQLFYAKYYHIDVIKKGGYDRICMRFSPTSSAKQKTVLLLIYLAVSTYELQHSYGARLSAGP >Solyc08g062690.2.1 pep chromosome:SL3.0:8:51841885:51843023:1 gene:Solyc08g062690.2 transcript:Solyc08g062690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNPQSKSLGYQSQRLSSSQSPYTNSNTLLHRFITGNPFFLCSCRSKGTSSSSKSSRIPSPFPLSNTI >Solyc02g088680.1.1.1 pep chromosome:SL3.0:2:51317409:51317597:1 gene:Solyc02g088680.1 transcript:Solyc02g088680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFCCTVGIHSNTDLLFHGCGTHWLEVKGMIPVKLLHGEVPLDLVLLHLRIVYAQFSIIPH >Solyc03g033410.3.1 pep chromosome:SL3.0:3:5002375:5004272:-1 gene:Solyc03g033410.3 transcript:Solyc03g033410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRIQKELRELQRDPPTSCSAGPVAQDIFHWQATIIGPNDSPFAGGVFHVAFKTKVFHPNINNNGNICLDILKDQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRLKYESVARSWTQKYAMN >Solyc08g075760.3.1 pep chromosome:SL3.0:8:59998029:60004406:1 gene:Solyc08g075760.3 transcript:Solyc08g075760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RMC [Source:UniProtKB/TrEMBL;Acc:K4CMU3] MEMEGRGYSDFYRNTSEELFIRTMMDNSVGGVPVPTMEMLGFRNIPHSLRTDSEELFKSWLTSAENNGSDSTPMARGRQGSRRISSELAGLSSQQNEGIQKRKMADTQQPQNTCTAIESSSNLNKHSTRNATDREMQASNLFLAKTWFHSSQPMTRSRSSELRRRYAAMQNSQSSLARESLQNIPGNAVNSFKEEVSHPTGYTDMSMCEMTNQPNTFMSPSNSSSSTFEAQQVDGVDNISSVVSMLKGTLERKKLTNYHTAREAIEENMLGCYGNQEIFCNSDMNQHPGNHISLNQGTYQDTPVVQVRDTGIPQTVQGSLDAVLESIMAPSNPIQIDMVTQEPSQSGSSVAAPILSIDFDAYDGLSNASQALNMYEGCRNQVGYGRSSENGSTARDIRERIYDNVKDNQKKEGLVRNGSLTSVQSAENGDPKKKRRVERSRKMAEAKERNLTPAIPSDMQSLVKRCDNLEKEVRSLKLNLAFMNRKDSEQTTQIEELQKQNEDLVKEKERLLGEIERIISESGKF >Solyc07g066610.3.1 pep chromosome:SL3.0:7:68113060:68119347:-1 gene:Solyc07g066610.3 transcript:Solyc07g066610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATASHTLCGIPTTSSSSTTNKSTTYSSARFLLKTPLRRLGFAGTVADPLFTNHVATKLRSVKASSNPVRAVVSMAKKSVGDLSSSDLKGKKVFVRADLNVPLDDSQNITDDTRIRAAIPTIKHLIANGAKVILSSHLGRPKGVTPKYSLAPLVPRLSELLGIQVVKADDCIGPEVDKLVDSLPEGGVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSTPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLSVGSSLVEEDKLELATSLLEKAKAKGVSLLLPSDVVIADKFAPDANSKIVPASAIPDGWMGLDIGPDSIKTFNDALDTTKTVIWNGPMGVFEFDKFATGTEAIAKKLADLSGKGVTTIIGGGDSVAAVEKVGVASVMSHISTGGGASLELLEGKVLPGVIALDEADAPVAVMAVKKSVGSLKEADLKGKRVFVRVDLNVPLDDNFKITDDTRIRAAVPTIKYLMQNGARVILASHLGRPKGVTPKYSLKPLVPRLSELLGIEVKMANDSVGPEVENLVAGLPEGGVVLLENVRFYKEEEKNDPEFAKKLASLADLYVNDAFGTAHRAHASTEGVAKVLKPAVAGFLMQKELDYLVGAVSNPQKPFAAIVGGSKVSSKIGVIESLLEKVDVLLLGGGMIFTFYKAQGYAVGSSLVEEDKLDLATSLMEKAKTKGVSLLLPTDVVIADKFAADANSKIVPASEIPDGWMGLDIGPDAIKSFGSALDTTKTIIWNGPMGVFEFDKFAAGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGLAEKMSHISTGGGASLELLEGKQLPGVLALDDA >Solyc09g075260.3.1.1 pep chromosome:SL3.0:9:67432492:67433283:-1 gene:Solyc09g075260.3 transcript:Solyc09g075260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAFPLCIFLLLLFAASPVIGDEDSQSPTAYEILQEYDIPAGILPKGVTRYELDKTTGNFAVYFNKSCSFSISGYDLKYMSKITGKISKDRLANLKGVQVKLLFFFVNIVGVTRDGDDLGFSVGVSSVDFAIEYFYEPPECGCGFDCVNSGENGTGEFNLKQLISST >Solyc01g034140.1.1.1 pep chromosome:SL3.0:1:36196809:36197144:-1 gene:Solyc01g034140.1 transcript:Solyc01g034140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLIEKERKKGANGVLLLGGADLYCCAAYCCCFVAVVVSPVVEELVAIACFVYVVVYVIGPRQWSRRRRKRSEGKRKERSRLERGRENWHRRWLDTVSQWRKLLVWRFS >Solyc04g074080.3.1 pep chromosome:SL3.0:4:60184504:60186149:1 gene:Solyc04g074080.3 transcript:Solyc04g074080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFHKISQIPKQRNVMEDLCKKRKWEDDQLSCDEIKPQIKAKKVTTLFGTQLNPETPLPLEWQRCLDIKSGHIYFYNTRTQKRTSSDPRLSDPEPPQPTHQVHMSLDLELNLLPSCQSPEKTNQIDDNFVSNSSNHLMKKDNCESKGLINRSPSWLTFDVDQQEMVTAVCKKCHMLVMMSKSSPTCPNCKFVHPLDETTPTLFKKRLSSF >Solyc01g057852.1.1 pep chromosome:SL3.0:1:64083775:64084619:1 gene:Solyc01g057852.1 transcript:Solyc01g057852.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYKTCLFCNTTIKEYWWRTNLGLAVNVLGEIIRLLTIVTAGQQLVMSRIYRFVQHLDYYDFFIWSISIQIMLYIFSERIPYDQELIGISWGVNSMYIRRKSGFTDRQPLPPM >Solyc01g016970.1.1.1 pep chromosome:SL3.0:1:23129326:23129712:1 gene:Solyc01g016970.1 transcript:Solyc01g016970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPINISPKIFSQLSSLTNLHKLSQIRIASTQLIIYHRGARKYSSDDHPLKKPPPEFPAAPQPDKPSESSEAPSAPDFNDDLTPQCRGGPYGSGAAIPQQSSKSKVRQPHGAKVKTPVPPDSDDIVSS >Solyc05g013345.1.1 pep chromosome:SL3.0:5:6440737:6446468:-1 gene:Solyc05g013345.1 transcript:Solyc05g013345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHSRSPITAAEQAALPSSMSIATVTNHWEVQYARFIVCPASPHSSHSSLISLSSIGRKDGKRGKWISSASIVSLKLRTSSFDPNGGFILVVSLGGKVILLIVKISRAQRNAEAIMYRFKCIIETIAGGPMLEEHYISRLMFSWPQVSCVSGFPARGSRAILVSYRDSVGQALSRSLKLPSCNIQKFILRFLTIYEIENFMNVLKGKLDNANPQLIPCAEFDSAISSQSEFNPLDGASHRCGFLRENKGWICAASGDSAPNYMPLNLAPEFSQDSHKEETKLSREADEILSAFPPSFTSFLTNCCPEIDQVAAQSSMTKEVDLKDQIAKYLEDSSFQDMLVKIEKVIHELGDNTVL >Solyc02g091290.3.1 pep chromosome:SL3.0:2:53264360:53271391:1 gene:Solyc02g091290.3 transcript:Solyc02g091290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSVMIRRFCSFNVTASSSAITSTTKKKRLVFLGSPQVSASVLDALFNASAAPDSLFEITAIVTQPPSGRDRGRKVMPSPVAQHALDRGFPSDLIFTPVKAGEEAFLFNFKSLEPELCVTAAYGNILPTKFLNIPSKGTVNIHPSLLPLYRGAAPVQRALEDGVKETGVSLAYTVRKLDAGPVIACERVTIDDQIKAPDLLDLLFNLGSKLLIRELPSIFDGSASGIAEEQDDSKATLAPKITPEESWLSFDDDAQVLHNKVRAFAGWPGTRARLKVIDPNNGESSIIELKIITTRIYGGINSQDIEANDVLFTKSSLVIPCGGGTALEVLEIQLPGKRAVNAAAFWNGLRGQMLKKL >Solyc11g010290.2.1 pep chromosome:SL3.0:11:3366467:3373007:-1 gene:Solyc11g010290.2 transcript:Solyc11g010290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPKSPLPTHNSPPSSPNHSPTHPHPRPRRSCPPWKGAKLIPLAISIAIGLIFRFAVPKPNKVTTNAWQLLAIFLATISGLVLGPLPVGAWAFLCLTVTVVTKTLSFAAAFAAFTNEVIWLIVVSFFFSRGFIKTGLGDRIALCFVSWLGKNTLGLSYGLALSEAAISPAIPSTTARAGGIFLPIIKSLAVTADSHPKNDSSRKLGAYLIQSQLQCSSSSSALFLTAAAQNLLCVKLAEGLGVQVSSKWLTWLKASCIPAVISLLVTPVVLYKVFPPEMKDTPDAPLMARRRLQQMGPMKSDEWVMTIVMLVTVGLWIAGETIGLASVITAMLGLTLLLTFGILDWNDCLSEKSAWDTLAWFGVLIGMASQLTTLGVVAWMSSAVGDFLESLSLHWFGSFCILQAAYFFIHYLFASQTGHVAALYSAFLAMCLAAKVPGLFAALALGYNTNLFGGLTHYSSGQAAVYYGAGYVELRDVFKLGIIIAIMNIVIWAVAGAGWWKVLGLY >Solyc04g025600.2.1 pep chromosome:SL3.0:4:21689372:21693968:1 gene:Solyc04g025600.2 transcript:Solyc04g025600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVFVLQDSSKTLQVETYVWFNKRDPNLHGEWDFEPLFPGENTVDQLVEITKVLCDKFLFTDANIVAGTWNTDKGGNSLYESKLY >Solyc04g026200.3.1 pep chromosome:SL3.0:4:18319169:18349460:-1 gene:Solyc04g026200.3 transcript:Solyc04g026200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEELKEGLSNLSDTELTDKIARLKKSLFSNIGVRLPDGGEKLRANIKLHEDELEWRKRAVSKPANAFQEELYALNPCDHKSTLNNQFSHRKRHKRELLSQEEPYKSPVDKGEQVLNVDLKGWDSSTRSKENKASCFSEKFKASQAQSSYTRRHANGQAVVLVDEEDPDAIKATEPVDQVIERKAITIYYPSRVDPESVEICCSDMESLAPEAYLSSTIMNFYIRYLQKTKPHADVNEYHFFNTYFYQKLKEAVLSKNEKEASFIRLRRWWKGVNIFEKAYIFLPIHEDLHWSLVIICIPDKEDQLGPILLHLDSLGLHCSKSLFATIRKFLVEEWKFLRQGEVLALPISDKIWENLPRRIDENIIQVPQQRNEYDCGLFVLFFMERFIDEVHRRLKKKDLTMFGRRWFKPAEASCLRMKIRRILEEKFKNVSEND >Solyc03g005227.1.1 pep chromosome:SL3.0:3:128514:130196:-1 gene:Solyc03g005227.1 transcript:Solyc03g005227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4BDU6] MSSGGGSGKGGAGRGKQKASKSVSRSSKAGLQFPVGRIARFLKNGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGQVTIANGGVLPNIHQNLLPRKAGSGKGDIGSASQEF >Solyc04g058200.3.1 pep chromosome:SL3.0:4:55234777:55242118:-1 gene:Solyc04g058200.3 transcript:Solyc04g058200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRAILHEQKAQILLELGETWKALQAATRATELEPSWGEAWVTLGRAQLNYGEPDSAIESLDRALAIKPDSAEARNDRQAALHHIQRRKQLQTSGLSMNQNRFAVVDKTEST >Solyc11g012710.2.1 pep chromosome:SL3.0:11:5480522:5484842:1 gene:Solyc11g012710.2 transcript:Solyc11g012710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tau2 [Source:UniProtKB/TrEMBL;Acc:I2E5L9] MGNVNGREEIDQSSVGIQETMDARDGEFMGQSPPSSPRASHSPLMFRPQMPVVPLQRPEELHISNPSWMQNTSGYEDLNEEKGVPTLISWTYEGKDIAVEGSWDNWKSRNILQRSGKDFTILKVLPSGVYQYRFIVDGQWRCSPDLPCVQDEAGNTYNILDVKDYVPEDIESISGFEPPLSPDSSYSNLELGAEDYAKEPPLVPPHLQMTLLNVPSSPMEILPPPLSRPQHVVLNHLYMQKGKSNPSLVALSSTNRFLFKYVTVVLYKSIQR >Solyc02g084150.1.1 pep chromosome:SL3.0:2:47891182:47894241:-1 gene:Solyc02g084150.1 transcript:Solyc02g084150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGFFLTSTSLFGIQLIILSKCFSTQTFMTKCILIYTVLRVSFVHATTTLSQHILGYCAQVHGSKPGKEAVEVVLVDEEAVGTANAVGCGAKVLRGSSRLENIPPPPPHTGLTMTMVLQKMLEYYRGHLVDDIKKGRLVNSICSVKERSAQFYYFTSSHEVVLSIGIWCLTRWTGAADGRKICEDDLIFTRASPSPCCCCPVGLGFT >Solyc02g032740.1.1 pep chromosome:SL3.0:2:29560013:29560938:-1 gene:Solyc02g032740.1 transcript:Solyc02g032740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKAVKKTVAAVAQTAAPEASNPTIPSGIDVREANYAEDEGSLIPPRAVVFDVSRAAVCATAATSCFTAFRGDILEVFVESSWATELANSVFVGF >Solyc08g079430.3.1 pep chromosome:SL3.0:8:63074627:63079538:-1 gene:Solyc08g079430.3 transcript:Solyc08g079430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:K4CNU3] MEGRNLFFLMSIILLLIFTFLNLPSPLSHTTDQLFDCTTYSPWCSSKKPFFLRQPENSKNHYADFPNHPLDPLTIGEIQKVKKIVHSIAEFRIKGCVLHSVVLEEPEKEVVLNWRRGHRLPPRKAAVVARALGVVHVLSVDIETGRVTRRETGSYSGYPILTIEDMISATSAPFANSDFNRSIIQRGVDLADLACLPVAAGWYGKIEEKRRVIKVQSFTLKDTINFYMRPIEGLTVLLDLDTQQVIEIFDEGESIPIPKAANTDYRYSRIKKNKQKINLLKPISIEQPNGPSFTIENNHLVKWANWEFHLKPDPRAGVIISRVMFEDPDTGKMRNVMYKGFTSELFVPYMDPSDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFVAADGTPYVRSNMICVFERYAGDIGWRHAECPITGLPIREVRPKVTLVVRMAASVANYDYIVDWEFQNDGLIRPKVGLSGILMVKGSPYVNMNEVNQNEYLYGTLLSENIVGVIHDHYVTFHLDMDIDGPSNNSFVKVNLQKEMTSSGESPRRSYLKAVRNVAKTEKDAQIKLKLYDPSEFHVINSNKKSRVGNPVGYKVVPGGTAASLLDHNDPPQKRAAFTNNQIWVTPYNESEQWAAGLFVYQSQGDDTLAVWSDRDRAIENKDIVLWYTLGFHHIPCQEDFPIMPTVSSSFEIKPVNFFESNPILNIPPNSPKDLPICKAAASA >Solyc04g080960.3.1 pep chromosome:SL3.0:4:65102722:65105099:1 gene:Solyc04g080960.3 transcript:Solyc04g080960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YLLFFFSTLLMDRLFLLSFLAFALFSSAIAFSDDDPLIRQVVSGNDDNHMLNAEHHFSLFKAKFGKIYASQEEHDHRLKVFKANLHRAKRHQLLDPSAEHGITQFSDLTPSEFRRTYLGLNKPRPNLNAEKAPILPTKDLPSDFDWREKGAVTDVKNQGSCGSCWSFSTTGAVEGAHFLATGELVSLSEQQLVDCDHECDPVEKNDCDAGCNGGLMTTAFEYTLKAGGLQLEKDYPYTGRNGKCHFDKSRIAASVSNFSVVGLDEDQIAANLLKHGPLAVGINAAWMQTYVRGVSCPLICFKRQDHGVLLVGYGSEGFAPIRLKNKPYWIIKNSWGKTWGEHGYYKICRGHNICGVDAMVSTVTATHTTNPNL >Solyc12g005250.2.1 pep chromosome:SL3.0:12:156650:163242:-1 gene:Solyc12g005250.2 transcript:Solyc12g005250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKNQNKPPLRTLSALPSDSNYTAGEVSLEKRRRIGNPKIPSTATGARTRQALAVVNEVADVPPASGPPSNAGSDGGIVEFSKEEVEALLTEKLKTKNKYNTKINGNTGMNVVVVLVILLIKVLTVKLTEVANSEKCDLMSDYIRRLKLCIKWFQQLEGNYFTEQASLSGMLESAEKKCNEMEVVMNVKEEELNSIIMELRKNIEALQEKFAKEEAAKLEAVDAYNREKHARDTAEKLQVALSEELKRAQQDTASANQKIQSLSNTYKGLQEYNKNLQDYNSRLQKDLGTVNETLKRVETEKAAVVENLSGLRGHYTSLQEQLTSSRAVQDESVKQKEALASEVGFLRGDLQKMRDDRDQQSLQVQVLTDEVLKYKECTGKSIAELEGMAIKTNQLEETCLSQCEQIKRLQQQLAFAEKKLEMSDMSAVRTKEEYEEQKNVIFDLQNRLAYAETKIVEGEKLRKKLHNTILELKGNIRVFCRVRPLLSNDAVSAETKVISFPTSTEAQGRGIDMIQNGQKQSFTFDKVFMPEASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTHTMVGKPDSDNQKGLIPRSLEQVFETRQSLQNQGWNYKMQVSMLEIYNETIRDLLSTSNSSSFDASRPEHVGKQYAIKHDVNGNTHVSDLTIVDVHCYSQVSKLFGLAAESRSVGKTQMNQQSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGATGDRLKETQAINKSLSSLSDVIFALAKKEEHVPFRNSKLTYLLQPCLGGNSKTLMFVNVSPDPPSVGESLCSLRFAARVNACEIGIPRRQTSLRPIDSRLSIG >Solyc10g074830.1.1 pep chromosome:SL3.0:10:58602969:58604179:-1 gene:Solyc10g074830.1 transcript:Solyc10g074830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVALQMLLQYLYFQILIKPYHFDYQDLVELSNDLRELASSLSDQPTVPYKHLRWVWIGSNLVTRPDLMGLLSGSNFVFCSPKPREKSEELKARLRKLDEASEKKAYGELVKDITPTKSMDEPFS >Solyc01g086957.1.1 pep chromosome:SL3.0:1:81738860:81743579:1 gene:Solyc01g086957.1 transcript:Solyc01g086957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTLFEFLERKQLLHTKKEQDRLFSVMPQVVAEELEPEVKTVDALEENVVEQERENGCSPKSTANGATDISCAGDAMIPSNRIFVNGDALTADENGDIMVPSDRRFVSGDAAIANGNDQQADMIQEQRDSTISARVERGEEMQVVASGEDVVTHQVQVQATQTEVIELSDDDLDVEDQRYGNQSTDVMYRDVAIWNYMDPHGITQGPFSLTLLKFWSDAGYYFGPSFRVWKVGQSPQEAVLLVDLLRYFFPLQ >Solyc06g009940.1.1.1 pep chromosome:SL3.0:6:4356014:4356232:-1 gene:Solyc06g009940.1 transcript:Solyc06g009940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNHPLAGLLGLGSLSRAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGVQDYVRDH >Solyc10g051390.2.1 pep chromosome:SL3.0:10:51998008:51998555:1 gene:Solyc10g051390.2 transcript:Solyc10g051390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEYSCFVGGLAWATTDRTLSDAFSTYGEVVDSKIINDRETGRSRGFGFVTFKDEKSMKDAISGMNGQELDGRNITVNEAQARGS >Solyc03g118540.3.1 pep chromosome:SL3.0:3:68914104:68917741:1 gene:Solyc03g118540.3 transcript:Solyc03g118540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLTVKQEVLEEPIDPAPLRSSAMQWSFTNNVTAHPQYLSFKSAPEDKPKIGFDSLASTGLVTITTTEAVDSSHRTYSDVTQKNMMLERQGGTHYTTTTFSPHHYDAHSMHRSHGVRVLPLASPTNQISVSMTMPGHKSFISPVGQNLITTVNQLPGAGALVVSPISAVPSSSIVVGTTDLRGAPKTPPGPAQLTIFYGGSVCVYDNVSPEKAQAIMLLAGNAPPVTPNATSTLSPVQAPIPKSSAIDSFVVNQCRNTTPTLASPISITSHGGAQAARVSTTTNGVTIIKSIGVLPSPSLKAEPSKVTSSVGSFPASLVPSAVPQARKASLARFLEKRKERVISASPYPLNSKQSPECSTPELGSRSLSMNSSGSCPPHIISLVK >Solyc08g082480.3.1 pep chromosome:SL3.0:8:65386542:65389988:-1 gene:Solyc08g082480.3 transcript:Solyc08g082480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVDVALSPVQEESVRATRHIYGPLPLCTNESIVIYITVAGSVIPMRVLESDSIGEVKLKLQTCKGFVVKMQKLVFGGRELARNESLVRDYGVSNGNVLHMVFKISDLLVITVRTTCGMEFEFPVDRHQDIGYLKRTILKKGKDFGEELKVQELFCNGEKLEDQKLIDDITADAVIHLVVQKFAKLRAKHAERDVELSVVAANWHEETQSQGEHEPSRELQSFSKKTPDTNLVLEPFVVDPNVELPGYIWDMINSACDGLTKGNIPIRSSEGTGGTYFMQDGSGNKYVAIFKPIDEEPLAVNNPQGLPLSTNGEGLKRGTRVGEGAFREVAAFLLDHPRTGQRTLSNCEIGFSGVPPTVMVQCLHNTFHHPDGFEWSSEYTKIGSLQLFMKNEGNCEDMGPGIFPVEEVHKITVFDIRTANADRHAGNILVSREGKEGRIVLTPIDHGYCLPENFEDCTFDWLYWPQARKPYSPETIKYIKSLDAEQDIGLLKFYGLDLSVECARTLRISTMLLKKGVETGLTPFDIGNMMCRETLNKESVIEEIIRDAEDSMLPGMTEATFLETVYKLMDIKLEKLKYKSS >Solyc09g057670.3.1 pep chromosome:SL3.0:9:50359862:50364418:-1 gene:Solyc09g057670.3 transcript:Solyc09g057670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4CTF8] MEFGRAINPTTLFIVFLLVTLVTAKKSGDVTELQIGVKFKPTSCELKAHKGDRVSVHYSGKLTDGTVFDSSYERNDPIEFELGSGQVIKGWDQGLLGMCVGEKRKLKIPAKLGYGESGSPPKIPGGATLVFDTELVAVNGKKTTADNEL >Solyc06g071640.3.1 pep chromosome:SL3.0:6:44261862:44270947:1 gene:Solyc06g071640.3 transcript:Solyc06g071640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSLMRNQKIMPTIKHLLIISIAINAGLLLKLLHHEHEWAGLAGRDTNRNNEVSLVKDVLRKAKPATDQYINLDHGDPTMYEVYWKQMGDKTTLVLSGWQSISYFSDTKNHCWFLEPGFANAVTRLHNLVGNAQTRNYHIVVGTGSTQLFQAALYALSPSNAPEPMSIVSAAPFYSSYPLIVDCLKSGLYKWKGDPSKFNKDDPYIELVTSPNNPDGSIRQAIVNGSGGILVHDLAYYWPQYTPISFQADNDIMLFTVSKCTGHAGIRIGWALVKDKEVAKKMTKFIEISSIGVSKDSQLRAAKILDVIADTYEHPEKFDKSTLFFHYVYNEMAKRWRQLRSAVSKGQTFSLPDFPVEKCNFSEQRFGTQPAFAWLKCEKSIDDCEKFLKKHKILTRSGTHFGSSENYVRISLISHKEEYDEFIRRLSQLSSMDNP >Solyc03g121550.3.1 pep chromosome:SL3.0:3:71125737:71126583:1 gene:Solyc03g121550.3 transcript:Solyc03g121550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQQLKFLAKLALFFFIIVIATVDGYLTWLISTSASILLADLFSVLIHRYCPFISRLYHLFYLENPRRTRRHSDILAPLVALFVAPLLSHKLFDDINVTACMMVATAFYLPMMHHFGRPRNFRLLFSLTLLCWRDKQRQVQLMRMIITT >Solyc12g100070.2.1 pep chromosome:SL3.0:12:67929916:67931171:-1 gene:Solyc12g100070.2 transcript:Solyc12g100070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFVVEEFSKMLSRIAKIPLVSSHPEVYEPCDDSFALVDALLADRTNMLQQKPSICMEIGCGSGYVITSLAVMLGDEGFVPYYIATDINPHAIRVTRETMDAHGVYAELVNTDITSGLEKRLARSVDVLVVNPPYVPTPEDEVGFEGITSAWAGGENGRSVIDKILPAANNLLSEKGWLYMVTLTANKPSEICLEMRKKGYASRIILQRSTEEESLHIIKFWRDSDSHLELKNLSYWSKLVGN >Solyc01g098860.3.1 pep chromosome:SL3.0:1:89123269:89125345:1 gene:Solyc01g098860.3 transcript:Solyc01g098860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRVSPPTFLFLLAPLLVLATVNCDDEEGHVLSGINSYRQSHSLPALTKQDKADCLADEIADELENQPCPSGGITPAPASQFAQYPKPLDKCNININTTAEGVILPVCVHDRVATLVLTNYTQSRHAGYLNNSKYTGAGIGTEKDWTVVVLTTNTVGGSFTSGVTITSVFGVSTIHYYLMFVLLGLFLAIN >Solyc07g051810.2.1 pep chromosome:SL3.0:7:60424774:60434130:-1 gene:Solyc07g051810.2 transcript:Solyc07g051810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPESLFSESTLISSLSRCNISSSHVDMQQNSHDLDGLTPNQLVVLLLDSNLRGDVMYQLIKMRDTCEYLAPLLWNTFNAVYMLLLEVISVYWKLSPPTLSMKESTRVCNALALFQVMAKNPETRKELIEGKSVYEMIIRPRPNDSKSESKFWYEYGKIQVTRSQTKIPCYFYPFLKPSGDDKPLEYLRLTSLGVLGALAKFDDPYGPKVLHFFLETKVVPSCLECMDLCDELSGKVATLIVMKILMQEKGMSYCCATPERFFSIVQVLYRVVEKLTEKPCLLHLMYVIQCLLSLSEIQKVIGPSEAFIRQVHPKLFDNTFKDILRDDHETAWMLQVLHFNVYGRLSPPE >Solyc01g007895.1.1 pep chromosome:SL3.0:1:2013948:2021766:-1 gene:Solyc01g007895.1 transcript:Solyc01g007895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVKKTCTLLYIRKSRVTLSTCNTLKRSNGRSQEYNNPIDGKKRKLEDNLETNSKRLKNENSDVTSTKTQIPKVKLADKVTALQQIVSPFGKTDTASVLWETINYVRFLQEQIQDISSYERFLKDGRMTDRQTKRMA >Solyc03g113710.3.1 pep chromosome:SL3.0:3:65261731:65264076:-1 gene:Solyc03g113710.3 transcript:Solyc03g113710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGPPPTNSSQKHVVLKAVIAAVLSTLVVAGILFYFFYRYYVIRRWKKNKLNSSFRREVPHQEFQQTGALKGLIVDENGLDVIYLRRFEGSQLGSSYENKEAVTASQQRTNSLFAASRSSKQPSTSLLFQQKEIIPPQPETPSELAVRIAKAPTPPNAGKIKSSPPFPPPKGDGLSSLIKPPIAPRGNGSRKDKAEAQNKEKSKENGETKVKLRPLHWDKVVANADHSMVWDEINNGSFRFEDDLMEALFGYNVTSKRTPEGNRATTSSGTSKLARPTQMFILDPRKSQNTAIVLKSLSVSRKEILDALMEGQGLSVDTLEKLTKICPTEEETSKILQFDGNTRKLADAESFLYQMLKAVPSAFKRFNAMLFRSNYDPEILNLKENLQTIELGCKELRTSRIFIRLLEAILKAGNRMNAGTARGNAQGFNLSALQKLSYVKSNDGKTTLLHFVVEQVICSEGKRCLINKGHKDDSDRKTEKVEKDTEHLTLGLPVLQGLSSEFSNVKKAATIDYDSFINTCSTLTTRVNDVKHLITCCGIAERDQFVKETKGFLEECEEELKLIREEQTRVMELVKRTTEYYQAGTSKEKSTQSLQLFAFVKDFLDMVDKVCVDITKKVQKKSAATVESSPPRSPRPITPVRSHNLITYFTPEIASSESENDF >Solyc02g063020.2.1 pep chromosome:SL3.0:2:35588201:35590648:1 gene:Solyc02g063020.2 transcript:Solyc02g063020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMRVFGISLSLIIINMAAIMERADENLLPAVYKEVSETFKAGPSDLGYLTFIRNFVQGIASPVAGILVINYDRPTVLAIGILFWSLSTGAVGASNYFKQVGFWRAVNGFGLAIVIPALQSFIADSYADESRGTGFGFLSLVGTVGGIGGGAIATVMAGYKFWGVPGWRFAFVMMATFSIFIAFLVFTFVVDPRKRANVEHDNTKNSTDREGLVEKVNTNSMSIWTESWTAMKAVMKVKTFQFIVLQGLVGSLPWTAMVFFTLWFELIGFDHNSAAALVSLFAAGCAIGSFFGGVVADRISHAYPHSGRIMCAQFSAFMGIPFSWILLRIVPQSVSSYYTFAVTLFLMGLTISWNSTASNGPMFAEVVPSKHRTMIYAFDRAFEVSFSSFAAPVVGILAEKLYGYDTKSVDPVLGSAKEALALSKGLFSMMAIPFGLCSLFYTPLYWTFKQDRQNARIASIKETEMI >Solyc01g080000.1.1.1 pep chromosome:SL3.0:1:78984968:78986305:-1 gene:Solyc01g080000.1 transcript:Solyc01g080000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPQLLLCFLLFICSSNYAIAKKKSHKHHPLLFLPVFKDEITQQYVAQIYQRTPLVPVNLTVDLGGRFLWMDCENDYISSSYKNVPCGSRPCKLSGSQGCYGSSCPVPPRPGCNNYTCSHIPYNPIKRSSTDGELAQDVVALWSITTTTNRNNVSKMILSSTSGVMFNCPGDFLLEKLANGVKGMAGLGIGYTGLPSQLARAFHLPRKFAICLSGNTKSNGVILFGERRSYYASNEVLTSYTPLLKNPVSTAGAYFPGEPSVEYFIGVEKILVNGKIVPIDNKLLAINKTNGVGGTKISTVVPYGTMESSIYKAFKYEFVKAIAKVPIAKPVTPFELCFNLSNSDTLKGLVIPQVSLVLLGEHNNSTTWDLPMNNFMASPTINDSSDLLCLGFLDGGENAETSIVLGGMQIEENLLEFDLVKKRMGFKSVYMSSDLVSCSNKFR >Solyc01g097960.3.1 pep chromosome:SL3.0:1:88471895:88492661:-1 gene:Solyc01g097960.3 transcript:Solyc01g097960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEQPRREEAEQEHKSIKDVQESSLARKKAVAESIGGQVHGKDRKQVSSESVSGRANITIGEALEATVVTAGSRPVDYSDAAAIQAAEVRATGRTNIIPGGVAAAAQSAATRNARLTKEEEKTKLAEILAEASSKLPSDKPVTRKDAEGVIGAELRNDPNLCTRPGGVAASIAAAARLNQTNTTSSTKNDDNILKQKLN >Solyc05g050250.1.1.1 pep chromosome:SL3.0:5:61137551:61137844:-1 gene:Solyc05g050250.1 transcript:Solyc05g050250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVGVESMTQANGGARCVRIENNKVSCISMDSMARSNDCGGIGFQMPLHYPKYTKVDYESMAEWKVDCLLTQYGLPIHGDVNHKRKFAMGAFLWTY >Solyc08g014060.3.1 pep chromosome:SL3.0:8:3661549:3673145:-1 gene:Solyc08g014060.3 transcript:Solyc08g014060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEPSSSLEILVRGPEGFLIWNGPPFLSGEPGVKLDTVPCTSAKFSDDGSKLMVTKSDSVISIFDCKTLTEVRSFDVPNVLAAVISPCGTYLQTFQKCSSPQHKNVVLWKIENGEFAYQLFQKNMTKVTWPSVHFSSDETVACRLATNEIQSFDPRDFSKGFVNRLRVPGIAALELSKAPGSYVAAFVPESKGMPASVQIYACGKDLQSQPVARRSFFRCSTVQLSWNSGSTGLLVVVQSDVDKTNQSYYGESKLNYLTTDGAHEGLVPLRKEGPIHDVQWSYSGKEFAVVYGFMPAMATVFDKKCNPLLELGTGPYNTIRWNPLGNFICLAGFGNLPGDMAFWDYREKKQLGTTRAELSVTSEWSPDGRYFMTATTAPRLQVDNGVKVFHHNGSLYFKKMFDRLYQVDWKPESVEKFGDIEDLVKAVSSVKIDEAKVPGKGSKATQAAPKATQAVPKATPANPPAAKPAAYRPPHAKAAAVVQAELFGASPSGELSKNALKNKKKREKKKQAEAASAAGGS >Solyc12g088710.2.1 pep chromosome:SL3.0:12:64948070:64952925:-1 gene:Solyc12g088710.2 transcript:Solyc12g088710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENDQVLHVVFIPYLTPSHMIPLVDTSRLFASHGVKVSIITTPYNALLFESSIDHATELGHEIFVHKLKFPSDEVGLAEGIENFSVTTSQEMAIGVFMGIDLLQKPMESLIFELRPHCIVSDLLLPWTVDVAEQLKIPRLAFYPTNVMYHCVEHCLKMYTPHEKVSSDSESFKVLGLPDEIEMKRSQLPEDVKNNPEGPYWELLKRIKESEPRSYGMIHNTIYDLEPSYAELYQRIKGKKPWLIGPSFHFSKRNNSRSSPDQERHSCLSWLDSQESNSVVYICFGSMGRFSEPQLTEIALALESSNSTFLWVVRKGDNTHESWLPIGFEEKMFANNKGLIVREWVPQLKILNHPATGAFMTHCGWNSTLESLTSGVPMLTWPLFAEQFYNEKLVEVLGCGVSVGAEVWHISFDITDTIVKKEKIEASVKMLMNASMESENIRNRAKDVEAIINRAVEEGVFIPYFTPSHMIPLVDIARLFASHGVKVSIITTPYNALLFESSIDHATELGHEISVHKLKFPSDEVGLAEGIENFSAATSQEMAISVFMGIPLLQKPMESLIFELRPHCIVSDLLLPWTVDVAEQLKIPRLAFYPTNVMYHCVEHCLKLYTPHEKVSSDSESFKVPGLPDEIEMRRSQLPENIKTESEGPYWELMKRVKESETRSYAMIHDTIYDLEPSYAELYQEIKGKKPWLIGPSFHFSKRNKSRSSPDQERYSCLSWLDSQEPNSVVYICFGSMGRFSDAQLTEIAFALEASNSSFLWVVRKGNEPQENEQENWMPSIFKAKMLTNNKGLLVRGWVPQLKILNHPATGAFMTHCGWNSTLESLTAGVPMLTWPLFAEQFYNEKLVEVLGCGVKVGAEVWHSTFDIKNTIVNKEMIEASLKMLMNTSTGSTKIRSRAKDVEAMIKRAVEKGGSSYNHLTALIEELKCHH >Solyc04g054376.1.1 pep chromosome:SL3.0:4:52344184:52344505:-1 gene:Solyc04g054376.1 transcript:Solyc04g054376.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGKNTSKIDELKKELFKSFSMKELGHAKQILGIRINRLRYEMRIYLSQKNTPLAGHMKMSKKMCPTTREEKRTWTKFHIPPSSEV >Solyc12g099340.2.1 pep chromosome:SL3.0:12:67503859:67509353:-1 gene:Solyc12g099340.2 transcript:Solyc12g099340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIALTQKSMYPWKTLEHVVLVHYRETQEVSSNSTVAQGSPAAPVSSGSALSDPADLSASWVLSGELDSAVDQQYSASRHAHLEPNRDMTVQNHEQRLLEINTLEWDDLLAPGDPNKMVATQQAYAINEKTSSGGVSSSLERISTFNNSNEITFQTVDGQMTSSFEKNESGVMTVSTGDSLDSLNQDRLQTQDSFGRWMNYLIKDSPESIDDPTPESSVSTGQSYAREQIFNITEILPAWAPSTEETKICVIGQFHGEQSHLESSSLRCVCGDACFPAEVLQPGVYRCIVSPQTPGLVNIYLSFDGNKPISQVMSFEFRAPSVHVWTEPPENKSDWDEFRNQMRLAHLLFSTSKSLNILSSKIHQDLLKDAKKFAGKCSHIIDDWACLIKSIEDKKVSVPHAKDCLFELSLKTRLQEWLLERVVEGCKISEHDEQGQGVIHLCAILGYTWAVYPFSWSGLSLDYRDKYGWTALHWAAYYGREKMVATLLSAGAKPNLVTDPTSENLGGCTASDLASKNGHEGLGAYLAEKALVAQFKDMTLAGNISGSLQTTTESINPGNFTEEELNLKDSLTAYRTAADAAARIQAAFRERALKVRTKAVESSNPEMEARNIIAAMKIQHAFRNYEMQKQLAAAARIQYRFRTWKMRKEFLHMRRQAIKIQAVFRGFQVRRQYRKIIWSVGVLEKALFRWRLKRKGLRGLKLQSTQVTKPDDVEEDFFQASRKQAEERIERSVVRVQAMFRSKQAQEQYRRMKLEHDKATLEYEGTLNPDTEMD >Solyc01g066140.2.1 pep chromosome:SL3.0:1:72977722:72982504:-1 gene:Solyc01g066140.2 transcript:Solyc01g066140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEISLKNYMDWLNLNEKGSVIYIAFGSYFKILSQLMEELGHGMLKYGRPFLSVIKEGQDGDKKEDKLSCIDKLEKKGKISIASRYLLWHAHSGTIKFVMDNSFKIFGRMVLDEGGVVKKDEFNRCITIVMGDEEEGTKIRRNFKKWSDLAKEAMKENGTSRVNLNIEKSHVLIALFWIQPATVFYVHYYRFTDYSDYFKNSDAKDKIIELPGLPPLSPINFPSFVFDNVESSNWAVKSIKRQIEIEENSRVLVNTFDALESDALRILKHVTMLGIGPSIPSIFIDDNTFRADMIEISSKNYMDWLNSKDKGSLIYIAFGSYSKISTQLMEEIGHELLKCGRPFLWVIREEQDRDKMEDKLSCKDELEKQGKIVSWCSQVEVLKHPSVGCFLTHCGWNSTLESIASKVPIVACPLWNDQVCNAKLIHDIWKNGVRVNVSGVVKRDEFYRCITIVMGNDEEGKELRRNVKKWSDLAKEAMTENGTTSVKSRLLRMRFYLVTMSTESLMNR >Solyc01g093990.3.1 pep chromosome:SL3.0:1:85443125:85445217:-1 gene:Solyc01g093990.3 transcript:Solyc01g093990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAKSELPEVIVVGRPTIFKFYDEELSKKYRMLKSWESSLALENYICKHGQSVKAMICSPSHLGIQISSSLFRLLPSLRLIVTTSTGLDHIDLVECRRRGISIASAATLFSEDVADFAVGLLIDVVRRISAAHRFVNNGLWGQFPLGSKVGSRKIGIVGLGSIGLKVAQRLEAFGCTISYQSRNKKPVPYPFYHDVYELATNCDVLVICCALTDQTHHLINKEVLRTLGKKGVIINIARGAIINEMELVQCLEEGEIAGAGLDVFENEPNVPKELLSLDNVVLTRHIAFLTEDSMRAMYELVCGNLEAFFLNKPVLSPVLDD >Solyc07g064730.2.1 pep chromosome:SL3.0:7:66886804:66891869:1 gene:Solyc07g064730.2 transcript:Solyc07g064730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKTKLLNSCVLIIAILLILQFNLSRAQKVPAMYVFGDSLVDVGNNNYLETFFRANFLFNGIDFPGGKPTGRFSNGKNAADFIAENIGLPTPSPYLSDSNKNNVFLQGVSFASGGAGILNSTNDHPYNGTIYLSKQVGYFYEVQQRLIKNIGENAAKKHLKNSLFAIVIGSNDIFNYFNKKSKNNLTKSPQEYIDLMISTFSDQLMQLQGLGGRKYLIVGIGPLGCTPSQRLRNSLENCYDEANNLATIYNKALQSMLQKLKSNFKENFKYSYLNIYDFLIDSIQNPTTYDFLEVKSACCGIGRLYAEGPCIPISTYCPNRSNHIFWDEVHPTEATAKILVNTLFNNAKKYVTSMNLVNLLAL >Solyc04g079990.3.1 pep chromosome:SL3.0:4:64379364:64383547:-1 gene:Solyc04g079990.3 transcript:Solyc04g079990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIRTRPNFQFVSLHTPLIHHFSPSISSFEISLFSQMSSSPELRSPAKTGGDTRKILGPGGNRVRDSEEQKKKKEGMKKTEFRSKKAAASVTPKKILPVRSNVSVDSSSSSDLSIAKDVNFSKRGVERSTGVKKPAKVVPHGVEVEAAEAFSPVVPIPLKRCDWITPYSEPLYISFHDEEWGNPVYDDTKLYELLALSQVLSEMTWPAILNKRHIFRKLFDNFDPSCLANVTEKRLRSLRETGNSLLSEPKIRAIVENAKHFQKIQQEFGSFSNYFWRFVNHKPIRSGFRYARQVPVKTPKSELMSKDLMKRGFVCVGPTVVYSFMQVAGIFNDHLITCFRYNECNNDNINKHSQTKLVKTDIQGRDPVENNTHMSNDHLSLT >Solyc11g073150.1.1 pep chromosome:SL3.0:11:56518569:56519641:1 gene:Solyc11g073150.1 transcript:Solyc11g073150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPPPSVPPSSPPPPVYPPPTPKPPTPKMVKCKNKYYPSCYANQHACPASCPESCQVDCVSCKPVCKCDKPGAVCQDPRFIGGDGITFYFHGKKDKDFCLVSDPEFHINAHFIGRRNENMKRDFTWVQSIGILYGTHKISVAALKTSTWDDSIDRLALQFNDEPIFLPESDGASWKSETVRKTSITRISNTNEVVIDVENVVTITAKIVPITEHESRVHNYGITSDDCFAHLELGFKFVSLSDEVNGVLGQTYKKDYVSKVKMGVLMPIMGGDRKFAASGLFNADCSVARFQANEEQSDIFKASMNLELPSLNCNSGIYGRGVVCKR >Solyc02g071250.3.1 pep chromosome:SL3.0:2:41291212:41300819:-1 gene:Solyc02g071250.3 transcript:Solyc02g071250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLRMKTKLATSSSKEKNGLHVCPNSSVISKSSLSRTRSVQNADEVADLIHNTHDVPSYYKVHPHQVGRNGDHANDLVDDLLEKQQPPVTDSANLDTTVLESVQESTVVICKPSSETIFSPSVEPVSGAHSESDIPSDGANNDFYVPQLETEDSDSSRSSCEHQTCNVSDFYISDMIVSCLAVEGETIYDNSLTDRFLADYKCEEPNIFNNVDEEYLLLPFLEDTAAASYSQVCRTSSEETAVQSDDSSLYMAIHQLRSSEQSDAFTYLESDQAECFDPHIFIRNLPDILERPSILPNESETCKPITLVLDLDETLVHSTLEHCDDADFTFPVFFSMKEHIVYVKQRPHLQTFLERVAEMFDIVIFTASQSIYAKQLLDILDPDGKIISRRAYRESCIFSDGSYTKDLTVLGVDLAKVVIVDNSPQVFRLQVNNGIPIKSWFDDPSDSALISLLPFLETLADADDVRPIIAKKFGNKE >Solyc06g054120.2.1 pep chromosome:SL3.0:6:37031873:37040952:1 gene:Solyc06g054120.2 transcript:Solyc06g054120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTVAMPEDPVQQENDAEPLLHSTDRNEDLLTTTSNEDSSSSDKTCCHKDKNSISSARCFGVELTPDNIAVAMVYFVQGVLGLSDLAVSFYLKDDLHLDPAEAAVISGFSSLPWLIKPLYGFISDSFPLFGYRRRSYLVLSGLLGALSWFLMATFVDSKYGAAFCILIGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSACGGIFSSYFSGSLVEVYGVRSVFGVTALLPLITSAVSLLVKEEPVLGPARNLSLRNGFFESSKSSIIQLWGAVKQPNVFLPTLFIFLFQTTPQSGSATFFFITNKLGFTPEFLGRLKLVTSVASLIGVALYNGFLKKVPLRKIFLVTTIIGSALGMTQVLLVTGLNRKFGISDEWFSIGDSLILSVLGQAFFMPVLVLAARICPMGMEATFFATLMSIANGGGTLGGLLGAGLTHILGVTKDKFDNLAILITICNLSSLLPLPLLGLLPRDEPDSEENTDIEMTPY >Solyc02g014380.3.1 pep chromosome:SL3.0:2:16469938:16476103:1 gene:Solyc02g014380.3 transcript:Solyc02g014380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVVGEESQVKLAEDRLSKSGVPCQVGLVIGNISGKLDRGFIFDLIPTPVNDNGEPACSIIGEAKDDRKKAPKGKSLPNSSTLFIDKDWLAEHARQVGRMLVGGVKVVGIYVWTNESSFKNSTITLCQAAKSVAEAAPLLEVHWDERLLVHIGYSPLRWTCRNCSLASNITSGNIRPCDFKMGKILSTRQAFRCTYDFDWRLPIYQGSSNKKLVDILHHGISIHAQELKGAKALIDGKLANEDEQFDLGGVRVVEFLLPFMEDTYLEVCSQKEITGLLVFSGSVCSYAYSNSKEPSSQALADIKEDIIKSLRSRLDIMCDEADRKSDSKEDRTEESNNQILSGSAVLQLDLQLQRKHCSMSFPRRVFLPWLADTFLCDYIQPSESVEVLVDHFADLMSLEFPSISSIILEPEAETEAPALVSTTKSFWEVSTPYALLPKSDDPLSNQNRAATILTSDQKSTNTAGFNLMIAVLVLLVSVVVGFVVFSVRSSS >Solyc02g065530.3.1 pep chromosome:SL3.0:2:37259368:37261476:-1 gene:Solyc02g065530.3 transcript:Solyc02g065530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFESFHSRPLLLISLLWTISFSTHDIGAINTKNFDFKEAPKFYNSPTCPSLHNSSTDTTITEICFQNAVNVAMTLDAAYLRGSMAAILSVLQHSSCPENVIFHFIASSSANIHYLNLKLATSFPYLHFTIYPFRDSPVAGLISTSIRAALDCPLNYARNYLADLLPRCIQKVVYLDSDLVLVDDITKLAATPLGEEAVLAAPEYCNANFTTYFTPTFWSNPSLSLTFANRKPCYFNTGVMVIDLERWRNGDYTTKIVEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHKWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDASRPCPLDALWAPYDLLQTPYVLES >Solyc05g014030.3.1 pep chromosome:SL3.0:5:7532235:7536540:-1 gene:Solyc05g014030.3 transcript:Solyc05g014030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASTSGTSSVQYHNIAEQPIAATIVNSTFQRQVRHCFGNATPGEFPLSANPSIVLHVLTGCNLDPQDLATLEATCSFFRQPANFAPDNELSLAELAALDMCRKRAIFKPMTQEQCHDLKQKCGGSWKLVLRFLLAGEACSRREKSHAVAGPGHSIAVSSKGVVYSFGSNSSGQLGNGTTEEEWRPHPIRSLQGVRVIQAAVGAGRTMLISDTGKVYAFGKESFGEAEYGVQGSKVVTTPQLVESLKDIFVVQAAIGNFFTAVLSREGRVYTFSWGNEIKLGHQTEQADLEPRPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGTRTDEKVPRLIEQFQTLNIQPAVVAAGAWHAAVVGKDGRVCTWGWGRYGCLGHGNEDCESAPKVVEALSNVKAVYVATGDYTTFVVSDDGNVYSFGCGESSSLGHNTAAAEAQGNRHSNVLFPEVVTTLKQLNERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGVELSVNQTERAIPERVDIDLS >Solyc02g087840.3.1 pep chromosome:SL3.0:2:50733480:50737235:-1 gene:Solyc02g087840.3 transcript:Solyc02g087840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGSRGIMDFLPSTPDISLNFQDNNHHLPSTSPKLFPSPSIPHQDHFNHGVPSMLMRRSMSFSGVERCDNHQDLRVDDNELSDEDGSSQLLGEKKRRLNMEQVKALERSFEVANKLEPERKIQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYEMLKRHFDSLKTDNDALKAQNKELHSELQLLSVKKRESSGGGGIMFNLNKENEGCWSNNGSDDNNNNNSIDVNLGTRTSSGDSPFYSKNVFPPEPGPVPLAQFLQTSSTPPDHLTHCHKNIDPTVQNEGFCNMFTPVDDHTNFWPWPEQQHFN >Solyc09g065830.3.1 pep chromosome:SL3.0:9:64333467:64341981:-1 gene:Solyc09g065830.3 transcript:Solyc09g065830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRLAAQRLNEIRTSIRRTTQASRSFSTALNYHIDTPDNKTDLPWEFNNANKEKVKEILSFYPSNYKQSAVIPLLDLAQQQNGGWLPVSAMNAVAKVVEVAPIRVYEVATFYTMFNRTKVGKYHLLVCGTTPCMIRGSRGIEEAILKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMMTVADYSNGSEGYAYNYYEDLTPKKAVEIVEAFRKGEKPPRGTQNPGRINCGPEGGNTTLLGEPKAPPCRDLDAAE >Solyc07g056605.1.1 pep chromosome:SL3.0:7:64550232:64553026:1 gene:Solyc07g056605.1 transcript:Solyc07g056605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSYLSKDDSLQWVVDTGATHHMINAAKYLHCERLIENADKSTSSADHVSNTDLFNYDEMITIETQPTISHSSSDSVAPQVSLHQDVPAIRKSARSVKPPIWHKDYITTRNDPAMIQQTKERLQHAFKIKDLGELRYSLGLEFARNDAGILIHQRKYALELISDMGLAGAKPVSTPMELNQKLTTVEFDTSISSTCHDETLKDPTGYQRLIGRLLYLTTTRPDISFAVQCLSQFMHSPKTSHMEAAMRLVRYVKSEPGLGILMASTGGNDLHVFCDADWGACINSRRSITGYLVQYGGPPIS >Solyc01g105480.3.1.1 pep chromosome:SL3.0:8:25790613:25791283:1 gene:Solyc01g105480.3 transcript:Solyc01g105480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLTDVILHALMFSAVSIGPKLRPKEVAYILNCDFAHEAPGES >Solyc07g066060.3.1 pep chromosome:SL3.0:7:67719229:67726455:-1 gene:Solyc07g066060.3 transcript:Solyc07g066060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIPFKNLHSREYQGHKKKVHSVAWNCSGTKLASGSVDQTARIWQIEPHGHGKVKDVELKGHTDSVDQLCWDPKHAELIATASGDKTVRLWDARSGKCSQQVELSGENINITYKPDGTHIAVGNRDDELTILDVRKFKPIHKRKFNYEVNEIAWNTGGDMFFLTTGNGTVEVLAYPSLQAVNTLNAHTAGCYCIAIDPLGRYFAVGSADSLVSLWSIKEMLCLRTFTKLEWPVRTISFNHTGDYIASASEDLFIDIANVQSGRSVHQIPCRAAMNSVEWNPKHNLLAFAGDDKNKYQADEGVFRIFGFESA >Solyc05g053900.3.1 pep chromosome:SL3.0:5:64768122:64775117:-1 gene:Solyc05g053900.3 transcript:Solyc05g053900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFDFGWVSLLLVILVWSVYVGGEGVIKVHSKFAGLERNLRALKAHDEMRHLHILAGIDLPIGGTGRPNSIGLYFTEIGIGTPPNNYFVQVDTGSDIMWVNCIACERCPKRGYRNIDLTLYNPRDSLTGKLIRCGQSFCKDFYRGSVLGCSGNSSCTYTQNYGDGSSTRGYFVEDVIQYDKVSGDLQTKSANGSVIFGCGVIQSADLISSDEAFDGILGFGKSNSSILSQLASSGKVKKMFAHCLDGLNDGGIFAVGNVVQPKVNMTSLVPNQQHYSVNMMAVEVGYQFLNLSADVFLNGENHKVIIDSGTTLAYLSEVIYSPLVKKILSWQPDLKLHHDEYTCFEYSGRYGVWSYFNRITMWNNDIRAGKAVLFILSCVICKLLKIMVLAIPISLHLHS >Solyc04g009800.3.1 pep chromosome:SL3.0:4:3108601:3114678:-1 gene:Solyc04g009800.3 transcript:Solyc04g009800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium dependent protein kinase [Source:UniProtKB/TrEMBL;Acc:E1CFU1] MGNTCVGPSISRNRFLQSVSAAMWPARVPDDSGSTTNGGSSRGGTVESGKDPDLVVQNRAPEQMTMPKSEQKEVEPVKKEEEPVKPKKAVEMKRVGSAGLKTDSVLQKKTGNLKEFFSIGKKLGQGQFGTTFKCVEKGTGKEYACKSIAKRKLLTDDDVEDVRREIQIMHHLAGHPNVISIKGAYEDAVAVHVVMERCAGGELFDRIIQRGHYTERKAAELTRTIFGVVEACHSLSVMHRDLKPENFLFVDQKEDSLLKTIDFGLSVFFKPGERFTDVVGSPYYVAPEVLKKRYGPEADVWSAGVIVYILLSGVPPFWAENEQGIFEQVLHGDLDFSSDPWPSISEDAKDLVRGMLVRDPKKRLTAHEVLCHRWVQVDGVAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKMIDTDNSGQITFEELKVGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHFNKIEREDHLFAAFSYFDKDGSGYITADELQQACEEFGIGDVHLEDMIRDADQDNDGRIDYNEFVAMMQKGHPASGVGKKGLEHSFSTGFRDVLKL >Solyc04g077745.1.1 pep chromosome:SL3.0:4:62745908:62747777:-1 gene:Solyc04g077745.1 transcript:Solyc04g077745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVFLYARSFSLRWENPLIGWTSTGDPLSSIGEAGLSFDIVDATKTYAEKHGWEYATCGIGIGFELALHAYKILPKRRRHNNSCLESLKKRSQICQLLGIAKKKDCCTPPGVVFMANWRSLSPSFRPADRGERAFSLSLKGPKAGRRGLRWTDLFAELDSPSHTKSLQHELRRNSHYAVHSM >Solyc02g032870.3.1 pep chromosome:SL3.0:2:29920270:29923171:1 gene:Solyc02g032870.3 transcript:Solyc02g032870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSCSSCTFLNPPSQKSSCQICLSDSPSSISSDPSISVQKPKWPCKACTFMNPYHSISCEICGNRVSASGLATLETEDDDDDLSSSVGNVFLPLRPCNKGKVITRAPIMVQDDVKDSVRARCANAANKRKNREEPSGVEDDEVDAAMGYRGIKAAATKAIEQSNSVEQEPGKTFSTSKSKVLKILTYNVWFADIEMNKRMKAIGNLISLHKPDVICFQEVTPEIYDIFQHSGWWKMYSCSISNVMELTIGYFCMQLSKLAVKSYSCKPFSNSIMGRELCIAEIEVEKDTTLVVATSHLESPCPGPPKWDQMYSKERVQQAKEAVELLDRKRNVVFCGDMNWDDKLDGQFPPTDGWIDAWGKMKPEEIGWTYDTKSNKMLSANRTLQKRLDRIACKLQDFCITDVKMIGKDAIPNLTYIKEKKVKSEVKKLTLPVLPSDHYGLLLEISPQ >Solyc11g011506.1.1 pep chromosome:SL3.0:11:4567916:4569188:1 gene:Solyc11g011506.1 transcript:Solyc11g011506.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKSRDAIFRSPYSYRSPFLTSCLASMKSNRPTNVFCEVLMEGVLANQQEIFIPVLGDKCYVMPPKRRKHCR >Solyc05g026475.1.1 pep chromosome:SL3.0:5:41489043:41499064:-1 gene:Solyc05g026475.1 transcript:Solyc05g026475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSEDLELAGRLIVGAALENKLMCTKIRIIDSEIAEGTTLFSGKSNSSVRTNYQGNNSSYKGRSRRSDPYCDHCHLTDNDTSKGNHNPSDIGNQFGGQSANFAGSSHMSKGSTDAFGAIPQFTEQQYKQILTMLDSEKSEADHVALTTCMIPHTTITSDCVKWIVDSGASSHIVSSVELLSHTTTVNKNGLGKVHLPTGNVVNVIHTGSSCLFPGHKKAFTANVAAAATNACSSSLGVSSTDCDSCSMSMNLWHKRLGHTSSEDDLQSSYIENNAQSSFNVPAPSSSAYVPSMDVPSMGDASASESSALQSPAVPVTRKSSRTTKPPIWMHDYVSTSKGSANCCYLVSDVVSSDHLSPVELGLSDTKPVYTPLETNLKLTSVDYDDFITKEAGSTNEDILLVDPTQYQRLVGKLLYLTMTRVDIAYVVKVLSQFMHSPKQSHMNAALRVVKYIKNAPGLGLLVPSDSSGKLVAYCDSDWGGCLQN >Solyc01g110010.3.1.1 pep chromosome:SL3.0:1:96747850:96750498:-1 gene:Solyc01g110010.3 transcript:Solyc01g110010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSISCQQLEHKLSPFGRKLISSVAFAGSTSSFPEQPPIQFLTKSRNIIHSKPEISHAHLIKTQNLEGNTHAANSVLHNYGEYSRMDNAAKVLEEMPKQNSVSWNLMISNSNKALLYQDSWRLFCRMHMLGFDMNMYTYGSILSACGALTSTLWGEQVYGLVMKNGFFSDGYVRCGMIELFSRSCRFSDALRVFYDYLCDNVVCWNAIISGAVKNREYWVALDIFRLMWGEFLKPNEFTIPSVLNACVSLLELQFGKMVHGAAIKCGLESDVFVGTSIVDLYAKCGFMDEAFRELMQMPVSNVVSWTAMLNGFVQNDDPISAVQIFGEMRNKGIEINNYTVTCVLAACANPTMAKEAIQIHSWIYKTGYYQDSVVQTSFINMYSKIGDVALSELVFAEAENLEHLSLWSNMISVLAQNSDSDKSIHLFRRIFQEDLKPDKFCCSSILGVVDCLDLGRQIHSYILKLGLISNLNVSSSLFTMYSKCGSIEESYIIFELIEDKDNVSWASMIAGFVEHGFSDRAVELFREMPVEEIVPDEMTLTAVLNACSSLQTLKSGKEIHGFILRRGVGELHIVNGAIVNMYTKCGDLVSARSFFDMIPLKDKFSCSSMITGYAQRGHVEDTLQLFKQMLITDLDSSSFTISSVLGVIALSNRSRIGIQVHAHCIKMGSQSEASTGSSVVTMYSKCGSIDDCCKAFKEILTPDLVSWTAMIVSYAQNGKGGDALQVYESMRNSGIQPDSVTFVGVLSACSHAGLVEEGYFFLNSMMKDYGIEPGYRHYACMVDLLSRSGRLTEAERFICDMPIKPDALIWGTLLAACKLHDEVELGKLVAKKIIELEPSEVGAYVSLSNIWASLGQWDEVLKIRGSLRGTGISKEPGWSSL >Solyc10g054663.1.1 pep chromosome:SL3.0:10:55659958:55662881:-1 gene:Solyc10g054663.1 transcript:Solyc10g054663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVKLSITLLLLLLTLLTKGKISKE >Solyc07g039290.1.1.1 pep chromosome:SL3.0:7:47004669:47004842:1 gene:Solyc07g039290.1 transcript:Solyc07g039290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRADIEGSKSNVAMNAWLPQDSYPRASIEIQRSKGSLGLAFTVLIRNGNPNQIIF >Solyc02g087780.3.1 pep chromosome:SL3.0:2:50689169:50717686:1 gene:Solyc02g087780.3 transcript:Solyc02g087780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIEKNVSEPRGREYSDSKKNRRRQRMISPVQRLYETCKETFANCGPGVVPSAEKIERLKEVLDTMAGADVGLRPNMPYFKSTRYDRPPTITYLHLHECDKFSIGIFCLPPSAVIPLHDHPGMTVFSKLLFGEMHIKSYDWVDNLPADPTPVAKPLDNGLGESTTGIRLAKVKINSAFRAPCKTSILYPADGGNMHCFKAKTACAVLDVLGPPYCDPEGRHCQYYCDFPFSSISVTEEQKGGYAWLKEREKPDDLTLVGALYKGPKMAVRMSTPARKRLMRDFKRLQQDPPAGISGAPYDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRKACLTAFQSLRLPLLFTSTTTATQIPEREKRWRGPFFLELIYANFRILKQELSFLFFSSLLSAMGKKSPAKWIKAVLFGKKSSKSHLSKDASGEKISSAKAPVGDLSIDSPSLDLPVQNFDNGGDQAGLEKGTSTDFACETASLSSATHDIEPPVNGTTSSDDAELKRQEHAATIAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLRCMQAIVRIQALARGRRSRLLDPGHHLLRKYSFEELKYPEQRQAKLTVYAFPRKLLVAVPTAMPLSLQYDEGEPNSAWQWLERWSLSRFWEPLPQPKKVVGAKSLKKQGNKPSVETEAVRPKRSVKKVLTASNGDAHGVSSSEPEKAKRNPRKFSNHHIEPVQDQPQNELEKVKRNLRKVSAALATSSERSETEIEKAQQTPNLAQAQATVSKSSAPDVVEQMMVNSCEKTSDSAPEIEKLVESEAPLPVAVDEPTDVLHDHPTTEQQQPEDVNNTANSPVVNEEISSMDDQTTKERIRRRKSLPTKQDNSENISQNTPSVPSYMAATQSAKAKLKAQGSPKVSDDGAENGFVRRHSLPSSANGKFNSLSPRIQKPGQANGKSGNKTRPISSSKDGHKIGIYEIKKGDFSVKVTNYGARIISVLLPDKNGKIGDIVLGYDTIGEYVKFRLENVEIDDSLTFEHQIMNDTSSFGAIVGRVVNRIAGARFTLNGTLYKLIANDGKNTIHGGPKGFSHVVWKVSKYKKDGPHPHITLTYHSTDGEQGFPGDLLASVTYALEDPYKLSVVMKAKALNKATPVNLAQHTYWNLGGHNSGDVLSNDIQIFASHITPLDQHFIPTGKIAPVKNTPYDFLKPRKIGSQFKKLQNGYDINYPLDSTEKLKPTATVHDNKSGRVMSLQTTAPAVQLYTANTLVKKGKGGFVYQPYSAVCLETQGFPDAVNHPNFPSTILINKCKDIGGVHKLKAERACHRHSKVSKLLELTMPSKINLLICLFILHLWAAVSVTEQKIGVYEIKKGDFSVKITNYGARIISVFLPDKHGVIGDVVLGYDTTEEYKNDTTSFGALLGRVANRIGGAQFTLNGGINGFSRVVWNVSKYQQDGPYPHITLTYHSVDGEEGFPGDLLVSVTYALKDPYKLSVVMKAEALNKATPVNLAQHSYWNLGGHNSGDILSNVVQIFASHITPVDQSLIPTGKISPIKNTPYDFLKPREVGSQIKELQSGYDINYVLDSTEKMKPVAIVYDEKSGRVMDVQASAPGVQFYTANSLNQKGKGGYVYQPHAALCFETQGFPDAMNHPNFPSTIVTPGKAYIHKMLYTFSIMKYLNSY >Solyc09g007100.3.1 pep chromosome:SL3.0:9:741569:748276:1 gene:Solyc09g007100.3 transcript:Solyc09g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASNSKLEEDKALQLCRERKKFVRQALDGRCNLAATHIAYIESLKITGTVLRRFAEPEAPIGSSIYTSTSATPELRGLTEKSLSHFSFSSRSISRHVDATENILPSPSSPTSSRYHANHMKFRGTFSRKVEEKPSVPVTVSVTSATPQNSTPRSAERPEASPFEIPHETSPFEIPPSPSETSPWDYFGLGHDIDNHLTSQNGRIENGNDNRHHGDEDIISTSEDEEEHYSSPGRDGSQVSDDEFDEPSAETLVRSFQNVNRTTDHASNSGSPEITSVRSEVSEAKSLNVEKSKSPDLSPLRAAPSGPADDNDMKTPVKENDVENKVAPKDFYFSIKDIEYLFIKASESGREVPRMLEANKFHFRPIFPGKESGSMTRVLMKSCFSCGDDPSQIPEEPPQNSVKYLTWHRTTSSHASSPNRHGVNSTDGIEDVSNNLFDNFCMVSGSHASTLDRLFAWEKKLYDEVKASEMIRSDYDAKRKLLRQLESKVETPQRIDKTRAVVKDLHSRIGVAIHRINSISRKIEEIRDKELQPQLEELIEGLRKMWEVMFDCHKLQLHIISIAHSPGNMKILIQSDSRRQIAIHLEHELSSLSSSFTKWIVSQKAYVEAINKWLHKSVFLREKSSRRKRKQQPVPLRNHGPPIYTTCSVWLEMFDSLPTKEVSDAIKGLAAEISHLLPRQEKHQGKGGNHRHGIDGEPGLKTPLRDDSPEDWIAGFDHFRTSLTFFLSQLNNFSESSVKMFTQLQKAIQEAKHGHALRMNSQS >Solyc04g039705.1.1 pep chromosome:SL3.0:4:12815734:12816338:1 gene:Solyc04g039705.1 transcript:Solyc04g039705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRDVEEGNNWPSQSLFSSPVLLVSKFDGSRRMCIDYRELDARTIKDKFPIPVIEELLDELFWDKYFSKLDLNKGYYQVSMKEDDVEKTGFRTHHRHFEFLLHLRKFIFVFFDDILVYSRIWVEHMYHLRLVVNNKRVHLPKLKIAIFGHLINANGVSADSSKISAMTE >Solyc01g057770.3.1 pep chromosome:SL3.0:1:63672035:63680464:1 gene:Solyc01g057770.3 transcript:Solyc01g057770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNFVPFRGIKNDLKGRLMCFKQDWTSGLTAGFRILAPTTYIFFASAIPVISFGEQLERSTDGLITAVQTLASTALCGIMHSIIGGQPLLILGVAEPTVLMYTFMYNFAKDRPELGPKLFLAWTAWVCIWTAILLFLLAVLGACSIINRFTRIAGELFGLLIAMLFMQQAIKGLVYEFRVPERENPNLPEFQPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGWLRGFTADYGVPLMVLIWTAVSYIPGKNVPTGIPRRLFSPNPWSPGAYENWTVIKDMLDVPVLHIIGAFIPATMVAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFMVLICGLLGIPPANGVIPQSPMHTKSLATLKHQLLRNRLVAKARKCMTENGNLAEVYESMQEAYQQMQSPLIHQEPSSRGLKELKESTIELASRIGNRDEPVDETIFDVEKDIDDLLPVEVKEQRLSNLLQSAMVGGCVAAMPLLKMIPTSVLWGYFGFMAIESLPGNQFWERILLLFTAPSRRYKVIEECHAVFIETVPYKTIAFFTIFQSIYLLICFGITWVPIAGVLFPLMIMLLVPVRQYLLPRFFKGAHLQELDAAEYEEAPPLSSCSMARENEGSFGGDDGEIMDGMMTRSRGEIRRMCSSKVTSCNATPTRDSVSIQSPRLSNKVYSPRVSEIKGESPSLGRGGSFGSRTGEARRSNLSKTHSFN >Solyc08g066130.1.1.1 pep chromosome:SL3.0:8:54619544:54619765:-1 gene:Solyc08g066130.1 transcript:Solyc08g066130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTFFPPAPIIRFIFSGLTFITWMSGAYFDSSLEGGGEHFDISARMCFRPSFACKRACFMIGSVIPPTFMSI >Solyc11g044560.2.1 pep chromosome:SL3.0:11:32687596:32691850:1 gene:Solyc11g044560.2 transcript:Solyc11g044560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSYLNFKNFADTSQPESSGNNSNSFLAQQSSIYSFTFDELQNTCGLGKDFGSMNMDDLLKNIEESQALSSSAALGGNLQRQGSLTLPRTLSQKTVDEVWRDFQKESVVANDASGTGGSNFGQRESTLGEMTLEEFLVRAGAVQEDMQPAGYSNDVTFASGFTQPSCSVTIAFQQATQNPGHQIAANNIFNVVSTTTSSPQQPLFPKQTTVEFASPMQLGSPGKRLPMSNPSANTSSVMQGGVMTMPVKGVSPGNLDTSSLSPSPYACGEGGRGRRSCTSFEKVVERRRKRMIKNRESAARSRDRKQAYTLELEAEVAKLKEIKQELQKKQAEFIEKQKNQLLEKMNVPWENKLICLRRTVTGPW >Solyc06g031690.3.1 pep chromosome:SL3.0:6:20701423:20729094:1 gene:Solyc06g031690.3 transcript:Solyc06g031690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNPIVNINPKPKKENKIPTSRASTSYNKQGTTFNVGPATSCNMETMTADYEVSGEGLREAIKNGDVGAAKKLLSQGVDANYFDKQGSSLLHLAAVFNRTEIAFALMENGSSLYCKNSQGETPLDCAPATLQFKMKKKMEESGQ >Solyc12g096960.2.1 pep chromosome:SL3.0:12:66645220:66646679:1 gene:Solyc12g096960.2 transcript:Solyc12g096960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTYTQDIVAQVDANRIFKALVLDADNIIPKLMSQAVKNIETIEDHDGNIKKMNFVEGYPIKYLKHKIHFIDDKNMVIKYSLIEGEVLGENLEHISYYIRFEECENGGCICKTISEYHTNGNCIVKEEEIKEDRDKAIELFKLVEAYLLANPFAYV >Solyc10g081280.2.1 pep chromosome:SL3.0:10:62522226:62525854:1 gene:Solyc10g081280.2 transcript:Solyc10g081280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASFSLPFTYLQKPSSCRCRCSSSSSSSFENPIFVHSSNGIANKALQEIRNSGVIACLRAQNADLANRAARAALDGGISALEIVVSTPDVFEVIRDLVHDYPAKTFGVGTVLQAKDAKDSIKAGAKFLMSPATVMDILVGVSESDILYIPGVMTPTEILSAFSAGAKIVKVYPVSALGGVGYISALKKPFSHIPMVASQGITIDLIGQYIGQGASAVVLSDAIFDKEAMSQQNFDKIYQLASHSALQGKQAVERLEC >Solyc11g042525.1.1 pep chromosome:SL3.0:11:36459394:36460239:-1 gene:Solyc11g042525.1 transcript:Solyc11g042525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPTVLSDRDKIFLSKFWPTQWKQWLPAAEWWYNTNFHTGLRYTPFEALYGCSPPQLSIGTLLETVVQAAEDASELPYTNDDGQFLVKPVAILQRQMVKRNYVAAVRVLVQWSNLPPEDATWEDYDF >Solyc09g042520.1.1 pep chromosome:SL3.0:9:24130607:24131612:-1 gene:Solyc09g042520.1 transcript:Solyc09g042520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEPLDTFLRPLDLSQQVHRKITNTMNQESPSKTVNDRYESKPHKWSHTSKKTNQKQNPQGQHTNIEEEECPLGKSTSFNPVQNPININDNVNHVHDNATGQCDVVIIE >Solyc04g016173.1.1 pep chromosome:SL3.0:4:6923956:6928181:1 gene:Solyc04g016173.1 transcript:Solyc04g016173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKLKVREPGGLAEPHTALYAQSWQSTGHRGGRGRGFHRGRGGTPGRGSSAGGRGGAVDSSQQRGGYSGGRGGSAGRGSRPPRSSIICQICGKYNHAAWDCWHSDHLLLHQTKYAGELIHRAGVDSCTTAPTPISPSQSTNGADVPFHNPRLFRSLVGGLQYLTVTRPDIQFAVNYVAQKMHSPTEQDFHTLKCILRYVKGTISCGITFFRGDLRLRGYSDSDWPNDQSDSRSTTGYLIFFGPNLISWNTQKQGRVSKSSTEAEYRALSAATSEVMWLTYLLADLHVSTPAIDECFLLKTLSFLMIELEYIVYDEEQIDEGK >Solyc04g080800.3.1 pep chromosome:SL3.0:4:64965484:64971109:-1 gene:Solyc04g080800.3 transcript:Solyc04g080800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRLNFDNSYISNKWKSPKISSKLQKGSCFSGIEESRMVILRYNPIAMLSSSVFTPFPRQQFYRCPFPIFTQSLQSHILLSTTLPRHFTLSFYSNTAQTSTPDAPDELQHQLSNSKQSPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLHYYNIKTPLLSYHKFNESQRAQVVLRKLQDGEIVALISDAGTPGISDPGMELAKLCAEKNIPVVPIPGPSAVVTALSASGLPTNEFSFVGFLPKHSSSRKERLILSANESATQIFFIPPHKLSQFLEEAAAIFGESRQCVMAREMTKVHEEFWRGTIDKAKVAFLVHQPKGEITFLIEGKSTSTDEGPSESQLENELRELIAEGHSLSMAVKLVASGKLMKRKAIYSLALRKFRGQLESEDD >Solyc01g097570.2.1.1 pep chromosome:SL3.0:1:88240637:88241418:1 gene:Solyc01g097570.2 transcript:Solyc01g097570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYAIALIVCGSVAAALVLISCCFYKIGRKKKSSPVTRNVTSGSPVIPLPPKPLPANRDVEKGEIKPKDNTAMRDGGMVILGAAAAATVVTAAVIDSGGGGGGGCGGGCGGGGCGGGGGCGGCGG >Solyc07g018270.3.1 pep chromosome:SL3.0:7:9960702:9972200:1 gene:Solyc07g018270.3 transcript:Solyc07g018270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vernalization insensitive 3 [Source:UniProtKB/TrEMBL;Acc:H9E8V0] MDFSSFEGIALDPSKCSKLSMEEKRELVYELSKQSHGAPEMLQSWSRQEILQILCAEMGKERKYTGLTKLKIIENLLKIVSEKKSLEQENTSNLEMQPSSESGQRSSKRQRKAEHPSRFPIEANTSSTTNTNVSLANVVYCKNLACRAKLSGQDAFCKRCSCCICRNYDDNKDPSLWLICSSEPPFQGDSCGMSCHLECAMKHGKSCITTDKSDKGNNGTFYCVSCGKANDLLSSLKKQLIVARDTRRVDILCYRLSLSQKLSFGAENCPKLYEVLDEAVNKLEADVGPLTGLPVKMARGIVNRLSFGPAVQQLCGLAVEYIDALLSERVSEMPSNAKVKDCEVIESKLVRFEDVFPSSVTVVLSSEGSSMENVVGYSLWHRKAVETEYPVEPTRTLFSPNTRFVLSDLMPATDYVLKIVSLDSKKELGMFEVQFCSSKAENELSNLNMKSLEVERSQSPPTNCSNLSNPSSVEDETNNIVLCSNEAENRGDNCLSCCDNTDKAISTDLCCTTVAFASKSHIGNEEVMVSLGDEEDSIVKVTSLPNTDAINLENKQCSDVQTTEETSTDNGSNAPLQTALEFAPFVGSVDAGLPITPCKMENVKGSLGRKGKSEHCSKDLDNGSGKEDGPQVGCSSKKRVGEWHEECAGTGDKDFEYYVKVVRWLECGEHIDKTFRQKFLTWYSLRATPQDVRIVKAFVDTLIEDPASLAGQLVDTFSDVISSKRASVVPAGFCLKLWH >Solyc11g069780.2.1 pep chromosome:SL3.0:11:54699233:54704833:-1 gene:Solyc11g069780.2 transcript:Solyc11g069780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSCFDAWKKTQQSCYGEIALEIPLFIFINLRFVITLNHRRRRRTPSPPFLIYTDENNSEFIFQEMATEVTKLLYIVVVDDEEVEEKRDQGKDSFRYTRSVLQSALQLMGCKPRHAFKISRTVFDKMRSECMGDKLVSADRAQPGQDNSKGLHHRESSTFMNAFMDKKNNQSESSIPFELYKRRTTVIIKRGTFLDVVCDALTEYKYMGPNQRADLILACRVVAMFRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGITTVVSTDSIRHMMRSFVDEKQNPLLWASTYHAGEYLDPVAVSEAKAKKRAKKLAGISTPPIQKEGVKSPPVRISNAVDLISSREMAVEGFKAQSEMVIDSLDRLITAWEDRKESVVVEGVHLSLNFVMGLMKKHPSVIPFMVYIANEEKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCNRADKHLVPKINNTNVDKSVAAIHATVFGCLRRRDAGEQLYDPVTNTVVLIDEEYRNQCAANCVSSKGMFQLIQRKGSSRHLMALLNNDGSVAKAWPVYTLGNDGKPIMDHSIASGIGTPMYGPLQISKAEPINLQFGHFGISAWPSDVGGTSHASSVDESRGELTDNGSRYYSSCCSSPRLPEAHAKELKEEQSVHGSDDEEVDEPLERDSDEDLSDDSSKRVDEEEGSVDEESTKSDEEYDDLAMLDIQEDGYMTDVNEEIYNKSELSTKVVPVSGDQLTEGFETYRKSIDQSFRSKSAVILEPPLGSYASFLKEKNEKRVPTSGNIRVKKRSNSIPTLGKHGALINGSPLPEEMSR >Solyc01g009710.1.1.1 pep chromosome:SL3.0:1:3921296:3921637:1 gene:Solyc01g009710.1 transcript:Solyc01g009710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRKEKQNGPYIPSEVEILVLSLKKCSIPEQNGEDGGELEVPNQGKKIEVESDIHFENVSKDKKKALLVPKLGQIPQNPDWQIEGLQSFHPCARRGVYPHEPRTMPQMASPK >Solyc03g078420.3.1 pep chromosome:SL3.0:3:52318808:52320952:1 gene:Solyc03g078420.3 transcript:Solyc03g078420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASKPKVLEGTAPHVLGEDIINNNKQEGIVGDDVPNKPHSLSNLFKEGKEGSEEVKEETSTEAQLPKTEETPENKTEDKDEVMKSEAEVSKVEDIAVEEEKPPKKPVVEEKKSGKFWWDK >Solyc01g104190.2.1.1 pep chromosome:SL3.0:1:92538722:92539526:1 gene:Solyc01g104190.2 transcript:Solyc01g104190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFAQFAILSEQALCDKNFDPYTIEDDLMKLFEVEAYKAWAAMELDQQKEVEEAENFMKEAEDHLNTAMEEAMDEFRRFEEEMNEMAKSEYDSLVSVAERARNMGKAMEKVATIAAKKYIETAVNSAGASTKSAFKAISSHSKKVHPS >Solyc11g069040.3.1 pep chromosome:SL3.0:11:53898718:53914681:-1 gene:Solyc11g069040.3 transcript:Solyc11g069040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GLX1 description:Lactoylglutathione lyase [Source:UniProtKB/Swiss-Prot;Acc:Q42891] MASESKDSPSNNPGLHATPDEATKGYFLQQTMFRIKDPKVSLEFYSKVLGMSLLKRLDFPEMKFSLYFMGYEDTASAPSDPVERTAWTFSQKSTLELTHNWGTESDPNFTGYHNGNSEPRGFGHIGVTVDDVYKACERFESLGVEFVKKPLDGKMKGIAFIKDPDGYWIEIFDTKIIKDAAGSAS >Solyc03g111370.3.1 pep chromosome:SL3.0:3:63444325:63448648:-1 gene:Solyc03g111370.3 transcript:Solyc03g111370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFRLSKSKEREEEHIFTLRIWIERERKMETLRQIRAKNSYSLLLSLLWITLLSSSISATSPLSQSDQGTDKHATSPAQDKSHVHEIHCSRERSRAAWKVVEEYLMPFVEKEKYELPRQCRLHPSNDLFRDQEEHKIHLDVNEWRCGYCRKSFRAEKFLDQHFDNRHSNLLDVDQSKCLADVCGALHCDLVMEIKSQKTKCNPAAAARNRHLCEGLADKCFPANQSPSSTRLHELFLRQFCDAHTCSGGRKPFSRGGKKHTNRFYLAASVLTLMLLPLFYLIVYLYQREMKSGTQELKRIAKVGRKAKPS >Solyc01g073980.1.1.1 pep chromosome:SL3.0:1:81204071:81205090:-1 gene:Solyc01g073980.1 transcript:Solyc01g073980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIERVFMSCYKIQEQPDNIFNLIELALRVDAFKYQPQFEKRKAGIIKFLMNPSMTSTDDDDEGEEEAMEDNVGDQDSIEEPEKEEGDGLGTQQITAESNKTLSRKIKIVIRKSVKVAPAETQNNCNAKTESSTELKKTDLVQQRDKPQSHNTTTMPSRKRMNASTKRVQVAPPRVRLLSDLSFEKHLDREKQSHKGFKKMESDHHTEKKRQGGAQKTPSQCRRKGCTTGSTKSMLPPLMKMNVQVAPPPNPKQSNCSSSIRPMQKTSQSSAVARPMQKETQSDSDMKKKFESSKRKFEQRLTDEREAKRRIVLVDFRQMPKDANDPPVPKRCWNRKRC >Solyc01g056770.1.1.1 pep chromosome:SL3.0:1:56477083:56477289:1 gene:Solyc01g056770.1 transcript:Solyc01g056770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDYHQERSDVLGSEVASKKVSRSRWRKRKWQRRKTVRIAEGGRMENGSQCGMRQEYQSSEEAKPNCC >Solyc09g065660.3.1 pep chromosome:SL3.0:9:64108432:64111479:1 gene:Solyc09g065660.3 transcript:Solyc09g065660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQLYSVKEEFPGSSSGGGGGGEPPPATPQPMEGLHDIGPPPFLTKTYEMVDDSSTDHIVSWNRGGQSFVVWDPHSFSTTLLPKFFKHNNFSSFVRQLNTYGFRKIDPERWEFANEAFLKGSKHLLRNIKRRKTPNSSQPLPSTEQGLGPCVELGRFGFDGEVDRLRRDKQVLMTELVKLRQNQQNTRAYLRSLEVRLQGTERKQQQMMNFLARAMQNPEFVQQLIQQKGKRREIEEDITKKRRRPIDPQGPSATLHVGGSSHSIKSEPLEFGEANEFQVSELEALALEMQGYGRARKDQQEEYTIEGLEQFGNTDKELDVGFWEELFNDEDVSGNEDGEEEDVDVLAERLGFLDSSP >Solyc02g068610.2.1 pep chromosome:SL3.0:2:39132784:39150985:-1 gene:Solyc02g068610.2 transcript:Solyc02g068610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSESSHEIESVFEDCEDNEQFWSEEEGSDVENQLFNTVIFVNEEELDCITVAQILQSAAIKDRWEIAEPILMKEQSLVHSGDYGEGSETLLHIAAAAKSIGFVRELIKLMKVGDLEIGRNTAFHVAATVGHIEIAKAMREKNKNLPNIFNEEEILAITLAAEAGHKAMVTYLNEVTDYDVIKQQLLVLLEITIQNEMYEVALNIFNKDRKLVVTKMLEDRNINLLKTLSEKSIAISDASSPVWRVLKLQKLLPERYEVEKQARLLLEKLWAKCQRRGEDQIKLYELVTKEILGHSAAKAGNLGFLLVVARDYPEIMCSFDDKGYTLLHVAVLYREEKVFSLIHRIGGMKNIIFQMFDHDGNNILHLAGKLGEPQKNVQSNAVKEEEKIMPPSFLRISGAALQMQREILWFKEVEKLVPPSYQKRKNKDGKTPRELFTEEHKILLKEGERWMKDTGNSCMIVSTLIATMVFAAGFTVPGGYNSDNGIPILLNLIGFKVFVISDAVALFTSIVSIIMFLSILTSRYAEDDFRVSLPAKLFFGLTMLFVSIVIMLVAFTATFVLIYSSHIGWEPKLIAACAGVPVALFGCLQYNLWFDVAKSTCSSKFLFRSGKHKLD >Solyc06g065890.1.1.1 pep chromosome:SL3.0:6:41415613:41415768:-1 gene:Solyc06g065890.1 transcript:Solyc06g065890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSIDSDLYSKRQQLEPFCLTAFAENNIEYHVFVFCLIVYKFEIRNILKL >Solyc07g062950.3.1 pep chromosome:SL3.0:7:65716100:65719764:1 gene:Solyc07g062950.3 transcript:Solyc07g062950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSGTADRSKEAVGMMALHEALRSVCLNTDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRGTDCLEEMDGEDLVRKAFSKMSIQLYNYGEGWVLGRLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRTSSPSSAIPLKQPTMPIRAPPPLFNWGPRPMPSASSLLSSPNFQNSARLGIPQSKDESHMFLQLPHSSEPRMEDMMGAAADHESDIKWPNGLTFFSALTGRNDDSRILFNPDSLGSKPDHNQHPLSLDGKTSNPNSDASSLHNNGGANPNDFLSLDSHPDSIRKMDKFKRSYTLPARMASSSNSSTSLDQHANNPGEYRNEGGMYPDVMERFLE >Solyc11g042505.1.1 pep chromosome:SL3.0:11:36533272:36606188:-1 gene:Solyc11g042505.1 transcript:Solyc11g042505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSGHNYISIAPEYQEKITFTCLYGTFAFRRMSFGLCNAPATFQRCMMSIFSDMVEDTIEVLMDDFSLVGLRNDDEGYWKKTQPARPQLRIVDRVCAWKHLENLTMCENTDVDYGPYFNLQTVWCHIHGVQRTIYLSTGHRSPP >Solyc01g102480.3.1 pep chromosome:SL3.0:1:91141682:91151930:-1 gene:Solyc01g102480.3 transcript:Solyc01g102480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIFYILIALPCTVGAIGLALLHIYRHLLNYTEPTYQRYIVRIIFMVPVYALTSFFSLILNERAIYFNSIREIYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRILKPNWCLMTCCLPPLPLDGRFIRRCKQGCLQFVILKPILVLVTIILYVKGKYEDGNFSPSQSYLYLTIIYTISYSMALYALALFYVACKDLLRPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSELIKDTEEAAEFQNFIICVEMLIAAFAHLYAFPYKEYAGANIGPPRGFTASLGHALMLNDFYHDTVHQFAPTYHDYVLYNHGDAEEGGATKYRARTFVPTGPEMDTVRKNKHIIGNKQEDVQLSTLSPSANNNNPQNPVAGQQTGKSEAMNYSLLMDASTNVSAPYDLSLIDVDISTYPSKVPAANPGSR >Solyc08g069030.3.1 pep chromosome:SL3.0:8:58176428:58187200:1 gene:Solyc08g069030.3 transcript:Solyc08g069030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAAMLNAPCNIGAVKFEVKLKPSPNLFCARPSVKLNQRRVLTIRASKEGHDNGSSSGPLRKMGLTDEECEAAVVAGNVPEAPPVPPKPAAPDGTPIVSSLPINRRPRRNRRSSAARAAFQETNISPANLVYPLFIHEGEYLSEFLSAYNMYQSVLTNHTLAGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKTSTGDEAYNDNGLVPRTIRLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVHQLCKQAVAQARAGADVVSPSDMMDGRVGAIRAALDAEGFQHVSIMSYTAKYASSFYGPFREALDSNPRFGDKKTYQMNPANYREALVEMQADESEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAGRCLCGEKR >Solyc08g062383.1.1 pep chromosome:SL3.0:8:51045873:51046763:-1 gene:Solyc08g062383.1 transcript:Solyc08g062383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPGTYVCHGQEEPIASNLLPSMLSQIPVIDMEMLLASDHSQLEKLHLACKDWGFFQMMNHGVSCSLLEKMKLEVPRVLQSTYGREEKVLQN >Solyc06g065200.3.1 pep chromosome:SL3.0:6:40768737:40773938:-1 gene:Solyc06g065200.3 transcript:Solyc06g065200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMERENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFAKAKK >Solyc05g009800.3.1 pep chromosome:SL3.0:5:4015517:4023354:-1 gene:Solyc05g009800.3 transcript:Solyc05g009800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFPFFFIICFVCLFPFLPSSSSLHYPYNYSLHIDCGGLSNSTDIFNTTWVSDRYFTGGAISVVSEPLHFQHSQEKTLRYFPISSGKKNCYVIPNLPSGRYQLRTFTVYDNYDGKSHSPSFDVSVEGNVVFQWRSPWHETISRAGAYSDLFFTVSDDEANVCFYSIATDSPVIASLEITQIDPASYLVNDTSILVNYGRFSSGSDQWGPGFSNDTDRFGRSWQSDADFRSKIAVKTTGATVKAISAIKNVINVDRAPNYFPLKLYQSAVTIIGEGGEFLEYLLPVDAKLDYLLWFHFAEIDVSVNKAGKRVFEIVVNGENVSRVDIYKEVGSFAAYDFKYVVKNLSSAELSVRLVPIVGAPVICGLENYAIIPADLKTLPAQVVAMKALKESLKVPDRMGWNGDPCAPTTWDAWEGVTCRSTTNGSLVISQIDLGSQGLKGYISDQIGLLSNLVSLNLSSNSLGGSLPWGLGQKSLVKLDLSNNKFTGTLPDTLASSTLQIVFLNGNALEGQVPEELLSIGVHGGAIDLSGNKGLCGGPTLPDCPLFWSKNGLSTAGKVAIGISCLVFICVVLLVAYICYKRRQNDYDFGLPHEMMSLAAKRNRYQRQKSLMTLEMESQHAKGFIPTYNAT >Solyc06g076340.3.1 pep chromosome:SL3.0:6:47569681:47578783:1 gene:Solyc06g076340.3 transcript:Solyc06g076340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEFGPSPMLRNNDNSFGDEFEQEIGMLLREQRRQEADDHEKELNLYRSGSAPPTIEGSLSAVGGLFNNNGFMSEEELRSDPAYLSYYYANVNLNPRLPPPLLSKEDWRFAQRMQGGSSAIGDRRKVNKNDNGSSSGRSLFAMPPGFNSIKAENENESDKLQGSVEWGGDGLIGLPGLGLGSKQKSIAEIFQDDLSRATPAPGPPSRPASRNAFDESSDNLGSAEAELSHLRHEFSTSDPLRSVSNGQGSSGVQHVGAPASFSYAAALGASLSRSTTPDAQRIARAPSPSLTPIGGGRGVGNSEKRSASPNSFNGVSHTAESADLLAALSSMNLSNGSQNNSQQHAYLKRSESAQFNMSSKSHSAKGPYIDTGAGNNGRSDLNSSNHHDDLHRSAVASNNSYVKGSQTSTLNGGGGVLSQYPHMDSPSNYGLGSHSVNPVTSHLGNYNLPPLFETAAAASGMALPGMDSRMLGASHLNSGVSEQNLGRMGNHMSGSALQASFMDPMYLQYLTAEYVAQVSALNDPSMDRNYMGNSYMDLFQKAYLGNALSPKSQYGVPLSSKGSGSNHLGYYGNPAFGVGLSYPGSPLASSVVPNSPVGPGSPMRHSDYNMRFSGAMRNITSGVIGSWHLDNMENSFASSLLEEFKSNKTRCFELSEIAGHVVEFSADQYGSRFIQQKLETATPEEKNMVFQEIIPQALTLMTDVFGNYVIQKFFEHGMASQRRELASKLFSHVLTLSLQMYGCRVIQKAIEVVDVDQKIKMVEELDGHVMRCVRDQNGNHVVQKCIECVPEKHIQFIVSTFFGQVVNLSTHPYGCRVIQRVLEHCCDAITQSKVMEEILGSVSMLAQDQYGNYVIQHVLEHGKPHERSAIIEELAGKIVQMSQQKFASNVVEKCLAFGGASERQLLVDEMLGTTDENEPLQAMMKDQFANYVVQKVLETCSDQQRELILSRIKVHLNALKKYTYGKHIVARVEKLVAAGERRIAAQSPNLA >Solyc06g068850.3.1 pep chromosome:SL3.0:6:42783884:42790102:-1 gene:Solyc06g068850.3 transcript:Solyc06g068850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMEDAEVKPSGMIDQAFLPIIDSAGVSASVASTDPSSTVCRAASQDKEKDEEAFMDLSGYIFLCNRETKLDCFRFRVLGVGSNKKKMVEKIKPGTKLFLFDIELRLLYGVYEATSSGGINLEAHAFGGKFPAQVKFQIFKECFPLQESSFSHAIKYNYRRKFEPELNDYQVRSLLSLFCPLTASATAAVVSHPLAPCLANVGLPKIMPALAVKDQVKSLSYPQEVGSSMANVCPLNTNPAFAKEHQVKYMSLPIYFQDPYITRMPHFHAPPIMEPHILVSQVQDEPKHQPRLSTAFVNEQNTGEAVDIDPSEDTQDESDRQDDISGFIFMCGRNTKHDCYRFRVFGLPLNKQEIIKNIKPGAKLFLFDFEAKLLYGVYEATSTGIMNLEPLAFGGKFPAQVKFRIFKECLPLPEPSFRHAIKDNYRDRKFEPELNDHQVRSLLSIFRPLPASVTATVVAHPLEKVGQSFANVDMPKITPAWAMEDRVKLLSCPQAKVGSSLANLPPPNTIPALYMEHHSKYSSMPTSVENPYMTRMQHVHPPPIVESQRVYELQSAQRGWPRTTSFMESALTVGEPKQLTAPSNAYSHQSYVTQGISTDIWNPYHRYESMRSGVVSQPHLMELNDRNYQLYSGVERGMLPPHESSATHNYFSGPSAPYGPPVLQQYASSEAAILEGTSSMSYQRSLIRRYG >Solyc06g053400.3.1 pep chromosome:SL3.0:6:36255636:36265617:1 gene:Solyc06g053400.3 transcript:Solyc06g053400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopropylmalate synthase [Source:UniProtKB/TrEMBL;Acc:K4C627] MASITANHPISGKPLISFRPKNPLLQTQTLFNFKPSISKHSNSSFSIPVVRCSIRRRPEYTPSHIPDPNYVRIFDTTLRDGEQSPGATMTTKEKLDVARQLAKLGVDIIEAGFPASSEADLEAVKLIAKEVGNGVYEEGYVPVICGLARCNKKDIDKAWEAVKYAKKPRIHTFIATSEIHMNYKLKMSRDQVVEKARSMVAYARSIGCEDVEFSPEDAGRSDPEFLYHILGEVIKAGATTLNIPDTVGYTVPEEFGQLIAKIKANTPGVEDVIISTHCQNDLGLSTANTLAGACAGARQLEVTINGIGERAGNASLEEVVMALKCRGEQVLGGLYTGINTQHILMSSKMVEEYSGLHVQPHKAIVGANAFAHESGIHQDGMLKHKDTYEIISPEDIGLNRANESGIVLGKLSGRHALQAKMLELGYEIEGKELDDLFWRFKSVAEKKKKITDDDLVALMSDEVFQPQFVWQLQNVQVTSGSLGLSTATVKLIDADGREHISCSVGTGPVDAAYKAVDLIVKVPVTLLEYSMNAVTQGIDAIASTRVLIRGENGHTSTHAVTGETIHRTFSGTGADMDIVISSVRAYVGALNKMMSFRKLMAKNNKPESSAVV >Solyc02g086240.3.1 pep chromosome:SL3.0:2:49598960:49601981:1 gene:Solyc02g086240.3 transcript:Solyc02g086240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKSRVGIQHRVTKEDSMKWFQVKYEGVILNKSSNIQ >Solyc09g076040.3.1 pep chromosome:SL3.0:9:68143659:68153361:1 gene:Solyc09g076040.3 transcript:Solyc09g076040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGNPPKPSSNKPAPSASHRKSRWESTTGKKPSSDPKTSVAGAGAASGSGDPKSKPSPKPTNPIQPTTPNPKPISKPSPKPDPNAHFGLPPFPFRDPPPPPLYGFHMLERRTIVLADGSVRSYFALPHDYQDFPAFPRPDFRGPPGLGFERQFPDDGFMRNRNPDHWNPLGVEGGRVGDGAMKRKFGDEGKDGLDRLRQQVLEHGNAGPVPPGSSSSYMGRGEEMNRPPKYMRSGGFEGRASRTKHNEVDQSALKKSFLPMVKLIFDTANVKRSYLADGKQGRLQCLACNRTSKDFPDMHSLIMHAYNPDSADSLVDHLAFHKALCVLMGWNYLTPPDHSKSYQMLSADEATANRDDLVLWPPLVIIHNTITGKRDDGRMEGLGNKAMDSYLKGIGFHGGKAKALYSRDGHLGVTLVKFASDQSGLTEAMRLAEYFEKDNHGRNGWARLQPLTLGKDDENNPDLCKYDHRTGEKKRVFYGYLGTVNDLEKVDFDFRKKITIASRSDYKPSG >Solyc01g103807.1.1 pep chromosome:SL3.0:1:92233727:92234288:-1 gene:Solyc01g103807.1 transcript:Solyc01g103807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKYGICLKNHATKFGDYSVDGCREFVKGDDGTKEEFICANCGCFRGFHRMNSQSLFRPSILRSRFIHPHMLDEIEL >Solyc10g037850.1.1.1 pep chromosome:SL3.0:10:17538180:17538473:-1 gene:Solyc10g037850.1 transcript:Solyc10g037850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTISLWQTAIAALCKSHHRQNSFLTPSSHFEHDMIINLKRKRNGSDIVDYDAESSLSYKPQFPSTQTKFEPHIIIADLVIRSVDYVIIMQELSQVT >Solyc07g020730.1.1 pep chromosome:SL3.0:7:13316320:13318234:-1 gene:Solyc07g020730.1 transcript:Solyc07g020730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGNNIKNLCIIKEREALLELKQGLVDDEYKLLSSWRNEENNEECFSWRGLECSNTTGCLPHILVLNLHIGTTESNTDGPDKNLILTGNITSSLVKLQYLKYLDLSSNNFLGSHRLLEFLSFEGNALTGSLINLTTFSSLKELRLRENSLNGIFHESFRQISCLEYLDLSNNQMTGSLPDLAFFPSLRELHLQSNHFYGMIPQGLGKLSELKTLDVSFNRLQGFLDNLGKLSKMKILDVYFNRLKGLPEILGQLFDLGTFDAPNNLLECTISESHFSNLCNLRSSKFSSNSLTWNASVDWVPCFQLQVISLSYCNLGRTTQNDTMPSWFTKLPPMLYFLNLSYNHINGEMQDLSANNIGSIVIDLGYNNFSGPLPTFPHLISELRVDNNKFSGSLNSICKIRSSVTT >Solyc03g005980.3.1 pep chromosome:SL3.0:3:659223:662855:-1 gene:Solyc03g005980.3 transcript:Solyc03g005980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQINVNGNINHGVSLNIKEDHDLNNHKESSSTSSFLTVPFIQKVIAEMIGTYFLIFAGCGSVVVNADKGMITFPGVAITWGLVVMVMVYSVGHISGAHFNPSVTIAFASVKRFPWKQVPAYVAAQVLGATLASGTLRLIFNGKHDHFAGTLPSGTDFQSFVIEFIITFYLMFVISGVATDNRAIGELAGLAVGATILLNVMFTGPISGASMNPARSLGPAIVSSHYKGLWIYLVSPTLGAIAGAWVYNIIRFTDKPLREITKSGSFLKSKNSST >Solyc03g098705.1.1 pep chromosome:SL3.0:3:62417425:62423223:1 gene:Solyc03g098705.1 transcript:Solyc03g098705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLTNAPATFCTLMNRLFHSYLDQFVVVYLDDIVVYSDNMEDHVEHLCKVFKILLDNELYVKREKCSFAQPIVRFLGHTISHGKIQMDSDKIAAINNWEAPTKVPELRSFLGLANYYRRFIFNYSAIAAPLTDLLKKDRAWNWSAACQATFERLKLAVTQEPVLALPDFSKLFEIHTDASDFAIAIKNARLHLRITTNVVTVPD >Solyc12g095800.2.1 pep chromosome:SL3.0:12:65899738:65909167:-1 gene:Solyc12g095800.2 transcript:Solyc12g095800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDILGVSPTATESEIKKAYYIKARQVHPDKNPNDPLAAQNFQVLGEAYQVLSDPSQRQAYDAHGKSGISTDAIIDPAAIFAMLFGSELFEEYIGQLAMASMASLDIFTEGEDFDARKLQEKMRVVQREREEKLAETLKDRLNVYVQGNKEDFVRHAEAEVSRLSNAAYGVDMLNTIGYIYARQSAKELGKKALYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEDMKRQLSAEGNYTEEELEEYMQSHKKLMIDSLWKLNVADIEATLSRVCQMVLQDNNVKKEELRARAKGLKTLGKIFQKVKPVNEVETTENGVHKLDGNEPSYDSRSSTASVPSQNKEEVPYTVFASQSPYVEAPQFTGGQSSYNFPMPTAPPGAQRHR >Solyc07g042313.1.1 pep chromosome:SL3.0:7:55594061:55612823:1 gene:Solyc07g042313.1 transcript:Solyc07g042313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPHPKGTGVILCVEKALAQSGVSKEDVNYINAHATSTPAGDLKEYQALVHCFGQNPELRVNSTKSMVGHLLGAAGAVEAVATIQAIRTGWVHPNINLENPDEGVLVKSNLAASLNFLLYRQKLVYSGAFYGNETTSNNNGKDSDYERIDNQVLHDGSWAKEQGFKPWNFDMEGKEERLFDVGEEVNVGTEMKGFQVEKKFLQSEEKALKVIQVGVLQSHHFKLLLQTCPIQLSTRCCFIENHLKVFVFNLIIMSSCDNEKIENVAGSCTNEFTIKKSANPSVRVYSEEVLEIVEIIRGGGQNVRQQLILVASKLSFKCVVEIFDLLNEQRISGLKFFNWLRDSHPEFHRSAYVNSLIICNCGWLDDYKTMFSLLEEFKTEQTCLTDKAFGFLTVFGSCKDSLMNSTKKVVDMLIEVGGSCCGSGVYGLIEMFCSLDLFEMATFVIEITERTASRYNILIRKRCRAGQIEKARAIIEEMSEFGCSPNTKSYNYLLGSLCKNDKLEDVRIVLEEMRNKGLNPDAITFETLVYHLSSRGQVEFASEFMNLMVNVNVKPRSTTHAAFLKVLLEAGEREKAYKYVIDMSAKYNHSVNTLYSLLVRLNQKKGDIMAAQNILNEMIDKGLKPDFGIFIKFVKQLGKTRRKSLARDLKMKYSVFYCSTKANNSLSNSFVP >Solyc12g056480.2.1 pep chromosome:SL3.0:12:63376899:63380202:1 gene:Solyc12g056480.2 transcript:Solyc12g056480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLTTSFLPSLEKENSVFVYTTRRGGHSKKSQSIVPVARLFGPSIFEASKLKVLFLGVDEKKHPAKLPRTYTLTHSDITCKLTLAVSQTINNSQLEGWYNRLQRDEVVAEWKKVKGKMSLHVYCHISGDHFLLDFVARLRYYIFCKELPVVLKAFVHGDGNLLKNYPELEEALVWVHFHSNIQEFNKVDCWGPLKEASSLSSTTTTNSSSSEFGDIQMENTSNSNLDLPQPCQGSCTCCFPSTRKI >Solyc09g008550.3.1.1 pep chromosome:SL3.0:9:2036515:2038020:1 gene:Solyc09g008550.3 transcript:Solyc09g008550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSINQFDDEFHPDPTLTNDDLKPTSIDQRNFSGWEMSSLWIGLVVGVPSYYLAGSLVDLGMSWWQGIAIVVLANLITLLPLVLIGQPGTKFGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFLLLPKVVKDSHLSQSISWLGTSPVEFGCFVTFWIAQLAIVWKGIDGIRELEKYSAPILVSLTSCLLVWAYVKAGGFGHMLGLSSRITKSQFWSLFFPSVTANIGFWATLALNIPDFTRYAKTQNDQIIGQAGLPVFMGLFTFVGVAVTSSTKVIFGHVISNPITLLGEIGGSLTMVLAILGISLATITTNIAANVVAPANALVNLSPSRFSFRRGAILTALLGVVCQPWRLLKSSESFVYTWLVGYSALLGPIMGIILVDYYLIQKMNLSIKDLYTLSSKGAYYYSSGYNLSAILALVIGILPVIPGFLQNVGILDSIPKSFSIIYNNAWFFTLFLAGGLYWILSILKKNQKEIDPLLPNNTS >Solyc08g080780.3.1 pep chromosome:SL3.0:8:64099899:64105973:-1 gene:Solyc08g080780.3 transcript:Solyc08g080780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSSRWRSEKNKIKAVFKLQFHATQVSQVKGDALMVSVVPADVGKPTVRSEKATVRDGSCYWENGVLETVKFVREPKTGKIHERIYNFVVGTGSSKTGLVGEASIDFSSYADATKVSLVSLPLKNSKSEAVLHVSIQRIQDSADQSVVEETENAKVNSLDRSLRSQLSNSDFEAIVEDNSIEKPASQNAGKKDNCRTSSESDITLSSSGSSSGLDIPCEVSLKNNRGHHEQINFPSSLNHALVPFKQNSNVSTTVHEESPDVQWEWMGGSAFEASTDASAGTPKEALLLTLTSQEDSDVVEKLKTDLIAMARQADMTDLELQTLRKQIVRESKRGMDLSKEVTSLKEERDALKEECDKYKASQRRMDDTRSKDKLIYDNGDIQALVDELRQELNYQKDLNANLQIQLQKTQESNSELILAVRDLDEMLEQKNKEITSLPNKSTTSDDAEKFPDVISNSKNEMSDEDDEEQKALELLVREHTDAKDTHVLEQKIMDLHGEIEICRRDRDELEMQMEQLALDYEILKQENHDMSYKLEQSELQEQLKMQYECSSSYATVGQLEAQIDSLENELKKQSEELSDSLVTISELEVQVRNLEEELEKQAQEFEADLSLLTRDKVEQEQRAIRAEEALRKTRWQNASTAERLQEEFKRLTVQMASTFEANEKLASKAMNEANEFRLKKMHLENMLRKSSEELQSTKDHHEARIFELSSQVSKMSAQIEKLQTEVEEKSMQIQRQEELAKENHLYLSQKIIILEAEIENLLTDKKISSDHEEQKNSLMAELDKMRTSIKDMELLVEQGHNERSELETKLASVRKDADESLKELNKMKSLKDEKEALARKLQSEVDNLKTRCNEMKRMLFEDEVEKEKLKKQVSQLKGDLKKKEDALNGLDKKLKDANSRVIATNGMKTISKNNKAMPASAGSREVASLKEKIKLLEGQIKRKENALESSTNSFLEKERDLQDRIEELDQRLEELSQNAERISEQDSRKVVAEALSPEEDESPNQMLTRKSMEASASNTRHLEELSSEVELLKEKNNVMEDELMEMQERYSELSLKFAEVEGERQQLVMKLRNAKKNP >Solyc06g009490.3.1 pep chromosome:SL3.0:6:3449949:3452605:1 gene:Solyc06g009490.3 transcript:Solyc06g009490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRCGEMRPMISLSRCMRRLLCCFGRQSSSCVHVDVILFTSPVDMYAKCGDSEVAFCIFESIPARNLIIGGYANMGLQVFDRMLKSGIRSGGIARIM >Solyc03g111340.3.1 pep chromosome:SL3.0:3:63429181:63435830:1 gene:Solyc03g111340.3 transcript:Solyc03g111340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELKELLSDLHSLKKSISDPSHLALIEKMHLHAENIATLEKSGTIRRSKVKDMSAEVVDSNPYSRLMALQRMGIVNNYERIREFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAAVQTLSEINPDVVLESYTLNITTVEGFDTFMSSLTNKSFRPTKSGSGVDLVLSCVDNYEARMVVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACVPPLVVASGIDERTLKREGVCAASLPTTMGVVAGLLVQNTLKYLLKFGQVSRYLGYNALKDYFPTMEMKPNSQCSNAACLERQKEYILAKPTRDAAAKAKADIEAVSPAENPVHADNEWNISVVDDEEVDGSDVKNSGICVLPEGIVHELPVADDYPKAPVTETVGPADDLEELRRQLEALNAD >Solyc06g075840.1.1 pep chromosome:SL3.0:6:47259014:47260638:1 gene:Solyc06g075840.1 transcript:Solyc06g075840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGVSDHLISMHDFILCYFCETRVAFIEDFIPNADDLVYGGYINRLFHYRAIINHRGHLEQRDGNTLLNTYCVQCGVWFGWKLVRTIQQSDYFVVGRYFMKLELLIYQSGRTLHDVVFGVANQQAPNGGANAVQNEVANEQDPNGGANVVQNEVVNEQYPNGGANAVQNEVANEQAPNGGANAVQNRIANEPAPNGGANAVQNRVANEPAPNGGANAVQNEVVNGQDPNGGANAVQNEVANEQAPNGGANAVQNRVANEPAPNGGTNAVQNRVANEPAPNGGANAVQNEVVNEHDPNGGANAVQNEVANEQAPDGGANAVQNEVVNEQAPNGGANAAQNGVGNEQAPNGGANAVQNEVVNEHDPNGGANAVQNGVANEQAPDGGANAVQNGVANEQNRGQHLDRIMRLRTLRPRRNRPY >Solyc04g007500.1.1.1 pep chromosome:SL3.0:4:1170495:1170986:-1 gene:Solyc04g007500.1 transcript:Solyc04g007500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQNQLSDVSTESIPILLVTLLANCIANLRSIIFTFLQFIGVSVNPIQIEENAIYDAVGSGLAGVVMLAEQLNLNRMFSYAFDDQSAGSSCVFCLNRLIDGENVRKLDCKHVFHKACFDGWLDTFNFNCPICRSSLSVVSDERVEVTRRRVAWDVIEWFSLR >Solyc05g055950.1.1.1 pep chromosome:SL3.0:5:66227739:66228260:-1 gene:Solyc05g055950.1 transcript:Solyc05g055950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFIRQDPEKSRENTMKAAQIHLVVAALIMTVTFTAGFTLPGGFDSDRVSPDKGMAILLKSTSFGVFVVTNAIAFTSSAAAVFTHFAMGASITYVPGSVAGLMVTELEVILRLHKIAIYLQFVAMSAVVIAFVTGMYATLAHSVGLAAIVCIVGCISFVLYVLVIVVWLQLV >Solyc05g012520.3.1 pep chromosome:SL3.0:5:5768052:5771312:1 gene:Solyc05g012520.3 transcript:Solyc05g012520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFRKKADSATPDEKNVMKAGRRTSSEPVLVTPDDDDFGTSSSSSGGTRNKHKSKTEDFDNMSVQELEGYAVDQAKETTSSVNNCLKIAEDIRQEGAQTLDTLHKQGEQINRTHMMAVEMDRDLSKGEKLLNNLGGMFAMPWKPKKTHDIKGPRTSKDDNHKGKGSASEREKLGLSNGKKGKSASSTPPPESMNAMQQIESEKAKQDDALSDLSNILGDLKGMAVDMGSELDKQNKAIDDLDKDVDELNSRVKGANRRARQIVGK >Solyc10g080310.2.1 pep chromosome:SL3.0:10:61735369:61736322:1 gene:Solyc10g080310.2 transcript:Solyc10g080310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYKRNPLKPWKKGPARGKGGPQNALCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPEAYLNLPHMRANFNPLNKSQKFKWFSSTNNFVSSLIPNTTGLLNLSAQPNVHEKEDEFSSHNNVAVRGIEDHEVAAEEANSFYNVNDELMFPSSIWNF >Solyc06g036070.3.1 pep chromosome:SL3.0:6:25541668:25550657:-1 gene:Solyc06g036070.3 transcript:Solyc06g036070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVKPEMKSYIWLQTADGSIQQVEEEVAMFCPMICREVLQTGMGSSKNYAISLPQRVNPAILGLILEYCQFHQVPGRSNKERKIFDEKFIRLDTKKLCELTSAADSLQLRPLVDLTSRALARMIEGKTPEEIRETFHLPDDLTEEEKLEPLRNMTDDPRIRLLNRLYARKRKELKEREKLKNVEVEEEQHVDERSVDDLLSFINGANEDSKSARATKSKKKNRRRKEQARNSSTNNETGNHNQESNCPTSSCLNGDTGDVFSPLPSDLQVSASVKFSPKLDFDDGDIDDELDPAMKEEIDREVEDFARRLNSDWPERMQEILSLGQERRPVPLSVNGNGSLRRYTGLDRR >Solyc01g100830.2.1 pep chromosome:SL3.0:1:90629568:90630716:1 gene:Solyc01g100830.2 transcript:Solyc01g100830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B1B4] MAPEFESGTKMATTIQKSSCAYVTFLAGNGDYVKGVVGLAKGLIKAKSMYPLVVAILPDVPEEHRMILTRHGCIVKEIEPLAPSLQSLDKYARSYYVLNYSKLRIWQFVEYSKMVYLDGDMQVFENIDHLFELPDKYLYAVADCICDMYGEPCDEVLPWPKELGPRPSVYFNAGMFVFQPNPSVYVRLLNTLKVTPPTQFAEQDFLNMYFKDVYKPIPYTYNMLLAMLWRHPEKIEVNKAKAVHYCSPGAKPWKYTGKEEHMDREDIKMLVKKWWDIYNDTTLDHKAQGSTVEANRLRGAAFSDTNISALYITSPSAA >Solyc10g007940.1.1.1 pep chromosome:SL3.0:10:2094049:2096463:1 gene:Solyc10g007940.1 transcript:Solyc10g007940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVNGRRIVNISWNFSQEPYYNYIWILDACIETKQLVIGKSIHQHIIKHNHCNDNRSNLLDKLTRFYVSCSRVDLARQVFDSIPESDRNDRVILWNQMIRAYAWNGPFEKGIDLYYEMVEYGIRPTNYTYPFVIKACSALQDVENGEKIHEHVKRQGLDGDVYVCTALVDFYAKCGLLVEARRVFDGMLRRDIVAWNAMISGCSVNGLYLEMKGLVLEMQENGLTLNSSTVVAILPAIAEANKLSEGKAVHGFSMRRGFVNDVVVDTGILDVYAKCGLLNYAKRIFGVMSLKNEITRSAMIGAYVTCDSTQEGLELFEHMRTEDTESPSPVMLATVIRACAKLNYMRRGRKMHGYTVKLGSYLDLMVSNTLLSMYAKCGRIDDALTFFEEMDLKDSVSFSAIIAGCVQNGHAEEALQILRMMQSSGVEPESATVMGILPACSHLAALQLGVCTHGYSIVCGFTEDVSVCNALIDMYSKCGKNDIARIVFDKMNKRDVVSWNAMIAGYGVHGRGKEAISLFYDMQSIGQIPDDITFIGLLFACSHSGLVAEGKYWFLRMSEEFKISPRMDHYLCMVDLLGRAGLLDEAYGFVQNMPFIPDVRIWSALLAACRIHKHIVLAEEVSNKIQYLGPESPGNFVLLSNLYTTAGRWDDAAHVRVKQKDSGFKKSPGCSWIEINGVIHAFVGGDQSHPQSAKINEKLKELSKEMKKLGYSAESSFVYQDVEEEEKEQILLYHSEKLAVAFALLNLDPSKSILVTKNLRVCVDCHSTMKYISLITKREITVRDASRFHHFRDGICSCGDFW >Solyc03g117090.3.1 pep chromosome:SL3.0:3:67826757:67832412:-1 gene:Solyc03g117090.3 transcript:Solyc03g117090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGRRILVRLSRRSTLLQRRTLNDNNKSITISNNNGKVNRNSTKEVDNSVALSRQDAYKQLENLDFMTAAKMLFTDPPKKKKFGLDFHLVQFFFACLPSLAVYLVAQYARYEIRRMEAEAEVKKKADEEAKVKELELMAEEEKQQGTDPQLSEVKARLDKLEETLKEIVVESKKQSGDVADRPIDNAVKEPATTKPGTHNTREKTNPSNEGETRAKSALPDQKQIKTDGSSPDVNK >Solyc02g084770.3.1 pep chromosome:SL3.0:2:48504622:48511301:-1 gene:Solyc02g084770.3 transcript:Solyc02g084770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMAALPSADWQWENAVAGAAAGLATVTFSHPLDVVRTRFQVYDGRISNVPAYRNTPHALYAIARSEGFRGLYAGFYPAVLGSTISWGLYFFFYSKAKQRYLRNREELSPGLHLASAAEAGALVCFCTNPLWLVKTRLQLQTPDQIRPYTGFHDALRTIIKEEGWRALYKGLMPGLFMVTHGAIQFTAYEEFRKFLVSSKAQENENTLATAADLLDSVDYATLGASSKLAAILTTYPFQVVRSRLQQRPSTTGVPRYIDSWHVVKETARFEGVRGFYRGITPNMLKNVPAASVTFIVYENVLNLLKLSRREY >Solyc09g020150.3.1 pep chromosome:SL3.0:9:18657917:18672039:1 gene:Solyc09g020150.3 transcript:Solyc09g020150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLFLSQPSWKENATGESVEMGKALLNELESVIWSLMLVEGRSECRLWLCNAVAEMRSITPRNQRELFMTLLRSKPLKQPLIGQLLQLLFQKEPQKAGLIIAKKCFLLENFFKGNRNRILQWFSNFAGTGYVDHTKGAKALSQFAFVNRDICWEELEWKGKHGQSPAMVATKPHYFLDLDVERTVENFLEYVPEFWSSKEFAESLRDGDILTIDNNFFINMFVELMYKDNLKEVWEIIDEFLNEQSFSFLCQHLLIILEEEDLMVFLDLLQSYVKPSLQKMDCHDSLDQLLEIILSKCDANNSLDQLLLLNAVATQSRQLMRFIREEGSQEQKEKVKHVIFQICKPTSPKDRFTPILRECFRRKSSESIKWLGLQSWAFYCYLSEDYRNSSSWENLFACNNISFRKSKTYPILEHDQLLEESEFEQDNRSARNKRKKRQKHRKKKRRDIDFEELNGDEFADVITNRLELYSTSGDWLLSTDGYSSTWSSVKE >Solyc05g016073.1.1 pep chromosome:SL3.0:5:14446744:14447690:-1 gene:Solyc05g016073.1 transcript:Solyc05g016073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVNGDPVLGIEMIRTVGDWKNICQRLLGFVYSREDFKTNSIKVAAFNSHMLSKPHLSNMATQDMVNQKVRCYMFWMIAGMMMADTSGGYLKLMYLPMLEDINKIGSYSWGSATLAYLYHFLCKASQSTQNEIAEFYPLLQIWAWERVTVLRPQIVAHRDARTISHVGLPRGPHVTRWSAHLSWPNTTKHVLKVYRDALDSMIEDQSFLSIVMLDEAYGELEFQSFAGMWLRFICQIVL >Solyc02g070877.1.1 pep chromosome:SL3.0:2:41046208:41048328:1 gene:Solyc02g070877.1 transcript:Solyc02g070877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIAITLKRYRAVKEAGEIKMHVGSVAYYQLMLVCQAEYFRQLLKPVT >Solyc09g057563.1.1 pep chromosome:SL3.0:9:49925097:49925552:1 gene:Solyc09g057563.1 transcript:Solyc09g057563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKIHLEDGTDLADLSHCRILIGSLNYLTHTPHIFDFGNWYSKDGDFSLSDSDWEARAGSIDIRKSISGNVFNLWFVVISWISKKQDVVALSSSEAEYVAVTSAACQAIWLRRMLVDVSIGKRVR >Solyc03g058550.1.1 pep chromosome:SL3.0:3:27076071:27084442:1 gene:Solyc03g058550.1 transcript:Solyc03g058550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLMFTLTLMFTFTLTFPFKLIVYVHIDIYIDIDVHIDVYIHIDIVDVDVHVNGDVYIYVDVYIDVDVDVHIVVDVDVDVHNYIYVYVDAHVDIDINVHIDVHNDDHIHAYVHVDVYDYVEILVHVYIFVRYTDVYINIYVHLDVDIHIYVYADIYVDISNDIYIYIDIDIHVYVDIDVDVHIHVEVCVYHHFDVYMDVDVDVEFDIDIHADIDIYIDVDVDFNVHINVHGYVDIDVDVNVHVDIKVYVHDHIYIDIDVDINVHLHIDLTFTLTLMFIFEVYIDVHIDIGIYDDVHIHVDVHIDVHLDININVQIHIDVYVDVYIYVHIDVYVNVDVDINVHIDANIYIYVYFHMYADVSIDFGIDVHIDIDMYVNAYIYVYINNDININILFYIDIDLHVHIDVDVDIYVNIVVVIDFHVDVSIDVLIGIQVDVHIHVDAHIYIYVNIHMYVDVYIDIYVDVHIVVDVDIDVYIDVYIDLHIDIHIVVNVDLHTDVYICIDADANVDFNVHIDIHIDIQFYVYVCVNVDVDDCVHIYQHFVVYINIDGDGDIDVLINVDINIDVHTEVDIYVDFNIHIDVQSDVHIYVHVHIHIHVDVDVLIDIDVHFYADIHVYVYIDVEDQFGIYFHLDIYVDVDVDVDIYIDVHANIDVYVNTDDDFNVCIDFHYDADVLIDVYVYLHIYFDNHVNVDIRVHVDIDIDVHIYGDVDVGIHTKIDAYVNIHIDIHIDIHIEVNVYVELMFTLTLTFTLTFMLIFTLTLMFTLTFMFALMIWFVGQNVDIYVDVDIDVDVHVDLDVFIDADIMITLTLTLISAMTLTFMLTFTFIFTVMVTMMLTFTLMFKLMFTLTFTLALPLTFTFTLIHVGINVDVHIQIDVMLTFTFMFTLMFTLIFTLILMLTLKFALTLIFFMLRFTFTLMFALTLGLTFTLTLTLTFKMTLSIDVYIDLDNDVHIIVNIDVDVHTDVYIYVDTDVDVEVNAHIDIYINVNIDIHFDVDVYVHVDDYVRVYQHIVLYMNVDVDVDVNVDVDVHINIDINVDVHTDVVIYVDINIHIYIHIYIHVHIHVHVDVDVHVDVEVHFYVDIYVYIHVHIYVDPDIDVDIDINIHADIDVYVDAFVEYNVHFDVDVIDDVDVYAHIYFDNHINIDVGVRVTFTFTITLTLKLVFTLMLILTFTIT >Solyc10g083910.2.1 pep chromosome:SL3.0:10:63744254:63747242:-1 gene:Solyc10g083910.2 transcript:Solyc10g083910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGAAALQKDVPWRASSGAKPIPKIHYSPILRIPQNPLSDYALSIMKHPDPIGSGLGTEAIVEAAGPDCIVPGQNPPIKLLGLKVWPIEVDLKFMEPVGRELKSVGKFMDSAVDLMNKSFIDR >Solyc05g010180.3.1 pep chromosome:SL3.0:5:4377446:4382437:-1 gene:Solyc05g010180.3 transcript:Solyc05g010180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRLLPFSPFFNFSSSNRKRRFSMRSEVSTSATLPSKQSVSGEPEADIVVIGSGIGGLCCAGLLARYGQDVLVLESHDVAGGAAHSFDVKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCVNYDSWMVYVPEGEFLSRIGPTEFFKDLEKYAGPDSVREWRKLLDAILPISAAAMALPPLSIRGDLGVLSTAAARYAPSLLKSFAQMGPQGALGATKLLRPFSDIIDSLGIKDPFIRNWLDLLAFLLAGVKTNGILSAEMVYMFSEWYKPGCTLEYPLHGSGAIVEALVRGLQKFGGRISLKSHVENIVVEKGRAVGVKLRGGQFVRARKAVVSNASMWDTLSLLPPEAVPKSYQDGIKTTQQCESFMHLHLGFDAEGISDDLGIHHIVVNDWDRGVDADQNVVLISVPSVLSPDLAPPGKHVLHAYTPGTEPFEIWEGLDRRSNEYKNLKAERSEVMWKAVEKALGPGFNRDKCEVKLVGTPLTHQRFLRRNRGTYGPAILAGKGTFPGHSTPIPQLMCCGDSTFPGIGVPAVAASGAIVANSLVSVSEHSRLLDAIGI >Solyc02g086385.1.1 pep chromosome:SL3.0:2:49677861:49681735:-1 gene:Solyc02g086385.1 transcript:Solyc02g086385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRYRRRTPAPDYAAYVADEAKLCFSVKMMGFYLSQYEMRSSTLTESLENQDTIDKTCLEGSKVPQQVDKMFILIVDGTTLAIIDPVPCVLGVSLTDVDLVEFLQQVGVYIAIMLPAHLNCPANALLK >Solyc07g043150.1.1.1 pep chromosome:SL3.0:7:56891476:56892924:1 gene:Solyc07g043150.1 transcript:Solyc07g043150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CEH5] MRTKAELVFIPSPAAGHLISAVEIAKLILNRDERLCISILIMKLPMDFGVQSYIESLSSIPRLQFVDITVDENTIAGFMSNKETFFTNFVRSHKPKVKDFLNNSSFSRSDSRLAGFVLDMFCTSMIDVANEFCVPSYIFFTSNAAFLALCFHFESLKKEHHVDTSKCKNSNEELTIPGFKNPYPTKFLPRLTTDQSVITTTFFDSVTRIKETKGIMVNTFADLEPLPLRSLSVPPIYPVGPVVNFKEGGHGRNSQSETESIIKWLDDQPESSVVFLCFGSMGSFEMEQIREIAIALECSGHRFLWSLRRPPPKGQIGLPSNYENAEEVLPEGFIERTNGVGKMIGWAPQVAVLSHPAVGGFVSHCGWNSVLESLYFGVPIATWPLYAEQQMNAFELVKELGVGVEIRMDYFKDFHGNDENVEIVGAKEIESGIRKLMENADENEIRRKANEMKEKCHGAMKEGGSSYAALGLLIKDVISNIS >Solyc02g086170.3.1 pep chromosome:SL3.0:2:49556601:49560834:-1 gene:Solyc02g086170.3 transcript:Solyc02g086170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDGRREAAIASVPSLQPNFTNKNGVNNAQVSKFQELHRRRLKIKAKSKVKDKTKGTVVSTKKYNGEDVNAKCKAIMDEKSIETAKDVRITLSISSTTDLSSSQEDNTLSKKRQKLHWGLDTKERWERKSNM >Solyc09g066010.3.1 pep chromosome:SL3.0:9:64517693:64520252:1 gene:Solyc09g066010.3 transcript:Solyc09g066010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEANRVAVESCHRVISKLSQPHDEKILARETGEAVHKFKKVVTLLNSNLGHARVRKTKKILTPFPHSLLLENSSCKIVDDQLKPLQLLPITYPENIRLEIGSNVNRIHPSLELNSHSKNPLQLAQQTSYHFAQQQQQQRRYQLQQQADMMYRRSNSGISLNFDSSTCTPTMSSTRSFISSLSIDGSVANLDGNAFHFIGASRSADQSSVQHKKRCSGRGEEGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEEPSMLIVTYEGEHNHPRLPSQSANA >Solyc07g039625.1.1 pep chromosome:SL3.0:7:48783568:48803251:-1 gene:Solyc07g039625.1 transcript:Solyc07g039625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYDNFGDKGSTNSSSLFPPVSKGIGAETFESSPMRVKETDVDRGKMSTETYKRKDGSYVTEEAREIGEMWDKDLDKVASDIESCAHQSPIVPTID >Solyc04g081700.3.1 pep chromosome:SL3.0:4:65717863:65722141:1 gene:Solyc04g081700.3 transcript:Solyc04g081700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPVEFYTRVLFHMETPVEFYTRCRNRQKNGGFFITWRHPWSFTPGDFLSKMIQKIQA >Solyc06g060430.1.1 pep chromosome:SL3.0:6:38585017:38585608:1 gene:Solyc06g060430.1 transcript:Solyc06g060430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSHVLGNKSVAISLQWKIGIDAKDWDSIARKEKIQVHNDITLLPVPISVPLLESTNEAVAWFSMMSLSICIMSAAVQILYSKRYFRKKNLI >Solyc06g005840.3.1 pep chromosome:SL3.0:6:864305:869725:-1 gene:Solyc06g005840.3 transcript:Solyc06g005840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAANNLPREVDAEQIFGMAEKEMEYRVEFFNKLTHTCFNKCVEKKYKEGELYMGENTCIDRCVSKYQQVTNIIGQMLANGGRPM >Solyc03g062940.3.1 pep chromosome:SL3.0:3:34745175:34746409:1 gene:Solyc03g062940.3 transcript:Solyc03g062940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFKTPFNGYSVKFSPFYENKLAVATAQNFGILGNGRVHILQLNPNGPVSELAAFDTADGVYDVCWSEAHDSLVIAGSGDGSVKLYDLSLPPTNNPIRSFKEHTREVHSVDYNTVRKDSFLSASWDDTVKLWTVDRNASVRTFKEHAYCVYSAAWNPRHADIFASASGDCTTRIWDVREPGSTMILPAHEFEILTCDWSKYDDCIIATASVDKSIKVWDVRNYRVPISVLNGHGYAVRKVRFSPHRASAMVSCSYDMTVCMWDYMVEDALIGRYDHHTEFAVGVDMSVLVEGLLASTGWDELVYVWQHGMDPRAS >Solyc03g118310.3.1 pep chromosome:SL3.0:3:68708626:68713161:-1 gene:Solyc03g118310.3 transcript:Solyc03g118310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGVNDMGWIDGKEDGGTGSWVNQNNENHQQNNGGFPNENHQLNNGGFTNFQGMVDDGGVDWFMGGGDSNNHHINNNNNNGGGGGGGSNMQSHISYSTSFTEAENSLLLQPVDSSASCSPVSGNVFNNIDPSQVNFFMPQKSTIPSSLTGLSNNPMDNSFNLGMLNQAGNGMMNTGYHHLGSPNQMGTNNLSSYTQFSSPNLLQLPQVAGGYSSMGFGANNSANGNTLFLNRSRTHKPLDNFASIGAQPTLFQKRIAKNLVSNGENLGTEIGQSSSNLTDKKRKSSMNDEFEDVSMDGTLNYDSDEFMDISNKMEDGIKIGDSSNAASTVSGADQKGKKKGPPAKNLMAERRRRKKLNDRLYMLRSVVPKITKMDRASILGDAIKYLKELLHDINELHNELESTPANNSSLSPATSFHPLTPTASALPSRIKEELVPSPLSSPTGQPARVILIEVRVREGKAVNIHMICSRKPGVLLSTMKALDSLGLDIQQAVISCFNGFVLDVFRAEQSNEGQEMHPDQIKAVLMETAGFQGGTI >Solyc07g032770.1.1.1 pep chromosome:SL3.0:7:41119943:41120194:1 gene:Solyc07g032770.1 transcript:Solyc07g032770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFYPKLHVLESTCELLLTQPHSMIDISLLDMRAHHFGFKQYEAGKYILKFPRVLRYLNTKFREYYIPGLLVNHCFKLSKQN >Solyc06g076040.3.1 pep chromosome:SL3.0:6:47351873:47356685:-1 gene:Solyc06g076040.3 transcript:Solyc06g076040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4C9W5] MEEGRGVLVEKLIEVVNEIAAISDYRTSVKKEYCNLGRRLKLLIPMFEEIRDIKEPVPENSMIALVSLKEALESTKELLKFGSQGSKIYLVLEREQIMNKFHQVTTQLEQALGGVHYQELDISDEVKEQVELVLSQFQRAKGRVDTPDAELHEDLLSLYSQSNDPAIDPAVLRKLVKKLQLTGLYDLTQESCALHDMVTATGEDPEERIEKMSLVLRKIKGFVLTETPEIDSSSREKSSTCSGQVSIETTHKAPVIPDDFRCPISLELMKDPVIVSSGQTYERSSIEKWLEAGHSTCPKTQQVLTSNVITPNYVLRSLIAQWCEMNGVESPKRPGSSPNKSASACTPAEHSTIENLLRKLTSGSPEDRLSATGEIRLLAKRNADNRVAIAEAGAIPLLVDLLSTPDSRIQEHAVTALLNLSICEDNKRSIVTSGAVPGIVHVLKKGSMEARENAAAALFSLSVIDENKVIIGTFGAIPPLVTLLSDGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVVTLMGLLTEPQGSMIDEALAILAILSSHPEGKTTIGAAGAVPVLVNVITSGSPRNKENAAAVLVHLCSGDQHYLVDVQELGIMGPLLDLVQNGTERGRRKATQLLERINRYAEQQKQAQTEPEAPIHNQLSESPPSSTNVLEC >Solyc06g053220.3.1 pep chromosome:SL3.0:6:36040452:36041674:-1 gene:Solyc06g053220.3 transcript:Solyc06g053220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEDSSPVECSKKKSHEDGKRFSDEQVKVLESMFNQKTKLEPSKKLELARDLGLQPRQVAIWFQNRRARWKTKQLEHEYRRLKDEFDNLAMKFESLKKEKESLLKQLQELSDQMENNHAGCSRSQDSIDSEIYTSSENIEAEVDVKNNIPVCINTSLDHNRIKGTDSDREGTLFEHLRWKEEEEFWNMEELGDSSLGSPEHWYAVGPGDSFDLSCENSKWWEL >Solyc01g106200.2.1 pep chromosome:SL3.0:1:94038709:94055799:-1 gene:Solyc01g106200.2 transcript:Solyc01g106200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYQPESLAGAMNSDDVSPIEEVRLTVTNTDDPTLPVWTFRMWFLGLFSCCLLSFLNQFFSYRTEPLVITQITVQVATLPIGHFLATILPATKFRLPGFGPRLFSLNPGPFNMKEHVLISIFANAGSAFGNGSAYAVGIVTIIKAFYRRNISFLAGWILIITTQVLGYGWAGLLRKYVVEPAHMWWPATLVQVSLFRALHEKDDRRPSRAKFFLIALICSFCWYLVPGYLFSTLTSISWICWAFSKSVTAQQIGSGMRGLGLGAVTLDWSAVASFLFSPLICPFFAIVNIFAGYMLIIYMVIPIAYWGFDLYGASKFPIFSSHLFTSQGQKYDISAIVNDKFELDIGKYEEQGRIHLSMFFALTYGFGFATIASTLTHVALFYGREIYERFRASYKGKEDIHTRLMRKYKDIPSWWFNALLLVTLAISLILCIFLNNQVQMPWWGLLFASAIAFIFTLPISIITATTNQTPGLNIITEYVMGIILPGRPIANVCFKVYGYMSMSQAVSFLSDFKLGHYMKIPPRSMFLVQFLGTIISGTINISVAWWLLNSIENICQDDLLPPDSPWTCPGDRVFFDASVIWGLVGPKRIFGTLGNYSSMNWFFLGGAIGPVIVWLLHKMFPTQSWIPLINLPVLLGATGAMPPATPLNYNAWVIVGTIFNFFIFRYRKQWWQRYNYILSAALDAGVAFMAVLLYFSLGMENKGVTWWGTNGEHCKLATCPTAKGIAVDGCPAR >Solyc05g018432.1.1 pep chromosome:SL3.0:5:21137711:21139033:-1 gene:Solyc05g018432.1 transcript:Solyc05g018432.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNNVWKFTSIIDNIMIRFMSNKKLMNKRKKKKNHSMTNRSNSNPSGNMTIKYEICLKNHANNFGEDSVDGCREFVKKGDDGTKEAYVCANCGCFRSFHRMNSQSFYLVRIQYIRRPVFYYYP >Solyc08g083410.2.1 pep chromosome:SL3.0:8:63034065:63039785:1 gene:Solyc08g083410.2 transcript:Solyc08g083410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFFKPLFDSSPWLLQQLNVEENAVFFSCFLGFSALLWYFIRNSNKGLPPGPKPLPLIGNLHSLEPQLHTYFTSLSQTYGPICRLWLGKKVGIVIASPALAREVLKDKDTIFANRDVPAAGREFSYGVNDLLWTPYGPKWRMLRKVCVRDMLSCSTLDSVYALRKRELRQSIKYLYSQKGLPVNVGEQMFLTVLNVITSMLWGGTVKGEERASVGAEFRHVVTEIAQLISIPNLSDFYPGMAWFDFQGVVKKMKVVLKRFDKIFESMIDQRQKLDRNGFGQESKDFLQVLLKLKDEADAKMPLTMTEIKALLMDMVTGGASSTSNAIEFAMAEIMNRPDVLRKLQEEVEAVVGKDNIVEESHLKQLPYLYAVMKEALRIHPTAPLLVPHCPSETCTVGGYTIPKGSCVFINVWAIHRDPSIWKNPTEFRPERFLDNKWDFSGNDFNYFPFGSGRRICVGLDMAERMFMYSLASLIHSFDWKLPEGETLEVTEKFGIIMKKKMPLVAIPTPRLSNPSLYDLCPNSNKGLPPGPKGLPLIALLWCFIRNSNKGLPPGPKALPLIGNLHSLDASSETHIYFASLSQTYGPICRLWLGLKVGIIITSSDLAREVLKDKDTIFANREVSAAGREFSYGVNDLIWSPYGLKWRMLRKVCVRDMLSSSNIDSVYALRRRELRRSINYLYSQRGLPVNVGEQMFLTVLNVMTSMLWGGTMKGEERATLGAEFRHVVTEIAELISIPNLSDFYPGLAWFDFQGVIKKIKVLLKRFDKIFESMIDQRQKLDRNGVGQESKDFLQVLLKLKDEADAKMPLTMTEIKALLMDLVVGGTDTTSNAVEFAMAEILNKPDILRKIQQEVDTVVGKDNIVEESHIQQLPYLYAVMKEAMRIHPALPLLAPHCPSETITVGGYTVPKGSRVFVNVWAIHRDPSMWKNPTEFCPERFLDNKWNYSGNDFNYFPFGSGRRMCAGIAMAERMFMYSLASLIHSFDWKLPEGETLDLKEKFCIALKKKMPLVAIPTPRLSNPTQYE >Solyc11g028355.1.1 pep chromosome:SL3.0:11:21721356:21722126:1 gene:Solyc11g028355.1 transcript:Solyc11g028355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECKPNATPIELNAKMRAHEGKDLEDVMMYQKLVSSLIYLTQTRPDISFAVGVMSRYMHKSKKQHIEVVRRILRYVKSTIGYGLVYKKGEECKLVGYCDSDNAGDHEARRSTTGFVFKLGAGAISWCSKRQPTVSLSTTEAEYRAPTVATQESIWLMRLMKDLHRLVGYAVPLYCDNQSAITTFMAKTTANVEKR >Solyc02g070520.3.1 pep chromosome:SL3.0:2:40820104:40829834:1 gene:Solyc02g070520.3 transcript:Solyc02g070520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:K4B833] MSKSLPYSMKDVHYDNAKFRQRSVSQVISQVLLTSNGKRDYLKCSTGKLLVLLMLGGLAYLVLTNKSAVHPVSDGIAKNDGSKEGENLMTHGSGKFRRFWRKPPRLPPRLSPDEIISRNRSIQESMKREEPEWVARQQKVKDAFIHAWSGYKAHAMGYDELMPLSHRGVNGLGGLGATIIDALDTAMIMGADEVVHEAGSWIEKHLPERIEKKGQVNLFETTIRLLGGLLSAYHLSGGSQGRIPEQKGPNPSIYLENAKNLADRLLTAFTASPSDIPYSDVVLREKSAHPAPDGLSSTAEVATIQLEFNYLSYLTGDPKYSTEAMKVLQHIKTLPKVEGLVPIYISPQSGQFSGDNIRLGSRGDSYYEYLIKVWLQQKGTNFSYLYDMYVEAIKGVRHLLVRKSVPNGLVFVGELPYGQEGGFSPKMDHLVCFLPGTLALGATKGLTKERAMRENLLTFEDMENLKLAEDLAKTCVEIYSVTSTGLAPEIAYFNIEGNSEGGPGGGNKSSKYLNDIIIKPADRHNLLRPETVESLFYLYRITGDSKYREWGWQIFEAFEKYTKIDSGGYTSLDDVTVIPPQRRDKMETFFLGETLKYIYLLFGNSTTIPLDEYVFNTEAHPIPIISRSV >Solyc10g007800.3.1 pep chromosome:SL3.0:10:2015236:2016883:1 gene:Solyc10g007800.3 transcript:Solyc10g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSKQTSLILWATIASLLIMQNLVTPVISCRTPPKNSRTPPSHGGSGSGGSRNTPSHGSKSHGGKSHSGCDNPPSGGGSGHTPTLAPPSGGTGGGYSPPSPPSTPSTPDISVPSPPFDPNSPPSGGGGYYPSPPTDSGTPPTTPIISPDTPSTPFDPNSPPSGGGGYYPSPPTDSGTPPTTPIISPDTPSTPFDPNSPPSGGGGYYPSPPTYDTPTTPTTPIIGPDTPSIPDIFTPSTPFDPNSPPSGGYYLSPPTFPTPSTPGIPTFFPPTTPIINPGIPGTPGIYIPPPLFDPNSPPFSIDYWRTNPALIWALCGWWATIGSAFGVAPAPGLGSNFNLLQALTNTRSDGVGELYREGTASLLNSMVNKKFPYTTKQVRENFVAALSSNKAAAAQAARFKLANEGRFKRRA >Solyc02g093020.3.1 pep chromosome:SL3.0:2:54603585:54608980:-1 gene:Solyc02g093020.3 transcript:Solyc02g093020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVFNKDLLSWYLITLKLKETVDAGLQNTPTTPTPTPTTSRSISFPEFPLQQQQHRLLQKEEYHPADSLKITIEENVHTVEEGPKSPESEWVISIKDKLEQAKQDNDAGSWAKLSIYRVPLSLRRDDDKAYIPQIVSLGPYHHGKKRLRNMDRHKWRAVLQILKRTDQEIKMYIDAVRELEEKARACYEGTIAMNSNEFVEMMVLDSCFVLELFRGVAGGFQHLGYARNDPVFAMRGSMHSIQRDMIMIENQIPLFILDRLYGIQSDMPHEKGIVARLALRFFDPLMPTDEPLTKSDLTKLESSMGRSASFDPLADLAGLHCLDVFRRSLLQTGPKPTPRTWIKRWSHSSRVADKRRQQMIHSVSELKEAGVKFKKRKTDRFWDVKFKKGILKMPRLLIHDGTKSLFLNLIAFEQCHLDCTNDITSYVIFLDNLIDSPEDVKYLHYCGIIEHWLGNDAEVADLFNRLCQEVVFDVNDSYLSQLSEQINRYYDHRWNAWRATLRHKYFNNPWAIISFAAAVILILFTFAQTFYGVYGYYRPPH >Solyc02g068260.2.1 pep chromosome:SL3.0:2:38814716:38815561:-1 gene:Solyc02g068260.2 transcript:Solyc02g068260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPKSTEACAKKDSHATAQEEKTLEKLARAQWLRAAILGANDGLLSTTSLMLGVGAAKDQDQQSMVLSGIAGALAGACSMAVGEFVSVSTQRDIAKSIAYELKGSSPTNTAETKQVMEMDARRTMMEESKLDNDIKLEPLPSPLKAAAASSLAFLFGAFVPMMPALLVSDNRIRIFLMVLVASLALCLFGGIGAHLGGSSVKISAIRVLLGGWISMALTYGLLKPFDNDSKRDYDD >Solyc02g066870.1.1 pep chromosome:SL3.0:2:37646484:37649563:1 gene:Solyc02g066870.1 transcript:Solyc02g066870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKEKIGTSRNVEYKNMKLNLGLPLNGESQRCVASSVAEVEIQQSPTQGESQGCVASSVAEVEIQQLPTQEENIAKEKFENFMLNNMANVYTKCEGPKGKKIEGLLYSCAEIDEVKIVCLCHGNFLNAGEFVKHAGGGDVVNPLEHIFIEGKQIK >Solyc07g064550.3.1 pep chromosome:SL3.0:7:66766825:66775528:1 gene:Solyc07g064550.3 transcript:Solyc07g064550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKKGGRFMAEEENGVGATLRDALLFTTMCIVGLPVNVYIKDGGVYSGIFHTACVDDEYAIVLKRAKMIKKGSREANVARGSLIETLVILSEDLVQVVAKGVMLSADCIQGHLDGDNARTVCSNITYPEYTKKEMKATKSKVSTVDGEKASKERYVRNGLISKQSDRRESHESLRERTIREVQGSSSNVDVSVTQASHLENVTFMKNLELSSNGICNGSPPLSSTKLDDPNNDRHSHEQQTLGKTPCLGATSSGAPITSVSCVSSSSAPADLVPSRGSIHNVSTKESKLNPGAKIFSPSTLQHRTVTPPVVPTMAYVPDSCPVVPIVTAEPAVEITPFAPHSSVPVKFVPYNNLAAGNGGLDVQYAQPTIGYMGSRTQPARYGSQYHHLQAATGYVHPNSQNVMVGRLGPLVYMHHPVSHDIVQSAAGFPQVSTRPVLIPHQVHPPKHQGSTAPQALQLYMAPPVITGLQQPFTIPSIPITQSSFPVMRPMQFPVPNGFVPNKFA >Solyc01g081150.3.1 pep chromosome:SL3.0:1:80316307:80322153:-1 gene:Solyc01g081150.3 transcript:Solyc01g081150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFHFDEIIKVVKVDADGKKYEKVSRIEAESSDGATSIQLDINSELYPMKRKELYRMVISTTLMEGSAVTSYPPEGKSLLDKFEYIVHGLVYKVSMEGSGADKKVVVYVSFGGLQLMLKSDALKTQKFKLDQKLFLLLRKMVK >Solyc11g011450.2.1.1 pep chromosome:SL3.0:11:4511703:4513949:1 gene:Solyc11g011450.2 transcript:Solyc11g011450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSELVLHTGGCHCKRVRWQVYAPSSIVAWDCNCSDCSMRRNTHFIVPSERFELLGDSKEFITTYTFGTHTAKHTFCKVCGITSFYIPRSNPDGIAVTLRCVDPGTLTHVEIKCFDGQNWEGSYEQTGIASCSKTTDEDSK >Solyc12g009640.1.1.1 pep chromosome:SL3.0:12:2917481:2920288:1 gene:Solyc12g009640.1 transcript:Solyc12g009640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPETAAPPATPTENNEMVPKNEMIHEHGDEMVPQNEMIHEHGDEMVPQNEMIHEQSHEIVPENEMQNEMIHEIVPENEMQNEMIHEHSHDIVPENEMQNEHSHEIMPENEMIREHSHEIVRENEMIPEHNHEIVPENEMIHECSHETVPQNEMVHEHSHEMVPDNEMTHEHTHEMVPENEMTHDHNHEMVQENEMTHEHNHEMVPENEMMHEHGHEMVPEHEMMHEHSHEMMPEHEMMHEHHMVLGHEIVPSNEMVPDDEMIPLNEMVLAEPQPNYIETPPNNPETQPSKRRKKKSIVWEHFTIENVGGGTRRAQCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCPVVLRNQQNNQLSPYSTPPKMSGYGGSTDAPKRRYRTASSPYLAFDPDRCRQEISKMIIMHDYPLHMVEHPGFLAFVQNLQPRFDMVSFNTVQGDCVATYLREKQAIQKVIEGVPGRICLTLDMWSSCYTVGYVFITGQYIDSEWKIHRKILNIIMEPYPDSDTAFSHAVAACLSDWSMEGKLFSVTINQPLGDASVDNLRALLSVKNPLVLNGQLLVGSCLARTLSSIAQGAFNFLHETVKKVRDSVKYVKTSEFHEEKFIELKQQLQVPSTKTLALDDQTQWNTTYEMLLAASELKEVFSCLDTSDPDYKDALSMDDWKQVEVLCTYLKILFDTANLLTAPTIPTTNTFFHEAWKIQLELARAAASEDPSISGLTKTMQEEFDKYWKSCCLILAIAVVMDPRFKMKLVEFSFTKIYGEEAATFVKFVEEGIHELFLEYVALPLPLTPAYAEEVDDGALKQENGGGGLTDFDAYIMETTSQQSRSELDQYLDESLLPRVHEFDVVGWWKLNRMKYPTLSKMARDILSVPVSTVTADSVFSTVGKEMDRYRCSLRPETVEALICAKDWLQNASVNTLHAPIKMEVPI >Solyc09g015270.2.1 pep chromosome:SL3.0:9:8499768:8500553:1 gene:Solyc09g015270.2 transcript:Solyc09g015270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVLIYPIFTIKAKGSIVSVASTIPAARQLVNHQHILVNGHIVDIPSYRCKPRDFIIAKDEQKSRSVTQISPHEELPNHLTLQALQYKGLVNQIINSKWVSLKINELLVVEYYSRQTLT >Solyc06g073090.3.1 pep chromosome:SL3.0:6:45169049:45172920:1 gene:Solyc06g073090.3 transcript:Solyc06g073090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloroplast-specific ribosomal protein [Source:UniProtKB/TrEMBL;Acc:Q672Q2] MATLSLSPSVGTTFHSLHSYPNGSSSYSSSCPATASPALSLTLSSTNSRFLNSAFKMNEINVPVRNRVTKSFGVRMSWDGPLSSVKLILQGKNLELTPAVKDYVEEKLGKAVQKHSHLAREVDVRLSVRGGELGKGPKIRRCEVTLFTKKHGVIRAEEDGESIYGSIDMVSSIIQRKLRKIKEKDSDRGRHMKGFDRLKVRDPEALLVQEDLETLSQEEEVEDDKSDGFVTEVVRKKSFDMPPLSVNEAIEQLENVDHDFYGFRNEETGEINIVYRRKEGGYGLIIPKEDGKTEKLEPLEVEPEKEPSIAE >Solyc03g095250.3.1 pep chromosome:SL3.0:3:57655491:57664600:-1 gene:Solyc03g095250.3 transcript:Solyc03g095250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGMDADVLMDYVEFQIFPSQNRYEAHICYGNKLVTAASGLLEQLILHCPKIKSLHSKGSDANFRFRPLGNLSDAKWFTKSTLIRFLRIISSSPIIDMTKVMVNEISQLEEARKFHVSLYSKGPQDRIGSGEAAECDYSSGTVSSLQQEDDNPSSKASKNELLRAIDLRLTALKGELAATLNQAAGTTCSFENIINIEKFSYYLGAVELRNCLQKFIAVSEENRAIAFPGKELSLSKVDVTNDNVGSEGGNSQTSGPSKLDTPVKYSASPAKAAQIERQNSSGSEESACSSEEEQPSVERSRTLIRSASPRRSASPMRRVQIGRSGSRRSTAITIKSLNYFPARERSISHKDDAASGSDEEDSEQTSKKGEKNACRMSVQDAISLFESKQKGQAVDYQRTKSLLSASVGANKGVLRRWSSGVCENYKGSVDVASDDPVSEAINVLESRENETILEKKPDSYPPPVSQDTEAAAADFKQNLPEEKAYSPNVTTEGSFPNQHEEMDEKLNASVEWTRQKEAELDQLLTKMMETKPSKYRNLAASNGKKQSRPAERRGGFYDHYKEKRDEKLRGEAARNRAETDKQLKAMQQILDERKADIVTGNANNVSKKTNIKRTQRTVKKSPESTNTKDGTPKPSVAKKASSKASQLPATRKSWPSLPSPRVAGTSTAKTPSTTNSAGTTTPTRRRSQPTKAVPPTSQKGEKIQPQAKSVKTPPSNIRKNVTNGNDKKQQTLTKASKPSKARVQPTPGDSASSAKPRLSRVAKKSSVVPLESKEAKPFLRKGSGTASGHSPVIKAKVSSQPEKSLRESKDFVQAEENEIASVASSPLNQLQDKGLEELKIHEDENSVIKLDSPQKYENRDSCNKVTPDNEDDFGRMEESALKREVEEESNISPRAWVVIEEQEDQAVPCNDGFGPNESLTDGTTLKISSPRVRHSLSQMLLEESSEDVIDWGNAENPPTMVYQKDVPKGLKRLLKFARKSKTDSNSTGVSSPYVFSEGEEDPEDSKLLTKSSSDNLLRKATLHAKHSGQPKMSSEDYELSAQTSIGRIAAQKLQASRLSAPASTTKASRSFFSLSAFKGSK >Solyc01g012580.1.1 pep chromosome:SL3.0:1:9651188:9651554:-1 gene:Solyc01g012580.1 transcript:Solyc01g012580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFIDALMQRHFIVNAKKLVNHLECLGDVVLDYVVTTHLYFKYSRLLLRLITYLRSTFVNNKCSAQSEVKANMLEHIVHASR >Solyc10g018010.2.1.1 pep chromosome:SL3.0:10:6383552:6384001:1 gene:Solyc10g018010.2 transcript:Solyc10g018010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTKRKRDRPATAKSSGDSALSRVVLSHFPFSCSVQGFQEEQQKPEPAASTRPRDYEMTPRRAPRIRAVHVHINFANFGQKSGILEKPNSLLSLRVSNIEMGFGFSILPSSAHSPPPPPSFWNHKNPPYLFASAFKKIKGEEKKSWENL >Solyc01g022750.3.1 pep chromosome:SL3.0:1:32839765:32846171:-1 gene:Solyc01g022750.3 transcript:Solyc01g022750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQILKRLKVPPNSASLEEARTRTFEFFRMCCRSIPHVMEIYNLHDVVTPSQLRSAAAAEVRKNANITNPKVIDMLLFKGMEELMNIVNQSKQRHHIVGQYVVGNQGLVQDVSAKDRDASNFLKKFYSSNYS >Solyc10g050325.1.1 pep chromosome:SL3.0:10:48884553:48888721:1 gene:Solyc10g050325.1 transcript:Solyc10g050325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTFQLPDIQHNRDTILQTAKNLYRYRRSRHHDHFNKFSTKEESLQNIPTDVNETEWKFLVDYFSSDEFKKMSERNKNNKAKQEVNHICGRKTFQAVSYEARNTTTGKEPNFQKLWEITHMKPNGQWVTSPSAEVNGKVKDVIAEKIQDIDKGTDVDPIINAAFVKSASRISRNEIQEQLRAQQKEAEEERYKRESVEIKLMEVKNQLEEERKNREVMEFRLVHDQKLLKESMMALVSHLKNPKNDLPASIFNIFTTSTTSNETSSACLMNNNWEDLHVKKNQESQMQKELDNLKDVLNFEKQNLEMAIYDCDKFNTLCNEKDVELKATLTEKRNLEMWLPNVEFSRLKENYSERIGRTQIIRIVFDKIHEELKARCMLRTVEETKKRLLSEKLSLEEKIVEIEKKKSSEVCFLNISCFTPSSKS >Solyc08g067500.2.1 pep chromosome:SL3.0:8:56575539:56583027:1 gene:Solyc08g067500.2 transcript:Solyc08g067500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSKMQKISAFCFLLCIVIISPQTEAAISCNTVYSKLMPCLSYLMGGSNRAAAPAGCCSGIQSLYTAASTTTDRQGVCSCLKSGAASLGNSIDTSKAATLLSKCGVTVPYKISPNIDCSQPLSLLFLIYIVIAASPRVDAAITCSSVFNGLIPCLSYVVKGGKVPPTCCRGIKSLYSIAKTTADHQGVCSCLKMAASSVSGIDFKNAAALPGKCGVKNIPFKISPKVDCSKVR >Solyc01g091920.3.1 pep chromosome:SL3.0:1:85328977:85344333:-1 gene:Solyc01g091920.3 transcript:Solyc01g091920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFYIVFLKDNPANEESAFQSHVDVLSSLKGRDATESHVYSYTKIFNAFAAKLSQYEVHKLSSMDEVASVIPNRYRKLHTTRSWEFIGLPATAKRRLKGESNIIVGVFDTGVTPQSKSFKDDGLGPPPAKWKGSCHHFANFSGCNNKLIGARYFKLDKVPDPNDIMSPVDVHGHGTHTSSTLAGSMVPDASLFGLARGTARGAVPSARVAMYKVCWATSGCSDIDILAAFEAAIIDGVDIISISIGGLTGGYTTDVISVGAFHAMRKGILTVASAGNDGPNLKTVANHAPWVLTVAASGIDREFRSKVLLGNGRTVSLEYAKEKTRLLDHCFRSKFYGLWVDILHSLIIYAFTHSSIVQGIGVNAFDPKQKLYPLAMGVDIAKSSDTRESSRYCSEGSMDPRKVKGKLVYCQLGSWGVDSVVKELGGIGTIIESDQFLDSAPIFMAPATIVNSSIGKSMNSYMHSDRLPSAVIYKSQEVEIKAPFIASFSSRGPNPGTKRLLKVNLDGSQSSLFIIVQPDIAAPGIDILASYTPMKSLTGLKGDTQFSEFTLMSGTSMSCPHVGGAAAYVKSFHPDWSPSAIKSAIMTSARSMSSKVDREAEFAYGAGQVNPMKARSPGLVYDMDDMAYIQFLCHEGYNSSSVSSLLRQQVNCSTLIPATGEDAINYPTMQLGLKSDQEPTIGIFRRRVTNVGQAKSVYNATIRAPKGVDISVQPMTLSFTRPMQKRSFKVVVKAKPMSNAIILSGSLTWKSSRHIVRSPIVIYDPKMSFRNCMFLLACIVSVISSTLAEQDVYVVHMDKTKVRSLDSNLGISKRWYEDVISSISVNSEEEQEEKPPQLLYVYEKSISGFSAKLSKKQLESLKQVDGFLTAVPDEMLSLHTTHSPQFLGLKSGRGLWSGPNLTSDVIVGVIDTGIWPEHVSFRDSGMPPVPSRWKGKCEAGTKFARSNCNRKIIGARIFPKGYEAAAGKINEKEDYRSARDSQGHGTHTASTAAGNLVNGANLFGLAKGLAGGMSYGSRIAVYKACFMLGCSSSDVLAAIDQAVIDGVDVLSLSLGGLPKPFYIDNIAIAAFGAVQHGVFVSCSAGNSGPLSSTVGNAAPWIMTVAASSLDRSFPTIVKLGNGHVFKGASLYVGKPTMQLPLVYGRTAGGEGAQFCTNETLSSRLVKGKIVVCEKGINGRAEKGEQVKLAGGAGMIMVNRVEEGDELYADAHVLPATSLGASAGIAIKKYINLTKTATASIKFEGTVYGNRAPVVAAFSSRGPSAAGPDIIKPDVTAPGVDILAAWPPNISPSMLKSDKRSVQFNILSGTSMSCPHVSGLAALLKSVHRDWSPAAIKSALMTTAYTLDKKRTPIADAVSETSLSATPFVFGSGHVDPERASDPGLIYDISTEDYLHYICSLNYNSSQIALLLRENYTCPSHSFQSLGNLNYPSFSVLFDSNNQHLIQTFKRTVTNVGTPRSTYIVQVKTPYGVSVTVKPKILKFHKKGQKLRYKVRFVTKGKRSPADSTFGSLTWISRTHIVRSPIAVTWQ >Solyc07g007725.1.1 pep chromosome:SL3.0:7:2374131:2374488:-1 gene:Solyc07g007725.1 transcript:Solyc07g007725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHTFLGLLAKIKFIMVACYWDLQVSSRRCTTALAWHTPPILVDFCVVIKTSTFLEQNRYNMVEKAMDLAKLALSG >Solyc03g062790.3.1 pep chromosome:SL3.0:3:34468612:34483042:-1 gene:Solyc03g062790.3 transcript:Solyc03g062790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLQNLVPPFSCRRTTYTSPCTPIAIFRGGRRRNARKMLRVCRAMVEKTVQGASSTFAKEMERLSAKESLLLAFKDAGGFEALVTGKTTDVQCIDVNERIISLEKLNPTPRPSTSPNLEGRWNFEWFGAGSPVLLLAKIFFGRIPPTLANLSKLDVLIKDGCGTATAQVKILNSIENKFIISTKYSVEGPLRMKEEYVEGEFESPKVDEEAVPEQLRGAFGQAFNTLQQLPVPIRDAVSSGMKVSLSGTFQRLILISYLDDEILIIRNTAGEPEVLTRLEAGPDPQNITEYES >Solyc01g056345.1.1 pep chromosome:SL3.0:1:53671520:53683324:1 gene:Solyc01g056345.1 transcript:Solyc01g056345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLTNAPATFCTLINEILHPYLDQFVVVYLDDIIVYSSTLQEYVEHLKKVFKVLRENQLYVKREKCEFAQPKIHFLGHMISQGELRMDEAKVKAIQDWEAPTKVTELCSFLGLANYYCRFIIGYSAIAAPLTELLKKNRPWLWSEECQGAFEGLKAAVIQEPVLMLPDFTKTFEIHTDASDFAIGGIIFILALNIYMNFRDNMRSVFNGTKLRGVRFRLKDERDGEITPHLPTPSYESYSRTKKGGKTRHARSSLRLGSLRIAVIGDALGTLLSQNW >Solyc05g007300.3.1 pep chromosome:SL3.0:5:1952872:1954905:-1 gene:Solyc05g007300.3 transcript:Solyc05g007300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:K4BWQ6] MGSDNNVLAVIAKNIDVLALPLISLVYPLYTSIKAIETKSRADDRQWLTYWVLYSLITLFELSFSKLIEWFPIWSYAKLAAICWLVLPYFNGACYVYENFIRPFYRNPQVKIWYVPLKKDIFSKPDDVLTAAEKYIEEHGPQAFERLLAKADRDARTRRNNYMTFDDDYRY >Solyc09g072640.3.1 pep chromosome:SL3.0:9:65681895:65685998:-1 gene:Solyc09g072640.3 transcript:Solyc09g072640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKVKGFLKALGISQMFEEEKEPEMQIGLPTDVKHVAHIGWDGPSIESPSWMKEFKEPGKFHTAPLGPPLDANDHPDNRSCDADGNPQYKNANSSLPDDPPEMTKSSRRHSSSENGSGGSSSPKKARSTRRHKESSDGTKTSRHSRPGSGGGSDSPARDLPDIPKKTRRKKSKEDGGVSSSRTSKSKEGTSSSPMDPGQDTEYDNSISSSRNNEIDKE >Solyc07g052660.1.1 pep chromosome:SL3.0:7:61242122:61243043:1 gene:Solyc07g052660.1 transcript:Solyc07g052660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLRMLMSLAAITMLLGSAMATNYTVGSPNGGWDQSTDVQAWAASKTFFVGDNLIFSYSLSHSVLEVTKAGFETCQITAPIAIYTGGMTVITLASVGKKYFICGTGGHCNVGGMKLEINTLPKATPPPPPPAKPVTPPTPTPKAPPPSTPPPSTPKTPPPTPMTKPPVASPPSLSPSPKSSSAPSPRNIHKISPAMSPSKSSHAHSPAMPPTAVPTSPSVEAPGLPPSAEAPGLPPSAEGPDASAPATSSPSSADKISVVAGSTVGFGFVVMMMFLL >Solyc06g062883.1.1 pep chromosome:SL3.0:6:39809065:39813513:1 gene:Solyc06g062883.1 transcript:Solyc06g062883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAGLMQSSLCHFRGQSFQGCRQWETGNIGISQFELSTQGTSGPCICPTKDEQRSTTEDVVSANFPHHQSAIPRYMAQVPPNVDVKLSGRGTKLLIVTLAIPRDMAREPPNGCEAF >Solyc02g067820.3.1 pep chromosome:SL3.0:2:38502120:38506954:-1 gene:Solyc02g067820.3 transcript:Solyc02g067820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METMADAEPAKAKPRPIIRLGLFLISHSFIVSVACCAAGIFALLLLPILAKNTYISENALMPGSASPMLSNDDVSRARMFVNKVVSFDFNTDSSSIGIQDLVAQHITDLGGEVNFHRFLPQVNKFNPLHFFSSPDQGIAQENYTCSSYGINTVGFIRAPRGDGKEAIVLVTPYNSVKTSMGEALSLGIAYSIFSLLTRVTWLAKDIIWLAADSQHGEYAAVAAWLREYHTPSFDGSGRSLFESNASPTTGRKRPNDFLRAGTMAAALVIKVTDGSEKAERDVLNLYAEASNGQMPNLDLINVVNYLAVNGQRLRVKVEKLGSLLDSWWLRSLAELFYKLGTVARSLNPQWNFGISVAEYIEGSATLASSLYNQALGVPTGPHGVFRDYQVDAITMEISAKHVANYKNRPNELLLQGGRLVEGVIRSVNNLLEKFHQSFFLYLLTSPNKFVSVGVYMIPFALLVAPLPMVAASLFTDSTRRISGMETKVASSPASSDIPAISFRSWRWLHAAKTVFIVHFWGAVVTLLPHFLSLVQDSSPLTNLLSWIILSAFTLLILQAILGSSFSLLHMNRTQGMEWTLLKSVTIAAACTGLCIMSVINFATAELGALMLVPMCLMTRPLMLDAKAKTLKSFIRAACNIVLIFLVFPPVAYYLWKGALVGVENARVGDFWNWVESLWTWNSATYIYMCMVHLPCWVLFLSFCICILAKWNWEYICGSIIVFVFVSLRVFGFSYC >Solyc10g039220.1.1.1 pep chromosome:SL3.0:10:20899035:20899217:-1 gene:Solyc10g039220.1 transcript:Solyc10g039220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFTFGWRLKISLMKTLYSCLKASYPLCHFQIDNNDASIFQSDLFFLHPVALKSKKAHG >Solyc02g087390.2.1 pep chromosome:SL3.0:2:50483138:50486526:-1 gene:Solyc02g087390.2 transcript:Solyc02g087390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLREDVSGGKERFRRQINYSRRESRRSSQVVENGLGSRIAGSGLTFLRPCNSNLRTEEALSYLKEVKDTFQGKVKYEMFLDIMKHFKAQRIDTVTVIERVKDLFKGYPRLIMGFNTFLPEGYTITPNQEDKPRVEFGEAVNFVNKIKTRFQNADQVYRYFLDILNVYRKKQKGIDEVYREVAEILGKYLDLMEGFNEFLDLYDRVDTYTKGFTFCEEVKDRLGSPVDYQTFLKCLHDYSREIITREQLHSLVAQVLGKHPCLMERFNVFIYCYERAVGFLVGVMTKWNDSKLVKEEEKKCKTEAPSTYQVKQETEAPSTYQVKHETEDPSTYQIKQENEARPQGIKFEEAASFVEKVKELFRQDNHVYESFLNILKMYYREHENKYDVYHKIAILFKDHSDLLDEFAKFLQILQLISC >Solyc05g046190.1.1.1 pep chromosome:SL3.0:5:59211630:59211896:-1 gene:Solyc05g046190.1 transcript:Solyc05g046190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCISSFSNFGSSRFDNVEILGNSGFGSVGSSDFGISGNSNLGKVGISRKCDNSGLDRVGSSRFGISGNSGKCGNSDLENSGKITSRR >Solyc01g059740.1.1 pep chromosome:SL3.0:1:68210587:68211334:-1 gene:Solyc01g059740.1 transcript:Solyc01g059740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANYQDKGKRIVEDVSVELMLGLSYFRASSKKSKIPNSSSSIVNEVFVAEESEVEYLRQRNDPFSVTRTSSFPMDTEIEWRQRLEAEMDCRQRMETEMEWRRRMNTEMEWRQCNDAFPIMKTTTLPLMETEMEWRQINDPFCLMRTSLMPPMET >Solyc09g082840.1.1.1 pep chromosome:SL3.0:9:68942513:68942926:-1 gene:Solyc09g082840.1 transcript:Solyc09g082840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMCFSSHHHFLLCLFLLSFLCVNSQSSYVVVLINESQNNVATEQCYVNYEIHPGEEILLKPGMSDHITTTFHPGKSNTLSCNLQLGEKYVDFFTLFDSDDASICHNPSEECLWKIHEVGLCMFSQGKCVMFQWYNY >Solyc12g042580.2.1 pep chromosome:SL3.0:12:58873893:58882698:1 gene:Solyc12g042580.2 transcript:Solyc12g042580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHVFFLIVTTAIVATTISATDHIVGANKGWNPGINYTIWSNNQTFYIGDFISFRYQKTQHNVLQVDKVGYNNCTIEGALRNWSSGKDFILLDKSKRYYFICGIGGCSNGMKVSVLVHPLSPPPRSDAVSAVHSSEKSDAPVTFHGNFGSILVFVGLSYVCFRI >Solyc05g013670.3.1 pep chromosome:SL3.0:5:6973893:6980471:-1 gene:Solyc05g013670.3 transcript:Solyc05g013670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAFPPQNPLSNSTPPPYYPPTLIFSSVTFYKAAVKASSQRLPLLTLTNAAATSSTTLRHVSGTCSDDNGDGDGDSMVTSASAVAAAIRNASTSPVEFVQTIEKDDGKNRGLVLPSVDFQRLCLEQLELFRRIVHPDSVLSVYVRPAGSYVMDRLELQRIILHPCVNETDLVILIGNFSIPAGLRIAEAALSRQEAELLPELGAVVFPMLKHPFIVGFLVVELPRTTWDKGVLNVKGWPAQEETFPIPSSTDLKSLNIKTSSDHSFEMLKFTAEQRLNAINISRSLAMAYVMDQKSILLQQSTWQNNIRMSNLVEQIRSSLSSIRTLSKMLSVQIRKSEISYDIVQDILEQGDCLSDTLKGLQDAVSLTKANIMRYSEETLKRMPKSTHPDHESVRSQLSDNLSQKLESVFSKSKDLEMPMPPIALAPLRQEGIRPCNVSDVLVDLVEAVAPLAHEQRRAVLLSEVPRSLQVPIEEPALRQALGNLIEGALLRIQAGGKVEIIAVGAPAGGALVIIDDDGLDMHYMTQMHSLAPFGADLFSEDRVEDNMTWNFVAGLTVSREILESYGCVVRVISPRVTDAAIGTGGTRIELWFPSFSASSVVDGPSHEA >Solyc09g082290.3.1 pep chromosome:SL3.0:9:68490750:68493846:1 gene:Solyc09g082290.3 transcript:Solyc09g082290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYKHLAFPNLVLSWMLLNALSLHTINGQTITTPCTGPMITSFTPCMNFLTNSSSNVGGLPIEDCCNVLKNMMTNAMNCFCLIVTGGIPFQMPMNPNMVMSLPSACNMAGVPLKCKAPSPPEVVAPGPRSDAGAPSASPTTAPIIPPRSPKDSTVPPPLPSNSSPHADENPTLTPPSPPTESLIPATNTGRLTPATPSAAPFLGHGISPLLIILVAFGAIC >Solyc08g068790.2.1 pep chromosome:SL3.0:8:57968454:57969182:1 gene:Solyc08g068790.2 transcript:Solyc08g068790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLQQPIPSDSLITDASSNVTITGKIYTRVPTESSLANLLFKENPLPLFYGPSVLLLEVSPTPFDEPTNEGFKPVLTTFDLKFPVVEGQVEEFRSKYDDKSDAYIAGYAFFYANYSCFNDKPGFYFESLYFRESYRKLGMGKLLFGTVSSIAADNGFVSVDGIVAVWNKKSYDFYINMGVEIFDEFRYGKLHGENLQKYAHNKDKNDEESC >Solyc06g065690.3.1 pep chromosome:SL3.0:6:41229049:41233402:-1 gene:Solyc06g065690.3 transcript:Solyc06g065690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4C7J8] MWKHFLSKLPKKSLKSESVDSGRGNSDSNSPNASSNLGPGRPNSVPRRTSSVVFPASVIAGIEPLISFKDVPSSEKMNLFISKLSLCCVDFDFRDPTKNVAEKELKRATLFELLDFVSANPPKFSEPAILALCKTCAVNLFRVFPPNYRSNNSHASENDDDEPTFDPAWPHLQIVYDLLLKFVTSSSLEAKVAKKYINHQFILKLLDLFDSEDPRERDCLKAILHRIYGKFMVHRPYIRKSISNVFYRVVFESEKHNGIAELLEIFGSVVTGFALPLKEEHKIFLSRTLIPLHKPKSLGIYFQQLSYCINQFIEKDPKLASTVIRGLLKYWPITSTQKEVMFLSELEEILEVINMAEFQKVMVPLFWRIGCCINSYHFQVAERALFLWNNDQIVNLIAHNRHVILPIIFPAVESNTQNHWNHAVQNLSLNVRRMFSEMDDVLFLACHSHYKEEQEKIDLESEKRKEAWEQLETAASLRPPVAGNIAVLVTPLATSITC >Solyc05g013580.3.1 pep chromosome:SL3.0:5:6883582:6887526:1 gene:Solyc05g013580.3 transcript:Solyc05g013580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGVTRFLEKVYIFEEGGSGYCSKKKDDICNEGAGRALSMSRLKCALRGLDLKTYIFLFLFVPTCVFGIYLHGQKITYFLRPLWEKPPKPFHEMPHYYHENVSMENLCKLHGWGIREYPRRVFDAVLFSNEVEMLTIRWKELFPYVTEFVLLESNSTFTGLPKPSYFANHRDQFEFVESRLTYGQIPGRFRKGENPFVEEAYQRLALDYLLKQAGIQDDDLLIMSDVDEIPSRHTINLLRWCDDTPPVLHLRLKNYLYSFEFLVDSNSWRASVHRYQSGKTRYAHYRQSDVILADAGWHCSFCFHHISEFIFKMKAYSHFDRVRFSHFLNPKRVQKVICEGADLFDMLPEEYTFREIIGKMGPIPHSYSAVHLPAYLLEKADQYKFLLPGNCMRESG >Solyc01g099580.2.1 pep chromosome:SL3.0:1:89650481:89654268:-1 gene:Solyc01g099580.2 transcript:Solyc01g099580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKTEENNAFEHFSHGHQFVYINKQSNAICFGCRLNILPGKFYYKCESTCSFFLHQECFNMPKSLQHPVDPIHRLTLLTTIPSSSKCNACRKEILGFSYACANCSTYYHTLCLLALPLSIEMSSHCHKLDLEFCPPYDFECDLCKKPSYKGWLYHCSSCEFDAHISCAITHTDERKTEKCDELMELLSIYMKGTEETSVSQDQLHQYQAQQTPSYQFSDQCFSIDLTKSQQLNDEQTRSMDTKEKSNVAYVTLANEIGSEVWMGLGREMEKAYHTNDSNKESFRRGEMNVDLVLGYPKKGVGVEKDDIDKMQFAVNLEFLEAEYFLWASYGFGLDVVAPNLPMSGPPPIGARKANLDQLTNNIIMEFANQEVGHLRSLNSTVGVFPRPLLDLSAKHFAKIFDDAFGHKLVPPFDPYRDSLSYMLSCYVIPYVGLVGYVGTNPNINGYETKRLLAGLLGVESGQDAVIRMYLYERAAELVSPYHYTVADFTSRISGLRNKLGNCGIKDEGVYVQSPLGAENRTRSNVLSANFGSLSYKRTPAEILRIVYGSGDEHVPGGFYPNGANGKIAKEFLK >Solyc03g059290.1.1.1 pep chromosome:SL3.0:3:30675785:30675952:-1 gene:Solyc03g059290.1 transcript:Solyc03g059290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKLYDPLVGEHFNIFAASLKVTDRVLTLSHGYSWKQTSKGRWRLHQIINEND >Solyc05g009200.2.1 pep chromosome:SL3.0:5:3346033:3348053:1 gene:Solyc05g009200.2 transcript:Solyc05g009200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHERSKHLHNFDLSCGFKWGNHKFLRCAKVDSNGEIPHFHRRSNRSNAIGRRMREIDVMVNNRRSNEVRDELLKETDVGFTRKFRLTGYNSAAGGGGGGDEIAAVREKLMFDLQVEVDKMKYAIFRDGLEEEVSVTPAITPTEITTGIDASRPWNLPLKVDVIRNNRLSSLSPLRTENKSVRLQSEFFGAGDSYTDEKRKKKNFSISLGRIEIEEDFMAMIGHRPPRRPKKRAKMVQKNLDTIFPGLWLTEITPDLYKMVTLIDTGIVASPFRVWEFDETVEFSLVMKKGVKGG >Solyc01g109970.3.1 pep chromosome:SL3.0:1:96702825:96721321:1 gene:Solyc01g109970.3 transcript:Solyc01g109970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLATEGWRNNFAKPMAKRAQPYSPRDLFSKGIKKMKFDESKNQSSPTAAATSWREECEHGKEKGSSRVANRSETFAIPDLLKVLDSGKFGSVTREIEDLIMRRMKAVNSCYASDPSLPNKVLEWERNHECAFKGNQPSPAVIDLEDGQETNNIASGPMISACLPSAELLVIIDSDDEDTQKETISPSQGIYSQINPILGMPLKNAALDFQIKDFMGREYGERQISVEAVSLAGEAEIETDKGVYVGVEDDDEIDDGAEQPDEGLTDIWNEMSFALEFSKDVAAEPSPDEHTFEEEDECDHSFILKDDIGYVCRICGVIKRSIETIIEFQYSKAARSTRTYHYEGRSVKDIGPTELLPDGIIPSDDIDMTEICVHPRHRKQMKSHQVEGFNFLVSNLLRDKGGCIMAHAPGSGKTFMIISFLQSFMANNDRARPLVVLPRGILGTWKKEFLRWQVDEIPLYDFYSVKADNRSQQLEVLKQWSQERSVLFLGYKQFSTIVCDNVGSATAAACQEILLKCPSILILDEGHTPRNQDTDVLTSLEKVQTRLKVVLSGTLYQNHVKEVFNILNLVRPKFLKLETSRSIKRTILSKVASSNRRNLLKKSSDNDFYELVEHTLLKDDNFSRKSAVILGLRKMTEKVLHYYKGDFLEELPGLVDYTVLLKLHPKQKSEVAELKKLGRKFKISSEGSALYVHPQLKSLSRNCSAKDRVDEEKIDTLLENLELREGVKAKFYLNLLQLCETKGEKMLVFSQYLLPLKFLERLTVKTKGYSLGKELFMITGDTDGDIRESSMERFNTSPDARVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQERKVYTYRLVASDSPEEEDHTTCFKKESIAKLWFEWSENYAQPDFEMETVDINNCEDLFLESSRLNEDLVALYKR >Solyc08g077850.2.1 pep chromosome:SL3.0:8:61868669:61898851:1 gene:Solyc08g077850.2 transcript:Solyc08g077850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPIVDSLLRSFGQVPPAAIPAMLDCILASTNSAPSSIFSSLLENFPSFSKGIIDGSKDLGFEQRNCIVSFVSATCHLLKKSGAETRYMELLIWKIFLPLMKLVHSNDRELFNKVAGMTFSVVADTNSWGVVEETIIPFLLRLVGLSMGEIQSEELDAYKLCLNSKNLEDQHLEPQCTLHNNLVQCNPDYFPLPVSCHILTLILDASQQSLYTVRSVSRSDFVDECCTDKFSAKLVWDLCNITIKMLPQSVEHRSSAITFFLPSIFRALDFHSAFEVTINGQNYILSRKSILEELWKSCKTLFSLGPLERRDAYAILSLYLSFFTYTDECQYSYMSSTTEIFNLRAEKQFWDEMKKGLVDKESSVRKQSLYILKRTINLDEKNQYQSSVKTIDERSLAHRGMTKRERWAEEEAMSLGVGKICKTSDFLSSCYQKWEAFFLLYEMLEEYGTHLVEAAWNHQMTLLLHSSSSPENSVNTTNGNVYRTWMDSSQEIFEWLAVLWERGFCHDNPQVRCLVMQSFLSTEWTKYNHCAKLVPQNFLTGSLVEGLNDPVHNKDFGIRGVYSTWTIEAAGQFFSRYSSYLDERNGVAFLKRLASVAKSQSFGRAGLMCLTNCISSAACGIGQCSDISPVILQDKESYPSDKVDLLDTLRYIIESCKQHFNPSYRHQVCENILAAAVSVVIPTDVPLETLLLFISSLPREITDNGGSLRLKVQEWLGMSVKKPSTSDCLQTNLKLLESLIGYQRKLISSCHAIDIFVNYDDEDLDSWEAEAKRWTRVLFLVIKEEEDLNPIFKFIQDHAANVCDRSNNLECVPVKLLILLLSFIHELQVLQGRLVDCLKTGSSKTSLSISDKVDQYSMMKSSTIFVVFSKLFFSILDALVSYAGMSCSIFWSKHMDEGGDFSGSIRGRLGGPSQRRLSSSLTSSVLQAVTSIKAVASISSWSAQFGTDASLASVVTYLWNFCWKISSTSPACSSELEAEICLAAYEAAAGALEGLLSMFHLLLHHVTEDDELTSLKADGKPVLDSLLRTLLQNINNIIAVGNLARARRAVLLNWKWICIELLLSIPNHALKSGVHLRKHNSYFSDATLIWTFDDLVDSLENAGDASVLPMLRSVRLIMERLALGTEGSMVSACHGIDIQMMWKLVRSSWILHVSCKKRRIAPIAALLSSVMHYSVFGNEKMHKYENAPGPLKWFVEKILEEGTKSPRTIRLAALHLTGLWHAYPSIIKFYMKELKLLTQYGSVAFDEDFEAELSENRDAKIEVSVLAKSPDPELTEEFINTELYARVSVAVMFSRLAEIASTHNEDRNGSDALVSGKMFLLELLNYVVNDKDLAKELCKKYSAIHRRKVRAWQMVCILSQFIDQDIVQQVTHNLHVSLYRNNFPSVRQYLETFAINIYLNFPLLVGQELVPLLRDYNMRPQALSSYVFIAANIILHSTEEYKSRHLSELLPCIIPLLTSHHHTLRGFTQLLVHQVLQKLLPSHSSFYATMTLEEKCFQDLRSYLQDNPDCARLRASMEGYLDAFDPKKSVTPAGIFSTRVEELEFECVPATLMDQVTNFLNETREDLRCSMAKDAAAIKNESLLVDNDGKGKETSENLTEGQTVVLPVQDISLDFQRKITVSKHEMQSFSSAVLLENEGPLNSLLDIEKEDQLLERVLYSKTVAFEKSNASQQDIILVASLIDRIPNLAGLARTCEVFRASALAIADKNVVKDKQFQLISVTAEKWVPIIEVPVISMKVFLERKKHEGFSILGLEQTANSISLDQYEFPKRTVLVLGREKEGIPVDIIHILDACIEIPQLGIVRSLNVHVSGAIALWEYTRQQRSSSC >Solyc11g007130.2.1 pep chromosome:SL3.0:11:1581973:1588571:1 gene:Solyc11g007130.2 transcript:Solyc11g007130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFEGMKKLKPLLHLLLPLCVHWIAEEMTVSVLVDVTTGALCPGKTTCSEAIYINGVQQTVVGIFKMIVLPILGQLADEYGRKPLLILTVSTTIIPFGLLTINKSREMVYAYYVLRTISYIISQGSIFCISVAYAADVVDVSSRAAVFSWITGLFSASHVLGNVLARFLPVGYIFQVSIGLLIFVPVYMTLFLEETVSPKTEVTRSSTLKSNTLKIVQERYNSMRYAANLVTSSPTLKCISLVSFFYELGMSGISGVLLYYLKSAFGFNKNQFSEILMMVGVGSIVSQIVVLPLINPLFGEKVILCLALLSSIAYAMLYGLAWAPWVPYLSASFGVIYILVKPSTYAVISKAALSADQGKAQGFVAGIQSVASLLSPIVMSPLTSWFLSRDAPFNCKGFSILCASLCMVVSLCYASTLKVEAPRERTFDEIAENIEAPLLT >Solyc12g027733.1.1 pep chromosome:SL3.0:12:25973473:25974148:-1 gene:Solyc12g027733.1 transcript:Solyc12g027733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMTFLSPAQEAKDVLHHNFKMKDLGVLRICKVKKWDFDEPKEVCYGVSIRFWANGGKSTTTPLEQNQKHTSLEYENQFNITNDSQLEDRRVYQRLIGRLLDLAMTRPDHLSHFIHSPKKSHYVAALHIFVTGFGIKIGESLVSWKSKKQNIVSRISAEAEYRSMATTVTELVWLQ >Solyc10g051230.1.1.1 pep chromosome:SL3.0:10:51725500:51725649:1 gene:Solyc10g051230.1 transcript:Solyc10g051230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSVDSIPLQFVQTLDLKSNLLQGSLPNSILYCFIFHNNLREEIPPSI >Solyc01g110917.1.1.1 pep chromosome:SL3.0:1:97310807:97311064:1 gene:Solyc01g110917.1 transcript:Solyc01g110917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSKTKDVPKGHCAVYVGEEQKKRFVIPISFLSQPLFQDLLIQAEEEFGFDHPMGGLTIPCKEGVFINLTSRLRN >Solyc08g048233.1.1 pep chromosome:SL3.0:8:14679719:14682448:-1 gene:Solyc08g048233.1 transcript:Solyc08g048233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQFTDLRNFIEINFKVVLDSIKIKNAEDKFFFSFHGDGVEVTRKVPTVGVDQSEESSLNLPSKLNCQSILNDLNDQENFVRVNVTSSSPEVTFLQSSSRSPADHSDFSPLVQQFNTPEPQVVHQGSTTCVELKHPFVAMSDVDLTTLYLHFWKWLNEGLLVRHSANDCGLYVAAYADHISKGNLVPTFDPEFTRIQYASLLWNYGMQKIQADATSDNEAPERLVRIHRDVDIRDMITID >Solyc05g012140.3.1 pep chromosome:SL3.0:5:5349560:5352364:1 gene:Solyc05g012140.3 transcript:Solyc05g012140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNEIVSFTYLGLSKAVSPKRCRLGIPQTWLKWRSSLVLGGVGCSSRNPSFVSPRRNGFKLFSSVELGSFVTSDGEEKNEMSDCFFEAIEELERMTREPSDVLEEMNERLSDRELQLVLVYFAQEGRDSWCALEVFEWLRKENRVDKETMELMVSIMCGWVQKLIGSKSEAGDVVDLLVDMDCVGLNPSFSMVEKVISLYWDAGEREGAVSFVKEVLRRQIAYSDGNVDGHKAGPAGYLAWKMMEEGNYKDAVKLVIDIRDSGLKPELYSYLIAMTAVVKELNEFGKALRKLKGFARTGLVAELDLENLRLIEEYQADLLAEGVQLSDWLIQEGGPSLFGVVHERLLAMYVCAGRGIEAERHLWQMKISGKEVSGDLHDIVLAICASQKELGPISRLLTGMEASSSLQKKKTLSWLLRGYIKGGHLENAAETVIKMLDLGLYPDFLDRAAVLQRLRRRIQQSGNLETYLNLCKHLSDASLIGPCLVYLYIKKYRLWIIRTL >Solyc09g009760.1.1 pep chromosome:SL3.0:9:3241200:3246872:-1 gene:Solyc09g009760.1 transcript:Solyc09g009760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKFTPPCKAEEGKFAGGDEKGELVDFEMEAAQALACLANPGSRSDEFSVPGPSGRSEALVICKFVYFMMIIELISHMVVFRGGCTSRATGSAEFSNSAPDPVQVLMCFGRWKHLFKALMNREVQTQTLQFHEHVITIQSEHESEPKTSPRHVLNYPPVASRRSRQNMTEEEKEERRLSRVLANRESARQTIRRRQAMYEELTRKAADLSSENNNLKTKKELAAKEYDYLKNENVTLRRQMSRIEKAEAEETDGASRSKPVEISTTSPTPAPNPTPTPAPTSLFNQPPILPFFWPSIVQPFNLLQCSTQNISDIASVVPSPIAGEFNSINRQQISNPGNPLYLLPLPCLIPFYPQSNPFLPWASTVTEKHAETSSANQCSTSYMNMENNQAATTQETETEAFLGFPPDSCASDRRRQLSREMIPTTGPSGYIDTHHQQEDDAPDTNALSCTAGHRGDTSEKTSEEPITCSSEKTADAIAAATEARKRRKELLHLKGHH >Solyc08g007100.3.1 pep chromosome:SL3.0:8:1663884:1668947:1 gene:Solyc08g007100.3 transcript:Solyc08g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIGENSKESSSSTLARLAPLQAVLFDIDGTLCDSDPFHYLAFREMLLEIGYNGGVPVDEEWFVKTISGKHNDDLVSVLFPNDHERGVKFLDDKEALFRRLAKEQLKPQNGLYKLRKWIEDHGLKRAAVTNAPRANAELCINQVGLADFFDALILGSDCKHAKPYPDPYLKALEVLNVSKDHTFVFEDSVSGIKAGVAAGMSVIGLANRNPSQLLMEAKPVFLIKDYEDPKLWAALEEIDKKFATRKTTT >Solyc06g051200.3.1 pep chromosome:SL3.0:6:34476884:34481921:1 gene:Solyc06g051200.3 transcript:Solyc06g051200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSFSTMPTAKLRLQTLPTSTTVTPLTLHSPFLPSFRLPKHKPLSILRISTSPAISASLEAGVGIMATKLGMMSFFEDSGTVVPVTVVGFREGNIITQIKTEATDGYSAVQVGYRRVRDRKLTKPEMGHLEKSGIIPLRHLQEFRLQSVDGFEVTQKLDFNELFKEGDLVDVAGTTIGKGFQGGIKRHNFKRGQMTHGSKSHRQLGSIGAGTTPGRVYKGKKMPGRMGGTRTKIRKLKIVKIDDELKILMIKGALPGKPGNLLRIAPAKIVGKNIPKN >Solyc01g005210.3.1 pep chromosome:SL3.0:1:165332:169318:1 gene:Solyc01g005210.3 transcript:Solyc01g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLELASGEAPSPSFGRMSRRIPRVMTVAGIMSDLDDDGSESVCSDPSSSSAQKDRIIVVANQLPIRVQRKTDGSKGWLFSWDENSLLLQLKDGLGDDEIEVIYVGCLKEEIHPNEQDEVSQILLETFKCVPTFVPPDLFTKYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRLLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYKTLPIREEILRALLNSDLIGFHTFDYARHFLSCCSRMLGISYESKRGYIGLEYYGRTVSIKILPVGIHMGQLQQVLSLPETEAKVAELVQQYNHQGRTLLLGVDDMDIFKGISLKLLAMEQLLLQHPEKQGKVVLVQIANPARGKGKDVQEVQDETNSTVKRINEVFGRPGYQPVILIDKPLKFYERIAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNESLDKVLKLDSSTPKKSMLVVSEFIGCSPSLSGAIRVNPWNIDVVADAMDSALVMPEPEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCKDHVRRRCWGIGFGLSFRVVALDPNFRKLSMEHIVSAYKRTTTRAILLDYDGTLMPQNAIDKKPSAKTIEIIKSLCRDKNNMVFIVSARSRKTLADWFPTCEKLGIAAEHGYFLRMNQDEEWETCIPEVECCWKEIAEPVMQLYTETTDGSVIEDKETSMVWSYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAKRLLNEMQEKGMSPDFVLCIGDDRSDEDMFEVIMSSVSGPSMAPAAEVFACTVGRKPSKAKYYLDDTTEIVRLMQGLASVADQMLPQM >Solyc03g059030.3.1 pep chromosome:SL3.0:3:29509354:29517859:-1 gene:Solyc03g059030.3 transcript:Solyc03g059030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEEDIRNLPIDIAFTRLGEWLVDRKRIPADWRKRLAAVRAKITTSFASLPRDIDPYFHTLDIEGIGYLEAKKIYEILLKSTQESRNIFGRLSGDAGVWEAVVRAYEKEYIFLGESAQIMVQNVNYEIPYQKKQIQKTQQQLAELERKEADIKRNAALSASKYVEACQELGLQGVNVRSELLETSTISLPSTFSRILEVLTGDSVSRAIEFYSEFVKDVHTEKDKTVAVVLSNLRSARERPPAIDVSVSTEVLEVMNAQAGYHGLSQITVETDTVADGVDWDITLDSSQIDWDIGTVEETEENGNGLGPYEIVNASDIMSSPRKDDVKSHQTSMEEGFLAPEVSASEISWNVSVDNPQVDVIDKSQNNAVELHSLQNNLTANPVAIHDRSPLLETEYRNKILDDLFEVKAFLNQRFIELRNEDTTSLQHQVQAVAPLVLQQYSSDIIQTMLSDVSSAISLLTNRKTRDMIMILNSVRFLDRLVNTLEEKKRQEAKLKDGLKDLSSKRMELQNSMSSSWPKQEAALAQTRELKKLCESTLSSMFEGRPVNIIGEINTLLISSYC >Solyc11g010600.2.1 pep chromosome:SL3.0:11:3663014:3668741:1 gene:Solyc11g010600.2 transcript:Solyc11g010600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative auxin growth promotor protein [Source:UniProtKB/TrEMBL;Acc:Q949J8] MSGNHRGGGAMAGLIVVLLTVYFTTFSYGSPTILSDLNAPKFRHSRLAKSAIQRQTSNEEQSRLWTPLEHQGWKPCTKSDAASIVVAKILNATLVIPQLEVNPVWQDSSSFEDIFDVDHFINALKDDVAIVKELPDEFSWSTREYYGIAIRPTRIKTAPVHASANWYLENVSPVLQSYGIAAIAPFSHRLTFDNMPKYLQHLRCKVNFQALAFVPHIRQLGDALITRLRFPPSEDNMVSNNYLREVTDLKPKQGVGKFAVLHLRFDKDMAAHSACDFGGGKAENLALAKYRQVIWGGRVINSQFTDEELRSQGRCPLTPEEVGLLLAALGFDNNTRLYLASHKVYGGERRVSALRSLFPLMEDKKSLASSEERALIKGKASLLAAVDYYVGMHSDIFVSASPGNMHNAMVGQRTYNNMKTIRPNMALLGQLFLNKTLTWPEFQESVIEGHQNRQGQIRLRKPKQSLYTYPAPDCMCQA >Solyc12g017380.2.1.1 pep chromosome:SL3.0:12:6633227:6634798:1 gene:Solyc12g017380.2 transcript:Solyc12g017380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEQNEPLLSDSIDKKCIISLDNTIEECMGDFGWSQFVQAILVSLAWVFDAQQSFISVFTDALPTWHCTNNSSSCQVDKNICDVPKDTWQFDLPTYTSIVSDWSLECSSLVITGLPASSFFIGCLVGGFVLCTLADSSFGRKNMLVLSCLVMSITGCVTAISTNIWMYSVLRFVSGFGRATIGTCSLVLSTELVGRKWRGQVGIISFVCFTLGFISLPWIAYLNKGNSWRVLYLLTCFPVVLYSMVVYIFVHESPRWLYLRGHKEEFVKTLRRIANRGTRGKLSSSFFEGLFVDLEKAMFVSASRGDLDVYSAIRLLVERGWCLRRLMTVVLIGFGVGMVYYGMPLGVGDLGFDIYLSITLNAISELPSSLIAFFLIGKMNRKGSLLGFSLLSGICSVGCALVEGSEGLKWFQMGLEMVSFFGACTAFNVLLIYTLELFPTCVRNCAVSMARQSLVVGGALSPILVALGRNNSLFSYGVFGVCSATFGLFTVWLPETKGRLLCDTMDEEEQLLEQAQVVDLK >Solyc11g061710.1.1.1 pep chromosome:SL3.0:11:48525507:48525797:1 gene:Solyc11g061710.1 transcript:Solyc11g061710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNGVAVCYKINYVRLNGEKGSMRDLEDLVFFAFCYCYKMFNGQQSFSLVSLKCIMFCIKFLLLLSLCYYFYFIFILLMLNAMGCPIIWQFNYCH >Solyc12g009570.2.1 pep chromosome:SL3.0:12:2827121:2834595:-1 gene:Solyc12g009570.2 transcript:Solyc12g009570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKRKLGKYEVGRTIGEGTFAKVKFARNTETGENVAIKVLAKSTILKHRMVEQVLASQTKIYIVQEFVTGGELFDKIVHLGRLSEDEARRYFQELIDAIAHCHSKGVYHRDLKPENLLLDFQGNLKISDFGLSALPQQGVELLYTTCGTPNYVAPEVLGNRGYDGAAADVWSCGIILYVLMAGYLPFDETDLPTLYTKIKAAEFSCPFWFSPGATSLIQKIIDPNPQTRIKIDGIKRDPWFRKNYRAVKAKADEVVNLDDIHAVFDDIEDAFVSEKSEDSESGPLVMNAFEMITLSQGLNLSALFDRRQDYVKRQTRFISRQPAKVVIETIEAAAESLGLKVHTRDYKTRIEGVTANRAGQFAVVLEVFQVAPSLFMVDVRKAAGDTLEYHKFYKTFCTKIDDVIWKPKEGMSNAVLLRTRTR >Solyc05g041740.2.1 pep chromosome:SL3.0:5:54347653:54348599:1 gene:Solyc05g041740.2 transcript:Solyc05g041740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKETCAIIRVLEGPVSNANQIVLISGRENPDAEVSPAMDAAIRILKCVAGLNSDDPGAVATFVSSKLLVASAQAIHLIGKNGSTISSIQERSGVVLRVLPVGFFKIHGEGTKVLNAFDVVVKLLRKFLVHHGMIPVFEKMAILILLTKF >Solyc05g015030.2.1 pep chromosome:SL3.0:5:9591104:9596311:-1 gene:Solyc05g015030.2 transcript:Solyc05g015030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTANRWLTEQDEKVSNLISHVLGRPFVMDSNFAPQISTLGSSSNSSDGSLLNQDICGSPIRYPPLRQEKNHKNSIVHAHSMISPSPQEHDECHHDSRKQTIMFETVVASMNEMVELWKMKDPFWVDSSSDRRCFIHREIYGRKFSNQVLPPQTSTGRIESSKDCGIVSMTAIDLIHNFLDPVKYMNLFPTIVTKAKTIEVLDSGTWGGSTQLMYEKLHILSPLVEAREFFFIRCYRQLDATTWILVDISYDIFNGIQCGVPCYSWKFPSGCVIEDMGNGQSKVTWVEHVQVYEKYQVNHIFRDLLCDREAYGAKRWIVTLQRMCERFNFQMGSTYPTRHDFKGVFHDPEGLKNTIKVSQRMVKKFFEILSMTDNHGDFSISPQLNRGDRISIRKNEEIIQPKGFIAIATTSL >Solyc10g007110.3.1 pep chromosome:SL3.0:10:1500519:1510623:-1 gene:Solyc10g007110.3 transcript:Solyc10g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNLVGAINNQVEMETPNNITIKGILGLLMANTEATDVKKVISLGMGDPTLYSCFHSPDVAHDAVIESLTSHKFNGYSPTVGLPQTRKAIADYLSRELPEKLCADDVYITAGCTQAIELALSILARPGANILLPRPGFPIYALCAAFRNIEVRYFDLIPDKGWEVDLNAVEALADHNTIGIVVINPGNPCGNVYSYQHLQQIAETAKKLRTIVIADEVYGHLAFGANPFVPMGIFGDIAPVLTLGSLSKRWLVPGWRLGWLVTNDPNGTFKNPKFVERIKKYCDICGGPATFIQAAVPRIIQQTEDVFFRKTVNLLKWTADICCDKIKEIPCISCPYKPEGSMAVMVKLHLPLMIDISDDIDFCFKLAKEESVILLPGLAVGLKNWIRITFAADPASLEEALGRLKSFCQRHSYQQNDHH >Solyc09g061910.2.1.1 pep chromosome:SL3.0:9:60894503:60896735:1 gene:Solyc09g061910.2 transcript:Solyc09g061910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGFSQIGYHRPRPWDEDDLRTFRGKSHINDNKNSGENEYMINLFIRRASNVLPILEGTFPCFSRGIYRVIVSDESGREFSTRRIVGRPEPTWNELIQIHISSYPISQKLKLKVVHENCYRDPGTSTGEAVVGRAIIHVHEKLEKCFEKVGFVELVKLVGLEKRVEAVLSFEIFLTTIMIE >Solyc04g007150.3.1 pep chromosome:SL3.0:4:866244:871817:1 gene:Solyc04g007150.3 transcript:Solyc04g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYGSHPMYMDLRNNVNGEAKAHVVLLLNSNGMDVFYNGDSLTYKVIGGVLDFYFFSGPTPLDVVDQYTSFIGRPAPMPYWSFGFHQCRWGYHNLSVIEDVVENYNKAKIPLDVIWNDDDHMDGKKDFTLNPVNYPRPNLLAFLDKIHGRGMKYIVIVDPGIGVNNTYGVYQRGIANDVFIKYEGKPYLAQVWPGAVNFPDFLNPKTVEWWGDEIRRFRELVPIDGLWIDMNEVSNFCSGLCTIPQGRICPTGTGPGWICCLDCKNITNTRWDDPPYKINASGIQAPIGYKTIATSAVHYNGVKEYDAHSLYGFSQSIATHKALQGLEGKRPFILSRSTFVGSGHYAAHWTGDNKGTWDDLRYSISTVLNFGLFGVPMVGADICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAESARNALGMRYKLLPYFYTLNYEAHTTGAPIARPLFFSFPTLTELHDVSTQFLVGTSVMVSPVLDQGKTEVKVLFPPGTWYNLFDMTQAIVTKDLHYLTLDAPLSVVNVHVYENTIIPMQRGGMISKEARTTPFTLVVTLPSGTNDIEAKGNLFLDDDELPEMKLGNGQSTYIDFHATASNGTMKLWSEVQESKFALDKGWFIEKVIVLGTNGTDGAFEINVDGQPIEDGSRVKYSTTEHKYIDSMDDEVDKRKSMMMEIHGLQLPLGKNFVMSWKM >Solyc11g011480.2.1 pep chromosome:SL3.0:11:4527119:4536564:-1 gene:Solyc11g011480.2 transcript:Solyc11g011480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSKLFVFLVCLLAQFFLSFSASDQVNSFESVPDLEKSMYMAIDGYPCVRLLNLSGEIGCSNPGRANIVAPVTRFKTENKLAEPSALLLSMDQFEDLFARLSIDADFSRHVVGVLVESGSQLQNGLKGFSPDKKFPQAEFAPYQSGKFEWNPTGTGLMWKAYNFPVFLLSNSSTLALQEIALRNEKRKKSSTVDVADFDLVMQTTKTGTRDSESCLREQTCLPLGGYSVWSALPPINTSSSKKAKPMILTVASMDAASFFRDVSIGADSPISGLISLLAVVDALSRVDGLGDLDKQLVFAVFTGEAWGYLGSRRFILELDQHSDAVSGLDLALIETVLEIGSVGKGFTQDDNTFFAHSTMESATNGTFSALKDALGSLKTQSIKISRASKSNPGLPPSSLMSFLKKNPETSGVVLEDFDAAFTNKFYHSHLDDLSNINSSAIVAAASIVARSLYILASDKKEIKNSVLNTININASLVEELLGCLLSCEPGLTCELVNRYIASSTSCPSHYVGVVMGEPSSQPYLGNVGDVSRFVWNFLADKTAIPSKNMSSTCPKGCSGNGEMCVKVETDGKGVCVISTTRYVPAYSTRLKYEYETWEVLPHNSSDTMEEADPVWTESNWDRIRLRVYTVQDSGFDLLVLLLGITVTVLSYIVIVISKAFITKALKRD >Solyc01g094040.3.1 pep chromosome:SL3.0:1:85487166:85489626:-1 gene:Solyc01g094040.3 transcript:Solyc01g094040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVVDSMVFLFLLPVALFFNLAASQSTGYTNHTVGGSAGWFFNIKTQKASADYSAWAAKQTFNLGDTLVFNTNTNQTVIQTYNATTYKNCTADYASDDDTFQYQGGSNEFGKAMTITVSLTLEGQQYYFSDADDGSQCLNGMAFEIKVGHGIGLPPSLNQPPPPPYVEPPSTVEDAESPPITVVTSSPNGCVRSSAGLFFAVSVLVMLALHLV >Solyc02g062580.3.1 pep chromosome:SL3.0:2:34847409:34851377:1 gene:Solyc02g062580.3 transcript:Solyc02g062580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATALVISPFLRFPKIFNSKLDFRRKFASFSLYTRHKSFPLTIARVRAAAEDEVMGVSLEKEEAFVDGSSSSASAGLNATFNSLSKWLVAALFGIIFLWRHDAEALWAASGSVLNSALSTVLKRILNQERPVSTIRSDPGMPSSHAQSIFYTVTFCIVSMVECFGFNSITAVVSALIFALGSYFSWLRVSQQFHTTSQVTVGAALGFSFSIFWFWLWDAIVLAAFISHLWVRIVVVLGSAAICASFLLYVIRYWVLEEINLYY >Solyc03g113190.1.1.1 pep chromosome:SL3.0:3:64910284:64913427:1 gene:Solyc03g113190.1 transcript:Solyc03g113190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSSGPPPEQQPQQQEPPSRPPQLVRKLVVEILDARNLLPKDGQGSSSPYVVVDFDGQKKRTSTVCRNLNPEWNEGLEFIISDPRTMEFEELDIEVFNDKKLSNGNARKNHFLGRVKLYGSQFARRGEEGLIYFPLEKKSVFSWIRGELGLKIYYYDEMVQEEEPPPPQPEQQQQQPPPPQEEMKKTPVFVMEDPRQRMLEIPMPMEVAMEAQEQSPPIVTIEESPPPMNMPPEQQQQCSHRHEEGPPMMSGPPMMSAPVPPSEYPPQEVKRMQAGRAGERVRVMRRPNGDYSPRVISGKVGGESERISAFDLVEPMHYLFVKIVKARGLAPSESPFVKIRTSNHFLRSKPAIIRPGEPLSNPEWQQVFSLGHNKQESTNSTLEISVWDSASDHFLGGVCFDLSDVPVRDPPDSPLAPQWYHLEGGADDQHKVSGDIQLSVWIGTQADDAFPESCSSDAPYVSHTRSKVYQSPKLWYLRITVIEAQDLHIAPNLPPLTAPEIRVKAQLGFQSVRTRRGSMNHHSSAFHWSEDLIFVAGEPLEDSLILLVEDRTTKDPALLGHIIIPVSSIEQRLDERLVPAKWFGLEGGPGGAYCGRLHLRMCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAVGILELGILGARGLLPLKSKGPGKGSTDAYCVAKYGKKWVRTRTITDTFDPRWNEQYTWQVYDPCTVLTIGVFDNWRMFADSGDDKPDYRIGKVRIRVSTLENNKVYTNSYPLLVLLRSGLKKMGEIEVAIRFVCPSLLPETCAVYGQPVLPKMHYLRPLGVAQQEALRGAAIKMVAAWLARSEPPLGPEVVRYMLDADSHTWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWRNPVTTILVHVLYLVLVWYPDLIVPTGFLYVFLIGVWYYRFRPKIPAGMDTRISQSETVDPDELDEEFDTIPSSKPPEIIRMRYDRLRILAARVQTVLGDFATQGERAQALVSWRDPRATKLFIIVCLIITIVLYAVPPKMVAVALGFYFLRHPMFRDPMPPATLNFFRRLPSLSDRLM >Solyc11g072950.2.1 pep chromosome:SL3.0:11:56396983:56404394:-1 gene:Solyc11g072950.2 transcript:Solyc11g072950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEELLELQRQFEFAQQVKSTVRLSDRNVVELVQKLHQLQIIDFDLLHTISGKEYITPEQLRNEIVAEINRLGRVSLIDLADSTGVDLYHVEKQAQYVVSHDSSLMLINGEIISSTYWDTAAEEINERLQECSQIAIAEIAGQLQVGSELVVSILEPRLGTLIKGRLEGGQLYTPAYVARVSAMVRGAARGIFVPMNTTALWNSLLTLLQEMDGAVGVAVDTSFFQSLFNGLVKEGEILGSLRAGVHWTPSVFAIAQKDCVDSFFSQNSFVTYQALQKLGIPQPSQFLQSRYPDGISLDSTFAHPSIIEMLDAAVEDAIERNSWIDSLSVLPASFGSQDAFKILSLCPSVQAAQKSNRALILGDTYIFSNGFVKDLFDRMEKEMETLTIPGLVGSGPVDEFRVAKDAKVGYDNSTIEVNETSSDAGISKQASEKGSKKKKGKSGGNIKMAQAETGTDNQESAPSKSKKSQRKGKVSSGSQTSESKLGARNDEDSVGGISEEWVIQKITSLNPDFEEQGLDDPEMILLPLAKHLRPLLVNSWKERKKAAFTENTQKIKKLLDNLQKKLDESFLNMQLYEKALDLFEDEPSTSVLLHKHLLRTTGTSIVDTLLLNLDMLNKLKNGVPVEPQAPESILLSPGDRSALAKSLTGSMSAKAIATVEALEGKVSYYFLSGAHRVESFMSALREVAEESGLALKKLDKKLERTLLHSYRKDLTSQVSAETDPVSLLPQVISLLYVQVHGKALQAPGRAISAAVSRLKDKLDDSAFKTLVDYQSGTVSVLALMAAATGEEEDCTSDRILSKREVLEELMPALKGLVLGTSQPQA >Solyc04g014470.3.1 pep chromosome:SL3.0:4:4708226:4712118:-1 gene:Solyc04g014470.3 transcript:Solyc04g014470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKNEVKKGPWSSEEDKKLTNFILNNGQCCWRSLPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLKKMGIDPTTHNPITSDQLTKNQPIIQQETQIPIEEPLVEIKSEENKNIGTTISTTITSQSQTNLSPRVNENVGTCPKNINSIDEVMNDDFCTNEVPLISPNEILVPHVSTTTSSTSSFSSQSSINILEDFLPNWQCDFNMEISWADDDFRSTLDYLLNDDDVSDMNNTTISQDWSQVLEV >Solyc11g062190.2.1 pep chromosome:SL3.0:11:49371455:49375385:1 gene:Solyc11g062190.2 transcript:Solyc11g062190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMNQHPTVFQKAANQLHLRSSLSQDVHARYGGVQPAIYQRHFAYGNYSNAALQRGQATQDLSLITSNASPVFVQAPQEKGFAAFATDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKAGRLSEPYKGIGDCFGRTIKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKASKKGGERQFNGLVDVYRKTLKSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGNLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQIVKNEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVLVLGKKYGSGGA >Solyc11g020590.2.1 pep chromosome:SL3.0:11:11640715:11647391:1 gene:Solyc11g020590.2 transcript:Solyc11g020590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSVFKSFLNLPKSISILTPSVYRPISISISSSYSTPRISKRIGSLFSVPVGEQFQEFGSLFSAKYSTNGDGCVDTGIGGRDYLLMSDEELMKQCELSTFKASGPGGQHRNKRESAVRLKHSPTGIIAQAVEDRSQHMNRASALSRLRALLALKVRNNIDLDTYTPPQELLQILPAKSTVRGSNCGPQIGPNNPKFALGMQALLDLLFAVEGSVSDAAKKLGLSTGALSRLLLSDDNLRMAVNEFRVSKGIKPLK >Solyc03g044085.1.1 pep chromosome:SL3.0:3:7939610:7941636:1 gene:Solyc03g044085.1 transcript:Solyc03g044085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPWCFSHLFFIYFALNAAVGHESVELSTLVTFPRTDITTRAVSLPIQDLYGVSTSVVEAENWLRSNVLAHYPATNITTILVGHTLLCKKYQENRMRLILPSAKNIYYSLTRWGLHTEIKVSTSFSSNCLDQDSGLYNLDLAQEYIKPLLDFFQHVNAPYVVNLGYLDVKIESLMNSHIGSMKKLGNFSLDKIFLISEKPKQGRFLSRKLSTFDSKYSNFPTRPTPLAPNPNLPSPAFAANSPLPPLIGNISPPEMAPPLFNPISPSYGPHLPPCMPSHGGGWGEGPVGAPVTGGHGSTGLWCVAKPSVPPETLQEALDYACGEGDADCEAISPSGSCFYPDTVVAHASYAFNSYWQKTKGNGGTCGFGGTAMLINSDPSKHFLEFESCSPLRFNLLSPLPIHSCMMTSREWNFGMQIEWNEDAVMKRIGIKMLKICFGVTSSIDL >Solyc01g006810.3.1 pep chromosome:SL3.0:1:1372833:1378521:1 gene:Solyc01g006810.3 transcript:Solyc01g006810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRKGRVISHQLQLLWKEEFKMPVTMRAAFVWKLSAIAILQRPLTSCRHEFHLQCILEWHQRSSNCPMCWQPLSLKDPTSQELLEAVEQERTIRFNQARNATMFRRPTLLTTGMSESELEERIIQHLAAAAAMGRGHHFGQREGSRNRPSPHGRPHFLVFSSHPDPSPTAAAESDSRQAIADPSVPITPVRHETAQFLPHLSSGQSNQLSASSSRYVRPQTVKQEISVGDRSSSGPSLTENEDTEGPSDLLHSLSESWKSRFNSMSMKYRESISKSTRGWKERLFSRSTSMGQLETRENNTDNNIPSNVEQRHNDDMVAHNGSRLNGNNSTTSSASSARN >Solyc01g105680.3.1 pep chromosome:SL3.0:1:93659326:93663630:1 gene:Solyc01g105680.3 transcript:Solyc01g105680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESENESVGGRDYGNGVHSTSKNGVKTDSFEQKGQSWYVATDIPSDLLVQIEDVTFHLHKVIDSL >Solyc11g068745.1.1 pep chromosome:SL3.0:11:53672494:53675400:1 gene:Solyc11g068745.1 transcript:Solyc11g068745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRGVNVVLINCSVQGTRSTFCISIALRTIEIIATLVELGRQRKCAQSDSGAGGATLEMQKTVSAAEMVMPVHLVNVERPSLSGGLEPSETPQSKKQKKSGSTTKEVTPFYLDSTNPPESARLVQTDSNEDTWN >Solyc02g005210.3.1 pep chromosome:SL3.0:2:7542775:7544749:-1 gene:Solyc02g005210.3 transcript:Solyc02g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLVLSPVSLPWSLQSVQKPHVCLRTKPRSRFAVKAYSDVLFCAASATALLYQENPSSLFSLAAAADSGYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFIKQGIDEGKKAANQVAGEILSFFTRNNFAVLDRGETITFEGMMVPSRGQAALLTFCTCVSLGSVALVLTITVPDVGNNWFWITALSPLAGVYYWTRASRKEQIKVKMIVADDGSLSEIVVQGDDQEVEKMRKELQLSEKGMVYVKGLLER >Solyc04g051310.3.1 pep chromosome:SL3.0:4:50241662:50259891:1 gene:Solyc04g051310.3 transcript:Solyc04g051310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCPSNSFRYNATQCECNPGYLFNFTSRICYLFDEWGPVESDSGVDYRSLSFPGSGALFDFDSIRRLTQSQAVFLEATLIMLLSWLCFCFFARCAPLGDGRSIWFKIRWWISRLDICFASRHWLDDQKVVMKRKTELGGTFSIASWILFIGLFAALLYHIIAKRAIEMHNVRATNAPDLAAFINDLEFNITTISSMSCLHLRGLGTVVTGNPGLIDYRFAPLSTFANYSCINTTKGPTIMLKCSRCPLSRDNAYISWQFVDLPNSPAAAAGFQFNLTATDPKNRKHVSLVSGTLRNGSNFDDKPVTFRGLTPNILKFNFFPRLYHNLNDLKLIQPLLHEFLPGSSFDEVSQLQASLERPNDGLINTTLYINFLSSYIVEIKDQNVMGPEVFLGNVLMISSSPKSAVSFLADVGGLYCVSIGLFFYILVQCEYRIKKLRNEDSVMRKVRSRRKAQDRWDKLRKYVMYTYAPNQLEEEYDMRNDGCCTGVKMESLQKKSSSVRGKGSSRLDTISFSRKVGLPSEKRAIPEKIDTQSATYILPVSATDLQKNTHLKEKRFQENAVPPNTKGRNPEDIVAPDVENALQAPAFPAANDITVPPPPLLKLQAADHVSMTNLQKDLQNLYEYNMMLREKLIAAQSMLHSLAIKESTLAADNGDIITRE >Solyc05g018155.1.1 pep chromosome:SL3.0:5:20111862:20114045:1 gene:Solyc05g018155.1 transcript:Solyc05g018155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADIIHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEQDYHCLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTPGYFNKQQLPPRRTDNSNIKLRRVSFFLLRQEFGQQQRQQISKALVAPPTTT >Solyc12g087920.1.1 pep chromosome:SL3.0:12:64412682:64416033:-1 gene:Solyc12g087920.1 transcript:Solyc12g087920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNIPIYLVLFLTSQSITTILALDDHHYCPNKTTSNNITNTSYNSNLSLLLSILSTASRKHLFYNFSIDGTDNFERIYGLFMCQGDMLSVTCQDFVTRAVKDIILLCPGSKTAVLWWDDCMLRYSNQSIFPDPNYASIINNPSTPFVIRNNNSITDDEHEQRRFKRSLGEIMDDVTTHAANDDRSIDKKIAIREAKFSVNTTIYALAQCIPHMSSKGCLNCLENAIKVLNKCCDVCRGARVQLPSCFMRHEVYLFYDKSKLLTLPHNQVDLSEILTAESLQYDFSTIEAATNYFSMENKIGVGGFGDVYKGVLGNGQEIAVKRLSRRSSQGAQEFKNEVVLVAKLQHRNLVRLLGFCLEREEKILIYEFVSNKSLDYFLFDMKKQTTLDWSVRDKIIKGIVRGLIYLHEDSRPRIIHRDLKASNILLDKDMNPKISDFGMARIFGVDQIEGSTNIIVGTYGYMSPEYAMHGQFSVKSDAWKLWKDGRAMELVDPTLIDDSNSISKIMRCIHIGLLCVQIDLDGRPTTTVISHFLSTDSVIPSEPNQPASFKDYSRLGNIDLITKNFIPASNFEDLITEIYPR >Solyc08g023590.3.1 pep chromosome:SL3.0:8:27218864:27226303:1 gene:Solyc08g023590.3 transcript:Solyc08g023590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVASAGNTSTSVRARKEKRLTYVLNDAHDTKHCAGVNCLALLKSSSPGGSEYLFTGSRDGTLKRWALAEDGATCCATFESHIDWVNDTVLTGNNTLVSCSSDTTVKVWNGLSEGSCTRTLGQHSDYVTCLASAEKNSNVVASAGLGGEVYIWDLEGALSPTSKSSDATAENCSNGVSGAGSSVSITSVHPNSSSNTISLHTKSQGYIPVSAKGHKESVYALAMNDSGSLLVSGGTEKVLGCASVGSKNWFEDHEAKRSYR >Solyc07g039382.1.1 pep chromosome:SL3.0:7:47505437:47507136:-1 gene:Solyc07g039382.1 transcript:Solyc07g039382.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDKKLLLKFLNIELIQEANDTLHHNFKMKDLGELSYFLDIEFTKSKYGILMIQGKHEDLSNINCNVTIFSYEKTRHLFCCATIEPVRAFPKETTRRCTTHVVKCTKKQPRLRLLMSSMKSRKINAFCDADWTSCIVSSKSITGFRIKISESLNQNTISRSSAKVEFRSMATIVAELVWL >Solyc03g071850.1.1.1 pep chromosome:SL3.0:3:21129464:21130825:1 gene:Solyc03g071850.1 transcript:Solyc03g071850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BHL4] MDTSTSLRVLMFPWLAHGHISPYLTVSKKLADRGFDILLCSTPVNLNLIKKRIPKKYSVSIQLVELRLPELPNLPPEYHTTNGLPPHLNSTLKKAVKMSKPEFSKILQDLKPDLVIHDVLQVWAKKIANSYNIPAITLVTFGGAVLSYFMHPMRKPGTEFPFPAIYLTKIEQKRMREMMEQVYKDKDPDDGDPFAEDPTQVILLMSTSLSIDSKYIDYLNELTQANYVPIGPPIQEPMNEDGGDIELIDWLGKKEEHSTVFVSFGSEYFLTKEDMEEIAYGLEHSNVNFIWVVRFPKGEEVDLEEALSTGFLERIENRGRVVDGWAPQPRILSHPSTGGFVSHCGWNSVMESIDFGVPIIAMPMHIDQPINARWMVEIGVAVEIVRDDEGKVHREDVAQVITSVICEKTGRNLREKVKEISEKLKSRREQEIDAAVEVLLQQCKNRKFINSSS >Solyc11g027890.2.1.1 pep chromosome:SL3.0:11:19344972:19345121:-1 gene:Solyc11g027890.2 transcript:Solyc11g027890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDELSKLDGDATIVNCGVIPNIHNNHISYNKISHSSKVITATNEQED >Solyc05g010030.3.1 pep chromosome:SL3.0:5:4214161:4233141:-1 gene:Solyc05g010030.3 transcript:Solyc05g010030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYKAFTLISFVLFFCQSSIMASRRILSEYGGIPMRPHTSKPEHFATNQHPLPEYFGMHSLSGTATNASTVSKKLSRSTVSKLRSALTFGKSRTQIGLGTKVIGTLFGYRRGHVHFAFQEDPKLGPAFFVEMATPTSHLVREMASGLVRIALESDKRTGKKGVKLLEEPNWRTYCNGRKCGYAMKRECGPDEWKILNSIGPVSMGAGVLPSDGDGIGLEGELMYMRAKFERVVGSKDSEAFYMMNPDGHGGPELSLYLLRV >Solyc01g104110.3.1 pep chromosome:SL3.0:1:92470081:92471661:-1 gene:Solyc01g104110.3 transcript:Solyc01g104110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILSSKKADKTIVEVEGVGGYYTWSSSQFPVLSQKQIAAGLLLLQPRGLALPHYADSSKIAYVCEGECIAGLISPEDSKEEVVKIQKGDTLPVTVGTVSWWYNAGDTKLTIIFLGESSKDYTPGEYCYFFLTSAAGILNGFPNELIAKSFHMNITESEKLMKDQSSLNLLIKVNEGIQIPNASNSAKRKLVHNLDGAKPCVEVKNGGVLSSVSGKNIALLGEVGLSANRLVLEPGAVLGPIFTADSSIHLSYITKGSGRVVIVGLFGKVVLDAKVEEGELFFVPKFFPFVVEADEGGIEFFSLKTSSKQTYRELSGGKKSIWEAASPSILEASLNRTPDLTKSFKAKIAKGAVISPPSTI >Solyc06g062850.3.1 pep chromosome:SL3.0:6:39764833:39770087:-1 gene:Solyc06g062850.3 transcript:Solyc06g062850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGGGGEQFDSRTVEDVFGDFKRRRTALIKALTVDVEEFYQQCDPEKENLCLYGLPNEQWEVNLPAEEVPPELPEPALGINFARDGMEDKDWLSLVAVHSDSWLLSVAFYFGARFGFDKASRKKLFNMINELPTIYEVVTGASKKQQKEKSSGHSGKKSKSNSKARAQDYQEKLAKLQAKDEEEEGLDEQEDEDEHGETLCGACGENYAADEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSHKRPRADI >Solyc10g050240.2.1 pep chromosome:SL3.0:10:48185158:48186287:-1 gene:Solyc10g050240.2 transcript:Solyc10g050240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINAWEKPWWTNRESYLANDSEPLPLPLTYPDTSPISPTEIDRRIPCDPQIQDSKEVVYEWIGKCRSCQRTGFVSYYNKRGKETICKCIPFLEI >Solyc08g061744.1.1 pep chromosome:SL3.0:8:49527412:49527676:1 gene:Solyc08g061744.1 transcript:Solyc08g061744.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYLREETNLDGDDESKQMILQASISTRKNGQCIILLLWTFSKKIEKKILTKRGRILFCNHAKIL >Solyc05g053555.1.1 pep chromosome:SL3.0:5:64486004:64489962:1 gene:Solyc05g053555.1 transcript:Solyc05g053555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFLPHSILVKMAFRMRYLKSMTNAFVTNRSFASSTAPRFSSRGGFTYVNPKKLSMTNGDMAPVYVLGGTLSLAIGLCIFTMKQQLFHGPGVFVTKKKRENIAEVDFPDAMASSGTKYIDKSVLRKFGRIQDPINSQYDIDPYTRPRKIESLKSVGV >Solyc03g120190.3.1 pep chromosome:SL3.0:3:70133276:70135229:1 gene:Solyc03g120190.3 transcript:Solyc03g120190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQLQKSLSDYLTKKKKKATAQQTTNSANKTLSSSTSWLLRGCRHPKTPSFSAVDRKEKNVQGENEAATLADVDRFVFENFKSFYYKDDDNEAEIVENPNSLSESPRHIIPPLNHTGSRRFFIAPGSSSSLIEEARTSMTVSDDTGSTSAITITTVTNTNSNELSAISTEYSKETLNANDFITLVTYSPSPYDDFRQSMQEMMEARLKDQGKINWEFMEELLFCYLDLNDKKSYKYILSAFVDQIVILRENSGRVPAISRNVRPLDGELNQRDT >Solyc03g059270.3.1 pep chromosome:SL3.0:3:30464898:30467448:1 gene:Solyc03g059270.3 transcript:Solyc03g059270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSVYKSISEQQMKKVSTMTDPNFYSQEEEWLKLNNPNIPSPPPNNNNYYYETPPLKEALPLLSLLSPIKHDDDDDDEGCCSISDLDKKGRRVEHMDDNSCTKDDDEDVTVALHIGLPNPSSADLASINKDDVSIPMANMINTTSTSSSRQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCTPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGQGHGPYGIHSFDDDDDDPASEVEQDNPPQHIDIDHTRR >Solyc06g033782.1.1 pep chromosome:SL3.0:6:22908845:22910506:1 gene:Solyc06g033782.1 transcript:Solyc06g033782.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYRSSLGVLLLLLYVDDIILTDSHIGLLNQFISRLSNQFAMKYLGDLHFFDIQEVPSRTNISLVDGELHSDPSEYTRIVGALQYLTMTRLDIAYAVNVAGCPDSRCSTKGSAIFLGPNIIAWRAKKQPTVSTSSMEAECRAVAYTVAETCLIRHILCELAWLGYWVVFVSVIMAPFWIVT >Solyc04g005670.2.1 pep chromosome:SL3.0:4:443973:446469:1 gene:Solyc04g005670.2 transcript:Solyc04g005670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLSKVSAFIAIFGLNLDDMLEDRSCLVPRDFSRENNNWSACINYSLDMIEVQNGKRPLENNQEDEVVQRKLPKLSDARDGKEVVLASPHDQAGDQRHDEDTSSLIPSIGRDNSVSSLIHCSRSDFGAIASLNRSFRSLISSGELYRLRRQQGVVEHWVYFSCHLRQWEAFDPIRRRWMHLPSMNFNECFVFSDKESLAVGTELLVFGKEILSHVIYRYSLLTNSWSAGTEMNAPRCLFGSASSGEIAILAGGCDSQGNILSSAELYNSETGTWKTLPSMNKRRKMCSAVFMDKKFYVIGGIGGTESSNALLTCGEEYDLKTGKWTEISSMSPVRARGDMPATSEAPPLVAVVNNDLYAADYADMEVRKYDKKNKTWATIGRLPERAASMNGWGLAFRACGDRLIVIGGPRTMGEGHIEVNSWVPSEGPPQWNLLGRKRSGSFVYNCAVMGC >Solyc12g087875.1.1 pep chromosome:SL3.0:12:64361118:64373535:1 gene:Solyc12g087875.1 transcript:Solyc12g087875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSEIAEGTALFSGKSNSSVRTNYQGIIPLTRAEVEGVISTMIIVISQGNPNPSDIGNQFGGQSANFAGSSHMSKGSTDAFGAIPQFTEQQYKQILTMLDSEKSEADHVALTAGMIPHTTIISDDVKWIVDSGASSHMVSSVELLSHTTIGNGLGKVHLPTVQGELVVVLVYVDDLLVKGSSTDLILQTRNDLKLKFKIKDLGELKFFLGIEFVRSQKGIVMSQRKYALELISELGLSGTKPVNTPLETNLKLTSVDYDDFITKEAGLGFLMPSDSSGKFIAYRDSDWGGCLQTRRSFTGYLVKFGNAIVSWKSKKQETVARSSAEAEFRSMASVVAKITWLIASPLQLLPLDKENSKWLQLDKITRRRARECSKSNNFQRILTEVYVIDSLTERYERDMTKNFKSTATA >Solyc10g018080.1.1.1 pep chromosome:SL3.0:10:6657522:6657977:1 gene:Solyc10g018080.1 transcript:Solyc10g018080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKKTAGCQKTSLAKIENESARLTTFSKRRSGLYKIACELVRECDVDLGIVMSSPKGIPYSFSSQTSNVVINHFINPTAKLSSSDHLVASEARKRVSQFNDILNELDEREKIANEKLDQMNEARDLGWWESIDRLNVHDVMKLEAWLNLH >Solyc03g026150.3.1 pep chromosome:SL3.0:3:3581798:3588976:1 gene:Solyc03g026150.3 transcript:Solyc03g026150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLLHSHYSSGGIPSEIAENLKDFFPEDGDLSYEEVLLQQETVYLSFQANGKNKSMSSEYGQTSSGHPLSAQKGDSSQSPDSQLALDEAIARSLQLGDDFEDFCRDELNSTVAGIRESPPRESPPAENPNTRRQDDIDPDSMTYEELQSLGEAVGQQSRGLSQDLISRLPSFKYKTGFFSKKKKMGECVICYAAYRSGDMLTTLPCAHMFHSECINRWLKERKNCPLCYEEVKDE >Solyc12g009300.2.1 pep chromosome:SL3.0:12:2563363:2579546:-1 gene:Solyc12g009300.2 transcript:Solyc12g009300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose synthase [Source:UniProtKB/TrEMBL;Acc:K4DC18] MAERVLTRVHRLRERVDATLAAHRNEILLFLSRIESHGKGILKPHELLAEFDAIRQDDKDKLNEHAFEELLKSTQEAIVLPPWVALAIRLRPGVWEYVRVNVNALVVEELSVPEYLQFKEELVDGASNGNFVLELDFEPFTASFPKPTLTKSIGNGVEFLNRHLSAKMFHDKESMAPLLEFLRAHHYKGKTMMLNDRIHNSNTLQNVLRKAEEYLIMLPPETPFFEFEHKFQEIGLEKGWGDTAERVLEMVCMLLDLLEAPDSCTLEKFLGRIPMVFNVVILSPHGYFAQENVLGYPDTGGQVVYILDQVPALEREMLKRIKEQGLDIIPRILIVTRLLPDAVGTTCGQRLEKVYGTEHSHILRVPFRTEKGIVRKWISRFEVWPYMETFIEDVAKEISAELQAKPDLIIGNYSEGNLAASLLAHKLGVTQCTIAHALEKTKYPDSDIYWKKFDEKYHFSSQFTADLIAMNHTDFIITSTFQEIAGSKDTVGQYESHMAFTMPGLYRVVHGINVFDPKFNIVSPGADINLYFPYSESEKRLTAFHPEIDELLYSDVENDEHLCVLKDRTKPILFTMARLDRVKNLTGLVEWYAKNPRLRGLVNLVVVGGDRRKESKDLEEQAEMKKMYELIETHNLNGQFRWISSQMNRVRNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATNHGGPAEIIVHGKSGFHIDPYHGEQAADLLADFFEKCKKEPSHWETISTGGLKRIQEKYTWQIYSERLLTLAAVYGFWKHVSKLDRLEIRRYLEMFYALKYRKMAEAVPLAAE >Solyc07g063340.3.1 pep chromosome:SL3.0:7:65961415:65963717:-1 gene:Solyc07g063340.3 transcript:Solyc07g063340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLLMLTIFVFDLIAFGLAIAAERRRSTANIKKDGEDEHSYCVYDSDIATGFGVGAFLFLMLSQIIVMVASRCFCCGKALRPGGSRACAVLLFIICWVAFFIAEVCLLAGSVRNAYHTKYRSSYLTSDKPLSCETLRKGVFAAGAAFVFFTSILSQFYYVTYAKARGGPMPYGGEAGVGMAAYK >Solyc09g005610.3.1 pep chromosome:SL3.0:9:422280:423723:-1 gene:Solyc09g005610.3 transcript:Solyc09g005610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHINVFYMFSPSWFSPLEKSFLWIAGFKPGLAFPLVMNSVNDLTENQIEMINGLKIETRIQERDLMDKLAKIQESVAAPPLMGLAQQYRMEMLQDGEISEVDEEIEIIRTAMENVVTDADRLRTKTADSVVGILNPLQSLKFLAAAAQLQLRIRMIGMQREAERRHQMDTSNGW >Solyc04g074920.2.1 pep chromosome:SL3.0:4:60908190:60909895:1 gene:Solyc04g074920.2 transcript:Solyc04g074920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINSMKMEHQSKSCTRNKTKMLTTKFITISFFTLFLVVILFHIQYSSPFDFSLSARSQTRRASLYQENYSNVIEMMTAKLKHSVTFLPLQDLRFRETATTGHTWFMSSLNDTREENEAEYLYFPSKASRGRLLCFKGRDMKDGTKNSYALAWRESLPDSAILLEGLTFVSYTYYDHTNLWHGLCAVAPFVRWSMKNECLKPERWVLFHWGELRVRMGSWIQQLMQTNFGEVKVERFDRGDNVPYCFEKAVVMRHEMSQMGQENKLKTFDLLRCKARSYCGINPAGKGREINERGVPIIRLTLLMRRGSRSFKNATVVTDIFSKECSRVEGCIMHVVQSDDLSFCDQVKVLTNTDIVASPCGAQLTNMLFMDRKSSVMEFYPKGWLEYAGSGQYAYHWMANQSGMKHQGAWWDPIGEECPSPQDQWQCFSYHKDGMVGHNETYFAEWARRVLDEVRLMKQEQASADQANKQQHDSKACVC >Solyc05g017740.1.1 pep chromosome:SL3.0:5:18284244:18285238:1 gene:Solyc05g017740.1 transcript:Solyc05g017740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDTDQPPSFCKIILSRETVMNKMQLPSCFVKDNKKILSKNCLLKTSEAGMSWEAKIARKKPNYFICEKDWPQFVLHHQVEPRDILIFSLIEKSTLHVRPYTPKNCRNITRKRQLSYEELSSSSSSEEEIGPSKRVKKMEPIIVVSDTEEEYVDMSFSDDDEDDPPYSHRATY >Solyc02g083270.3.1 pep chromosome:SL3.0:2:47272980:47278396:-1 gene:Solyc02g083270.3 transcript:Solyc02g083270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vitamin K epoxide reductase [Source:UniProtKB/TrEMBL;Acc:K4BAJ5] MASIIGVSSSPFPTQTSHLLPSRSNSISSLVQLKRDSVRRLLLLRVNCSSRQVGDAETESESKVVLPDTPADTGISAYNWCAALGGIGFLETSYLTFLKLTNSAAFCPVGDGASCGDILNSSYSAVFGVPLPLIGMVAYGVVAILGIQLGQKSRPLGTGEANGRLVLLGTTTSMAAASAYFLYILSTKFTGEFCPYCLASVLLSFSLFISSMKGLGFQEVQKDVGIQLLIVLLVVSTLNNSYSDSQPTNTGSALAEMEYFTAEITSESTPFAISLAKHLHSIGAKMYGAFWCSHCQEQKQMFGREAAKLLDYVECFPYGLKRGISMINACQEAKLEGFPTWVINGEVLSGEKKLSELAALSGFDMKEELTEAK >Solyc03g119750.3.1 pep chromosome:SL3.0:3:69782029:69783001:-1 gene:Solyc03g119750.3 transcript:Solyc03g119750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLGGNVLLQQSTTLEEGSDVTSSKLREDLQAVFHVLPKDMQQLMLMNPERAALELNFSMICCKITLAKNYYLLKLFRCTEITFFF >Solyc09g091100.3.1 pep chromosome:SL3.0:9:70928882:70939872:-1 gene:Solyc09g091100.3 transcript:Solyc09g091100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLTGKISTLPFKFYTVSSSSQQQDANQNLKSVKIREDWRKKSRPIPPGGTYPAKDHCSHCGLCDTYYIAHVNNACAFLGDGMSRVEALEPIVHGRGRKEDSLDEIYMGVYENLLYARKTKPVEGAQWTGIVTTIAVEMLKAGMVEAVICVQSDPEDRFAPRPVLARTPEEVIAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEQYLNLEKLYVLGTNCVDNGPREGLDKFLKAASSEPETVLHYEFMQDYKVHLKHLDGHIEEVPYFCLPANDLVDVIAPSCYSCFDYTNGLADLVVGYMGVPKYSGISMMQHPQYVTVRNERGREMLNLIENLLEITPTISSGKRRPFVVETVKADDEAKFGRGPSQPAPKFVGNLIAFLLNIVGPKGLEFARYSLDYHTIRNYLNVVRKWGKERADRHMPEYAKKIVSMYNESGEIDQMLSKK >Solyc09g014310.3.1 pep chromosome:SL3.0:9:5821916:5824043:1 gene:Solyc09g014310.3 transcript:Solyc09g014310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFISTFVISMLFSQLVFVCHCNPTCKLEYPIGEIPVYKEDRYYVHFAQNLEFLEAEFFLWSSYGYGLDVMEPWLTKGGAPPIGAQKANLDPFTLNIIKEFGNEEIGHLRAIDSVMGSITRPLLNLTSENFEQVFDEAFGYKLEPPFDPYRDSLHYMLSSYIIPYIGMNGYVGMNPILKGYASKRLVAGLLGTEGQQDAIIRMYLYERANEVVYPYGYTVADFTSRISNLRNKLGMCGIKDEGIIVPRELGAENRTETNVISANYYSLAYSRTPPQILRIVYTTGDEHIPGGFYPNGANGKIARDFLRKI >Solyc01g090530.2.1 pep chromosome:SL3.0:1:84070371:84074241:1 gene:Solyc01g090530.2 transcript:Solyc01g090530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIKKGPWKEEEDQVLIKHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKKSGVKFSAEEERTVIELQAQFGNKWARIATYMPGRTDNDVKNFWSSRQKRLAKILRNSVPQPSKPQKNISKEAPDLLKAPSVEEPKLNSSADERALVVSQHCSSSYTNNFDTINMVPLPELVNSTSLPFDQELPPLEFTPSEKKICIWPQFPLPFPHIPVQTNFGQPLEHQELPMKLEDSDFLDYFGQLSASDLGGNVQVPLAPSCSSGQDQRSSEIGVKREMAEIGVKREMEYPLTPDSFINDFPLDMFDYIDPLQSPSGW >Solyc01g091430.2.1 pep chromosome:SL3.0:1:84931355:84932973:-1 gene:Solyc01g091430.2 transcript:Solyc01g091430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRIFLLVENYCEGDTFHKALQCLVALRKGCILEQEPKQFNYFLCHLSRFCQEKDLRSFSLYLTSHEITLITKAEAPDSEIPEHEARSFMVKPELESQNMKSEDDIMSTYPWVGSRKGNLEMHIV >Solyc10g074745.1.1 pep chromosome:SL3.0:10:58463826:58473081:1 gene:Solyc10g074745.1 transcript:Solyc10g074745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYSTAYGSLRFRLVHAKGKGEECGERRGVVAGGLLKKGRLGLGVELEKGKRNGPRRGRLFVSLARIVNEFIAASSVKTSSSVRAIEANNNVAHENGPAHVLPLSKSDGAEITRTRNDCTIVPSKNNGIMNFIVKLKYKNIPSMLISMVTPKPKNAQIRARQPLLQRGRSSTCRGKDPMNNNNNYLMKQKTRNHSDLNHQDINHNKSTSGIINFQAMRGVLDALIVKTSRYCTSATTTGGLLSGNKQNSSKSCPSSIKSSPLHSNVCDDDVKKFYSRDNSVQAAIAHCKKSFGTQAEFDFHI >Solyc08g074760.3.1 pep chromosome:SL3.0:8:59021707:59032640:1 gene:Solyc08g074760.3 transcript:Solyc08g074760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFSSKIQDLLFLSVLLLCIFPRLTLALNPDGQALVNFRIAISGSDGVLEQWRPEDSDPCGWKGVQCDSKSKRVTSLSLPNHKLSGYISPDIGKLDQLQFLALHDNNFYGAIPPALGNCTNLKSLFLQSNYLSGWIPDELGNLPELENLDLSSNSLSGNIPPSLGKLNNLGIFNVSTNFLVGQIPSDGHLAKFGNDSFLGNRNLCGKQVSQDCNNGGPSISPSPGSAQSQNKNGRLLISASATVGALLLVALMCFWGCFLYKRLGKNDGKSLAMDICAGASIVMFHGDLPYSSKDIIKKLEILNEEHIIGSGGFGTVYKLAMDDGNVFAVKRIIKMNEGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEVLHERSEQLDWGARLTVIMGAAKGLAYLHHDCSPRVIHRDIKSSNILLDGNFEARVSDFGLAKLLGDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVISGKRPTDASYIEKGFNIVGWLNYLASENRRTEIVDPLCERVQTESLDSLLSVATQCVSSSPDDRPTMHRVVQILESEVMTPCPSDFYDSSSD >Solyc04g010270.1.1.1 pep chromosome:SL3.0:4:3590661:3591860:1 gene:Solyc04g010270.1 transcript:Solyc04g010270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4BPI1] MVKFTQFWSKTFKATFCILLLALSFDYANSARILDEVSSDEPVVAPVVVGPVSSLPSGHFPATITTPDSNVTPIDDDSPEPTPTVPIAPSADLPAGLEPDVAPTAPVATNTGAAPPVAPAAPVATDTGITGPVAPAAPVVPDTEAAIPVTNVAPGIGSSGATVANPVLDHSKFSFFMHDILGGTHPSGRVVTGIVASTDVNNLPFTKANDNVFPLDGGVPLNNINDIVNNNNYPFLAGLNGQQPSTVLQNTGNNDIVNTGDNQPFVTAGQLPSGITLQQLMFGSITVVDNEITEGHELGSSILGRAQGFYLTSSSDGTSHTLALTTLFHGEHGHEVDDTISFFGIHRTATPISHIAIIGGTGKYENAKGYATIETMPHVDQHTTDGLETITHFTVYITP >Solyc08g048310.2.1 pep chromosome:SL3.0:8:13856997:13858534:-1 gene:Solyc08g048310.2 transcript:Solyc08g048310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQYFMHTVVLIDVALKIFLFSTVSLGHYYNFIRCAPNEWYKFDDEKVDYVQEDLVLAEQAYILFYTKRGTPLFSEYIQSHIPFVWLVNPTTSNGPNEPTLMPKVNNVKNNVSHG >Solyc06g066210.1.1.1 pep chromosome:SL3.0:6:41638006:41638347:-1 gene:Solyc06g066210.1 transcript:Solyc06g066210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVHRCLQCVGIRRSLKVASQQLDGAGCGLLFTDSSSLKIIAVEIWWYCSCSLELSLLELKVKTHVNANKKFEKGKGERLSVLFSSGSSSFKLLAGSAAGKREKKGVLDLVY >Solyc06g060600.2.1 pep chromosome:SL3.0:6:38716872:38722222:-1 gene:Solyc06g060600.2 transcript:Solyc06g060600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRKKPGRLDDVRSNGWLDAMKSSSPPSKKVQKEAGVEGFSDDAKVGYSSWMFKYPSALKSFQQIVNHAKNKKIVIFLDYDGTLSPIVDDPDRAFISAEMRAVVRDVAKHFPTAIISGRSRDKVYQLVGLTELYYAGSHGMDIMLPIRNELCTNDSLIKSTDQQGKEVNLFQPAREFLPMIDEVFKTLVDKTKEVVGAKVENHKFCASVHYRNVDENSWPILAQCVHDVLKEYPRLRQTHGRKVLEVRPVIDWDKGKAVEFLLESLGFRNSHDVLPIYIGDDRTDEDAFKVLRGRYQGYGILVSAIPKESNAVFSLGDTSEVKEFLGSLAKTMENQEI >Solyc04g026080.2.1 pep chromosome:SL3.0:4:19016871:19019052:-1 gene:Solyc04g026080.2 transcript:Solyc04g026080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNRQWVTSASAEVNDKVKDVIAEKIQEIEEGTDVDPITNAAFVQIMGEKSKYILGQGSKIKSASRISRNEIQEQLQARKKEAEEERYKRESVEIKLMKVKNQLEEDRKNREVMEFHLVHDQKLLKESMMALVSHLKNPKNDLPASIFNIFTTSTTSNETSSACLMNNNWEDLHVKKNQESKMRKELDNLKDVLNFEKQNLETAIYDCEKFNTLCNEKDVELKKQNIEMRLQKLSSQSSKKTTPKELVDANNQFFEKNHEELKACCTLRTVEETKKRILSETKEFQRSSLALK >Solyc10g076410.1.1.1 pep chromosome:SL3.0:10:59487729:59488340:-1 gene:Solyc10g076410.1 transcript:Solyc10g076410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNPQKSSILLQRITSNNPCNCNKQSLHHHTPIPCTADIPDSVVKYHAHLVGPYQCCSAAIQRISAPVSTVWSVVRRFDNPQAYKHFIKSCHLIDGDGNVGTLREVRVISGLPAVNSTERLEILDEERHVISFSVVGGDHRLANYKSVTTLHPEPFGNGTIVVESYVVDIPKGNTKDETCVFVDTIVKCNLLSLKQIAENLK >Solyc06g062870.3.1 pep chromosome:SL3.0:6:39787776:39791673:-1 gene:Solyc06g062870.3 transcript:Solyc06g062870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLISIERKIVLIMELIRRRNAPHQNEIPPLELLDEEDDKKSKRKIATKQKWSCIDSCCWFVGYICTVWWILLFLYNAMPASFPQYVTEKINGPVADPPGVKLRNEGLKVKHPVVFVPGIVTCGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPFCWAEHMSLDNESGLDPPGIRVRPVAGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLSIQNTEVRDQTLSQIKSNIELMVATNGGNKAVIVPHSMGAIYFLYFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGAPFLGVPKALAALFSAEARDVAIVSLVYLNFRSKASVVMDKDLFRIQTLPHLMRMLRTWDSTMSMLPKGGETIWGGLDWSPEEGYSPRKRKLRDKTSHTSSHQDNQTVESKGKHVNYGRMISFGKVAAQKPSSDITRIDFRVMLTRNQSVFVYMQGAVKGTNKANNTCDVWTEYYDMGVAGIKAVEEYKVYTAGDILDLLHFVAPKMMARGGAHFSYGIAEDLDDPMYSHYKYWSNPLETKLPNAPEMELYSMYGVGIPTERAYVYGQTPIAQCHIPFQIETSADEGNECCMKNGVLTVDGDETVPILSAGFMCAKGWRGKTRFNPSGIKTYTREYDHAPPANLLEGRGTQSGNHVDIMGNFALIEDIMRVAAGATGKDLGGDQVHSDIFKWSEKIDLL >Solyc07g054233.1.1 pep chromosome:SL3.0:7:62722170:62722817:-1 gene:Solyc07g054233.1 transcript:Solyc07g054233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYGRPPPYGGEYYYAERRRGGNFCVRCICCCYCVLFLLIIILASIAFYFYMYYKPKMPTYDFQSLDVKDFRYQPDFSVNADLIITMKTDNPNTAIGFIYGEGSSVNVTYSDSNICTGKLPSFYQGQKNTTIFQIELKGKSTFGSGLYEAFQENEKNGKIPLKVPVQIILGDIKLKQFNVFANVTLTVHDLKPGKKPEVEHSQPTFDVKF >Solyc03g112310.1.1.1 pep chromosome:SL3.0:3:64237658:64239646:1 gene:Solyc03g112310.1 transcript:Solyc03g112310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade X lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4BK85] MPPLSLLFILINFTIFPSIFAIEFLFNSFTANTTPALTLIDDARLEPPVIRLTNDSNQFSLGRAFYPSQIPIKSASNSTSISSSFSTQFIFSVLPDDSSSPGFGIAFVLSASTSPPNALSSQYFGLFSNATVHTVAPLLAVEFDTGRNPEFNDPDRNHIGIDLNSIESIVTQTAGYYSSSGNDSDSFVPLNLRSGQNIHAWIDFNGPEFEINVTIAPAGMSRPARTLLSYRNPIIANYMSAQMYMGFSASKTQWVEAQRLLAWSFSDSGVARDINTTNLPVFQLENSGSSLSSGAIAGIVIGSVVAVLGCLFVFYWFWWRKKEEDDVIEDWELDYWPHRFSNEELSQATKGFSKDELLGAGGFGKVYKGTLANNTEVAVKCVNHDSRQGIKEFMAEISTIGRLQHKNLVQMRGWCRKGYELMIVYDYMPNGSLNKWIFDKPEKVMNWVDRRRVLADVAEGLNYLHHGWEQVVVHRDIKSSNVLLDSEMRGRLGDFGLAKLYTHGGVPNTTRVVGTLGYLAPEVVTRANPTAASDVYSFGVVVLEVACGRRPIDLGIVLEEEEVLIDWVRQKYREGRLCEAADKRIKEQCSEEEMEAILKLGLTCCHPDPLRRPTMKEVVAVLLGENVDATPNELIVELAPTESNTRDRSDWSTEESEPLSAV >Solyc02g092870.2.1 pep chromosome:SL3.0:2:54462914:54469692:-1 gene:Solyc02g092870.2 transcript:Solyc02g092870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGQKKKKKGASFQIDYIVNIQLIRPWPPSESLRSVQSVLLQWENGDRNSGFVTASVEDDYLEINKTFTLFLTLCREKKTKDKFLKNNLDFSLYEYTKDNAAQGPLLGTASINFGEYGIIRETLAISVPLNCKKSSKSLLQPSLYVKVQPTKDKQESDMMIDDAEYDSDFASYTDDDVSSHSSSTFSSSVFEAAWGSPSNNVKVARASPSRLEKSDFNEEAASGTSKSEENTQHAKEKYIDRLISKITSSHMHSQAGMDSQNSADETTDHEFGHDDHLHGETRDLSENKKAKSIKRQVTMSWKALGVQITNGRLKPVKSVQIRDSMTPNVFLGNTEITKNEMKEQTPIETSIAKSTAVEKKEPKNTVEKKEPKSTGEKKEPKSTVEKKEPKNTTSTTSATEKRVPANVLSKSKPEPESRIQMLEEELKEAAAIEISLYSVVAEHGSSMNKVHTPARRLARFYLHAWRTKSPAKQASAARAAVSGLALVSKACGSDVPRLTFWLSNSIMLRAIISQAAAGLQFNEGAPTETTVNRGKSALEKIYMQQSIKYIANQGNKNYLVKQYYNWEDIESFTQALEKLEGWIFSKITKSLWWQTLTPHMQFGTAKTSKTRASRVKKTYGSRHSLGDQEQGKLSVKLWKRALKDACERLCPLRALGHKCGCLPVLPKLVMKQLVSRLDVAMFNAILRESTEEMPTDPMFDPISDRKVLPIPPGKSSFGAGAQLKNAVGSWSRWLTDLIGFEDEDSPEYSNIFGNDKKTESFKAFRLLNALSNLMMLPFEVLIDASTRKEVCPIFSPVLIKRVLANFVPDEFRPNPIPKNVVETLDSEDVPGEHYTSFPCTATWTAYTPPPALSLTTFIEKVGNQVPKSSGSSVLKKTYTSDVELDELDSPFTSFLADSFKDFPNLAKPARNVVRYQLLREAWKQVPP >Solyc06g011280.3.1 pep chromosome:SL3.0:6:6256466:6259553:-1 gene:Solyc06g011280.3 transcript:Solyc06g011280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHSTDNNKNASKALIAAEYTGVKVDLAKDFQMGVSNKTPEFLEMNPIGKVPVLQTPDGPVFESNAIARYVTKTKPNNPLFGSSLIEYAQIEQWNDFSATEIDANIARWLYPRLGYAVYISQAEEGAVAALKRALGALNTHLASNTYLVGHFITLADIIMVCNLSIGFRMILTKSFTKEFPHVERYFWTVVNQPNFVKILGEVKQAESIPAVQSKPAQPEKLKAKEEPKKEVKKEEPSPVEEEAAPKPKAKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAVKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMELVRKYAFGKMLIVGSEAPFKVQGLWLFRGKEIPKFVMEEVYDMELYEWKEVDINDEAQKERVSQMIEDHEPFEGQALLDAKCFK >Solyc12g042350.1.1 pep chromosome:SL3.0:12:58424689:58425967:1 gene:Solyc12g042350.1 transcript:Solyc12g042350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTQFIHCHECTTRVAFSDDEIPPLLMALARILCMKWGEVSLSNEGTNEQNVDQELGVNDDQDGDANDMRLEELICWNDVTLLHLNEQDGNANEQVPSDQDLGTNEQNVDQYGDANDQDLGVNEQNVDQDLGVNEQNAYEDGDGNEEDPNEQDLGANADQDAGDGTKRDIEKKLFRMELELITMKAELTKMRLQLITMEIQLLETEDILLNFLRKNKHDQDGDATDQDEGTTNQDKGTMNQDGDTTDQDEDATDEDATHQDATDQDATDEDATDQDATDEDATDEDATHQDATDQDATDEDATDQDATDQDATDQDGDAPMN >Solyc03g032110.2.1 pep chromosome:SL3.0:3:4644301:4657340:-1 gene:Solyc03g032110.2 transcript:Solyc03g032110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGKCFLVTGPAGIGKTTLIVRVLETLRNSNPNLKVQGFYTREIREGTERIGFEVVTLDGRTGLLASNKISSAQSLRWPTVGRYRVDVASFESLALQELQVREDTDLFIIDEIGKMELYSSSFFPAVLKVLQSNIPLLASIPITKVGRDIPGVARMKNHPGARGCDERTNMLHVSRCAAKALDVEEHTLPANVVIAPPGSQRRIHDLLVYGFWTTILRSCLLGGTMRMSKRHGGLEAPRNRLEKPAESFCDGGDDILCTYHMTNDWPEKKNCYVKEVPMKKLISEELAKRPNTGQNVPSVVARLMGLDTLSVDEESLREVSSRQTVFDSFDRRSRNSLKFNELKPREHPQEEELQKFKKEFEAYQAAKFKEGSKFVELNTNTVLYANSTRKMVTERFIDLKGLAATENIHERGISKIQKDKNEFLAAARNKTIRALNVKSGSAPAKIVILRPVSDRTGKNEESWANSPRISEDGSSMEEFLQEVKGRLKFELQEKSFRKTIEKPSDAKIIAQCIAKQARESVTRDVGTTHHRSKSMQSDRSEIQRDEASSPEFTKRDTRRILTERLRNVLSDESSHDIDKHDRVSSTSVAQHREKSKSEEMRYAPNEVCHGDDMKDESDRQCRYSRQELSNDVMLDQKLFHRNLVRSLSAPVSRSSFGKLLLEDQDMLTGAHIRRQHEAIEEVTVNVKKWRKEKFNLKAKVSSFKHSFVLKGKLFGRKIQSLEESHGNKQMHMKDLQNTQTVASKFYVRQENSTEVPPSPASVCSTSNEEFWRQTDNFSPSSSSISDVNPLDDTEIPHVFKEISSNLNELRRQLNQLETYDSEETMIVEQPIEKEIVMEIDDPAEAFVRDLLVASGLYDGSCDKYLSKWDPLGKSISNHVFEEAEESYKRQKINDYDEGSSNDHQSKKLNHKLSCDFLNEVLPSVLGSSSMRRTISPTPRAPRGNKLLECVWEIARISSDMSSQSLETILARDLQCTRWDRLVDEDVNALGKDVEYQIVGDLIHEMINDMQP >Solyc05g014465.1.1 pep chromosome:SL3.0:5:8308555:8309586:1 gene:Solyc05g014465.1 transcript:Solyc05g014465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSNIDVSIGNSLKGLFTLTLQNEINAIDKDPSGSLLASCSDDTTVKIWSMKQDVCLHDFREHSKEIYTIKWSPTGAGTSNPNQQLLLASASFDSTVKLWDVHQGRLLHSFNSHSGC >Solyc06g072310.3.1 pep chromosome:SL3.0:6:44727454:44733658:-1 gene:Solyc06g072310.3 transcript:Solyc06g072310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYGGGGGGGGGGGGASSSGGGDATDASRKKKRFHRHTAHQIQSLESVFKECPHPDEKIRLQLSRDLGLAPRQIKFWFQNRRTQLKSQHERADNSALRSENDRIRCENIAIREAIKNVICPSCGGPPVTEDTYFDEQKLRMENLQLKEELDKISSIAAKYMGRPISQLPPVQPVHLSSLNLMSMPNFGLTGPSLDLDLLPGSSTSTYPNLPCPTFNISDMDKSLMADIAGNAMEELIRLLQTNEPLWTKSTTDGRDVLDIDKYGQIFPKANSSLKNPNVRVEASRQSGVVIMNGLALVDMFMDVNKWVEFFPTIVSKARTLEVISCGMMGSRSSTLQLMYAEQQVLSPLVPTRQLYFLRFCQQIETGSWAIVDVSYDITQENMYPPSSCKVHKLPSGCLIQDMPNGYSKVTWLEHVEVEEKGSIHRLYRDLIFSGMAFGAERWLGSLQRLCERYACLMVSGNSSRELGGVIPSPEGKKSMMKVAERMVSSFCASINPSNGHQWNNISTLDEFEVRATLQKCTDPGQPNGVVISAASTIWLPVPPQHVFNFLRDERTRPQWDVLSNQNPVQEVAHIANGSHPGNSISVLRAYNTSQNNMLILQESCIDSSGSLVVYSPVDLQSINIAMSGEDTTYIPLLPSGFTISPDGRGSDEALSMNNGSTMRAGGGGSLVTVVFQILVSSLSSSAKMSPESVNTVNNLIGNTIHQIKAALNCSTS >Solyc06g008820.3.1 pep chromosome:SL3.0:6:2761495:2769723:1 gene:Solyc06g008820.3 transcript:Solyc06g008820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDAVARLGVSILSDGDQVSVDSITLFVALLCGCIVIGHLLEESRWINDSITALVIGLSTGGIILLTTKGKSSHLLEFDEQLFFIYVLPPIIFNAGFQVKKKQFFRNFVTIMLFGAVGTLISFSIISFGAKELLGKLDIGFLELRDYLAIGAIFSATDSVCTLQVLNQDETPRLYSLVFGEGVVNDATSVVLFNAIQKLDLSHINSRAALVFTGNFLYLFLASTFLGVLIGLLSAYLIKKIYLGRHSTDREVALMILMAYLSYVMAELFDLSGILTVFICGIVMSHYTWHNVTFNSKVTTRHAFATLSFIAEIFIFLYVGMDALDIEKWRFVKDSPGKSVGVSAALLGLVLVGRACFVFPLSLFSNCLKRSEHDKFGLKQQVTIWWAGLMRGSVSMALAYNQFTRFGHTQQPGNAVMITSTITIVLFSTVVFGLITKPLVRFLLPSSQGFNNLISSEQSFARPLLTNEQELELEMGNVDPVRPSGLSILLKEPSYTIHNHWRRFDDAFMRPLFGGRGFVPDAPELSKGGCDQY >Solyc12g096175.1.1 pep chromosome:SL3.0:12:66186649:66189051:1 gene:Solyc12g096175.1 transcript:Solyc12g096175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTSFVDITHFRSLIGALQYLAITRPDIQFAVNRVTQRMHQLSEHDYHCLKHILRYIFGTLSRGLLIRPGDLELRVDASRSFSRYPPPPPVEITREEVKSMSSDKFSFNDSKNKYEKKDIPYVTPGHSPGMGHDSPPGVL >Solyc08g077880.3.1 pep chromosome:SL3.0:8:61909328:61911428:-1 gene:Solyc08g077880.3 transcript:Solyc08g077880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLRLLYVSHSQEIICSFLRLRIVERSLCHDLKALLFHCLSFLQSATRMSMALFSSPPLHFPTLTPSKHHLTPKPYPSISFKKPHLSFLVSPKATDNGSGGVVSSSSVTVEQEVLEEKAQDGAVEVEESNEASVGSNGSPPVASAGAPAPEIVRMFQDPRWVGGTWDLKQFQKDGETHWDSVIDAEVRRRKWLEDNPESSSNEEPVLFDTSIIPWWAWMKRFHLPEAELLNGRAAMVGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLLIRKNEDIETLKKLVDESTFYDKQWKASWQDENSSSSKDS >Solyc09g015070.3.1 pep chromosome:SL3.0:9:7889923:7893162:-1 gene:Solyc09g015070.3 transcript:Solyc09g015070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMTIDPQGEKIESFVLLSGHKIPAIGLGTWRAHNARDSVFTAILEAGYRHVDTAAEYGVQDEVGHGIKAAIHTGVERSTLFITSKLWCCDLSTERVRPALMKTLNELQLDYLDLYLIHWPFRLKDGASRPPKAGEVSDFDWEGVWIEMEKLVKDKLVRDIGVCNFTVKKLNKLLDIAEIMPSVCQMEMHPGWRNEKMLEACKKNNIHVTAYSPLGSREVDLIHHPVVERVAGKLNKTAGQVLVRWAIQRGTSTIPKSDHSERIKENIKVFSWEIPEKDFQAICDIPDQMRVLDGEELFVNKSDGPYKSVADIWDHEI >Solyc07g064290.3.1 pep chromosome:SL3.0:7:66626985:66631298:-1 gene:Solyc07g064290.3 transcript:Solyc07g064290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILPLHITSIYCSKCLTIDLYTHVYIKNRTPAGKLDRLFFTFLKRHIYRSALCRRLHKVTNCSKQIIPTTKRLSKCGTQNQFLCIFLMAQKTHDFSPEKMTNLCRKIVQVKIRWKIFDKVSIFREFFRFIWERIIVCSTGRKPVRYRRLSRRSSSSPVAMEEVEGYGVGGGEDDPLTATCSGYDSDSDLVTLKISIFGDCQIGKTSFVIKYVGDEQEKRCLQTKGLNLMDKTLVVRGARIAFRIWDVGGDHSSFDQIPIACKDSVAILFMFDLTSRSTLNNVISWYTEARKWNQTAIPILIGTKFDDFVQLPPDIQWSVVTQARTYAKAMKATLFFSSSTHNINVNKIFKFIMAKLFNLPWSVKRNLTIGEPIIDF >Solyc12g017730.1.1.1 pep chromosome:SL3.0:12:7337091:7337327:1 gene:Solyc12g017730.1 transcript:Solyc12g017730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDQLMETVYRGLKGRRFLIVIYDIWSIEAWDQMRRIFPNDDNRNRILLTTRLKYVANYVSCPDFPPHSIVFPKFKK >Solyc12g049595.1.1 pep chromosome:SL3.0:12:62201302:62202314:-1 gene:Solyc12g049595.1 transcript:Solyc12g049595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNEQIDPVANWNVEKAKTTRTCVPTKDSQIPFVKVSHEQLLRNELSELQKEHKKNNDNAGQGSQPFTSPILSENQNQNNINNHNAAQGRQHFTSPVASENQNQIIELLNSSLINGALITIAWLSVVLLPFIIHMPIFSS >Solyc02g087210.3.1 pep chromosome:SL3.0:2:50312336:50313196:1 gene:Solyc02g087210.3 transcript:Solyc02g087210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGTEAYPDLGRHCQLSDCHQLDFLPFTCHACLKVFCVEHRSCKSHECPKSDFNSRIVLVCEICSMSMETTGCKVEDHKAILQKHEESGDCDPKKKKKKPTCPVKRCKGILTFSNTSTCKICRIQVCLRHRFPADHACNPTSSSSQLLLKEPNNKFLTALLARNGKDCGNKSRASSPSPANPSVKAC >Solyc01g110785.1.1 pep chromosome:SL3.0:1:97260141:97261107:-1 gene:Solyc01g110785.1 transcript:Solyc01g110785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQLQQKIPILNMSQRAICCVCREKQKMRYVIPVSFLSQPLFQELLNQAEEEFGFNHPMGGVTIPCSEDMFIDLTSCLRK >Solyc08g016120.1.1.1 pep chromosome:SL3.0:8:6871783:6872328:-1 gene:Solyc08g016120.1 transcript:Solyc08g016120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTSLFTPYFLPLVLFATIFNFQTSLCDIRADEALITGICRQVQDLQFCLTTFRQIIPSHPYVPEEVTRAAITKSLQNANDNRAFVEKAQANAKDKETKDLYSICDSSYGLLITVLQDASKSLTNKDYNGLENDLAKCPRFVSDCQNVLGSKTTREMVDRSRKQFDLVLMAKIAEQLIKK >Solyc10g006470.3.1 pep chromosome:SL3.0:10:1060543:1063303:-1 gene:Solyc10g006470.3 transcript:Solyc10g006470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDLQVPAAFDPFAEANADNSGAGTKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKEHIKIHGF >Solyc12g098670.2.1 pep chromosome:SL3.0:12:67077728:67081008:1 gene:Solyc12g098670.2 transcript:Solyc12g098670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFRLIGVSIISCLLLLSSTATGDDDISRAAPANASSSCNNPYRMVLVKLWIDGAEQESIGGLSAAFGSLLSTDTKNAPRLPATCTKPLNGCSSSSFKLSGSIALALRGQCDFLTKAMVAQAGGAAGIVLINDQEDLVEMACPNNSTVSNVTIPVVTISKAGGDVIDKYISAGKKVEIMFYSPDRPIVDYSAMFIWMMAVGTIFCASFWSEFTTSKENNINEQSPEVPKIAS >Solyc05g053965.1.1 pep chromosome:SL3.0:5:64824534:64838883:1 gene:Solyc05g053965.1 transcript:Solyc05g053965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYYYFYPIPYALSQGGWLCLMLLLLVAIICCYTGILLQKCMSVSPSIKTYPDIGEFAFGNKGRILISIFLYLELYFVAIEFLILEGDNLQKLFPNAKIHVGCVKIVGREVFVLLVAIIILPTTWLKSLGLLAYVSIGGVLASIVLVFSIFWVGAMDGIGFEEKGVIWRWDGLISAISMYTFCYCGHAVFPTICNSMKDRSQFPKVLFVCFIVSTITYGSMATIGYLMYGQNLMSQITLNLPTGKISSKIAIYTTLVNPITKYALVVSPIATAIEDKLPLRKSKFIVSYFIRTLLVISTVTVALTVPFFGYVMAFTGALLGVTVSILLPCLCYLKIKKPSYLEIMFIVMILIFGSSVTLSGTYTSLKNIISHV >Solyc07g052350.3.1 pep chromosome:SL3.0:7:60984566:60993289:1 gene:Solyc07g052350.3 transcript:Solyc07g052350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:K4CFD4] MYSNTARKYSSSAASSLLRASSSVTRPLASTSTAAHAPCRAGAASGNQQRYSSTLRSLRCSVPRWSHGVDWKSPISLTAQIRTAAPALNGFHRKLATMAAENPFKGILTGLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVKKEDVEKIIDWENSAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGSDSDKINPLVPVDLVIDHSVQVDVTRSENAVQANMELEFQRNKERFAFLKWGSNAFQNMLVVPPGSGIVHQVNLEYLGRVVFNREGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGNLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSGLSLADRATIANMAPEYGATMGFFPVDHVTLQYLKLTGRSDETVGMVESYLRANNMFVDYKEPQQEKVYSSYLNLDLADVEPCLSGPKRPHDRVPLKEMKSDWHACLDNKVGFKGFAVPKEVQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKASELGLHVKPWVKTSLAPGSGVVTKYLLKSGLQKYLNQQGFNIVGYGCTTCIGNSGDLDESVASAISENDIVAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVDIDFEKDPIGVGKDGKDVYFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNTMWNELSVPTTKLYQWDPKSTYIHEPPYFKGMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVDRRDFNSYGSRRGNDEIMARGTFANIRLVNKLLNGEVGPKTVHIPSGEKLSVFDAAMKYKSAGQSTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADTLGLTGQERYTIDLPENISEIRPGQDVTVQTDTGKSFTCVVRFDTEVELAYFNHGGILQYVIRQLTKQ >Solyc02g090070.3.1 pep chromosome:SL3.0:2:52351344:52354260:-1 gene:Solyc02g090070.3 transcript:Solyc02g090070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTEHPTTKGQAWFCTTGLPSDIIIEVEDMTFHLHKFPLMSKSRKLHEMITEQETNASSSKIQKSAERDNDCDGDEEIEEEEDNEEDQQYCISFPDFPGGSETFETAAKFCYGVKIELSALNVAPLRCAGEYLEMTEEYSEDNLISKTERFLSQTVLKSIKDSIRTLNSCKNILPLAETLGIVQRCIDAVAVRASAADPSLFGWPVNDHGPGNGVEASTRRKGANRGGGMDSWFEELGHLSLPLFKRLISAMKSRDLCSEVIESCLMYYAKKYIPGISRSSRKTSSSSIPSENEQRELLETVITNLPTEISSRTSTTTRILFGLLRTANILNASEAARAALERKIGSQLEHATLDDLLIPSYSYLNETLYDVACVERILGYFLTGLEERSTARIQGEEENISVRSTALMLVGKLIDGYLSEIASDNNLLPEKFCELAVALPDQARLFDDGLYRAVDVYLKAHPWISEAEREQICGVMDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRQAIAGTLMVADVNPEEIPRLSIMDVREEEGEGGEQEEMTGVVGMGRAQEGRSTWRKTVRENQVLRLDMDSMRTRVQELERECSSMKKVIQKIDKVGSREGGGGWRKKLGCKFKTQVCDSHEPTVVEAKKGRGQRHQQ >Solyc03g094150.1.1.1 pep chromosome:SL3.0:3:57431530:57431958:1 gene:Solyc03g094150.1 transcript:Solyc03g094150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLEAVRDRKTGDLLFYKKSPPPFKIDPLRIGSQENNFVDLVPSKNEPVTAYNFKDAAAAQQVLLNFGDDYGYEENLRRLEENFPSANHQINERSKRLGELAISTATPEILKDIRGLSEDVYKLSSTEDMLNQFEEKKLF >Solyc07g049520.2.1 pep chromosome:SL3.0:7:59918101:59919191:1 gene:Solyc07g049520.2 transcript:Solyc07g049520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFQPIKSIWGLAKFISHNTFKEASNGYLVDDKCVVGAEIFVVQRQAIGECLSMVKSNDLFKREWNICNFSKLDENWLSEEFTVGGYKWKLSLCPNGNGNSKGCDVSIFLNSVDAKDFDHHEKVKIVFGIQLRERVVVFQVLCL >Solyc10g050900.1.1.1 pep chromosome:SL3.0:10:51085660:51086169:1 gene:Solyc10g050900.1 transcript:Solyc10g050900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKTKGRQKIPMKKIENEKALLSSFSKRRNGLFKAANNLVKKFDVDIGIIVFSPTGKPHSYFHPTVDAVISRFQNPDMQLSDETHLAMIFARNSVNQLEKKLEELDIQEKIEIDRTNYLDQMTETRQKGWWESIEQLNEDEVSKFEEWLNVASFTMHYRLNQSIVSS >Solyc05g056385.1.1 pep chromosome:SL3.0:5:66560823:66566451:1 gene:Solyc05g056385.1 transcript:Solyc05g056385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIPTLNRVLIEKITAPAKTSAGILLPENSSKLNSGKVVAVGPGLHDKTGNLIPTAVKEGDTVLLPEYGGTQVKLGEKECGHCKKLAPEYEKAASILSSHDPQIVLAKLDASDDASRELAIKYELQGFPTIKILRDGGKNVQEYKGPRDADGIVAYLKKQTGPASAEIKSKEDVASLIDEKKINVVGVFPELSGEKFDKFITLAEKLRADYAFAHTVDAKLLPRGEPVDKPTIRLLKPFDELFVDFEDFDVDAAEKFIAEATVPIVTIFDQEPENQVYVSKFFKTPNAKVLIFVNFSTEFDAFQSKYKDVAVSYKGDGLSFLLGDVEAGAGVFKYFGLEPEQAPVIIIMVNEGEKYISTHVQPDVLASWLKDYKDGKLKQFFKSEPIPEVNNEPVKVVVRDTLRDLVLNSGKNVLLEFYAPWCGHCKALAPILDEVALSFEKDSDVLIAKLDATANDIPKGEFDIKGFPTLYFKSASGNISQYEGDRTKEAIIEFIEKNRDKPAAHHSESSVKADSTKPESVTTDSAKDEL >Solyc08g080270.3.1 pep chromosome:SL3.0:8:63698928:63703418:-1 gene:Solyc08g080270.3 transcript:Solyc08g080270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDDQARFLFGISLTDKPKWQQFLICSSGFFFGYLVNGLCEEYVYNRLGFSYGWYFTFVQGFVYLFLIRIQGFTTKQMVNPWKIYVKLSVVLMCSHGLTKGSLAYLNYPAQIMSKSTKVLPVMVVGAFMPSLRRKYPVQEYVSAVLFVGGLIFFTLADANTSPNFSIIGVIMISGALVMDSFLGNLQEAIFTLNPDTSQMEMLFCSTVVGAPVLLLPMILTGELLVAWKSCSEHPYVYGVLVFEAMATFIGQISVLSLIAIFGAAATAMVTTARKAVTLLLSYLIFTKPLTEQHFSGLLLISMGIVSRLLPDSKPPQ >Solyc11g008107.1.1 pep chromosome:SL3.0:11:2304842:2315253:1 gene:Solyc11g008107.1 transcript:Solyc11g008107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGQAREAWGKESIIFYVVQFDDGCLKTVLVGSEIYFDRNNALRTLKVCWHPYSDTHLGILSSDSVFSSQVVYVENLKRVAQSIKDLGRLKYFLGIEVAQSKSEIAISPGKYALDILEEIGMTDGKPIDSPMDSNVKLVPSRGSLLAIQEDMVGNDSTPDVTFPVGVVSLFLNSPCESLDAVIHIIRYIKSAPRKGFLNEDRGHEKIVGYSDADWVGSFDGRSTYGYCVLVGQKLVSCKK >Solyc04g055190.3.1 pep chromosome:SL3.0:4:53739187:53748636:1 gene:Solyc04g055190.3 transcript:Solyc04g055190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVELTLLIVYALSFYLFIIHRSLQIARDHYPKLYGLRSGWIFHRFNDVSDAQWRNFRGNLMILTLVFGIFTLVATSCRKYGLKAKGMAVVWLMVSLVYLTYLHGACVLYILFIASANFLLVKICGRTKFVFLLWIFNLTFLICNRVYEGYPFSLFGQNWAYLDNYRGTFRWHICFNFVILRMISFGYDYHWAYYSNRFDKEKHIQRCNNCSSGRTCYQLLQERSLENEKFSFTIYLCYLIYAPLYIAGPIVSFNAFASQLDTPQKTHSLKKVVWYGLRWIFSLMLMESMTHFFYYNAFAISGTWKYLSPLDIFIIGYGVSVLNFMWLKFFLLWRYFRFWSLINGIEAPENMPRCINNCHNLESFWRNWHASFNKWLVRYMYIPLGGTQTKLLNVWVIFTFVAVWHDLEWKLLSWAWLTCIFFIPEMIVKSTTNNLKVESSFGKFLYRELSAVAGAVTITCLMVANLVGFVIGSSGINWLLSKFLQKEGLPTLGGMFITFYVGTKLMFYISDAKKKHIHSR >Solyc07g017400.3.1 pep chromosome:SL3.0:7:6872015:6887001:1 gene:Solyc07g017400.3 transcript:Solyc07g017400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTDAFPQIQETLMEFRAGKMLMEGKKVIPDSRKGLVRIGRGEEGLLHFQWLDRNLNIVEDDQIVFPEEAVFEKVNQSSGRVYILKFCTDDRRLFFWMQEPAADNDAQLCSSVNFYLNQPLEFPGEDEPEASAPLPNSEDMVEEDISSRAGNLVSASMNTEASSDVTSSGPVKLSDLQRILSNIGSSDEAVDPDAGLGLGDILRPELILPLIEEIPLEEQLASHLPEGHWTPYELMELLQSPPFRQQLDSFTYVLRTGQIDLTQFGIDPSQYKLTVPSFLEALEDSVSKGSGSNESRQDEKDLRSQTCNRSDPMDEGH >Solyc02g090510.3.1 pep chromosome:SL3.0:2:52688147:52693519:1 gene:Solyc02g090510.3 transcript:Solyc02g090510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSRPSNYAGDSNGNRATLPVKSTPNNNEDGNSHQQERTKKDEADVGKKSPFFPFYSPSPAHYLFSKKSPLRSPANASTNSTPRRFFKRPFPPPSPAKHIRAVLARRHGSVKPNESAIPEVNETEVGGGGGGGAGLDKSFGFSKNFGNKYELGEEVGRGHFGYTCKAKFRKGEVKGQEVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHSNLVKFYDSYEDHTNVYIVMELCEGGELLDRILSRGGKYSEDDAKTVMIQILKVVAFCHLQGVVHRDLKPENFLFTSKEENAQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAYILLCGSRPFWARTESGIFRSVLKADPSFEEQPWPTLSSEAKDFVKRLLNKDPRKRMTAAQALGHPWIKNSHNIEVPLDILIFKLMKAYMRSSALRKAALRALSKTLTVDELFYLKEQFALLQPSKNGTISFDHVKTVLMKHATDAMKEARIHDFLASLNALQYRRMDFEEFCAAALSVHQLEAFDRWEQHARCAYEIFEKEGNRAIMIEELASELGLSPSVPVHAVLHDWLRHTDGKLSFLGFAKLLHGVSSRSIAKVQ >Solyc10g018060.2.1 pep chromosome:SL3.0:10:6610554:6614528:1 gene:Solyc10g018060.2 transcript:Solyc10g018060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGTLEVFLVNAKGLEDQNWLTSMNPYVILTCRTQEKESSVASGEGTNPEWNETFVFTISGDVEEITLRIMDKDTLSSDDFVGEAKIPLREVLREGEVSERAYNVVKDEEYSGEIKLSLTFKSESGSCRGGDEEGYSGRRNKSRNDSRGSDEEEYGGGHRKSRNDSGGSDEEEYGGRRKSRNDSGGSDEEDYGRRRRSSDRRRGSDEDNYGGYRESRDDY >Solyc03g118930.2.1 pep chromosome:SL3.0:3:69181680:69183472:-1 gene:Solyc03g118930.2 transcript:Solyc03g118930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDSLLARSFSKHEQKKLGIGAFVSCFILAATFCFLFKPEIRHFRVVNVKVSLRGSPKILAITEEVTKPKKPYFEIEQEKQICNVLHPRADLCETKGDIRIHGNSSTIFFVSIDDEKNNNSWNIRPYARKGDDRAMDSVTNLIVKKVHISQQIPICTRNYTVPAVVFSTKGYAGNHFHDFSDVLIPLFQTSRRLNGEVQFLITNSMPWWIKKFKAVLQRLSKYEAIDIDKEKDVLCFPSMTIGLKANKEFSINTSESSYNMLDFTRFLKSTYSLKRKSAVRLKKNADDDDERRKNKPRLLIISRSRTRRFTNIEEIVALAKSLGFKVGVEETRENLAQVAKKVNSFDVLMGVHGAGLTNMVFLPENASVIQIVGLGMEWVSKEDFEIPAMDMNLKYLGYKISVNESSLIQQYPLEHHVLKDPPGNMISPKGWASYRKVYLDQQDVKIDVNRFRGTLLKALELLRS >Solyc03g082850.3.1 pep chromosome:SL3.0:3:54125403:54132076:-1 gene:Solyc03g082850.3 transcript:Solyc03g082850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIHLVSSPDSPEFNHLTRALTHSSVIGLDAEWKPIRTHQSTFPTVSLLQIACQLPGDDDDDSSVESPVFLIDLASIPLQSIYQLIRDAFVSPNILKLGFRFKQDLVYLSSTFCAHDCEPGFDRVEPFLDITSIYINLQPKQPGRRLSKQTKSLATICQEVLGIPLSKELQCSDWSQRPLTEEQMQYAAVDAYCLIHILEVFKSKAVTEGNSVESTTGLQLYHLDLGLKQIFDTQQNSNKMCGIKFGEALEMVQAIPPDFCKTVPISDEEVTALPPDQANRMDYVLSQIVRKYGDKILLSESDRKPKISRKKAKKSSGRVANTRSPTEGGEEWQGPPPWDATSGGDGCPKFLCDVMVEGLAKHLRCVGIDAAVPYSKKPESRDLIEQACKEKRVLLTRDAKLLRHDYLLKNQIYRVKSLLKNDQLVEVIETFQLEISEDQLMSRCTKCNGKFIQKPLTTEEAVEAAKGFQVIPNCLFNKNLEFWQCMDCKQLYWEWRELNTAMLCKSLSMSAS >Solyc05g054640.3.1 pep chromosome:SL3.0:5:65356981:65364226:1 gene:Solyc05g054640.3 transcript:Solyc05g054640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLSENRPVQTLKAILTRLEQAYCGSIGYEYMHISDRDKCNWLRERIETPTSMEYNRERREVILDRLMWSTQFENFLATKWAVLAKRFGLEGCETLIPGMKEMFDRAADLGVESIVIGMPHRGRLNVLGNVVRKPLKQIFSEFSGGIKPGDDAGYVGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVNPVVIGKTRAKQYYTNDEDRTKSMGILLHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPTAGRSSQYCTDVAKALDIPIFHVNGDDVEAVAHVCELAAEWRQKFHADVVVDIVCYRRFGHNEIDEPSFTQPKMYKIIRNHPSSLEIYQNKLLNSGQVAKDDVEKIHNKINRILNEEFIASKDYVPQKRDWLSAFWSGFKSPSQLSRVRNTGVKPEILTNVGKAITTFPDGFKPHRALKRVFDDRRKMIETGEGVDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGAQYCPLDHVMMNQNEEMFTVSNSSLSEFGVLGFELGYSMENPNSLVIWEAQFGDFANGAQVIFDQFVSSGEAKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLIVMAPKNLLRHKSCKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKIYYELDEERAKADGKDIAICRVEQLCPFPYDLIQRELKRYPNAEVVWCQEEPMNMGAFNYIAPRLSTAMKSLGRGNMDDIKYVGRAPSAATATGFFQVHVKEQTELVQKALQQDPINQI >Solyc03g045120.1.1.1 pep chromosome:SL3.0:3:11605308:11605496:1 gene:Solyc03g045120.1 transcript:Solyc03g045120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSNIYTTQKKKDLYIKKVSNPCQKIKSFTSNTDSCVNNLGSKRRVDFVGLTKSSSAAPTH >Solyc03g033460.3.1 pep chromosome:SL3.0:3:5029856:5048647:1 gene:Solyc03g033460.3 transcript:Solyc03g033460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVEETSSLPLPDAFLDFLNQHDLDPSIYTATDSIPRYIRIKPGYEDLLEEIESDIRCKLERVCWLPNFYHLQPDVRIASSKAYQEGKMYGIDAASGAAVTALDISVGDHVLDLCAAPGAKLCMMLDILGSSGSVTGVDIAKHRLAACRTMLQKYSLGGHCRLFVADGTSFSLLPVRVHSGSTLQNENADEFASGEKFEVYGEWKSRRPWKERKKEAIAREKGVSKLFSPTQEPELIFYGRYSGVVGMRKNELYQKMPISEVLQLGYDKVLVDAECTHDGSIKHVQKFEQWGWTTFQRRVLDAERTDDLTILQLQLLTNGFRLLRVGGALVYSTCSLTFAQNEDVVERFLSENTYAVDKQKQKEISSNYSDDVSSSSQSENSPIEQVALTVPITDVSTLPVVTFRMWILGTLACILLSFLNQFFWFRREPLSIGSISAQIAVVPLGHLMAMAIPNRVFFKGRKFEFTMNPGPFNVKEHVLITIFANSGAGNPYAIHIVSAVKVFYKRTLTFWVSLIVVLTTQVLGFGWAGLFRKYLVEPAAMWWPHNLVQVSLFRALHEKEERAKNELTRNQFFLIAFLCSFAYYVFPGYLFPMLSSLSWLCWIFPASVLAQQLGSGLHGLGMGAIGLDWSSISSYLGSPLASPWFATANIAAGYFLIMYVVTPIMYWSNVYKAKTFPIFSDGLFTSDGQTYNISAIIDQNFHIDFNAYDHEGPLYLSTFFSMTYAFSFACLSATVVHVFLFHGRDLWQLSKSAFQEKKMDIHTKLMRKYKQVPEWWFLSILLVNIAATVFICEYYKTQLQLPWWGVLLACGLAFFFTLPVGVITATTNQTPGLNVITEYIIGYLYPGYPVANMCFKVYGYISMKQGLTFLQDLKLGHYMKIPPRAMFMAQVAGTLISALVHLGTAWWLIDTVPDICDRALLPPGSPWTCPGDHVFYDASVIWGLIGPQRIFGNLGHYSALNWSFLFGAIAPVIVWIAHKTFPSQQWIRLITVPVLLAGIINMPPATSVNYNSWIIIAFLSGFVVYRYNQKLWSRHNYVLSGALDAGLAFMGVLLYLCLGMEHVRLNWWGSDADQCPLAKCPTAEGVVVKGCPVF >Solyc09g047910.3.1 pep chromosome:SL3.0:9:33885649:33890038:-1 gene:Solyc09g047910.3 transcript:Solyc09g047910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWITLSPLFFFKVPMADTKKKKLIGWNCLIACAILLFAALFIGSAFVITECKEDECKPEGSETLPRGIVAKTSDLEMHPLWGAPNKRKSKSPMSLLAMAVGIKQKKKVNEIVNKFPHTDFVIMLFHYDGLLNEWNDLEWSSTAIHISAINQTKWWFAKRFLHPDIVAQYAYIFLWDEDLGVENFNAGRYLSIIKEEGLHISQPAIDADISEIHHKLTARQEGSRVHRRTINIKGPGRRCYGDSTEPPCTGWVEMMAPVFSRASWRCAWYIIQNDFVHAWGVDFQLGYCAQGNRTTNVGVVDSEYLVHYGLPTLGGGENEKSSLEQGTTPKQDTLPNKMGLPESHPSDARNAVSSI >Solyc06g050490.1.1 pep chromosome:SL3.0:6:33241579:33242656:-1 gene:Solyc06g050490.1 transcript:Solyc06g050490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPISLITTVVQRPTVIFFVILKEHHLKSHQEVSSYVSDWDGDSDRSSCSPLFEALTPNKNFSNLNVDAFVAAAAPKASTLGLFSRTTNVPSYAFISFPYRC >Solyc12g044820.2.1 pep chromosome:SL3.0:12:61098492:61104335:-1 gene:Solyc12g044820.2 transcript:Solyc12g044820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLFRGSYLTMASAQAPQLGKFLWICGGEFSWSSLCIHRGIVDALNLLLVFLFLVVGLVRKFRLTSGGCRRNWMAIGVSVCCALVSIVYFGLGLWKFISSKDGGVSHLSWLHCFVCGMIWISLTVSLLVQGSKWIQILISSWWVIFFLLSSTLTIEVSMKTRSVPILDLVTWLVTFLIFFYALLTFHQIISQSSSKQSLLEPLLVDRPDDKLISIGNTSLFGKLSFSWVNGLLGLGNSKTLALEDIPCLGYEDEAILAYEQLSREWKSLQGEDNSEDFLIKAIARVYWKEMVLAGALVFLRIIAVVVSPLMLYAFVAYSSSETRTFVEGVLLLGCLVVDKLVDSLSSRHFFFYSRRVGMRIRSALMVAVYQKQLKLSSLGRCRHSTGEIVNYISVDAYRMGEALMWFHTGWSSGLQIFLAVGVLFGVVGLGAIPGLVPLIICGLLNVPFAKILQKCQTEFMIAQDKRLRFMSEILNSMKIIKLQSWEEHFKNSIDSHREDEFKWLAETQIMKAYSTLLYWMSPTIVSCVIFLGLVFFRSAPFNAATIFTVLAALRTMSEPVRYLPEALSAVIQVKVSFDRINSFLLEDEIKPEDAVTSPREDSDHSVCIVGGHFTWDPQSPDALLKNLNFQARRGQKIAVCGPVGAGKSSFLYAILGEIPKTAGTVHVYGSIAYVSQTAWIQSGTVRDNILFGKSMDENKYHEAVKVSALDKDIDNFDYGDLTEIGQRGLNMSGGQKQRIQLARAVYSDADIYVLDDPFSAVDAHTAATLFNDCVMTALKNKTVILVTHQVEFLSEVDQILVMEGGQITQSGSYNELLMSGMAFEQLVNAHRDAVAGLDPRTYKDESHELEETDIIKENSQKEVTLKPGIQLTHEEEKESESAIWKIFLDYVVISKGTLFLCSNILTQAGFVGLQAAASYWLAVAIQSPKISHIMVIGVYSSVSLVSAFFVYLRSLFAALLGLKASKAFFSGFTNSIFNAPMLFFDSTPVGRILTRASSDLSVLDYDIPFSYAFVMAAGMELLVTIGIMASVTWQVLLVGIIATVGSKYVQGHYQPSAQELMRINGTTKAPVMNYVTETSLGVATIRSFGAVDRFFQNYLKLVDADAKVFLCSNGALEWLVLRTEALQNITLFTASFLLVSIPKGYVSTGLVGLSLSYALALTNTQVFLSRWYSNLANYVISAERIKQFMCIPPEPPAIVEDNRPPSSWPTKGRIELLDLKVLTSTVLVRLQIRYRPNAPLVLKGITCTFREGTRIGVVGRTGSGKTTLISALFRLVEPYSGQVFIDDINICSIGIKDLRSKLSIIPQEPTLFKGSVRTNLDPLGLYSDDEIWKALEKCQLKATISTLPNLLDSSVSDEGENWSMGQRQLFCLGRVLLRRNKILVLDEATASIDSATDAILQRIIREEFSNCTVITVAHRVPTVIDSDMVMVLSFGELVEYAQPSTLMQTNSSFAKLVAEYWSSCRRSSLQKLNSYHTS >Solyc01g088150.3.1 pep chromosome:SL3.0:1:82871568:82876300:-1 gene:Solyc01g088150.3 transcript:Solyc01g088150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:K4AYK5] MVGSEEGVMSHVYPNGLIQSTNGSSVEEKVDELRRIFGKADGDPLRIVGVGAGAWGSVFVAMLQDAYGNLREKVQIRIWRRAGRDVDKATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLHADEILKDGFCSNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETRDVFQEISRYWKERITVPVIISLAKGIEAELGPEPRIVTPTQMINKATGVPIENILYLGGPNIASEIYNREYANARICGAEKWRKALARFLRQPHFIVWDNGDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEGPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGEINLEMGDSIKGKGMIQGVSAVKAFYELLSQSSLNIFHPDENKHVAPVELCPLLKTLYKILIMREVSSEAILQALRDETMNDPRDRIEIAQSHAIYRPSLLGQ >Solyc03g097886.1.1 pep chromosome:SL3.0:3:61668860:61679763:-1 gene:Solyc03g097886.1 transcript:Solyc03g097886.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVCQDLFYAYGCPSRLVRPIYRVKRASKRAYASFQRFSCAIAHHILGDPDSDVKNAKFFRGRLSRPIIFIRLSITACPTHLEVHHFLGDPDSDVKYAKCFCGRPSRPCLCIRVKRAPKRAYASFRRFSCAIPHHFLGDPNSDVKNTKCFRGRPSRPCLCIRFKRALKQAYGSFRRFSCAIAHYFLGDRDSNFKNARFFCGCTSRPCLCIRLAIMACPTHLEGQTSPEASIRLISMIFVCIIAHHFLGDLDSDVKNAKFFRESPSRPCLCIRLAITACLTYLEGQTSPEASIRLISMIFVCYSTPVKNAKFFRGRPSRPCLCILLAVTACPTHLEGQTSPEASKRLISTIRVKRASKRAYTSFRRFSCAKAHHFLGDLDSDVKNAKIFRVRLSRPIIFIRLSIRDCPTHLEGQTSPEASIRLISTIFILMSKMPNFFVDVHQDLVYAYGWPSRLVRPIWRVKRAPKRAYASFRRFSCAIAHHFRVIRFPTSKMPNFFVDVSQDLVNAYGWPSRLVQPIWRVKPAPKRAYASFRRFSCAIEPHFLGDPDSNVNNAKCFCGRPSRPCLCIRLAITACPTHLEAHHFLGDPDSDVKNAKCFRGRPSRPFLCIWLAIKDFSTHLEGQMIPEDTIFWVIGTPTSKMPDFFVDVRQDPVYAYGWPSWLSDPFVGSIMQNFFVDVRQDLVYAYCWPSRLVRPIWRVKRAPKRAYALFRRFSCAIVHHFLGNPDSDVKYAKCFCGRPSRPCLCIRVKRAPKRAYASFRRFSCAIPHHFLGDPDSDVKNTKCFRGRPSRPCLCIRLAITASHYFWVIGTPTLKMPDFFVDVRQDPVCAYAHYFLGDPYSEVNNEIFFRGRPSRPCLCILLAITACLTNLEGQTSPEASIRLISTIFVCTPFLWVKRAPKRAYALFRRFSCAIAHHFMGDPDSYVKNAKFFHGRPSRPSVCIRLSITCAIAHLFLDDPDSYVKNAKFFRGHPSRPCRCVRLAITACPTHLEGLTSPEASIRLISTIFILTSKMPNFFLDVCQDLVYPYSWPSRLVPAIWRVKRAPKRANASFRRFSYLVYAYGWPSRLVRPIWRVKRAPKRAYASFRRFSCAIAHHFLGDPDSNVKNAKFFRGRPSRPCLCIRLAITACPTHLEGQMSPEASIRIISTIFVCYSTLVFG >Solyc09g082975.1.1 pep chromosome:SL3.0:9:69074753:69077181:-1 gene:Solyc09g082975.1 transcript:Solyc09g082975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITTPNSNKRRTQPNDLIIRCIKSLYLEDPRLTQNGYLSLMHYRYCMNIRSSENYHYNLRAT >Solyc01g058200.3.1.1 pep chromosome:SL3.0:1:65539005:65539397:-1 gene:Solyc01g058200.3 transcript:Solyc01g058200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLYKEIFGLHDPAFISWLIDDLIVNLKVIKILR >Solyc02g064550.3.1 pep chromosome:SL3.0:2:36235130:36242720:-1 gene:Solyc02g064550.3 transcript:Solyc02g064550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQQSSLTFATKFPSFCSFSPTPNTFKFLHPFPSSAIPLTATTPKRISSFVPKAAASTAAFAQDIGDVLADVSIFTATGQSVKFEDLWDQNEGVAVVALLRHFGCPCCWELAKALKETKERFDSAGVKLIAVGIGAPNKARILAERLPFPLDCLYADPDRKAYDVLGLYYGVGRTFFNPASAKVLSRFNELREATKNYTIEATPDDRSGVLQQGGMFLFKGKQLLYAWKDEGTGDHAPMEDIFDICCKVPVA >Solyc11g006940.2.1 pep chromosome:SL3.0:11:1446727:1451560:1 gene:Solyc11g006940.2 transcript:Solyc11g006940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIRKCTSTKPSSITVLNLLRESRCIKHLEQVHTHIIQKGYEQDHFIINQFISLCNVFSPDVSYATSVFEHVIQPNVYVWNTLIKGYSKKSSLVDCFVVLKQMRTSVNVIPDEFTFPSLVKSCSNVLALKEGEIIHGLLVRYGLDSDVFVGSSLIDLYGKCKEIEYARRVFDEISLKNEVIWTAMIVGYVYAGDLLEARKLFDEMPQRNVASGNAMIRAFVKFGDLSGAKKLFDSMPDKDVVSFTTMIDGYAKAGDMASARFLFDRSSNRDIISWSALMSGYAQNGQPNEAVKIFHEMLSMNVRPDEFIMVSLMCACSQLGRLDLANWVEHYMSQNSFDLNQVHIAAALVDMNAKCGNMERAKMLFEGMPKRDLVSYCSMIQGLSIHGCGSQAVDFFDRMLNEGLVPDDVSLKIILTACSRAELVKEGFRIFNLMTTKYSVKLSPDHYACVVDLLGRSGKLQDAYELIKSMPVEPHAGAWGALLGACKLHCDIEVGEEISNRLFELEPQNTGNYVLLSDIYAAANRWLDVALLRQKMSEKGLRKIPGCSSWYAFAALISFAIFNQSEHCISKKPRPRHPQPVKLNANRLVTGTLCGFDQFMNLVIDNTVEVNGNDKNEIGMVLIRGNSMVTIEALEPVA >Solyc05g056300.3.1 pep chromosome:SL3.0:5:66489793:66492360:-1 gene:Solyc05g056300.3 transcript:Solyc05g056300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQVQVNQVPLKSSMAPVPAQSASSSWRFNKQSVVCVAGDYGFSSRVLRNRGLSLRVKCSSSDATVTTTTVTVGQVTEVCKDTFWPIVEAAGEKTVVVDMYTQWCGPCKVIAPKFQELSKKYNDVVFLKLDCNQDNRPLAKELGIKVVPTFKILKNNKIVKEVTGAKLDDLVAAIEGVRSS >Solyc06g009140.3.1 pep chromosome:SL3.0:6:3087883:3094001:1 gene:Solyc06g009140.3 transcript:Solyc06g009140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYLSNCKNISAFVVDSVSVAIQRRGYAATAAQGGVSGSVRGSGSVRSNVMESNKTSWVPDPVTGYYRPETHAKEIDAAELRNMLLKYKPRQN >Solyc01g088100.3.1 pep chromosome:SL3.0:1:82835419:82840057:1 gene:Solyc01g088100.3 transcript:Solyc01g088100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAYEATKIVFQRIQSLDPENASKIMGILLMQDHGEKEMIRLAFGPEALVHSVILKARKELGVSSNSPSTPSTPSSPSPFGGSMCFSRQNSSSSATSGRILGGLSLPSPLSITSNNNHSSNVSASWSTSPSFSEFQEADLVSPSASNISYTAATTTNGMTNSTMNSSAPPFYCNGEVDLIDEFQLQDQLSFLNDGSPTLGPKNPDVYYQQQQQQQDLASSPSGDSMLFSSYNWGGGCNSVNGLSHRRSCSVSDICLGADDPSGGLGWKPCLYFARGYCKNGSSCRFLHGAGPGEGEVGSPNKFEMMEHCQELLRSKSAHQQRLATASQLMASSNFPLSPMAANKCMNFLQQQQLQSAESPRAAAALMMGDDMHKLSRSRFERGDFGLNGGVGIANPGSRQIYLTFPADSTFKEEDVSNYFSTYGPVQDVRIPYQQKRMFGFVTFVYPETVKTILAKGNPHFVCDARVLVKPYKEKGKVPEKFRKQHQQQMERGEFTGCGSPTGLDSSDPYDLQLGARMFYNTQDALWRRKLEEQADLQQAIELQSRRLLNLQLLDVKRSNHHRALSMSAVIPSPPHSPGFFNQNMVRSTDFGSREENGFAPKMVNFAAVTAEQKNANLTAKERECFTGKDENSSGKESSKKEASDFQESLEHNLPDSPFASPKAVGDFITTFSNEAAGDVDKGAGLNASSSANNNMIPSSSLSTSTLDMTPFKSCYFQVPRFPSGHGTIGM >Solyc06g062530.3.1 pep chromosome:SL3.0:6:39560507:39572477:-1 gene:Solyc06g062530.3 transcript:Solyc06g062530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAGDGGASAGTPAPLEWKFSQVFGERTAGEEVQEVDVISAIEFDRTGDHLATGDRGGRVVLFERTDAKEHAGSQRELERMDYPVARHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQMANGALFLLSTNDKTIKFWKVQEKKVKKISDLNIDPSRGVAKGSMPSSSVSSSQKQYLANGCYTDGSSECLSNDLSFPPGGLPGLRLPVVTSGETSLAARCRRVYAHAHDYHINSISTNCNVHFFLPPSDGETFISADDLRINLWNLQISNQSFNIIDVKPTNMEDLTEVITSAEFHPTHCNILAYSSSRGSIRLVDMRQSALCDSHSKLFEEQETPGSRSFFTEIIASISDIKFAKAGRYILSRDYMTLKLWDINMDSGPVSTFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGSRVATGSYSNLFRVFGCAPGSAEATTLEASKNPRRQVQTPSRPSRSLSSSITRVVRRGSESPGADANGNSFDFTTKLLHLTWHPTENSIACAAANSLYMYYA >Solyc01g099570.3.1.1 pep chromosome:SL3.0:1:89646047:89646568:-1 gene:Solyc01g099570.3 transcript:Solyc01g099570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSYTTNTNCPSGYPEKGVGVEKEDIDKMQFAVNLEFLEAEYFSSYGFGLDVVAPNLPMSGPPPIGARKANLDQLTTNIIMEFANQEVGHLRNKLGKCGIKDEGIYIQPPLGAENRTSSNILSADFGSLSYKRTPAEILRIVYGSGDEHVPGGFYPKGANGKIAKEFLKIIK >Solyc02g069690.1.1.1 pep chromosome:SL3.0:2:40091524:40093167:-1 gene:Solyc02g069690.1 transcript:Solyc02g069690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISMNILFSFILLFSASCVVSYTIQDQFYQCITLYSDRSIPFSTAFFTPTSNATSFNSVLKSTAQNLRCLSPSQQKPLLIFTALIESHVQAAVICAKELKVQLRVRSGGHDYEGISYTSEMKRSVPFIMLDLAKLRAIKVDIEDNSAWVQAGATIGEVYYRIAEKSKTHGYPAGLCTSLGIGGHITGGAYGPMMRKYGLGADNVVDARIVDVSGRVLDRALMGEDLFWAIRGGGGGSFGIILAWKVRLVPVPSTVTVFTVPKTLETGATKVLHKWQHVAHKIDEDLFIRILITTVNSTKGKKTVQTAYQALFLGKTDRLLDVMNHSFPELGLTQKDCVEMSWIQSVIYIAGYPSNIKPEFLLQGKSLFPAVNFKAKSDFLRVPVPVTGLQGMWEKFLQDDSPMMIWNPYGGMMGKISESSIPFPHRKGVICKIQYLTAWTDGDKKTADKHINWIRGLYEYMGTFVSKYPREAYVNYRDLDLGMNKNANSNILEASVWGKKYYKNNYDRLVLVKTKVDPDNFFWHEQSLPILPFKVGQDGKSLIH >Solyc11g063570.1.1.1 pep chromosome:SL3.0:11:49924233:49924490:-1 gene:Solyc11g063570.1 transcript:Solyc11g063570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRPLLGLLPLSKLNPRNSLCFVSGSTQSMRTTAKCFWHAKQGKRSILFPKVKCYCTEPKLHALLELQSLSSDSELTEWLHLNE >Solyc12g035360.2.1 pep chromosome:SL3.0:12:39901356:39918750:1 gene:Solyc12g035360.2 transcript:Solyc12g035360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALSELTDDIIGSMAVGATFSDFGGQINSLDFHRTEDLLITASEDDSIRLFDIANGKLLKTTYHKKHGADRICFTHHPHSVICSSIHNLDVHGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPVNDSFLSGSLDHSVRIWDLRVNACQGILRLRGRPAVAYDQQGLVFAVAMEGGAIKLFDSRHFDKGPFETFLVGGDTAEVCDIKFSNDGKSMLLTTTSNSIYVLDAYAGEKRCGFNLDPSPTAMEASFTPDGQYVFSGSGDGSLHAWHIDTQNKVCSWDSHIGVASCLKWAPRRVMFVAASSVLTFWIPDHRGPGEAAGVQVEEQVTLTQ >Solyc08g078950.3.1 pep chromosome:SL3.0:8:62731417:62735945:1 gene:Solyc08g078950.3 transcript:Solyc08g078950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPETQHDTKTLPDAWDYKGRPALRSSSGGWSSAAMILGIEAVERLTTLGIAVNLVTYLTGTMHLGNASSANNVTNFLGTSFMLTLLGGFVADTFLGRYLTIGIFTTIQAMGVTILTISTIIPSLQPPKCELGSSTCIPASGKQLMVLYIALYMTALGTGGLKSSVSGFGSDQFDETDKKERGQMIKFFNWFFFFINVGSLGAVTILVYIQDNLGREWGYGICACAIVIGLVIFLLGTRKYRFKKLVGSPLTQIASVFVAALRKKHLELPSDPSLLFNIDDIPGDGNKKAKQRLPHSKEYRFLDKAAIKVNDVESAGFTVVNKWNLSTLTDVEEVKLVLRMLPTWATTIMFWTVYAQMTTFSVSQATTMDRHIGKFEIPPASLTLFFVGSILLTCVFYDRVVIPITKRVLNNPQGLSPLQRIAVGLVLSIIAMIAAALTEVKRLNVAHMHGLTNDANAMIPLSVFWLVPQFLLVGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSILVTIVHKVTGDKPWLADNLNQGRLYDFYWLLAILSVLNLMIFLFFSRRYVYKEKRLADVGIEMEDSGPACH >Solyc12g019950.1.1 pep chromosome:SL3.0:12:12685023:12685378:-1 gene:Solyc12g019950.1 transcript:Solyc12g019950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSHDMKSCRDINPLAPFSTINSAQMANDVDALKVAEKLTDEKKKRSIFRNVSF >Solyc07g065000.3.1 pep chromosome:SL3.0:7:67074142:67079848:-1 gene:Solyc07g065000.3 transcript:Solyc07g065000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEPDRVLEVLGKRRLVAVDDRRLTKKAREVVDGNVREVAEMVLVLSALGKMRGGRGPTVAEKEMVEEARERLAEVCLKFAPKDVFPSDGFGSVIEDLGINKLTDVSVGYWQPPKMSITEKLLVVKRKMEKAQEFSLPSASYPSQRSQIAMGTATESHSASQIKMFPQPSHAVNSSGSLQPASPMEHGTPLSSASLPYQLPTSEVRPLINGGVVSGNLVRDSSSVASPRVGRHHFQMDGRQSGSSHALQVQATTGNHSTVRTPTWSVLPASVSAARLGADHKATPHATIKIEGGADVRSTMAPQVTTSRSFITESTSGNSPSTYPHLQGTSFIQASPLSSTHSEIGKLVHKFLQPLLPERPAWTLPSRDYMNKALACQMCNSTINEVDNVLVCDACEKGYHLKCLQTTSQKNVPRGEWHCGKCLSITNGKPLPPKYGRVMRNINSSKMPTIAAIVQSSPDKKAFGPDEKFRQSKIMRNGNVALQNSTTNGMENSINQLPSAPKMQNDQRMGGTDNVSGKGNVESKVASAACSSNLTLEICSNNLAVSSNDNGFSPASSMISFEQKVVEVKSQPPAIPETVTISFDHSQAWNHLQANGHAQLANSVEIPSQQFPGSLSMVGDAKESSARAGLANSLSDACKRENQGVGRIKLVETSIARNADAECVMSTSDLFHSVDWIGDVLQVADEKHYYQSCRHNGFVYNVQEYAVIRFEDERLIPSKLLAMWEDIKAGKKWVTVNRCYFPRDLPHAVGHPCSLESNEVYLSNCSTTVMAGQIQGPCEVLPLSKFNEERERISRLETGPNGGLQPLYLCKWIYDESKGLFRDISC >Solyc12g019185.1.1.1 pep chromosome:SL3.0:12:9470785:9470814:-1 gene:Solyc12g019185.1 transcript:Solyc12g019185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TQPLVTLPL >Solyc12g049150.1.1.1 pep chromosome:SL3.0:12:61517775:61519112:-1 gene:Solyc12g049150.1 transcript:Solyc12g049150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQMKKQWLQFACVLAFFLIATCTVAHSPYNSYESSDSTYNKVPSESEKEYKSSFLPKNNYYKKSSISEDNYKKVVIAPEHESFLPKNDDYKKQSFSEDNYKKKSYVPEVSSMAKPEYKESFLPKFDYFKKPSVSEENYKKASYVPEVPSMAKPEYKVSFFPKFDYFKKPSVSEDNNKKASYVPEVPSMAKPEYKESFFPKFDYFKKPLVLEDNYKKVSYVPKVPTQPKSEYKVPSLPKNDDDYKKSSVPEDNYKMVSYVPKVPSVPKEEYKIPSLPKNDYYKKPSVPEHNYKKVPFVPKLPSMTKEEYKVPSLPKSDYYKKPSVSEDNYKKGKVPSLPKEEYKVPSLPKNDYYKKPSLPKDSYKKVSYVPKVPSVPKEEYKVPSLPKNDYYKKPIVSEDNYKKVSYVPKVPSVPKEEYKVPSLSKPDYHKMSSPSPSPPPPSY >Solyc11g069180.2.1 pep chromosome:SL3.0:11:54103540:54111023:1 gene:Solyc11g069180.2 transcript:Solyc11g069180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKLFIARSVKSALFRIRNQQKPQFAAFSTSLLFDDTQKQFKESVAQFAQENIAPHAEKIDRTNYFPQDVNLWKLMGDFNLLGITVPEEYGGLGLGYLYHCIAMEEISRASGSVGLSYGAHTNLCINQLVRNGTHEQKQKFLPKLISGEHVGALAMSEPNAGSDVVSMKCKADHVEGGYVLNGNKMWCTNGPTAQTLVVYAKTDVTAGSKGITAFIIEKEMTGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQVGKGVYVLMSGLDLERLVLASGPVGIMQACLDVVLPYVKQREQFGRPIGEFQFVQGKVADMYTSMQSSRSYLYSVARECDSGTINTKDCAGVILSAAERATQVALQAIQCLGGNGYVNEYPTGRFLRDAKLYEIGAGTSEIRRMIIGRELFKEQ >Solyc01g109085.1.1 pep chromosome:SL3.0:1:96052165:96053588:-1 gene:Solyc01g109085.1 transcript:Solyc01g109085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLSRPWEHPVANDRMIERWTMVAGTDQIQIRNMQQFLKRHHKKEEHADGEDESALPQPSNWILRFACTDCIRKLPILLVSYKEMEDV >Solyc01g111840.3.1 pep chromosome:SL3.0:1:97920132:97928223:1 gene:Solyc01g111840.3 transcript:Solyc01g111840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKISRLKHLFVTVFLSNFAFNVVTPAITDITMDAICPSQDKCSLAIYLSGFQQAIIGLGSMLMMPLIGNLSDVYGRKTLLTIPVTLLIFPSVIMAYRRTTNYFYAYYVMRTLTGMLSDTGIQCISIAYAADCMSEENRASAIATLAGVGSAAFLCSNFVVRFLSTAQIFLVSSISSSAALLYMTIFLKDNINNYDALNQSILANHQSDHSEGTTVHQIINLLNTSTTLLLATLISILYGLGEGGIQSPFLYFLRARFQYNKDNFSMLMLICYGGTTFSQLILLPKLARIIREETILSLALIAGFSNMLIDSIAWAAWVPYVVALFPMFFFLAKPALQSIVSKQVGPNEQIFSYLRELHLIILVLVCCASALLGL >Solyc12g056850.2.1 pep chromosome:SL3.0:12:63865930:63874915:-1 gene:Solyc12g056850.2 transcript:Solyc12g056850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSSTSTQFAPTRRMGIFEPFHHMSMWEDAFRGDIMPSVGACMITPANDRADDKSGYTSSDQLIPSGSEDNQAGKSISDKVQRRLAQNREAARKSRMRKKAYVQQLEKSNLKLAQLEMELERARQQGLYILGSNGNMGLSSTINPGIAAFEMEYSLWVEEQQKKNVELRNILQSHVSEMELQLLVETVLNHYYNLFRIKADAAKADVFYLMSGMWRTSVERFFLWIGGFKPSELINIVMPQLEPLSDQQIVKICNLRHCCQQAEDALTQGMDKLQQTLAQNILTMTTGMGSYSSQMVSSMEKLEALESFVNQGVTSFRGVSSTSSCSKFSLGCSDLLGKSAVRVSNWNLSSVSRWTKPPVLQSWYHTSSRMETWDHRLNQQRKMVTTSAYNWNDSKSPYETLELEGDADEEQIKVAYRRLAKFYHPDVYNGRGTLEEGETAEARFIKIQAAYELLIDADERRKYDKDNRVNPMKASEAWVEWLMKKRKAFDQRGDMAIAAWAEQQQLELNLKARRLARSKMDPEEERRIIAKERKASVENFNNTLKRHTLVLRKRDIMRRKSEEEKKRVISRLLAAEGLELAEEDDKNL >Solyc11g068835.1.1 pep chromosome:SL3.0:11:53738122:53739607:1 gene:Solyc11g068835.1 transcript:Solyc11g068835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVNPGPIDRTLLLSQHEHKSELLWKGEIPYSNLMRTVHMNDAWNLFRLHRPHERVEEILRKSGLYDVVCVGRMQYDRALVTTMVECWMPETHCFHLPFGEVTITLQDVQDATRRIRPWRTLLETLTECTIAPTDMDGASRVRIHSITSYLRDQLQVDPIRDATPVERVERIARLYMLVILGGILFPNTSGNLISLQYLAFLDPIHDVGKYSWGSAVLAYLYRALCRASIGNVWCWERILPVQPSAPPQHDGDMLLPYARRWTREIDRDTESHHVLIPIRDQLDRMTEDQH >Solyc01g106080.3.1 pep chromosome:SL3.0:1:93958890:93964952:-1 gene:Solyc01g106080.3 transcript:Solyc01g106080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFSVHRVKKLRSLTPGIFAVANHHFSVATESSWKHRTSLRVPNLIGGSFVDSQSSEFVDVINPATQEVVSQIPLTTDKEFKSAVSAAKEAFPSWKNTPITTRQRVMLKFQELIRKNMDKLAFNVTTEQGKTLKDAQGDVFRGLEVVEHACGMATLQMGEYGSNVSNGIDTYSLREPLGVCAGICPFNFPAMIPLWMFPVAATCGNTFILKPSEKDPGASMMLAELAMEAGLPDGVLNIVHGTHDVVNAICDDDDIRAVSFVGSNQAGMHIYSRASAKGKRVQVSAILLTLLSTVFANVANMFNIIVSIEAKYIADQLKCPQSNMGAKNHGVVMPDANIDSTVNALVGAGFGAAGQRCMALSTVVFVGDSKPWEEKLLERAKTLKVNAGTEPDADLGPVISKQAKERVCRLVQSGVDSGAKLLLDGRDIVVPGYEKGNFVGPTILCGVTPDMECYKEEIFGPVLLCMQANSLDEAINIVNQNMYGNGAAIFTTSGVAARKFQTEIESGQIGINVPIPVPLPFFSFTGSKASFVGDLNFYGKAGVQFYTQIKTVTQQWKDLSSGSGNSLAMPTSQK >Solyc08g013720.3.1 pep chromosome:SL3.0:8:3180392:3188441:1 gene:Solyc08g013720.3 transcript:Solyc08g013720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSVWGGPSASVFPIGNEKVLSSISHSRRQVHLRRRKTIRSCAADFKVLTSVTSNYNSIVILDTPQSRVLLLDSSNNVHSILHKETKWTGAYWDEFAGLPAIVPKGPLAIFGLVNLGSFKGGATAAHLMLELWPSLLLVGWEIDEILIAKAREYLGLSDLEKHTQGGGVLEVHIGDVFSSSVTIPGGYAGIIVDLFSDGKVLPQLEEVTTWLEMNKMLMPNGRIMVNCGAATKELSDNSEMMQLDISKRDDPLELNATINALCKAFPEQVGFGPTVTTVCTSYRPKTHKEFLTFQVDQGIWVQCNGVPCKLEKAAKERRRKLSCTDRTITRFGYLVCSSPRSIKLKRQGMEKLHAFTFVNVTLGLG >Solyc01g014355.1.1 pep chromosome:SL3.0:1:12093242:12118409:-1 gene:Solyc01g014355.1 transcript:Solyc01g014355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELYIEKVLSRFRVNDAKPRTTPLGNHFKLSKEQSPKTTEERDHMTLVPYALAVGSLMYAMVCTRPDIEHAVGVVSRYMANPGKEHWEAVKWLLRYLRGTSSTSLCFGKGKVTLQGFVDADLGGDVDSSKSTSGYIYTIGGIAVSWMSRLQKCVSLSSTKAELVDGTTLSLTSHQDHLPKTKVLLSHCAASLLTSTEYHSLQVLLSHCAASLLTSTEYHSLQFCIFSNQKNEKLVPPDAALAFFSMRALLLLPKVLLSHCAASLLTSTEYHSLQKNEKLIPPDAALAFFSMRALLLLPKVHDT >Solyc02g065390.1.1.1 pep chromosome:SL3.0:2:37137877:37138032:1 gene:Solyc02g065390.1 transcript:Solyc02g065390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLSVFNHCCVLVFIVIYYIIFDIVGMCWMILLFLKRNTSLRMKMKREQK >Solyc08g074620.2.1 pep chromosome:SL3.0:8:58865793:58877981:1 gene:Solyc08g074620.2 transcript:Solyc08g074620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSITTTLPLCTNKSLSSSFTTTNSSLLSKPSQLFLHGRRNQSFKVSCNANNVDKNPDAVDRRNVLLGLGGLYGAANLAPLATAAPIPPPDLKSCGTAHVKEGVDVIYSCCPPVPDDIDSVPYYKFPSMTKLRIRPPAHAADEEYVAKYQLATSRMRELDKDPFDPLGFKQQANIHCAYCNGAYKVGGKELQVHFSWLFFPFHRWYLYFYERILGSLINDPTFALPYWNWDHPKGMRIPPMFDREGSSLYDEKRNQNHRNGTIIDLGHFGKEVDTPQLQIMTNNLTLMYRQMVTNAPCPSQFFGAAYPLGSEPSPGQGTIENIPHTPVHIWTGDKPRQKNGEDMGNFYSAGLDPIFYCHHANVDRMWNEWKLIGGKRRDLTDKDWLNSEFFFYDENRNPYRVKVRDCLDSKKMGFDYAPMPTPWRNFKPIRKSSSGKVNTASIAPVSKVFPLAKLDRAISFSITRPASSRTTQEKNEQEEILTFNKISYDDRNYVRFDVFLNVDKTVNADELDKAEFAGSYTSLPHVHGSNTNHVTSVTFKLAITELLEDIGLEDEDTIAVTLVPKAGGEEVRCQSFKVSCNVGEHDKNLDAVDRRNVLLGLGGFYGAANLAPLASAAPIPPPDLKSCGVAHIDDKGTEVSYSCCPPVPDDIDSVPYYKFPPMTKLRIRPPAHAADEEYVAKYQLATSRMRELDKDPFDPLGFKQQANIHCAYCNGAYKIGGKELQVHFSWLFFPFHRWYLYFYERILGSLINDPTFALPYWNWDHPKGMRIPPMFDREGSSLYDEKRNQNHRNGTIIDLGHFGKDVETPQLQIMTNNLTLMYRQMVTNAPCPSQFFGAAYPLGSDPEPGMGTIENIPHTPVHIWTGDSPRQGHGEDMGNFYSAGLDPLFYCHHANVDRMWNEWKLIGGKRRDLSNKDWLNSEFFFYDENRNPYRVKVRDCLDSKKMGFDYAPMPTPWRNFKPIRRSSSGKVNTASIAPVSKVFPLAKLDRAISFSITRPASSRTTQEKNEQEEILTFNKMAYDDTKYVRFDVFLNVDKTVNAEELDKAEFAGSYTSLPHVHGNNDNHVKDVTFTLAITELLEDIGLEDEDTIAVTLVPKVGGEGVSIESVEIKLEDC >Solyc09g074840.3.1 pep chromosome:SL3.0:9:67087673:67093302:1 gene:Solyc09g074840.3 transcript:Solyc09g074840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFNSTPHSKLPATVAPISSAKLRLCLLPAMEEKGSAASRVFLQEKEDSNQSFSEEEDMDDDEWMTNDNCSLENKGGLGVLSQLERLTDVKRLHHSTDTVNSDQLVQRRTGLCEEDDVEVPLFKSQDGSLINKNDQDGSFINKNDHWKALSCSLDDEFCHVTRITSTCNSEEEIMSDDEMRPSTDGKFKRDGKSTMLKVSADCKSGAFFNKDAGCSSVYGASSKLNRSSKGSPGKSKAKFLFQSRPQKKDYALVVHDSCETCMPLSVLPLNAELDMQRKNIESLDDLLENYGGNEVQQFEENLVSSEVAVVHDPNEHSMAEVLDHFQHTSSSRGNPKMLQTKIPGSRFLRKRNLLLLGDRNMSNGEQPEELDSDPSSDEDVNEVPQILKSAIPQRTMADQFHLALGAVSTNERLCIARPKQFGLSGRLQHVMQCEKDRDTYFLEKSQTHAASSGAESFIDVRILSSSLEAKLTVCFCALHGDEEGSECLSNPRERKGTGRREFTIIFNSRICKDVELEIGNVIRIHQPWKEVHVNEKDEAIILCAYFSQI >Solyc08g006100.3.1 pep chromosome:SL3.0:8:845067:846558:1 gene:Solyc08g006100.3 transcript:Solyc08g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWKKCYLDVILVPLGFMICLTYHIWLWHKVKTQPLSTIIGTNANGRRLWVSAIIKDNEKKNILAVQTFRNTIMGSTLMATTSILLCSGLAAVISSTYSVKKPLNDAVYGGHGEFMVALKYVTLLLFFLFSFICYSISIRFINQVNFLINCPQQDHNNDLGIFILSIDYICELLEKAFILNAVANRLFYAALTLVLWIFGPVLVFLSSISLVTVLYNLDFVISNDNHKGKMIKCDEDYVISHV >Solyc08g068990.2.1 pep chromosome:SL3.0:8:58139005:58140670:-1 gene:Solyc08g068990.2 transcript:Solyc08g068990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLQPRLLGKLSIFLLLCIVQTSFSSSSHQHLSSRHLLTTTPSNIIKQYLNPHNKARAKLGLPPLKWSNKLANYASWWGHQRQVSCELVHSRGDYGENLFWGSGKDWKPSDAVTMWAKERPYYDYKSNSCKKNEQCLHYTQIIWKQSTRVGCAKVLCKTGDTLISCNYDPHGNVEGEKPF >Solyc01g006640.1.1 pep chromosome:SL3.0:1:1235322:1244035:1 gene:Solyc01g006640.1 transcript:Solyc01g006640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAMYDQKSIEDKFSKIHPCFPVNTRIAIVGAGPSGISAAYALCNLGYNNVTVIEKHHSVGGMCESVDIEGRVYDLGGQVLAANSAPTIFHLAKETGSELVELDSHKLGLIDSQTGNYQDNKVADDYVSVISLTLELQDKAKDSGRIGIHAVSDLASDMTPAFLESRGFKSIPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKDGYTSLWKKVSESLPITVMLQTEVLSIRRNSTGVEIDIKDRSSDLESMEFDKVILSGAFPFRSGRTYRSPSSITADPENGVLQLSELEKELFSQVQTIDYYTTVLKIKGLEHIPVGFYYFEEFMDDPATIGNPVAMQRFYSDTNVFLFWSYGNSANVKGSTVLQLAVDAVKRIGGEVEMVVLQRRFKYFPHVNSQDMKDGFYDKIENKLQGQKNTYYVGGLMAFELTERNSSYSMALISKHFANTDPQPGFPYVKRLFPLLSDCSDKNPKALKESHQVEFPELYSLDGYLKYWSNHNITCKKTLYKWISEEGQVVNERTYEELNANASCIAQKLLSSRKPVMKQGDRVLLVYVPGLDFIDAFFGCFRAGVLPVPVLPSDPMQRGGQALLKIENIAKSCNAVAILSTAGYHAAVRAGSVKNLISLNRKNGTSSGRWPNLLWIHTDSWVKNAKEMHSNSNDKFEPQPDNVCFLQFTSGSTGDAKGVMITHGGLIHNVKLMRKVYKSTSNTVLISWLPQYHDMGLIGGLFTALVSGGSAVLFSPMTFIRNPLMWLETMSKYKATHSAGPNFAFELVVRRLEANKEKQWKYDLSSLKFLMVAAEPVRQKTLKNFIELTRPFGLSQRVMAPGYGLAENCVFVSCGYGEGKPILVDWQGRVGCGYANQNEADVDIKIVDPESGEEHDESGREGEIWISSPSAGIGYWGREELTEKTFKNKLGSHSEKVYTRTGDLGRIIDGNLFITGRIKDLIIVAGRNIYPSDIEKTVESSSEILRPGCCAVIGVPEETLYSKGVPVPDHSDQVGLVVIAEVRDGKPVSKDVAEEIKARVAEEHGVTLASVKLIKPKTISKTTSGKIKRFECLKQFIDGTLDVVPDQISSKRKLLRSFTTGSCREGNTPRSHLNTTSPSPTPGLNKKEIVEFLKGLVSEQTGISISKITTTESLVSYGIDSIGVVRSAQKLSDFLGVQVGAIDIFTATCIEDLASFTEDLVIKSRPHDATNPSYLPDYSEDDSCNLVAEEVSPSHRFGIILLQLLALVYAIFMLVLPAYSSISVFKNLILTNPTLVISFPWVGYAISLIFAPFAWILCISTTCICIGTLGNLVLQPNYSLTPEVSIWSFDFVKWWALYKAQEISSKVMAVHLRGTVFLKYWFQVFGARIGPSVVLDTIDISDPALVSIGDGAVIAEGALIQGHEVKNGILSFHPVRIGKNCSVGPYAVLQKGSVLAEGTEVASLQKTSSSKSNSRKKVVEPANETEHGLITAMYHLMGIYIVGFISALSGAILYFLYILLAQKSPSLHHFSLICLSGAFHWLPFTIIAYMAIISSITSSPIIFAISVATVYLAHGLTLSILTIFVNNFLLRNTDNSLRIWLKHRINIACHLRFAKLLSGTEAFCMYLRLLGAKVGNHCSIRAINAVCDPKLISLGEGVHLGDFSRIVTGFYSSSGYTSGIVEVQDNSVVGSQSVVLPRSLIQENVILGALSVAPIDSVLQSGGVYVGSQSPVMIKNTRHAMDERIEDMDPKYKKIVGNLAANLAATTLKVKTRYFHRIGVSGKGMLKIYDNIKGFPDHTIFVSSKQYPVIVRHSNSLSADDDARLDARGAALRILSDHANSDDEKPLLDLTLKTGEAFYARTISDFATWLVCGLAAREEHVKRVPHVRDAVWTSLRDSNSYTEMHYYSNICRLFRFTDGQEMYVKFKLRPGDENIGKDSGKVEPIGILPPETGAIPRKDNDTRPLLFLVDDFKKRVSSQGGVRYIFQLQFRSVPKDEATQDIALDCTRPWDEAEFPYVDVGEIVVDQNLTKKQSEQLEFNPFLRCHEIDVVKATSASQSASIDHGRSLIYEICQHLRNGEPLPEAWKNFIEQSDVKVDLSGCPIALQVKQESTHHQEVALSRTWYQTSWSTFAQPILQTFLPYFLLSYSIHSPLNWLICSTTSHQLYWFFPFFWISSGVIAAFTCVLAKLVFTTRKKDGGKSLIWSKGLLMETLWQAFRTLVSDYFMEMTTGSFLFVIWMKLMGSQVELSHDQGVYVDSNGVLLNPEMIEIEGGGCIGKEALLFGHIYEGEGEVKFGKVRIGEGSFVGSRAVAMPGVVVESGCCLNALSLAMKEEIVKSR >Solyc06g036060.3.1 pep chromosome:SL3.0:6:25527305:25540062:1 gene:Solyc06g036060.3 transcript:Solyc06g036060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLNTGGTPDAKKKVDQVNNSLDSFIRQAMGKKPLPRTGIGPVQWTQWLHSIDQPGVTGWSLLNSSNLKMQKCEKCSKEFCSPINHRRHIRLHRRSSNSDKESRKYRDFLGAFWDKLSADEVKEVVSLQDISLKEISGSALVQALTTALGKPAIWFLPRVYIKAGSKLLEVIQAKPSRLPVTSDELFSILDDASERTFLCAGTAESVEKKVFDGEAHKIGFDSKNLVACTSFLFEQKLVVLSPLNHVLKVETTYFHIFISMTLFDLDLIFILASLVKACGDDKNAEALRCPRLLFEEEESAKKKQAELLERKKVKKLRQKEQKASEQLNEEKGNLEVPADCFEVPLAEIHSVSGQSDSNSDITDVSLDVSACLKLIVEPQAVSANSEWKHVLLERKKVKKLRKKEQKAREQSNEEKGNLEVPADCFEVPLAEVHSVPGPSHSNYDNPDVSLNVSTCVKLVQFSIDEDMSVEFQRDLSHQYLDSVKVHNGEPRPVSANSRRLSANAEWQAPKSQRFGRNGSNQNHQAKVEPLQKHKDSVSPVNSRKIWTRKVSVESDGASILQVQKEAIDQKQRNAEVMIGSLPIPVKDWSTGRQGNCPVEAGAGRTETAMPKNCNIVEKPAKHNALQIGSNRVPAKLYRPVRHEVGRPDPEEGAMSLKFDDRTSLNENPLQSCPMDNSGTRKNCQVPDGNVHQGWEFPISAAKAFLAQRWKEAMAGDHIMLVRCPDTKFSERPEEPSSSSVTAPASDSGEDSAVSSVDDLLPKDGVVQSSSSRTNKVKFRPEAEKGIKIKYILKPKTHHLGSER >Solyc01g007060.3.1 pep chromosome:SL3.0:1:1594424:1599837:1 gene:Solyc01g007060.3 transcript:Solyc01g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVPNELKTSSKIGDRPTSDVVVRLRTQDGRDDWLYCHSHILIEESKYFADRLSDSWPTCQFLDSRNCVEVYCEEPDLDSHVNVLRLFYVIADSLMMEICHGVKNALGILRIAVKLGCPRITAVCVDYLEAVPWEEAEEEEILNTIPSMGSKVEPVLARLQPVNPTAVMKIFLAALQFATSSPPSPLNDLKNTAQEQLEYMLTEDDDAPLLTADEEIKLEVMRCVKKLLDRFNSIVESLLCDLQESISDAGRMQSLHSCLTDLLWACQILGKLEIIRDFVCSWTELSVKLVTIVQQKDEENQILKTKLKVLEVTAKVLEAIGYGIVVLPTVKRLHMVKLWLPFVRTMKPLVDSVTEETEDEITLKVDSEIWQSLESAFVAIILTLPSVDQTDILTEWLENQQIRYPDLTEAFEVWCYRSKVAKRRLATLGEEHNTAKAV >Solyc09g075020.3.1 pep chromosome:SL3.0:9:67245691:67255125:1 gene:Solyc09g075020.3 transcript:Solyc09g075020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVWFTMDIGKNMAWLTSLSCSASTLESDSGVVEWLRFIFLSPCPQRTMLSSIDLLLLLIFMVFAVQKLYSKWRSNDHSNDSGIDKPLIAHSRVSVRINLWFKLSLILSAILAVCSIVLCILVLGVSNRSPWKVIDGVYWLCQAITHVVITILIAHEKRFRAVSHPMSLRVFWIVNFVVMSLFFGCGVTRLVSFKEIDPNLRMDDISSFFAFPISVVLFIVAIKGSTGVAVISDSETHIEDETNGYDESLVEKSSVTGFASASLLSKTFWLWMNPLLQKGYKSPLKIDEVPSLSPHHKADKMSQLFERNWPKPEENSKHPVRTTLLRCFWKEVAFTATLAVIRVCVMYVGPTLINRFVDYTAGKRTSPYEGYYLIGTLLIAKFVEVLTSHQFNFNSQKLGMLIRSTLVTSLYRKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVSVALAILYTSLGASTVVTLAGLAAVMAFVVFGTKRNNRFQSNIMKNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQSFRESEYTWLSNFLYSIAGNIVVLWSAPLLVATLTFGSAILLGIPLDAGTVFTATALFKMLQEPIRAFPRSMISLSQAMISLERLDKYMISKELVDKSVERLEGCGSTVAMKVKDGTFGWDDDNSEETLKDINFEIRKGDLAAVVGTVGSGKSSLLASVLGEMHKLSGQVTVCGSTAYVAQTSWIQNGTIEENILFGMRMNKDRYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGSEIFKECVRGILKDKTILLVTHQVDFLHNIDLILVMRDGMIVQSGKYNELLEAGMDFKALVAAHETSLELVDVETNNESTASLEVSKSSRRLSRQGEENGEDNSQQSTSDRGNSKLIKEEERETGKVSLVVYKQYVTEAFGWWGVVLVLLFSFLWQGSLMASDYWLAYETSADRAMSFNPSLFIEIYGIIALVSSVLIVARMYFVTLMGLKTAQIFFGKILHSILHAPMSFFDTTPSGRILSRASNDQTNIDVFLPFFMNLTLAMFVTLLGIIIITCQYSWPTTLLLIPLGWLNVWYRGYYLATSRELTRLDSITKAPVIHHFSESISGVMTIRCFRKQEMFSQENVNRVDANLRMDFHNNGSNEWLGFRLELLGSLLLCVSAMFMIILPSSIIKPENVGLSLSYGLSLNSVLFWSVFVSCFVENKMVSVERLKQFSCIPSEAEWRKRDFVPPSDWPNHGNVELEDLQVRYRPNTPLVLKGITLNIRGGEKIGVVGRTGGGKSTLIQVFFRLVEPAAGRIVIDGIDISRLGLHDLRSRFGIIPQEPVLFEGTVRSNIDPIGQYSDDEIWKSLDRCQLKEVVSSKPEKLDSPVVDNGDNWSVGQRQLLCLGRVMLKRSRLLFMDEATASVDSQTDAVIQKIIREDFNACTIISIAHRIPTVMDCDRVLVVDAGIAKEFDKPSHLLERPSLFGALVQEYANRSSEL >Solyc07g008990.1.1 pep chromosome:SL3.0:7:4007707:4008713:1 gene:Solyc07g008990.1 transcript:Solyc07g008990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYQVKRARLPTMGGKGRGGKLYVLGAALLCAIHGATVKKTLFEDDDGAKTFRAFNPTQAEETYSVVTANHIWSQIFGVAFSNKR >Solyc04g076920.3.1 pep chromosome:SL3.0:4:61944619:61954710:-1 gene:Solyc04g076920.3 transcript:Solyc04g076920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIRRTLSPSNERHYQNGNQYSVQSPSHKLVLNGKSSLLNSRKNYISRKKLFYRCLVFFVLGFVLGMAPFGGFDDAKNSDFSFEIKPPVVNVKEEMKDVVIPRPDNVVVNSVKLPGLGEEEVHGKFDYVSRKLLIVVTPTYNRALQAYYLLRLSEVLKLVKSPLLWVVVEMNVASAETADILRKTGVMYRHLVCSKNMTDIKDRGVHQRNVALEHIEHHRLNGIVYFADDDNIYSLELFESIRSINRFGTWPVAMLAQSKSKAILEGPVCNGSQVIGWHTNEKSKQLRRFHVDMSGFAFNSTILWDPKKWHRPTSDPIRQLDNVKEGFQETTFIEQIVEDESQMEAVPPGCSRVLNWHLHLEAHGAVYPGGWLLQKNLDAIISTT >Solyc03g059230.2.1 pep chromosome:SL3.0:3:30184318:30184752:1 gene:Solyc03g059230.2 transcript:Solyc03g059230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLNIDQIALTTQDWICKVQIVEIGRPRESPDKKCRFQNLILEDEQMRMPNKGSTVCR >Solyc08g067000.3.1 pep chromosome:SL3.0:8:55954249:55958135:1 gene:Solyc08g067000.3 transcript:Solyc08g067000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRQDTLAASGRRQRTPRSGENQHVVKSTGCMSGIIQLISKYQKKTKRITSGIRKQGKVVVVLGENEKNDEVKVRSTKIEADKKERPVALVARLMGLEEIKCLPSPNRKASAEEVKRRKLLEDLGKCNDDLESVRQILNSLKRDKQIGDAVKPPTPLTYCNKIAKPKHNHNGHITQQRIKRLESYEPIDKFTNNAPLLYHMKPKSCSKGMIQSVEEVWNENEWGEKREAGKIGLILQDQIYRDLIEELLKEMNLLISFRSLPFLACKKRLFF >Solyc06g008340.3.1 pep chromosome:SL3.0:6:2214906:2218148:-1 gene:Solyc06g008340.3 transcript:Solyc06g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDEDEESFEHTLLVVREVNVFKIPPRPTSGGYKCGEWLQTDKIWSGRLRVVSCKDRCEIRLEDPNSGELFAACFVPPGQRENSVESVLDSSRYFVLKIEDGTGKHAFIGLGFSERNEAFDFNVALSDHEKYVKRDIEKDGDEAETSSDGHIDIHPAVNHRLKEGETIRINVKNKSSSGMGMLSAAVQSKAITIAPPPSGANKIRSPLPPPPNDPAISRKTSTTASIALKGPNGSSMQSSDPISDLSQLERSLPSATGSGSSKKTTAAGWAAF >Solyc02g068510.2.1 pep chromosome:SL3.0:2:39031976:39035665:-1 gene:Solyc02g068510.2 transcript:Solyc02g068510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMMKPAWLQGLMSENFFGGCEIHQNRRKNEKNIFCLECCQSFCPHCLPQHHSHPLLQVRRYVYQDVIRLDDLEKLIDCSYIQPYTINSAKVIFLNQRAQSRSCKASGNSCFTCDRVLQNPFNFCSLSCKVDFMVYQGEDLSNIICKFDDSEFALSQFEGLHVDTSDLIDEESQITPNSILEDPLECRGSSCSNNVRGNSGISHDGRMVKNKKKSSGFFPGLVLSLSNRRKGAPQRSPLS >Solyc02g089120.3.1 pep chromosome:SL3.0:2:51647469:51648921:1 gene:Solyc02g089120.3 transcript:Solyc02g089120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLCPARRALVAVRVMALSAGVLHCSPETPHLASVVSDHGKNNYVPLVQCTKHMCPIRIHWHVKLNYKEYWRVKITITNFNYRMNYTQWNLVVQHPNFDNLTTLFSFNYKSLTPYGSINDTAMLWGVKFYNDLLMQAGPSGNVQSELLFRKDASTFTFEKGWAFPHRIYFNGDNCVMPPPDAYPFLPNAGSRWEASLITLVVTLMFVKFIVFQGCVWKYKTNSQTHITAYIRYFDNLVFSTNV >Solyc06g053455.1.1 pep chromosome:SL3.0:6:36346949:36352265:1 gene:Solyc06g053455.1 transcript:Solyc06g053455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGDSLLCVRELARRGFKSLSGLARLQGVVRSRSVKRQTVNAMKQMQLLGRVQMQIQSRRSQMFQALHSQAYMNDKEVESTLSKWTQLTEAGNHDDWNNSMLTKDEVEARRREKVEAVIKRERAMSYAYSHQLWRRNPKSATDIRTSGIPWWWNWLHHQLLPGNDSESQSAVKDVHSTPSRAISEHKPSPWRLSQNFRHLHLDYDSHESVTPRSTKSAVPLRGKLMHTPRRTSSPMSSSSVSKYSRRRASAADSPFNHSMKDDDSLTSCPPFSGPSYMSPTISAKAKFRGKTILEERNIGTPSNSSRRRLSFPLTPSSTGSVKWNKGSGKDAASLKEHESMGDHMSVHSTGSTPTIVGRKPFQRFV >Solyc01g089950.3.1.1 pep chromosome:SL3.0:1:83525198:83528198:1 gene:Solyc01g089950.3 transcript:Solyc01g089950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTRKPKISSTGTGIDRLSSLPDDVLHNILSSLLIFDVVQLSVLSKRWRYVWTTMPYLHFDIDQFYSQRIKQYCDFVIAGRFKDFINWVLISQGETNKLVQFLLCFDTMFDKVANLRWINHVTIRRNVQQLVLKFCLSETFELPYCLVTSQSLQVLKLHLSGNVLKLPNFVGFHQLKFLHLEQVELSDEHLISRVVLESRNFDLKRV >Solyc05g009075.1.1 pep chromosome:SL3.0:5:3222235:3223796:1 gene:Solyc05g009075.1 transcript:Solyc05g009075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKDNGEDFINEVASISRTFNIVNYFMSNGSFEKIIYEGRSDSVCQLDWPILHKIALGIARGLEYLNCGCTTLIFVSMLGPQGTIGARKIVMVSLWSF >Solyc03g112780.1.1.1 pep chromosome:SL3.0:3:64605417:64605911:1 gene:Solyc03g112780.1 transcript:Solyc03g112780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNMPDWSQLQHELLALIARRLNLIEDYSIFRTVCKSWHSAATKNNFNSDLPRVPWLMLAEEEEEDGRKFFSLCNGMILKKRIPKASRKLCMESLGWLITVGQDNGEVSLLHPFSGVQIELPHQNSTADNNGRRSRIPCTFFKKAVLSASPSHASDYILMVID >Solyc04g008500.3.1 pep chromosome:SL3.0:4:2123344:2130891:1 gene:Solyc04g008500.3 transcript:Solyc04g008500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRFLCEVCNKGFQREQNLQLHRRGHNLPWKLKQKNTKEVAKRKVYLCPEPTCVHHEPSRALGDLTGIKKHYFRKHGEKKFKCEKCSKKYAVQSDWKAHTKTCGTREYRCDCGTLFSRRDSFITHRAFCDALVQENARNILSPNSLMNTLGMNNNQYLYGSSSNINLGTFSKLGNNNNITKTTTTTTTTTSGVQFDHHHHDNNLIGSSTTTTTTTNNPFFLQDTNQDHYNANNNNIKPLMVHGLMQLPNLDHNNNNSSSSTTMFNLNFFQNNLSTNSGILGDHHHHHHRHDNNSNISCSIPSLYGVQVLESSNTTFSSGPIMSATALLQKAAQMGSSSTSNISATASLFKAFGSNTSGSSSSGTKSDHQAFNFGSDITDSGIINAYGGGSHEGYNTTTKLNFEQNQGPPQKKQLTRDFLGVGEIVRSMNGRFSTQREQQQQNNGLNNMMSSLLDPERNQIQQSFGSATND >Solyc01g060045.1.1 pep chromosome:SL3.0:1:69417552:69417896:1 gene:Solyc01g060045.1 transcript:Solyc01g060045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYYLPCPNPSITTGARRQCDGGGLYVRGNEGDKWIHIIYSKVTLAFNIVVDLSMAPISVQLFVNAILDNVIGPFPQMLKDGEK >Solyc03g083370.3.1 pep chromosome:SL3.0:3:54645612:54647163:-1 gene:Solyc03g083370.3 transcript:Solyc03g083370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEREIFSLSGPLYLIAIDWSNTCHRRSIAASLVQGVYILERDRQQNRQGFNALAPPWWEFFHFQLIQVLVDNEDQSYFGAIYEYKFPNSHFHNKTKNDIQNQNQNPPKYVIAFRGTITKKGNRSQDFKINLTLIRDNLHNCSRFHIGLQVVHNIVQNHEISDIWLTGHSLGSSIALLIGRNMVKTGIDLETYLFNPPFTSLPVEKITKNEKLKHGIRITHSVLTAGLASAVNICKSKSINKSESITLLSSWIPYLFVNPSDPICAEYVGYFEHREKMAAIGKGEIGRIATQNSIRSIIGNAIGKDQLEPSHLLPSANVAINLSPSPDFKRAHGIHQWWNPDVQCNYKLYQFR >Solyc06g043358.1.1 pep chromosome:SL3.0:6:28931711:28932063:-1 gene:Solyc06g043358.1 transcript:Solyc06g043358.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILRIFNRETFEVDEAVPSTNVTNKILARVIEYCKLHVEVPKAEDRTTKEDLKTFYVEFIKLFKSLCIS >Solyc12g007240.2.1 pep chromosome:SL3.0:12:1665998:1669422:1 gene:Solyc12g007240.2 transcript:Solyc12g007240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNYFGESNFVNERSSSSSSGSSRKNKKNNSEKPNKQPQRGLGVAQLEKIRLHSEMGANYLHNNNPYANNLTQEDMRLQATYSSSSSFSYSTTSSTYGYPNHQGIMMGMSGIEGANIRYGDSQPNSRQSTWHPGTVYDPQVYAQPNMTRHHHNMQIEDSMERRRKKDRSDSIGSNSQNSESNGCQDLDLELRLSL >Solyc01g081450.3.1 pep chromosome:SL3.0:1:80513103:80516503:-1 gene:Solyc01g081450.3 transcript:Solyc01g081450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKNENTDKGLFSSLAGYAMGHHHGGYGAHPPQGYGYPPQGYPPSGYPPHGGYSPAGYPPPPGAYPPPAYPPHGGYPPASYPPAYYPGPSASHHGHAGPHIGGGMLAGGAAAAVAAYGAHHLMHSRPHGHFGHHGHYGHHGKFKHGKFKHGKFGKHGMFGKHKRWK >Solyc03g043760.3.1 pep chromosome:SL3.0:3:7328925:7335497:1 gene:Solyc03g043760.3 transcript:Solyc03g043760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYEGDEYDDSYLDEYETEGEEEEAGEEEYEDEEPQQPSQELLEYLELRQRLKDDIRKQRKKELGGGSRDFKKNASSRDNFGSFFGPSQPVISNRVIQESKSLLENPNLAAKVMKSNHSQSNKSVASKPAKPAGSRASTSNHAPKVTNGLKRKIDMVKNTRDYSFLLSDDAELPGPSRGSLTQKVSAPYCDARFGKQTSSDSGRKLLDDREMRRDGQRQPKAVIQKSVSINKPTQQMLDSRKQFGSSNGSGPGRPPLRPKGASPKVTGAPGSKSTVPASHRPTPSRVQPAVPRQSSVQNRLPLESGKSKVMSKQGVPVSKPQAVIQKQQASLARPQIKPPPPRNVARPSDDRRPALQQRDERRPSLPQRDERRSSLQQRDERRPSLQQRDDRRPSLQQRDDRRPSLQQRDDRRPSLQQRDDRRPSLQQRDDRRPLQQRDDRRPALQQRDDRRPALQRKEERRPVHQQKDDRRPARKPTRYDEEDDGEQAISMIREMFGYNPNRYRDDDDVSDMEANFDEILKEERRSAKIAREEDEEELRKIEEEERRERLRKQKKRKLSHQ >Solyc01g096410.3.1 pep chromosome:SL3.0:1:87384208:87389018:-1 gene:Solyc01g096410.3 transcript:Solyc01g096410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELSGILKACRDFTVKKATAKKRAKNIIFTTMSVAHVDDDLDAPGEVYYAEKILNNGDIYTGKWSDNCPNGQGKYLWSDGCMYVGDWVKGKTMGKGKFSWPSGATYEGKFKNGYMDGEGTYTGCSNDTYRGSWVINMKHGKGTRDYVNGDHYEGEWRRGQPDGQGRYQWINGNQYIGQWRNGKMNGNGTMIWASGNRYDGSWEDGFPKGNGTYRWADGSFYVGIWSKDSREQSGTYYPSGSQTGTFDWDPQDVYSNDLLGCQISPGERISAYPSQKMVTWPCEGEFLQKLPIAKSPKANNAGPKRNSVDGRLSNGDGYGWGSETDAASNSVLSEQPRESSEETRSIRTDDSDNSRGFQPHCIKIQPNKKPGTTISKGHKNYELMLNLQLGIRHSVGRPAPATSLDLRATAFDTKEKVWTKFPTEGSKHTPPHQSCEFKWKDYCPLVFRTLRKLFKVDPADYMISICGNDALRELSSPGKSGSFFYLTNDDKYMIKTLKKAEVKVLLGMLPAYYNHVRAFENTLVTKFFGLHCVKLTGPAQKKVRFVIMGNLFCTQYAIHRRFDLKGSSHGRVTEKSESQIDSTTTLKDLDLNFIFRLQKVWFQEFCRQVDRDCDFLEQERIMDYSLLVGVHFREVSGSGEPVTTETCNSGVRTPTGIHVFPHSFHDKGRRIFISSFVSANADQNGDVSTPRISRADMDLLFDPSGWASIRLGINMPAKAELTVRRSDFEAQLVGEPTGQYYDVIIFFSIIDILQNYDISKKLEHAYKAFQYDPTSISAVDPKQYSKRFRDFILQVFAEDT >Solyc07g052695.1.1 pep chromosome:SL3.0:7:61273461:61277501:1 gene:Solyc07g052695.1 transcript:Solyc07g052695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRENMSDFLEAGSIVDIEVGLGPAGELRYPSYTQSQGWKFPGIGEFQCYDKYMRTDFKGAATKAGHSEWDLPDDAGTYNNVPAETGFFGPNGTYLTEKGKFFLTWYSSKLLLHGDQILDEANKAFLGCKVKLSAKASLHPPGNSLVAGIHWWYKDASHAAELTAGFYNLDNRDGYRPIARMLSRHYGTFNFTCLEMRNSEHPAYAKSGPQELVQQVLSVGWKENIDVAGENALARYDGYAYNQILLNARPNGINKNGPPKLKMAGLTYLRLSEKLLQSRNFRTFKTFVKKMHADLDYCPEYDKPAPLGRSKGEISMDELLQATQRTKPFPWDEQTDARIGGILAEYWDRLFDHHIGGPPDPVLADPEMYQRLVGRLLYLTITRPDIAFAVQSLSQFMHASKQSHWDAALRVVKYIKQAPGLGVLLSSSSSASLQGSCDDDRVLYKY >Solyc04g011385.1.1 pep chromosome:SL3.0:4:3860350:3863262:-1 gene:Solyc04g011385.1 transcript:Solyc04g011385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIDEERKQVIKHSVKKLTEERGGRGESSFLNNNNSSGSKEEGELTASDNDDRNVCSTDQLTSSVSAPLERQVHVDTVNGNSQDTQAEKNTSSSKMGRSIDAPSSTPHESAHLKSSKKNREHFVPFLISFSDESGSDCENSGRKKISASKNRTFAEEKFIKPPAPAPRRPQKLQKITRNEAKLMPSKGAVSHNVSSLLTKPNGGTYGNAARLHCFTKFNNSNKVATLDHGKRANAHLNSSKLHDLRQMIAIRENQLNLERLQNTKKLTSASCRDVNLVNKRNLVVRASRETTNDNLRELQEPDKKRKKIVSSNPSWGFSNSHEIMSMVIGSKNCALKDSCQLELADHSSPGEKYLSCSVIAGQLKQKEYQGASSSTNPSLTLKDGIDTVRNLNQSSSNSSKEIASKAANKLVNSRVYLKNPYLVVNVKRENGH >Solyc01g102310.3.1 pep chromosome:SL3.0:1:91005215:91008775:-1 gene:Solyc01g102310.3 transcript:Solyc01g102310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNTVSSDHPTTVSEQAEKEVLPEEVKTMEGESVPLTKENEDSPSPVVSSTEPVVKVEDTKCESTVIEEPDKNEEEKPKSVEVDDGEKPNAEESSQVITEEVKKDEKPITDDAAPAIVDEVEKSTVETPPSAIIEELKSNDEEEKQKVDEPQVLEIEEVKKAEEEQKPVADEPVPTVVEEVKKADEEEKLTTEEPPVTILEEVKKSDDEEKPKADEPIPTIVEVKTADEQPRIEEPPPTIIAEVKKSDEKEKPEADEPAPTIAEEVKKADEEEKPTIEEPPVTIIEDVKKSVEEEKPIADEPLPPTVEEEKEVDEPPSPLATTHDAEKPKAEELPSIPATSAESEEKTTDKEIEPPTVKEVKKQDETPALNVTTKDIPELTKESAPEPEAQIIPDQVADITKSEPEVQTEAGNVETLDKESKIEPEKQPATVDIVETSIEAVEENKEQIDLEASEQQKVLEAEVPKAEPKEEASEPAPIVEEKPTEATQKIEQEAAEAVTGNEEAIPVEKVKESPKGEPVVPESSKQVTSTTLETLMQKMDEESPTEVAADNVELVPEAKDAEAPPKEEVENVEREIKDVKTVGQDEKVEKSVATEELAEPEAKGVETSEVAEKAPEVVIGSRDTEQIAENGSSKEVTIPTIEGNEAAEVEKKVDENATAEPSVEDAQKLETETKDLKTAEGEKEVEETAKTEAPKDGEDKKTTEEVPVKTKQSNNLMSKVKQSLVKAKKAIIGKSPGSKSDTKNEVKTK >Solyc09g014300.3.1 pep chromosome:SL3.0:9:5790483:5796631:-1 gene:Solyc09g014300.3 transcript:Solyc09g014300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFTSSLFIPSDSLQPFFPCSFFTSSLYKVSCFSTSRTNPIRLISKKITFLLCEKSFRREPINKRALISSKMTITEYRDEEEEEYPPLLLESEMKEPRRIALFVEPSPFSYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPEEFYGAKLIGSRSFPCPCYAKVPLSLALSPRIISEVAQFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWMVIKFLHRAADLTLVPSAAIAKDLEAYKVTSANKIRLWNKGVDSVSFHPQYRSHEMRVRLSGGEPDKPLIVHVGRIGVEKSLDFLKRVMDRLPGARIAFIGDGPYREELEKMFQGMPAVFTGTLLGEELSQAYASGDVFLMPSESETLGLVVLEAMSSGLPVVAARAGGVPDIIPDDQQGKIGYLFTPGDLDDCMSKLVPLLRDIELRETMGKAARIEMEKYDWKAATRTIRNEQYSAAIWFCRKQKAQFLRPFQWLFQRIFAAPEVDCR >Solyc08g041750.2.1 pep chromosome:SL3.0:8:25958760:25963090:-1 gene:Solyc08g041750.2 transcript:Solyc08g041750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHASTTAAVSAFSGVSDYVGKHMQTIAPITDQLEGFIFSALSHGASLRAQDSGVDCYFSSDFLAVHWPYLRHGGSEGNISPSVEQANYFSKASNSFMSCLCNCDVQPDVIVEKHPFIGEPLDTTQAESVFGKRGFFAGSDLMWNRINKLECHYSQWRDVKKVTGAVSLTFKEQITGVLNMDIMRGQSADVGINKPSCDVAFQNVADANKPSCDVAFENIEYLKEPEISNVSSGGSAPVVSEASAVIHDNEFTTDDQKTGKQRTKCEFLGFSCEFNPMDAGPSKGFANNSFDSLIDELRLKNPLKLNKMQNHSSFSIQEKSHFIQKVSDFSKKVIRKRTKWKKLDTNLPTVYSACGYPEDNCGSGLQHPCNVEKGAPYLKMAV >Solyc02g005600.1.1 pep chromosome:SL3.0:2:9953142:9956414:1 gene:Solyc02g005600.1 transcript:Solyc02g005600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHFFKIFKKIVLSFLSFFGCFLEIAMGKIIVENLTSPSHPTLKYNEIEKKNINLSSVKIEVEEEEQKEMDLSFYDGSPDWIRSTPTMITGADSDSIDYKISSDSSGYSYSDGDEWSPLKGEPSSLIGPICSTDPDNFKKIVQKETKPIEYKNFKIKGIGMCNLGNTCFVNAVVQSFIHNVVFLQLLRSIDHASPCQNFSCYFNFNQQQDAHEFLQCFLNQLETCCYYLETKDNVVKEAFGGRFMSKLRCCNCGHSSITREPLIDISLEIEGIDSVPAAFESFTKIEKIEFYCKRCKTYGPFEKQLLVDQAPTVAALHLKRFKNNGLVSQKVENHVSFPLELDILLYTNNINNIKYDLYAVIVHSGPSISSGHYYIFIRCAPNEWYKFNDEKVDYVQEDLVLAENAYILLYTKKGTLWFADYVEIHRPFVDLIMATTSNDFSYETSLKPALNEIEDNGSHVQVYGEDQFQNVETKKDNELMDALKCN >Solyc04g057900.1.1 pep chromosome:SL3.0:4:54961472:54962486:1 gene:Solyc04g057900.1 transcript:Solyc04g057900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFNKSKRNSNERIYCRTCRNPVARVQDYIRRVRRGTIIIRRVYNVYVPGDMDHLYGFVTADTYCGRCGTLIGWKFIVVPLGSVAARAGRFMLMSRKVAFWDGVPLLRLNANQGLGANEQNANQDLGVNEQNANQDLGINEQDGDANAAVQDGGGNEQNDDQDVGANAVVQDGGRNEQNDDQEVGANAAVQDGGGNEQNDDQDVGANAAVQDGARNEQNDDQDGGDPMN >Solyc12g019345.1.1 pep chromosome:SL3.0:12:10173778:10176275:-1 gene:Solyc12g019345.1 transcript:Solyc12g019345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVINYKPLNKNLKWIGYTISNKKDLLSILYDANIFSKFDLMSGYWQIQIFKVHTYPTAFDVPFGQYEWNVMPFGLKNATKHQKIGCLPLGLTGITVIYSQAYQVLKPEINWHTQTYAEAKPQHDNKDT >Solyc04g071710.3.1 pep chromosome:SL3.0:4:58763975:58772131:-1 gene:Solyc04g071710.3 transcript:Solyc04g071710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKKESTSSSPLRNETLHEDPEDLVKSPPSSPNSSTRKACYAVLQSWVSKKFMTGCVVLFPVAVTFFITWWFIQFVDGFFSPIYERLGIDIFGLGFVTSITFIFFVGIFASSWLGSTVFWLGEWFIKRMPFVKHLYSASKQISSAISPDQNTNAFKEVAIIRHPRIGEYAIGFITSSVVLQRDDGDEELCSIFVPTNHLYIGDVFLVNSNDIIRPNLSVREGIEIIVSVGMSMPQVISPIERITRQNDRIPLNRMLK >Solyc08g006020.3.1 pep chromosome:SL3.0:8:784346:785642:1 gene:Solyc08g006020.3 transcript:Solyc08g006020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKEETQLPPGFRFHPTDEELITYYLVNKINDANFTGRAIADVDLNKSEPWDLPVISYYKN >Solyc04g005590.3.1 pep chromosome:SL3.0:4:385236:389271:-1 gene:Solyc04g005590.3 transcript:Solyc04g005590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSSAYSQHGQNPAKMALRGTMLRTAGKFAGVNSSLRRFAHITSRPAPLDSVVDRPTATSPSLVLPENENNSNMFPNSPFNWGSMELMAVPKKKVSPHKRGIRNGPKALKPVPVIIRCKACGRVKLPHFFCCSGIKQNPGEENNSTG >Solyc12g098400.2.1 pep chromosome:SL3.0:12:66899946:66903585:1 gene:Solyc12g098400.2 transcript:Solyc12g098400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRRRHHHFFTHGRWLIPAITVASIFLLLFFFLSILAPSPNGNRIFLLPHRDSSGENDDAEDSPLPVPAKERVSKRDMWSSRNSNLFYGCSDASKKFRKAQDITHPNRYLSIVTSGGLNQQRTGITDAVVAARILNATLVVPKLDKSSYWKDSSVFSDIFDVDWFIKYLANDVSVVKELPLRKGQIWLPYRMRVPRKCSDSCYIHRVLPVLKKKHAVQIMKFDYRLANSLDTDLQKLRCRVNYHAVKFTDPILRMGEKLVHRMRMKSKHFIALHLRFEPDMLAFSGCYYGGGDKEREELGKIRKKWKTLPESHPDKARRHGRCPMTPEEVGLMLRSLGYSEDVHIYVASGETYGGNETLTPLKTLFPNFYTKDTLASKDELAPFSAFSSRMAALDFIVCDESDVFVANNHGNMAKILAGRRRYFGHKPTIRPNGRKLFRVFLNKNYMSHKEFVYRINKYQKGFMGEPKEVSPTWGVFHENPYSCICEKVDNSTQGEVSNSTSRVETFTRVTKTTDYDPDTPDDPEVDVLLSD >Solyc05g054840.3.1 pep chromosome:SL3.0:5:65495892:65499405:1 gene:Solyc05g054840.3 transcript:Solyc05g054840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIHVKHGGGSDGDGEFLYDTETSSTIDEIAKDIIEIANLQSKIQCFAVEFESHLSKLQGDPKVMSLVRALSEATSYASKDQVIHNKPLSLYVLRNHTRNIEKEYLVTHSVMGLSSSNLQQFLSDLQILEENTVQLLWAGKELTRGKKLCDFIGRNEKTKIVIRLQSPIPPPASLTGGEKC >Solyc07g021210.1.1 pep chromosome:SL3.0:7:16776588:16776962:1 gene:Solyc07g021210.1 transcript:Solyc07g021210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSDLGNTSSHFFFTTQGFITFRNREISTSAGAIREQLEDQKVGRGKDFLVRRVESAKHFIRTTIEPGWIVLCLLPVLPLKIRPIIQIDGSKLMS >Solyc09g065650.3.1 pep chromosome:SL3.0:9:64058441:64083319:-1 gene:Solyc09g065650.3 transcript:Solyc09g065650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVMVLLRLLLVLSQFLMVIMAMKQVKWHQSYCYRHSTLRDLNWELDELNVGRLKLTVSSIIDRSFHLELLREALLRAIDDIDSTFSRDASRHNFGSGSTATVILMAENQILVANIGDSKAFLCSEEFKSQEETKANLLRLYRQTRGFGIFEPVKNFRSFKLAAPDQWPFLIAKELTRDHHPDRDDERSRVETAGGHVSKWGGVARVNGQLAVSRAIGDVYFKSYGVISAPEVTDWQPLTDNDCYLVAASDGVFEKLSSQDICDILWNLHADFAVQSKLAYSCSYSLADCIVNAAFEKGSMDNMAAVILPVRLNDLMQAVVKKPHAGMKNFDWLSSGDSNYISQHSVFSEEDDHPLDSNFGRLLVEGNHSNFGCFYLSENLDVNDEYTFWVQKDIDEYEHELLHALPDSIGQGGALDLYNDQHMCMHFGMNFSDNNDQCINPEGFARFLGLLESIPFNDSSTNDHARADSRYILKKKYDRGSYGEVWIAFYWNCSHVIKSPKGSNFSAYTMNEGANNETRRNPSSADVCDDGPSNSSMFILKRIMVEKGTAVYLSGLREKYFGELFLNAYTVLGGSLQAEESNSLLLNARHDLHDSVGIYESADLERQGTLRFDKVYGKKEDMQRTAFEDGLNHIARYVESFESRSNEIWLVFRHEGISLSKLLYTAEEVINDSEGGNENIKHIQILHPSKWWKWLKTTEAGRQEMRNLIWQLLMSLKSCHDRNITHRDIKPENMVICFEDQDSGRCLKGYPNEDENYITKMRIIDFGSAVDEFTLKHLYGSVGPSRDEQTYEYTPPEALLNASWYQGLTPTTMKYDMWSVGVVILELVLGTPDVFQVSSRTQALLDQHLEGWNESLKKLAYKLRSFMEMCILSPGVTSKLHQTRSKYNQGSASPAPWKCSEEFFSHQIKNRDPLKIGFPNIWALRLVRELLQWNPEDRPSVDEALEHPYFSQK >Solyc02g090310.1.1.1 pep chromosome:SL3.0:2:52525389:52526171:-1 gene:Solyc02g090310.1 transcript:Solyc02g090310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQELFAGNTTLIGDDNISNITPSSSPISCTTSNSNIAPASANANSENLRCPRCDSPNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKSIATSKSTAAKFKNSLPFEFIGKSGIFGGFEQEIIPSNYDNNNPFLFSSPHQNHNPILSLLKGNLHKSIGVNQFPSNNGIWKNNYEENVGEVQNSRGFQELYQRLKASTNRCYTDNMHGPSSSSMILDSAPVTGGELGCWNPTLSTWLDLPTANGAYL >Solyc09g061320.3.1 pep chromosome:SL3.0:9:58894168:58898211:-1 gene:Solyc09g061320.3 transcript:Solyc09g061320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQSWRWVLGLVYIITVASIWIAASFVVQSVVDAGVSPFLVTYLCNSLFIVYIPFIEIVHFLEDKYGTVLFWRNNKDNTSDSPESEEVVLLDETSEQIIDENVDMGLDAKGRWTRSRVAKVSLLICPFWFLAQLTFNLSLKYTTVTSNTILSSSSSLFTFLVSLVFLGEVFTWVKLFSVLLCMGGTIIVSLGDSKSGSSKVALNPVLGDILSLVSAAMYAVYITLIRKKLPDDDGKSGHASMAQFLGYLGLFNMLIFLPIPLVLNFANLEPFNTLTWKQLGLIVGKGMFDNVLSDLLWAKAILLTSTTVATAGLTIQVPLAAIVDSLTGNAPPIMDYIGAAAVMVGFAGINIPSDSCSVPKEASIELENGKIQSTEQDHLSPR >Solyc03g071500.1.1 pep chromosome:SL3.0:3:18804713:18805509:-1 gene:Solyc03g071500.1 transcript:Solyc03g071500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDLVELSMFGGGDGGALIIIENEGCHEKFPRVEGDQSKVLGITRKNDKGWNVGKINTPITASHVLSTQIDRENVGLAAVDKANSSGNGGSGNTKNAGVGNVGLAAVGSSAKRDSGGIPNAGVVMQQPPYYQQPFPQQQTNSFPQISNNFARHKNQPTSNMKGQKVYGHGQEQSKQNDNQEPAPYIVIKTRSTCSHL >Solyc06g054200.2.1 pep chromosome:SL3.0:6:37134417:37139014:-1 gene:Solyc06g054200.2 transcript:Solyc06g054200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHDIDFDSDMKERTTSAGTKMQPLLSDSSFRAITCKAGNRICSSLVAENPLMETRFCDLCCSESGFCGDCCCILCSKLISLDYDGYSYIRCEATVVSSHICGHVSHLECALRAYMAGRVGGSINLDAEYLCRYCDSKMDLVPYALKLLNICTSIASYADIEKILNIGIRILRGSQKSIANELLHRIESINAKLMKGVSIQDAFKEESCVDSTANSGTSEDQNLNQKQKTLETFQYP >Solyc07g065080.3.1 pep chromosome:SL3.0:7:67116689:67131232:-1 gene:Solyc07g065080.3 transcript:Solyc07g065080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWRLSLAGLCNPKDKKVLLQIKKDLGNPYHLASWDPNTDCCYWYVVKCDRKTNRINALTVFQANISGQIPAAVGDLPYLETLEFHHVTNLTGTIPPAIAKLTNLKMLRLSFTNLTGPIPEFLSQLKNLTLLELNYNQFTGTIPSSLSQLPNLLAMYLDRNKLTGTIPESFGRFKGPNIPDLYLSHNSLTGHVPASLGDLNFSTLDFSRNKLEGDVSFLFGKNKTSQVIDLSRNLLEFDISKSEFAESLISLDLNHNRIFGSLPPGLKDVPLQFFNVSYNRLCGQIPQGGTLQSFDIYSYLHNKCLCGSPLPKLVLGIAGEREMSFVGVIVSDQWLHSQFTQVELRSLKSKFISVKSQNGKVTIGDLPPLMAKLKAFNEMFNEEEIRNILAESGSDVNDEIDFESFLKTYLNLQARAAPKVGSSKSSSSFLKASTTTLLHTISESEKSSYVAHINSYLRDDPFLKQFLPIDPASNALFDLAKDGVLLCKLINVAVPGTIDERAINMKRVINPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRVSSIFFLHLHYFNEPLGYLNLRKTPQLVELVEDSNDVEELMGLAPEKLLLKWMNFHLKKAGYKKTVANFSSDLKDGEAYAYLLNVLAPEHCSPATLDVKDPTERANLVLEHAEKMDCKRYLDPKDIVEGSSNLNLAFVAQIFHQRSGLSTDSKKVSFAEMMTDDELISREERCFRLWINSLGINSYVNNLFEDVRNGWVLLEVLDKVSPGSVNWKHATKPPIKMPFRKVENCNQVVKIGKQLKLSLVNVGGNDFVQGNKKLILAFLWQLMRFNMLQLLKNLRSRFRGKEITDADILSWANKKVKNTGRTSKMESFKDKSLSNGLFFLELLSAVEPRVVNWNLVTKGESDEEKKLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLTASIMYWSLQQTADDIESPASTVASDASPARSMNGSMSPYTAASPDASPAPSISGASSATPDASPAPSVNGDEESPLITEVSKLELVADYAPSDTPEVSKSKLAADDAPFDATEVSKLKLAANDTPSDTTEVSKVELTAEDAPSDTTEVSQVELAADNAPSDTTEGSKLELAADNAPSDTTEVLKPELAAEDALSDTTEGSKLELAAEDAPSDTTEGSNLELATTDAPSDGTEVSKLELVADDAPFDTLASPMQSQNVEIPSDAPSSSLLEDTQQQYSLFS >Solyc06g006030.1.1 pep chromosome:SL3.0:6:1041770:1042400:-1 gene:Solyc06g006030.1 transcript:Solyc06g006030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRIPPELRHKNLTKVITSCCNLDFKVLVLEYMPNGTLDKWLYSHNLFLNLLQRLDIMIDVASAMDYLHNGYSTPVVHCDLKPSNMLLDQEMVGQVSDFGIAKLLDVGEAFVQTRTTATIGYIAPITVYGQDGIVSTSCDVYSFGILMMERFTRRKPSDEIFTGETIIK >Solyc08g005755.1.1 pep chromosome:SL3.0:8:595469:595737:1 gene:Solyc08g005755.1 transcript:Solyc08g005755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKLKDHMNEDYIESATDLIVIKGRPNNRSGEVIFGEVPKVVSLISFGVPIKNENGEKGILIAISLPPLCYEKISRS >Solyc10g047410.1.1 pep chromosome:SL3.0:10:40731944:40734563:1 gene:Solyc10g047410.1 transcript:Solyc10g047410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYEIAGLFIKRFPWRWKIRPPGFVNLQILPQLVRRMKLADNMTILGSIGAFILVFKALYFGGVYDTWAPGGGDVRKITNLTLSLSIIFGYILKSPFGGERWIVSVDDLEDIIGGHVWLGFICILCRIWHILTKPFAWARRTLLWSGEAYLSYSLGALAVFGFIACCFVWFNNTNLFLVVLEQLGDSLEDIRGSTSGGNMLGPVRKHIYSDSDREMHWSTDVYHAPEFIYGNVHLLPKTSHLWILLRGPCRYSPFYLSILVTGGVYKTRERIHRRIADQQLLAIPASCRRVVVRILI >Solyc02g062670.3.1 pep chromosome:SL3.0:2:34943901:34947426:1 gene:Solyc02g062670.3 transcript:Solyc02g062670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTEMSFKSIVRELREMRDGIGNISRRRVEGRHWCNRTRSHIAPDVAPFEPSEQGQWANLPPELLFDIISRVEESETTWPARNVVISCASVCKSWREVTKEIVRTPEECGRITFPISLKQPGPRESPIHCFIKRDSDNSVYRLYFGFTPSEDESDKLLLAARRIRRATSTDFVITLVADDFSRASNTYLGKLRSNFLGTKFTIYDNQPPSDASIQERSQRSRRFCTKQVSVTGPACNYSIANISYELNVLRTRGPRRMHCAMHSIPFSSIQEGGSAPTPTSFPRSFGEKASPPSVSDSKETAKDVSSPSISSSAVALPLRESLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVAPPHSIPAAEQEKVILQFGKIGKDIFTMDYRYPLSAYQAFAICLSSFDTKPVCE >Solyc09g011930.3.1 pep chromosome:SL3.0:9:5190980:5208579:-1 gene:Solyc09g011930.3 transcript:Solyc09g011930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNALPQPEDDDEIFGQQLEDEPQEPILRSDERADYVTSAVEISRREREERIQKLKRQHPDDRPAYPSQPRMQDEIFQPKRQKPSSRLPPGWLDCPAFGQEIGCIIPSKVPLDETFNDCVLPGKRYSFRQVVHQQRVLGRKLGMVIDLTNTSRYYSLSDWRKQGIKHVKIQCRGRGSAPDNESVNLFVSEVSQFLARQKHAKKYILVHCTHGHNRTGFMIIHYLMRTLPISVSQAIKIFSDARPPGIYKPDYIDALYAFYHEKKPEMVVCPPTPEWKKSSELDLNGDAMPDDDDDGGPAAPLTDNLEAQVVTSNDDILGDAIPQDQQNHLRQFCYQALKMTPGGRGPQFPGSHPVSLDRENLQLLRQRYYYATWKADGTRYMMLITMDGCFLIDRHFNFRRVQMRFPCRHTNEGLAEKTHHFTLLDGEMVIDTLPDTQKQERRYLIYDMIALNQLSVIERPFYERWRMIDKEVIGPRNYERQHIYQSRNPYYRYELEPFRVRRKDFFLLSTVTKLLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVVDDRELLYLHERGKKKLMEGSRVVFPDGSDPSAYSGKIIECSFDTDDQKWIWMRTRVDKGTPNDYNTYRKVMRSITDNITEEVLLNEIYEIIRLPMYADRIQSDSKAHVRRR >Solyc07g006310.1.1.1 pep chromosome:SL3.0:7:1126647:1126829:1 gene:Solyc07g006310.1 transcript:Solyc07g006310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKRTKVLKSLVPGGEYMDDASLIKETLDYIISLRVQVDVMRHLANNASYEINDPKTRL >Solyc10g084920.2.1 pep chromosome:SL3.0:10:64399405:64403064:-1 gene:Solyc10g084920.2 transcript:Solyc10g084920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:K4D3S6] MDWGTVTTEDLIEALREVEWSSPPRPFSEFFSRFTFPRSYSKWNSRLKCNLYYYRTNYFIMIVAILALGFLRKPLAIVAALLTALSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPALTPVIRGRPSTKRAIFICGRPRWVFVFVFSIVSFFLWFVSCGLLTVLWAFGIGLLATLAHASFRSPNLKARLNTFREEFRAVWRNYSEL >Solyc03g078130.1.1 pep chromosome:SL3.0:3:51529318:51530919:1 gene:Solyc03g078130.1 transcript:Solyc03g078130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHTATRLYLSQQQYIANFLTMVAIHNCKPLHTYMAPTTKLHAETHEPEIVCDNTGALFLEPQGKLVLTNQDFPVQVQLTNYKCIGMFTMFTFDYALGDASSFSKFLLVWSQIARRKSLSFSPDHRRYLLRARNLPIYSPSFDESFISCSLHDIHNISTPRTPKIVSIDGTKRTKIEAFSAYIWKIMVKAIDKGHKMCKMGWLVDGRTKICNYNKKK >Solyc09g010420.3.1 pep chromosome:SL3.0:9:3785812:3795424:-1 gene:Solyc09g010420.3 transcript:Solyc09g010420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSVPHFISVSRFSDLNGVKLQVRGSPRCFRRDCKVFTVISMSKEASNYIPAAPIFLPEGPWQQIPGGVTAAKGFKAAGMYGGLRALGEKPDLALVTCDVDAISAGAFTTNVVAAAPVLYCKSALNASKTARAVLINAGQANAATGDAGYQDVIECSSSLAKLLQLKQDEVLIESTGVIGQRIKKEALLNSLPHLVKQLSPTVEGANSAAVAITTTDLVSKSVAVETEVRGTRIRVGGMAKGSGMIHPNMATMLGVVTTDASVTSDVWRKMVQVAVNRSFNQITVDGDTSTNDAVIALASGLSESHEISSLNSSEAEHLQNCLDAVMQGLAKSIAWDGEGATCLIEVRVDGADNEAEAAKIARSVASSSLVKAAVYGRDPNWGRIACAAGYAGIPFNADKLRISLGDIVLMEAGQPLPFDRVAASNYLRKAGEVHGTVEIQISIGDGSGSGLAWGCDLSYDYVKINAEYTT >Solyc03g026080.3.1 pep chromosome:SL3.0:3:3532634:3535351:1 gene:Solyc03g026080.3 transcript:Solyc03g026080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Rhomboid-like protein [Source:UniProtKB/TrEMBL;Acc:K4BF81] MRGGDIESRGEKNRGSNHASSYAVEDTDTPWISWLIPLFVVANVVMFVIVMYFNNCTKSNQGCVARFLGRFSFQPLRENPLFGPSSSTLERLGGLEWKKVVHQHQGWRLVTCIWLHAGVIHLIANMLSLVIIGIRLEQQCGFVRIGIIYLLSGIGGSILSSLFIQRNISVGASGALFGLLGAMLSELITNWSIYTNKVCALLTLLVIVAINLGVGILPHVDNFAHIGGFLTGFLLGFVLLPRPQLGWMQRRNLPAGVRVNSKYKAYQYGLGLVSLVLLVAGFTIGLVLLFRGVNGYDHCHWCHYLSCVPTSRWKCDGN >Solyc01g102740.3.1 pep chromosome:SL3.0:1:91352836:91354611:1 gene:Solyc01g102740.3 transcript:Solyc01g102740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVPFITTNMSQSQQQHQQQQDDDDNNNINNTIKGDDNDDDDDHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWYFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENSRSIGLKKTLVFYSGKAPKGIRSSWIMNEYRLPHHETERLQKAEISLCRVYKRAGVEDHPSLPRSLLTTRASSSSSSRGTTPIKKQQVAQQDSSLISPLYLGQSSQQQIDEKLSTETSASSTSTDHHHHHQHHVATSLGLNSLSNSYTNIALDPIGSAVNITTSTLTPFTSLVATTNNTTDDLHRLINLDQVVAFHNNQHHFHPPPGVVPQQYPPPLLLQQPQSLLHQGSLPSSAAAAFSDRLWEWNSINQTDGSCKDDHYDQGNNPFK >Solyc02g089750.3.1 pep chromosome:SL3.0:2:52107967:52117890:1 gene:Solyc02g089750.3 transcript:Solyc02g089750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDSPSSFFPFPSFPTATPSNSTPDSPPAPPPDSSSPPPPPLDSSAPPPSPPAPDSPPPSESKSPPPAESPPPPPPTPAAPPPSAPPPKPSASPPPPPSPKAPPPANSPPPASSPPPPSKESPPPSPPPPPPAVSPSPPPPVKNQSPPPDSPPPAPVANPPQNSPPPPALAPPPASLPSAPPPNPLTSPPPSISPPAPPNNTSPAGAPPPLPVTRLPTEKPTAIPKPAITADSSARNGGGNKAGSVVAIGVVAGFLALSLVIVAVWFTRRRKKRESAFNLNYLGPSPFASSPNSDTSFLRSRSQNSTYLAPAGSQSNFMYSPDHGGIGNSRSWFTYEELSEATNGFSPDSVLGEGGFGCVYKGVLNDGREVAVKQLKSGSGQGEREFRAEVEIISRVHHRHLVSLVGYCISEQQRLLVYDYVPNDTLDYHLHGKTGKGMPTMDWATRVKVAAGAARGLAYLHEDCHPRIIHRDIKTSNILLDINFEAQVADFGLARLAGDASSTHVTTRVMGTFGYLAPEYASSGKLTEKSDVYSFGVVLLELITGRKPVDQSQPLGDESLVEWAMLCPNLYGRTGFLGCLFLLRNKKEARPLLAQALETENFEDVVDPRLGNNFVAGEMFRMIEAAAACVRHSGSKRPRMSQVVRALDSMDELSDLSNGVKPGQSGIFESREQSAQIRMFQKMAFGSQDLNARDRKIVCVTGGNSYFGSHLIKKLLAYGYLVRVIIQNQVANLEDMKELMREEMKQLESVVVARMDDLDSLCNAFRGCHVVFHTSSFIDPRGISGYTERMAFIEAEAAKNVIEACGRAAHVKRCVFTSSLLACIWKNDLPNLIDESSWSDETFCREHKLWVALAKTRAEKAAWWKAREMKVKLVTVCPGLLMAPSFPNAHLETSVPYLKGGDFMLRQGTLATEDASKVAEAHIYVYEDMDYGGCGRYICFGKIVGTLEEAIQLENGLNIGGHLSGYQSPLAVAVDNNEIPPKITKSKLSRLLFHASQRLPCKQ >Solyc05g013710.3.1 pep chromosome:SL3.0:5:7009597:7015462:-1 gene:Solyc05g013710.3 transcript:Solyc05g013710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNLNFPFTISCTPTKLNPQFCPQKPTFLTFMKYPSSFLTISSIPTKPNPQSCSKNPIFFTSIRCSRNIRHGLSSVSCLIMEEAPLDERLSVVDKVRSLSLEFRSLSEPIDRVKRLLHYASILPPLCESARVQENRVLGCTTQVWLEVRMNSRGSMNFSVDSDSEITKGFCSCLMWVFDGAEPEEILSVTAEDLGDMNVGLPKKGRSRVNTWHNVLFSMQNRTKDCVQERKRALSLEDLHSLVIRPHEHVGGPSANGSYLESEPKCSFEVK >Solyc02g005517.1.1 pep chromosome:SL3.0:2:9551555:9556213:-1 gene:Solyc02g005517.1 transcript:Solyc02g005517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKSNRNHLNSEKAHADKKSRRRELYKLKESNKKDAYLAGRRANSAIDNNAFIGASCSTTTMSTHEETLNRDGPGGTGKSFLYRDLLATVRYRGFIALETTSSGVAASLLPGDRTAYSRFKIPINVEGKFSCNISKQSSLASLIRDAKIIVWDEI >Solyc10g084420.2.1 pep chromosome:SL3.0:10:64088115:64091486:-1 gene:Solyc10g084420.2 transcript:Solyc10g084420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDGLRTVECLRGRLIAERAASKRAKEDAEFMGNKLIELETKLKEESKSRNKAEKKLKYFIKKLESMNICYISDESEHSSLFDKSENSSVTSTTTTSNSSKIITEQNSQFKDSMISDFEDSQELISQENNSKELEFPNSDANSSKSIVQEEEKSEEDNVNSSLALVPIDLPKPKSIDPIVLDATVREVLDALRHAKEKLQTQMERGRGTIKVS >Solyc09g011887.1.1.1 pep chromosome:SL3.0:9:5167868:5168077:1 gene:Solyc09g011887.1 transcript:Solyc09g011887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVECKCNGKYNNEMFLKDSVENTCGDAYVFLKGGISFLISDGLQVKVASPSSLVQMLPNIGLSDMNQI >Solyc06g073780.3.1 pep chromosome:SL3.0:6:45661904:45687155:-1 gene:Solyc06g073780.3 transcript:Solyc06g073780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIKQVIIEGYKSYREQVATEDFSPKVNCVVGANGSGKSNFFHAIRFVISDLFHNLRSEERQALLHEGAGHQVLSAFVEIVFDNSDNRMPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVQNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLNELEQEKEELKKYQQLDKQRKSLEYTIYDKELHDARQKLNEVEEARNKVAENSTKMYESVLEAHEKSKELEKLSKDLTKEIQILSKEKEAVEKQRTEAIRKRAQLDLDYKDLQEKMSTNIKAKDDAQKQLMILEREVQETKNSLNDIKPLHEKQVKEEEDITRGIMDREKRLSILYQKQGRATQFASKAARDKWLQKEIDEYERVLSSTLMQEKKLQDEIDQLKNDMRDQDDIIKVRKVEVDKKETFISGYRNAYNQYKVDRDKLHNERKSLWTQETELTTEIERLKAEVVKAEKSLDHATPGDIRRGLNSVRRICREYEISGVFGPIFELLECEDKFFTAVEVTAGNSLFHVVVDNDETSTKIIRHLNAQKGGRVTFIPLNRVKKPYVNYPQGSDVIPLLKKLRFSDSYSRAFEQVFARTVICRNLDVATRVARTDGLDCITLEGDQVSKKGGMTGGFYDHRRSKLRFMSTIKQNTVSINLKERELEEVRYKLQDILTGFLYVLTLSITLFTLLRYSFRSLLILFLKLFPQIDQKINELVAEQQKNDAGLGHDKSELEQLKQDILNAERQKQSILKALQKKEKLLGNILSQIDQLRASIAMKQDEMGTELVDHLTPEERDSLSRLNPEITALKEQLIACRANRIETETRKEELEMNLSTNLERRKQELMAMNSSVDVDMLQAEVESKYQELKDADSLVDHVTKELTRVSRNIDERNKRLKQIKQEKDNLKALEDKYQNTLQDEARELEQMLSKRNTYLAKQEDYSKKIRELGPLSSDAFETYKRKNVKELYKMLHKCNEQLQQFSHVNKKALDQYVNFTEQREELQRRQAELDAGDEKIKELISVLDMRKDESMFLVMMSRVLMQREELRNILV >Solyc04g005690.3.1 pep chromosome:SL3.0:4:452344:457528:1 gene:Solyc04g005690.3 transcript:Solyc04g005690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTTTILPLPAPPLDGNLGPTPPAQVVEEPEDDRMEENEEDNNKGNKVPTSVATHTRTIGIIYPPPDIRSIVDKTSQFVAKNGPEFEKRIVLNNAGNAKFNFLNASDPYHAYYQHRLADARAQNQASGEQPTQPDDNEAAPAPPTADGAEATAKPDPSAQFRPVRKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGKSFLTGLTSREINNPQFHFLKPTHSMFMFFTSLADAYSKVLMPPKGLTDKLQKSAADMTTVLERCLHRLEWERSQEQARQKAEDEIEQERVQMAMIDWHDFVVVETIDFADDEDQDLPPPMTLEEVIRRSKMPTLEEEEYVEPGKEVEMEMDEEEVQLVEEGMRAATLEENGDIKSAETMTISEEQDPPMRIVKNWKRPEERILAERDPTKYVVSPITGELIPINEMSEHMRISLIDPKYKEQKDRMFAKIKETTLAQDDEISRNIVGLARTRPDIFGTTEEEVSNAVKAEIEKKKEEPKQVIWDGHTGSIGRTANQAMSQNSDDQNDAANDARNLPGPQAPPPPRPGFPSIRPLPPPPGLALNIPRPPNTVQYSTPGVAAPPPPRPPMVNMIPQVRPPPPPMPQMPGQQNLMVNRPPMPPSMGMNSLNLPIPPPPGSQFTPLGAPRPFVPHPMSQPGMSMVPPPPMPQGMPPPPPPEEAPPLPEEPEPKRQKLEESILIPEDQFLAQHSGPARINISVPNTDEGNLKGQILEITVQSLSETIASLKEKISGEIQLPANKQKLSGKAGFLKDNLSLAYYNVASGETLGLSLRERGPISVEDVLVVVGDACPNHTCLFCDSFFWFVLMESAVSPWLL >Solyc05g021500.2.1 pep chromosome:SL3.0:5:28018215:28021959:-1 gene:Solyc05g021500.2 transcript:Solyc05g021500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTAFQSLHLPSFFTSYERCSIRPVHLHSDLESIAYGRICNNAVSRKAMDAGLVLSFLTGSLTFFKHVAAPTKYFSIVVSFGLLKFWATEICWELQDFNLNKFKGIEDEFIINVSLFQKWALVQYGEV >Solyc10g078580.1.1.1 pep chromosome:SL3.0:10:60490599:60490781:1 gene:Solyc10g078580.1 transcript:Solyc10g078580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAMKMNVLLMVVMVVVMVLSEIQNVVAADAPAPAPASDATLFVPTIFASLVALAFGIFF >Solyc10g084085.1.1 pep chromosome:SL3.0:10:63875845:63876922:1 gene:Solyc10g084085.1 transcript:Solyc10g084085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGTMQRCRTSYEIAQFSILRPFGIGKYDTQDQLNMCGSNLEGLWQSELTTGGIKKSNNSIDKLQSNYKLNCIIEEIQQRTKQANVKATHHEANEVADHLVKLAMSSRKRLLSTSPARAKGPFYLYN >Solyc11g065470.2.1 pep chromosome:SL3.0:11:51208307:51211939:1 gene:Solyc11g065470.2 transcript:Solyc11g065470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFDNSNVLSICKTVLICGLVLYVTTIIVFNDSSCPSSDLLSSLKFTTLPSYDSQTNVAQSKSSTNISHLLFGLLGSEKAWHHRKSYIESWWRPNITNGYLLLDVPPKGDLLPWSSNSPPYKISDDVPKLVNETKHVDATVLRLVHGIMEVFREEYEGVRWLVMGDDDSIFFLDNMVDILAQYDHTKYYYFGGHSEFILSNYWYSFNQGFGGAGFILSYPLAKALARDMMSCLKRYAHLNAADRTTMTCIADIGVNLSPLLGVHQIDLRGDLSGFLSSHPKSLLMSLHHFDMVDPIFPSMDRAQSGYHLLNAANYDQSRMLQQTICHKRSTSWTFSISWGYSAHIYEKIMPRSWLQNPIETFKTWARSPKPPHYMFDTRTPSWDPCEAPHVFFFKSVEKTPKNEILTTYTRAWPRGIGNCSFTGNYSAEYVSEIHVYSPSTKRIEIDRCECCDVIHEEGSNKADIKYRECKEDEIIA >Solyc01g109910.3.1 pep chromosome:SL3.0:1:96667821:96672493:1 gene:Solyc01g109910.3 transcript:Solyc01g109910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAAKDRAVINGSTGETLQSNVRYSPSWSFRWDNRGRVAGEETSVNWSSDRVGGNDRLEFKSGTTVETLYASEEGSPLDSFRSLALQKSPASDCNTGNSMLPLSVMCFLYFVSLTVVFLALADTSVVRNSTEVKESFESSAVPCPSPLKLSSSDLSVSSLSASPLSTKSQLLPANSTPLPQYSSGHQLGRRVSDSHIAGIKSPTFSISEESSSFMLPGWSNESTRGSNGGSSDGWSVPAFSDLLANPRRDRWSFDSESMGFHRDKMSRASGRSSGSPSIDLQTCGICTKLLTERSSWSSNEIAVVSVLICGHVFHADCLESMTPEFNKYDPACPVCTFGEKQALKMSEKALKSQMDLKARKRFRNRVVDSDFSGNLALFDRQKSGGHGGRRLKMSSSSSSMKISSGKPFLLRHFSFGSKGSKSFSESPSTRKKGFFWTRSSKE >Solyc07g062600.3.1 pep chromosome:SL3.0:7:65419623:65420348:-1 gene:Solyc07g062600.3 transcript:Solyc07g062600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCFPCDDIQMLKSFKVEKLVISAIPGLVETWTCGFGFEPLEDHEKRSLSHINLMVFPGTVWLKKSLFQAADADQPSVCPGGNGLTIIESMQHCVPSQDVNAGADVRDPPQSESLQFCEDEGGSNQDLGQGCEGNTINPEHQTETRLPDSNDLQPVEVLCVVDALPSKCSKLSEEPVLTYISHGEAGCRVDNLQMNVDSHFCLDEVN >Solyc01g011060.1.1 pep chromosome:SL3.0:1:6986611:6986946:-1 gene:Solyc01g011060.1 transcript:Solyc01g011060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLTNASDGDIVNWLQASWSAIFTPAYKHKSSARNVILKYSKDSNIVNQEGGKTLAEFPNSIELGKLGSSQDPNNNEHSTTSKRGES >Solyc03g005590.2.1 pep chromosome:SL3.0:3:421341:434550:-1 gene:Solyc03g005590.2 transcript:Solyc03g005590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPASYGNPDRDIDQGLITLKKGTQLIKYSRKGKPKFCPFRVSPDETTLIWYSRGSERNLKLSAVSKIIPGQRTPVFKRFLRPEKEYLSFSLIYNNGERSLDLICKDKIEAEIWIAGLKNIISAGQARSRRTRSDITDLQNSTPCGASLDFSQTVSRDWTSADPYGYETSSNARSSDVGSERGNMQVRTSSDGFRISVSSTPSCSSGGSGPDDIESLGDVYVWGEIWCDGVLKDGAGNPIPVKHDVLTPKPLESNVVLDVHQIACGVRHVALVTRQGEVFTWGEESGGRLGHGVEKDFSRPKLVEFLAVTNVDFVSCGEFHTCAVSTMGDLYTWGDGTHNAGLLGHGNDVSHWIPKRVSGPLEGLQVLSVACGTWHSALATANGKLFTFGDGTYGALGHGDRVTVPYPKEVQSLYGLKTIKVACGVWHTAAIVEVTNHNCGNLPTRKLFTWGDGDKYRLGHGNKEAYMLPTCVSALIDYNFHQLACGHNITVGLTTSGHVFIMGSNAYGQLGNPQADGKAPSLVQDRLVGEFVEEITCGSFHVAVLTSRSEVFTWGKGANGRLGHGDTEDRNSPTLIEALKDRHVKNIVCGSNYTASICIHKWVSGADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHACSSKKALKAALAPTPGKPHRVCDSCYMKLKKASEGNSSLFVKKFNSSHRPIENSKLGRGEAKISRVLLSPTIEPIKYLEVKSMRPASPYSRRPSPPRSPAPVFSRGVIDSLRKTNDVLHQEVAKLQNQIKSLKQKSDGQDAELRKLKESSQESSRLVAERASKCNVAVETMKSITIQLKEMTQELPPDISESPAIKSIHAQVESFLNTFGNQASEDNSSLQPDTSYSHQKPTHRNNISESVIRNDHWDAAGIPETSHSVDGTVRENHGQSTPRSFSGSPRAPREGQKEVIEQFEPGVYVTLLQLTNGTKIFKRVRFSKRRFAEQQAEEWWKENKDRLLKKYSPPKTNISFAQIFERQQIWQRLQHQQQHRALRSKTECQIERLNAQEPNRRFESEAGVVEFWDATQEQFECAGVQAVRHEIRRNGLLLPYYSNTPQLIYIVQGNGVHSTIFPGCAETFETESPLDRRAQSGDRGQRSLDRHQKVRRFRAGDILALPAGVTHWTYNDGEEPIISVSLIDTSNVANQLDLTFRKFFLAGNPQRGVQQQVLGRQQETTSQYGRRGSEQEKGGNMLSGFDPQVLSEAFNVDVEVIRKIQEEAPERGVIVLAENLRSDVYNPRGGRISTVNSNSLPVLNWLQLSAERGTLYNNAIVAPHWNLNAHSIIYIIRGSGRFQVVGNAGKSVFDDQVRQGQLIVVPQNFAIVKKAGEQGLDYIAFKTNDNAMISPLAGRLSAIRAMPEEVLMNSYQISRQEAKSLKFNRDELSVFGPGARSSRQYA >Solyc06g059740.3.1 pep chromosome:SL3.0:6:37722996:37725472:1 gene:Solyc06g059740.3 transcript:Solyc06g059740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTVGQVIRCKAAVAWEAGKPLVMEEVDVAPPQKMEVRLKILYTSLCHTDVYFWEAKGQNPVFPRILGHEAAGYVIVESVGEGVTDLAPGDHVLPVFTGECKDCAHCKSEESNMCSLLRINTDRGVMLNDGKSRFSINGNPIYHFVGTSTFSEYTVVHVGCVAKINPLAPLDKVCVLSCGISTGLGASLNVAKPTKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNASRFEQAKKFGVTEFVNPKDYSKPVQEVIAEMTDGGVDRSVECTGHIDAMISAFECVHDGWGVAVLVGVPHKEAVFKTHPLNFLNERTLKGTFFGNYKPRSDIPCVVEKYMNKELELEKFITHTLPFAEINKAFDLMLKGEGLRCIITMAD >Solyc12g077540.2.1 pep chromosome:SL3.0:12:19676729:19687424:1 gene:Solyc12g077540.2 transcript:Solyc12g077540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDVVTSNSQQQILMGGGGGGGDTTSSGGEENCTNPCSDVKTPKKRAETWVQEETRVLIGLRREIDSLFNTSKSNKHLWDQISMKMREKGFDRSPTMCTDKWRNLLKEFKKAKQNQDRNGSAKMSYYKEIDEILRDRTNTPLPPPPKVDSFMHFAQKGLDDTSITFGPVEENGRPTLNLERRLDHDGHPLAITAADAVTASGVSPWNWREPHGTGQSVLQISVETSPWFYFREQGKQKEFEESSQKLFLHIFLCRVSEPSQSYEGRVISVKWGDYTKRIGIDGTADAIKEAIKSAFRLRTKRAFWLEDEDNIVRTLDRDMPLGNYTLHVDEGNVMQQ >Solyc10g081730.2.1 pep chromosome:SL3.0:10:62874609:62878339:-1 gene:Solyc10g081730.2 transcript:Solyc10g081730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENGVPVEVEKIVVAEEENVNLKNISEKADETPNSSVAKEELSVEDVPKSRASNQHKVSVGGTKKNNKMAKDQANSTGTSSLTRSKKGSMAKSLSFPTRGANADMTRKSIDACTKKSDFKPWIPNGVTHEASHSNGTVSSASRLNPSTKSTSAGVVKSSSPNGSATTSRRTTTGSIPSLRQSLSGKSASAGRIAKKATSEQLNDEKITPTKATSPLKDDDDARSATSSNATRTSAGFSFRLEERAEKRKEFLAKVEERIQAREEEKSNLLAKSKENQEAEVKQFRKSLTFKATPMPCFYKEPPPTVELKKIPTTRAISPKLGRTKNSTSMTNSSESGGSCFSPKVIKEQRKSLVSNKDNVASKGKPVKTSQTTAQSPKTSITKAKPAETKSRLAEAAKVSDEKTERNEIQPESEMNRVEDNVARPSNPIVVQAEVSVEG >Solyc03g059260.3.1 pep chromosome:SL3.0:3:30407099:30412018:-1 gene:Solyc03g059260.3 transcript:Solyc03g059260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLCSNINVGSSISKPSFCYRFPSLTHRRGAACRRKELIPISLVGENDQNLVKCIGKGVIGLAAATVLSFSSYSPAFAQSLTVAFPVSHTPEVNTVQRTLIEAWSLIRETFVDPTFNHQASNLTVCGTYLIDWDLKLQQTMVEMFPLRSEDAAYSKVRGMLSTLGDPYTRIISPKEYQSFRIGSDGNLQGVGLFVSVEPNTGHLVVLSCVENSPAARAGIHEGDELLEINGERLDGVDSETAAQKLRGRAGTTVTVKVHRDIGAGVSSYQEVKLPREVIKLSPVSSTIIPHKMPDGRLLKTGYVKLSSFSQSAAMEMENTIQDMENQGVESYILDLRNNPGGLVTAGLDVAQIWLDGDETLVNTVDRDGNMAPISMVNGHAVTHDPLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSVTQLHDGSALFVTVAKYLSPALHDIDQVGITPDVQCTVEMLNSGKDTFKERKTSSSSLEADSCIMVAEHELDIQESKGSAS >Solyc10g080520.2.1 pep chromosome:SL3.0:10:61890850:61896491:-1 gene:Solyc10g080520.2 transcript:Solyc10g080520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPVCPFAGASRPDDATLNKPYDENKQQAQESKPKKESGEVATVSPKCPFGYDSTLKKPCDDQNKQQDGQESKPKQDSGESATVSPKCPFGYDGQESKPKQDSGESATVSPKCPFGYDGQKSKPKQDSGESATMSPKCPFGYDSQAFKLGPFSCMICQALLYESSRCVPCSHVFCKACLSRFKDCPLCGADIEKIEADMNLQNVVDRFIEGHARIKRSNNEQEDIVEKKTVIYEDASLERGAFLVQQAMRAFRANNIDSAKSRFTMCADDIREQLQRMGNTSELCSQLGAVLGMLGDCSRATGDAVSAVAYFEESVNFLLKVPKDDVEITHTLSVSLNKIGDLKYYAGDLQTARSHYFRALDVRRNAIKQQSVPSQVVDVAISLAKVADIDRNLGDEDTAVEGFQEGIKLLQSLELKPEEVSLEQRRQSVLEFLNSQLEKNET >Solyc09g075910.1.1.1 pep chromosome:SL3.0:9:67969822:67972179:-1 gene:Solyc09g075910.1 transcript:Solyc09g075910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CVG8] MRISLNLLAFLLLILHHQSNGQNNPNISIGTTFTAGENGFLLSLSGDFAFGFYPVSDTLFLVGIWFNKIQETPLVWSANRDNPAEIRSTISLTDGGQLVLNYANGTAQQISNGIATLGTMQDDGNLVLRDSSSNNVWESFNSPTDTLLPGQTLSSAGKLYSNSISNGNLNYSKGKFMLEMQFDGKLVLSAYRFGDAGYWLSSEEDEGNGEVNLVFDQRNASLYLVKDKNNTIFSFPSNVPTPVEDYYHRATIDSFGNFQQYAYHKTNGRNWIRVFKIPSEPCMVNAVCGAYGLCSSNDNETVNCDCLPGYVFLDQSNPTKGCHPETIINFCADHLSTGNFTLESIQDSDMPYNEVGDYEIYRDVDEEGCKKVVMEDCSAMAASLINNECHKRRTPILNARRTSMTTGSISFIKVPIKSAKDDISTKKKSNTRAHLTAGLITTSSLTVLFGALAFYYHPAPRRLVKREWNPNSSRIGINFREFTYKELHEITNGFSKQLGKGASAKVYYGNLKLKDVQIEIAVKLMKDVAEPSENVFMTELKIIGRTHHKNLVKLLGFCIEDNHFILVYELMKNGALSDFLFKEEILPTWSHRTEMALGIARGLLYLHEECDSPIIHCDIKPQNVLLDSKYNAKISDFGLSKLLKKDQTRTDTCARGTVGYLAPEWLKNAPITPKVDIFSYGIMLLEITCGRRHIELSRVEEESEDDEGDDLLLVNWVSGCARSGRIDKLARSDPEILNDIKRLERFVKVGLWCVHPDPTVRPSMKMVMQMLEGITEVGVPPMLYH >Solyc07g053420.3.1 pep chromosome:SL3.0:7:61991584:61992291:1 gene:Solyc07g053420.3 transcript:Solyc07g053420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDDDDDHPFFHRKNKYDLNSKIMITAIISLSIVIFFVTLLHIYASFITSSALHVEPPKTGLDPSVIDSLPVFILKQNDINQNNTIECTVCLSALEDGERVRNLPNCKHVFHAECIDKWFGSHSTCPICRTEAEPRLLQPGPCEGVVGPTTPSAPPIEGGDSINVEDCGLTQDAKINGSSSRLSSFRKMISMEKSSRRLQVQFCGVEEGVINDIERQ >Solyc07g043343.1.1 pep chromosome:SL3.0:7:57169200:57173396:-1 gene:Solyc07g043343.1 transcript:Solyc07g043343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQAFAAHSVSTPTQDFGSQFGSGRGRGRSSSRSRGRGGKGSSFPFFGLLPPVSIDSLTLPNFDSVADLATHIVTNLVTIKLGSVEEYLTWRAQFTSLLFSHELIRLQRFKELDSPIMHQAFAAHSVSTPTQDFGSQFGSGRGRGRSSSRSRGRGGKGCGFGGRV >Solyc06g084290.3.1 pep chromosome:SL3.0:6:49519933:49524760:-1 gene:Solyc06g084290.3 transcript:Solyc06g084290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENPPPRFFNTMTHLKIFKKLKPFEPSPGVLGCFFFTVCLFLYLFLLDYTTVNKVPRLHQPSLLATWVGINGSSLSYNKVDFLGRGAESCDIFDGNWVWDERYPLYESKDCNFLDEGFRCSENGRPDNFYTKWRWQPKDCNLPRFDAKSMLEKLRNRRVVFVGDSIGRNQWESLLCMLSSAVPDKSKIYEVNGNPITKHMGFLIFMFKDFNCTIEYYRAPFLVFQGRPPSGAPRNVKLTLRLDQMDWSSAQWKGADILVFNSGHWWIYEKTIREGCYFQLGGEVDMTMNIETAYRRSISTLVDWIGHEVNMTKTHVVFRTYAPVHFRGGDWKTGGNCHLEKLPNIGSSQESVKTSFEYNTVINVLSEKQNKSKTWNLDLLNVTGMTFQRRDGHSSLYYLGPKVGPAPLHRQDCSHWCLPGVPDTWNELLYANFLKRELGCAKFSKQTS >Solyc03g071830.1.1 pep chromosome:SL3.0:3:20974871:20976460:-1 gene:Solyc03g071830.1 transcript:Solyc03g071830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPATGGGLLLIQVVPKAPLMHDLLLNQGGQVSSFNFASNQDIMQNGTAKAVICHSKKLEDVIKETSLQIKPHDDKIKFLEGQKNMLDDSILDLEGIVSFFQVN >Solyc04g049492.1.1 pep chromosome:SL3.0:4:42099431:42099900:1 gene:Solyc04g049492.1 transcript:Solyc04g049492.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIGPITKFTLDIPDLITCPNIDHLIYFLSRNGIQHLVFKPPFRSKPYELPSAYFTCSQLSIISRCPLLEHLVVQHNAITNHIEISAPKLRSFIFTGNIKFLHLKNVPLLSKVPYEPTEF >Solyc01g016985.1.1 pep chromosome:SL3.0:1:23414281:23415659:1 gene:Solyc01g016985.1 transcript:Solyc01g016985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTQNDSFEVYALVPGLLQDEVRVQSDPAGRLVITGRPNQLDNPWGVTSFKKLVTLPARNDQLRTNDVLTFHGCLHVHVPFAQQNL >Solyc12g042200.2.1 pep chromosome:SL3.0:12:58172585:58191065:1 gene:Solyc12g042200.2 transcript:Solyc12g042200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPPNPKTVNGEEDNGRRMEEQDRKEQEEALIALIEHRTKEVEHLRQRLTYYKSQYHEAENRLEETQLKLARLRGRENIAASTSSRGNGENPMTSKRRSTSPIQINEGPFHSLSESKRHRIQDSNQPLRKSPVPDRKMASPFQKNDNSSRSVPQGKPLLVIPDVKPRVSQPLKIIESGPKISSASDSQVGGSGFPHVTSMTKLKADKSHRTPEKEASELQPKGTKRKFEQKEHRELIPLIGSYSSASMVRCQTSCVISSQHKRKLRTIISCPTNDQLFASSALDGLVNLWQVHGRGSTANLLSSTDCLSSKHRRWPEDLAWHPEGHSLFCAYSADGGDSQISILNLNKGKEKMRVSFLEDKPHVKGVINNMIFMPWEDILFVTGGSDHAVIMWSNKDGENSWKPKALHRSLHSSAVMGVAGLQHKKVVMSAGADKRIIGFDLLAQRAEYKHQIENKCMSVLPNPCDFNLFMVQTGTIERQLRLFDYRLRQTEVQAFGWKQESSDSQSALINQAWSPDGLYITSGSVDPVIHIFDIRYNSHKPSQSIKAHQKRVFKAIWHHAVPLLISISSDLNIGLHKIV >Solyc12g019593.1.1 pep chromosome:SL3.0:12:10758737:10759339:1 gene:Solyc12g019593.1 transcript:Solyc12g019593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEGSSMREINNLKTRLSATFEMKDLGPAKQIMGMKISRDRSAGSLNLSKELHIEKVQSRFRVSDAKPRTTPLANNFKLSNEQSPNTVEERNVTLQGFMDADLGGDVDSSKSTSGYIYTIGGTAVSWMSRL >Solyc09g059980.2.1 pep chromosome:SL3.0:9:57214755:57216225:1 gene:Solyc09g059980.2 transcript:Solyc09g059980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTIMTGNRSFIDDNKTYETATVDLAIRNGPGPIKHQAVALRVEANLGYQDTLYVKRQRQFYRDCKIYGTIDFICGDATTLLQNCLIVARFPLFKQYNTITAQQREHEDSTTVIVLQNCSIKTSQHLSNVTTYFGRPWGDSFG >Solyc10g045640.2.1 pep chromosome:SL3.0:10:34902382:34912730:-1 gene:Solyc10g045640.2 transcript:Solyc10g045640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDSGNLPRFMYFAVPLMVLCLLHKGEAIWLSLPPTGTKCVSEELHNNVVVLADYVVISDDHVHPTPTISARVTSPYGNTLHHKENVTHSQFAFTTTEAGNYLACFWADNPGGGSLSVNIDWKNGITAKDWESVARKEKIEGVELELRKLEGAVEAIHENLIYLKTRESEMRSVSETTNARVAWFSIMSLGVCILASVLQILYLKQYFQKKKLI >Solyc06g069850.3.1 pep chromosome:SL3.0:6:43604422:43606309:-1 gene:Solyc06g069850.3 transcript:Solyc06g069850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCEKSGVKKGPWTPEEDIILVSYIQEHGPGNWRNIPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKKLNNNKKVEGHDDHQEGTSASSSSSQSKIIKGQWERRLQTDIHTAKQALCDALSLDTTPNNKNNNNNPPPHDQDQQPPSVQTPTTYASSAENIAKLLQNWMKNSPKSTSSSSSKITPMSSLNNNLSIGAVSSSSPSEGTVNVTPGQGLDSIFSFNSSNNSDVSQSVSVDEGGNFSTPENHNNNNNNNAGIYQVESKPNLPDFKPENGNFQEESKQNMETQQVPLTMLEKWLLEDANAQAEQEDQLMGIGMGMGMTLAETNDLF >Solyc05g009275.1.1 pep chromosome:SL3.0:5:3418294:3422617:-1 gene:Solyc05g009275.1 transcript:Solyc05g009275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTALFTSRSGGNNFNGQNNRSGNVKINKQRSGYLYCDHCDMRGHNRAECNKLKYCTHCHKHGHLKDFCYQLIGYPTNYKGKRQANIMTTDYNSQFNNSGSSTDSNVVNQMQQFKGGGSQQMLQQHGINSGSGGSGTVLTQHFTPNQYQQVLQMMNKSLIHEGNTISTNSNANATGIFAGHSQFTPSTSSIDWIVDSGATDHMVRTKDLLTHGSTVKSSGHVQLPNGDSTKVTYSGCSQLQGDLGLGGSKPIATLVELNGKLTTVVFDKHVGVTSDPILSDIGEYQRLVGRLIYLTITRPDLSYAVQNLSQFMNAPKQYHMNAAIRVVRYIKQQPGLGVLLSAQHCGSLQAFCDADWGSCLDTRRSITGYMVTFGVSLLSWKSKKQSTISRSSAEAGYRSLASTVAEVTWILGLFRELDITTVLPIVIRLCCSEQWDL >Solyc02g032840.1.1.1 pep chromosome:SL3.0:2:29879808:29879984:-1 gene:Solyc02g032840.1 transcript:Solyc02g032840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEISKKENDSNKKSSGLGKAKAVALASAKKMKNGTCVAIKWLKSKCHKKNIISSS >Solyc08g068490.3.1 pep chromosome:SL3.0:8:57696077:57700082:-1 gene:Solyc08g068490.3 transcript:Solyc08g068490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNNCKDKIETDIIGWFDEVAEDSAVIQRQTLRRILEMNHGVEYLKNLIGDIKIEEIDENLLESIYASLVPLASHADIEPFTKRTADGDTTPLLTQQPITNLSLSSGTTEGRQKFVPFTHHSSQTTLQIFKLAAAYRSRIYPIRRGGRILEFIYSSKQCKTKGGIIVGTATTHYYASDEFKIKQQQTKSFTCSPQEVISCGDYKQSTYCHLLLDLYFSREVEFVTSAFAYSIVEAFRSFEEMWKELCHDIREGSLSSRINIDNVQKSVSGITQPNPELASRIESICVEQERADWFNIIPKLWPNAKYVYSIMTGSMMPYLTKLRHYAGELPLVSADYGSTEINVDPSNPPEKVTFAVVPTFSYFEFIPLYKHKSNYNYQNGNINSTNDDYIEGNLVSLCQVKSGQQYEIVLTTFTGLYRYRLGDVVEVDGFYKKTPKLNFICRRNLILTINIDKNTEKDLQLVAERGSRILSKGTRRAELVDFTSHANVTKQPGHYVIYWEIKGEVDEKLLDECCRDMDASFVDHGYVVSRRTKSIGPLELCIVERGTFKKILESYIGNGAALSQFKTPRCTSNKELLNILNVCTIKRFYSTAYG >Solyc02g085930.3.1 pep chromosome:SL3.0:2:49336915:49340895:1 gene:Solyc02g085930.3 transcript:Solyc02g085930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BBA2] MFSRNCVLLAVFSFLVICPVTTVAAQPEVDPGSASGFPFQLRYNYYDEKCEDLEGIVWSKMKTIVKLQHNAPAQLLRLMFHDCFIGGCDASVLLADRNENGTVEREAIPNRTLKGFNFIDTIKDEIEEECPGVVSCSDILVLATRDGIVLAGGPYYPVLTGRRDSKESFFDKAMAEIPRPNGNFSETLRLFSVRGFDERETVALLGAHNIGRIGCQFIRPRLSNFTGTGLPDPTIPPYFLEELRRKCPDDNNTISNMLNDEHTDTARGLSVSIATSLNNHYYKTLMRGRGLLFADQQLMANEKTAAAATDYAIDDGNIFRTEFAHAMAKMSNFGVVTGSKGEVRHSCSHLNS >Solyc10g005790.3.1 pep chromosome:SL3.0:10:623271:626097:1 gene:Solyc10g005790.3 transcript:Solyc10g005790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAGLAFTIFSLYAVGKLLEEYLRPILWAVLCSIPLRGIQQALVAFWSEPLKLGLTETIMAIPVAVFRIFVGTLVDIREMIFRVVLRRQKGSALKRNRSGFFMLLRWLVSFWVFVMAYEQIGLFGSVALLALGFMFPVNSVESTMDAVTSFRSHSFRRLSISAFFTRGILKRLKTIVAVGLIIGLSVGSLAGTIFFSYEIGIEGKDAVIALKSRVEESNYAEKIGVKQWMDENDVPAMVDKYSNQLYETVFYQLDSYAKQYNMTEFVSGIKHFITTPVNSSFERSTALSSRSRYTKKIMSIKKRIKDREWRQMYTEMDAFFKELLITRKDLVEKAKGFALQGANVMQGVIITSSSLLGSSMQVMFSIGNSILSGAAGLFNFVSQSMVFFWVLYYLITSESGGATEQVICMLPIPHSARTRCVEVLDKAISGVILATAEIAFFQGCLTWLLFRLYSIHFLYMSTVLAFISPLFPIFPTWLSTIPTALQLVFEGRYMLAISLSFIHLVLMDYGTSEIQDDIPGYSAYLTGLSIIGGMTLCSSAIEGAIMGPLITTVVIGIKDLYVEFVLEAQKE >Solyc06g005420.1.1.1 pep chromosome:SL3.0:6:426752:427063:-1 gene:Solyc06g005420.1 transcript:Solyc06g005420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc06g060520.1.1.1 pep chromosome:SL3.0:6:38659456:38660358:-1 gene:Solyc06g060520.1 transcript:Solyc06g060520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVAFFQIIVLLTAINAEFSSRLLDSVLQDYAFRAFVRPRTGIPYDGNVPFNYTGIKVSALRLRSGSMRRRGVSKYKEFHIPIGVLEQPYVERLVLVYHNLANWSDLYYPLPGHIHLTPVLGILTYDASNLSSINLPELDIRPSKNPILINFSSIVKPVPMGLLPKCVYFNLDGAVEFDNILNGNVCKTTKQGHFSIVVEFTTAAEPEPEPEPASGGDRSGGRKNWVIFGSVVGGFVALIFLVLLIACLNKYDKKKKIDRMEEAADRGVPLLMTKIGNTKAPIASETRTRPSLENEYLP >Solyc02g030635.1.1 pep chromosome:SL3.0:2:27081283:27081853:1 gene:Solyc02g030635.1 transcript:Solyc02g030635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLGLPLNGESKGCVASSVVEVEIQQPPTQEENIAKEKFENFMLNNMANVYTKGEGPKGNKVEGLLYSCKEIDQVKIVCLCHGNFLNADEFVKHAGGGDVVNPLEHIFIEGKQIK >Solyc06g062520.1.1.1 pep chromosome:SL3.0:6:39555952:39556530:-1 gene:Solyc06g062520.1 transcript:Solyc06g062520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSSIYSQINPQFPDQQVLKCPRCDSINTKFCYYNNYNLSQPRHFCKNCKRYWTKGGILRNIPVGGSSRKNTKRSSSNSCKRSSTMTISSSTSSEQNSKTEHFDTPVVRNSPIVDANGPFGSLLASNGPEIGNFLNVLNPNGPDSGSDAAAAQSGNSNNNHEFLGEDSNCWNGTNGWADLAIYTPGSTFQ >Solyc01g009960.3.1 pep chromosome:SL3.0:1:4487771:4490190:-1 gene:Solyc01g009960.3 transcript:Solyc01g009960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSMTFSSPPPTTTIPRCKSLQRLPPVSAMASSPIAPPSRHHITHSMPHEKLEVFKSLESWVSENLLDFRKPIEKCWQPSEFLPDPSQGFDRSNEEVCELRQRVLGLPDEYFVMLVGNMLGEDSLPTYQTMINTWDGVRDKTGSSSCPWAIWTRSWAAEENRHGDLLRTYIYLSGRVDMMMIDKTTQYMIRAGMDNGTENNPYMGFVYASFQERATFMSHGNMARLAKEAGDPVLARICGTIAADEKRHENAYIKIVEKLLEVDPDTTMLAISNMMKKRIVMPQHLMYDGQDSNLFENYSAVSQRLGVHTTRDYGEIIEFFYNTMEIGKT >Solyc09g008770.3.1 pep chromosome:SL3.0:9:2194101:2196611:1 gene:Solyc09g008770.3 transcript:Solyc09g008770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKVVKEERAEAAAKIAADELSDVNKERRDQSQRDVDVNNNKEQKDQSQRDVDVNNNKEQKDQSQRDVKAGVIGTIIKSVQGTLGQAKDAVTGKAHETAQITSDTADAAAENARRSKDAAADKLGEYAEEKGKATKSSVSEKANQVKEKAGEYADSAADKAKRTKDYAAEKAKEAKDTTVGKMGEYKDYAGDKAKEAKDGASRKATEYEEYAESKAKEAKDTTVQKTGEYKDYTAEKAKEGKDTAIGKLAELKDSAADAAKRAMGMITGKKDEAQQKAGETAEAAKQKASETAEQKADETAGAAKQKADETAGAAKAKYDESEEATRRKMDEMKMHEGDDDHDRKVATGGIFGALGSILAPKHTTDETPTGVGTTKIVVDVDETRGGKTATSLKQADQMSGQTFNDVGRMDAEGSIAEVEAKDSTGKNVKVKY >Solyc12g036930.1.1.1 pep chromosome:SL3.0:12:49027816:49027980:1 gene:Solyc12g036930.1 transcript:Solyc12g036930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNCNVRQTCELRHSTNATCRLDARPTKSCSKERATIPTASSSLSPLIRATGAS >Solyc03g098060.2.1 pep chromosome:SL3.0:3:61840012:61840885:-1 gene:Solyc03g098060.2 transcript:Solyc03g098060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGDKSNDIYRECLRNHAASLGSYATDGCGEFTLDDTNTSPGGSTSLNCAACGCHRNFHRKFSCGGSYSNNSSRDDREIIAAHDYRLATTEESPAVSERSGKKRFRTKFTGDQKEKMLAFAEKLGWTLQRKDEENETERFCREIGVSRKVFKVWMHNHKNNSSSVSSTVTDDFD >Solyc03g120410.2.1 pep chromosome:SL3.0:3:70294679:70301676:1 gene:Solyc03g120410.2 transcript:Solyc03g120410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETAKSANGLTETNNSGLNFNETELTLGLPGESRKQISGAKRGNSDGMELSLGSSTSGERRRDICEVNHSKNEISTGNKPPAKAQVIGWPPVRSYRKNVIEKCKYVKVAVDGAPYLRKVDLEMYDSYQKLLNALENMFTCLTICNSQSESKLMDLTNGVEYVPTYEDKDGDWMLVGDVPWKMFVDTCKRIRLMKSTEAIGLETVMSKDLHSDVEKSETPLKPFKIFVGYDPREDVAYEVCRYSLLKRSSIPLEITPIKQCELREQGLYWRERGKLESTEFSFSRFLTPYLADYEGWAMFVDCDFLYLGDIKELRDIVDDKYALMCVQHDYTPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNRGLTPEVVNAESGAFLHRFMWLEDEEIGEVPFVWNFLVGHNKVVEGDPATFPKAIHYTLGGPWFEAWKDCEFGDLWLKELEESKKAKEKVV >Solyc11g065930.2.1 pep chromosome:SL3.0:11:51808589:51820848:-1 gene:Solyc11g065930.2 transcript:Solyc11g065930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPEFLLSHHAINACLAPLYSVEGMHVITVEGIGSNKAGLHPIQESLARLHSSQCGFCTPGFVMSMYALLRSSKEPPSEEQIEENLAGNLCRCTGYRPIIEAFRVFAKTNNALYTKTSLQGISTGEFICPSTGKPCSCGPQAGSSEKESIEKNLRNGCGWKPFHNETDGTTYTSKELIFPPELLLRKLTYLSLSGSNGLKWHRPLKLQHVLDLKARFPDAKLVVGNTEVGIEVRLKRILCPVLISVAHVPELNHIRVEDSSLEIGAAVKLSLLVDVLKKVRDERPEYETSSCRALIDQIKWFGGTQIRNVASVGGNICTASPISDLNPLWIAAGAKFCIMDCEGNVRTCLAKNFFKGDSKVDLGSSEILLSVSLPWNRPFEFVKEFKQSHRRDDDFAIVNAGMRVCLEEKHRKWVVSDALIVYGGVAPFSFAASKTSHFLVGKNWNKELLHGALKILGEEIALKEDAPGGMVEFRKSLTFSFFFKFFLWVCHQMDGQPSFIEKVPASHISAVNSSLQPYISSVQDFEIKKQGNSVGSPEAHLSSRLQVSGEAEYLDDGSTPPNCLHAALVLSEKPHARILSIDDSGAKSSTGFAGIFLAKDVPSNNKIGAIVADEEVFATEFVTCVGQVIGVVVADTHENAKLAARKVQVEYEELPAVFSIEDAIQANSYHPNAERRLNMGDVEQCFQSGQCDHIIEGEVRVGGQEHFYLEPQGTLIWTVDSGNEVHMLSSTQAPQKHQEYVSHVLGLPMSKVVCKTKRIGGGFGGKESRSAFLAAAVAVPSYLLDRPVKLILDRDIDMKITGQRHSFFGKYKVGFTNEGKVLAFDLRIYNNGGNSLDLSLTVLEGAMLQANNAYDIPNMRVYGNVCFTNLPSNTAFRGFGAPQVMLMVENWIERIGLKVNKIPEEIREMNFVSKGSILYYGQKVEDCNLGRVWNELKSSCDFINAQKEVENFNRHNRWKKRGIAMVPTNLGISFTTKFVNQAGALIQVYTDGTVLVTHGGVEMGQGLHTKLAQIAASSFNIPLNAVFISETSTDKVPNASATAASVSSDLYGAAVLDACEQLKARMEPIASKNNFSSFAELVSACYMERIDLSAHGFFVTPDIGFDWKSGKGNVFRYYTSGASFSEVEIDTLTGDFHTRRADLLVDVGFSLNPAIDVGQVEGGFLQGLGWFALEELKWGDKAHKWISPGYLFTSGPGSYKIPTLNDVPSKFNVSLLKNAPNAKAIHFSKAVGEPPFFLASSVFFAIKNAIKSARMEAGYNDWFPLDNPATPERIRMACTDEFTRLVVDSDFRPKLSV >Solyc10g050840.2.1 pep chromosome:SL3.0:10:50830938:50838786:1 gene:Solyc10g050840.2 transcript:Solyc10g050840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEKNRAPYGSWKSPITADIVSGSDKRLEGFTVDSHGHLIWLETRPAESGRAVLVKQSGEDITPKNFNVRNTVHEYGGGSFGVSGDVLVFSNFDDQRLYKQILTSSDLGPVPITPDYVGPHVRYADGVFDSRLNRYIAVREDHRGVDAKPTNEIVSVTLNDNTTEEPKVLISGNEFYAFPRVDVKGERLAWIEWSHPNMHWDKSELWVGYISESGLLTIGLINLRRDIEKRVVVAGGCPTLVESPTEPLWSSKGRISSYILLFTPHSQKQNNCHLQYDFDYLKQPPLIIAGELFFITDRNTGFWNIYKWVEDQNEVLAIYSLNAEFTRPFWVFGNRCYDIIERKEESTIIAQHGKSYLGVINQAKNSFCSVDIPFTYISNIVASGQILYIEGASSVLPTSLAKVILDDHMSNVVDFSIMWSSSSDIDKYIPYFSHPEFIEFPTDVAGQNAYAYFYPPCNPEYNGGPTDEARGTLNLSIQYWTSRGWAFVDVNYGGSTGYGRAYRERLLGKWGIVDINDCCSCAKYLEDLLIKVDEGKVDGKRLCITGCSAGGYTTLASLAFRDTFRAGASLFGIADLKSLSDHMHKFESHYIINLAGNEIALNERSPINFVDRFSCPIIVFQGLEDTVVQPEQARKIYQALKKKGLPVALVEYEGESHGFRKAESIKYTLEQQMVFFARTVGHFAVADDITPTHIDNFD >Solyc08g061105.1.1 pep chromosome:SL3.0:8:46741042:46741649:-1 gene:Solyc08g061105.1 transcript:Solyc08g061105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDTAEKFENAFERFDLYDGNFNSFLALMFVKMEVSQDICELDAYLKLCIASDDLDLSKMTSRKKEKFKKYWGTPEKMNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNMKVEAYLRDLFEIYVSKYGKGSKSQPSSSDSSDSSASGISQKCPKIL >Solyc04g040190.1.1.1 pep chromosome:SL3.0:4:11950517:11952019:1 gene:Solyc04g040190.1 transcript:Solyc04g040190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LCY1 description:Beta-lycopene cyclase [Source:UniProtKB/TrEMBL;Acc:A6YS01] MDTLLKTPNNLEFLNPHHGFAVKASTFRSEKHHNFGSRKFCETLGRSVCVKGSSSALLELVPETKKENLDFELPMYDPSKGVVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPNPKLIWPNNYGVWVDEFEAMDLLDCLDATWSGAAVYIDDNTAKDLHRPYGRVNRKQLKSKMMQKCIMNGVKFHQAKVIKVIHEESKSMLICNDGITIQATVVLDATGFSRSLVQYDKPYNPGYQVAYGILAEVEEHPFDVNKMVFMDWRDSHLKNNTDLKERNSRIPTFLYAMPFSSNRIFLEETSLVARPGLRIDDIQERMVARLNHLGIKVKSIEEDEHCLIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPVVANAIIQYLGSERSHSGNELSTAVWKDLWPIERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPRYWHGFLSSRLFLPELIVFGLSLFSHASNTSRFEIMTKGTVPLVNMINNLLQDKE >Solyc02g082767.1.1 pep chromosome:SL3.0:2:46979843:46980844:1 gene:Solyc02g082767.1 transcript:Solyc02g082767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPNSDSSVRSEVQVGQLMALLLIAVCVNCAFSSSSYKSDCWSGSGWDDQSFRARHRRGQNFCWRFGGKSLINQLDILDEDDHLMDFVVQTKNYGSDQPLLSRPSPLPLDPLLEPSPLPLDPWLETSFQDVILTSLKMGLELLLNMKLFSSIILERTEHSLLVPAINYLEKEDCLFPNPAKFDLNFYAFSRKDIASIGGHDPWDVLVQVLLNSIMMEMI >Solyc12g096580.2.1 pep chromosome:SL3.0:12:66415937:66422381:1 gene:Solyc12g096580.2 transcript:Solyc12g096580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4DHD1] MYQVGIHHDGDSLEHPPSLADGGAHVSVDTVGEDGKGGQTPEGKRKTELPSKFPFLNISRNLVLAYQSLGVVYGDLSTSPLYVYRSVFDGKLQDHQSPETIFGAFSLIFWTITLIPLLKYVFIVLCADDNGEGGSFALYSLLCRHAKFSLLPNQQAADEELSSYKYGFSGRSTAGFQLKRFLEKHKKSRTVLLVIVLLAACMVIGDGVLTPAMSVISSMSGIQAAADRLTHDEVVFLSCIILVGLFALQHSGTHRVGFLFAPIVLIWLISIMIIGLYNTIIWNPKIVSAFSPYYIIKFFRDTGKDGWISLGGILLSVAGTEAMYADLGHFSAFSMRITFAFVVYPCLVIQYMGQAAFLSKNLDSIPNSFYSSIPDGVYWPVFVIATLAAIVGSQSIITATFSIVKQCNSLGCFPRVKIVHTSKHKGQIYVPEINWILMILTLAVAIGFQDTTLIGNAYGLACMTVMFITTFLMTLVIIFVWQRSLVFAAAFLLFFWFIEGLYLSSAAIKAPQGGWVSLLLSFILLAIMLVWHYGTCKKYKYDLHNKVPLKWILGLGPSLGIVRVPGIGLIYSELVTGVPPIFSHFVTNLPAFHNVMVFVCVKSVPVPHVSSDERFLIGRVGPRSYRMYRCIVRYGYKDAQQGTGNFEDLLIQSLAEFIQMEAVEPQLSSPDSSSLDGRMAVISTNLQSHSPFIIDDDDFETCSTIQSSKSLTLQSVRSFYDDGNHENRKRRIRFNLPENSGMDPEVRDELIDLVQAKESGVAYIMGHSYVKARRLSSCWKKFVIDVAYSFLRKNCRASAVALNIPHISLIEVGMIYYV >Solyc06g064815.1.1 pep chromosome:SL3.0:6:40502216:40503341:-1 gene:Solyc06g064815.1 transcript:Solyc06g064815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTTAPTTPSLKQIHDSKSSRNTGYPAMSYRTDEKISSRIKKIPFRRDLTPNVYRIQNCPEYQRYKQRTKRTQHYSLQRLNESFSGPYELFLPVLSREISVHLLFLEDLPK >Solyc04g024600.1.1.1 pep chromosome:SL3.0:4:29836711:29837136:1 gene:Solyc04g024600.1 transcript:Solyc04g024600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNSFSRCLLCRCLYKEGKFCNIPKSFIISVESMIVNLMKSEIIKIDMLVSLWHIMMVNWCRLILYVVEFPSRPNCEKRKWTVLGETTLEIFVLKNIPLGKELTINYYFEWYAGATVRCLCGGAKCCIFLVAKSQSFMVT >Solyc01g109930.3.1 pep chromosome:SL3.0:1:96676086:96682598:1 gene:Solyc01g109930.3 transcript:Solyc01g109930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLSQSHFLSLYPRNSSPLSSSFSAFSGGCNTRKIVSGSLAFQHSGIHSFPISHGIKLASPVMTSNCVLPSNQAQIVDFGVEDSELGKFAAVGNKIADAAGEVIRKYFRKSFDILDKEDLSPVTIADQAAEESMVKIIQENFPSHAIYGEEKGWRCQEETAEYVWVLDPIDGTKSFITGKPVFGTLIALLYKGKPLSLDVRRVPAYDTLGNLLLYYATILGVIDQPVLRERWIGLSGRRTTLNGQEISTRNCSSLSKAYISTYQGYQYVLHLLSHFRSFKFRYTTSPHLFEGDAEIAFARVRSKVKVPLYGCDCYAYALLASGFVDLVVESGLKPYDFLSLVPVIEGAGGTITDWKGQHFNWKASAGSPATSFNVVAAGDKEVHQEALDALQWR >Solyc12g010500.2.1 pep chromosome:SL3.0:12:3509182:3511367:1 gene:Solyc12g010500.2 transcript:Solyc12g010500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEQRKPTTRRTPLSQIDEERVCIMLSMDETESESEDEEITASDEEFLDSEIQDFELEFYDDDYDDDNMEEDDVDPDELSYEELIALGEFVGVENRGLTEEEINKSLHSSTFQSNNSKTLVNKCVVCQLEYEEGEKLVALPCDHPYHLDCIKKWLQIKKVCPICSDEVSSTNVPKEL >Solyc06g048897.1.1.1 pep chromosome:SL3.0:6:31998314:31998835:-1 gene:Solyc06g048897.1 transcript:Solyc06g048897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNLDINDVLEEIPSCQQVKDNIKIETRKLYDLYNANINLSIENEPQSSRSRFDEDNIDAYLYDYLELSHDNRNDFDAYVNQNVEPTEDILAWWRNRGKGFPKLQPMARDILAMQASSVASEGVFSAARFQLGEHRHSLAADNLEISLLFRDLINAERRNLGRELLPTKFQD >Solyc03g115090.1.1.1 pep chromosome:SL3.0:3:66389294:66389734:-1 gene:Solyc03g115090.1 transcript:Solyc03g115090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKTILNMISSFFKRGNKKEEACVDTTSGVSADTTSSIACVDDTTGSIACADDTTDSIETLKDDEEEEDEWILLLVERRANVQQISIFLIVLGIVLICFNYSDVVFTIFGKESGYIVSRTLNLGAAFVITVTGLIVWDNTGEN >Solyc09g059220.1.1.1 pep chromosome:SL3.0:9:54016249:54016473:-1 gene:Solyc09g059220.1 transcript:Solyc09g059220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERWVGGMEYNKNEWIREWGASMENNFRLSSRNLELVGIFGISTPILVYKGIIKEFHLHDYEWGKPHTKFVT >Solyc11g039485.1.1 pep chromosome:SL3.0:11:44710542:44711779:1 gene:Solyc11g039485.1 transcript:Solyc11g039485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEESLAFKGQGTWSPVPADPQAKPVGSKWTLMIDIFSLLFKLFAHLLEFFQKYISEILNRANMVEDNPMRTPMEGGYFPISSDDSLLDDPKEYKSEDYNIFTFVDRMLPLLQENCSVYKFSDDYALDNGNRENRYSTKAYIVLIGSNLISWSSKKQRVVARSSTEGEYWDIALASSEIFWVRDFLKELSVVLKELP >Solyc01g020150.3.1.1 pep chromosome:SL3.0:1:27722864:27723103:1 gene:Solyc01g020150.3 transcript:Solyc01g020150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKLEWAGFVLNSILVWKDAEDKPEWVKDLDDVIGRQGRC >Solyc03g123550.1.1.1 pep chromosome:SL3.0:3:71871025:71871369:-1 gene:Solyc03g123550.1 transcript:Solyc03g123550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKASKLSKLIGIGMVGKRLKLSGAAVMTPRGYVPVAVGVNLNESKRFMVHTTALYDAEFLEMLSRSAEEYGFHNQGILRIPYETNAFEERMFNATTCAGGSNRVTPKIRASD >Solyc06g066795.1.1 pep chromosome:SL3.0:6:42101239:42102393:-1 gene:Solyc06g066795.1 transcript:Solyc06g066795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVFYSCCLNVRVQNRLLLYANDNGGWIKENDGWTFTMTPFPLFTFTEKRLCLVLTIGAFTCLDFHIPRIVLYSVQRHNMFPARKEKL >Solyc09g011920.3.1 pep chromosome:SL3.0:9:5178946:5184323:1 gene:Solyc09g011920.3 transcript:Solyc09g011920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative uncoupling protein [Source:UniProtKB/TrEMBL;Acc:Q8SA58] MGGGDHGGKSDISFAGIFASSAFAACFAEACTLPLDTAKVRLQLQKKAVEGDGLGLPKYRGLLGTVGTIAKEEGVASLWKGIVPGLHRQCIYGGLRIGMYEPVKNLYVGKDHVGDVPLSKKILAALTTGALGITVANPTDLVKVRLQAEGKLPAGVPRRYSGALNAYSTIVKQEGVRALWTGLGPNIGRNAIINAAELASYDQVKEAVLRIPGFTDNVVTHLIAGLGAGFFAVCIGSPVDVVKSRMMGDSAYKNTLDCFVKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVKNLESP >Solyc08g065245.1.1 pep chromosome:SL3.0:8:53203425:53207666:-1 gene:Solyc08g065245.1 transcript:Solyc08g065245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSSTYTDTRGTEYNLRIGAQPRYILTTAKVTTIRPPETAPKNGLRGSGMGPADPNKLTGRPTKRAKASPVANKREQYSTKSPEAHEKGKESERALNSLTGCWSSGTGHSVSGKGLLFLKDEKGITIHKTVFTMYISTTKGMEMPLTWRYLLVSELVALSPHFEWTNCFQSRVVLSAPLHLQDFCNQFSVALAL >Solyc10g084340.2.1 pep chromosome:SL3.0:10:64051146:64055505:-1 gene:Solyc10g084340.2 transcript:Solyc10g084340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLNLSFDDVSVEPISVLASEKVDEMLFNQMENSGTSNSSIVNMETSSTAADDEYFSPDHHRDGYAFDILKSDREAGDRGGFVVKELFPLVNGEVGLDLSANYGDLNEQRIGKTPQQILQAKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNISDYEEDLKQMKNFSKEEFVHILRRQSNGFSRGSSKYRGVTLHKCGRWEARMGQLLGKKYIYLGLFDNEIEAARAYDKAAIKCNGREAVTNFEPNTYEEALSSEADTGGTDHNIDLNLGISLSSYAENQHGNTSQIGNFQCQHGSNGLPEHHGEVRTPASTTLKSKLLLHGEHTLTQNPLHWNGSNGKGSAIEKGSEVDTSLKWMGHDQNTYDGSPTLPLFSTAASSGFGNSANTAPSAASHQLHFGSGALPFPHSPSLTNMNLSHHYCRS >Solyc06g034210.1.1.1 pep chromosome:SL3.0:6:24036665:24036913:-1 gene:Solyc06g034210.1 transcript:Solyc06g034210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQCMVVNYLDILLLFVKSMCLLFLRSWWNNASNNSLTKFRPRKKRGGGRPFPEIGRTLSRDKEDLIVKIEYLCLLTIYIF >Solyc03g078810.3.1 pep chromosome:SL3.0:3:52916565:52921145:-1 gene:Solyc03g078810.3 transcript:Solyc03g078810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIYMSKNLSMEDEGIKGKRLLFFPLPLQGHITPILQLANILHLKGFSITIILADNFSTTFDNIRANYPHFTFHTIFDGLSEDDKAKTDIDALFLLSLFNQRCVSHFTEALRELVLEDSNIVCLLSDSILHFTKAVADSFKLPRLVLRTGGVCSLLVFAAIPLLINKAYLPLQGMESVQEEAVEELPPYRVKDLPLFKTCYVDALYQVIEGMIRETKASSGLILNSFEQLEQFSISKLRGDFPIPIFPIGPFHSHFPASSSSLMSQDQTSISWLDTKPPKSVIYVSFGSIAAIEINEFLEVAWGLANSSHPFLWVVRPGLIRGSEWIELLPREFLERVDGRGHIVKWAPQQQVLAHPAVGAFWTHSGWNSTLESICEGVPMICMPCFGDQMVNARHVSHVWKVGVQLENGLKRTNIENALRTLMEDEEGKQIKERMLALKEKANLCLKPGGSSYESLRSLTKLFVNDCSCKTEATFVARVIQINGQDKYAISTQQFNPSQQKFGSADSVPSSKPLQQIYYSLADQQIIGTPSTILSNVEFHPE >Solyc02g092210.1.1.1 pep chromosome:SL3.0:2:54002258:54003589:1 gene:Solyc02g092210.1 transcript:Solyc02g092210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNVFRAKQQSSSPRDKSLFAAVIVAVLLVCAIWSFTDPLPNLSGLLYNQNISSPEYCPPGREAVDRSSDPPEKTFYNDPELSYTIDKPIKNWDEKRVQWLQLHPSFAAGRVNRVLLLSGSQPTPCKNPRGDHLLLRFFKNKVDYCRIHGYDIFYGNTFFHPKMRSYWAKIPLVRAAMLAHPESEWILWVDSDAIFTDMDFKIPLHKYNDYNFIVHGWPDLIFKKKSWVAINAGIFLIRNCQWSMDFLDVWANMGPKSPEYKQWGKILRTTFKDKTFPESDDQSALSYLILKGEQKWRSKIHAITDYSLHGYWLGIVNRFENITANYMKIERDVPKLRRRHAEAVSHSYAAAWEPLLAEGADEKGGWRRPFITHFTGCQPCSGDHATEYVGDSCWVGMERALNFADNQVLRNFGFMHDDIKSNSPVSPLNFDFPAEDSEEFV >Solyc11g030570.1.1.1 pep chromosome:SL3.0:11:22056650:22056841:1 gene:Solyc11g030570.1 transcript:Solyc11g030570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVYIWLPEVHVEAPTAGSVILARIALKLGTYGFLRFSVPMFPEATLCSTPFIYTLSAIAII >Solyc04g056270.3.1 pep chromosome:SL3.0:4:53863355:53874119:-1 gene:Solyc04g056270.3 transcript:Solyc04g056270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRRYGLNAQLDIEQILLEAQHRWLRPAEICEILKNYQKFRIAPEPPNRPPSGSLFLFDRKVLRYFRKDGHSWRKKRDGKTVKEAHERLKAGSIDVLHCYYAHGEENENFQRRSYWMLEEEMSHIVLVHYREVKGNRTNFSRIREPQQVTPDLQETDEDVHSSEVDSSASAKFYPNDYQVNSQVTDTTSFSSAQASEYEDAESVYNQHPTSGFHSFLDAQPSAGDGLAVPYHPIPFSNDQVQFAGSSGTSFSSIPPGNGNTSTANTYVPSRNLDFASWGTISVNNPAAYQSLHFQPSGQSSANNMMHEQGNTTMGQICSNDFTRQEHENHIDGLGNWQTSEVDSSFISKWSMDQKLNPDLTSGQTIGSSGVYGVEHHNSLEASQLLPAQQDKHPIQNELQSQLSDANIGGSLNADLDHNLSLGVKTDYSALKQPLLDGVLKREGLKKLDSFDRWISKELGDVSESHMQSNSSSYWDNVGDEDGVGNSTIASQVQLDTYVLSPSLAQDQIFSIIDFSPNWAFSGSEIKVLITGRFLKSQQEVENCSWACMFGELEVPAEVIADGVLRCHTPVQKAGRVPFYITCSNRLACSEVREFEFRVTEGQDVVANPNSCSSSESLLHMRFGKLLSLESFVSQTSPPISEDNVSYISSKINSLLRDDDNEWEEMLHLTNENNFMAEKVKDQLLQKLLKEKLHVWLLQKVAEGGKGPNILDEGGQGVLHFAAALGYDWAVPPTIAAGVSVNFRDVNGWTALHWAASYGRERTVGFLISLGAATGALTDPTPKHPSGRTPADLASSNGHKGIAGYLAESSLSSHLFSLELKEKKQGENEQAFGEAVQTVSERTATPAWDGDWSHGVSLKDSLAAVRNATQAAARIHQVFRVQSFQRKQLKEYGGSEFGLSDERALSLLAMKTNRAGQHDEPHAAAVRIQNKFRSWKGRRDFLLIRQRIIKIQAHVRGHQVRNKYKNIIWSVGILEKVILRWRRKGSGLRGFKPEAPTEGSNMQDQPVQEDDYDFLKEGRKQTEERLQKALERVKSMVQYPEARDQYRRLLNVVSDMQEPNSTAASYNSAEAVDFNDDLIDLGDLLDDDTFMPTAP >Solyc07g063040.3.1 pep chromosome:SL3.0:7:65763233:65769297:1 gene:Solyc07g063040.3 transcript:Solyc07g063040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable bifunctional methylthioribulose-1-phosphate dehydratase/enolase-phosphatase E1 [Source:UniProtKB/TrEMBL;Acc:K4CGY7] MAAANGALKMATTSQAYLEGDKVKETKSLIAELCRHFYNQGWVSGTGGSITIKVHDESVPKSEQLIVMSPSGVQKERMVDEDMYIMSSDGSILSAPVAKPTPHKPPKCSDCAPLFMKAYLMRNAGAVIHSHGMESCLVTMLNPLAKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYERELTESLAEAIKAYPKTTAVLVRNHGIYVWGDSWISAKTQSECYHYLFDAAIKLHQLGIDWTTPAHGPIQNAKISALAPNGSIKPSRRCIVLDIEGTTTPISFVTEVLFPYARNNVGRHLDATYDSAETQQDIKLLRAQVQQDLENGVAGAVCIPADDAGKMEVIAALVANVEAMIKADRKITALKELQGHIWQTGFQNNELEGLVFDDVPSALEKWTALGVKVYIYSSGSRLAQRLLFGHTKHGDLRKFLYGFFDTTVGNKRETKSYAEITASLGVDNPSEILFVTDVYQEATAAKAAGLEVIISIRPGNGPLPDSHEFRTVKSFSEI >Solyc01g091250.3.1 pep chromosome:SL3.0:1:84792148:84793585:-1 gene:Solyc01g091250.3 transcript:Solyc01g091250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWSTENATNAFLTTLKMGERGKAPDVTEFISAMAGGNNSQLMVMACTGHPGSALLGLVAAAHQTGGRVVCILRSDEEMHAIKETLILEDYAKIVEFVIGDDVKTLLASNYEGADFVLIDCKLEDFQQVFEAAQQGVSVKSAFIVGYNALHERHKLSFDHKGYFLPIGEGLLVSKIRVLEGKNSGVGRRSHWVVEVDECTGEQHLYRVSTSPNTN >Solyc09g092150.1.1.1 pep chromosome:SL3.0:9:71773076:71774686:1 gene:Solyc09g092150.1 transcript:Solyc09g092150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKFGFLTVNGLEDMFIAVLKNCKSSIFLKKIHAQIVKFSLTQSSYLVTKMVEICDKIGEIEYANLLFKQVEHPNNYLYNSIIRAYTHKHRYISCINVYKQMMTCAISPDEYTYPFVIRSCSAILRVDVGEQFHVHVCKFGLYCSNVIANSLLDMYVKCDRMRDAHMVFDEMSDRDVISWNSLICGHVRLRQVRKARALFDVMPDKSIVSWTAMISGYTKTGCYGDALDVFRRMQMVGVKPDWISLVSVLPACAQLGALELGKWIHFYADKYGYLRKTSVCNALMEMYAKCGSVNEAWQLFNQMSERDVISWSTMIGGLANHGRAQEALKLFHEMQRSAVEPNEITFVGLLCACAHAGLCDDGLRYFDSMKNDYNIEPGIEHYGCLVDILGRTGRLERALAIIKTMPVKPDSAIWGSLLSSCRTHRNLEIAVIAMEHLLELEPEDTGNYILLANIYADLGKWDGVSRMRKFIRSKSMKKTPGCSLIEINSLVQEFLSGDNSKPFSKDIHEVLELLALHQSKENDLVDTTLEYLSP >Solyc05g016120.2.1 pep chromosome:SL3.0:5:14612729:14613849:-1 gene:Solyc05g016120.2 transcript:Solyc05g016120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIWIELITGSRKISNFCWALILFICSLGFLLVGTSSYLGSGYDRFDRKKGIVCIFHWGFPGKNRRIFLYPYALQRYKMQIASTENCLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPMWEAASVDEWLYNGGPYELIVLHLLLGVACYMGHEWELSFCLGMRPWIAVAYSAPVAAATAVFLILYIVLITFVINL >Solyc06g065640.3.1 pep chromosome:SL3.0:6:41152450:41164366:1 gene:Solyc06g065640.3 transcript:Solyc06g065640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQIMKAREQQQQQQQSQQPQHLQQQQQQQQQQQQQQQLQMQQILLQRQQQQQQQQQQHQQQQQQQQQQQQAQQQQQRRDGNHLLNGTTNGIGGSDSLLRQNTGTANALATKMYEERLDDAAMKQRFSENVNQLLDPNHASVLKSAAAASAGQPSGQMLHGTAGSMSPQVQARSQQLPGSTPDIKTEINPMLNPRAAGPEGSLIGIPGSNQAGNNLTLKGWPLTGYDQLRSSGLLQQPKSFMQGSQPFHQLQMLSPQHQQQLILAQQNLTSTSANDVESRRLRMLLSNRNSSMGKDGLSNSVGDVVPNMSSPSQGPCPVLPRGDQDILLKLRMAQFQQQQQQQQQQHSGNPQQSQQQQLPQHSLSGQQPQSSNHSLQQDKIMGSGTGDGSMSNSFRGNDQASKNQTGRKRKHPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPVLQHSGSSSKPLMMFGADNNGTLTSPSNQLADMDRFEVDGSLEDNVESFLSQDEVDPRDAVGRGMDVSKVAGFSFNEVNAVRASSSKVVCCHFSSDGKLLASGGHDKKAVLWYTDTLKQKTTLEEHSSLITDVRFSPSMARLATSSFDKTVRVWDADNPGYSLRTFTGHSAGVMSLDFHPNKEDLICSCDGDGEIRYWSINNGSCTRVFKGGTAQVRFQPRIGRYLAAAAENVVSILDVETQACRHSLKGHTKPIHSVCWDPSGELLASVSEDSVRVWTLRSGSEGDCLHELSSNGNKFHSCVFHPAYSSLLVIGCYQSLELWNMNENKTMTLTGHEGLIASLAVSGVAGLVASASHDKFVKLWK >Solyc01g104180.2.1.1 pep chromosome:SL3.0:1:92532511:92533608:-1 gene:Solyc01g104180.2 transcript:Solyc01g104180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTSISSLLYHKKIMKDLDTNIVHYWLVDHPIISQFEFKHGQTFGATLLFPIFSILIYLSFTLLCLRFPSFLPIVSTTTLRCITATHSLILCILSLIMVVGCGVSVIQEMTANIHDWNWIICYNRLNYENALLQGPIVFWSYVFYISKILEFLDTLLILLSRSRSRRLSFLHVYHHALVPLFCYFGVSSGQSMWHVGVITNGSVHVLMYAYYFLSAIGKRPKWKKLVTNVQIGQFMFCFVCFGAVIYYHLTSEFGCSGIDIWFYTICFNVSLLALFLNFYFKTYAKNNSKKTS >Solyc11g069710.2.1 pep chromosome:SL3.0:11:54605277:54615523:-1 gene:Solyc11g069710.2 transcript:Solyc11g069710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQSQSDHYRSSSSSASSPASRVPSSNFFYLRKPGSLRQPISFEDSPDWEDTDIEVRVDEGGDSINAATTPASPSLSKLNSGSLPSPPLPDGAVITRKIAGASIAWKDLTVTIKGKRKYSDKVVKSSNGYALPGTMTVIMGPAKSGKSTLLRSLAGRLPDSARMYGEVFVNGTKRSMPYGSYGFVDRETTLIGTLTVREFLYYSALLQLPGFLCQKRSVVEDAIDSMSLGDYANKLIGGHCYMKGLRSGERRRVSIARELVMRPHILFIDEPLYRLDSVSALLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTEFDRIIAMCKSWQDDHGDLSAVNMDTAVAIRTLEATYKSSADAVALETMIVKLTEKEGPSLKSKGMVGNLTRVAVLTWRSLLIMSREWKYYWLRLILYMLLALCIGTVFSGLGHTLSSVVTRVAAIFVFVSFTSLLSIAGVPAQMKEIKIYACEESNQHSGAFIFLLGQLFASIPFLFLISISSSLVFYFLVGLRDEFSMLMYFVLNFFACLLVNEGLVLAVTSIWQDIFWSVLIFVSIQVIMMLSAGFLRIRSSLPGPVWMYPISYIAFHTYSIQGLLENEYNETSFAVGQVRTISGNQALQNVYDISADSNSKWKNLLVLFLMAVAYRVLVFVLLKFYVRKSLFVPKLFLCNQNTKNSR >Solyc12g009910.1.1 pep chromosome:SL3.0:12:3077826:3079221:1 gene:Solyc12g009910.1 transcript:Solyc12g009910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DC79] MSTNSSNNVVHLDEVIVAMVPFPDFSHLNQLYVVARFITSHNIPVHFICLADRNQDLKHRVQGGLSASNIHFHDLLVPSSLDAGNGLPSIVIFMKKLVEPIRRTCIDISTNAKRLVIIHDSIMMDHIRDVHSLIPNVESYKFHAISTFPRVRKEHEWKLNSGNIINSCREVESKYVDLLAHTTDRQYWAVGPVHMLLESRDSSNMTKNECIEFLDKQDVNSVIYVSFGTTTTLTQEQVNELAFGLEQSNRNFIWVLRQADKKMETENFEENDEKIELPKGFEDRVDGRGLVVKNWAPQLEILGHTSSSGFLSHCGWNSCLESISMGVPLAAWPINYDQPFNAVFVTNLLKIATSVRSWARREELVTASTIEKAVNKLMGTTEGIEMRQRAVELSNKIRNSVSRGGDARKEIGSFISCITK >Solyc03g121590.3.1 pep chromosome:SL3.0:3:71158424:71184330:1 gene:Solyc03g121590.3 transcript:Solyc03g121590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDNKDECCSTHLIDGDGMFNVAGVENFMKEVKLAECGLSYAVVSIMGPQSSGFLIYPDCLTALIILMFLLEPMLLYGFPIVTKFNRGNAGKSTLLNHLFHTNFREMDAYKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQTPLENLEPVLREDIQKIWDSVPKPQAHKDTPLSEFFNVSHSKISSAVTISLSVYIYAHRFGELNLQVEVVALSSFEEKEEQFKEQVASLRQRFFHSIAPGGLAGDRRAVVPASGFSFSSQQIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYVSFTENEEWSQLEEAVNSHSVRGFGRKVSSILDACLSEYDVEATFFDEGVRSSKRKHLEEKLLQLVQPAYQSMLGHIRSDAFERFKEAFEKSLKGGKGFALAARECAESFMSHFDEECSDAIIDQAKWDSSRVKDKLRRDVDAHIAEVRSAKLAEVTTLYETKLNEALAGPVEALLDGAGDDTWPAIRKLLQRETDTAVSGFAAALSGFEMDEESRDNMVLRLKDYARGVVEAKAKEEAGRVLSRMKDRFSTLFSHDQDSMPRIWTGKEDIRAITKTARSASLKLLSVMAAVRLEDESDSIDKVLIVALVDGKAGASSSKSITSVDPLASSTWDEEASKRNNNWLPPPWAIAAMVILGFNEFMTLLRNPLYLGFIFVAYLLFKALWVQMDISGEFRNGVLPGLLSLSTKFLPTIMNLLKRLAEEGQGVANGQPQANPALSSKSFRGSTNDHGDVSTSGTSEVTSENGTEYSSSSLHDKAQARILGGGTCINAGFYTRAGPSYIKKAGWDSKLVNESYPWVEKQIVYRPNLAPWQEAVRESLLEIGISPFNGFTYDHIYGTKVGGTIFDRFGRRHSAAELLASANPNKLDVLVHATVQKIDFDTSGKKPRAVGVIFKDENGKEHKAFLSTRKGSEIIVSSGAIGSPHILMLSGIGPKAELEKFNIPVVLDNKFVGQGMSDNPLNTIFVPTNRPVEQSLIQTVGITKKGVYIEASSGFGQSGDSISCHHGVASAEIGQLSTIPPKQRTVEAIEAYRKSKKNIPHEAFKGGFILEKIALPLSRGNISLVTTNPDDNPSITFNYFSHPRDLKRCVDGIRIVEKIAKSKHFTNFTQCNKETLDRLLNMSVQANVNLIPKHTHNTESLEQFCKDTVITIWHYHGGCQVGKVIAPNHKVLGVHRLRVIDGSTFKESPGTNPQATVMMMGRYMGVKILRERLGKAAGF >Solyc07g041680.2.1.1 pep chromosome:SL3.0:7:54096436:54096783:1 gene:Solyc07g041680.2 transcript:Solyc07g041680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKGDIEAWVQIDTNSNPNTKIAINNYQIIDKIEKRVFFYLTTHQNPEKTHKNSKKVFFDWMGMNEKIFNRPILNLEFWFFPEFVLLYNVYKIKPWIIPSKFLLLNLNIYEVENKL >Solyc05g054070.3.1 pep chromosome:SL3.0:5:64898896:64900473:-1 gene:Solyc05g054070.3 transcript:Solyc05g054070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKTIRNPELIRGVGKFSRSKMYHKKGLWAIKKKNGGKLPLHEKKPAVAVAAVKPPKFYPADDVKKPLVNKHKPKPTKLRASITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKLNGVPLRRVNQAYVIGTSTKVDVSGVNVEKIDDKYFAKQVEKKQKKGEGEFFEDKKEEKNVLPQEKKDEQKTVDSALLKAIEGIPELKAYLSARFSLKSGMKPHELVF >Solyc07g053040.2.1 pep chromosome:SL3.0:7:61620967:61623758:-1 gene:Solyc07g053040.2 transcript:Solyc07g053040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKIILMETRSVKKKRLLHEIYASFSCGEDRISDLPDAVIHHILFFLPIKSIAKLSVLSKRWRHIWHTFPDLDFTTINVPSTSSSSGYYNLTSKVSSYVRNNYKKFHANCTSSGGADFIDQVLSFREKNSGIRVLRFHANLSFSRLNALIRGAVKHNVQELDVEVATNDYFNFPRSAITSESLRVFNLKSKYPGFRLPPSSIMKNGFKNLFSLSLSCLIMYEQPSLRDLFTETSFPLLKKLSLDGCTGLTYLHVSCRVLANLNLENCFQLENLDIMCPKLEKLSVKSCFDSYTSGTEVKIVGPRLKSIVWSNNTITDQSCLEDLTCLIEAFVGFFVLLEDIGTMKLRSVNDFLSGLSHSHSLVLENPSVEILSKNNHLAGISLRPFSELKSLELHTGFHKHNIPGLANLFRSSPATNTLILNITNEHNIQRRKWNRDLWQLSNSGEERFWESQSNAMNSFLQHLKVVKIHGFSECESDVSLVKFLLKHGKVLQEMFLCVAELSKSRDSLHREKIKSQIMGFSKASCNAKIVFK >Solyc02g090020.3.1 pep chromosome:SL3.0:2:52331638:52335470:1 gene:Solyc02g090020.3 transcript:Solyc02g090020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLLCLLSRICRENLVAFGVLALLDRLMQVPQRLYSLDELKLNGIEAISLLSPVDATLGAIERNLQIAAILSGSAAWYALDLSPQQILFVSLGVLFLWTLDLVSFNGGVGTLVLDTIGHTFSQKYHNRVIQHEAGHFLIAYLLGILPKGYTLTSLDALKKEGSLNIQAGTAFVDLEFIEEVNRGKVTATVLISVIIITCSN >Solyc01g108040.1.1 pep chromosome:SL3.0:1:95312585:95313440:1 gene:Solyc01g108040.1 transcript:Solyc01g108040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGWLHLNYCVNMQFLFEVLIQSQIARLMEPNFQCTRFIYDELVKMGLHKYFTSKYYNFISGNEADEMAPQQVKSSRVAAPNLWQKDGVDLEKNQPQKAV >Solyc03g097355.1.1 pep chromosome:SL3.0:3:61099487:61105244:-1 gene:Solyc03g097355.1 transcript:Solyc03g097355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGSSSSMAVGSSELAAEGALSSAAAAAVVAPSWRPSQGIFGPYLPGQQANANPQSLRVVVRRPASTSSKAVDSSDLAAEGTLSSSSAAAAAAVTSWRPSQRVFGPYLPVVQANANPQSLRVVVRRPLVARLTKDIVETYRICNPHFTYSEELNPKRFLTSPSAGVLNDGHDNANSDLILSVNLELVNLDTKKRYVVKDMLGHGTFGQVAKCWVEESNSFLAVKVIKNQPAYYQQALVEVSILTTLNKKYDPDDKHHIVRIHDYFVYRRHLCIAFELLDTNLYELIKLNHFRGLSLSIVQLFSKQILRGLALMKDAGIIHCDLKPENILLCTRQASLIPIEKPAEIKVIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYP >Solyc01g060070.3.1 pep chromosome:SL3.0:1:69461212:69464276:-1 gene:Solyc01g060070.3 transcript:Solyc01g060070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLECRSLLHGFDKGGLFDLGHPLLNRISESFVKAAGIGAVQAVAREAYFTASESTGGDTSSIPPEITGPKKNRFPDLRGETNRKSVEALVKSTGKESVQWGLAAGMYSGLTYGLKEARGVHDWKNSALAGAITGAALALTLEERSHEQVVQCAITGAAISTAANLLTGIF >Solyc04g082630.3.1 pep chromosome:SL3.0:4:66336693:66339731:-1 gene:Solyc04g082630.3 transcript:Solyc04g082630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BVZ0] MVGTNHILNHIYQKRSSFPQDHLVAAMASHAALASSRIPTSTRLPSNKNSYSFPTQCLSKKFEVAEFSGLRSSGCVTFSNRESSFFDVVSAQLTPKTTGSAPAKGETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVVVVNDSGGVKNASHLLKYDSMLGTFKADVKIVDNETISVDGKHIKVVSSRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEQDYSHEIANIISNASCTTNCLAPFVKVMDEELGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAADGPLKGILDVCDAPLVSVDFRCSDVSSTIDSSLTMVMGDDMVKVVAWYDNEWGYSQRVVDLAHLVASKWPGVAAPGSGDPLDEFCETNPSDEECKVYE >Solyc02g082545.1.1 pep chromosome:SL3.0:2:46774160:46777594:1 gene:Solyc02g082545.1 transcript:Solyc02g082545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRCLNDTTHTSDSVCNCISHSCRCYSFPTSSCNESSVKQSREVPVIFDSSSTNNTDVLNNYASLESLKDVYVDDIVLTGTDTIEITKLKMYLDKTFKIKDLGRLHYFLGMEILDTARGVLISQRKFVLDLLKEYECSNYTSLSSPLDPNVKLRANEGASLSDPTYYRKLVGKLNFLTNTRMDIAFSVQQLSQFMQDPRLPHLQAAFHLLRYIKQDPSLGVYLSRNFDCTMRAYCDSDWATCPDSRRSISGYLILLGDSPISWKSKKQETISLSSAEAEYRSLRKVVGELVYLKFPIRER >Solyc02g085260.1.1 pep chromosome:SL3.0:2:48861828:48862230:-1 gene:Solyc02g085260.1 transcript:Solyc02g085260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELEAGKGQDVSANAAVTSCGWKKSIDGGFMSNLKDFVNTPMADHKVCFKNTIDEKVENFRKQVHKLKRLGKFCDHSMAARRGESLAIRSDMTHAYVAFDQFY >Solyc12g036250.2.1 pep chromosome:SL3.0:12:45622930:45643346:-1 gene:Solyc12g036250.2 transcript:Solyc12g036250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNVSISWTEQVKWVSEMSSSRSPTRSQGERARSFDGEAKRMCWAKAETVPGRHPERWRKDSAGNILCKRLFNCQGCLCFEYDHIIPFSKGGESIAENCQILQTRVNRLKSNKDEIDVSRLKSYSCDIKFTVKELDVIEMAVYGDVLRPGKQCRCRTVAEMLGQYKSKDRTAPCKLPYADESL >Solyc10g081480.2.1 pep chromosome:SL3.0:10:62659879:62663474:-1 gene:Solyc10g081480.2 transcript:Solyc10g081480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLMAEQTDEAEVNQSTKQSQSFVEVICKCTGKTWRFAAGTEAKFALKFINSSSVDSIPADQPATYVEAVKDGEVPVIFGPNSILVSYGKGWKLQPVTETTKGFSRRMPDDYAAARPAYMPPVLDNSHSAKRKSQAAALSPIYIGKILLVFVLMFFVGALFTLLLDNLPQLLSRYGLDVE >Solyc07g017580.1.1.1 pep chromosome:SL3.0:7:7586596:7586943:1 gene:Solyc07g017580.1 transcript:Solyc07g017580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICRSSFELAGIPAKQKKSEEGKGKGNWFDAAVWNGCFSVVSGGFFTGKGRKTKGFGVWVSPEFGGCQWRLLMVCGGGLKVDARGLLGSVLLLEMVGKRRREEREAAGRERKGL >Solyc04g011470.2.1 pep chromosome:SL3.0:4:3911679:3913251:1 gene:Solyc04g011470.2 transcript:Solyc04g011470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BPK0] MSVASIFHGEVEVKYHFTDFYAYRYMLACSGTGFIYSLIQTAIAIIQAKTGDCISDKLTHFDVYADKVHPIFLIIALILSQF >Solyc09g010670.3.1 pep chromosome:SL3.0:9:3988884:3995532:1 gene:Solyc09g010670.3 transcript:Solyc09g010670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDGETSASTIQGINRRRSEGKSSFRATADYDDKTLHVNQHRQTSDTAKLKKGSKIVGGGLRQYSIMVCKKVEDKGRITYSEVADEIIAELMTMENSSSVPLNESDEKNIRRRVYDSLNVLMALDVIERDRKEIRWKGLPNSDARDMEETKKGHAELLAKIGKKAAYLKDLEEQLASLQNLKLRNEQSCKSTNGPSQGFSLPFILVQTAPHATVEVEISEDMQLVHFDFNSVPFSLHDDAYVLKLMRNYELLESESVSRTS >Solyc10g049620.2.1 pep chromosome:SL3.0:10:46194594:46196366:1 gene:Solyc10g049620.2 transcript:Solyc10g049620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWWWAGAIGAAKKKFEDDEAPPKYESVALIIGVTGIVGNSLAEILPLADTPGGPWKVYGVARRARPSWNADHPIEYVQCDISNPEDTQSKLSVLTDVTHVFYVTWANRSTEVENCEINGKMFRNVLNVIIPNCPNLRHICLQTGRKHYLGPFELYGKVSHDPPFHEDLPRLDAPNFYYVLEDILFKEVEKKEGLTWSVHRPGTIFGFSPYSLMNIVGTLCVYAAICKHEGLPLKFPGVKGAWDGYSDCSDADLIAEHQIWAAVDPYAKNEAFNVSNGDVFKWKHFWKVLGEQFGLEAAEFDEGKRCTLVEMMKDKGAVWDEIVKENGLVPTKLEEVGVWWFVDLILSGDCALDTMNKSKEHGFLGFRNSQKAFISWIDKVKAYKVVP >Solyc06g062860.3.1 pep chromosome:SL3.0:6:39772411:39786808:-1 gene:Solyc06g062860.3 transcript:Solyc06g062860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPVQPRSSANGYGRRKVDREMGTKLENKAQSGKTTSRQFTGKGGAYQSLSHDRLVYFTTCLVGHQVEVQVMDGSVFSGILHATNAEKDFGIILKMAQLIKDSSEGMKSSSETFSKPPLKTLIIPGKEFAQVTAKGVPTTLDGFRTEFMLEQQQELLTDSCISQSRHIEVERQLERWVPDDDAPECPDLDNIFDDHWNRGWDQFQANETLFGVKSTFDEDLYTTKLERGPQMSELEKEALRIAREIEGEDTRDLHLAEERGIQLHENLEVDEETRFSAVVREIDDSGYDNCEDILLDSRNDETFQGISSAMGKSFTDMGRRKMNDGAQVSLRSSFMDEVQSSKLSTSRDVYQTCYDDHAKQSSAEVVLKGGSILNRGRKTLFSEHAGASWNKEDTRNQMTDEVAQTSVLEDSMSSSRMKMETSDGGRLSPDISALHVHPADQDMITSSSREKFEGAVSSKIQGAPQSANSRVRPSSSVLSGSDGTGAASTSADNGLSRTSSVNSFSSEKSTLNPHAKARTISESSEFKLNPNAKSFMPFQSPLRPASPVSDSSFYYPAGVATVPNVHGMPVGVGPSFSPHQPVMFNPQATPVPQQFFHPNGPQYGQQMMIGPPRQVVYMPNYPAEMRRDY >Solyc07g017980.1.1.1 pep chromosome:SL3.0:7:8725058:8725426:1 gene:Solyc07g017980.1 transcript:Solyc07g017980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYYNPSFFFLLFVTSFLTSDYVIRSDARHLLEITLPKLPKPELPHLPEIPTLPKPEFPEIPKPELPTLPKPELPKIPKPEFPTLPKPELPALPKLEIPVIPKPELPIFPKLDIPQVPKKP >Solyc08g008010.3.1 pep chromosome:SL3.0:8:2503589:2513098:-1 gene:Solyc08g008010.3 transcript:Solyc08g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQAQVRIEPFAHRTPVITSETLDSIAGRKLYFKCECFQKGGAFKFRGACNAIYSLDDDHAAKGVVTHSSGNHAAALALAAKLRGIPAYIVIPKNAPKCKVENVKRYGGQVIWSEPSMQSREDTANKVLQDTGAVLIHPYNDGRIISGQGTISLELLEQASEIDTLIVPISDQPQLLVWNEGGGLISGVALAAKAINPSIHIFAAEPMGAADAFQSKINGRITKLSEVNTIADGLRAFLGDLTWPIVRDLVDDVIVVDDEEIIQAMRLCYEILKIAVEPSGAIGLAAVLSSSFKTNPAYSKCNNIGIVISGGNVDLATEYWGIFLLRYCKFFPAIKVIPSEPLMQSREDSANKVLQDTGAVLFLPLMPGAL >Solyc03g115710.1.1.1 pep chromosome:SL3.0:3:66829496:66832039:-1 gene:Solyc03g115710.1 transcript:Solyc03g115710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRKHPLVIFAFLVLVCANVAAKGTFTPADNYLINCGSPDTTLLDDGRTFKSDSQSASYLSTDETILASVKSLSEKVTSFSSASLLYHTARIFESESMYRFLVFKPGRHWVRLYFYPIQHPNYNLASAMFTVTCDNIVLLHDFSVKDASKVVFKEYLLNITSSQFTLKFSPLKRSFAFINAIEFVSAPDDLIPDSAAAVSPVGDFNGLSQFAFEVSYRLNVGGPIVTPKNDTLWRTWLPDNKYMAFPEGAQNVSVPLTTIVYPDGGATPLIAPSSVYATADMMADSGIPNSNFKLTWEMDVDSSFSYFIRMHFCDIVSKGLNELYFNVYVNEVMGVSSLDLSTLTSELATPYYKDFVLNATAITNGSIIVQVGPASNVQSILPNAILNGLEVMKMSNMAGSLDGLFSSGGRHGVMPRSRGMRIAAAFGLAMGITALVLLLMGIVRWRRKPTKGWEKQKTFSSWLPLNASYCSFMSSKSKTSCSTIISSGLNFGRVFTFNEIKKTTKNFDEKAVIGVGGFGKVYLGVSEDGTKLAIKRGNPSSSQGINEFLTEIELLSKLRHRHLVSLIGYCDEQSEMILVYEYMSNGPLRDHIYGSSLPTLTWKQRLEICIGAARGLHYLHTGSTQGIIHRDIKTTNILLDEDFVAKMADFGLSKTGPSLEQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALDPALPREQVNLAEWAMQQHKKGSLEKIIDPHLAGTISPEALRKYVEAAEKCLAEYGVDRPTMGDVLWNLEYALQLESATPSSVPVKNENENENSKPSSSEQPGTNSKGHKEAQLIDINDDSGVVVGSPMFLEGFQGR >Solyc01g020374.1.1 pep chromosome:SL3.0:1:29459773:29463265:-1 gene:Solyc01g020374.1 transcript:Solyc01g020374.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNASCSSQSRRELEIQKLCTPLSSNVLPTEDNCLYNKFSSSASSIYKSDCLSTENASFKESLELYNSSNEMHEKRKHFMQSGCLHQNPIHDGSSFDSYENIKFEKIAEKINQVSNHRSLRGKEIYLRGSAGAKLRARHRRGPSVFYYDRDDLSLLYSHDEDCLNNYLTLGALVSSRDEEDQKKSFSSSILPKTEKFISTNESSRKATLMRIVPCLVLERVKLVKCCI >Solyc04g078830.3.1 pep chromosome:SL3.0:4:63578787:63589793:1 gene:Solyc04g078830.3 transcript:Solyc04g078830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDVTMGEPGEILPEHKPERSPHEVLQQSKASVEEIVSKMLSMKKESTPKSEIRELVTQIFINFVSLRQANRSILLEEDRVKGETERAKAPVDFTTLQLHNLMYEKSHYVKAIKACKDFRSKYPDIELVPEEEFFRDAPLEIKNTVLSNDNLHNLMLKRFNFELFQRKELCKLREKLEQKKKALQETIANRKKFLSSLPSHLKSLKKASLPVQHQLGVLHTKKLKQVQYAELLPPPLYVIYSQLMAQKEAFGENVDLEIVGSVKDAQAVARQQANKDTGVSASLESSKVDDDIDDEDDGQRRRKRPKKIPSKESVEQAGIYQTHPLKVTLHIHDDEKSDLQSKKLVTLKFEYLIKLNSVCVGVEGSQENADNDILCNLFPDDTGLELPHQSAKLIDHSIVFDERRTSRPYKWAQHLAGIDFLPEMSPSLRGFETSNDETSKHTAVISGLSLYRQQNRVQTVVQRVRARKKAQLALVEQFDSLMNLNWPALAGRRVPWASHDPRCSLHAWFRLGSSPSQVPSSTLTETEQVQHPTKVVVDGESASSKEEVESTREDGELPSLVPTTSINDTNVTPIKRTDFDHSTKLAFISKSTSSPITKGKSPSFKKYGDDIDLILESDTEMDDIVQIEQDRNNTPGSAGVSDTSWVDCKVQEYCLVLTRKMDNEERKMKLESKIKISKEYPLRPPLFTLSLYEAKEAESYYKVDSSVWYNELRSMEAEVNVHILNAVAAAEENLVLAHQVRCLALLFDFYVEDGGSSSEKRRSTSVIDVGLCKPMTGELVARSFRGRDHRKMISWKDGFCTPGYPY >Solyc01g067800.3.1 pep chromosome:SL3.0:1:76587847:76596649:-1 gene:Solyc01g067800.3 transcript:Solyc01g067800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPRGTEAAPIPFSDNVPPASPRISQADFHKKEASNMVSGLGPSMKKADLSLQIPPRHAGIGTGRKYSPRSPGPTGGFLRALSFKKKSASSDGERSSLLSSDHKVVPGSPLAANFFSSNWQKCASLPVTPASDSSPSVSTPISSRTHGEQQRSNSSRTGASKASMSRSLSVPGRNFIIVRSMSFATHEEHVPDTGDEITPAPENEDREIPEEEAVCRICLAACDEGNTFQMECSCKGDLRLVHEECAIKWFSVKGNKICEVCRQDVSNLPVTLLRIPSTSQHDNRAEHNNSGRISAWQDFVVLVLISTISYFFFLEQLFVHDMKTQALVIAAPFAFTLGILSSIFAIILAIKEYIWSYAALEFAFFAVPLYVLYSMLQLQAVYSIMIASVLGFGATMSINAMYIQYYSWQFQIAESSSPV >Solyc11g039910.2.1.1 pep chromosome:SL3.0:11:41202009:41209046:-1 gene:Solyc11g039910.2 transcript:Solyc11g039910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSAFHFLKGIYNSPKGERLIGGSQAVRMNAPRIGGSFAVWGGLFSSFDCTMVYLRQKEDPWNSIIAGAATGGFLQMRQGLGAASRSALFGGVLLALIEGAGIMLNKVMSAPQNFPPMEEPLPNVAGVPGYPSGQLPGQPMGQLPGQAPGSIDGMMAESSAPSSSSWFGGIFGGGKKEETVIGGGSKTQVLESFDAPIPPTFEFK >Solyc02g069540.2.1 pep chromosome:SL3.0:2:39963866:39974291:1 gene:Solyc02g069540.2 transcript:Solyc02g069540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDDYCSISIITARACTEIRKRRSETCSRSFTTCISPRWIFSRWFETGERNKDAEKVNQLQCGSTRNKGKKTKKKKETGFDTKSSTALEDQGGEKKADSMNLGIGFGLIYLFNSTKNELNKIVEIRREMEILLHNSKMELQNQRRIRSNPSGASNLRTKISHYDSDSVEQFAYSTDIEEESEITCSHDDDHPLNCKLMKGNGKNSEMNQLEAELEVELERLQFHLDSDIMFKYPTKPDAEIVDEYSSGEGSQSTKFAEVYNPNCGVPAEELKRRLHELLQTRQEERIKELESALESTMQKLADKEKEIEKDTFPMVTAATEE >Solyc03g115690.1.1.1 pep chromosome:SL3.0:3:66814204:66815202:-1 gene:Solyc03g115690.1 transcript:Solyc03g115690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYSSVPMEKTTKIIRKSIFIFLQNYQFFTTTTAFFAFPFAASVLFLQSFIYSSSLYPIIYERLHLLFDAAGFPSSSEFFILLNSKISQTILISFLSFPFTISFYLFAKSVVIESLKYSKPSQRTTFPSYFNLLVTQLCNSLLIISANATCFTLLFFGFNLFDYGFGLSNPRTILLLSATGAVLCSIILANTLIICNLALVTSGNERIGGFMAILKSCVLIRGRTATALSLAVPVNLALAAVEALFQYRIVNAYYQEKTELFTLALEGMFIAYIYSLLIVLDTIASCVFYKSCKTEEHGIFPVSSISTYQDEIQHRDQCIVVKIKTLEEFC >Solyc07g051860.1.1.1 pep chromosome:SL3.0:7:60524179:60525159:-1 gene:Solyc07g051860.1 transcript:Solyc07g051860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIRGHTIGHGSSAAVSAAKSILSGEVFAVKSVELSKSQLLQKEQKILSQLSSPYIVRYKGYDVTKEKDKLMFNIRMEYMPDGTLSDEIRKQSGRMNEPLIGYYTKQMVQGLEYLHSRGVAHCDIKGQNILLGETGAKLADFGCARWIDPAEREGDAEAIGGTPMFMAPEVARGEEQGCAADIWGLGCTMIEMATGGSPWNNVTNAVSLLYKIAFSKQSPEIPKFLSSQARDFLNKCLRRDGNERWTAKQLLKHPFLESNSTIIQDFVTSSPTSILDQDIWNSESTILETVSCPVERVRELISNSGELNCRWNDDEKWMTVRIKS >Solyc04g026180.1.1.1 pep chromosome:SL3.0:4:18462506:18462661:1 gene:Solyc04g026180.1 transcript:Solyc04g026180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMKFELRRRKFEEILVEQRKAKRKIVVVDLSDVPKPAEDYRVPRRHWWNI >Solyc04g011735.1.1 pep chromosome:SL3.0:4:4195497:4198941:1 gene:Solyc04g011735.1 transcript:Solyc04g011735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFITYTKKKIEDLSVYIVSCGKSYKEPARDVPEEDQAGPVTNITPIRFSKEPPRNDQEPTSDHVLEDDFGIIKVFPSGNAPPINNAQNQEEENIIAQQSQELDKSKGNSQEQKEIDDDEDFKTLPKGFIPEERAKFSTPPGKRSAPRPKTG >Solyc01g057600.3.1 pep chromosome:SL3.0:1:62805209:62807521:-1 gene:Solyc01g057600.3 transcript:Solyc01g057600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQYCINLNASFDVQHRHTSNSADQQTMSSSHLGSPLLFARSQFCTLVESAAASYIRVTSVRSLFNATNVTLCTF >Solyc04g079420.3.1 pep chromosome:SL3.0:4:64013980:64017547:1 gene:Solyc04g079420.3 transcript:Solyc04g079420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTDFFAGEITTELIKYLLLIVKKSTLCRSSAENLIDNINGLLPIIQEIKQTGVELPQIRQTQLDDFSKLLRDGYELAGKVLHSGRWNMYRNLQLARKMERLEKRVARFMQVTMQAHVLADVHHVRFNMEQRFDVLEHRLKAIKIGVDDRSGGGGGCLGEAVKRMEEDEKWFEDSFVNLGAGIELGKRKVKEMLMGEQDRGVFEICGIGGSGKTTLAKEICKDDQVKSYFKDKIFFFTVSQSPNVEQLRKMIWEKISGCNLHGYGYGEMFPQWNLQYQWNTKSASPVLLILDDVWSASVLEPLVFKIPGCKILVVSRIKFPPSIIDCVYDLELLREDEAMSLFCHFAFGHNSFPRGFSQKLVKEIVDECEGLPLALKVIGSSLKGKPEMLWTSAKNRLSRCQPVCESHELQLLERMKLSIDCLPEKVRECFLDLGAFPEDKRIPLDVLINMWVELHDIDEEEAFHILVELSDKNLLNLVKDARAGDMYTSYYEISVFQHDVLRDLAIHMSNRDDINKRKRLVMPRRDTSFPREWERNVDEPFHARVISVHTDEMREMDWFRMDCPKVEVLILNFASSEYFLPPFLENMPKLRALIIINYSAGNAVLHNMSVFSHLTNLRSLWFEKISITHLSDSTNPLNNLRKISLVLCDMKNSLDESDVDLPGLFPQLSEFTMDHCINFNKLPSSICRLHKLNSLSITNCDSLYELPSDLGELQTLQVLRIYACPHLKRLPPGIGHLVKLKYLDISQCVGLRCLPEAIGCCRNLEKIDMRECPQIDSLPSALSFLESLRCVICDDEIFCQWKDVEKAVPGLCVQVAEECHTLDWLSQ >Solyc03g044525.1.1 pep chromosome:SL3.0:3:9623966:9627666:-1 gene:Solyc03g044525.1 transcript:Solyc03g044525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLTTPTACSISGLVQTIAYIKLSTAEAYGTSAIFKVPADLSREIFIPKIYFGGPKSFISNAADNLVFRLLISHILDPAINISSTYKSRKTYELVYTKDMLIKRGIDVDLQCKQCEVANETLGHLFFQCPVGAEIWGQLLQWKGTIRPSWVCPKDCVWMERQCGTNGFHFIIYQMVLTGCIYQVW >Solyc05g017970.1.1.1 pep chromosome:SL3.0:5:19342141:19342362:-1 gene:Solyc05g017970.1 transcript:Solyc05g017970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIHIKPSIDNKKSNLITSASHFPFPILASRCFLTTVSRASHFAASFCESISFFISFSPSFTPHRKAFTTEK >Solyc03g116063.1.1 pep chromosome:SL3.0:3:67052659:67054212:-1 gene:Solyc03g116063.1 transcript:Solyc03g116063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETVEYQKKNWIALKKSINGVLNKINKANIKYIIPELFGENLIRGRGLFCRSIMKSQLNSPIFTDVFAALVAVINTKFPQIGELLCKRMILQLQRAYKNNNKPQMLATVKFIAHLVNQQVVDELIALELVTLLLDKPTDDSVDVAVDFVKECGSMLQDLCPLGLHGVFERFRGILHEGEVDERVQFLIEDLFALRKHNFAPAVPLELDLVEEEDQITHEISLGDMIDPQMELDVFKPELNLFQNENKYVELHKRILGGGGEAGHKLMKIRLEPGQEMELCIIQEKTFLHYYALLGQRLCMINKVYQKNFDKSFVQQYSRIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYLRLTEEDTTSSSRIFIKILFQELSEHLGINKLNDRLSEPSMQEAFESIFPKDSLKNTRFAINFFTSIGLGGITENLRDYLKNMPRLILQQDKRAFRSSQSDDVHQSKRRRRS >Solyc02g066890.1.1 pep chromosome:SL3.0:2:37667397:37668589:1 gene:Solyc02g066890.1 transcript:Solyc02g066890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANHQDKGKKIVEDVSVDLKLDLPMETQMEYWRQRNLLPPMDTEMEWRQQNYPFSLTRTSSLPRDIEIEWRKRLEAEMAWRRRMDTEIESRNRLEAEMDWRRRMETEIECRRRMETDTEWRQISGPFSVTRAASMPPMETQTEWGKMSDPFYVNRKASLPPMETGMDLKERRDLQTQTRGDTQQNRWDKLKNVIVVEENEGNGTSSLPSPSGSGSVGSFGLARTSSQTQQHLPNQVDGTFIEGASGSSNAIPLVSGTLEQMQHLVLAAIEATNEQSPNFSTKEGLRKFLLKMPGVSTKGDGPNGKKTEGFLYAYKRGGEVKIVCICHGYFLSPAKFVKHAGGGDVENPLRLINVDPN >Solyc09g014610.3.1 pep chromosome:SL3.0:9:6258663:6261526:-1 gene:Solyc09g014610.3 transcript:Solyc09g014610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTTKEDYAQVIPSVSKTITCHHKTMKRFDSILEDTDLIDYQLDQARCRSLNSLATGTKGATEQQSETSTISISMPPTPSSKGVGFNEIYSQTSTPRGTTTTQNNKRISSYSQTSTPRGTVFPESPKLPRSNTNKLKDTRFDSFKTWSGRLERQLSAFRGKEQEPISQPSPQIETIPVDRYFDALEGPELDTLRASEEIILPEDRKWPFLLRFPISSFGICLGVSSQAIMWKNLATSASTNFLHVSLKANLGLWCISAALMIIISFIYALKFIFYFEAVRREYYHPIRVNFFFAPFISLLFLALGLPTSITQHLHTSLWYILMLPIFCLELKLYGQWMSGGQRRLSKVANPSNHLSIVGNFVGALLGASMGLKEGPIFFFAVGLAHYIVMFVTLYQRLPTNDTLPKELHPVFFLFVAAPSVASMAWTAIQGSFDHGSRIAYFIALFLYFSLAVRINFFRGFKFSLAWWAYTFPMTGAGIATIKYSLVVTNLVTKCLAIILSALSTLTVTGLLVTTIIHAFVLRDLFPNDIAIAISKRPKATRKWYLGSSHSKDIDQYLKYVDSSKAKDIEASLTHIPNSSN >Solyc05g023800.3.1 pep chromosome:SL3.0:5:29360153:29363229:1 gene:Solyc05g023800.3 transcript:Solyc05g023800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAN1 description:GTP-binding nuclear protein Ran1 [Source:UniProtKB/Swiss-Prot;Acc:P38546] MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDGNLHFVESPALAPPEVHIDLAAQALHEEELQQAANQPLPDDDDEAFE >Solyc08g079200.1.1.1 pep chromosome:SL3.0:8:62943958:62944365:-1 gene:Solyc08g079200.1 transcript:Solyc08g079200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGASSIALVLTLNILFFTMVSSTNVPCPSPPHHKPHPTPSTPSPKGECPKDTLKLNACANLLGDLLHLIIGSSPSKTQCCSLIEGLVDLDAAVCLCTALKANLLGINLNLPLSLSLLLNNCGKYAPKDFQCA >Solyc07g064140.1.1.1 pep chromosome:SL3.0:7:66541994:66542170:1 gene:Solyc07g064140.1 transcript:Solyc07g064140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCISCRRPRLDCFTPVMVVYILQKASSGLFYACYGYSNKATVPPFNSVKSFSGLLSIR >Solyc05g006705.1.1 pep chromosome:SL3.0:5:1373158:1375171:1 gene:Solyc05g006705.1 transcript:Solyc05g006705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILHPVAIFGTSDKKLVVLLPMMLIFFVLKSVQVVLLCKSSDDILRHASLLYLGGEGRTNESPLKQSRLDQKEACKLEWRYPNTGNMGSSKSPAEAFFKREGPSYMLHP >Solyc09g089920.1.1.1 pep chromosome:SL3.0:9:69997901:69998359:-1 gene:Solyc09g089920.1 transcript:Solyc09g089920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNSSRGKKAMQEKDEVKYRGVRRRPWGKFAAEIRDPTTRPGSRQWLGTFDTAEEAARAYDKAAFNLRGHLATLNFPNEYYNQLSCPPLYYGNNNNNIICSSTNNVSRGKGISSSSSTNYKGREIIELECLDNSVLEELLGVEDPKITKRK >Solyc12g038805.1.1 pep chromosome:SL3.0:12:51897706:51899263:1 gene:Solyc12g038805.1 transcript:Solyc12g038805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKAYHTVLTSIPTTDSESALSALLSDIGLNIREAHVFSTIDGYSLDVFVVDGWPVEVSVDVLLTDLEDYEDEVHVINGAKSRKRRNLIIDDEELSIQDTNK >Solyc01g014140.3.1 pep chromosome:SL3.0:1:11629710:11630282:1 gene:Solyc01g014140.3 transcript:Solyc01g014140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYINLVFFTLFPFLCHLSFSSSLPHLCPKYQDLAFLQFKHMFTLSHYAFERCFNIRG >Solyc02g027080.2.1 pep chromosome:SL3.0:2:25474224:25478119:1 gene:Solyc02g027080.2 transcript:Solyc02g027080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASLISLKTTIKSLLMTSNLPMQSLICDHKEELWALHEKVSSLGLFVNNFEKNNVSGEMTDLEVQVKEVASAVEYTIQLRLTEIEMANTKNNVSGEIEGLHFHHSLQQVAVDIDRVRKESTKIRDKGKQASEESSVRDFSSSANDILNVKNIMVGRGDQRERLLEDLTRGYSGEPKVIPIIGMGGIGKTTLAIEVYNDAHVRSHFDVCAWATLSQQHNVKEILLNLLRSTKGGAFDMLDEAELADMLRKSLYGKRYLIVMDDIWSIKAWDDVRRFFPSQNKGSRILLTTRNNEVACYANTENLSLQMSFMDQDESWNLFKSVAFANEALPLPSEFENIGKKIAEKSHGLPLTIVVVAGLLKSKKTIEDWETVAKDVKSFVNNDPDEQCSHVLGLSYNHLTSDLKTCLLYFGIFPEDSEIPVNHLKRLWMAEGFLNFENDLEGEADKCLQDLINRCLVHVSNKSRDETKIISCKVHDLIYDLCLRQIQRGNLFIIKDILFEKSDVKKAQINDCDPNHVPSVCQPLSRHNMQPFKRWTGDEIHGSPYGLYRALLTPGHHEWRDDDDNNLLKRTCSIFSLGGFFSTFVLQSELIHFKLLKILDLTHIRIYSFPLQILSLIWLRYLALFCSEIFDVTPEICRLWNLQTFIVEGYPPSYLTFPEQIWGLRRLRYLKLHKLHLPNQPSVSVDKARHMSFSNIQSISYLSPLCCTKEVLSGIQNVKKIGIKGNQNISESGIFNNLVILQQLETLTVRKFYNWSAVTIPTTLKKLKLYKTFLRWSYLDIIAELPNLEVLKLVLNACHGEEWNPNVRVFTQLKVLLIQANYLESWKATNDNFPVLERLVLGSCSYLKEIPIEFADINTSQLIELDSCPPILAESAARIQQEQEDLGNDPIDVHIIPIRHTLGEPKKKIIFSRHSSLDKWVKCQSSN >Solyc02g093760.3.1 pep chromosome:SL3.0:2:55122061:55123953:-1 gene:Solyc02g093760.3 transcript:Solyc02g093760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDGDDKVEGLLSPKFRSVAAMAGWDEEALLMASLVVEDTPDRLSKQKKRSDLLHFKTPPTNSRRKRRAQKRSPASITATVLDLEDQDTAKQESEKKEAELKLDEKGDEALEEQGCSVATSSTKKIEPKSIEKADTKEAEASEEQGCSVSSSSAAFPSIDLLREELSCAICLEICFEPSTTPCGHSNGRSCTVNTVLWNTIQLLFPKEVETRKAAADLSSREAKRQSPVRAVATHSNSRTTRVLLLNSPESGPSSQERRNSRAMRRQSARASAMPSRNRDISSRRELPSQDGDAALALRMQREEFMESFRTRSSADEQYRSSLALARANLRAMASRAINIRVRGGRGS >Solyc05g041420.3.1 pep chromosome:SL3.0:5:52528117:52531391:1 gene:Solyc05g041420.3 transcript:Solyc05g041420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMPLFTVIELSMPTANPEATDQENELFAEVDPSGRFGRYEELLGHGAVKMVYRAFDLEEGREVAWNQIKLNKFIGSPFIISKIHSEIELLKNLKNDNIIVMYHFWKDTDHNILNFITEACASGNLREYRKKHRHVSIKALKKWSRQILQGLDFLHTHDPCVIHRDLNCSNIFINGNVGKVKIGDLGLATIVGKSHAAHSVLGTPEYMAPELYEENYTELVDVYSFGMCLIEMATLEIPYSECDSLAKLYKIVTSGKKPQAFNRVSDPELKAFIERCVGRPRARPSAAELLKDPFLSDYDENDLDISKAALGWFRNGAVIHKLSAVNAVEYCPLYVARDNLPSSNS >Solyc09g057524.1.1 pep chromosome:SL3.0:9:49817590:49819019:-1 gene:Solyc09g057524.1 transcript:Solyc09g057524.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEMLVLEETSIDEMGAFLVYAPIDLRAINSIVNGGDAMKVPILPSGIIISPDGRLSSNRDNTASAQNGSILTVTFQIMICGNNNPTSRQHKMEVAGSVHAVSDLDSNPLLVSYWAENEQYDQVNMESGLSNSKETVPSKG >Solyc09g011910.3.1 pep chromosome:SL3.0:9:5172123:5175266:-1 gene:Solyc09g011910.3 transcript:Solyc09g011910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEESKVVLKLLVDEKKDQVVAAEAKVDFMDIFVSLLTLPLETIIRLIKAEAGVVGCMYNLYQSVENLDVEDLFIEHCKTMLLNPRNPYSKYCTRLKVNIDDSGSEKYYDCSGWTTRTYCSKRSYFMNVECSCEGGISYLISDDLQFKPASLTTLFQMLSNVGLSDMNQIKEMNVEVGRNEVIRLLARSFISKTPLSDVFLPKQKQRRAQVDIVTMSESGNLSSISENGTSNSTKKLELKLTVRKSTKKVLCAEADNDFIDFLFNFLTIPIGSIEDVLKGSSGLRCIDNFYKSVEALDSKWFNMPPKQNSYHSEDTSNDNFKTVLLKPNVATHYNSEYQLLQISEGKSEFYNLYDPRQHIRGSHVPKFRKFAKEPSAFYVMDNLEVRPLSSASTICLLQELNVPLNDIEEQMISVGESEALNLLRASLTSSSSALTEGLNHKLRQQIDEDVKCKTEKLC >Solyc04g049270.1.1.1 pep chromosome:SL3.0:4:41266282:41266551:-1 gene:Solyc04g049270.1 transcript:Solyc04g049270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLGYSMLGLLSEGFDLDRCHLKSMDCAEGLGVLSLYYPACPQLELTICTNKHSDNDFHTVLLQDHIKGLQVQFTRINGLMFLLDVVVL >Solyc08g007230.2.1 pep chromosome:SL3.0:8:1811066:1811703:-1 gene:Solyc08g007230.2 transcript:Solyc08g007230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFENSEFMNDFELLESIRQHLLEDWDSPVTTVLKPSVKIEPEVSVSSPEMFDFTGFTAPAAAESAAEVKLEVELKTAAVKRTPASSKSMHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEDAALAYDKAAYRIRGSRALLNFPLRVNSGEPEPVRVGSKRSLTTTSSESFSSSSSENESMMTKKTKKVYGEI >Solyc06g083680.3.1 pep chromosome:SL3.0:6:49068534:49069593:1 gene:Solyc06g083680.3 transcript:Solyc06g083680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNMASAASRFLVATPNVASNTTSRTNMLFFPSNKITTTQRLVVRAAAEEAAAPAAAATAEPAVETKAAKPPPIGPKRGSKVRVLRKESYWYKGTGSVVAVDQDPKTRYPVVVRFNKVNYANVSTNNYALDEIEEV >Solyc05g046380.1.1.1 pep chromosome:SL3.0:5:59665020:59665319:-1 gene:Solyc05g046380.1 transcript:Solyc05g046380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKTNMWFDDEYTRHNFWLDGRFQDWFLNPYIPAFHVLKPTAMGSVITPLVFYNCLSRRFIPCLHGITLLGSLPKHCLNLSICFFLAAILINLVTLNC >Solyc01g107750.3.1 pep chromosome:SL3.0:1:95083274:95095429:-1 gene:Solyc01g107750.3 transcript:Solyc01g107750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFRPLFLFLLTFFVLSSALDMSIISYDEKHADLGATNHRTDDEVKGLYESWIVKHGKNYNAIGEKEKRFEIFKDNLRFIDEQNAETRPYKLGLNRFSDLTNDEYRALFVGGRFDKKTRLLKNPKSERYAFKAGEKLPESVDWRQKGAVAPVKDQGQCGSCWAFSTVGAVEGINQIVTGELISLSEQELVDCDKSYNQGCNGGLMDYAFEFIKNNGGIDTEADYPYRAKDGTCDSNRKNARVVSIDGYEDVPINDEKSLMKALSNQPVSVAIEAGGRAFQHYSSGVFTGYCGTQLDHGVVAIGYGTDNGSDYWIVRNSWGPNWGESGYIRLERNLANSTSGKCGIAMEPSYPLKNGANPPNPGPSPPSPVAPSTVCDEYYSCTAGTTCCCIYKYGDYCFGWGCCPYESATCCDDNNSCCPHDYPVCDVNSGTCQMSKDSPLSVKALKRGPATARVNWSGMKSNRKSHTAVAVGSDSKLFHFSLLPEEIWPSIMDSGSADVSNSQPVTTSSAARSTAVESAPVQSTEEAPSKISTSGISSWAKNLKIPQPFTGSQDEQSSGNAPKSTFARLTGGLGMRLSPKSPTSPTDEHVDGTSTSPTSLFGTFTKGLVDSSKNAVKAVQVKARHVVSQNKRRYQEGGFDLDLTYITENIIAMGFPAGDMSSGLFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCAERLYDASLFEGKVASFPFDDHNCPPIQLIISFCQSAYSWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESMDYYNQKRCVDGKGLVLPSQIRYVKYFERILMYFNGENQPGRRCMLRGFRLHRCPYWIRPSITVSDHNGVLFSSKKHPRTKDLSPEDFWFNAPKKGVVVFALPGEPGLAELAGDFKIHFHDRQGDFYCWLNTTMIENRKVLMTSDLDGFDKRKLPSPGFQVEVVLADYDAALPARPQSETATKESADSSSANPAPVSNPTSGSEPADGTNVNQQPGNNDKDDVFSDNESEEPGMSKARQSKAASEVSAPADAKNPGSRNKDDSDKISSLTHKTEQVSLGDTSSVHSEPKKDASVGTASSVDVSNPVGGVSDFKVMAADASVFSFGDEEDYESD >Solyc09g005170.2.1 pep chromosome:SL3.0:9:156413:159232:-1 gene:Solyc09g005170.2 transcript:Solyc09g005170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHVKYIKEDFLHLYKCIIHFPNHSSEDVCARCIVVSLWDIVDVNTTQAIVPRTITKGVNVTKPGCQKKCGNLTVPYPFGNREKFRLRDLKSTDVEAWASVGGTNSSPFTISELNKFTVLGCDDASSIPESEKFSANATSVCISLCQRREDVLQGYCSRINWLLPDISQPRVKKIGSFSGACQIFVIPNWCKELLILLGSCVDARKRNDYACKANSFCVDSDNGLRGYRCSFYTGFEGNPYLVTGCVDIDECADSKANPCEKICTNTPGSFNCTCPHGYYGDGLKNGRSYTAKNSQFPVIKVSLGMFFSSINTLYSSLFTLHQYHIGFACINFLELLDFQEESGFFSLIIGSSWVYLIFKKRKLIRLREKFFQQNGGELEKATNKYADDRILGRGGYGTVYKGFLPDKLIVAIKKSRMMNDSQIEQFINEVTILIQVTHRNVVNLHIYKKDGVLSNWLSWEICLRIGAEAAGAFAYLHSAASKPIIHRDVKSANILLDEYYTAKISDFGASRLVPFDQTQVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFTR >Solyc07g008380.2.1.1 pep chromosome:SL3.0:7:3181919:3183256:-1 gene:Solyc07g008380.2 transcript:Solyc07g008380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKSLTKIQILSKNIIKSNHVNDVDHPNNYKLSFFDQFACQMHVPCFLFYPIKYSTSPKISIIHEQLQQSLSKLLSHVYPASGRFSSDAQSINCHDEGVLYIKAKVDSQFCDFLKDAQKDIDLALNFCPKINRNDSNLSLTPLVVVQVTEFACGKGLALSLSAEHAVIDGFTALKFVYEWSKVSKMGINKINCFTFDDFGTIFPPTSDNHLLKRVESPRDDHNHDFPEMVARRFVINQSVISKLREHVGVVHIRPSRVELVIAFLWRALINVYRCKSNGRLRPCLLSVPVNLRGKIDFPRYENSFGNFAIEVPVKFIPGETGMELKDILLLIKDVIQKTNVSFVKSSDNIYSLASKFHEEIKEWEENEQVDVCMASSLCRFPINEADFGWGKPCLLSFGLRRSDMFWLYDTQCGSGIVLQVDLKKEYMDMFGCDKDVLSFIFDE >Solyc04g082260.3.1 pep chromosome:SL3.0:4:66070237:66075874:-1 gene:Solyc04g082260.3 transcript:Solyc04g082260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCQSSILKELSSEKDQRHGVVNARASNGTGAGAAVGDGGVPVFSEFSLSELKAATNNFSSEFIVSESGEKAPNMVYKGRLQNRRWIAVKKFTKSAWPDPKQFADEASGVGNLRHKRLANLIGYCSDGDERLLVAEYMPNDTLAKHLFHWENQTLEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDESGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTQESVVFSYGTVLLDLLSGKHIPPGHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPRERPNTKDLVSTLGPLQSKPDVASHVMLGIPKSEEAPPTPQHPLSAMGDACSRMDLTAIHQILVMTHYKDDELTNELSFQEWTQQMRDMLEARKRGDLAFRDKDFKTAIDCYSQFVDVGTMVSPTVYARRSLCYLMCDQPDAALRDAMQAQCVHPDWSTAFYMQAVALSKLDMHKDAADMLNEAAILEEKRRGGRAS >Solyc12g098320.2.1 pep chromosome:SL3.0:12:66856709:66858577:-1 gene:Solyc12g098320.2 transcript:Solyc12g098320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDNKVLKTYDEVSLHNNPKDCWVIINAKAYNVTNFLNDHPGGEEVLLAAAGKDASEEFEEAGHGSASRLMLDEFYVGEIDPSTKNNATLETTSSTNFLKKHNKIDYYQGKSSWQNNVKLLQFLVPIVILLFGSTLFKFLY >Solyc03g013615.1.1.1 pep chromosome:SL3.0:3:45558007:45558510:1 gene:Solyc03g013615.1 transcript:Solyc03g013615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPMITEFINYGQQTVRAARYIGQGFMITLSHANRLPVTIQYPYEKLITLEHFRGRIHFEFDKCIACEVCVRVCPIDLPVVDWKLETDIRKKRLLNYSIDFGICIFCGNCVEYCATNCLSMTEEYELSTYDCHELNYNQIALGRLPMSVVDDYKIRTISNLPQINNE >Solyc01g091650.3.1 pep chromosome:SL3.0:1:85119407:85124563:-1 gene:Solyc01g091650.3 transcript:Solyc01g091650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDEDLGLIAEEIYANGDENKQRHRPIISGEQLDIEAYAALYSGRTKIMRLLFIADRCGNASMQLEALRMAYDEIKKGENTQLFREVVQKIDGRLGTNYGPDPAWADSVDRRADLRKEKLESELNAYRTNLIKESIRMGYNDFGDFYYAHGQLGEAFKNYVRTRDYCTTAKHIIHMCLNAILVSIEMGQFTHVTSYVSKAEQSQDALDAITVAKLRCAAGLAHLEAKKYKLAARKFLEVGPELGNNYTEVIAPQDVATYGGLCALASFDRAELKSKVIDNINFRNFLELVPEIRELIHDFYTSHYASCLEYLGNLKANLLLDIHLHDHVETLYDQIRSKALIQYTHPFVSVDLNMMANAFKTSVAGLEKELESLITDNQIQARIDSHNKILYARHADQRNATFQKVLQTGKEFDRDVRSMLLRANLLKHDYIARTSRKH >Solyc07g049230.1.1.1 pep chromosome:SL3.0:7:59642900:59643331:-1 gene:Solyc07g049230.1 transcript:Solyc07g049230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLITMPALSHLSTNTISLERRNLCASSRRRRGVRAMMTEKPLEELYHVRVERNVTKDRLMELGVQRWSMWKTGKCKLPWDWHVDQLVYIEEGEVRVVPEGSKRFMQFVAGDLVRYPKWFEADLYFNDFYQERYRFQAYGDD >Solyc11g044800.2.1 pep chromosome:SL3.0:11:32544287:32550295:-1 gene:Solyc11g044800.2 transcript:Solyc11g044800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKEIPKKQGANGSKNKKKKKRGGSKKRMTSEQTSAYNSVSEWVFLDRTHSPTADDFAIPWNQPKEKLVFELHSHSIHSDGFLSPSKLVERAHQNGVKVLSLTDHDTLSGIPEALEAASRFGIKIIPGVEISTMFTQGRDSALDEPVHILAYYSSCGPAKFDQVDKFLSSIRDGRFLRAENMILKLNKLKLPLKWEQVAKIAGKGVAPGRLHVARAMVEAGHVENLRQAFSRYLYDGGPAYATGSEPLTEEAVQFICETGGVAVLAHPWALKDPIAVVRRLKEFGLHGIEAYRSDGKLAAYSDLADSYGLLKLGGSDFHGRGGKQESEIGSVSLPMLAVHEFLKVARPIWGRAISEILENYIKYPSETNFQLIMSFGKPKVCRGISPASCPGDFMRQCLSLWLTNEETQNVEFEAIKLKLAAISTNQ >Solyc12g036530.1.1.1 pep chromosome:SL3.0:12:47180726:47180902:-1 gene:Solyc12g036530.1 transcript:Solyc12g036530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAYSMEVESLKLFEQFQEIGLKPDKLSFPIVLKVCGHCLMIGAGGSLHLMSVRSGFS >Solyc09g031680.2.1 pep chromosome:SL3.0:9:28351644:28370744:-1 gene:Solyc09g031680.2 transcript:Solyc09g031680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTSEISIAGILASSAISACFLQLCTLPLDTAKVRLQLQKRAAEGSGKYKGLLGTVATIAREEGLLALWKGIIPGLHRQCIYGGLRIGLYEPVKAFLARSYYVEDGSLFTKVFAALVTGAIAIALANPTDLVKVRLQAEGKAGTLRRYDGAFNAYYTIVKQEGLAALWTGIVPNIARNAIINAAELASYDHLKEIILKLPGFTDTVLTHLIAGLGAGFFAVSIGSPVDVGCQVKNDGRFSIQEHLRLLFQNIEVRGTTCFL >Solyc04g082940.3.1 pep chromosome:SL3.0:4:66497293:66499506:-1 gene:Solyc04g082940.3 transcript:Solyc04g082940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNSTSIKPLRRSGTSIVTMNRLNNTSSFPLAHAPLSAVSAHSSAAKTKGGNQPSPTPTYIEFPTSPQPPDDHTGYHANHNGTIVHHTHSKHPLAEITLSELFTCSGCKEFGTGRRYACQDCDFQLHHFCALSPPSLKAHPFHGQHQLVFHAKPKQVKAGIAWPRCDVCCKSTKGFTFRCRVKSCNFQMHPCCAMLSTEIKFPNHPHLLKVLPQGNTLTGGAGGGNDQPGMVCSECKKKRSSGRVYSCTVCDYHLHALCAKSMINGLQEYGIKPPEKPTMLGTAARLASQVVIEFIGGLIEGFGEGVGEVLVQNIGRGRRSTNRRRIA >Solyc01g100510.3.1 pep chromosome:SL3.0:1:90391340:90396197:-1 gene:Solyc01g100510.3 transcript:Solyc01g100510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Knotted protein TKN4 [Source:UniProtKB/TrEMBL;Acc:Q84ZW2] MMDELSKLHSAIVCSHSRRQQEVEVEAEAGPTIINNTTTSFAAVHHHYCQLEAAVAADHNHHQNNTKSTTNMSDLIKAQIANHPLYPNLLSAYLQCRKVGAPQEMTSILDEISKENNLISSSRHSSEIGADPELDEFMESYCAVLVKYKEEFSKPFDEATSFLSNIESQLSSLCKDNLITSTSFNNYISDEAGGSSDEDLGCEEMEAADSQESPANCEGDNELKEMLMRKYSGYLSSLRKEFLKKRKKGKLPKEARIVLLDWWKNHYRWPYPTEEEKNRLSEMTGLDQKQINNWFINQRKRHWRPSEDMKFALMEGVSAGSMYFDGSGGTGNIGT >Solyc04g079050.2.1 pep chromosome:SL3.0:4:63717546:63729819:1 gene:Solyc04g079050.2 transcript:Solyc04g079050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVMFPWLAYGHLIPFMNLSNELAKRGHKISFLLPKTAETRLQKLIFYPSLINFHKLTIPHVDGLPYGAETTADVPGPLESLLATAFDELYDEIKCFLQNLKPHFVFFDFAYWIPDLALEIGGIKTLFYRVVCPAVSSVSVIRSPDKAIFMASTAAELVKPPPGYPSTTVVLRESEAKLLSFVFHEYGNGVTFYERIKKGMTRCDAIAMKTCREIEGNFCTYISTQFEKPVLYTGPVISEPKNEPLEEHGLTNWLEKFEPGSVVFCAFGSQMILEKTQFQELVLGFELTELPFLLVVKTPEGTNSVEEALPEGFKERVQEKGLILDCWVPQLEILRHKSVGCFVTHCGYGSMWESLALCDCQLVLLPRPIDHIFNARLMEKQLKVGIEVEKDENDMFTKENLCKAVKCVMDKDSQIGCVVKENHKKWKELLSSPGFMSNYIDNFIQDLNELLVENTIQNMAKFIESKLEIVMFPWLAFGHLIPFMNLSNELAKRGHNITFLLPQNAETRLQKLNLYPNLIKFHKLTIPHVDGLPYGAETTADVPGPMETLLATAFDELYDEIKSILQNLKPHFVFFDFAYWIPDLAKEIGGIKTLIYLLISPAALCKSTDKATFMTSTAAELAKPPPGYPSTTVVLHESEAKLLSFVYQEYGKGVTFQERLDKALRQCDVIAAKTCREIEGIFCEYLANLLEKPVIYVGPVIPEPKKEQHELSNWLEKFEPGSVVFCAFGSQMILEKTQFQELVLGFELTELPFLLVVKPPQGTNSVEEALPEGFKQRIQEKGLILDCWVPQLEILRHKSVGCFVTHCGYGSMWESLVLCDCQLVLLPRPIDHIFTARLMGQHLKVGVEVEKDENDMFTKENLCKAVKCVMDKDSQIGCVVKENHKKWKKLLSSPGFMSNYIDNFIQDLHGLVV >Solyc05g010420.2.1.1 pep chromosome:SL3.0:5:4642692:4655639:-1 gene:Solyc05g010420.2 transcript:Solyc05g010420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:A5JME7] MEMDLPVSAIGFEGFEKRLEISFVEPGLFADPNGKGLRSLTKAQLDEILGPAECTIVDNLSNDYVDSYVLSESSLFVYSYKIIIKTCGTTKLLLAIPPILRLAETLSLKVQDVRYTRGSFIFPGAQSFPHRHFSEEVAVLDGYFGKLAAGSKAVIMGNPDKTQKWHVYSASAGTVQCNDPVYTLEMCMTGLNREKASVFYKTEESSAAHMTVRSGIRKILPKSEICDFEFEPCGYSMNSIEGAAVSTIHITPEDGFSYASFESVGYDPKTNELGPLVERVLACFEPAEFSIALHADVATKLLEHVCSVDVKGYSLAEWSPEEFGKGGSIVYQKFTRTPYCESPKSVLKGCWKEEEKEGKE >Solyc09g047933.1.1 pep chromosome:SL3.0:9:34397595:34399301:1 gene:Solyc09g047933.1 transcript:Solyc09g047933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEHLGRLILYGVGVSKNALKRKPGNSDNSLKATDDVVQQMQDRRQKKEKEMEEQKKTMRHEAITNVIAQLQNAGLIDQNILATLFVRPPREISTSTQTAFKVIKLKEMKAVLKT >Solyc01g088000.3.1 pep chromosome:SL3.0:1:82716533:82721553:-1 gene:Solyc01g088000.3 transcript:Solyc01g088000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRDMSFFPDESFDAVIDKGNAIALPHVLFLASQKWRCLYVGNKRAKFLLITYGDPKARMPHLNRSVFNWKIELYIIPKPGFQKSGNPPSNVKPCLEPIPLSEKGLLPEDYIMEDPDSHFIYVCTKVDESVELSNKSAEPLAEDLLLESLSLGKD >Solyc04g074990.3.1 pep chromosome:SL3.0:4:60970793:60972192:1 gene:Solyc04g074990.3 transcript:Solyc04g074990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDDQEEQEQEIGAVGAENYVTTGNNSGREEGISTSSIGRKSNVRYRECLKNHAVGIGGHALDGCGEFMPAGEEGTMDALKCAACNCHRNFHRKEAEERLGWRMQKQDEALVQQFCAETNVKRHVFKVWMHNNKHTLGKKP >Solyc09g008320.3.1 pep chromosome:SL3.0:9:1784020:1786709:1 gene:Solyc09g008320.3 transcript:Solyc09g008320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CQM0] MSTIFFLPIFLCFIFLHSTNANYWPISPGYYPSTKFKSMSFYQGFKNLWGPNHQSVDNNGINIWLDRNSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGKIIGREMKFHLWFDPTKNFHHYAILWSPREIIFLVDDVPIRRYARRSDATFPLRPMWLYGSIWDASSWATENGKYKADYNYQPFYGKFTNFKASGCTAYSSRWCRPVSASPYRSGGLSRQQRQAMNWVRSHYMVYDYCRDFKRDHSLTPECWRK >Solyc07g045145.1.1 pep chromosome:SL3.0:7:58375835:58377313:1 gene:Solyc07g045145.1 transcript:Solyc07g045145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGGLESKMSIEQRNEKEKEGSRSSKLVSHGSRTLKQAIQQYTPMHVWGWLFKSCEVNGRILLREGFIDVKDIDQCIVKGDCKKLGIKLPAWSILQCLLASAKSNSQGLLISDEVELTKSNWPKDKVFEWFLAPLLVIKEQIKTLQLGEDEEISLRKLIMCYKNERPEEWDNTGFPSTDTVRRAQLQAVIRRLQGIVGSFSRVPTFRRRFKNLVKVLYLEAIQTGLIAETDGGSSRAGNRNRRQRVNGDKKNTDDNGEQNDQSSRDGDSIV >Solyc01g100760.2.1.1 pep chromosome:SL3.0:1:90591412:90592164:1 gene:Solyc01g100760.2 transcript:Solyc01g100760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLITEEMRASATEFYTGNDICQEKSKFLLTEMNLPNGLLPLQDMLECGYVKDTGFVWLKSKKKTEHKFEKIGRSVQYATEVTAYVEPNKIKKLTGVKAKELLMWLTLNEISVDEPSTGKIHFKTPTGLARTFPVSAFELDLPKKEVKEEAKEVNAAAVEVKEV >Solyc01g020280.1.1.1 pep chromosome:SL3.0:1:28541656:28541952:1 gene:Solyc01g020280.1 transcript:Solyc01g020280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKELKKFIYFTIILVFYFLSILSSYLERNFLILFILINSIYIIYYISVFNVPSISYVISINRNTSRNLQSTRIYSKRHVFYKIIFKLVTFLFQMVLR >Solyc07g066640.3.1 pep chromosome:SL3.0:7:68127428:68133677:-1 gene:Solyc07g066640.3 transcript:Solyc07g066640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSFKYWDDCVDPLDLEAMWLDPEVRAEWLNAGETKGSKVHLSRDPDGQPYLTQTEMKAVAAIIVRRHFLSQIDLDMLCAIAEIESDRQLLATRYNKKSKEITMGIMQILPKTADWLVSDLGYRTYEVTMDSKLLYKPFVNVYLGAAYLKWLSNYEQKERSEEFMVRAYKGGTKKATHKSTLPFWRSYLSVKETLPSRKIFDVNPLPPTASATGVPEKKGPVNTTWDSRTSAEDMEEMWNHPCVSTEWSKSGEKRGHVRFSHDTEKRPYLSRVELRAVAEVIVSKYFSTRGLKPTVLCAVAEIVTMRFVEGIGQRTGLMGIDYPTARWLYKDLGYKGYKVESVEDLTKPFVSMYFGAAYVAWLSEYEGRERSLQFLVQAYLAGPQNVNLQETGPMWLRFEEALSRYEDLKKEAAGSCNIL >Solyc05g010290.2.1 pep chromosome:SL3.0:5:4449877:4454346:1 gene:Solyc05g010290.2 transcript:Solyc05g010290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDILTEIPPPSRFFLEDLNNFCPPSPPLPSPFLLFSTPNQEKFSRPSLLIIAMSSSSLQVFHHVSSKTLVGTVILPEIPFSGNSVEPSLKDKSCNIYALNEDDNLIMIVCVQYQVTAERSHAVAKLLIGEQVIPEKVLILDSIRSSNYRGRLSPDEAFAFKLESSAERKAKADGHQDSPLVKCADYLPSGSVVDGLAAALLSRCQLKKIRGTLCVSCDMKKLEEEFLKLGRSKDFLLESELYT >Solyc09g098220.3.1 pep chromosome:SL3.0:9:72572625:72578616:1 gene:Solyc09g098220.3 transcript:Solyc09g098220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNRSPQGETAQILVEFLEVAITSVVFLKGVYPSGAFERRRYMNVVVQRARHPELQQYIHSSVNGLLPFIQKGLVERVAVIFSGSNNVPIERFVFKINVNQSYGSKLEEADLAFSLKSFLIKLPLSQSLMKVLPPDCRWEITAYFRSLPQSGTSKDAEIWVPTDTQQWQQAPLITPIKSMSSEPLGVQLYLEHPSLSEPKA >Solyc04g053145.1.1 pep chromosome:SL3.0:4:51700043:51705511:1 gene:Solyc04g053145.1 transcript:Solyc04g053145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFGDELIIDSFKIPWLIWIQLVVMILLVLLLFFGFSVLDLSNNSTSGTSSQGSSLPSNAITILQHRNLNQHAKGENEASTSREISADESQEREGSAEKDTAIFKCCVRTEHPCNYFGLAKQAFLKCLGFDSDRDNCNTRRHAKED >Solyc10g007850.3.1 pep chromosome:SL3.0:10:2043128:2051072:-1 gene:Solyc10g007850.3 transcript:Solyc10g007850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKMDSTMKSEFLLCFLLFSTYLSYGVASSSSATTTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNTRNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFSQLQSPGVLTIGSSMKGENSYSHHLDADVGVSVIDRFTFYTLAFFERVNMYDNASLGSLFSSYNPNTLMSTAYYRTDLYPRRLEEVPVTNFFGSVMETIHTDSAYKAFAGKDSKKSTLEMPLQQSRQDLRRTFASSDVQYPTSDSNVEVRSTRFLSFHEFLDFYAW >Solyc03g119290.3.1 pep chromosome:SL3.0:3:69411754:69426702:1 gene:Solyc03g119290.3 transcript:Solyc03g119290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVCDICGVFRNPLVKKCVSSKENAVPFKFDSPSPDDLVLSGIAPSKLRPKADTDRILQLDISSNIKELSTEVKKELAAEGHPSSSTSLAKVKNDDLKDSGPASKTRGNNGLASNLNDISVSSKPQSVDTGKSDSAASSSKNKPEKIVQPPQTEDNFNQLNLAIVGHVDSGKSTLSGRLLHLLGRISHKEMHKYEKEAKQQGKGSFAYAWALDESAEERERGITMTVGVAYFNTKCYRVVLLDSPGHRDFVPNMISGATQADAAVLVIDASVGAFEAGIDATGGQTREHAQLIKSFGVDQIIIAVNKMDAVGYSQERFDAIKKQLGTFLRACKFKDSSVTWIPVSAMENQNLVTGPSESRFLSWFRGPCLLDAIDSLQPPQRDYSKPFLLPICDVVRAQSQGQVSICGKLERGALQTGNKVLVMPFRDVATVRSLERDSQVCNAAKAGDSVTINLQGIDANHVMAGGVLCHPEFPVPVANHLELKVLILENGIPIVIGSQLEFLVHHAKEAARVVRILSLLDPKTGKETRKSPRCLLAKQNAMIEVVLQGMVCIDEHANCKALGRVSLRSSGRTVALGLVTRVIGKQE >Solyc04g009150.1.1.1 pep chromosome:SL3.0:4:2655662:2658196:1 gene:Solyc04g009150.1 transcript:Solyc04g009150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFVSLAVQKLGDFLIQQVSLRISLREEVTWLRNELLFIHSFLKDAEIKQCVDHRVQQWVFEINTIANDAVAILESYTFQADGDEFPSRLKACVCIYRKEKKLYNVAEEIQSLKQRIIDISRKRETYGITNINLGDQGPSNQVTTLRRTTSYIDDDHIFVGFQDVVQTLLAQLLKAEPRRTVLSIYGMGGLGKTTLARNLYKNPNISSRFPTHAWICVSQEYNTMDLLKTIIKSIQGRTMGTLGLLEKMDERDLENHLRDLLKERKYLVVVDDVWQREAWESLKRAFPDDKNGSRVIITTRKEDIAERAADRGFVHKLRFLSQEESWDLFLRKLLDVRAMVAEMESLAKDMVEKCRGLPLAIVVLSGLLSHKKDLDEWQKVKDHLWKSIKEDKSVEISNILSLSYNDLSIELKQCFLYFGMFPEDRVVKAENTIWLWMAEGFIPRGEERMEDVAEGFLNELIRRSLVQVAKTFWGKVTECRVHDLLHDLVIQKALEVNFFDIYDPKRHSISSLSIRHVIHSQGERYPSLDLSNLKLRSIMVFDPDFRKLRSVLFKHLYVLHLDIHVGNRPIVPDAIGSLYHLKFLRLRGIRRLPSSIGNLKNLQTLCVNEGGQFNQLPLKTAELINLRHLVASYSEPLVHISKLTSLQVLQGVCCDQWKDVDPIDLVNLRELDMYDIKKFYSLNNISSLKNLSTLTLHCSNMESLPSLEFVNCCENLQKLCLDGGIEKLPLFPNSITMIALWNSALREDPMPILGMLPKLKNLQLFRAYEGKEIMCSDNSFIRLEFLILDYLWNLERWDLATSAMPLIKDLLIHRCSKLKEIPERMKDVKHFKHISHMKSYS >Solyc09g009240.1.1.1 pep chromosome:SL3.0:9:2617675:2618283:-1 gene:Solyc09g009240.1 transcript:Solyc09g009240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTTYGGKNIIIGGSNNSRTAPNNKSYSGRHPVYRGIRRRKSSGKWVSEIREPKSPNRIWLGTFPTPEMAAIAYDVAAIALKGPEADLNFPNSAASFPVPATSSARDIQTAAARAAAAIGAAGDALLAAAAVHREVVINEKGKLENVEIQNFEFMDEDLIFDMPNVLVNMAEGMLLSPPRFYMHDDDDQTTITYQNLWNYT >Solyc04g011700.2.1.1 pep chromosome:SL3.0:4:4166782:4169088:-1 gene:Solyc04g011700.2 transcript:Solyc04g011700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIQLRFRSLSTHLHHLTGPFSSFHISLSTLSQVVTKKSAQNANQENPVLLNYLINTLGFPKPKALTISGRLSWVKSVEKPKLAVHFFKSVGFTDAHIQSAVCTIPQILLADVEKILKPKIQLLQELGITGSDLGRLLSTKTVLLTRSLDKILKPSVEVLNNVLIKGTDNGDWFRVLLRCDWVIYGSPHLRLLPNISYLQSVGIVGSQLSSLLKRQPHLFVTHGSKLKKLVSELMDIGFSTDSRMLVHGLHTLSCMSQESISRKLLLIQSFGFSKSECMVMFKRAPCLFRGSEKKIRLGLEFFLETVKLEKSILVQRPTLLMFSMKERVIPRYQVFQLVKSKKLMKKDPKFYDMMCLTEHNFLEKYVSRFTENAEELLMAYKGHRLDLGEE >Solyc01g014660.1.1 pep chromosome:SL3.0:1:14415982:14416763:-1 gene:Solyc01g014660.1 transcript:Solyc01g014660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTQIIEINNKLVARKSESFFVSGGIYRHILSDGNLVLLTQSKPTNFDYDAEYYNSHTSDSRDEANSSYRLIFDEFGSIYILKSNKQRLALTPPNVPSISEHFHRLILDFDGVLTHYYHPKSKSTGDKKRSTQWSLYSLIDPNNKYGSCKQSCDEFRHGNPEDLYDFDVVTDVNWLLSDFERIYPSTKEECKKACLKDCFCAIALYKSNVVGRKNFHWKIGE >Solyc01g079750.3.1 pep chromosome:SL3.0:1:78756312:78763940:-1 gene:Solyc01g079750.3 transcript:Solyc01g079750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFIGSVRRSLVFKPSGDSEIGAGSAFGGFVEKIGSSIRKSSIGIFSKSQVPALPAISKAESLPVKADKKDDNTPIRWRKGEMIGCGAFGRVYMGMNVDSGELLAIKEVSIAMNGASRERAQAHVRELEEEVNLLKNLSHPNIVRYLGTAREVGSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATMTGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTIIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSAEAKDFLLKCLQKEPHLRTSASNLLQHPFVTGEHQEAHTFLRSSFLGNSENMAAQRMDVRTSTNPDIRATCNGLKDVCDVSTVRCSTVYPENSFGNESLWKLGNSDDDMCQMDNDDFMFGASVKCSSDLHSHANYKSFNPMSEPDNDWPCKFDESPVLTKSQANLDFGQATIKPTNSLIMSYKEDAFAFSGGQSAAEDDDELTESKIRAFLDEKAMDLKKLQSPLYEEFLNSMNVSSTPSLAGIGNKENFPSNVNLPPKSRSPKRFISRRLSTATEGACAPSPVTHSKRISNIGGLGNEAIQELQSPRNNEWKDPLGSQRDAVNSSFSERQRKWKEELDEELQRKREIMRQAVNLSPPMDPVLNRCRSKSRFASPGR >Solyc04g079475.1.1 pep chromosome:SL3.0:4:64039197:64040148:-1 gene:Solyc04g079475.1 transcript:Solyc04g079475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILCILILSTTFLLMEFPMVVQLCPLLMEIVKKVYKATSKSVDFQNKPVEVVNEVNQWTKKNTNGLIEEILPHRAVDNMTRLVLVNALYFKGVWNEKFNASKTKNHKFHLVNGRSVQAPFMTSLKKQYIRVFRS >Solyc05g039960.1.1.1 pep chromosome:SL3.0:5:49222353:49222802:-1 gene:Solyc05g039960.1 transcript:Solyc05g039960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTNTKDSELNEELQELEFTKRGCCFWFPSFTCGRGVWERVSTSDQKEETHWWDKGLNAVMKAREWSELVAGPKWKTFIRRFNKNRSKTNKFNYDPMSYSLNFDDGPGVNDQSEDDSLFRDFSSRFASIPVSAKSSMDLGEDSSSLL >Solyc10g081310.2.1 pep chromosome:SL3.0:10:62532360:62536141:-1 gene:Solyc10g081310.2 transcript:Solyc10g081310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEENPNRSRLQILTSHFTHHKKSAMASEKEAALLAVPSDSPTIFDKIINKEIPADIVFEDDKVLAFRDINPQAPVHILLIPKVRDGLTGLSKAEEKNCDVLGRLLYTAKLVAKQEGLLENGFRLVINDGPDGCQSVYHLHLHLLGGRQMNWPPG >Solyc02g033030.3.1 pep chromosome:SL3.0:2:30155973:30158509:-1 gene:Solyc02g033030.3 transcript:Solyc02g033030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLPYTWMIEKALQDWMKKGILVQTQYPSLSTKEYDNLPRGHTTLLKHHLHKMCEKMKSL >Solyc02g021160.2.1 pep chromosome:SL3.0:2:22266661:22297146:1 gene:Solyc02g021160.2 transcript:Solyc02g021160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKLNHQMRVLFLRKLFHRVC >Solyc11g070030.2.1 pep chromosome:SL3.0:11:54886347:54892309:1 gene:Solyc11g070030.2 transcript:Solyc11g070030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIARNAKLLTGTAPFLQRAATIHTTLPSLSQQPASSPATYGGAQPPSMNTPAGISKPAEYVISKVDDLMNWARRGSIWPMTFGLACCAVEMMHAGAARYDFDRFGIIFRPSPRQSDVMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYAVVRGCDRIVPVDIYVPGCPPTAEALLYGILQLQKKINRRKDLLMWWTQ >Solyc04g079640.3.1 pep chromosome:SL3.0:4:64118215:64124690:1 gene:Solyc04g079640.3 transcript:Solyc04g079640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTICIVHQIPAAFTNGGATMDIFLIVIMVASSSVFFFFLRKHAFSAKIHSKSKRVPGAGGAWPIIGHLHLLSGSETEQLPHKIMGRMADKYGPIFGMKLGVHQVVVVSDPKLAKECFTTNDLALAGRPKSMASEIVGYKHAMFALSAYGPYWRETRKIATIELFSARRIEMLKHIREFEVKSGIKEIYSDWGKNNLNGVVKMEMKEWIGDLVMNTMGKILFGKGRRSNEDEGINKAHKAIRRFFELLGAFVVADFLPYLRWLDIGGHEKAMKEVSKEMDSVVEEWLTEHKTKRGIIKSGEEEDFMDVMLSICEDRDLPGFDADTAIKANCMGLLSAGTDTTIVTLTWALCLLLNNYEALKKAQDELDAHVGNNRWVQESDIKNLVYLQAIVKEVLRLYPAGPLSVPHESMEDCVIGGYDIPKGTRLLVNLWKIQHDPNIWPNPHEFKPERFLSTHKDVDVKGNHFELMPFGSGRRMCPGISLALQVVPFVIAVLLQGFDMKRPSDEAIDMSESFGLTVLKASPLQVLLAPRLASKQFYSVKKQSSSRKVPGAGGAWPIIGHLHLLAGSESDHLPHKTLSRMADKYGPIFGMKLGVHQVVVVSDPKLVKECFTTNDLALANRPKSMVSEIIGYKDAMFGLCSYGPYWRETRKIATIELFSARRIEMLKHIREFEVKSSVKEIYNNWGKNNLNGFVKMEMKEWIGDLVMNTMGKILFGKGRRSNEDEGINKAHKAIRRFFELLGAFVVADFLPYLRWLDIGGHEKAMKEVSKELDSVVAEWLTEHKTKRGIIKSGEEEDFMDVMLSICEDRDLLGFDADTAIKATCMALLSAGTDTTIVTLTWTLSLLLNNYQSLQKAQDELDAHVGKNRWVQESDIKSLVYLQAIVKEALRLYPAAPLSVPHESMEDCTISGYDIPKGTRLLVNLWKIQHDPNIWPNPLEFKPERFLSTHKDVDVKGNHFELMPFGSGRRMCPGISLALQVLPFVIAKLLQGFNMKRPSDEPIDMSESFGLTVLKASPLEVLLAPRLAPDLYE >Solyc12g010030.2.1 pep chromosome:SL3.0:12:3165503:3165799:-1 gene:Solyc12g010030.2 transcript:Solyc12g010030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLGPGNGGAITGALFLKQFVDEKVQWLHLDVAGPVWSDEKKNATGYGVSTLVEWVLRN >Solyc02g014073.1.1 pep chromosome:SL3.0:2:15374023:15374867:-1 gene:Solyc02g014073.1 transcript:Solyc02g014073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGLYFTPDDDQGVLLDEITDSTLTTALHWRQFSEDYIAIMEGDLREGKHNISIFMQPKFSTISKHTNAVLSEIEVFKISNPDNNHGSVSPVHLVASTTPEKSEESVLFYTKNQIATVPTFRLTLINVAVYHIRCDAENKSIKTNN >Solyc03g025420.3.1 pep chromosome:SL3.0:3:2866574:2874196:1 gene:Solyc03g025420.3 transcript:Solyc03g025420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVKPEMKSYIWLETADGSIQEVEEEVAMFCPVICRELLQNGKGSSKNCAILLPERVNPANLELILEFCRFHQVPGRSNKERKKHDEKFVRLDTKTLCDLASAADSLQLRPVVDLTSRALARVIEGKTPEEIRETFHLPDDLTEEEKLEPLRNMTDDPRIRLLNRLYARKRKELYDRKKLKNVDVEEEPRVDERSVDDLLSFINGGDQDSKAARVTKNKKKSRGRKEQARNSSSNNEPGNNNKESNCLTSGCLNGDTSDGSSPSRNSELQNSPSAMFSSKLDLDDFDIDDELDPARKEEIDREVEDFARRLDSVWPERIQQILSLGQENRRLTPISMNGNGSMKRCTAGVDRG >Solyc08g080420.3.1 pep chromosome:SL3.0:8:63825534:63832709:1 gene:Solyc08g080420.3 transcript:Solyc08g080420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEEKKNMKTKPHFWRWAVASMIFRLVLIYFPKNLNLATRPEVSTPVTSLRRLAEGYWLTQSSMSPYAEFHLSDALILNVLEGSMYHGSPLLLSVLELKDSLIIFYADFISAMLIRATGLKLRMAYCERLKSLGLGKLFEISGEYSALMSSPIGSFWVGCSISFVSLSDNPDYTCNGPDATPRKLFLMYKKDEADNSSKGRVVNGLSEQKNFSWRPVGLFFVWVFIWTLYTLVLCGIFMRNYGGISEMFNRTYGFILTVKDLSPNIGVLWYFFAEVFEFFRDFFLIVFHVNILFMILPLAIRLKHRPCFLAFVYMAICSILKPYPSVGDSALYLALLALFFNELAEMQFSFFLFCGFVGVSLLSPVMHNLWIWRGTGNANFYFATGMAYACFQIILVVESVSAMLNHDRKIRKLVATT >Solyc07g054310.2.1 pep chromosome:SL3.0:7:62789136:62789516:1 gene:Solyc07g054310.2 transcript:Solyc07g054310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRDIAKINDKIKHPDTFFPYDGTVLIPGIGRVVVPPKGTHVNPFTYNPITGSNNGNGLVIPIPGSGTGIGSYIPGGDDTFIPNPGVKVPSGGTIPVPP >Solyc12g006990.2.1 pep chromosome:SL3.0:12:1420101:1430214:-1 gene:Solyc12g006990.2 transcript:Solyc12g006990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRREDEKNERTIRNLLKLPDNRRCINCNSLGPQYVCTNFWTFVCTTCSGLHREFTHRVKSVSMAKFTSQEVSALQGGGNASAKEIYLKDWDPQRNSLPDGSNVERLRDFIRHVYVDRRYSGERSFEKPPRGKMAEAENMNENRKTDTYRGGSRSPPNEEVYERRYSDRASPGGRSSGGRSPGYDQRSPARAEVINDWRREDRFGNGRTSDGGSKFESMSPDRQSDLDTSSPPMVRPVREILGDSVSPLRVIEPPKTNGGRSAADSSMRTQRTASSSSLASSNGNPVELKTETSLIDFDDVPEPPASAPAPQIQQSVTVMPVAQPTKSADNWANFDSFAEVKASPAPSNTNLLETVFSELTAPAIATAPSGSPGGSAAPFTPFSSFAPGAATTDNSAAFPLGGAPAAPTEQTSILPVSGGNAFANTHGGHWSNMQPQQTSLFPVTGQQAISQLSTPAAGGPSGNQQWNSSVSPSTLGFPSSATAQVPQAVNPVLQEATSAVASQASSVEIKSIGRKELPADLFAVNYPSIPGAFPGWHAGPQQGYGFAMQYNMSMATNAFPQPSKTTNPFDVINEPTSQAPTFPSMSSLQGALPNMAAPTGLLHTSSLGAPTYPPAMPQQAPSYASAIPPGSYMGHQVAGSMPQRPPGVASFGFDGVAFGGLNSNQQPGGLYSAPPTQNTFSSSGGNPFG >Solyc09g060027.1.1 pep chromosome:SL3.0:9:57715877:57717290:-1 gene:Solyc09g060027.1 transcript:Solyc09g060027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILHDLVLLSLLGTLPEYHQLKDSFHMKDLGTLTYFLGLEVHTVASDTPLELNVKYHHEEVVSLKYLTITRPDICFAIQQASQFMKAPYHLHLVAVLRIIQYLLVTSTHGLFFSSGSPIHFNAFSESDWEGCLDTHHLCWCMFLRSKKQDRVSKSSTEADYRFMSTAFSEVVWLRGLLDEIGFPQSHPTPLHADNTNATTIATNPVFHD >Solyc09g064365.1.1 pep chromosome:SL3.0:9:61743394:61753003:1 gene:Solyc09g064365.1 transcript:Solyc09g064365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTNNTPSHKGEHLAESISNCLLDWKLDNVFTVTVDNASSNVVAVLELSKKLDMWGTNLMEGKHLHVRCMTHIPNLIVQDGLKEIDPSIKRVRQMVKYVRSSSSRTRNFLKCVEMKKIECDKMLSLDVPTRWNSTYLMLDIAEKFEKAFERFDLYDGNFNSFLATDVCEDGSIVGSIQYEDWANVRNVTKFLEKFYELTLKVSGSRFALEELLGEETGNVVNTKVEAYLRNLFAIYVSKYGKFSKNQPSSSDSSDSSASGISQNVSKNSLRTKLHMKKQKNDSGSLGVNSELDKYLLEDQEPESGDFDILSWWKVNFPRFPVLSQLAQDVLAIPMSSLASECAFSTGGRILDPFRSSMTPKCVQCLICVQDWLRQETKPICIEE >Solyc09g010960.3.1 pep chromosome:SL3.0:9:4306605:4319490:-1 gene:Solyc09g010960.3 transcript:Solyc09g010960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMEKYSWSYEDELIKELLDDESPFFFAPQHDSTTSSSDNYCSLDVTKSSISSFSSWPNNIHDDIESGLSMTSNGLIQSHDARNLGLGRGLDMMMNKHEAKYTLRIQTCGNAMADDGYKWRKYGQKSIKNSPYPRSYYKCTNPRCGAKKQVERSSDEPNTFIITYEGLHLHFAYPFITLDPPQSLNQPNKKPKVTDSKAHNYESENTSGVDESPALVNPCSIVDLEQGLGFGEMGSQGLGLDRMGSQGLLEDMVPLMGVGYGVRSTVLDEVATGGACITRVDGGLGFVRTYLTLIGGGRRGLSKELDSVLASPRLRRFFCSEGPKRRNYENYYPKNKKEIPKANNNQKAESGKEEGSGEQGNPQENFIKLNYNLLAPLLFIGFILSSILMSPREQQEISFQEFKNKLLEAGLVDRIVVTNKSVAKVYVRSSAPSPDQIGDVAVQGPVAGRNDRRNTSLYKYYFNIGSVESFEEKLEEAQEALRIDPHNYVPVTYVDELNWFQEVMRFGPTVLLLAVLYFMGRRVQGGMGVGGPGGKGGRGIFNIGKAHFTKMDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYELLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPARVRSLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGGHDERESTLNQLLVEMDGFATTSGVVILAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRDQIFRIYLSKLKLDHEASFYSQRLAALTPGFAGADIANVCNEAALIAARNESTIITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDVTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDGFEMSKPYSSKTAAIIDTEVREWVSKAYDRTVQLIEKHKEHVAQIAELLLEKEVLHQEDLVRVLGERPFKSHEPTNYDIFKQGFEEENKETKVNPENKTVEDNGSPPVVPEVVPL >Solyc06g008360.3.1 pep chromosome:SL3.0:6:2251780:2258910:1 gene:Solyc06g008360.3 transcript:Solyc06g008360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRDIGSSLPPGFRFYPSDEELISHYLYKKIANQEVIKGTLVEIDLHTCEPWQLPEVAKLNSNEWYFFSFRDRKYATGFRTNRATISGYWKATGKDRTVFDPQTGALIGMRKTLVFYKNRAPHGIKTGWIMHEFRLENPHIPPKEDWVLCRVFFKGTKDENINSTNNESTSITSFNMDNHEGTMPKLLSYGGGEYRPSTLPNFSTNSIYQNQNSSNNLQLSQEINKVLANEIIHSKCGKEDEFGFLFDMNYFEESSLQDGGVHSCLDGDMKFEDENSLVFI >Solyc02g085440.3.1 pep chromosome:SL3.0:2:48975857:48978708:-1 gene:Solyc02g085440.3 transcript:Solyc02g085440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSGIDMLNDESLEEDMYSDSSEFYVAVKPQGGGIDSLAEFDLRTAAYKVEEGNNGSKYPVEIIMRVNMTIKDVAKRHILPFLPAKSLMKLRAVSKEWNQWIASPLLAYNQSSTFQKLSGYFYQRLDNVDDPPILLSLHRSAIGVPSPSLRFLPVKVKVLSSSSGLLLCQGKDSYYVCNPATKDWKKLPPPQYYHGSDPAVALAFDPQFNIESFYRVVAAVPVLDNPVVCFEIYCSESDSWSI >Solyc10g005830.3.1 pep chromosome:SL3.0:10:639519:640938:1 gene:Solyc10g005830.3 transcript:Solyc10g005830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKPFIYSPSVRLHRRLAGSPIADSSSDSFPVKSLTESTHQSIHYFSLPILPTRRRSFSVSMESSGVSTTSPSVVKLKPIEATAETFKEFGQVIEASPDGEEFGPRDAQLDLSNGIPRFYIMQLKDRSLKFSKITHHANVTQCLGSIGGNVWYLGVAKPSIVDPTDTKGAVDIVVKSHCGHFYVPPAVDEVQAFRISGPKFIKLSHGTWHAGPLFTDDKMDFYNLELNNTNVVDHTTHDFIKKNGVVFVLDD >Solyc02g089710.3.1 pep chromosome:SL3.0:2:52092044:52093542:1 gene:Solyc02g089710.3 transcript:Solyc02g089710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGPAELFKSFRKIVLPILTLLLLLKINFSSFDTNTYSLLQNINDNAITQLLSPDNSEKTGQVCNIFVGKWVPNPKGPYYTNETNCVIDDRQNCMKYGRPDSDFLHWRWKPNDCELPLFNATQFLQIFRGKTLAFVGDSVARNQMQSLVCLLSSAAIPVDVSETPDTRFRRWLYKDYNFTIMALWSPLLIKSHETDPSYSLMNLYLDKADDAWASQVDKADIVIISGGQWFFRPFLYYKNDQLIGCYLCNDKNVTKQSHFYGYRMAFRTAFKTFLNLNKSKGRLVMLRPYSPSHFENGEWNNGGNCNRTSPYKNEEMKLEGYELKMYLTQLEELKAAEKEGRKRGSVKFKLLDTTEAMVMRPDGHPSHYGHWPNEKQIPDCVHW >Solyc12g015810.2.1 pep chromosome:SL3.0:12:5806050:5809724:-1 gene:Solyc12g015810.2 transcript:Solyc12g015810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPKPPPDHDHPQPPSRNRVQSNHNTLSADPHVRQALYIAMAHAGIALTIFILYAVGKLLQDYLRPLLWAVLCSIPLRGIQHALVAFWSEPLKLGLTETILAVPVAVFRVSVGTLVEIKDMIYRVVHRRQKQHNALRRHRSGFFILLRWLVSFWVFVITCEQIGGVGSVALLAFGFMFTANSVDSTINAVTSLRSQSFRRLPITAFFTRGILKKLKTIVAIGLIVGLILGSLAGMIFFSYKIGVEGKDAVFALKSHVEESNYAEKIGIRKWIDEHDVPEMVDKYSNQLCQTVLDQIDSYAMQYNMTEFVSGIKQFVITPANNSSERSTALASRSPYTKKILSLKRRIKDREWGQIYTEVDAIFRELLITRVDLVEKAKGFAQRVLVSSKSVLGGSVKVIFLIGNSIVSGAAGLFNFVSQTILFFWVLYYLITTESGGVTEQVISMLPISRSARTRCIEVLDKAIFGVLLATAEIAFFQGCLTWLLFRLSSIHFLYMSTVLAFVSPLFPIFPSLVSTIPAALQLVLEGEYMLAISLSVIHLVLMDFGASEIQEDFPGYSAYLTGLSIIGGVTLFPSAVEGAIMGPLITTVLIAIKDLYVEFVLEGQEE >Solyc09g075210.3.1 pep chromosome:SL3.0:9:67409276:67420507:1 gene:Solyc09g075210.3 transcript:Solyc09g075210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein [Source:UniProtKB/TrEMBL;Acc:Q42475] MARSFSNSKTLSAFVSDSVSAFLSRRGYAAASQGAVSGVAKGVPRSNVMMQKSGEESVKTSWVPDPVTGYYRPEGQANEIDAAELRKMLLKHRSGSN >Solyc10g008250.2.1 pep chromosome:SL3.0:10:2356826:2359900:-1 gene:Solyc10g008250.2 transcript:Solyc10g008250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCQRSSSAPAGVKLERKDVEKNRRNYMKNLCNQLYSLIPSTHHSRETMGLQDKIDAAIKYIKSSEMKLEKKKIHLEKLSRLSGRKRPKSSNSTNGPSPSTGLSSSPQIQFHEMGPNMVVVLISGLDNIATFNNIIRLCHDEGVEVVYANFTLNGNSMLQISHETKINMSSTMECRAANLCDKLKELLHGKSYDNELESQLYLWDYIVESELLKFYDVEFLPSTSQNSNMYN >Solyc10g005760.2.1 pep chromosome:SL3.0:10:605791:607465:-1 gene:Solyc10g005760.2 transcript:Solyc10g005760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIPCCEKDNVKRGQWTPEEDHKLSSYIAQHGTRNWRLIPKHAGLQRCGKSCRLRWTNYLRPDLKHGQFSEAEEQTIVTLHSVLGNRWSVIAAQLPGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLISEIATTLSPPQVPHLAEAALGCFKDEMLHLLTKKRIGFQFHTQFTNMTSTHNNAPSTSHVKHEENNNYKDNNTIEKIKYGLSRAIKESDKNWETSVGGTTSSNFHDNGGFSNYNFANLLNDEDGSPWNQ >Solyc08g036440.2.1 pep chromosome:SL3.0:8:11341287:11343159:1 gene:Solyc08g036440.2 transcript:Solyc08g036440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPFDLLTVNITPEPEKDNDMVEGFNKRVLLFFFFHDNFFKTAFSAECQEKKVKFYGRSRFDPSIPQGYFGNIIVLTNALCTAAKIVKNSLSTAVKLVQEDVKLVTDSYMKNKS >Solyc12g099515.1.1 pep chromosome:SL3.0:12:67614102:67624521:-1 gene:Solyc12g099515.1 transcript:Solyc12g099515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDGREDNDNFLCLTKVNYAKPQIAESTLCSTKVLSLIDFLYIRAKETYHQLMYPKKLELCRASTMASKFSRFSMEGAIERIVNDLKTQTSMSESTLKDIQTLLDHTLKAHDPIDIQDFYDGLSSRNLSPTSLVNSIASAMDSSPLSVSLLASKVYLSLLLTPNSPVFTLFTPMAFLSLLRSIRQGFKAPSTVSPDGSGSSNQGKRKRGRVRKGGRNVRDGENESEFDVRVLFIMLDRLEMVLSLVHLGRFPDCLKSLVQTIAEIAVKGVDLCGNSGIYGGFCELGNQILSEVLKSEHGDQGISAVEVLKSLTPLILLVKSPARTFSLEFVVNRMMILAKESNDIKKTVLNFPKYIVQKAPEKAEPRAAAVEAIVEIVKRMDFEDQNEFASYVVKISQGKAHLRLLAVDLIPALMMSLKDPFGWHSNVEVESSWGLSCLELLIQRCSDATAGIRARALTNLAQLVGFFSGNDKSKSVLKKFMGFGSVGNDVSDKPGSVMNSILKKRCMDEKAAVRKAALLVISKLASLSDSAPDEDFLKTLGMACSDPLVSIRKAAISALSEAFRIFTEGSVVKEWLHSIPRLITDNESSIQEECENLFLELVLDRISRSGSSNLLNHASEGSSNGKAAALEMKMESLYPQGVLGILREICDGEVTPWVKKICTNLGKKKKLKPKIVTTLQNIIKSSESLWLSDSMPIDKWTAPPGTWFLLSEVSAFLSRATDWEFLHHHWQLLDKYKATGDPDSSWDPGCPEEGLNTTSSTFSWAADRVHLLQTISNVSMDLPPEPAADLAHNLLQRLEEFNMHSTEVNAHVKALKTLCKRKALNPQEGESLVAKWVNQLISKASRLLDAYMSKNVEENGTIFVTPLGCTTGKGKRTVASHSKLLPETITAVHTIGSLVTNCPAADLSTIVPILHTIITSGTSNTRAKKPAVASISIKKTAPSLYIQAWLTMGKVCLTDGKLAKRYIPLFVQELEKADCASLRNNIVVVMADFCVRYTALVDCYLSKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESETIRQLADFLFGNILKAKAPLLAYNSFVEAMFVLNDCDAHTGSSKPQNSRNETRIFSIRGNDEKSRSSRMHIYVTLLKQMAPEHLLATFAKICAEILAAASDGLLNIEDTTGQSVLQDAFQVLSSKEIRISTSRGSTTESADVEEEGADGGPSSAAKGRAITQAVKKSLIQNTIPIFIELKRLLESKNSPLTGSLMECLRNLLKDYKNEIDDMLIADKQLQKELIYDMQKYESMKAKSAAAEAVATMQRPDVYRSPSNPTTSSFMNKKPDEDNPRIASAMADAVAAVAARSVLREVNRGTSTPPLSAMKAPRLKSHSGGALSRGDKELLTSLIFCDSQNLLFLCSPSGRSVGSFDFTSGSR >Solyc05g047420.3.1 pep chromosome:SL3.0:5:59716807:59728525:1 gene:Solyc05g047420.3 transcript:Solyc05g047420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNEKKFIESALESELRVDGRRPFDYRALTIKFGREDGSSEVQLGQTHIMSFVTSQLVQPYRDRPNEGTLSVFTEFSPMADPSFEAGRPSESAVELGRIIDRGLRESRAVDTESLCVVAGKWVWSIRIDLHILDNGGNLVDAANIAALAALLTFRRPECTLGGDDGQEVTLHPPEVREPLPLIVHHLPVAVTFAFIGDEHMVIDPTHHEEAVMGGRMSVTLNADGDVCAIQKAGGHGVLQSVVMQCLRIASVKAGDITSKIKTVVESYKTERALRMVKRHAPRNAVDVNAPGEKAKQVLEGPKLKSEECSVSQSDDMDVEQGRIKKKSDKDRSFTGRPSSWDPYSEGVNTDELKATLASRGSVAVPMNLDNLGDNIRDETKTDEPLPDVNQVSSSTDSAGKEMTMSKEKTLQDAVKPKNKRKKKKSSNTAAV >Solyc10g052650.2.1 pep chromosome:SL3.0:10:53163080:53167852:1 gene:Solyc10g052650.2 transcript:Solyc10g052650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELGMKKEKVSGMDLNIPALEALDCLDKEVGHKLPDLNLELPSLTDKLEPTSSTTHSLDLRLFLGHNEQPSPLKADFSLATLLEDKPGSRWVKRLKLSASSNVKANKSHRKNSKVTITGSVLTAGKLHCEELMDHDRTLALARNSDSSPMYVMKKQHELLTSLSWVQRWLHKRSATAQNRPKSVVVCEPCDSKSELGDFQKKQVPSIAAMALMGKAITGFQPCEFQIRGPCLVWNTVVSEISSSNSYFASGEECSGLISDHAINCILERSVDFDGASKPIIWLLSSMRERDLDAAGCCSKF >Solyc03g095760.3.1 pep chromosome:SL3.0:3:58381470:58387524:-1 gene:Solyc03g095760.3 transcript:Solyc03g095760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQCGLILCLPYTRSASLAALRMLSSSTAFSPNPNLPINSLHCTMKATSELPTKPPNFPFFSNPKITITNLPQNLAPSNCFSATNPVPILKANASRNEEVEGSVLSSESQLVVVSFYKFADFPDHADLRKPLKELCEKLRVSGGIILAPEGINGSICGRRDSVETVLAFIQSDERLKGLRLIESPVSPEEEALHHGHMSSSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPSVSPIERVGKYIKPTEWNALISDPDVVVIDVRNDYEIRVGKFKGAVDPCTTAFRDFPSWVEDRFKLADSGDKSESSGSAGASDKLTKEKGNKKVPRVAMYCTGGIRCEKASSFLLAKGFDEVYHLEGGILRYLEEVPKTESLWEGECFVFDKRVSVEHGLVQGTFKLCYGCKKPVSDADMESPEWEYAVSCPYCFASKSEEEKERARARQSQFERWGIIGGPDKGRRPPKTVDTNVNSATQMSKSL >Solyc12g042055.1.1 pep chromosome:SL3.0:12:57719556:57719973:1 gene:Solyc12g042055.1 transcript:Solyc12g042055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYPKLTIGTNKHYDFEFLTLPLQDHTIGFKCFTKFNGLMFLLRVNLRLIRANISMYIRVEHTVMTKKVGTRIPITELLSEGNPQKYHATTVKNYHEYYH >Solyc03g082830.2.1.1 pep chromosome:SL3.0:3:54105209:54107578:-1 gene:Solyc03g082830.2 transcript:Solyc03g082830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPIAQPLPLQPPNDSFNFRASKLSFNKSNLPRRRDFPPPISTEQANFSPLDDDFVSSSSFASVLDSCKCPNLGKQVHAQALKNGFHGHEFVETKLLQMYGKCGCFDDAVQLFDKMLERNLYSWNAVINVYLSNGLSKEAFECFRQVRFEELELEFFLFPVVLKICCGYGGVELGKQLHGTVIKYGFASNVYVGNALIDMYGKCGSLDNAKEVLNKMSKRDCVSWNSVITAFAANGMLSEALQVFNKMSAEDHFTPNFISWSALVGGFSQNGYDEEAIEYLYRMQVAGFQPNAQTLASVLPACGRLQMLHLGKEIHGYLTRNELMSNSFVVNGLIDVYRRCGDMESALLIFSMYSMKNDVSYNTMLVGYFENGEISKAQELFYQMEHEGKCEDIISWNSMISGYVNNFMFNEALNMFQKVMQKEEFEADSFTLGSALAACADMGLLRRGKEIHSYAIGRGLQTDPFVGGALVELYSKCLDVGAAQKAFDEVDERDIPTWNALISGYARSNDMVSVESTLEKMKADGFDPNIYTWNSIIAGHVENAHNESALQLFLDMQSSGLRPDIYTIGTILPACSRLATLDRGKQIHAYAIRFGYDSNTYIGSAVVDMYAKCGCVKHARLAYDNIKKYNLVTENAMLTAYAMHGHGEEGIVFFRRILDNGFIPDDITFLSALSSCVHAGLVETGLEFFNLMRSYNVKPTLKHYTCMVDLLSRTGKINEALKVVNEMTLDPDTVIWGALLGGCTIHGNLEVGEIAANKLIKLEPGNTGNHVMLANLYASVGRWGDLAKI >Solyc07g062280.3.1 pep chromosome:SL3.0:7:65186321:65190308:1 gene:Solyc07g062280.3 transcript:Solyc07g062280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylhydrolase 3 [Source:UniProtKB/TrEMBL;Acc:B2Z9Y4] MSNYFLISLLTLSLFLVVTTIESAELFVPAGCPLPDHNLNYRPVIGIISHPGDGDSGRINNSTGVSYIAASYVKLVESGGARVIPLLFDDSPQLLNQKLNLVNGVIFPGGWAKKGHYFETIKAIFGKVLEKNDAGEHFPLLAINHGFELLMMIVSKDNNILEKFSVSNQATKLHFVETVNIEDTIFGRFPPTLVKKLSKECLVLQSHKYGLSPEKFQANDDLSSFFIMLTTSTDTRNKVYVSTLKAENYPITALQWHPEKSAFEWGSSAIPHSEDAVQVTQLVANYFVSEARKSSNKPEAQKVLDNLIYNYEPTYSGKIGIGYDEVYVFNSPAMDSV >Solyc07g041475.1.1 pep chromosome:SL3.0:7:53360265:53360878:-1 gene:Solyc07g041475.1 transcript:Solyc07g041475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFLHAKFHNKDLGKLKYFFGVEVARSKIGFFLSQRKPDNGDPLDNPERYMKLVGKLNYLTVIRPDIAYAVSIGRARIDRRSTIGHCIFIGGNLRNKKQIVVSRLSAETEYRDMAQSTCEILWFHHL >Solyc12g089050.2.1.1 pep chromosome:SL3.0:12:65177720:65178673:-1 gene:Solyc12g089050.2 transcript:Solyc12g089050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYKIMIIKFLENPFLIFFLLLLSLNYSYFIIPKFPKGIPRIILISPIFYILYFLPWCFSFAFLRGILSFFITWITSFKLILFCFNKGPLSLCKNPIDFILISILPLKISIFDEKSVRNTSEKSIHDEKIVDRKYEISDKTSIKKLISDLKDVSQKYEIFDMSIYKRYEMEEIFKQPYLATSFRDFWGKRWNRYSSKMLRLTIYDPTNEALKNLGKNTSNLLAIVCTFVVSAIMHELMFYYITCGLCLKPTCEVMWFFVLQGICISCEKFFYAKNWVIMDARISILLKRIFIVFSFYFLLVLPVVREGKNTCEVTK >Solyc03g043940.3.1 pep chromosome:SL3.0:3:7690258:7694288:-1 gene:Solyc03g043940.3 transcript:Solyc03g043940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFFTDILESSILACLLFSFSFSGLRCCSFSILIIIFDFSGSAPIGLWCYFLNYPVEICSVFDLPHVVEGLEGGSNLKYIGGDMFKSVPSAHAIC >Solyc01g107625.1.1 pep chromosome:SL3.0:1:95009702:95011787:1 gene:Solyc01g107625.1 transcript:Solyc01g107625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKETCSRLTYRIAFIQHRWDEVTLEKEVSLLAGWVMVEKCYLIDLNVVGKVLFDGVVSQSGVNKAERLLNESGCLVKILEKDVKSEFNLRRNSRDKEK >Solyc08g007840.2.1 pep chromosome:SL3.0:8:2350854:2352120:-1 gene:Solyc08g007840.2 transcript:Solyc08g007840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTSNTTKSRTNTKHHVYRGIRCRSGKWVCEIREPRKTKRIWLGTYPTPQMAAAAYDVAALALKATQNIVLNFPHLVDSYPKLPPSPSPADIQRAAATAAEAMASLGYDDDRSLDRRDGAIGNERGSTEYPSSSGNYDMQIGDAQTTMGEDEYVDEEALFEFPNLVVNMAEAMMLSPPRINSFPSEDYSSGDFDTESLWSY >Solyc03g079880.3.1 pep chromosome:SL3.0:3:53115021:53115694:1 gene:Solyc03g079880.3 transcript:Solyc03g079880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLLCVVGFVVLLAIAGADGAGECGRNSPDMEAMKLIPCAEAASDENASVSRSCCLQIQKLGHNPKCLCAVMLSNTAKSSGANPEVAITIPKRCNLANRPVGYKCGPYTLP >Solyc08g008540.1.1 pep chromosome:SL3.0:8:2926852:2927413:-1 gene:Solyc08g008540.1 transcript:Solyc08g008540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSIALYICNTRVAKELRAGNPDGAKHVVVVAFKQTIVLVYGLCLALLNHHVAWAILFSDSAEISNKFASTGWLEDLGGSVMLCASTWLVVFKFSLHAQVLWIGLILV >Solyc01g110600.2.1 pep chromosome:SL3.0:1:97165885:97167470:1 gene:Solyc01g110600.2 transcript:Solyc01g110600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPKFFLSATKETLKLRMTQKRNRFALDFNNLYIVARRNIKGFNN >Solyc04g072940.3.1 pep chromosome:SL3.0:4:60027786:60028793:1 gene:Solyc04g072940.3 transcript:Solyc04g072940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRKLAPELVVFKPNLVLYFGLNPRILRSSLSPLHQKSLVRGK >Solyc09g010440.3.1 pep chromosome:SL3.0:9:3810027:3813133:1 gene:Solyc09g010440.3 transcript:Solyc09g010440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAASGMAVHDDCKLRFLELKAKRTHRFIVFKIEEKQKQVVVEKVGEPAQGYEDFTACLPDNECRYAVYDFDFVTAENCQKSRIFFIAWSPDTSRVRSKMIYASTKERFKRELDGIQVELQATDPTEMGLDVIKSRAN >Solyc07g009160.3.1 pep chromosome:SL3.0:7:4204339:4210482:1 gene:Solyc07g009160.3 transcript:Solyc07g009160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGESNYEIHQNAYIKLILHALKHKTSSVNGVLLGRISGNGDNVEIVESVPLFHSQIGLLPPLEISLIMIEEYYADKGLSIVGYFHANERSDDFEVGNVAKNIGDHIYKYFPQAALLLMDNKKLEALLKEGKDRSPVMQLYTKESSRSWKLAGADASGSLKIKEPSANIVLLDYVSSGKWKDIVDFDDHLDDISKDWLNPELFK >Solyc10g078495.1.1 pep chromosome:SL3.0:10:60433687:60434272:-1 gene:Solyc10g078495.1 transcript:Solyc10g078495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGVVKAVVADFVMTFIAIFCVSTIGVLTYIIRSAFGIAPGLASLSITILIVFLLFLMLSVIAEALGGAAFNPAATAAFYAAGVGKDSLFSVAARFPAQDK >Solyc04g025040.1.1.1 pep chromosome:SL3.0:4:26052292:26053005:-1 gene:Solyc04g025040.1 transcript:Solyc04g025040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQTDMYPSNGFKQQLSYYDDNDYYSSEDNYYSNNGSSMQMKKPYGYPTSSPTQNHHMMMSHGGYSHDDKYQYGHMSHDSANSSSYGSSGFQHTSNMNGGYGSSGMQHSSHANAGYGSAMYGSAMQQSSHINGGYGSGMQHASHMSGGYGSGMQHTSNMTGGCGSGMQHSSQMNGGYGGGMHHSSHMNGGYGGGMHQYSHTHMSRGVEKDSDRKPAYYEQHNNNTDHMWNMKSLND >Solyc10g076640.1.1.1 pep chromosome:SL3.0:10:59683811:59685100:-1 gene:Solyc10g076640.1 transcript:Solyc10g076640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISKRKKRKEDDEQPMMNCNIPNEMVIEILGRVACQDLVQNLKLVCKKWYSIIYNGSFAYSHFEHNNNNNKKKKSSSFSQLKALVISTSNGKSVTVSSLEWHDNFLEKPFENLTMNDCKDLFTVEYTSESRIEQMSFHKANCVNGFVCFWSTRHARFHICNSMTMEYVTTPPNPYWSSIRGNHITAGLGFCPITYQYKLVVFQSHYDFDAPKSSELVKGSISTINGKDQSWRPLKWIDDSLEPGPRSPACVNGALYWTTNTTCADYSRGIYDTTILVAFDIAKEESYVIEVPIESEAHHVSIEEKGGKICLITNELCHDSRCILFKGYIAHNMNDLENLNSWKLEFNVTIYDIYGEFGDQHMSFTNEILMVDTYESMSFFDVATGKILDEFQTSNSTRSILIPYVPSLISLHHRPVREKKYLDAVCGL >Solyc09g064810.3.1 pep chromosome:SL3.0:9:62441778:62450585:-1 gene:Solyc09g064810.3 transcript:Solyc09g064810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEDIILSAVLNLLSAFAFLVAFAILRLQPMNDRVYFSKWYLKGIRASPRTSGTFMKKFVNLDCRTYLRFLNWMPAALRMPEPELIDHAGLDSAVYIRIYLLGLKIFVPLALLSFAVLVPVNWSGKSLEKTEGLTFSAIDKLSISNVPDASKRFWAHLVVAYVVTFWTCYVLYKEYHIITTMRLQFLASENRRPDQFTVLVRNVPPDPDESVSEHVEHFFCVNHPDHYLTHQVVYNANNLAKLVEKKKSYKNWLTYYQTKYERNPKIKPKTKTGVWGLWGKTVDAIDYYTTEIEKLSKEETIEREKVMSDPKAIVPAAFVSFKSRWGAAVCAQTQQSSNPTIWLTQWAPEPRDVYWNNLSIPFVELNIRKLLMTVAFFFLTFFFMIPIAFVQSLASIDGIENKLPVLRPLIQMEVVKSFIQGVLPGIILKIFLILLPMILMAMSKIEGYTSLSSLDRRSATKYHFFILVNVFLGSIITGAAFEQLQRFVDEPPSEIPKTVGVAMPLKATFFITYIMVDGWAGIAAEILRLVPFIMFHLKNTFLVKTDQDRQQATDPGSLNFSVSEPRIQLYFLLGLVYSVVTPILLPFIIVFFAFSYMVFRHQIINVYDQKYESGAAFWPDVHRRILTGLVISQLLLLGLLSTKNISKSTPVMIALPVLTIWFHIFCKGRYESAFVKFPLQDAMVKDTLERATEPTLNLKAYLKTAYVHPVFKGVELDRPSAVDDEENNPLVPTKRSRRNSKSHSEEGV >Solyc01g066590.3.1 pep chromosome:SL3.0:1:74502363:74506122:-1 gene:Solyc01g066590.3 transcript:Solyc01g066590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain [Source:UniProtKB/TrEMBL;Acc:K4AWR0] MSEDAKKSGGAPAVKPTSDDRRISSGSVPSPTGTKVTIKSADMKPDVQKEAVDIAIAAFEKHNVEKDVAELIKKEFDKKYGPTWHCIVGKNFGSYVTHETNHFVYFYLDSKAVLLFKSG >Solyc08g080810.2.1 pep chromosome:SL3.0:8:64126480:64130354:-1 gene:Solyc08g080810.2 transcript:Solyc08g080810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTFAEIKQNSYGSTTNKLSTIWSKDGGCPSGTVPIKRITKDDLIRQRNMPPLEPANFDDEFVISNNNIEQKGSYYQFNGYKRAIARPQYDPKLTKFSGAGMATSLYNPHVEGQQHSACRLKIRNGSDILQVGWRVDPTLYGDNNTRLYVHYQTGETHCFNTLCPGFVSVRTDIPLDMTFGDNLSQRGGSTREVDLYIYRDMGVGNWWLLYGADYTQVGFWPHDILNELKGFATNVDWGGVIYNPPGLPEPPMGSSFYPTGNSSFDAYCRNIAVSNEDGDSVGIYSIVWLTENKFAYKIQFDTILEGSNTFTYVFYGGPGISNP >Solyc12g100310.2.1 pep chromosome:SL3.0:12:68045243:68065572:-1 gene:Solyc12g100310.2 transcript:Solyc12g100310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQSGGLSANNKGTEQDDDGKNSPIPKKVKVGMSPVYQVERKLGKGGFGQVFLGRRLSGGNESSNGQGAVEVALKFEHMKSKGCNYGPPHEWQVYNTLGGSHGVPSVHYKGRVGDYYVMVMDMLGPSLWDVWNSSGQAMSAEMVACIAVESLSILSIMHAQGYVHGDVKPENFLLGQPSTLQEKKLFLVDLGLATKWRENVKGPHVVYDQRPDMFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGFQGDNKSFLVCKKKMATSPEMLCCFCPSPLREFLDIVINMKFDEEPNYSKLISLFGSLLGPDPAIRPINTDGAQKILQVGQKRGRLNVEEGEEQPRKKVRIGVPASQWISIYNARKPMKQRYHYNVTDTRLAEHVEKGTEDGLYISCVASCSDFWAIIMDAGTNFTSQVYELSQSFLHKEWVMEQWEKNYYISSLAGATNGSSLVVMSKGTQFSQQSYKVSESFPFKWISKKWKEGFNVTSMATAGSRWAVVMSRNSGVSNQVVELDFLYPSEGIHKRWDNGYRITATAATLDQAALILSVPRRRPGDETQETLRTSQFPSTHVKEKWAKNLYLSCLCYGRTVS >Solyc03g031520.3.1 pep chromosome:SL3.0:3:4056340:4057237:-1 gene:Solyc03g031520.3 transcript:Solyc03g031520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKLFIALFVAILVNLSWTPNKMQVMALRDLPVNVAKMKGKLLGLGDITTCGMACSGRSDCKEGFMCSDCVKVGTLFAQCI >Solyc05g007750.3.1 pep chromosome:SL3.0:5:2213248:2213639:1 gene:Solyc05g007750.3 transcript:Solyc05g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVDAKPLLSMSRSNLHSLGDVEWGSSILDHFFFSKSYWNVVTIRIRVGSGMNMGLVTVQSNMKMAANAGAGFFFTPLKQQSTIYLNPKPHFFLSL >Solyc01g108410.2.1 pep chromosome:SL3.0:1:95636735:95652653:1 gene:Solyc01g108410.2 transcript:Solyc01g108410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIMLSQVIHIPQSMGMSNIDMMSDQLISIGTFMFVQVLIAGLKPVAVTPVVAFSDMLLVKPYRRALHSSTRQVQCKTLDSPSPSSTTLFVDRAVSILKGYDPISLDSISSQFTPQYASSVLFQCRFDKPLVLRFINWARNRQFFNLQCKCISIHILTRFKLYKTAQSLAEDVALKFGDNKGELVFSCLRDTYYSCKSSSAVFDLMVKSYSHLKMIDRAMNIFELAKFNGFMLTVLSYNSILDALIRVSYNGSFELAQKFYDDMVQSGVSPNVYTYNIMIRGLCAKGELQKSLVVFNEMEKNGCLRNVVTYNTIIGGYCKIGKVDEAVKLLKLMQVRSLEPSVVTYNAIINGLCREGRMKETSEILEEMRGKGLMPDEVTYNTLVNGYCREGNFHQALVLHSEMLRNGLSPDVVTYTSLINSMCKTGNLHRAMEFFDQLHARGLYPNDRTYTTLIVGFSQQGLMNEAYKLLNEMISNGFSPSIVTYNALINGHCAVGRMEDALRVTQEMEQRRLVPDVVTYSTIISGFCRNCGLERAFCVKQQMVEKGVLPDVITYSSLIQGLCEQRRLTEAFELFQEMFRVGLQPDKFTYTTLIGAYCANGDIKGAFHLHNKMIYKGCFPDVVTYNVLINGLNKQARTREAKRLLFKLLYEQSVPNCVTYDMLIESCKDLELKSALDLIKGFCMKGLLNEADQVFELMLQKHKKPSEVAYSLLIHGHSRGGNLHRALNLFREMANLGFIPHTVSIIVLMKELFKEGMSEELHQVIQSTLETCKLADGELAKVIVEVNYKEGNMDAVSHDIVNVEGHILNSDERHSLERVKFPLCLKIVGFSQEATGCLHISPQKTVCKFIQKLHLIDLLPMEHLFHVHHSLFLSVVIQFSVSSRLGMKEISLFFMNNRFVQKGCKKMTRYEVSKPSNGNTHQRMVGGPPVETILWRNGSPEKPVLCNACGSRWRGRRTLDGYIPRHGNIEIENYLLPYDMKPAREGKKLEVGIEVSGQDGSSACLEEEMNNISSLCLAGSSSENCMQMEETNEAYKGSLWNPDSVPRRKRSERRKCILSPIERFQRQLHNILQEPDFENISADDENILVYARNKHFPPNEIGLGAMLPPTATKHLTTLSPLAEDNDASCSVNVPVGNSNSNL >Solyc06g036450.1.1.1 pep chromosome:SL3.0:6:26090233:26090535:-1 gene:Solyc06g036450.1 transcript:Solyc06g036450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVVDQVIVALSHATVLEELMREKLEARNGLQQQAKENVVKASQTRYSFQNLMNNGMRRPMHSIFGLLSILQDENTSTNKKIIFDTMVRMSTVLLN >Solyc01g080570.3.1 pep chromosome:SL3.0:1:79662022:79669163:-1 gene:Solyc01g080570.3 transcript:Solyc01g080570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKEKMLFMGFLHRIIFMILLFVPTFFNGVESLHRILLDTDVDTDDFFALFYILKLNRSEIDLKAVTIGTNGWTDSGHAVNQVYDMLYMMGRDDIAVGMGGEGGILPNGTILPDVGGYLPIIDQGDTTAGYCRYRQAVPVGLGGRLDIDSNYGFRKSFLPQGKRQYSPLRQPTAQQVMIDTISSGPTVVFLIGSHTNFALFLLSNPHLKKNIEHIYIMGGGVRSKNPTGCCPKNSTSSCQPRQCGDRGNLFTDYTSNPYAEFNLFMDPFAAYQVIHSGIPVTLVPLDATNTIRITEEFFETFEKNQHTYEAQYCFKSLKIARDTWFDDQFYTSYFMWDSFMSGIAASIMRKQQNYQGENEFAEMEYINITVVTSNMPYGISDGSNPFFDGRRTPKFNLERNGVHSGHVQTRLRDPFCVVKNGMGRCQDGYTKEVVGPSGVPVRVAVRAKPNQNPKSALDREFFVSFLDVLNQRENSGLFNFSTQFPHYRGELRKPDFSGKHPRKNVVFDMDMSAGDFIALIYLLKIPVEEINLKAIIVSPTGWANAATIDSVYDLLHMMGRDDIPVGLGDVFAMNQSDPVFSAVGDCKYNKVIPQGSGGFLDSDTLYGLSRSLPRSPRRYTAENSVKFGAPRDTDHPELRQPLALEVWESVVKSLDPGSKVTILTNGPLTNIAKIVLAGKNMTNAIQASDIVVVGGHINHDNTDKGNVFNVPSNKFAELNMFLDPLAAKTVLSSELNITLIPLGIQRKFSAFPTILKRLHLTKKTPEVIFVKRLLSTLHQLQKTHPRYKHMDIFVGEILGAVILASDYSVLKSTFDVKKIKVSATGYESVDGQIILDEKQGKSVKVLQNLDHSGYYKVFANRLSDEKQSAVVGSFNKQTRL >Solyc11g039950.2.1 pep chromosome:SL3.0:11:40784236:40787418:-1 gene:Solyc11g039950.2 transcript:Solyc11g039950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIDNNDYVKAKTSYKNTKASTYTIEDILKRNDPQEISPLIGSIQLPLSYFENAYLFAIFKKLSHPMLVLILREFIVDVGEIR >Solyc01g106173.1.1 pep chromosome:SL3.0:1:94016493:94019164:1 gene:Solyc01g106173.1 transcript:Solyc01g106173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILAKGSNKFEAAAALLARKSQPAPLSRMTLPYFAPLDSNPDLNFGGKQNPNKDAANSCCYKVQSSRA >Solyc08g065625.1.1 pep chromosome:SL3.0:8:53848344:53848782:-1 gene:Solyc08g065625.1 transcript:Solyc08g065625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRHIVGSSTFNQHLIDYPTPNNLSYWWGFGSLAGICLVIQIVTDFF >Solyc03g118070.3.1 pep chromosome:SL3.0:3:68532161:68541564:1 gene:Solyc03g118070.3 transcript:Solyc03g118070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLTFTWSHGGIHVFLCGSFDGWSEQIPMNLVEGSAAVFQRTVDVPPGYHKYKFLVDGIWQVDQDQLCVQDEYGAINNLVFVEDSVSMPSALIREDAQSNLVSGFTSSTHLEASSSSESPLEPVMQLLNNEIDVSRHRLFMFLSSSQAYELIPNSGKVFALDTKVAVKQAFHIMYEQRLAVMPLWDGQNAMISGMLTASDFILILLKLQESHPMLTHDELEMHTISAWKYGKSQLQAEVSGTMIPPNRRVLQAGPDESLKDVTLTLLQNKISAVPVLHSPEDGSSLQLLHTACLAGILKHIYRHFRHSLEYLPIVQQPVGNLPFGTWTREVGGRGSSRVLLTLHSGDLLSSALKLLIEGEISSIPIVDDNGALINVYSRSDITSLARGSVYAHFRLDQMIMTQVLQVLDEASRDRCRTCTRFDPLYRIMEVLSDPTVRRVVVIDPNSRHVEGIITLRDVFNLLLG >Solyc01g103420.3.1 pep chromosome:SL3.0:1:91904559:91917157:1 gene:Solyc01g103420.3 transcript:Solyc01g103420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPLDRSKVVLRHLPPTISQSMLLDQVDSRFAGRYNWFCFLPGKSSQKHQTYSRAYIEFKRPEDVIEFAEFFDGHVFVNEKGTQFKTIVEYAPSQRVPQHWSKKDGREGTILKDPEYLEFLEFISKPIENLPSAEIQLERKEAERAGSAKDAPIVTPLMDYIRQKRAAKSGARKSIANGRPTRRASGTSAGSPSSSASKRSSEKRRASTTMYVLRDSSKAGSGKDKTYILAPKRDDQQRAEKSGTSAPGSVANAVEEETGGAADVGKKKILLLKEKEKENPNNQRREASGRIIRSILLKDARQNQAPSASQQEKHRVDKDKKPPRPPSVQLFQRETNGANEDRVLGADLHVVHTEKQEKRTRIRDRPDRGVWTPLRRSDSLHASDESLSSSASQSSEVPDFVEGSPGETKHGLVNARVAEFRPMGSGRNSHSSFDNGTYKHGGRRGMRDDGISVGEGKPLRRGGPSSYNTHEAETSVGPKVKFWYLVISLTVSFKLAVPKYLVFTI >Solyc10g009130.3.1 pep chromosome:SL3.0:10:3124067:3126725:-1 gene:Solyc10g009130.3 transcript:Solyc10g009130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITARSLLRSATFSGRTAAVRLAGGSKPKVSSSPFRIPSQKPIAARIFRLPVEMSCVSVETMFPYHTATASALLNSMLSATPRSYGWTLEDCNDDL >Solyc07g054430.3.1 pep chromosome:SL3.0:7:62872656:62875402:1 gene:Solyc07g054430.3 transcript:Solyc07g054430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSECKDKKKSITQSMLLCCKLYISESRNREALEPIERAAKLDPETVIINKFQDRDYNRVNYTLVSYVIHDSTGCPIYSPLHQTVVAMVAAAYDAINLEQHSGAHPRLGVVDDILIHPLARASLDEASWLAKKIAADIGNRFQVPVYLYAAAHPMGKALDTIRRELGYYRPNFRGIQWAGWAQPEQVSVKPDEGPEVVSRARGVVMIGAHKWVAMYNIPIMSTDLSAARRIAQRVSARGGGLPTVQTLGLFHGEDSTEIACILLEPNQIGADRVQNHVETLASQENLDVEKGYFTDLSPEMIIERYMKLISSSS >Solyc04g025770.1.1.1 pep chromosome:SL3.0:4:21052260:21052508:1 gene:Solyc04g025770.1 transcript:Solyc04g025770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVVVTAATPRQRKHNSSPKSIFVFSNLGYVSSSASLTFSFLNFLYYLLCQSNSQSSSSLAQSVDNLFVQLFQYYLFRNPI >Solyc04g014960.3.1 pep chromosome:SL3.0:4:5179879:5182892:1 gene:Solyc04g014960.3 transcript:Solyc04g014960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVRGLLKKDLNELHELSLEKVGCGEAAPTSPGKEPYETRIMKCLLHIIVLNSLSSLSNTVAFEDDRRGKTLNGAVMAFRKHSPFVMECLKESYDYTQLRWNGADLLTRIASNFSVNGNLSGRKREIKFQPSFVFFPIGHNNITRYFLAPAMETEKAEQDMLFKTIVKEAVTFHFWNGLTSAMVPEAGSLAHRLINYNCLRCSDTL >Solyc03g095750.2.1 pep chromosome:SL3.0:3:58349219:58354511:1 gene:Solyc03g095750.2 transcript:Solyc03g095750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSTIGKCETMPSTRLLNVKIKALLCFEQERMIWICHLQLLFLFYNDLLDFKMLQSLINFLTPASGVQKILGSVYIDMVLRPTCMVYPPLTSQSQANWKLEGQSTKQCSTSSNECLTTFIMTEDTVNISNVTGFFSPAETHPLDAICSRHLLSRIAYSKAPIFGTCQSLTISCVLRL >Solyc02g067000.2.1.1 pep chromosome:SL3.0:2:37811056:37816737:-1 gene:Solyc02g067000.2 transcript:Solyc02g067000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGESEYGGSGIGSGQGKPKKSHGSGYGRNDDDEPQSEYGSGYGSKSATHDSDDQYGSGGYGSKTGSGGHGRKSEYGDKSSEYGSGYG >Solyc02g091490.3.1 pep chromosome:SL3.0:2:53403942:53408566:-1 gene:Solyc02g091490.3 transcript:Solyc02g091490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHATAFSFTGVSTSSKSSRSALLSVFPLPRRCTVKATSQYPHSFPRCKIQGRALPSDNGLVEKDESSLVVCFGEMLIDFVPTTSGLSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKVGEDEFGYMLAEILKENNVNSDGMRFDPGARTALAFVTLRKDGEREFMFYRNPSADMLLQEDELDLELIRKAKVFHYGSISLITEPCKSAHIAAAKAAKDAGVILSYDPNLRLPLWPSAESAREGILSIWNTADIIKISEEEISFLTQGEDPYDDNVVRKLYHPNLKLLLVTEGPEGCRYYTKDFSGRVKGIKVDAVDTTGAGDAFVAGILSQLASDVSLLQDEGKLRDALSFANACGALTVMERGAIPALPTKEVVLNALLKSVA >Solyc09g097820.3.1 pep chromosome:SL3.0:9:72307061:72321998:-1 gene:Solyc09g097820.3 transcript:Solyc09g097820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFWKPGTERPRLVDDEEGGVLLYATSSSSSSGFGYGSFEKQRQRLPVYKYKTAILYSVETHATTIIVGETGSGKTTQIPQYLKEAGWAEGGRMIACTQPRRLAVQAVATRVAEEMDVKLGEEVGYTIRFEDITNEELTRVKFLTDGVLLREMMDDPLLSKYSVIMVDEAHERSLSTDILLGLLKKIQKRRPELRIIISSATIEAKSMAAFFNTRICRRRREEEQGPRKEPAILSVEGRGFNVEIFYVDDPVSDYVQSAVSTVMSIHDQERMGDILVFLTGQDDIDTAIQLLTEEAQSSGKQGLVSVPLYSGLPRADQDLVFSPTPRGKRKVIFSTNIAETSLTLEGIVYVVDSGFSKQRFYNPISDIENLVVAPISKASARQRAGRAGRVRPGKCFRLYTEDYFSNEMSVVGIPEIQRSNLVSCVIQLKALGIDNILGFDWPASPSPESMIRALEILYSLGVLDDDAKLTSPTGFQVAEIPLDPLISKMILASCEFGCSEEIITIAAVLSIQSIWIPVRGVQKQLDEAKLRFAAAEGDHVTFLNVYKGFIQSNKSSKWCHKNFINYHAMRKVIEVREQLKRITQRLGIGLKSCEGDIQAVKKAITAGFFANAARLEPFSHNGMYKTLRTSQEVYIHPSSVLFRVNPKFIVYHSLVSTDRQYMRNVITIDPSWLTEAAPHFYQKQLHSSIPQ >Solyc02g088810.1.1.1 pep chromosome:SL3.0:2:51409369:51409524:1 gene:Solyc02g088810.1 transcript:Solyc02g088810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIILLHFMIFFFRKNMPFSMCKIFIFTHKSSKNVIFSITIKHLFFYKII >Solyc04g077835.1.1 pep chromosome:SL3.0:4:62807775:62808471:1 gene:Solyc04g077835.1 transcript:Solyc04g077835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFGSSSKVFVYDSLVHSWSQFGGFDRILNENHHQEGVFHDGYLWFITPEPYFIVSIDLDNGVWKRSNFELPSEVTFARLVSDGDKKLFLISGNGSNGISRSMKLWELNGDSKIWIEVENVPELICRKFLEGLGIGFLNALHCLINGVVDSDGFPLFLSYMHLCNSNICRSGGTAPPLVRVLVGSESMNGPARSV >Solyc08g062530.2.1.1 pep chromosome:SL3.0:8:51270215:51270268:-1 gene:Solyc08g062530.2 transcript:Solyc08g062530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILQEMPATRRGSTKTIG >Solyc04g064590.2.1 pep chromosome:SL3.0:4:55760103:55766999:-1 gene:Solyc04g064590.2 transcript:Solyc04g064590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWFEQTPLINYKKNRVNKPKSFDESIFIPKNSPTSFATNYGYYSYTYTKEKKLHPVFPLPLPLDSPNFSTRSSCGSSPTSSQLNDDEEQGISPLFSPLRNKCNDWSRTSNESSKSTSPCTSPTTYPVEWDKKLDSPNGKQEKCNHPRHPLPLPLPPNSSINNRTRQWKKGKLLAKGTFGNVYAGFNSDNGQMCAIKEVRIIFNDTTSKERLKQLNQEITLLSQISHPNIVQYYGSESRGDKLSLYLEYVPGGSILKLLQEYGPFEEQIIKSYTRKILSGLVFLHERNIAHRDIKGANILVNAKGEIKLADFGMAKHINSCCLMDSFKGSPYWMAPEVVKDAGGSSVAVDIWSLGCTIVEMATAKRPYEGATAALFKQADGKDSPEIPRNLSDDAKSFLKLCLQRNPSRRLTAVQLLHHPFLQA >Solyc01g094880.3.1 pep chromosome:SL3.0:1:86151183:86168934:1 gene:Solyc01g094880.3 transcript:Solyc01g094880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAYGWPQVIPMESGLCPSSQQIVYLKVINRLLLVVSPTHLELWSSSQHRVRLGKYKRSSDSIQKEGENLRAVWSPDTKLIVVITSSFYLHILKVQFTERKIQIGGKQPTGLFLASITLLLNEQVPFANRNLTMSNVVCDSKHIIVGLSDGSLYNISWKGEFCGALDAFDLDVQCRDGSGVPKLANALENGLASGGSLSFSKCSHHLSKNSAVIHLEFSLPLRLLVVLFSDGQLVLCSVSKKGLKQMESIKAEKKLGSGDAVCAAVASDQQLLAVGTRRGVVELYDIAESASLLRSVSLYDWGYSVEDTGAVSFVAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSASSPVVKRNQECKYEPMMNGTSLMNWDEYGYRLYAVEEGSSERIIAFSFGKCCLNRGVSGTTYVRQVIYGEDRLLVVQTEDTDELKLLHLSLPVSYISQNWPVQHVAASKDGMYLAAAGLHGLILYDIRLKKWRVFGDVTQEQKIQCRGLLWLGKIVVVCNYDDSSDGYELLFYPRYHLDQSSLLCRKPLLTKPMVMDVYQDYLLVTYRPFDVHIYHVKLSGELTPSSSPDLQLSTVRELSIMTAKSHPASMRFIPDQLPREGIAGNGGLPTSLDLSVREPTRCLILRTNGELSLLDLDEGRERELTDSVELFWVTCGQSEEKASLIEEVSWLDYGHRGMQVWYPSPGADVFKQEDFLQLDPELDFDREVYPLGLLPNAGVVVGVSQRMSFSACTEFPCFEPSPQAQTILHCLLRHLLQRDKKEEALRLAQLSAEKPHFSHCLEWLLFTVFEADISGSKNHSVIPNHSTSSSLLDKTCDLIRNFPEYFDVVVSVARKTDGRHWADLFAAAGRSTELFEECFQRRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGRDYEPTTTDSEKLSPRFFGYFLFPSSYRRQTLESKGSFKEQSAHVASVKNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLDNFASGFELIGQKLQMETLQSRLDAEFLLSHMCSVKFKEWIVVLATLLRRSEVLFDLFQHDLRLWKAYSITLKTHPSFVQYDDLLESLDEKLSSTSNLEEK >Solyc01g108870.3.1 pep chromosome:SL3.0:1:95933452:95934861:-1 gene:Solyc01g108870.3 transcript:Solyc01g108870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFNVWSNDRYESAEHRVIASSKKERFSIAFFFNPSHYVMVEPLEELTNEESPAKYRAYNWGKFRTTRNLSNLKKLNVDNVQIYHFRNG >Solyc01g097640.3.1 pep chromosome:SL3.0:1:88275895:88298332:1 gene:Solyc01g097640.3 transcript:Solyc01g097640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKDNVMTISSDEFENNIMADAEEFSPAIQQQDSGTHKEWNEVSKLPADYIRREDMEMKDVAMKHVLPFLPGKSLMKFRTVSNEWNHWIGCPLLAYQQSTSFQKLSGYFHQIVDVDLQYDPNLLSLDHSANGVPNPSLGFLPEGIKIISSSSGLLLCQGLESYYVCNPLTEDWKRIPPHQYYHGSDPAVILAFDPEGNIESYFHLVAAVPLLDQPVVLFEIYSSESNSWRRSSSECLEFEDATLLETQKAELFRDFSLSAMAIDGEQSHKSHRSRQSGPTAKKKSKSDKKKKGAFDENNKQHNPKAFAFSSTVKAKKLQARATEKEQKRLHVPTVDRSTGEPAPYVIVVQGPPKVGKSLLIKSLVKHYTKQNLPEVRGPITIVSGKQRRLQFIECPNDINGMIDVAKFADLALLLIDGSYGFEMETFEFLNILQNHGFPKVMGVLTHLDQFKDVKKLRKTKQRLKHRFWTEIYDGAKLFYLSGLIHEKYSKREVHNLARFISVMKFPPLSWRMSHPYIVVDRFEDVTPPEKVRMDNKCDRNVILYGYLRGCNMKKGTKVHIAGVGDYSLAGITALPDPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLLYDKDAVYININDHFVQFSKVDETAAVGGRRGKGNDVGEALVKSLQNTKYSIDEKLENSFISLFGKKHNPSPSNHAKADQTNDLVPAERDQSGFEPNSDGSDEDNDAEDLKRTHLKESNDSSDDSSEEEDNIGPEKHPGLSSSFREHVDFHDGRMRRKAIFDNDNDFDEKDYSEEDVEEDAQDDDLEDTDEENEAYHNSGDDDDFDTNEEDMGNASRWKEFLSERTRNRQNVNLMQLVYGASESKSTTKAELRQHGAENDESDTEFFVPKGEGTKKLEEQMNDDNIDAEDCSKFVNFSSQIDWRIQESIETIRFRFVSKGWSKTARGGQKYESHEAGGTGTNDMIRMDDESAVEERRLKKLALRAKFDSQYPFVTSSLFPFPSTPPRHLSFIIKYFLCPNYDGITLDTKSHRGQADGNGYYDKLKEEVELQKQVNLAALNELDEATRIEIEGFRTGTYLRLEVHDVPSEMVEYFDPCHPILLGGLALGEENVGYMQVRLKRHRWHKKVLKTRDPIIVSIGWRRYQTVPIYAIEDQNGRHRMLKYTPEHMHCLAMFWGPLVPPHTGMIAVQNLSNNQASFRITATATVLEFNHAARIVKKIKLVGHPCKIFKKTALIKDMFTSDLEIARFEGAAIRTVSGIRGQVKKAAKEEIGNQPKKKGGSAKEGIARCTFEDKILMSDIVFLRAWTQVEVPSFYNPLTTALQPRDQTWRGMKTVAELRREHNLPVPVNKDSLYKTPLCLLCCLMPQPIERKRKKFNPLVIPKQLQKGLPFASKPKDAPARKRPLLEDRRAVVMEPHERKVLANIQKLRLIQHEKIKTRKLKDDEKKKELETERTKEEQLSKKRRREERREKYRVQDKMNKKSRRDT >Solyc01g016620.1.1 pep chromosome:SL3.0:1:20710832:20711306:1 gene:Solyc01g016620.1 transcript:Solyc01g016620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVLESIGFDHFREEEGFLGVELHRSLLFSPEKSVTGGTAASSLSVGAAVSLEKGRASCYWCSRLVLLSLVGVGAVWHAREDKRKRRARGRQGGGTTVRRRKRGGCGQREGRK >Solyc04g007000.1.1.1 pep chromosome:SL3.0:4:728940:730058:1 gene:Solyc04g007000.1 transcript:Solyc04g007000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RAV1 [Source:UniProtKB/TrEMBL;Acc:E1U2K4] MEVSCIDQEISTTSDSPSTISPAGSPRQMGSGVSVVTDADSTNGVEAESKNTTITNNNNNKLPSSKFKGVVPQPNGRWGAQIYEKHQRIWLGTFNGEEEAARAYDIAAQRFRGRDAVTNFKPLFDFQTEEIEISFLNSRSKVEIVEMLRKHTYNDELQHAKKVYNNNTFEMNGRSTCHTNTNIATFSLDTNEKVANIASELLFEKVVTPSDVGKLNRLVIPKQHAERYFPLVAKVNKNDNTSKGVLLNFEDMNGKMWRFRYSYWNSSQSYVLTKGWSRYVKEKKLKAGDIVSFKRCSGVEIEDKLLIDSKNRIIRGEQVKMVRLFGVNICKVQDVSNNVVVEKNMSVGKRMREMELLAFECSKKQRVIIDAL >Solyc09g065260.2.1 pep chromosome:SL3.0:9:63551058:63552412:-1 gene:Solyc09g065260.2 transcript:Solyc09g065260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFMKVAKLFLSITVVFSFFMFILASAAVYKIGDDAGWTFGSANVNYGVWAATKKFQIDDILVFVYDKTQNNVLRVSLSDFHNCNAANPIRSYSSGNDSITIMGPGHYYYICGFPGHCQTGQKVDIRVPKVVQPSDLPTGSPSPAPGTTLSTTSVHVTAPAPAKSNAPSFFINNGLGLGLGLTLFMIVIGADYVF >Solyc11g005700.1.1.1 pep chromosome:SL3.0:11:547502:548764:1 gene:Solyc11g005700.1 transcript:Solyc11g005700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4D4I3] MRKDDLYVTVPSFFRCPISLDVMKSPVSLCTGVTYDRSSIQRWLDSGNNTCPATMQVLQTKDLVPNYTLQRLIQIWSESVRAQRSDTESNRVNSVSHDQVRELIKQFVNEFRVHSELEVNSDRLSKLLGFSEESAENLRFVASVAAESDFLAILTSFLVHNPKNLKVVEKIVPLWKMLLVERGSLSKIGKANDIYPAIIFALRNGSSKLKMEMSKALEFITSTDSEAKSSLSENSDLYSVLLSFSTINTDWNPDLMEVSVSCLISLAMLRKNRVKLVKAGAVKSVGKALSTTEMGTGLTDKVLRLLELISTCKEGRLELCNDGDCVQAIVKKVLKVSNEATEHAVTILWSLCCLFRDHRAQESVAKSNGMAKILLLLQSNCSPAVRQMAADLLKVFRVNSKAVSCLSTSYDTKTTHIMPF >Solyc06g068590.3.1 pep chromosome:SL3.0:6:42629791:42638642:1 gene:Solyc06g068590.3 transcript:Solyc06g068590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTNLPKQPSAKRTQYPIGSEQYTVYEEIGKGVSALVHRALCIPLNEIVAIKILDFERDKCDLNSVSREAQIMVLVDHPNVLKSYCSFVCDYNLWVVMPYMAQGSCLHILKSAYPDGFGEVVIATILREVLKGLEYLHDHGYIHRDVKAGNILIDSRGGIKLGDFGVSAYMFDSGDRQHMRNTFAGTPCWMAPEVMEQVHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLKNEPPRLDSERDRKFSKSFRQMIASCLVKDPSKRPTAKKLLKHPFFKKARSNDYVARTLLEGLPSLGDRMQELKRKEEELLAQKKMPDGQKEEMSQNEYKRGISCWSFDVEDLKAQASLIPDEEIIGENDHGGSSDALAGVDNQGNRLQYQLSSPELPKLQYQVSFGSQSSDATGFDGNNPSAPPSPAERTMDYSRHKTEKFDDDLSIASPLHDAQISQNSSPCQEEMNFVGKGEQKIHAETFEGIPINTCQSDKSSSQNVSSCNVAFPQTADDLPAEVSCKHSRTSGKLYLAGSGEDCEEKTKYQVVQQKGRFKVTSEEKATPENLTATQPLPSDPTPANHSSQSIFPVLQNALQATIIGRESILSAMRQVADSTANLAMDAGCNPSNSAGVEKSLLEAALDRERDLHREVADLRWRLERSQEELQKYKTENKSPK >Solyc11g020356.1.1 pep chromosome:SL3.0:11:11092686:11093853:1 gene:Solyc11g020356.1 transcript:Solyc11g020356.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFNKKKLIFLMGATGTGKSEKILKTQRVAIIVGGCFFWIDIEQSVLNRRVDMRVDQMVKADGDDESKQMILQASISSIKRNTYMLICNKLYKIQRLIREKIWTVLHITATDVFKDDREKYIDEAWRNTLLKPCLDTVKRFLKSDHHNIIIECT >Solyc12g043050.2.1 pep chromosome:SL3.0:12:59654699:59666748:-1 gene:Solyc12g043050.2 transcript:Solyc12g043050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIFLEILVGTRVSRMSLVYFFDYATVTASTVTGWCVIGSFLLSSLAGAGILLYLIERAKKCLDFSATLYIIHLFICIVYGGWPSSLTWWIVNVTGLAVMALLGEYLCIKRELREIPITRYRSSVMNKN >Solyc11g066910.2.1 pep chromosome:SL3.0:11:53006881:53015079:1 gene:Solyc11g066910.2 transcript:Solyc11g066910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLDSGRSSGPRRSNVNGKQDATQALASGIFQINTAVSTFQRLVNTLGTPKDTPELRDKLHKTRLHIGQLVKDTSAKLKQASETDHRIEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETSYSPFVPQAVLPSSYTNSEIDVSSDKSQEQRALLVESRRQEVVLLDNEISFNEAIIEERDQGIQEVQQQIGEVNDIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQGRTQLAKAAKTQRSNSSLVASSRVSSDESKGHREFLTVMEKLRCFLIIIYFK >Solyc07g055350.3.1 pep chromosome:SL3.0:7:63528578:63542524:-1 gene:Solyc07g055350.3 transcript:Solyc07g055350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVQFIVKASCSVIAITLLLCIWSVLNWIWFRPKKLEKLLKEQGVKGNSYSILYGDTKELSMRIKEVTSKSMSVYDDDIAPRSLPFFLDTIKKYGKKSFIWLGPKPLVFIMDPDLIKEVFTKTYIYQKTKTNPLTKLLAQGLVREEGDKWAKHRKIINPAFHLEKLKHMLPAFYLSCTEMLSKWEDVVSVERSHEIDVWPHLQQLTSDVISRTAFGSSYEEGRRIFELQTEQAQYFIEAVRSVYIPGWSFLPTKRNRRMKEIEKHVQATIRGIIDKRVKAMTGGEANNKDLLGILLESNFKEIKQHGDKDFGLSIEEVIEECKLFYFAGQETTSALLVWTLILLCRHHDWQTRARTEVLQVFGSRKPDFDGLTHLKVVTMILYESLRLYPPVTTVTRRLNEDAVLGDISLPADVLLSLPAVLLHHDEEIWGEDAKKFNPERFREGVSSATKGQVTFFPFTWGPRICIGQNFAMLEAKMVLAMILQRFSLDLSTSYTHALHSVITTQPQYVDANGGAGYRFPLKQAMTASSLALSGDTIAQLRDRWLKNKDNLPDPSHPKDMIGALLSEHDWLRSVRMASYGFLLYGPGTYAWYSYLDRCMPKQSVENVMMKVLLNQIVLGPSVIAVIFAWNNLWLGKLSELPNKYQKDALPTLLFGFRFWIPVSILNFCNYEQVTTVQRISWEEQDPATDITAYQDRENDVWRQQLKPF >Solyc03g005490.3.1 pep chromosome:SL3.0:3:378333:379275:-1 gene:Solyc03g005490.3 transcript:Solyc03g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSHWFLTVFYYIFVIKCCLNWQRIDLSRPFSSSIRRHTENSLTIHSPENRGLNESIIRSIPIFRYKKSEWINNASTTCECVVCLNEFQEDENLKVIPNCDHFFHIDCIDIWLQNNTNCPLCRNSLSSHISKKFPLDQIIIPQDQDPQTSNNFIDEDYVVIEIIGTQERLNSRELSTSHCANNMEPKVTRKKVKKLSHECIDIRNKDEQFIVQPIRRSFSMDSSLDRRIYVPVEEIMKQQRQVNHVKRSCFSFAHGRGSKNVVDLE >Solyc01g110490.3.1 pep chromosome:SL3.0:1:97098536:97103088:1 gene:Solyc01g110490.3 transcript:Solyc01g110490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA-dihydrouridine(47) synthase [NAD(P)(+)] [Source:UniProtKB/TrEMBL;Acc:K4B3R2] METETLVDELPVATATAVAQPETPLQPTSSNAADGGVSEEFLTPEELVAKSKAPVKREYLRPPPSRPSTNNNNNTTVGVENDDAAEAKRAPLLKDKKSKRQIKRERREEQKSPLHLCPVVAKSRKVSACSYGDKCRFSHDVEAFKAVKPADIEGSCPFLDYEGPCPYGLACRFAGTHRDNVSAPSENLPGKSSELNFFSKDTQKLLWKNKMKFPKAEATLKLLGLKGNPKDKTLADNVENGQVTPKEPATDTKTDSNVVANDSVSPSISPEDDVDGNNLADDARPLKKAKSSVSEICPSQVSSGLSVQGGVPDDNFGVNKSEPTADTMTDSDKSLKLHPREKKLIDFRDKLYLAPLTTVGNLPFRRVCKVLGADVTCGEMAMCTNLLQGQAAEWALLRRHSSENLFGVQICGAFPDTITKTVELIEQECSVDFIDINMGCPIDVVVNKGAGSALLTKPMRMKSVVEAASSTVSTPVTIKVRTAYFEGKNRIDSLIADMGSWGATAVTIHGRSRQQRYSKPADWDYVYQCARKAPKSLQVLGNGDVFSYVDWNNHKIECPELSTCMIARGALVKPWIFTEIKEQRHWDITSGERLDILKDYARFGLQHWGSDSKGVDTTRHFLLEWLSYTCRYIPVGLLDIIPQKINWRSPSYYGRDELETLMASDSAADWVRISELVLGKVPSGYSFAPKHKSNAYDRAENG >Solyc02g044005.1.1.1 pep chromosome:SL3.0:2:226364:226393:1 gene:Solyc02g044005.1 transcript:Solyc02g044005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DSEVEARVE >Solyc02g079980.3.1 pep chromosome:SL3.0:2:44901705:44904074:-1 gene:Solyc02g079980.3 transcript:Solyc02g079980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCHNNYIKFIFFLVFLASCRFSIAKQTNPTSNIPKLDQFLNAIYEWRANQKQKQEDVGLKFGVSTVVAGVLCFLAASISSAGGIGGGGLYVPILTIIAGVDLKTASSFSAFMVTGGSIANVVTNMFVKSPKYGGKILIDFDIALLSEPCMLLGVSIGVICNRVLPEWLITILFALFLCFCTFKTCKSGFFYWKLESESEKGKKELENGLLKNESCDEDDEALLEKKEGRISNIPWMKMGILVMFWFSFFFLYLLRGNQYGQGIIPMEACGVGYWIISSVQFPLAIIFTSWILYNRGSQQNMPSKKPEGTSETKHGPSGKLIFPIMALLAGVLGGVFGIGGGMLISPLLIQVGITPEVTAATCSFMVFFSSTMSAVQYLFLGMEHVDDALIFAVVCCIASIIGLLVVQRAIEHHGRASLMVFSVGTVMALSTVLMTSFGAVDIWRDYTSGNYMGFKQPC >Solyc09g059460.3.1 pep chromosome:SL3.0:9:54749908:54766329:1 gene:Solyc09g059460.3 transcript:Solyc09g059460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSHEETRAQRYKLSCSVLHTISQVLVPPKKKKVQIDIMAMEVAPQHNWAEMVEETVEEALIIRGARRSANVDGVVVNEDKVSSGSDGEEASKDKTKNNIYFNEVIDAVDFHVVLNGENHGNGDIICGPPSLGHDESENPKGEIISDVCKPLLYGQNDRENPKGEIVAQATTSSISKGSGNETIIEEQVEEETVELEFFDTTSVDKLKHTHNAYCPKCNSHITKVVLRRVKRERRIIIETHGQKSELLGCLSCFRKKLNPFPIFGNGGGTTSTEPHLPNINEVSTFEHAYWLVLNMSMATSSTCTALLVESDMRQRLIFFLLIFGKSDYFSGGFIPGIMPPKKSPTEIIEDKGDDCRIPILEDPRASPSTVNPSYPRRPVEVKDSRSLEIVKCIVYGGLIEAITSLGIVSSAAASDADTMKIVTIGVANLIGGLFVICQNLVDLKYSVGGGSNYQVDRYGELLGQRKHFLLHATFAILSYFVFGLIPPVIYGFTFRKSDDRDYKLIAVAVASLLCAIILAAAKAYTQAANKFSMVSGVGYAADPVEAISASATGLDCHIVPFLTGCSKGGLLTKFSPKLERILLAGCVATAEQLGPDCSRGFDNLFDDDGVPPFSASVDLKTRLIVKEAQE >Solyc10g080115.1.1 pep chromosome:SL3.0:10:61637098:61640338:-1 gene:Solyc10g080115.1 transcript:Solyc10g080115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSGTCQSGCYRDDSSVGAEESPPLTKKTEVSNGVVNSRRSTEHLDDGICLKCKVNRTIAAADGGGDFGGGDAGRFCADCFRSNLFGKFRLAVTANAMISPSDNVLVAFSGGTCSRVQGLTILLIFGPDSSVYLFDMYWWVALQFTHEMQSKAQKNYDASRDRALPVFGVGVAFIDEKAISAVSSDNLDRAVEEMELIVSDLAPPVKQFHVVPTEAIYSLDAGDSKDRLNELINTVNDVTGKEDLLEHFRMLSLQKIAIENGYTKILLGTCTSRIACHVLEATVKGRGYSLAADIQYVDARWKIPVVLPLRDCPIHELNMLCSLDRFDALEV >Solyc09g008485.1.1 pep chromosome:SL3.0:9:1921549:1931731:-1 gene:Solyc09g008485.1 transcript:Solyc09g008485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4CQN6] MSGLGAIADNIERTITLSDRTKSLDSSLINDNNDITKLTNGEACLTSESTNFRVGELLLPNGESYSGSLLGNIPEGSGKYRWSDGCRYVGEWRHGITHGHGKLEFPSGAVYDGEFSGGYMHGEGTYIGPDKVIYRGRWRFNLKHGLGYQMYPNGDIFEGSWMQGTPEGPGKYTWDNGNVYFGNMKGGKISGKGTLTWINGDSYEGSWLNCAMHGVGMYTWSDGSCYIGTWTHSLKDGKGTFYPSGSRLTAGQELYLNALRKRGLLPDMRKQSQVSHIHHAASVDMGNVKVSGKLPERNLLNFSQSRPTNVSLERRWSLEVAIEKVIGHNLDGDMEDAMSAPILEREYMQGILISEVVLNERFSPPSGRARRRQKRFVRELKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPIQRRDIRTSDFGPRASFWMYFPKGGSQLTPTHQSEDFKWKDYCPMVFRNLREMFKIDAADYMMSICGNDALRELSSPGKSGSVFFLSQDDRFMIKTLRKSEVKVLLRMLPNYHRHVKTYENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSADKVEIDENTILKDLDLNYCFYLEPSWREALLRQIEIDSKFLEEENIMDYSLLLGVHYRAPQHLQSLISCSGRTTADGLEIVAEEESLEDEISPQGLVLVPRGSDDSVVVGPHVRGSRLRASSATGDAEVDLLLPGTARFQIQLGVNMPARAEYIPKEGETQVFHEVYDVVLYLGIIDILQEYNMSKKLEHAYKSIQFDSVSISAVDPTYYSERFLEFIRKVFPTYAVAT >Solyc09g074940.2.1 pep chromosome:SL3.0:9:67157343:67159899:-1 gene:Solyc09g074940.2 transcript:Solyc09g074940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQTVTSQDGSRLFSVERPAGLGSSLRSLRPAPRPSQPNLFTHSNLQNSGESENNLSEEEKKKLDTLQQIRVKFLRLIHRLGLSSDEPIAAQVLYRMTLIARRQNSPLFSVEAAKMKAFQLEAEGKDDLDFSVNILVIGKSGVGKSATINSIFGEEKTSIDAFGPATTSVKEISGVVDGVKIRVFDTPGLKSSAMEQGFNRSVLSSVKKLTKKNPPDIYLYVDRLDAQTRDLNDLPMLKTITSCLGPSIWRSAIVTLTHGASAPPDGPSGSPLSYEVFVTQRSHVVQQSIGQAVGDLRMMSPSLMNPVSLVENHPSCRRNRDGHKILPNGQSWRPQLLLLSYSMKILSEASALSKPEDPFDHRKLFGFRTRSPPLPYMLSSMLQSRAHPKLSAEQGGDNGDSDIDLDDLSDSDQEEEDEYDQLPPFKPLRKAQLAKLSKEQRKAYFEEYDYRVKLLQKKQLREDLKRMKEMKSKGKEAAIDNGYSDNPAYRYRFLEPTSQFLARPVLDTHGWDHDCGYDGVNVEQSLAIASRFPAAVTVQITKDKKDFSINLDSSIAAKHGENGSTMAGFDIQSIGKQLAYIVRGETKFKSLKKNKTACGISVTFLGENMVTGLKVEDQIILGKQYVLVGSAGTVRSQSDTAYGANFELQRREADFPIGQVQSTLSMSVIKWRGDLALGFNSMAQFAVGRNSKVAVRAGINNKLSGQVTVRTSSSDHLSLALTAIIPTAIGIYRKLWPDADCLCQFIE >Solyc01g111030.2.1 pep chromosome:SL3.0:1:97355606:97360689:1 gene:Solyc01g111030.2 transcript:Solyc01g111030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMDNNGQVEEAARRVQVRFVTKLKPPFKAPPTSIAIPSNLTRLGLSSIANNLLKAGKDNWNPEPFDFLIDGELVRMSLEEFLLAKGISAEKILEIEYIRAVAPRKQEEPSLHDDWVSAVDGSNSKFVLTGCYDGLGRIWKAAGSCTHLLEGHTGAVTSVCVVNPRVAQDGADQIVVTASKDRTLRLWKFDSDEPSDQIKRIRAYKILHGHNSSVQSVAANPAGDMVCSGSWDCQIALWQASGSDTGDVISVKRRKKDAKEEDPLVEEEAKSTLVGHTQCVSTVVWPQDEAIYSASWDHSIRRWDVELGKDSLNLVTCHLFSSVLPVTSLLSCACFPKLTYIWIGEGEYCDKVINCLDVGGEGSALIAGGGSDPILRIWDPRKPGTSAPVYQFSSHSFWISTCKWHEKSRFHLLSASYDGKVMLWDLRTAWPLAVIDTHNDKVLCADWWKGESVVSGGADSKLCISSDVCVL >Solyc11g011390.2.1 pep chromosome:SL3.0:11:4456124:4472707:1 gene:Solyc11g011390.2 transcript:Solyc11g011390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSDLINLNLSGDTQKIIAEYIWIGGSGMDMRSKARTLPGPVTSPAELPKWNYDGSSTGQAPGEDSEVMCDAYTPAGEPIPTNKRHAAAKVFSHPDVAAEETWYGIEQEYTLLQREVNWPLGWPIGGFPGPQGPYYCGTGADKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEVWVARYILERIAEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMREDGGYEIILKAIEKLGLKHKEHIAAYGEGNERRLTGKHETANINTFKWGVANRGASVRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIAETTIIGQCRFSSELGSGGYFSDSFRWIFLFGPAAVILGVNVSPALAEEVSDGIDVEISGLRKIEDGSVVSNIHTSKWRVFTDSGRDFFLQACKPPLLSLILWYSSLQFNLGKLKKAEKLFLAALQEAKEGFGDRDPHVASAYNNLAELYRVKKAFDKAEPMYLEAIKILEESFGQEDVRVAAALHNLGQFYLMQRKLEQARGVYERALKIKRRVLGEAHLDYADTMYHLGTVLSLQGKYKDSEALIRDSIRILEDGGQGESMICTKRMQQLAQIYITTHRIEEAENVLRKILHIMELAKGWNSPETVIAAERLALTLQSLGKLKEAQELFQRCLDARRALLPENHIQIAANLLHLARVKMLDSSKLNKSNVAELDMAKDLLSKSISVARGNLVHSVREEGNKQSYKESETTVRSRQSALIILLQSLDALSLLEMKRLELEDSKKLDPYNSEAESALRGCISAYKEFVNDKSLSDAPGVKAEYLLCLKHLVDLLRDNRKTSKDRSSNAALQELKDEIKRVEVELSKKGGRRS >Solyc10g077110.1.1 pep chromosome:SL3.0:10:60090963:60093305:1 gene:Solyc10g077110.1 transcript:Solyc10g077110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor IIIA [Source:UniProtKB/TrEMBL;Acc:Q06HM7] MGEVGEEKREVVFRDIRRYYCEFCGLCRSKKSLISSHIQSHHQDVIESLKDDATENAKGSKMNICEECGATFQKPAHLKQHLQSHSLERPFVCHIDDCQSSYRRKDHLSRHLLLHQGKLFECPVDGCKSTFSFQGNMTRHVKEMHDQPASAEVDLPKEYVCSEAGCGKVFKYASKLRKHEDSHVKLETVEAMCLEPGCMKHFTNEKCLKEHIESCHQHIDCEICGTKQLKKNIKRHLRTHEEGPISERIKCEFQDCLHTFSSRSNLAQHIKAVHVGSKPFSCSISGCGMKFAFKHVRDKHEQSGCHVYTPGDFEEVDEQFLSRPRGGRKREPTLFESIMRKRITPPSGIDPVFNQTPEYLSWLRSMESDNQM >Solyc10g012130.1.1.1 pep chromosome:SL3.0:10:4459689:4460024:-1 gene:Solyc10g012130.1 transcript:Solyc10g012130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKILLTLFALTLILGQTNGAISCENDIFHLVRPCGAFVLSGDAKPFDECCVGLQSVAKMAAASQSDRKDICECIKYFEAFGFVKYEKAKQLPDLCHFTNFMPIEPNPDCS >Solyc10g026527.1.1 pep chromosome:SL3.0:10:15115167:15115636:-1 gene:Solyc10g026527.1 transcript:Solyc10g026527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTSMAIYGIDVEVSERLVPILANAAAEKNVVDLQDVLQRFAFDNICKIAFGYDPKHLLPNLPEAEFAVAFEDCVRLRSERFAVPFPLIWKIKRAFGIVGEVREFAKRIVREKLNERSSLDSA >Solyc01g010150.3.1 pep chromosome:SL3.0:1:4875000:4879663:1 gene:Solyc01g010150.3 transcript:Solyc01g010150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSYSQNIMDDEYEKFIRRMNPPRVVIDNDSCKNATVIQVDSANKHGILLEVVQILTDLNLVITKAYISSDGGWFMDVFNVTTQDGNKITDEAMLDYIMKSLGPDSSFASSMRRSVGLTTGMDYTSIELIGSDRPGLLSEMRAVLTNLKCNVVNAEVWTHNTRAAAIMQVTDEETGGTINDPERLSMMKQLLCNVLRCSNKLRDAKTIVSDGVSHTERRLHQLMFADRDYERAAGEVSDEKGRPNVSVVNWQDRDYSVVTIRCKDRPKLLFDTICTLTDMQYVVFHGNVDAEGPIAHQEYCIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVTTRAGKAVNIFYVRDSSGYPVDAKIIESVRQTIGQTILRVKGNIGELNPVPQESPTRFLFGGLFKSRSFCNFGLVRSYS >Solyc02g021560.3.1 pep chromosome:SL3.0:2:23665581:23685329:-1 gene:Solyc02g021560.3 transcript:Solyc02g021560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKKRKTDENGGAYPVKTELVTTAAAPPALAVLSPEDIDKILETFTKDQCVSILRNAALRYPDVLEGLRAVADADVSNRKLFVRGLGWETTTDKLRQVFSEFGELDEAVVITDKASSRSKGYGFVTFKHVDAAILSLKVPNKIIDGRVTVTQLAAAGNSGNSQSSDVALRKIYVGNVPFEISSEKLLNHFSMYGEIEEGPLGFDKQTGKAKGFAFFVYKTEDGARASLVDPVKTVEGHQVLCKLATDNKKGKPQNMGHGGAPGMNAGLVGMPGDDRVGSMPGSNYGVPVSGMGPYSGFSGGPGPGMQQPPPQPGMVAHQNPHLNSAIGGPGYGNQGPGSFTGGAGGYAGAGGYAGAGGYGGNSGDYGGYRMPQSSAGMPSSGGFPDGGSYALQSAYPTQVPQPGAGSRVPPGVLRASWMQHAFNGCGDFLIADSEIFGYMDCCTSVAASPICVAVS >Solyc03g051720.1.1 pep chromosome:SL3.0:3:22371633:22372004:-1 gene:Solyc03g051720.1 transcript:Solyc03g051720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCKFDMSVKCNGHIVGGEWLFLLVYTPQGSCVQDTPLNFLNERTLKGTFFGNYTPCLDISSVFEKYMNKELELEKFITHALPILLNRQGFLN >Solyc03g082550.3.1 pep chromosome:SL3.0:3:53920814:53922072:1 gene:Solyc03g082550.3 transcript:Solyc03g082550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQLDNSEISNFKKKNKCENAKRFTDEQVKLLESMFKLGTKIEPREKLKLARELGLQPRQVAIWFQNKRARWKSKQLEHEYRLLQSKFDNLNMQFESLKTEKERLLIQLETLNDQLENNNARGSGSQDSREGEMLLHRELEMKDCSGSRFDHKSVNEEDDETREGRDKYFTPKEEAEFWNLEELGDNNSLQHWCVGLASISNSKLWDF >Solyc06g072930.3.1 pep chromosome:SL3.0:6:45096485:45099127:1 gene:Solyc06g072930.3 transcript:Solyc06g072930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSATAHGFPTSFLLSPRSTGHFTYLLSSLQIKPKSSNFNLLNTCPLSHLCSSSANGSITDDNFFQQNKNLDSQESKGFWKKWKENSAEMSAKVAKLGLAAVLAYGIIDGVTYTSFFVLAFMGYEKTTGNNPAANLQSLLGIIIAMWTGNNVTRPFRVAGAAALAPAIDKGLKRIQRYLNFPSLVYAFALVVTIVAGTCFTIIGLLILSRWGK >Solyc09g091120.3.1 pep chromosome:SL3.0:9:70941516:70963894:-1 gene:Solyc09g091120.3 transcript:Solyc09g091120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVKLHGTSYNLFTYRVIWALKLKGIPFEYIEEEHSNNGSLIMKYNPVFKRFPILFHGEKVISESMVIIEYIEDTWPQNPLLPIDPLDRSIARFWVKFAGDKGACVGTMYYTSGEKQEKAIKETMEMLKIIEEQAFIEDEENIFFGGEKIGIVDLAFGVIPHWLEIIEDIIEEDLANKSDLLLKYNPIFKKIPILVHDGKPICESMIILEYLDQIWPNQYPLLPIDSYQRALARFWVNYFEQKMAWLYRDLIISGLYLPKNSETYNQVLKKHFVNMGFELAKHRHLSGQRFYYVGVNGLELEEFRKKRAAEKAKKTTSNTQQLASDGGVDNRHSGNEHTKTKDSSGAATSDAVGRSVLEPSEIHAKHDFAKPDLTQKSDLIFPSDSRTGATPSLHEYYDDAVVIANSYDSGSSISALSHLENKGSRSDENLKVSQTASDTYDNNGKRESDGALESVPFGFATNHSTATFPPFLNHDRTSSHFTYDDMGKHISEESHAKDLSVTNDGTSRAFPANVSPSNPFGSRDDKPRYTDPWASDMTSASYDYVPGATTVTQFYPEVGRNVAGVGSNNFVVPDKGYSQLNSSALHSTKTSSWTSDSKYDGFSFDARSSSSYSQMSPPTAGATGRRTPSFLDSMNISKVSAASPPSIGSVTTDTYDSMAYPRDTLGLSSSENLTNSSKNSGNGTVLYKHAVEKDMGNLDNRHPFYSQKQNEDFAALEQVGIQVGKAGGVRPLPIELSKLNTTHIEDLTQEKFSLQRALEASRTLAESLAAENSTLTDSYNQQGSFVGQLKAEMERLQEEIKAHLSELEAVKMEYANVQLECNAADERAKLLASEVIGLEEKALRLRSNELKLEKELEKSQAEMSSYKKKIASLEKDRQDLQSTIDALKEEKKLLQSKFLIASANGKSVDPSRNMPTKIDVSTSTEDLREDNIASGTINDTNLVGIDGPTTSSLPDFGQFSLGSLSPAIPPDQIRMIQNINTLISELALEKDELTKALSVESSQCSTLKELNKDLTRKLEVQTQRLELLTAQSMANDNSQARQPDAVSVHDNMPYSDENMPYADEGDEVVERVLGWIMKLFPGGPPRRRTSKLL >Solyc06g007670.3.1 pep chromosome:SL3.0:6:1671327:1674675:-1 gene:Solyc06g007670.3 transcript:Solyc06g007670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTHMGLTGEHFMIGPLGPKRRRRLNRRTAMAFIKVQKTRAYFKRFQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRFVVRFTNKDIITQIVSASIAGDMILASAYASELPHFGLKVGLTNYAAAYCTGLLLARRVLKKLEMDEEYQGNLDVNGEDYSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGIDIPHSEKRFAGYSKDSKQLDAEVHRKYIYGGHVAAYMNTLMEDEPEKYQTHFSLYIKAGLEADNLEEMYKKVHAAIRADPSPKKSGKQPPKTHKRYNLKKLTYEERKAKLIERLNALNAAGGNDDDEEDDD >Solyc04g056560.3.1 pep chromosome:SL3.0:4:54469470:54481244:1 gene:Solyc04g056560.3 transcript:Solyc04g056560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRARQLHSSLSKARKERIKMPWLFGRMKFQNFASRTDTVFCGVFDGHGPHGHMVAKRVRDSLPSKLSAHWEVSLKSEDVLKEISLNAGASLYPEDASLISAADDSRVSIDVEETGKHPEIFQTLKESFLKAYKVMDRELKSYTNIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAILGTRDKDGSLTAVQLTVDLKPNLPAEAERIRKCRGRVFSLHDEPEVARVWLPNSDSPGLAMARAFGDFCLKDFGLISVPEISYRRLTGKDEFIVLATDGIWDVLTNDEVVKIVASASSRSSAARSLVESAVRAWRTKYPTSKVDDCAVVCLFLDSNSNNLSTASNTEDSDKTVSMKANEVGAKTGDASGPTAFTRSGTVREVEVSEEEIEEASEHEELLSEVGAEWSALEGVSRLNTLLTLPRFVPDKDEN >Solyc09g061795.1.1 pep chromosome:SL3.0:9:60574772:60576081:-1 gene:Solyc09g061795.1 transcript:Solyc09g061795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLGHINTVVTSSSILAKQVTQRQNLSFSRRSIPDGLYACNHSVVWLPVNSQWRTLRKNMNSHIMPGNNIDVSKHLRREKVQELIENCHKSVRNCEAVNVGRAEFKDLMGNIMVEAGKPNLVYYSPFLEKIDPQGTDATSNTFEWAMAELLKNLHILEKAQEELAQVIVKGKLIDEADVTELPYLRCIVKETIRIHPQSPFLIPRKAEEDVELSGYIISKDSQVLVNVWEIGHDASLWEDPLDVKPERFWESDMDIRDPDFELIPFDAC >Solyc07g048060.2.1.1 pep chromosome:SL3.0:7:59367806:59368321:1 gene:Solyc07g048060.2 transcript:Solyc07g048060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNLDCSSFSFSNNQIFATCMPLPVLNSVLHWTYYPKNHTVDIAYRHGGVTDSDWVAWGLNIDGKMMVGTQCLVAYRDSSGEIHAYTSPVSSYATLLTEGALSFNVPRIEAEYSNNEFIIFATLQLPAGRTSFNQVWQNGAVVAGNILAAHAQSGDNLKSFGSVDFANGL >Solyc08g006520.1.1.1 pep chromosome:SL3.0:8:1130528:1131064:-1 gene:Solyc08g006520.1 transcript:Solyc08g006520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVIDLSNFEQSKSTVKATFSPPAMSQRSPWHSPVPYLFGGLAAMLGLIAVALLILACSYWKLSGHLRENGEIDHDSEVDPESGDGEKPAIGIMKAMPVFEEKIVVIMAGDLRPSFLATPVMSKNSVLGDESDLNKFEKKLEKETEELGDGKEKEEVVIEVTEMNHGESQNINRQNQ >Solyc11g072050.1.1.1 pep chromosome:SL3.0:11:55624987:55626654:-1 gene:Solyc11g072050.1 transcript:Solyc11g072050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFECQRGTKIGLKDCSIDCVDYPCREKVDTSFFEPSKNPKSSIFDSEKQKSLWRLDGKGGSLSLKCEELLAVNEVLPDSRSITDLPPALISEILNCLEPKELGVVSCVNASLYRLASEHHVWKEFYCERWGQPILLAPLGAEHADEKSWKELFVEREFRSKTFMGRYSIDTLYGHTEAVRTVSVLSSKKLLFTSGYDQIVRMWDLEEGLSIASSRPLGCTIRAVAADSRLLVAGGTDGFIHGWRAEDGNPHLFDLRSPQNQNMEFRVWEHEGPITCLALDLNKMYSGSWDMSIRVWDRSSLKCLKVLMHNDWVWSLAPHDTTVASAAGSDLYVWDTNIGSELATITNVHAGNAFSLARSHTGKLLFTGGEDGAIRMFEISRNDKFYLRRVATWIPHSGAVYSLAFEFPWLVSASSDGKLSLIDVRKLLRTNRSYVMEKPSKVENRAIEPPQRMLHGFASNLFAVDVGLDRIVCAGEEGIVRIWNFSEALEIEQRVRALRGLRLENRMRRRKLQSEMTGKGSRADQCSVAAKKNQLNGDRNSWRNKRRVTGKQKA >Solyc03g121760.3.1 pep chromosome:SL3.0:3:71314268:71317548:-1 gene:Solyc03g121760.3 transcript:Solyc03g121760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGKWIKSLIGLKKNQSNDSEKSCGKNRKWKLWRSASGGIAMAFSKGVKGGGNLGDSDGSESSFLSDSALAAAIATVIRAPHKDFVVVKQEWAALRIQAAFRGFLARRALRALKAVVRLQAIFRGRQVRKQADVTLKCMQTLVKLQSRVRARCNQTSVDGDATKGPLVDSQADPVKQAEGGWCDSPGTVDEVRCKLKMRQVGAIKRERAIAYAQQKLRTNPSLNSRIRKVETLNKFKANGDSVWLERWMANKPWESRLVEDFHTDASGMTPSSRKYEDYDAGSLTDRSSVSIRRNNMSTRISTRGPMSCQIANSSSEPYTDYYQYDDSTTSHSSISTSETLGSNQTPPEDGHSKKPNYMNLTKSIKAKVKQRNSNYLSHSMQRNSTDNLQVHRKSSPLSRTIARRSADCDLYSVDLCKDLYPPSNAF >Solyc08g014480.3.1 pep chromosome:SL3.0:8:4579346:4591006:-1 gene:Solyc08g014480.3 transcript:Solyc08g014480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWSDGSYLEDQDHWWLSGIHRDVLLLAKPKTFIADYFFRTNIAEDFSYADIEVEVRIDNSLDNNDIADFTIEASLYDSGNWLSHSDHVDLLSTNIAHLELVLSSDPCVGFKGYMLVGKVQAPKLWSAEQPNLYTLVITLKDASGNLVDCESCQVGMRKISKAPKELLVNGRPVVIRGVNRHEHHPRLGKTNLESCMVKDLVLMKQNNINAVRNSHYPQHQRWYELCDLFGMYMVDEANIETHGFFDYPNYKHPTQESCWAASMLDRVVGMVERDKNHACIIVWSIGNEASYGPNHAALSGWIREKDASRLVHYEGGGSRTSSTDIVCPMYARVSQIVEIAKDPTEQRPVILCEYSHAMGNSNGNLHKYWEAIDSIFGLQGGFIWDWADQGLLKEVCGKMRWAYGGDFGDTPNDLNFCLNGVIFPDRSPHPALHEVKFLYQPIKVSFNEGIIKITNMHFFDTTQALEFNWVLHGDGCELGSGILPLLVIEPQRSHETKWESGPWFSAWTLSSAAEIYLTITAKLLNSTRWANSGHLISSTQVLLPSRRNVVPHIIKSTDATLLCEVVDDIIKVGQKDWWELKFNKQTGGIEGWKVNGVSIMNKGIYPCFWRAPTDNDKGGGALSYLSRWKAANLDKVIFVNESCSVESTNSHEVKISATYHGMAKPEEKTPSNAETSNILFKVVMTLLIYGSGDVVLECNVNPCPDLPPLPRVGVEFQLDSTVDQVKWYGRGPFECYPDRKSAAHLSIYELSVAEMHVPYVVPGECSGRADVRWVTFENKDGLGLYASTHGGSPPMQMNASYYSTSELDRTTHNEDLRKSENIEVHLDHKHMGLGGDDSWSPCVHDEYLVPPVPYSFAIRFFPKTAATTGYDIYKSQFSEE >Solyc03g078000.3.1 pep chromosome:SL3.0:3:50260748:50266538:1 gene:Solyc03g078000.3 transcript:Solyc03g078000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar glucose transporter 1 [Source:UniProtKB/TrEMBL;Acc:K4BHN0] MGWYNPTMTLSKPPLLVPSNSWVLRSKKVTECRRNYPLIGRKQEKLVGIHFVGRKGMLRLKVSASSGEGEEAESGYIEEFSWSSVILPFFFPALGGLLFGYDIGATSGATISLQSPELSGMSWYNLSAVQLGLVVSGSLYGALIGSILAYPFADFLGRSRELIIAAILYLAGGSLTACAPGLGALLLGRLVYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELAIVLGILLGYFVGSYEIDVIGGWRYMFGFSAPIALLMGFGMWTLPPSPRWLLLRAIQGKGPLQEYKQKAIGALSKLRGRPDGDKISEKQIEDTIISLKTAYTDEEAEGNFLEVLQGPSLKAFTIGGGLVLFQQITGQPSVLYYAGSILQSAGFSAAADAARVSVVIGIFKSLMTAVAVLKADDLGRRPLLIGGVSGIALSLFLLSAYYKFLGSYPFVAVSALLLYVGCYQISFGPISWLMVSEIFPLRTRGKGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGVIALLSLVFVVTSVPETKGLTLEEIESKILK >Solyc03g006350.3.1 pep chromosome:SL3.0:3:943476:955214:1 gene:Solyc03g006350.3 transcript:Solyc03g006350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQVSCVLGLSVRITQPLFLFRLTSSWRFYGIQVLEALALEHLLRSDFSSQQELVANLPQQTPLIEGANESCRISDSDGTRERIDGKRTHIDSDVQASEFEDGKTNTERIQRSGQELDAETLTDVSGKVAIGIPFTDGGDQEAMDNLKQTSPRLDVLKTRQRSSSPAADSRGESKRLAMRCEFFARGWCIKGNSCRFLHIKDHVTSHDKDGGLDDTMIKSKLADGKGLEESGKRSVLDGSSDSVQSAVQSGENLKLRGEEELHMRADGPSSTQDFRRESLGCKPHLAGYSTSSSPLLKDGSLHKNILHDGKLSSVLLSGSYQNTVVSPYTSGLDDTNHKRNRSVFEKHGLRVFNDSVDRLSHHCSSSRNVDALDDQKLLDCSQEYSSSRSTSLHHTSSAFPSSKTEFSQIDLSWDTRYSSDYRTMAPFDDWEPSVPFRPSFLLSQMIGCPESLYDPIRDSIDQSNVGDGPSKLSSSAKGGTVVTKHMQANADPVSTGTLGPEQSSNEVPISGNIYHRDILPDYLSEKDMSTNETDTADTAVAHQEINTSSKEDKYSRSANLRGATKADKQLSTELPRTRTRKKTQSESERLRHGTEIDIDRKTDRSVNKESRVMKHFHAALVEFVKELLRPTWSEGLMSKDAYKMIVKKTINKVVNSLHPNQIPGTAESIRQYLDLSQQKLAKLIEAYVEKYGKS >Solyc04g080955.1.1 pep chromosome:SL3.0:4:65096905:65099254:-1 gene:Solyc04g080955.1 transcript:Solyc04g080955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKEDNIKLLCARKARAWTPRHQIRPGSGSNRSGFCFHTFGAAPEEIAHCPVEAEALAEEEDHGHGNGRNSNDNTNSVNEYCSFKSVAKIIVSVDPLFSEDSSCFQKSQYHVSGMANVPPASLIDDFPEQIVEKSH >Solyc04g050985.1.1 pep chromosome:SL3.0:4:49139699:49145091:1 gene:Solyc04g050985.1 transcript:Solyc04g050985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQHSRSGFRGGRKSGFRLFWWLFMLWFCLLVVFELLVGSDLSEMEVEAMVKRVKIMFFGGLRGMYGGALVEEEDFSGLFLHQSKYARDLLQKAGLEKCTSQPTPMTISSSTNGADTPFADITHFRSLIGALQYLAITHPDMLSTDRGLLIRPGDLELRGFFDSDWANDKNDRKSTSGFLFFWGRTLSPGVQKNNPRSP >Solyc10g080813.1.1.1 pep chromosome:SL3.0:10:62135467:62135997:1 gene:Solyc10g080813.1 transcript:Solyc10g080813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLYPCISRKQEELMHTSRAKDEEFIFLNAYIRMYNQWKDGDLGTLQTFLRDTFLSLLFALTWFFWLLAKNPLVEKRIREEIQQQLNLKVDENLNFFSVQETRKLVYLHGALCETLRLFPSVAIEHKLPHDFDILPSAHHVSPNTRVVLSLYTMGRMESIWGEDRLEFKPERWIS >Solyc01g096810.3.1.1 pep chromosome:SL3.0:1:87678051:87680703:-1 gene:Solyc01g096810.3 transcript:Solyc01g096810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:EIL3 [Source:UniProtKB/TrEMBL;Acc:Q94FV2] MGIFEDMGFSGNFEFLSDSMGCGAQEVEHKPVGLEEDDYSDEEMDVEELERRMWRDRMLLRRLKEKNKNKVVGDGAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCNAQGFVYGIIPEKGKPVTGASDNLRAWWKEKVRFDRNGPAAIAKYQADNQIPGRVEESSVIVSTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPSGKEEWWGQLGLPNDQVQPPYKKPHDLKKAWKVGVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALARKLYPDSYPQGSLAVGNGSFFISDASDYDVEGVDNERNNEVECKPHDINLQTGIMLPKDRVLMPGLAPVKGEIIDLTSDFIQKRKEPCFEESVDQKIYTCEYLHCPYSNYQAGFLDRTSRNNHQMSCPFRFNSAQTLTTPKYQINYEHNTVFPAQTATSKPAVSSVTASSSMSASGLGLPEDDQRIISDLITSYDNNFQQNGSICSGISEILVNQSLPQQQTVELPMDGNINLGHMETSAQETSMPVYRSTEFQYDQCKMSFDAPFGGNINDITDYRFGSPFNLGGSDYAVEQLTKQDISTWYL >Solyc01g028810.3.1 pep chromosome:SL3.0:1:41287357:41292769:1 gene:Solyc01g028810.3 transcript:Solyc01g028810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFAGMSSAGPLAAPSTSSNKLSSVANISSTSFGSKRNVALKKSRRPTILAAAKELHFNKDGSAIKKLQNGVNKLADLVGVTLGPKGRNVVLESKYGAPKIVNDGVTVAREVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTAKALVAELKNMSKEVEDSELADVAAVSAGNNLEVGSMIAEAMSKVGRKGVVTLEEGKSAENSLRVVEGMQFDRGYVSPYFVTDSEKMSVEYENCKLLLVDKKITNARDLVNVLEDAIRNGYPILIIAEDIEQEALATLVVNKLRGALKVAALKAPGFGERKSQYLDDIATLTGGTVIREELGLTLDKADKEVLGHAAKVVLTKDATTIVGDGSTQEAVNKRVAQIKNLIEAADQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLAAKVDAIKGTLANDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSSDDPKFGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVAKTFLMSDCVVVEIKEPEAAVAGNPMDNSGYGY >Solyc09g015063.1.1 pep chromosome:SL3.0:9:7880804:7882020:-1 gene:Solyc09g015063.1 transcript:Solyc09g015063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKRILSNLQEPNNEGNSNSEDVERQIERRSTRDKRQASYFKDYEKEKTTPMEPHLKLLKAEGNSLKDVKEFQQLVGSLIYLTITRSEISFSVEIVSQFMQCPRSSLLDVARQILRYVKGSLD >Solyc10g081100.2.1 pep chromosome:SL3.0:10:62370843:62373253:1 gene:Solyc10g081100.2 transcript:Solyc10g081100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIQKTQEERKKMEQQLASMNTVTFDTEFYSSNKFEGYEKSIPVNDDDDTFDTENEVARKMASFTAPKQFFKEVPRGAGEEDEPSGFNKPSKIIDREDDYRRRRLNRVISPERNDPFLDKTPGPEVRTYADVMREEALKRQKEELMKEIAKKKKEEQEKAADKKEEVEKPAQKRRNRWDQSQDEGGAKKAKAGSDWDQPDSTPGIGRWDATPTPGRVGDATPSVKKNRWDETPTPGRVADSDATPAGGATPGATPAGMSWDATPKLAGLATPTPKRQRSRWDETPATMGSATPMSGATPAAAYTPGVTPVGGVELATPTPGAINLRGPVTPEQYNLMRWEKDIEERNRPLTDEELDSMFPQEGYKILDPPASYVPIRTPARKLLATPTPIGTPLYAIPEENRGQQFDVPKEMPGGLPFMKPEDYQYFGSLLNEEDEEELSPDEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKL >Solyc09g010210.3.1 pep chromosome:SL3.0:9:3596804:3602122:1 gene:Solyc09g010210.3 transcript:Solyc09g010210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:Q42872] MAPKYTSIIFLFLLFNSFSCSFGGGHDYHDALRKSILFYEGQRSGKLPPDQRIKWRRDSALHDGASAGVDLTGGYYDAGDNVKFVFPMAFTTTLLSWSIIDFKRNIGNELGNAVKAVKWGTDFLLKATARDGVIYVQVGDAFSDHSCWERPEDMDTLRTVYKIDANNPGSDVAGEIAAALAAASIVFRSLDSSYSNLLLDRAVKVFDFANRHRGAYSSSLHSAVCPFYCDFNGYQDELLWGAAWLHKATRRRQYREYIVKNEVILRAGDTINEFGWDNKHAGINVLISKEVLMGKAPDLKSFQVNADAFICSILPGISHPQVQYSPGGLIVKPGVCNMQHVTSLSFLLLTYSNYLSHANHVVPCGSMTATPALLKHIAKRQVDYILGDNPQRMSYMVGYGPHYPQRIHHRGSSVPSVATHSARIGCKEGSRYFFSPNPNPNRLIGAVVGGPNLTDSFPDARPYFQESEPTTYVNAPLVGLLAYFAAHSN >Solyc05g013967.1.1 pep chromosome:SL3.0:5:7467602:7470606:-1 gene:Solyc05g013967.1 transcript:Solyc05g013967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFPRQGRPQGWDTTDGGSFLYGNKAAYCLFVKFPFIAFFLGFCFPHAEKGRDNRSKTIPPKVDNRRNKSRPIPNQGSDLRGNTRNQPSNFCKNYEDHKRGTPHREGCYICDETTHAARYCPSLSKLSAMVAAEKQQEKAATKPEGLSGEQRGQNNGTDKGKNVVIGMFNHMALFNHISLAALAAQPASIKSSKAPFGAPVLFQKKTKGTLWLCINYRVLNKVTVKNKYPIPLIVDLFDRLGQAKAFTKIDLRKGYYHVRIAEGDEPKTTCVTRYGAFEWLVMPFVLTNAPATFCTLMNKLFHPYLDQFVVIYLDDIVVYSNNMEDYVEYLCKVFKVLRDN >Solyc10g062020.1.1 pep chromosome:SL3.0:10:23931253:23933720:1 gene:Solyc10g062020.1 transcript:Solyc10g062020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVKFEDTRRNASYMLKTCKSTVYEKYVLKFCSSFLSSWSSFVAGYFVRFLGKEGTALITTSPTVVMLIVVKFISSFVNIYSISYMYEDLHSPQFMCYLSIPTFFMPMFPTGDNFLQLLLRWEGVGIASYLLIHCWLHDLGSHTWSPNAMVVPTLVSALIHATTMVITGVFMIGKNAYTKYTISGNFDFWLGSVSVLFTSYYSFRYLFLTFLVPNNSFGQDILRCHYTPIPLAIPLTFLAHESLFVGYLGKV >Solyc10g050440.2.1 pep chromosome:SL3.0:10:49666955:49668613:-1 gene:Solyc10g050440.2 transcript:Solyc10g050440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLLFSLYFPKLRSLHKPSQPGWWSESNGNRFSDTLSSNSYSSSQSWHKQVFPEKNQSEVH >Solyc01g049950.1.1.1 pep chromosome:SL3.0:1:47605837:47606016:1 gene:Solyc01g049950.1 transcript:Solyc01g049950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIRSCKIIVVPKTTPSIKNGKLPMEIPFGKKLKQRVSTGKLFRFKPFLGSNNDIRIC >Solyc05g050680.3.1 pep chromosome:SL3.0:5:61647618:61656816:-1 gene:Solyc05g050680.3 transcript:Solyc05g050680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGGGGGGGGSSKSEMIGGPLDRKRINDALDKHLEKLSPSTSRSLKDKAVPSTSTGGGGGAGKSHFDHRNTNKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGIAAMLEKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRASTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPTQNFVPRVFGFKIHKT >Solyc07g048010.3.1 pep chromosome:SL3.0:7:59335411:59339537:-1 gene:Solyc07g048010.3 transcript:Solyc07g048010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKESSSSRGALIVLEGLDRCGKTSQSGRLYKYLDEQGHSVESWRFPDRNTGVGQMISSYLANKSQLDDHAIHLLFSANRWEKRSLMEEKLKSGTTLIVDRYSYSGVAFSSAKGLDIEWCKAPEIGLLAPDLVVYLDISPEKAAERGGYGDERYEQLEFQKKVAGSYLSLRDSSWKVIDATLSIEDVEKKLREVVLDCMITCHKGKPLSQLWPC >Solyc05g025900.3.1 pep chromosome:SL3.0:5:37520558:37523097:-1 gene:Solyc05g025900.3 transcript:Solyc05g025900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVLGRNNSSDHRIKPSGFTAHEMTSMPIYNSPEFGIGDPRDDRSSSFSSSSSSSSIGRNSDDSPAGRSSSDGDGEEVQSSFKPGGLDNLGTLEEVLPIKRSISKFYAGKSKSFTSLADAASISSVKEIVKPEDAYTRKRKNLLAHNNFFGKNRSYLPGMGNRGIYKRPINSRSSSALAASVSCSDSSESLNSSPSSPCLTRPPLPPQTRRYRNESSLSPPDRKLNAWRSFSLSDLQGAAAAAPSLMGIRK >Solyc07g006110.3.1 pep chromosome:SL3.0:7:964695:979913:1 gene:Solyc07g006110.3 transcript:Solyc07g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMFLVFVFSLLLFYPFLCFSYEARNHEVEALIAIRRSLDDPKGVLSNWDEDSVDPCSWAMISCSSENLVIALGAPSQGLSGVLSGMISNLTNLKQVLLQNNNISGHIPRELGKLPNLETLDLSNNHFSGHVPDSLGLLNSLQYLRLNNNSLSGAIPLSLARVPQLAFLDLSFNNLSGPIPKFPTRTFNVVGNPLICGNHSAETCFGSVNLMPLSFDIDSTGKRNSKRLAIAMGLSISFISLFLLGFGFIIWKRNQNRKQSILNINDMQEEDLVRLGNLRSFTFKELQRATNSFSSKNILGAGGFGNVYRGKLGDGSLVAVKRLKDISGTAGESQFRTELELISLAVHRNLLRLIGYCATPNERLLVYPFMSNGSVAARLRGKPALDWTTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDDFCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRALELGKTVNQKGAVLEWVKKMQHEKNVEALIDRELGSNYDRIDVGEMLQVAILCTQYLPSHRPKMSEVVRMLEGDGLAEKWAASHNYDGFSLTKNIALLLEQGKGSKFLIVILEDYFMKESWFKDDDLKIHSIEKGVLVADTKEKDSTKQRTLGQAFQCQVPRACGAFIIADMLFSSSLFAIVGAGEQFGVQVSFNVLTNGSFWVVAGAFIIVEMLFSSSLLAIVGAGEQPSLSPRRLCLFNTVTGVALEELNFLTSILAVRMNKKRLIVILQEKTFIYDINSLKILDTIDTVPNPKGLCAFSPSLDGSFLALPASTTKGSLLVYNVSDLRLHCEIDAHRSPLAVLSLSSGGTHIATASEQGTMIRIHLVSDATKSYSFRRGSYSSNIFSLSFAPATELPDILLATSSSGSVHVFSLGSPPNQRSSGLLGSIKVPHTINDALDPAQHCILHHAVPAGVRSNTLIRKIEKFEETATPEHVVLRATIPMITYGGYFLEYVYNVNHQNKSSWTLEREFNLLSAETSSLP >Solyc05g007090.3.1 pep chromosome:SL3.0:5:1668460:1672455:-1 gene:Solyc05g007090.3 transcript:Solyc05g007090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIHGDPMLHAQRVAAIKKAKGTPAARKRASESMKSYFRDPDNRRKRSISMKGVKFYCRNCGQEGHRSNYCPEIRDNTDRHYRCGLCGTKGHNRRTCLKLSLIVPKKTVKVNHHCSKCQRSGHNRRTCHQKNEFDTKVVATIKTRVKRTYSCSVCLGKGHNARTCLHKSNSKSR >Solyc05g018033.1.1 pep chromosome:SL3.0:5:19653889:19654867:-1 gene:Solyc05g018033.1 transcript:Solyc05g018033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFSNLEAIKHGGFYFKRLTSKFGFPKKPDPLKRLDELHGHDWWFDYNQCNKIGEESFWYSRLQGLREEYIQWSTDSLVIADIRKFATDHENGRVKFAEDIIGYGGFEECWVTRTKQHQHRHSKDAKVKSQLKQELQGAKQCMKDLDDSMEQQIHTLDGFKHQEGSLLASDHLWENKYSMWEEFHVAKRTRLREK >Solyc02g061705.1.1 pep chromosome:SL3.0:2:33770813:33773735:-1 gene:Solyc02g061705.1 transcript:Solyc02g061705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDIEHDVCIILREYLVQQGLFPYLDQPQSNQDHDQDEADETGNLIIVGDFNSHQLWVTLIIAEYPNAAMEVARSAVDSTLERAIGPEELYAMLSLDYIGFFDSEESLIAVEELEEDSGE >Solyc01g105570.3.1 pep chromosome:SL3.0:1:93598301:93603832:-1 gene:Solyc01g105570.3 transcript:Solyc01g105570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDELEPVFGRLNAEWSAPHKTPLKPFLFHVHGLSTDPSTLCVCATDFHSNTWHALKSAQELEDMRDRTGIGGSWSDFVDYLIAAVKSEDVKLVMDGQSKVGGAAHAKLVAQKAKGMPRIAISLSKLVDTAATEAMANLSLALYTTFTNLLKVTLHEVVELTITTLAWIRLSKSPFCTYSKEKQRCCELTNVLSDEKMKGVSSIRILCVVLTALGGKKPDCSIRLAVLYNSPSHQKHTDMSSHLAHHHSIYQEKNEIAQKQLDALLYSKRQKFEKTASDTATISSSLVSPVKQAAQLPSTKVTNRVVPAHRRARVRGVLLHDTEEERQD >Solyc11g045670.2.1 pep chromosome:SL3.0:11:28272934:28277679:-1 gene:Solyc11g045670.2 transcript:Solyc11g045670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPPPSSTSTRCDSKERIDNTNGSQLRLYQVWQGRNRFYLGGRLVFGPDIRALFLTLFLILFPVALFCAFVSRELINAFPHRFGYLIMAISLLFSVFIVVLLLLTSGTNPGIVPRNAHPPDTDDECDTSSISTSCLGSQTGPISLPPMKNVTVNGIVVKVKYCKTCMLYRPPRCSHCSICDNCIERFDHHCPWVGQCIGKRNYRYFFMFVSSTNFLSLYVFAFCWVNIKKIMDAHNCNIWSAFFKSPASGILIMYTFVVSWFLGGLTAFHLYLIITNQTTYENYRYRYERKMNPFNLGCARNFNEIFCTGVPSSRIDFRAHVQLDRSLSFNTLSYLGGATTNSDMHKMKNFDEEVGRRQGVDDCKETDSRIKTIGGGVDRCEK >Solyc05g007110.2.1 pep chromosome:SL3.0:5:1679781:1683327:1 gene:Solyc05g007110.2 transcript:Solyc05g007110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKDRAMDSTKKDEDKLNSTKCEIYEAKEENERLKRMLSKMMNDYKSLEMYFQGILTQKNTKNIIATSNNIHEEKEQVELVSLSLGRNSSTSRELIKKELLYDDQNKSNKNHSKLLFDGLELGLGCKFTQENSFEGPKKENINNERRVLIQNYLPSDYCGEEFLQQIPQKKARVSIRAVCDTITMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSPSCPVRKQVQRCSKDTSILTTTYEGTHNHPLPFSATDMASTTSAAASMLRCTSTSSTSQQFLPNIDQNLHGKINFTNNTSNNNLLLATHNNSPTFYLPKTSISTSQSHPTITLDFTTNSSSTNSSSSNSYSTLCNNIKSEIGPSSYLGRPCYSNQPYITSKEQSEHDRSVQCRSQNF >Solyc05g053530.1.1.1 pep chromosome:SL3.0:5:64459206:64460957:-1 gene:Solyc05g053530.1 transcript:Solyc05g053530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTIKPLNSPSFSCKILINPSKKNTITLPRTRIPPLSSPSSSPSQSSSNSDESKIFPLKIDPPKLNLFQKIASSALDMLEKSIVTKLEKKHKLNRTVDPEIQLEGNFAPVHESSVQHGLEVVGHIPSNLTGVYVRNGANPLYKPINGHHLFDGDGMIHAVKLDSKNNKASYSCRFTQTSRLVQEASLGRPVFPKPIGELHGHLGLARLALFFARASLGLVDATKGTGVANAGLVYFNGRLLAMSEDDLPYNVIIKEDGDLESNGRYDFNGQINDPLIAHPKVDPITGEFYTLSYNILKKPYLKLFKFDTCGIKSRDISISLQNPSMIHDFAITESHVIIPDYQVVFKLSEMIRRGSPVVHDPNKVSRFGVLSKDDHDESRIKWIDVPNCFCMHLWNAWEENHEESGDMNIVIIGSCMSPPDSIFSGSDEPLKSKLTEIRLNLSTGRSTRRIIVSGMNLEAGQVNKTRLGEKTRYTFMAIAEPWPKCSGLAKIDLVTGNVTKFLHGDDRFGGEPYFVPSTKEGEEDEGYLMSYVRDERNEKSELIIINAKNMKQIALVKIPKRVPYGFHGTFVSSQDLCNQFSC >Solyc03g119360.3.1 pep chromosome:SL3.0:3:69475777:69478545:-1 gene:Solyc03g119360.3 transcript:Solyc03g119360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:K4BM85] MYTSKQKIHKDKDAEPSEFEVSVGQALFDLENTNQELKSELKDLYINSAVQIDVSGNRKAVVIHVPYRLRKAFRKVHVRLVRELEKKFSGKDVIFIATRRIVRPPKRGSAAQRPRTRTLTSVHDAMLEDLVVPAEIVGKRTRYRVDGSKIMKVYLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >Solyc12g049040.1.1 pep chromosome:SL3.0:12:61403843:61405000:1 gene:Solyc12g049040.1 transcript:Solyc12g049040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQWLQFACVLTFFLIATCTMAYSPYNSYESSDSTYNKVPTTVVKSEDFKLPSESEKEYKSSFLPKNDYYKKPSILEDNYKKVSSVPRHESFLPKNDYYKKPLFSEDNYKKESYVPEVPSKAKPEYKESFFPKFDYFKKPSFSEDNYKKTSYVPEVPSMAKPEYKESFFPKFDYFKKPSVSEGNYKKTSYVSEVPSMAKPEYKESFFPKFDYFKKPLAPEDKYKKAPYVPEVSAEPKPEYKVPSVPKEEYKAPTLPKNDYYKKPSVPEENYKKVPLVPKVPSVSKEEYKVPSLSKNDYYKKPSVSEDNYKKVSYFRKVPSVPKEEYKTPSLSKNEYYKKSSPSPSPPPPSYY >Solyc03g025650.2.1 pep chromosome:SL3.0:3:3098633:3101167:1 gene:Solyc03g025650.2 transcript:Solyc03g025650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLCVLLFLFACVTLRSGVEGIGVNYGFLGDNLPPPTQVINLLKSINIQKTRIFDPNPAVLKALEGSGISVILGTRNEDLQPLASDLNFATNWVITNVVPHVSSVNFAYISAGNEVIPGQLASFVLGAIQNLDSALKALNLNIPVTTTVSLQVLGTSYPPSQGVFTEESIQFLRPIAQFLATKEYPLLADVYPYFAYASSPEHIQLDYALVKNTADNVIDGDLRYSNLFDAMVDALYAALEKVGQAGLGVVISETGWPSGGDVYATIDNAQTYVNNLIAHVASGKGTPRKPGKVVETYIFALFNENQKPVGTEQNFGLFYPNMTEVYHVNLTPMKK >Solyc12g008740.1.1 pep chromosome:SL3.0:12:2099015:2101487:-1 gene:Solyc12g008740.1 transcript:Solyc12g008740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVELSKVANSDTHGEDSPYFAGWKAYDEDPFDEVHNPCGVIQMGLAENQVSFDMVEDYLEKHSKTINCGSGISNFRENALFQDYHGLYSFRKSMAKFMEKIRGGRAKFNPDRVVITAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVKIIPIHCNSSNNFQVTPQALESAYEEAKFNKIKVRGILITNPSNPLGATIQRSNLEDILDFVVRKNIHLISDEIYSGSAFCSSKFVSIAEVLKSRNDVDSERVHIVYSLSKDLGLPGFRVGTIYSYNDNVVTTARRMSSFTLISSQTQQLLASMLSNEEFTTNYIKTNRDRLRKRYEKIIDGLKRSGIECLKGNAGLFCWMNLSQLLEKSTKECELKLWNSILCEVKLNISPGSSCHCSEPGWFRVCFANMSEQTLEIALKRLHNFMQRRTQNRY >Solyc01g007080.3.1 pep chromosome:SL3.0:1:1627511:1632919:-1 gene:Solyc01g007080.3 transcript:Solyc01g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSKIQEKNSVLNMLWNQLKWFPMKLINKINNIANNTINIGKNDPRKIWHASKVGLSLTLVILFYYSWPLYHSFEQSAIMAVLTVMVAFEYTAGATISKCLNIAFATALGVSLGIGAKYLAEICGKEGEPIILGFLVFILGALGTFTRFYPHMQRRYDYGCMFSVATFSLVTVSGDRYLEMVKYRISTIMVSVATVMVISLVIRPVWAGKDLHNLIIANLEKLASFLDGFESEYFQAIGEGSKDKEKGFLEAFKSVLGSKATEESLANFAWWEPANGPFRFNNPGKEYLKIGNLGRDCACHLHALSGHLKSKSMAPTEFHKRTEEGCKSIIKKSSNALKYLALSIKTRTQPGPYNAKFAIDELRAALLITTKTISEEDTIDVVSVASILIDVTRCVDEISKAVGELSIKAHFQKEKKKKDNSPSAPQLQLPNRVIVNAAAAVAEEENPIGLIKGEHVVCEIHAIDEEINIKFT >Solyc01g056205.1.1 pep chromosome:SL3.0:1:52492444:52500587:-1 gene:Solyc01g056205.1 transcript:Solyc01g056205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLTGWSVGMDYQKLNAWTEKDHFSMPFMDQMLDRLAGKGWYRFLDGYSGYNQTSNAQKDQEKTTFTCPYGTFAFKRMLFGICNAPTTFQRCLMSIFSDMVEDTIK >Solyc01g006310.3.1 pep chromosome:SL3.0:1:898762:901643:1 gene:Solyc01g006310.3 transcript:Solyc01g006310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4ASJ7] MKTKPRNFILYFQIMTFLVLFVGVNCQLTPNFYSKTCPNLLRVVRREVQSAIKNEMRMAASLLRLHFHDCFVNGCDASLLLDGNSSTSEKFAPGNLNSARGYEVIDNIKTVLENTCTGIVSCADILAIAVRDSVLLSGGPFWKVLLGRRDGLAANFSGSSNGLPTPFDPLNTIISKFQDVGLNLTDVVSLSGAHSIGLARCTTFDNRLRNFNGTSSPDTTLDTTIVSELQNLCPSTSDGNNTTPLDRNSTNLFDNHFFKNLINGRGLLESDQILFSSDDAITTTKTLVETYSNNSTFFFNDFVNSMIKMGNISPLIGSNGQIRTNCRVIN >Solyc12g096635.1.1 pep chromosome:SL3.0:12:66447926:66448674:1 gene:Solyc12g096635.1 transcript:Solyc12g096635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPSTSASFWMSISIITILHTDPTALLAAVNPSLLTYSEGVVRVQTVGITKGLTIFYNKQKRFVEVTEWSEKPIVKVAATVDAPKVIELVMTRLVNS >Solyc06g059960.3.1.1 pep chromosome:SL3.0:6:37982279:37983685:-1 gene:Solyc06g059960.3 transcript:Solyc06g059960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKRKSRWDQEAEAKSDPRNESDVAEDQKQVLDDDVPPGYEFPPGFSVPIKACKVLSDDSSTAIYSTEEGNWGEHPQPVVMGHLQQRFVSRLPVSYGIPFSEVQQFGSHQKGRFDAWTVSPGIPFHPFPPLPPYPCDRRGFVPTASELPQNAGEDWGACSPSHLAQNPPSVSGADQPQDGNGNQLGCERASESHNLGRKNFRKQKFNNSKLVPPWLRIRSGWEYTGNSMCIPGASRENEFRSTHNNHLGMQNLGHALRPNTFHRY >Solyc03g045095.1.1 pep chromosome:SL3.0:3:11569202:11575988:1 gene:Solyc03g045095.1 transcript:Solyc03g045095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGNSPETRSSARVRVGILEKLRKQLKELLESGHIRPSKAPYGAPVLFQKKKDGSLRLCIDYRALNKVIIKNKYPIPLIAYLFDRLGQAKYFTKMDLRKGYYQVRIAEGDESKTASVTRYGAYEWLQHLRGARGALEGSLPNLMGEIDLCQAGEVRVRPARGALFRPCHQPRQTTDGRGKDSGDLRGGGPHEGDRVAILPWTYELLSQVHQWLFR >Solyc08g078880.3.1 pep chromosome:SL3.0:8:62686603:62690295:-1 gene:Solyc08g078880.3 transcript:Solyc08g078880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEIIITNAENKIDDAIVCYAPTMITTSGIWQGDNPLDYSLPLFIVQLTLVVVITRLLVFILKPIRQPRVIAEILGGVILGPSVLGRSSTFANTIFPQRSVMVLETMANIGLLYFLFLIGVEMDIAVLRRNSKKSLLIAIAGMVLPFIIGSSFSVLLHDKSQNTKEETFVLFLGLALSVTSFPVLARILAELKLINSEIGRIAMSASLINDMLAWIVLAFAIAFSENKDMSIATLWVILSSIAFICVCFFVIRPLIGRRISQTPEGESISEFNICLILTGVMISGFITDVIGTHSVFGAFVFGLIIPSGPLGLTLVERLEDFVSGLLLPLFFAISGLKTEISAIDSVATWGVLCVVIFLACAGKVIGVVIVTLYYKMPFYEGLSLGLLMNAKGLVEMIVLNVGKDQKVLDEKSFAIMVIVAIGMTAIITPIVTIVYKPSRNFTPYKRRTVQITKLDREFRVLVSIHTPKAVPTIISLLEASCPTKKSPLCIYVLHLVELTGRASGMLIVHNMRKIGRPAMNRTQAQSDHIINAFENFEKSAGCVYVQPLTAISPYSTMHEDICVLAEEKRVALIIIPFHKQQTVDGGLETTNTSFRTINQNVLANAPCSVGILVDRGLTRSTANQISHHVAVLFFGGPDDREALAYAWRMSEHPNLNLTVMRFLPGETTTEASRSDSTSNKNDYSVLTVETERDREKQLDEEYVTEFRTKTGNDGSIVYIERIVNHGEETVAAIRSIDNSHDLFIVGRGQGTSSPLTAGITDWSECPELGAIGDLLASSDFAMKASALVVQQYVGIGSGDPLITPDSPSVHYQPFNLDHSSHRSQQLPQFHSQQ >Solyc05g032738.1.1 pep chromosome:SL3.0:5:45704982:45716996:-1 gene:Solyc05g032738.1 transcript:Solyc05g032738.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTGWRVCMDYFKLNSWTEKDHFPIPFMDQMLDRLAGKGWYCFLMGIRGIIRFLLHQKIKRKPLSLVHMGPLRSEECRLGCAMHPQPFRDKRRLLTTNEIIKEIECEKGHQLKLIGGQQSVAPQSEAKTQYAEEFPFENQPVEELRIVHPVEELPIKQPVEELPLKQPVEELPLNHHGKDVPFEDQVQMNYVTPQTNDQPEEQAGDVSSPNKRGRTQMHDVHDRKERKLIILNSQNQPVRPMDDVVIELSSFLRTLATNATLCPFDIFDWRSMDTKKDLWDYTKEKNKETVSSKDVFMVTRTRKLGRSYKASNEDTTSKIQMQERMQKMEKQMEERKKIVRQKVIADDIAQLKHAGLIDPNILAALSTPSPTESTSVQGAKQAALFFVLLLYLVSAAALLFLLLVYLVSAAALLFLLLKFEWAQLAVECYWHVTKLKKAMKAIVKT >Solyc11g010500.1.1.1 pep chromosome:SL3.0:11:3563122:3564096:-1 gene:Solyc11g010500.1 transcript:Solyc11g010500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGFVEGGIASIIAGCSTHPLDLIKVRMQLQGETPISAPATVHNLRPALAFHTANHTIHIPAPSVVAHAPPRVGPVAVGVKIIQQEGVAALFSGVSATVLRQTLYSTTRMGLYDMLKQKWTDPDTNIMPLSKKIVAGLIAGGIGAAVGNPADVAMVRMQADGRLPISQRRNYKSVIDAITQMSKSEGVTSLWRGSSLTVNRAMLVTASQLASYDQFKETILEKGLMKDGLGTHVVSSFAAGFVAAIASNPVDVIKTRVMNMKVEPGMAPPYSGAIDCAMKTIKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKMLKDF >Solyc09g059250.1.1.1 pep chromosome:SL3.0:9:54258856:54259152:-1 gene:Solyc09g059250.1 transcript:Solyc09g059250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVDFPTVDFSKIYMDEVGGVKKACICGFGSQAVFYENIGSSSASTTQPQDCSFDARVKEYVQEMKHEMREEIRHELSEEMKHEMHLQMQEQVDTPP >Solyc02g082660.3.1 pep chromosome:SL3.0:2:46856963:46870590:1 gene:Solyc02g082660.3 transcript:Solyc02g082660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIKRMPENIWSSIRSGVILYDFSRVVEELVFNSLDAGAAKVSVAVGIETCYVKVDDNGSGVSRDGLVLMGEKYATSKYSHSDDMHAFPASFGFKGEALSSISDFSLLEIVTKTHGRPNGYRKVLKGGKCLYLGIDDCRQDVGTTVIVRDVFYNQPVRRKQMHSNPKKVLHALKESLLRIALVHPSVSFKIVDIESEDDLLCTRASPSPLPLLSSEFGIHLSSLNKLNASDGSFKLSGYISDPDVYTVKVLQYFYINSRFVSKGPIHKLLNNTAMSFDRASDIEKRSRSQIYPLFMLNLNCPRSFYDFTLEPSKTSVEFKDWGPVLLFIEDTVANLWTESNSADIPVNHEIRKKRCRAQSCKDTLELLSPLPKKQIRECTVRRDIQSPQNTLWESASAKPDPGPGFLCQIESPSRLVDGSLAHCTVGVNWKSRCSVQPLSSNVSPTENYFLDNKFSASATSSYKSDCLLGSGWENESQTILVGKSTEDASFKESLELIDSSNMMHERRKPFMRSCSLRRSLIHDGTSFDSDEDVKFGKSDCRTKQNRLEDDYSVEFEVVHDVNRVLHQRPTRGKEIYFEKFSRCKTQSRALQRTKKISGDSEKSSLTKDILDEDDHLMDFFKQTENYRSGLPSFSPELSPLPAYPLLGSRSLDVNPYISENELETSVKHEVGVTYNSGNMQCNLLVPAINNMGKEDCLFSNPAKFDLDFYACSKEDLGCIGGLDPWDIYSSGPSESYYDGDDLSHTHSHGENLTNCLTPRAMLSSWVDGNSHKWNDAGSRGNTDKLIRKKSRSHSAPPFYQGKKKFFATSESSKTAAGNNIIKTVHDVPLMPETRAVRRLGDSTEAICSELPQQSSHQCDQSSTPSCGDGVYSDERLSVKMKLVDIWNSKLQTQGECISTRYGELKEEFAPTKETQSILDSGTKWRDFCPEITMQSGAGTKSRKNQDTVLNVTSGILHFLGDSLVPNTIDRNCLGGAKVLQQVDKKFIPIVGGTTLAIIDQHAADERIRLEELREKVLSGQKRTTTYLDSEQELVMPEIGYQLLHNYADQIQNWGWICNIHSQASKSFTRNLNLIHKQQTSVRLLAVPCILGVNLTDVDLLEFLQQLADTDGSSIVPPSVNRILNNKACRSAIMFGDALLPSECSLIVEELKQTSLCFQCAHGRPTTVPLVNLGSLHEQIAKLGSWSKGSSEAWHGLHRHAINLERAAKRLRSAVS >Solyc06g084483.1.1 pep chromosome:SL3.0:6:49623711:49629993:-1 gene:Solyc06g084483.1 transcript:Solyc06g084483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMVKAETKKKAKCFMDVDKKKNMQRLGGGGLSLEAFANAKTKTNTYNPAIIKKQREFYKNAKYVNKYKRIVKQQQGEPSGSARQLEDEEVDIRRNKKNSARSLREIYERKREEDDKARMETEATILARKEEMQRAESRRKELREKMFKKTKSGQPVMKYRIEHILETLQGSKSDFCSGSKSMGSKALTRQQDQDFEMPDRCELRETCDHNLRANGVAVADEATPLRAPLELLSKGIRFIVGNGLLVISATLIEMEKGKERQSDNFGFAQDNFIELEFFKTKWRATIASGFGGLRVEGIVGSEESLNRGVISGESEGAAEIVEARMPSWAQK >Solyc10g018640.2.1 pep chromosome:SL3.0:10:8927522:8928506:-1 gene:Solyc10g018640.2 transcript:Solyc10g018640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLQTVCSMCGDVGFPDKLFRCSKCHHRFQHSYCSNYYSESSESIQVCDWCQSEGASLRNGARKLINDNFGMVNRSEYSGDNKIKQNDDKGEESGTTEKAKMNHNGSPSPKTATRRYKLLKDVMC >Solyc02g067530.3.1 pep chromosome:SL3.0:2:38264080:38268802:1 gene:Solyc02g067530.3 transcript:Solyc02g067530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQLPFLFLLFLCLLSIFPDLSGSTPLRVSGGHGDRKTKLSVLKLVTGRSSATIDPTRVTQISWRPRAFIYRNFLTDEECDHLITLAKDKLEKSMVADNESGKSVESEVRTSSGMFLSKGQDEVVANVEARIAAWTFLPKENGESIQILHYEHGQKYEPHYDYFHDKVNQELGGHRVATVLMYLSDVEKGGETIFPNSEAKKSQPKGDDWSDCAKNGYAVKPRKGDALLFFSLHLNATTDPLSLHGSCPVIEGEKWSATKWIHVRSFETVFNNECQDQNPSCSQWAVNGECDKNPLYMVGSENSVGHCRKSCKVCS >Solyc09g064920.3.1 pep chromosome:SL3.0:9:62656745:62677661:-1 gene:Solyc09g064920.3 transcript:Solyc09g064920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQNQPNFVGTQWAFLGSTNDSFYLAGHEFNNQYMTEVGIDGIHYVPVPSPQWIHDQQTPHNYVEYLAENVVSEVDASVEATGFSLLEGSADIISQEIRYPRPYYEGVPPNCLNSSEHQRGALTWELRGNVRHASGPCSDASAAGSSYSSKSSRKARAALSDRHRRMKIAERIDALGELFSCSKQGGKASQMDEIIDHIKYLQFQMKDLSRSRLGGEPTSIPFVFLEGCGHYILDEQQIEPLEDTMGKLLEVNPSLATQLLESKSLFVMPLALAEGLHHHE >Solyc07g053585.1.1 pep chromosome:SL3.0:7:62133509:62135970:1 gene:Solyc07g053585.1 transcript:Solyc07g053585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLQFSIVNDLPLLRIGGRVLSLFDLLGPPLLPFEALTVQEQWNGLMLESRYRELKLATHWTQYSLHVTSLMAILLPQELDSYGYTRLNDILMPKDS >Solyc11g006810.1.1 pep chromosome:SL3.0:11:1388650:1389126:-1 gene:Solyc11g006810.1 transcript:Solyc11g006810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPKEFTFRVSIEELNAQEQEFLKKQRFMQLVNDIDPSLQNPPPSVNRRVKMIIDETPDRKRKDNHFGMEGSSKFPKIGS >Solyc01g080800.3.1 pep chromosome:SL3.0:1:79931898:79933414:-1 gene:Solyc01g080800.3 transcript:Solyc01g080800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSITNTAANTPGGARFNRDIGAQYCQQTLAAATSFIWNIFQQNFPADRKNVPKVSMFVDDMAGVAYTNNNTIHVSARAPGGLIEGIADYVRLKAGLGLSHWVKPGQGDRWDQGYDVTAQFLNYCNSLRNGFVAELNKKMKNGYSDQFFVDLLGKTVDQLWGDYKAKFRGNFRLNRE >Solyc05g013250.2.1 pep chromosome:SL3.0:5:6330819:6333034:-1 gene:Solyc05g013250.2 transcript:Solyc05g013250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHSGLHPFLSECSVHSGLQTKDVPFIQACISFSVNVPVHSGLQTKDGGGPLLVEGSSAISQFIGNLSSRHVKDLFVEVKMIEDILCVPTKMNH >Solyc12g005490.1.1.1 pep chromosome:SL3.0:12:292391:293068:-1 gene:Solyc12g005490.1 transcript:Solyc12g005490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKNSNSDSVAINTEKLETKSVVTSENQLEIPLLEDLQVADKPQKTAAQKVIRKTFKKTAVLSNLLPSGSVLAFQILSPVITHEGQCRSTISHSMTLILLGICAISCFFLCFTDSFRDERGKVRYGLATFRGLWIIDGSSPPEDVEKYKLKFIDFFHAFLSILVFCAVAAFDQNVMKCLYPSPSLDAQEILAILPMVVGVICTFLFVVFPTTRHGIGFPLSRC >Solyc11g012590.2.1 pep chromosome:SL3.0:11:5386379:5392481:1 gene:Solyc11g012590.2 transcript:Solyc11g012590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYLGIGVSPGNVPVYHGNNLKVIDRRVRVTELVLRCVIFGLAIVGVILIGTDKQVKVIFSIEKIAKFTDMKALVFLVIANGLAAAYSLVQVLRCILSMIRGTVLFNKPLAWAIFSGDQLMAYLTLTAVAAAAQSAVFAKLGQAELQWMKICNMYGKFCNQVGEGIASSLITTSKRLAERKVAKFEKNITRRGLNPLTGKNKMEPAHIVLALFAVLVVGSFVFQVVKMAINGGNNVDGSF >Solyc05g015070.3.1 pep chromosome:SL3.0:5:9774948:9781159:-1 gene:Solyc05g015070.3 transcript:Solyc05g015070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMMENSEVEENLLSIGEPKLHGGMCKSLSAVYAKVLGIFPELEAARPRSTSGIQALCALHIALEKTKTVLQHCAECSKLYLAITGDSIVLKFERARCALEDSLKRVEDIVPQSIGCQISEVLNELQGIEFSLDLAEKQIGDEIITLLQQGRKFNGSDNNELESFHQAASKLGITSSRAALRERRALKKLVERARAEEDKRKESIVAFLLHLIRKYSKLFRSDLSDDNDSQGSTPCSPTVQGSFEYGIGAGGNIHAFDRQLSKLSSFNFKPNFRRTDQTPVPPEELRCPISLQLMYNPVIIASGQSYEKICIEKWFSDGHNTCPKTQQELPHLGLTPNYCVKGLVASWCEQYGVPIPDGPPDSLDLNYWRLALSESECTNSKSTGSIVSCKFKGVKVVPLEDSGIIEEAEGTEVDESVQEDELQDNSLERYDDFLAILNEGEDYRKKCKVVEQIRHLLKDDEEIRIYMGANGFIEALLGFLECAIQTRNEIAQEIGTMALFNLGVNNNRNKELMLAAGVLPLLGRMVATSSAISAATALYLNLSCLEEAKPIIGSGEAIPFLIGVLQRETDTQCKLDALHALFNLSSNPTNTPHLLSAGILDGLKTLMSYTDDHTTEKCIAVLINLSLSKSARDEIVSSPGLISSLATVLDVGEPLEQEQAAACMLILCNGNEKCSQMVLQEGVIPSLVSVSVNGTMRGKQKAQKLLMLFREQRQREPSPVQSQPRTENTETLDMPSEDSKPLCKSTSRKKLGKAWNFMWKTKSFSVYQC >Solyc03g005210.3.1 pep chromosome:SL3.0:3:122225:124135:1 gene:Solyc03g005210.3 transcript:Solyc03g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHYSISFLSCIIVLAILPINAQIVTSPCTATMLTSFTPCLNFLSNGNGTAAPSPGCCNALRTMMGNGSACLCVIATGGIPFQIPINPNTTLSLPRACNMARVPLQCQASSVPAAAPGPVGTEAPSASPTPSPSPSPSPKGTTPFNPMSPTLAPQADVIPTVTPPSPSATNSGRRQNPNTPSAAPSVGYGLSPLAFSAAVGAIVVLV >Solyc01g079810.3.1 pep chromosome:SL3.0:1:78799771:78806224:1 gene:Solyc01g079810.3 transcript:Solyc01g079810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIALRMPVVFVLSRLTPMILLLIGEVTDCKHEYHLQCILEWSQRSKECPICWRVFALKDSASQELLAAVEIERNMRSRINVRHTNENVEANHDATQQNDSDFEERIMRHFAAATSRVRLVNRRRRQASSGIGPTQVVPSVPVGVSSNQTQNNESTVQFQGFGYFGDGSAASATSSSIRPASSSVPAHASDPSKLSQPPTYGPQGSSSSEFLAFSESIKSKWSSASARYKDSISKGTRGFKEKLLARNTTVKEFGREVQREMSAGIAGVSRMIERLDLTSKRTGAAEPSACSMGTSNFPGRGVSEQGNVTFQAHDGCGKNTTIGVTPTNPSLISNTNTNTNTSTGQMEISLGQNGN >Solyc01g099167.1.1 pep chromosome:SL3.0:1:89361295:89361651:1 gene:Solyc01g099167.1 transcript:Solyc01g099167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMLFNYRVVVEDLTCPHGVCLLIQDYPYAVDGLEIWSAIKSWKDGELQAWWNEIREGGHGDKKDEPWWPKMQTLQELIDSWIASALHAAVNLGNTLMVVT >Solyc02g086463.1.1 pep chromosome:SL3.0:2:49762800:49770904:-1 gene:Solyc02g086463.1 transcript:Solyc02g086463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLVLHGIASSVLSFEFTIDKLCKKNLSSSSSIAGFSSFFSTTSSSDVSSTVGSSGISCPASSSGVSIAAGSSGFSVISSSSGFSSTGTSDFSSNVGSLGFSLIAGTSGFSSMSGSSVFSSTADSSGFSSLSGEYSTSLLVLHGISLPVSPRFLVLRLSPQLMVIQTLLPRLGFQVSLQLSAVQEFSQPQVPPLQLLAFHLSLQQLALQMYLQPLVLQVSLQVLVQVLEVSPQLVLQISPQMLVLEVSPQLLDFPQCLGSFSSIFGSLIVSSTDGSSNFSPTVDVSGFSSIVGIFSTDGSSGFSKTLDSSDFSSIDDSSDISSTSASSIKHMYIRCTYNVNMLNKLCDSVNCHLLLKSFRFSSSDVSSTVSSSGFPSSASSSGVSIAAGSSGFSVISSSSGFSSTGTSDFSSNVGSLGFSLIAGTSGFSSMSGSSVFSSTADSSGFSSLSGEYSTSLLVLHGISLPCLTLTFSERVTFSGFSSSTAVSSCISSTASSLGFSSIFGSSIVSSTDGNSNSSPTVGFSGFSSIVGCSGIFSTAGSSDFSKILDSSDFSSTTGVSSTVDSSGFSSSASSSGASSFASSSAVSLAAASSGLSLDAGFSSVSEKCATSLPALHGISLPVSPLQRLVLHVSPQLLVFEVSLQLSVVQQPLVLQASPKQLILQIYLQLLILHVFFQLLVRQCLIFTFSRSPSVKSFSSSITAGMSSTVGSSGFSSNASSSCVSFPASSSGVSFAVGSLGFSSAVGSGFSSTVGSSGFSLVSDTSRFSSKTGVSGASSVTGFSCFSSNACSFGVSSCVRSSGASSVTGLSSTAGSSSVSSIDGSSSSSPATGFSDFSSTTSSDFSSTSSTSSVSSTFGSLGFSSRASSSGISSSARYSGFSSTAGSSNSAKLAASLLVLHGSSFSLLSFKSTTWIFCKKEFEVSVSLAQLVLQFLLQLLVLQFFALLLDGQQHLGLPSRLSCPHSFSSLTTFSSLASPGDLIASASAPSVLYFSELTSPRTVKGIDVFFSTLFISQDGLPLNYHFLQQPWKLRYLSGTVCLPRLTTSLSHTIKMRNKSSGQSQVILPTTHI >Solyc12g021260.1.1 pep chromosome:SL3.0:12:15111396:15112455:-1 gene:Solyc12g021260.1 transcript:Solyc12g021260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGESPVEPMKITSFRSRRKAPTIFGQSDDFINLLFGSDPIRIERSDNDVRGVIEL >Solyc02g093975.1.1 pep chromosome:SL3.0:2:55289849:55292427:1 gene:Solyc02g093975.1 transcript:Solyc02g093975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERWFDSFLPEMIGIPSLMNLYVALQKIQETCHNVFSLSQTLELAENSSRKILKWLDRLSVLIGVAKAVHFLHTGVIPSSFSNRLKTSNILLDEHNMAKLSDFGMSILMEESEKAKGDDVTSWYETLYSYILHMTKKEDDVYNFGFILLESLVGPSVSGKGEAFLMNEMASFGSQDGRRRIVDPIVLATSSNESLSIVISITNKCISTESSTRPSFEDVLWNLQYAAQVQATADADQKSDATSPT >Solyc04g056530.2.1 pep chromosome:SL3.0:4:54417911:54422037:1 gene:Solyc04g056530.2 transcript:Solyc04g056530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVSEMLCTRGGQKLRPYLCAQVSGFHTSKPALAPRSFFGVEDFVDDDNSRPYTYQKGKKSKNPNKHVSFKQRTVAYMEPFTLDVFISKRFISASITHRVTCKQVAVAGTNSKDIKAVLKSRSDIPACLSVGQILSDRAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDIKVYLD >Solyc11g012110.2.1 pep chromosome:SL3.0:11:5037581:5039733:1 gene:Solyc11g012110.2 transcript:Solyc11g012110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKTARNPELIRGVGKFSRSKMYHKKGLWAIKKKNGGALPVHSKKPAVAPVAEKAPKFYPADDVKKPLVNKHKPKPTKLRSSITPGTVLIILAGRFKGKRVVFLKQLVSGLLLVTGPFKFNGVPLRRVNQAYVIGTSTKVDISGVNVDKIDDKYFAKQVEKKQKKGEGEFFEEKKEEKNVLPQEKKDEQKAVDAALIKAIEAVPELKGYLSARFSLKSGMKPHELVF >Solyc06g050315.1.1 pep chromosome:SL3.0:6:33024800:33044452:-1 gene:Solyc06g050315.1 transcript:Solyc06g050315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDVLWMYSPRLLGFPLLKAHKLKQLQRETHYSMMYQARTRKRVFGIQIHRGPDGSAFQKCETCGVSVAIALADMHECEPRKNVKKLKCQPRSRTIVKGKRLIHQPRSAFRIFMEDFVKKNIDGNEFEVDNRGFETWKNMTRKEKILYFMKAEIINLAHVKLLHKEENDMLWRVDDEADSADVGKYDENYENYDHYDSESSWDLIDFGLK >Solyc06g051573.1.1 pep chromosome:SL3.0:6:35179489:35181080:-1 gene:Solyc06g051573.1 transcript:Solyc06g051573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFLWLLQRLLCTLPVSYIFTGILQPKYVVFLQKISLKMVDKWWNSDEIQSRPDSILAKKLKFLKSDVILSSFTRWPKLTKDIITLTNLKWMENK >Solyc07g061840.1.1.1 pep chromosome:SL3.0:7:64888232:64888444:1 gene:Solyc07g061840.1 transcript:Solyc07g061840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAPEQRDGNIVVLAGAGEATSRVVGSGDTGDVGSYQGCCCGCGDGGCDDGGCCGGDGDGGGCGGCGD >Solyc12g010420.1.1.1 pep chromosome:SL3.0:12:3460553:3460723:-1 gene:Solyc12g010420.1 transcript:Solyc12g010420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSKKSRPQFQYDAQSYALNFDDGAREEEDGLFSNFSSRFAVPVIAQKQSKIGL >Solyc04g016290.3.1 pep chromosome:SL3.0:4:7073088:7079025:1 gene:Solyc04g016290.3 transcript:Solyc04g016290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFIWGPPYFQDENSFPTNSLAQNFYFSQRLDIIEEDALNEKCCVQVLEILIAKADTEIAKIEDDIVMLQSQLARIDEKWLDMCIATLNKKIDRLGSLITALKIKNVQASGVHLKTNKRPSERTHEILETPPRNFSSPVDKQTANSTLGSSKLAASVLIEVEATDNHNLKDFETVETNGESTVQANVMIQTLSVVQERNLQVKDENVITKGSCTKAFGHASDKSTLKDLNESDIPGKLINASKREKPSQLNNFACAVFKSANTKSLRDKAEFYGESKRKIDEVMQQSSNDSIIMKSSLGVKQTSIGEGPKTAGAIMVTRLSAVKQEPKESGDEQAQNGTKAGQTREKHTSSQLVTQKQTGAKQIPGIKRGLLMLNPIKKDRNKQLEKFKGELQVKQSPKSQVLTIHKSKSIFSPKLEGQRPKLKCNVPTEMPKEPCLAEELGFKSPSGLKLKRQLKTGSTKENGGDEFKDKTTKESLSPLGGAKETGDLPEISSTSLIHLKKRRITSSTGPILQENENLRDFQNRLVKSYDRSNQNRQVIKVENDKLLDSPTFTAPIPDITDLKYMTMNQLRAVAKHHNVHGVYKFRKSELQEHLRKLLTKGRST >Solyc06g054380.3.1 pep chromosome:SL3.0:6:37284634:37287336:1 gene:Solyc06g054380.3 transcript:Solyc06g054380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFCSLCNNSLISPSPSFTSSAVRHVSAFKPQFLSLPIFSFKQSYLTDLSQKKSHFPKCSDSSVVQQNDDDDSTTIIFLKALDDDSPPCLGITFQNLIDAGLAKSTPEGRLKVVFSQFGNVNRVKIVTDKKSKESLGFAYIWFPDNESAQSAIREMNGKFLDGRFIQVQMAKPGSCKPNVKSMPYKF >Solyc03g096055.1.1 pep chromosome:SL3.0:3:59310396:59335638:-1 gene:Solyc03g096055.1 transcript:Solyc03g096055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKMENLEEINGTIAGISTDEVEDTGCDRAEGVIDELAGDLNLETPTLDPPDPNPVELEKLRLELLPLKNARASFMCFGCIF >Solyc06g053470.3.1 pep chromosome:SL3.0:6:36354068:36359580:-1 gene:Solyc06g053470.3 transcript:Solyc06g053470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESPPSSSSDGRVDVVTDTMNQLKVAEEGDDGSRVQVTCFSEVVNDATLYFQIIRLQNQIYAWIGCNSTKLGDLYAAAPTRPGNTVSVSSLTGGSSDNTGAGIARRIVLKTGINVVLASNIPKNSPMLEAAAEKKLVQKLISLGYGKPGPRGASS >Solyc04g009820.3.1 pep chromosome:SL3.0:4:3138930:3144198:1 gene:Solyc04g009820.3 transcript:Solyc04g009820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHLMQMQPMMAAYYPTNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPSSMHSQFSSGGMMQPGTHSYLQQQQQQQQAQQMATQQLMAARSSSMLYGQQQQQSQLSQYQQGLHSSQLGMSSGSGGSTGLHHMLQSESSPHGGGFSHDFGRANKQDIGSSMSAEGRGGSSGGENLYLKASED >Solyc04g014840.3.1 pep chromosome:SL3.0:4:5075738:5078424:1 gene:Solyc04g014840.3 transcript:Solyc04g014840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESLYIILQTLRAFSFFFIITFQISIKFIYSAYNYNQSNTSMTTSKRVAEKKVAKFEKNITKRGILQNQRGNKGLEPIHIFLVLFAVLFIGSFIFQVVRMALNGGLLPR >Solyc03g096890.2.1 pep chromosome:SL3.0:3:60685377:60698041:-1 gene:Solyc03g096890.2 transcript:Solyc03g096890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIPKHGKSEKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEILEDDKSLPEEQVQAIAKQLLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHTADLWSLGVILYELFVGQPPFYTNSVYALVRHIIKDPVKYPDNMSSTFKSFLKGLLNKVPQNRLTWPALLDHPFVQETLEDMEAREIRAAAATTKGSDATWRGKGDIQSTQLNVATPESKNHIQPISGNANTGNLQTEVHLKSPDVTVNASPEEFPGFSQPDEIVQSGCQVLDRLESNSRTVKGAKVIGQDNDALSAILVPLRNLCDESKVPSRDDDYVILNQSLRILSNLVAAGAINSSGTLDQIICVLLGLTSTVLKIRSSNAAELLMKSFAVTKKMLDNCGGAIGSSCLGHWRTLLELYSQVINNLDDASGRVLSESTGCIAAILFRVAQALKVSSSPPTLIATLKELLDHASSSGIADLLILCLATSGSGSSNLLRASGEACRALWLLVDAFELLSLRENRYHFPISSLRSPSLHRLDIKDHERGPLLGGDSTKIIDAMTKAFLRSKAVQLAVYYCLHQRLEASICGGVQLVLRCCLHSGIVATILCGLPSSLPVTTVVSGGGDGTIVSELFSVLSSAKKSRGGEANTLVLHLSLLLATIAQCLKSSGRNSALFILTTSSRKQLTRLSDLAHYFSADVQSLCQPHSASAMLALASILSLETGCTVETTILDIAVPMIPRTAKLCEYLRNPVNEQDGSMFSGMLSHWHGLRDGSIGLLDIRLKKEGPLAVQHSCASGIPQLLIDLLSGNITEASSEESNLSKDHIGLSPIGVPWSISLLCQCLTGGVSTFRHILLKTEHVKVISDLILDMHLKLVKSWTGPGGGVDGIRDTINTVIDLLAFPFVAVQNGLGLPSATASVNSGFLLNVGSPGGRVCPEDKDMVKAIESHLGKYTQILLEVGVPGIILRCLEHMESRDKARPVAFLAKMTAHRPLAVQLLGKGLLDPRRMKSLLDGSCPGEVILDVLMIVSDLARMDKAFYEYVDGAAILEFLKGFLTDKDPNVRAKTCSAIGNMCRHSSFFYASLAKRGIISLLIDRCADSDKRTRKFACFAIGNAAYHNELLYDELRRSIPQLSYLLLSAEEDKTKANAAGALSNLVRNSNKLCEDIVSKGAMQALLKLVTDCSVVALSPSRKDTINESPLKIALFSLAKMCAHPPCRQFLRTSELFPVIRQLQQSPESTIANYASVIVKKVAEVN >Solyc03g033700.1.1 pep chromosome:SL3.0:3:5311435:5312034:-1 gene:Solyc03g033700.1 transcript:Solyc03g033700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKALSYIVKSIVAKAVAKEARMGAPLLRLYFYDCFVKGCDVSVFLNGSGTLISEKLSNTNINSTRGFDVIDEIKFVVEKKCPQTVSCADILTLAARDSIVLVNTTTLNLEKSN >Solyc08g078087.1.1 pep chromosome:SL3.0:8:62044264:62044834:1 gene:Solyc08g078087.1 transcript:Solyc08g078087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYDTTFGSGILSNSWRASSRNPYFLENPAKIEFHVITVFTFTLSKTLNAESKSPDRIKLGTNWEWREDGAAGNASGLEMSSGFRLKEV >Solyc09g057870.3.1 pep chromosome:SL3.0:9:51782142:51783091:-1 gene:Solyc09g057870.3 transcript:Solyc09g057870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDKDVKIRELTAELQKERKRSAALQEQLDMLLKDMEDHSDQLSKNINGIVQSVKELESRKIVFPNVG >Solyc11g013730.2.1 pep chromosome:SL3.0:11:7056849:7058506:-1 gene:Solyc11g013730.2 transcript:Solyc11g013730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRFNIDQIALTTQDWICKVQIVEIRRPRESHDKKCRFQNLILEDEQECQIKAVLYADEIEQYAEMLKLMNTYLISTARVKISQTSHGKLIHKFYWVLDKETVIEHITPSNGVENPLPPPTKLNLTTFDRIPHMMLDSTIYWQSFSVVVLKNTQVAVIISVEKLSFVTIKPIKPTICCNNRAKENKSMLLGRASNKTSTSSSAPLMANKLSLLNKFYHQLLYAPGAVANRLALEACVKARNEGHDLAWEGNEIIREACKWSPGEGDEYTVFPYIVKVERSLFDSCVRREDGDAMGLMRDGFDCSSCRYDQHILWFS >Solyc01g081520.3.1 pep chromosome:SL3.0:1:80566204:80577140:-1 gene:Solyc01g081520.3 transcript:Solyc01g081520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIHSVVIQKLLSTNAHLGRRVAEHHFKIYSVGSRNGMTIIDSEKQLICLRNACSFIGELVRQRARFIFVNTNTLFDEIIDQMTQTIGCRNDTSWRLGGFLTNSSSPRKFRGRNKKLNLTAIQPPDCVVIFDTDRKSSVIQEAAKLQIPIVGLVDSSMPLDIYKKITYPVPAKDSVQFVYLFCNLITKTFLNEQRRLNAAMGASAIAKPEIREEVEQLDKIKTVGKDKIYVLPYERLEPASEDATETKQLLDKLVVLKLNGNLGSDMGFSGPKSALEVCNGLTCLDLVVNHIESINSKYGCNIPLLMMNTPSTHDGIMKVLEKHPNKNIHTFTQSQRQQENVEDMLESRTLNKSSAQEKLYPSNLLDVFLSLNSSGKLEPLISQGKEYFLLLQSENLAEVVDPKILNHLIKNSIEHCVEVMPTTSGTEETSLPPQEGRIQSKSHVKSINTMWMSMSCIERLLQRSDLGFTSSKFFDRAFAIDTPWSRYLPVERTSDLLILQSDLYTSVEGTLVRNAARANPKDPSIELGPEFGNVDDFRSRFKSIPNIIELDSLKVTGDVWFGTGTTLKGKVSIAARPGMKIVIPDGMELKNRIITSQSDIGGPSSL >Solyc05g011820.2.1 pep chromosome:SL3.0:5:5047305:5054033:-1 gene:Solyc05g011820.2 transcript:Solyc05g011820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFISIKRTKIPFSRNSFSITKFTLFSTLIKNHHQKISPQITSPPMAKKLPFTVSAHGVTWNDPYHWMRKTNDPDFINYLQQENLYAQSFMKDTEKMQKSLFSEMISRMPSKISTPPELWGPWLYYQYIPEGKEFPVLCRELAAESKGWVKTVSSYVISVAGKEQILLDWNEIAERYGYVHVGTCRVSPDHNYLAYTIDVTGSEQFVLHIKDLQNDCVLPTLRVEGVVSVEWAQDSCTFFYTLSDQNQRPYRVHCVKLGSDSVHNVPLFVENDSSFCVDIASTKDGKFITVNSNSRTSSEVYVINATNLQTGIQRFCKRASGVQYFLEHHHGFFYVLTNSPNAGEESPLSGEYYLARCPVENLQSTCLQNIIEPSEDVFIQDMDMFNEHLVLFLNKEGSSSICSVDMRTIINCKEQMKIDELNPWFFPLPSDMCTIAPGSNHDFTRSVYRAVVSSPVMPDVIVDYDMSRRTFSVIHQEEVINHNTKYLSNNGERSRNEFLGTPLKKEHNIQNNGVQRWSDFAEIYSCQEKQVISHDGKRIPLTILFSLKAHKKGQSPGLLHGYGAYGEVLDKSWCVDRLSLLDRGWVIAFADVRGGGGGPDPSWHKSGSGMNKLNSVSDFISCGKYLVSEGYVHQHRLGAVGVSAGSLLVAAAINMHPELFQAAILKVPFLDVCSSLLDPTLPLTVLDYEEFGNPQLHAHFEYILKYSPYDNIPEGVCCPPMLVKASLNDSRVGVWEAAKWVAKIRDKTCTRCSSSVILQTNMSGGHFGEGGRFGQCEEAAHEYAFLMKVLGES >Solyc10g085100.2.1 pep chromosome:SL3.0:10:64530305:64536401:-1 gene:Solyc10g085100.2 transcript:Solyc10g085100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSLFINPVISSSSSSSFVSVPTSFHDFSHFSTSRTNPISLICTKDNFLQCERRFRERPFKKRPLIAASNMKGKEYREEEEEGPPPLVESETTSKPRRIAIFVEPSPFSYVSGYKNRFQNFIRYLREMGDEVMVVTTHEGVPQEFYGAKLIGSRSFPCPLYEKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALIIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVQPMWMIIKFLHRAADLTLVPSAAIAKDLKAYRVTAANKIRLWNKGVDSESFHPRYRSHEMRLRLSDGEPDKPLIVHVGRLGVEKNLDFLKRLMDRLPEARIAFIGDGPYREELEKMFSGMPVVFTGMLLGEELSQAYASGDIFVMPSESETLGFVVLEAMSSGLPVLAARAGGIPDIIPDDHQGKTGYLYNPGDIDDCLSKLEPLLYNAELRETIGRAARTEMEKFDWRAATRKIRNEQYNAAIWFWRKKRAQLSRPFQWLFKRRLQAPEVL >Solyc08g076470.3.1 pep chromosome:SL3.0:8:60594059:60607341:1 gene:Solyc08g076470.3 transcript:Solyc08g076470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate acyltransferase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4CN09] MLILSAASSSSSSSSSFMLSSASSSSARIPRPLSSFSTCVPVVVTTVSSAATSTLFPISCFGVKSRTVGIRKLRCAVFCASKVRGMAEMIEDAMTVSASESHELPQSRDFLDARTGEDLLSAVRKAVEDEKLPLNVAEGMEELYQNYQNAVLQSGVPKADEAILYNMALVFDRVFVDVKDPFEFSPYHKAIREPFDYYKFGQNYIRQLVDFRSSYVGNMSVFSEMAEKLKQGDNVVLMSNHQSEADPAIIALLIESKLPDIAENIIYVAGDRVITDPLCKPFSMGRNLLCVYSKKHMNDDPELAEMKKRANTRSLKEMALLLRGGSKIIWIAPSGGRDRPDPVTKEWYPAPFDASATDNMRRLVQHAGVPGHIYPLAILCHDIMPPPAQVEKNIGEKRVVSFHGAGISVAPKIDFHEVAGALEDPEAKMVYTKAIYDSVSQQYNVLNSAIHGKQGLEASIPSVSLSQPWQ >Solyc03g058305.1.1.1 pep chromosome:SL3.0:3:25974480:25974491:1 gene:Solyc03g058305.1 transcript:Solyc03g058305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTV >Solyc02g030250.3.1 pep chromosome:SL3.0:2:25930422:25937797:-1 gene:Solyc02g030250.3 transcript:Solyc02g030250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGPDPLFGLRNNFYIGAYQAAINNSDVPNLSADDAVERDTLVYRSYIALASYQLVISEIDDSAATPLQAVKLLALYLSSPDNKQETVITSLHELLGDSAIGNNPILRLIAGIVFMHEQDYNEALKHTNAGGTMELHALNVQIFIKMHRSDYAEKQLRIMQQADEDHTLTQLANAWLNLAVGGSKIQEAYLIFQDFSEKNQMTSPILNGKAVCCMHMGNFDEAETLLLEALNKDTKDSETLANLVVCSLHLGKPSTRYLSQLKISNPDHMLVGRAASAEEIFDRAVQTVA >Solyc11g072060.2.1 pep chromosome:SL3.0:11:55636079:55639154:1 gene:Solyc11g072060.2 transcript:Solyc11g072060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVGMNSTSVEEANAGGNIRRGTPLKKGPWLKAEDAILRDYVAEYGEGNWSSVHRRTGLARCGKSCRLRWSNHLKPYLKKGAFTQEEEQLIVAMHAQIGNKWARIASEFPGRTDNDIKNFWNTRLKRQRREEKYMLSPGVFFGEFSEDKQNKELATSSSANSLPHLLPNNNVEIPTAENRNFEPSQQLYPPRLLNNDFSSFLGTPATSLRDQGLNSSWNTHSVPSTVHSSKRTQGLESWFSNRNVDLSQAHHQYQNDGSFFTQSLGFSSLCTHNLTSNYHRSSASEIPGIQASSSEHRLKGKLELPSFQTPMTSWDSASSVPSIESSSTFIQSPPNEYTDSCSLSPQSSDLLHAILYGSQTPKASSSNSYQETSRDVVPDSCPVLRQIQWGTNPDAITPSGHAITSVFSEYTPSSGGSLEDHQSIAPFIGCNVNQEMANFTPTAGNNDTSNDDLFSETDMYFSPSDWLRDLE >Solyc08g083000.2.1.1 pep chromosome:SL3.0:8:65735644:65735850:-1 gene:Solyc08g083000.2 transcript:Solyc08g083000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNEGQVVSMFGATSMIYNSKDDSVVYSDVTNCCVQFYIGSLVCPFSMEETQNAAKEVCIKTIELEITC >Solyc03g098180.3.1 pep chromosome:SL3.0:3:61956603:61963979:1 gene:Solyc03g098180.3 transcript:Solyc03g098180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPAILYHYPCPDGAFAALAAHLYFSSLPITPLYFPNTVYSPIRVEDLPLNQIDHVYLLDFVGPSGFVQQLKSKVERVVVLDHHKTAKELLGGGTSETENVSKVIDMDRSGATISYDYFKEKLITGNNNEAADGNAVKIGEFDRVRRLFEYIEDRDLWRWKLPDSKAFSSGLDDRHIEYDVNLNPSLFQQLLALDLKSVVEQGKISLSYKQKRIDEVIEQSFEIALGAGAFGCCLAVNADSLPELRSELGNQLAVKSRNMKLRGIGAIVYKVPELENNEMLKVSLRSIGDEDTTSISQAFGGGGHRNASSCMLKCAEFENWKVSNRTST >Solyc01g009770.3.1 pep chromosome:SL3.0:1:4089844:4093600:-1 gene:Solyc01g009770.3 transcript:Solyc01g009770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDKRRGCYNSASSRKKTSKNESDHTARSTCSASTRKKTWPNMATITASLQNCSLSNHHQRGGTSRNSAAGIAPPDGISDSSRNTNTNFNNNNNPSNDATVELNSEIALPYHWEQCLDLKTGEIYYLNWRTGMRVSEDPRTNVVAEDQVYSDEDDDDDNNSYDSEETYSEELPSLSSSRAENTVPQINILTTEALRRAAPPSVLAAPTLVLGGCKACLMYFMVPKEVEECPRCGRQLLHFDDQN >Solyc02g081560.1.1.1 pep chromosome:SL3.0:2:46022653:46022853:-1 gene:Solyc02g081560.1 transcript:Solyc02g081560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLVFVCDEDERVLQRQAAPGACPYCGGMVQAVDMESQWRFCFVPYYFKTKRRYYCTLCTRRLIIQ >Solyc12g040740.2.1 pep chromosome:SL3.0:12:55961635:55963377:1 gene:Solyc12g040740.2 transcript:Solyc12g040740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPQPLIFARSLFQKLNSWNMSRDYCSWDGVICDEMTGHVIELDLSCSNLAGTIDSNSSLFQLSHLQRLDLSLNNFSDSHISPEFGKFSSLTHLDLSDSNFSGLIPCEISHLPSCSLLVSMVHYVFHQIPQHSSSYPIIISVKKFLHITLLVILDLARNNLRRQIPPCLEKLLPSKFWNNNLSGNIPTTFSNKSSLSSLNLHGNKLGGKIPQSLANCKNIQILDLGNNNLNHTFCYINKRFHLLVSDKSS >Solyc09g010730.1.1.1 pep chromosome:SL3.0:9:4037902:4038597:-1 gene:Solyc09g010730.1 transcript:Solyc09g010730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSNFDESNSSENQQLPTFKDPKGCLDITTNHQKDDDIKKIVILHQDIIMDEQKDDDANKRETLHQNNPQKCQDITIGIKKITVLQEDPKGCQDITKDTNNIVILHQVSNQDDHQDVVNETIVIQHVEEELELVQEDIQHVEDEVVNDIIVAPHPIVVVENQVVVLQEDDNDGFRTPTSLESKIPILTTCPGAPRRNYNGIKRKASSSLRRGERNIRVWNDYRHRDTARF >Solyc07g064870.3.1 pep chromosome:SL3.0:7:66977413:66983032:-1 gene:Solyc07g064870.3 transcript:Solyc07g064870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4CHG6] MRKKIVRKDHIAMEASKIHCKLSRNICFLHQWISLIFTFGFVIPFIQSLDYSDALSKSLLYFEAQRSGRLPYNQRAIWRHHSGLTDGLDQGVDLVGGYYDAGDNVKFQLPMAFTITMLSWSVIEYGEDIAAAGEYRYALEAIKWGTDYFVKAHTHPNVLWIQVGEGDTDHYCWQRPEDMTTSRRAYKIDEDHPGSDVAGETAAALASSSIVFRRTNPHYSKLLLVHAEQLFEFGDKFRGKYDTSVGAAKGYYPSLSGYKDELLWAAIWLYKATDKSHYLKYALQNAQSFGGTTWAISEFSWDVKYAGLQLLAATLPRQDKREKEDDKIIEEYRSKGEYYLCSCLNQNNKTNVHRTPGGLLYIRQWNNMQYVSNAAFLLMVYSDHLRETNQMARCERRSVGPEEIFAFAKSQVDYILGLNPRGVSYLVGYGPKYPQRVHHRGASVVSFKKRKNFISCTQGYDNWFGRKTSNPNTLIGALVGGPDYKDRFNDHRRNFMQTEACTYNTAPLVGLFAKLNGLDRGIDIEDSSLFLAK >Solyc01g068010.1.1 pep chromosome:SL3.0:1:76871046:76872124:1 gene:Solyc01g068010.1 transcript:Solyc01g068010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSPWSTAPRGSGWGRAFGHDASRSGWGGGRASGHGASRSGRWVRASRHDTSRSWGGVGHPGRVPRGPGVEEGPRGMSPRGLRVGDKRHRGIALRGPRGGREPRGPGVGRWMVLRHRALMFKRVRVGPKRTTPRGLECGASGHDASRSGGKGGALGKGASRSKVRASWYGASKSSGVGRRPRGIEPQGLMSGGVVIEAQRLEVLGVGRRLRAMVPRGPGGGRALGNGTSRYEGVTGLQGTAPQGSGS >Solyc07g007570.1.1.1 pep chromosome:SL3.0:7:2236926:2237261:-1 gene:Solyc07g007570.1 transcript:Solyc07g007570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVATIWRDRNRIRFQEVIYNVDRICREIFHIRGTELKHCQVGRLPSIFTLKGLSSSTVKLSLAISVRFPDCCEEIRSCSAGRNYWLFLTTFDVSCFGAIVRLHVMLNLVL >Solyc02g069025.1.1 pep chromosome:SL3.0:2:39545966:39547299:-1 gene:Solyc02g069025.1 transcript:Solyc02g069025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGILRKRAKPKNNSSSSNNIRRLPRKLEEILQYEEPDVEVLLDEQIRYWIDTKTKAKCFFLYAKNLHVLWSEESSKWSLPQYKEHPSDQPVQVYEMETVLSLAVGGSFDAGKLSRGVEYKVSLVVLLKKFLSGWEFPLQTILVKPDGTEEHQSTEDLMEKPKRKWIKIQLGTFMIPQEMESITNLEFYVHERKGRKLKMGLVIKGVEVSV >Solyc01g103600.3.1 pep chromosome:SL3.0:1:92055858:92057073:-1 gene:Solyc01g103600.3 transcript:Solyc01g103600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLELAILPQLGHDDTKDPVSQRVTSESEQLTIFYAGIVHVYDNISVQKAESIMNLASENCNAKEIKPTQKSQVPHHVYKFQAELPIARRKSLKRFFEKRHSRITSKQPYASPECDHDQSENWNDTKKINITHAQE >Solyc03g006660.3.1 pep chromosome:SL3.0:3:1233008:1241629:1 gene:Solyc03g006660.3 transcript:Solyc03g006660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFEAVVHHHLRTLASIETTPNIQKYIFFCIVMSRFFRIASIKRVAQSLEKSQRSTYIGEKHGLLVRLGICSSKYRFYGQHTSSARGYASFTGHRVQNSMFNNFHKIQSATSSSNPKAHHARLAWRRFLLISFYRGRTSIPLSRIAQAFSLALCRSAVVVPGIFALRYGKNVAVAEAEAMPVMDLSSPRNTFYLRAQDSHVLFTRLILSAFEGVVLLVRAFYLAILFSPSIAMAPFAEVLGPRYRKIWLQVVHRTLERAGPAFIKWGQWAATRPDLFPRDLCAELSKLHSKAPEHNWAYTKKAVEKAFGRRLSEIFDNFEQTPVASGSIAQVHRASLKSRYPGRENKPMKVAVKVRHPGVGESIRRDFEIINIVAKLSGFIPSLKWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKNVSFPKPVYPLVHPAVLVETFEEGESVAHYVDELQGHERLKSALAHIGTHALLKMLLVDNFMHADMHPGNILVRVQQHKSSQKRIFKSKPHVIFLDVGMTAELSQNDKLNLLEFFKAVARRDGSTAAQCTLNLSKKQNCPNPDAFVKEVKESFDFWGTPEGDLVHPGDCMTQLLEQVRRHRVNIDGNVCTAMVTTLVLEGWQRKLDPEYNIMHTLQTLLLKADWAKDLSYTIEQVMAP >Solyc12g006470.2.1 pep chromosome:SL3.0:12:956592:962771:1 gene:Solyc12g006470.2 transcript:Solyc12g006470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTNGFMGHDMLAPFTAAWMIDMGPLVIDKAEGSYVYDVNGKKYLDSLSGLWCSVLGGSEPRLIEAANKQLNKLAFYHSFWNRTTKPSLDLAKELINMFTANKMGKVFFTSSGSEANDTQVKLVWYYNNAIGRPNKKKIISRKNAYHGSTYMTAGLSGLPSLHLKFDLPPPYILHTDCPHYWNYHLPGETEEEYSTRLANNLENLILKEGPETVAAFIAEPVMGGAGVIIPPATYFEKIQAVLKKYDILFIADEVICGFGRLGTMFGCDKYNIKPDLVSIAKALSGGYIPIGAVLVSEEISKVIMSQSNQLGVFCHGFTYSGHPVACAVALEALKIYKEKNITEVVNKLSPKFQEGLKAFIDSPIIGEIRGTGLVLSTEFVDNKSPNDPFPPEWGVGTYFGSQCQKHGMLVSFSGDHVNMAPPFTLSLEELDEMISIYGKALKDTEKRVEELKSQKK >Solyc01g016670.1.1.1 pep chromosome:SL3.0:1:20932038:20932319:-1 gene:Solyc01g016670.1 transcript:Solyc01g016670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITATSEFLLLRWSSFVGAVQPHDFVVVVVVDLVVRTKVGLYGGCLEGGEEENEILLVVWASPEVATMMADGRVAKEGEQTKALAMSLMGVN >Solyc04g071600.3.1 pep chromosome:SL3.0:4:58656169:58657423:1 gene:Solyc04g071600.3 transcript:Solyc04g071600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQHHRLFHHKNKEEEGGPVDHEKNVKHHSHLQKIGELGAVAAGAYALHEKHKAKKDPENAHKHKIKQEIAAVAAVGAGGFAFHEHHQKKDAKKEKKAAEKGHHH >Solyc07g025255.1.1 pep chromosome:SL3.0:7:26977148:26981647:1 gene:Solyc07g025255.1 transcript:Solyc07g025255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFLQDRQSNIQEQDKEKADRVNLDEIPSGPKGRFEGNLGGDDPYFDSSNPGSDMCEDEGDPIEDDEVVDPAPRKESTKLCFNVSMFVKYVGAGTSYASAGTSNVATGTSAATAGNAATSNFPYADAENGSQSSVDTSRSAISKEGLTAGPSESCTQQSTSNAAGQKRKTSTTLRGGASLAYKKPRLKKAKTTGYGLLFGSGGSVTERSGNTDRVLHIATLTSSTPTNIDLGCKPNGLWWKGRAAITQRQLREESYRSTQGTPSTQSTPSTQGSQGTLNTQGTQGTH >Solyc06g062900.3.1 pep chromosome:SL3.0:6:39817894:39818831:1 gene:Solyc06g062900.3 transcript:Solyc06g062900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFFTEKDWNLTVPGFSSEDKKHCPEHSSGDLCKERLEMIPDMMEASPQAEASTSSSAKEIVSPGLGDQVGSTDIIGPPSSEELASPSNGEI >Solyc06g065960.1.1.1 pep chromosome:SL3.0:6:41459118:41459393:-1 gene:Solyc06g065960.1 transcript:Solyc06g065960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAARNEVNQLKTKLEELDVIEDIAIAKRNSYEQMKETRQKDWWELTGQLNRDEVFIFETWLNETDSYLHHRLNQLEIEPSSTMRYESFGV >Solyc10g047765.1.1 pep chromosome:SL3.0:10:42389087:42389416:-1 gene:Solyc10g047765.1 transcript:Solyc10g047765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTPILCWIPISMPNLGIFGLARLVDHDKESETTVLVAMIALEIAYGMKPIKPKVEEHQVNIIDWVWRLYGMGNLSEAVYLRLS >Solyc02g032030.1.1.1 pep chromosome:SL3.0:2:27793514:27794086:-1 gene:Solyc02g032030.1 transcript:Solyc02g032030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4B5E9] MEKFLLFALLFLAITILGSSHGLDQSPKRVEKWFKKLSHAKLKMTKLHFYFHDTVTAKKPSAIQIAQANNTFQSPTLFGLVRMMDNPLTVKPEPNSKEIGRAQGIYGSASFEDIGLLMTLNLVFTDGKYNGSTLSILGHNQIFHKYRELPIVGGSGVFRLAKGSATAKTYRGDNTTQNAIVEYHVVILHY >Solyc03g098470.3.1 pep chromosome:SL3.0:3:62189589:62193910:-1 gene:Solyc03g098470.3 transcript:Solyc03g098470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein E [Source:UniProtKB/TrEMBL;Acc:K4BJR2] MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLDDAEEVNVKKKTSKQLGRILLKGDNITLMMNTGK >Solyc03g093430.3.1 pep chromosome:SL3.0:3:56135444:56147244:1 gene:Solyc03g093430.3 transcript:Solyc03g093430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVISPQWQEKASGFFQSSGVKLKEAGQSAGSFVGEVAKDAKGNVGEVAEKVGSVVKSRWSLLQQPSTRHAMQERFISAAATTSFFLRKGFLETKDKVAVGKTKVEEVAKKTAQKSKTLLTDIERWQKGVASTDVFGVPIDILVQRQQSTRSVPFIMVKCADYLVLSGLNSPELFKAEGDKKVIHQLVSYYNQDQNAPIPEGVNPVDIAALMKCYLASLPEPLTTFELYNEIRGARSSIHAMKNTLKKIPTVNYMTLELITALLLRVSQKSLVNKMDARTLATEMAPILIWQRGQSPHQYDQFWNHSAKSSKKYMDSNSNSSAWEMLEDEDENVDASSPIPLDDGLPIDLGAIDAIQCLIEHHNAIFTDANETVWR >Solyc08g014240.3.1 pep chromosome:SL3.0:8:3970260:3973179:-1 gene:Solyc08g014240.3 transcript:Solyc08g014240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLVNGLNKFSNPNYVSIFDTTLRDGEQAPGAAMTAKQKMDIARQLVKLGVDVIEAGFPASSEVEFELVKSIAHEIGNSIDEEGYVPMICGAARCTKKEIERCWEALKYAKIPMICTFIATKIIKAGATCICLADTVGCNLPNEFGQLVAHVKVNTPGIQNVILAVHCHNDLGLATANTLAGICAGVRQVDVTINGIGERAGNASLEEIVMAIKCRGEEVLGGVHTGINTKHIFTTSNMVEEYSGLKLQPHKAIVGVNAFSHESGIHQDGILKNRSTYEFISAEDIGFIRSTEHGIKLGKLSYFEMLYIEINLFIFIRYNNKIRVRSIF >Solyc02g086402.1.1 pep chromosome:SL3.0:2:49694529:49700505:-1 gene:Solyc02g086402.1 transcript:Solyc02g086402.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLDITMCKSPRPQSLSYSILLMFRSQFAPTKSHGFLDSGTKWMDFHPEITKALSRRYRRNLELKNDATYAGAEYATVYVEDVQEFVFTFFKKMPEANHLTLHFLLKDHLKLYFLLKDLQFHEMLWDKEMVDCIHYSTVSPYLDTVLCTPSLNLTQFPPGYGIAAFPSDPLGYPDIGIRTCYVIRLMTMEANALQVLLVFSQSKHIDLLTLLLNLYIVLIDLFVSIYNLQASDGLAFSEIVFAKNFPCASHEDDMLCTRASPSLMPLLSNGSFSISTSTYLGKYLLPNMPRKQDSLPGHMSRHAIAVIEFARSILGLQDANCTEFDTNTHNPCAFDDNESSDFALSSQGSSEVVLFFFEGSAVSRDGLVVMGKGNGGAHIIFYDD >Solyc04g049790.3.1 pep chromosome:SL3.0:4:43343037:43354403:1 gene:Solyc04g049790.3 transcript:Solyc04g049790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVWATTTEIIFEYTGLSATAFFTILAMMLVTYKVVCSMFLSPDDFVPVKKQSLNLGDMTEEELRAYNGSDSEKPLLMAIRGQIYDVSTSKMFYGPGSSYAMFAGRDASRALALLSFKPEDINGNLEGLGDAELQILLDWECKFMEKYDRVGQLVLKKTLT >Solyc04g078850.3.1 pep chromosome:SL3.0:4:63595711:63600341:-1 gene:Solyc04g078850.3 transcript:Solyc04g078850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASICTSNFHFLCRKNNSSPISHHLLLSPSSLSFSRCGGLRLCRCAAVKTGSEGGGIRSDNAELLRKPVISTELETTSESEELVKEESDDEVGKKSGDGEGWVDWEDQILEDTVPLVGFVRMILHSGKYAIGDRLSPDHQRTILQRLLPYHPECDKKIGPGVDYITVCHPPPASFTDLLALLSHIASFSVHLVGYHPDFENSRCLFIVRKDGETVDFSYWKCIKGLIRKNYPLYADSFILRHFRKRRRND >Solyc01g098520.3.1 pep chromosome:SL3.0:1:88883868:88895939:-1 gene:Solyc01g098520.3 transcript:Solyc01g098520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLQQHQNTAIQMLCMLSHVIINHAADERISLEELHEKIMPETGYQLLHNYTDQIQNWSLICKVHSQASISSTRLKRELKSDSQATNIWLISCG >Solyc03g121510.3.1 pep chromosome:SL3.0:3:71083006:71086480:-1 gene:Solyc03g121510.3 transcript:Solyc03g121510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNYSVWSSLAIAIFIIIFHKKLFIIIIHRVKRYAQSNKTISLLPLGNLGWPYIGETLEYISSAYTHSPESFMDKRRHMYGKVFKSHIFGSPTIISTDAEVNRSILQSDAKTFVPFYPKSLTELMGKSSILLINGSLQRRIHGLIGSFFKSPHIKARITHDMKKYVQKSMDNWQENCPVYIQHQAKNIAFQVLVKALIGIDGGEKLDILKINFQEFIAGLMSLPINFPGTTLYRSLQAKKRMVKLIQNIIQEKREKNIMSTVNDDVADVLLNDTSKELTDELISDNMIDFMIPGEDSVPVLITLAIKYLSDSPLALQQLTEENMTLKRLKEKQGETLVWSDYLSLPFTQNVISETLRLGNIIIGVMRKSVKDVEIKGYLIPKGWCTFVYFRSVHLDDNHYDFPHQFNPWRWQSKDTNNYCNFTPFGGGQRLCPGLDLARLEASIFLHHFTTQFKWVAEEDSIINFPTVRMKKGMPVWIKRRETKQC >Solyc07g032420.2.1 pep chromosome:SL3.0:7:39121024:39125635:1 gene:Solyc07g032420.2 transcript:Solyc07g032420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIGRDKALNWGLSGPILRALGIEWDLNKNYYYDSYGEFHWQALEGILGGPYENLEMHICKKPSPTFELTKQELYDIVEAPKGEFGIFPIGDQSVFPWRWKIRSPGFLNFQIVPQLVKRMKWADIRTVLGNRDITMEKLVVEMIINTTELETITSFSKLVCLKEVYGIIWMCVPIVTLVLGITICVLRNIFGNTTRYQALICRPFRVSSSSIRWDKTTFERELYSIYMIYSFIQYGTVNTSIIYLLFSIVPSILVISIFQSYSTIPFGDHLVVADLSIGVFLGLPSQVLLSLDFLCQGGLWEAPQSINYETPLALCVLSIFGLLSQLITQNSILLEQVKGIGIIGTDEALNWLLIMSLTNNLSAWFNGNEGNSLARYLVRISEMTESIKIIQQDLEGIPGRPCYSYKNPTGLTKI >Solyc05g051520.3.1 pep chromosome:SL3.0:5:62725712:62738262:1 gene:Solyc05g051520.3 transcript:Solyc05g051520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGRSRTQRKHFLQSRENNWKYTKNDPEQQQNGTADNNNNRENSNPGWKPFVIQNIAFEEYYKEQGIVPPEEWNTFMDCLRSELPAAFRINSSSQCYLEIQAKLENDFMKSLQEEGTDGSEVVAIRPIPWYPENLAWQSTFSRKQLRKNKILERFHGFLKLQNEIGNITRQEAVSMVPPLFLDVRPDDFILDMCAAPGSKTFQLLEMIHHSAEPGSLPGGMVLANDADVQRCNLLIHQTKRMSTANLIVTNHEAQHFPSCHLKRTYGEGSEPQEVKELDIDQLLFDRVLCDVPCSGDGTLRKAPDIWRRWNAGMGNGLHGLQVQIAMRGMSLLKVGGRMVYSTCSMNPIENEAVVAEILRRCGGSAELIDVSSELPQLVRRPGLKKWKVQDKGVWLTSYNEVHNSRRAAVVPGMFPSGKGYVDEPEHGDDARRSQLRDKGSNGHSQNGVGMVQDPATPTTILDEEASTLPLERSMRIVPHDQNSGAFFIAVFHKLSPLPVTQKKHCYQPGKTTSTGNFQAQSLPKIKDINADEVEQVDSVGTQLSEVIMDDANILENGNDKATLDTDPSKLVEGKRLELIKPSSDGNAGPELVKGSRKLQMRGRWRGIDPILFYHEETTVGQIKAFYGIKESFPFKGHLIVRNTDIDHVKRVYYVSKSVKEVLKLNFVAGEQLKIAAVGLKMFERQKDVSTECVFRITSEGVPLLLPHITKQIVYASPVDFKLLLQYKSIKFGDFVDAEFGKKASKLQFGCCVVILNKDNKTLPEPQVDASTIAIGCWRGRNSITVMVIALDIQELLERMLTYSEEDSDSLLLENKPLIAA >Solyc01g091900.3.1 pep chromosome:SL3.0:1:85305992:85312478:1 gene:Solyc01g091900.3 transcript:Solyc01g091900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisomal membrane protein PEX16 [Source:UniProtKB/TrEMBL;Acc:K4AZD0] MEAYKRWVRRNRDYVRQLSSLASGMTWLLPERFATSEIGPEAVSSILGMVTTVNEHIIETTPTSGVHTSSAETSFLPLSLCLTLLRDLETLIEVVAEQLYGEDKKWNLIALTEAAKVCVRLAVFRTTGYKLLLQGGETENLEHLDDLSPPGNMGHLRKPIQNQGLGVSHPQGLNSWNLEGRAMSALSRFGQNARMVSEPTWLRRVQQQQAILEPPAKIIRNPSLSTFLSEKGIRGGLFVTGETMFVLRPLIYVLLVRKYGTRSWFPWFISLAVDLIGNSMLSATTMSQDSRKDQHFQFSKSEKDEVKRRKLLWVLYLMRDPFFSKYTRRRLESTQKTVEPVPVVGFFAEKLIELLIGAQTRYTYMSGS >Solyc06g068890.1.1.1 pep chromosome:SL3.0:6:42829343:42829588:-1 gene:Solyc06g068890.1 transcript:Solyc06g068890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKEGISMLEQNYKGYHLVHSQVKKIKQEEVSKNYIIDLSLKQPEMKTVLAREVIVVTRQHSRSRFGLNSGQPIYVVGDN >Solyc05g008370.1.1.1 pep chromosome:SL3.0:5:2732668:2733474:1 gene:Solyc05g008370.1 transcript:Solyc05g008370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAYPQFFGTKKVDTSLMVSSSSSVSPVILSQDELKKIAAYKAVEFVESGMVVGLGTGSTAKHAVDKIAELLHSGKLKNIVGIPTSKITHEQAVSLGIPLSDLNKHPIVDLAIDGADEVDPQMNLVKGRGGSLLREKMVEAATKKFIVIVDESKLVNYIGGSGLAMPVEIVPFCWEFTLKRLEMLFIEAGCVGKLRTTGGGGDEEPYVTDNGNYIIDLYFKKDMGDLKDASDAILRLAGVVEHGMFIDMATTVIVAGKLGVSVTNKL >Solyc03g121370.3.1 pep chromosome:SL3.0:3:70959062:70965333:-1 gene:Solyc03g121370.3 transcript:Solyc03g121370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRILNDALRTIVNAEKRGFASAELKPVSNVIANFLQIMKYRGYIKDFQVHDPHRVGKISVELLGRINDCRALTYRQDIKAATLEDYKTRTLPTRQWGYVVITTPNGVLDHEEAQRQNVGGQVLGYFY >Solyc04g008860.3.1 pep chromosome:SL3.0:4:2475763:2486064:-1 gene:Solyc04g008860.3 transcript:Solyc04g008860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQPTDGGYTNGYHHDGAVAGGISGGHRVRRGSRGRPRGRRGGGGSSDGDARVSLGQQHNEDEEEREKPAPPCTEFDTAYFNSYAHVGIHEEMIKDRVRTDTYRNAIFQHQHFIAGKVVVDVGCGTGILSIFCAQAGARRVYAVDASDIAVQANEIVKANNLCDKVIVLHGRVEDVEIDEEVDIIVSEWMGYMLLYESMLGSVITARDRWLKPGGLILPSHATLYMAPVTHPDRYSESVDFWRNVYGIDMSAIMPLAKQCAFEEPSVETITVENVLTWPQVVKHVDCYKVTTQELESITSRFRFESMMRSPFHGFAFWFDVEFTGPRVFPLDNGMRPSFVEPSNSNMMEDNQRKKRPNPNDALVLSTAPEDPPTHWQQTLVYFYEPLDVEQDQVIEGSLTLSQSKENARFMNIHLAYSSGGRSFVKESVMR >Solyc11g012420.2.1 pep chromosome:SL3.0:11:5262789:5265234:-1 gene:Solyc11g012420.2 transcript:Solyc11g012420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561 and DOMON domain-containing protein [Source:UniProtKB/TrEMBL;Acc:K4D646] MSSVFLISCVLFVTLFVSSTYAQSCTNYTFTSNNQMSFTSCSDLPYLNSFLHWTYNPSNKTAKIAYRHTKINATTRWVAWAINPSSQGMVGSQALVAFQKSDGKLSVYTSPITSYQTQLQQGDLSFNVSDLSATYINNEITIFATLKLDNFNSTILNQVWQEGPLSRDSPAMHDTSGVNTQSAAPLRLLSGQTGTTSIKANSQFNKRNLHGLLNAVSWGIMMPIGILFARYLKVFSDPAWFYLHSIWQITAYVIGVAGWATGLQLGNESIGIQFTAHRIIGIVLFSLATLQASAMLLRPKRDHKHRIYWNIYHRAVGYSIVVLGIINIFKGLNILKPQKKWETYYIATLVGLGIIAVFLEVITWCVVIKRKKSVSNTVEKNPQGLHESNWYNNGHENGTNRTHYRV >Solyc02g011877.1.1 pep chromosome:SL3.0:2:14159094:14160392:1 gene:Solyc02g011877.1 transcript:Solyc02g011877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKVNKDPYKSGSKGDLCVNYSTITPKKPNSALRKVARRGRVKDLPTVKYHFVRGTLDVVGVKDRQQGRSSAFIWQTKWGKFRSGTGAGYHKTKNLLSKEIPLKFSLILSFSTNNLKYLEFVRTGPSHIAMIRRTSFYTLLETQGLNCMDMSYKKKTYSLTPLTLISRRGTPKKIAKSDLIYHNQLVNMLVNQTRKKIIVLSNYLSSHEKVSTKVRNKFTIRLEIRGVTPNLIVKARRVGGYTHQVPIEVGSTQGKDLPFVGY >Solyc10g050115.1.1 pep chromosome:SL3.0:10:47780485:47784608:-1 gene:Solyc10g050115.1 transcript:Solyc10g050115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGWDWKLINERSIRFNLERLGVLERAPVFPNVGSVLLPIPNGDNRNRVQPNAVTLPRWELPSFAGQEPKVWIRKCERFFIQYRVGNEQMIEMVALYLTNVAEVWHQSMVLNGGIPNWIEFKEDLISKFGEIVVSDVVEEFNKLQQIGTVDEFLGRFEDLKAQMLIRNLALNEAHFLSSFIGALKEETRFEFKMFKSRMLKEAVEKARMKEMAIEAARKRNRTVNRVFPAVVQGVGKASNVMVYRNGSYRLTPEVYEFRKSNHLCFCCGEKYGSGHICKTRQLNYLTGFIKKEKKVDQMSELEDIEDITIEGVVEQENRNHCHRQDCLTMLPHKPRAMPVSLRPYRYDFHQKNELEKHVKEMLSSGIIQASQSPHSSPALLVKKKDGTWRICVDYRGLNGLTIKDKYPIPIVDDLLDKLHGAPIFSKVDLRAVYHQIRMKIEDVHQTAFRTHMGHYEFRVMFLGLTNAPETFQALMKQIFRSFLRRPLTDLLKTEAFKWSVDVETAFEALKIAMTTTSVLALPDYTKEFVVEIDASHGGIGAVLMKQGRPIAFFIKVLAPKHRGRSIYEKEYMALLNTLDKWRHYLQFKHFVVKIDHHNLKYVLEQRVTSPI >Solyc06g053600.3.1 pep chromosome:SL3.0:6:36509476:36510827:-1 gene:Solyc06g053600.3 transcript:Solyc06g053600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNTFTPGGIPSCRNFTRTFRSSSPTWRKSGVVTAKLAQKNAMQYRKLGDSDLNISEITIGTMTFGEQNTEKEAHEILSYAFDQGINIIDTAEAYPVPMRKETQGATDLYISSWMKSQPRDKGLMAFC >Solyc04g082690.1.1.1 pep chromosome:SL3.0:4:66372867:66373418:1 gene:Solyc04g082690.1 transcript:Solyc04g082690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDPSPSPPSPSATTGIGKVDSDFVVILAALLCALICVLGLVAVARCAWIRRISARATGNSVFSSPPANKGLKKKVLKSLPKFSYSAEHAAKFSECAICLAEFAVGDEIRVLPQCGHGFHVGCIDTWLGSHSSCPSCRQILVVTRCHKCGELPVASSSSSAPVAEPESRLPGNYHVNAFLP >Solyc04g015150.3.1 pep chromosome:SL3.0:4:5323180:5329002:-1 gene:Solyc04g015150.3 transcript:Solyc04g015150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKISKSLCVDNAKELLDSVDAFLFDCDGVIWKGEKLIDGVPETLDLLRSHGKKLVFVTNNSTKSRKQYAKKFLSLGILVNEDEIFSSSFAAAMYLKVNDFPREKKVYVIGEEGILEELEQAGFTALGGPADGKKNIELKSDCLFEHDKNVGAVIVGLDQHINFYKLQYGTLCIRENPGCLFIATNRDAVGHLTDLQEWPGAGCMVAAICGTTQKEPITVGKPSTFLMDFLLQKYNITTSRMCMVGDRLDTDILFGQNAGCRTLLVFSGLRILSISSFIFEKVQICSSTIQHCSVLPSVTLFGVTNESNYEDLSKEVKPEYYTNSIADMLRWYVMFPILCKQQKLPPHC >Solyc02g067490.3.1 pep chromosome:SL3.0:2:38238654:38250379:-1 gene:Solyc02g067490.3 transcript:Solyc02g067490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFEKAKSVRLKSNHDKFLHADPDQECVYQDRSGSTKTVKWTVEFPEELNNVIRLKSIYGKYLTALDDQRLFGVTGQKVVQSLPSKLDSSVEWEPIKEGSAVKLKTRYGNFLRANSGLPPWRNSVTHDIPHRHQDWILWTVDTVEVLPELPDETVSQSESVADDDFTSSFRLTSAKFSRTQSVKSEGRVIYYHVADEDGNVNDSVEGPSLQFKGHGLEELTRKLEEETGIEKIIVCSRNKFNGNLYPLRLALPPNNATMHVVVMPASSKGEELLLVVGGGAAGIYGAIRAKTLAPNLQVVVIEKAKPLSKVKISGGGRCNVTNGHCPDNKVLAEQYPRGHKEFKGSFFHTHGPMDTMSWFSDHGVVLKVISETTFFMFLFFLLMLTCSSADLPQIEEDGRVFPASDSSSTVIDCLMSEAKRSGAMNLERLDYVFVISEVFHFPFPYQNADMPVPYLVLLQTGKVVTSASSTADGKFAIKLEKRSLDYVEHVEADYLLIASGSSKQGYNLATQLGHSILEPVPSLFTFKIDDLKLAELSGVGDIPKSKSKITVGRHSEENTTTYSGPMLVTHWGLSGPVVLRLSAWGARYLSSSDYKGTLCVDFTPDLHIEDLKSALTRHKSQFLRQKVLNSYPSELAVVKRFWKYILDREGICGDMLWSSISNNLLISVAALLKDCAFSVKGKVLNVDGITGGFNFQNAWTGGYIAGTSIGNLAVHERVHR >Solyc01g107530.1.1.1 pep chromosome:SL3.0:1:94944615:94944809:1 gene:Solyc01g107530.1 transcript:Solyc01g107530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETNSIGSMVKFCTYVHQHLKQGLLYIFIFYTFSQAKNDLKQMLILENKKDINWFFLIIFSNK >Solyc04g049013.1.1 pep chromosome:SL3.0:4:39110247:39113584:1 gene:Solyc04g049013.1 transcript:Solyc04g049013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTTSCGISRRAKPSHPHRKPVLHVLSRAVGRIFAGELSDPRSSAPIDTLIDSNVELLPRQGEPLSNLESHWEVVVHILRYIKLALDKGLLFEDRGHEHIIGYTDVDWAGSASDRRSTFGYCVLVGGNLVSWKRKKQNVVARSSTESEY >Solyc12g015930.2.1.1 pep chromosome:SL3.0:12:5988443:5988625:-1 gene:Solyc12g015930.2 transcript:Solyc12g015930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPDVPPSPPSSSSDEKVSCIHLCAPFAVGPQQVKAAPPPSPSGRAIAAVVGVSNPLTP >Solyc11g072170.2.1 pep chromosome:SL3.0:11:55696010:55704817:1 gene:Solyc11g072170.2 transcript:Solyc11g072170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSSSSLLLHFKVSIPHTKNPFHRFSSNYCLVPTKIFPKCCSISSKSSTFFKCYSQRQSTEQKNEETEGKNGYQEQVYDFERLFSNLNQATLKREPGSLSSSIFLVAGTTVGAGILAIPAVTQESGFLASAVVCIVCWIYMVVSGLLIAEVNVKTMCELGSGGVSLVSMVRRTLGNTGVQVACWSYIFIHYALLVAYVARSSDILTTFTGIPLYHYDCRWETATLFSLLLGGICFSGSQRTIGAVNGVLVFGIIASFTVLVVVASGDLHWEALLKANFEAAPQSIPIIALSFVYQNVVPVLCTNLEGDLSKVRSAIVFGTAIPLALFLVWDGVILGTITTLGTEADKIADPQQLLRSTNGIVGPIVDIFSLLAIATSYIGFVLGLSDFLADLLKLPSGPNRPLPYLLTLVPPLILSLLDPEIFFKALDFAGTYGVLVLFGLLPAAMSWSDRYSESTLTPNLPPLVPGGKITLSLVFGGAALVILSEIVENFAHT >Solyc05g055500.1.1.1 pep chromosome:SL3.0:5:65971024:65972112:-1 gene:Solyc05g055500.1 transcript:Solyc05g055500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPQEEVMGGVSKGKRTKRVRPQSLITFMTINNAHSSLTGDTNVDGTVNGEEVNDDDNNNNNDSSPPCTTSGKNFLDDDDVPTEEEEETAKCLILLSQGGHHHHHRRQTPPKKFFDLFNDDMGLYQTKFNSKRYVETTDLGNGAKVGTYVYECKTCNRTFSSFQALGGHRASHKKPKPLTIEPKKHPFFYFSDQDELSPPPATTTPTNYKYNNKLSPTLSPLSSQFNNINMESSPNYNKSQSPRIHKCSYCGAEFTSGQALGGHMRRHRGGANINNTILCLSPLSIDQEYANYNLKKPRNGLSLDLNLPAPQDYQNQSRNLQHPPKYPQEQAQKQQQEQQQEQQQTTLVLSTTPQLIDCHY >Solyc04g025410.1.1 pep chromosome:SL3.0:4:23294709:23297082:1 gene:Solyc04g025410.1 transcript:Solyc04g025410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIISINILSIFLKSNNTNTLWTIFICIIFICLILKKWNSSVRNNKIPFPPSPKSWPIIGNLPQLLLKSKPELIHWIHKIMKEMDTEIACIRFGNFNVIPVTSPELACEFLKTQDSVFSSRPLCMSASLVNNGFLTSIFLPSGDQWMKMRRILASHVLSPTSLQWLRHKRDEEADHLNRFIYNQPVLNVRKLTRYYCGNVVKNMIFSKRSLFGIIEKEEEQIDAVFTLIEYVYSFGVSDYLPCLSVFDLGGDKAIIMKAYDIATKQIDIEVDHRIQIWKDGNKTLKEDILDVLIMLKDINGNPLMNVKEIKAQVLELFLAIVDNPSNAVEWTLAELLNQPKLMQKAIEELNIIVGINRLVQESDLPRLNYVKACIKETFRLHPIVAFNVPHVSVSDTIISEKYFIAKGSVVILSRLGLGRNPRVWKDPLKFNPERHLKMKDGSEVVLTDSKLRLLSFSLGRRGCPGVKLGSTITTMLLARLLQGFTWTLPPNSPCNDLIKSSQTNNYCTLPFVAHAKERLAKDMYV >Solyc11g005970.1.1.1 pep chromosome:SL3.0:11:771804:773765:-1 gene:Solyc11g005970.1 transcript:Solyc11g005970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKAIHLRNCATNPSIFNSIISIARQFFSTTCAAAGYEGVRKLFGSSIGVLQDKDLLKKAPNGELLVLYLIDNGAMDADASLYNQLLKKCTEWKRLKEGRVVHEHFLRSRFSHYTVPNNTLINMYAKCESMGDARKVFDEMPERDMVSWTALITGYSQNEGAKEGLVLFTEMLRFGFMPNQFTFGSVIKAAGALESDSTGRQLHGACVKCGYEDNVYVGSALVDMYARCGLMDEGKIVFDKLSCKNEVSWNGLIAGHARKGEGEIALKLFCEMKRGGFQPTHFTFSSVYAACANIGALEPGKWVHVHMIKSGLELIAFIGNTLLDMYAKSGSIDDARKVFDRLVKKDVVSWNSMLTAYAQHGLGIETVECFEEMCRIGPEPNEVTFLCALTACSHAGLLDNGMHYFELMKKFKIEPNISHYVTIVDLLGRSGQLDRAEKFINEMPIEPSAAIWKALLGACRMHKKLELGVYAAERVFELDPHDSGPHILLSNIYASAGRRSDAARVRKMMNQSGVKKEPACSWVEIENAVHMFVANDDAHPQREEIRNMWEKITDKIKEIGYVPDTSHVLWFMDQQEREERLQYHSERLALAFALLNSPSGSPIRIKKNIRVCGDCHTAFKFVSKVVDREIILRDTNRFHHFRNGSCSCGDYW >Solyc08g078010.3.1 pep chromosome:SL3.0:8:62006450:62010458:-1 gene:Solyc08g078010.3 transcript:Solyc08g078010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVVLPQALFVVPRNPTRCTAPQKLAFSACVSRRPSQFNVPLFSASGLLSSKWENRSFIVRAEAESQEEPEAAEAEVEAEADAAVATEERPPFKPRAKLGDIMGILNKQAIEASDTVRPTPDIRTGDVVEIKLEVPENRKRLSIYKGIVISKQNAGIHTTIRIRRIIAGVGVEIVFPVYSPNIKELKVVKHRKVRRARLYYLRDKLPRLSTFK >Solyc10g055125.1.1.1 pep chromosome:SL3.0:10:56319073:56319378:-1 gene:Solyc10g055125.1 transcript:Solyc10g055125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAKPNNPPNDSLCASTKDDGDPFDNSDIAFTVSIVIQFMFAPIAKYWTTLKQILRYLEGAPGLGLLYNNHGYICVDCFVDVDWLEPKLIEYLHRPLCLR >Solyc01g008500.3.1 pep chromosome:SL3.0:1:2545421:2548843:1 gene:Solyc01g008500.3 transcript:Solyc01g008500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSSYSVQVLTVFFFFRFCIFSLDGHNQVDQIHVLRVLAIRLTVVLIHGVLAAIVPWILPIAGFSIVARVILFPCIVVFLMIELRRRHLSIYDAIESFLHGENNFTPIRYSNSNIRKMTRNFKEKLGQGGYGSVYKGKLQSGPNVAVKILSKPKADGQDFINEVATIGRIHHVNIVQLIGYCAERCKRALVYDFMPKGSLEKYITPREGGAELLSWKRKFEIALGVARGIEYLHRGCDIQILHFDIKPHNILLDENFIPKLSDFGLAKSYPTDKSIVTLTAVRGTIGYVAPELINRSIGPISCKADVYSFGMLLIDIVGLKTNSVAREDMSSQYFPHWIYDQLDKGKEIEVLDETHEDVKKLTLVALWCIQMNPLDHPSMTRVVEMLEGDLQALQTPPRSSESREPCSLEFNLTSSSMNSTESVKLLESCSDSAIIDVIVV >Solyc03g120110.3.1 pep chromosome:SL3.0:3:70084144:70088078:1 gene:Solyc03g120110.3 transcript:Solyc03g120110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYRNLIASVLVLSCHFLRADFANNNSIMKGQSLADYQQLVSANGFFKMQFFSPGKSRNRYLGIFYTQPSLDMYSDVNGGGDEKALWIANRDDPITDTSGSLMIAPDGRLIISHKEGNVTLFSATPTTATNLTAILLDNGNFVLRELNTNSFVNRTLWQSFDYPTDTLLPGMKLGINLRTGHKWSLTSWVNDQAPASGSFTFGLDPNGTNQLIILWMGKVYWKSGPWSTGHLALKYVSNEDEKYFLYTVEVDYLRYFVSPFGIIQDGFKRNAVFGNCSNETPHAGCVKQELPQCRAAKKYWFELRQVYMFGNSIKVDENYTLSLSDCKAKCVNDCWCVAYASVDSETGIGCQIWGNDTSFVTAQNSLARDVFFLASRDRKRKWWIWLTIAVSLIVFAFICSLFCLMRRKLRARGKVRQMEKMLYEIEDSKTISGQYNTKKTARLRKKFRHDIHIFGLETMNMATNNFSSSNKLGQGGYGPVYKGMLLDGQEIAIKRLSRSSGQGLVEFQNEIMLIAKLQHTNLVRLLGCCIEGEEKILVYEYMMNKSLDFFLFDPSRKDSLKWNTRLNIIEGVAQGLLYLHKYSRLRVIHRDLKASNVLLDDNMNPKISDFGLARIFGMQEFEANTERIVGTYGYMSPEYAMNGIVSMKTDVFSFGVLVLEILSGKRNNSCYHLERPLNLIGYAWELWKAGSVVEELTDPVLTNESTPTNEVMRCIHVGLLCVQANPMDRPSMSNVVMMLTNDSLHLPVPKQPAFFIETAMTETETREEVVHCSTNGLSVSDIVAR >Solyc11g072620.1.1.1 pep chromosome:SL3.0:11:56139792:56140025:1 gene:Solyc11g072620.1 transcript:Solyc11g072620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKIPNSDQKPKNRGFIPKRGQIKAQIFESLVETITSVFSPKILFGGGGDGGADESDGNSTVGNSVGSISPPPTA >Solyc07g005420.2.1.1 pep chromosome:SL3.0:7:323984:326136:1 gene:Solyc07g005420.2 transcript:Solyc07g005420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCINIYTIVICVCSLLILSESKFLLLLLFMLLPPIRDITDVEFSRNMTLVEAA >Solyc12g098490.2.1 pep chromosome:SL3.0:12:66963634:66966914:-1 gene:Solyc12g098490.2 transcript:Solyc12g098490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DHM2] MDPVSVWGNEPLNTTDPEIFDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGIPGNRYYGGNEYIDQIENLTRSRALQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKVSATSIYFESLPYKLDFTTGYIDYDRLDEKAMDFRPKLLICGGSAYPRDWDYKRFREVADKCGALLLCDMAHISGLVAAQEAANPFEYCDLVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFSVFPSLQGGPHNHQIGALAVALKQSMTPGFKAYAKQVKANAVALGKFLMSKDYKLVTSGTENHLVLWDLRPLGLTGNKVEKLCDLANITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLLEKDFEQIGEFLHRAVTITLNIQKEHGKLFKDFNKGLVNNKEIEELKADVEKFASSFDMPGFKMSEMKYKD >Solyc03g063860.1.1 pep chromosome:SL3.0:3:38456975:38457450:-1 gene:Solyc03g063860.1 transcript:Solyc03g063860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFLMDESILVHNPTGKNNIVNGSFNRIGEQSVFDDTMLVFVLPYPLIKHYILVLRNEQMPCPIIKNATSDLGRFFKRDPITKSIVSVEFVSLRKPVSIVPISRAALDLANDVSSILAAAKTFHLGVSRNEETLQSSVYLNVA >Solyc04g015810.1.1.1 pep chromosome:SL3.0:4:6106673:6106918:-1 gene:Solyc04g015810.1 transcript:Solyc04g015810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKNLVSIFAIFLIFPLLFFIGNSSSSSNNNDDNYISDKFETIDNYFTPISKGFFAELQRRKMEVCSCTPCCYICPCPTS >Solyc07g064230.3.1 pep chromosome:SL3.0:7:66586732:66593394:-1 gene:Solyc07g064230.3 transcript:Solyc07g064230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRDPGAPADSFYQVRPECTNVPKTRFRIKAGKTLSARKWRAAFTSEGYLDIGKTLSRIYRGGIHPSIRGEVWEFLLGCYDPKSTFEEREQIRQRRRVQYAVLKEECHAMFPMIGSGSFITAPVITENGDPIVDPITLQEAQAAKELYSGGQQNGNPGWEKVKEHDKRIIQWKLSLHQIGLDVVRTDRTLVFYEKQENLSKLWDILSVYAWFDKDINYCQGMSDLCSPMIILLDDEADAFWCFERLMRRLRGNFRCTERSVGVEAQLSNLASVTQVIDPKLHHHLETLGGGDYLFAIRMLMVLFRREFSFADSLYLWEMMWALEYDPDLFLMYEDPDLAAAKSEGSKGRAKSTRQCGKFERENLKNRSKGTEAPLPISVFLVASVLKDKSTKLLTEAKGLDDVVQILNDMTGNLDAKKACTSAMKLHKKYLKKAANANR >Solyc12g006270.2.1 pep chromosome:SL3.0:12:783086:787991:-1 gene:Solyc12g006270.2 transcript:Solyc12g006270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLFGCFKIKDVMLPATPGSSSESNRVSQSTPAKEPMVSRNRSPLSSLFTEENEETNTLGCKEVENQSPDTPMEQLDTNELRNQAKFLKACGTLPETPAEIRNFSMKCKDLSAPMEEVEPLKVNSWPSDTTCQKLNSMLSDQSTPVKIDGVKTHSGSLVHTPSSCLTDGQSDQSLSKSFINGSENSSTPIFIEVKANLARNDNKDSAASPLTAPTAKYRYRSVHFESESDLSSMSSKCISSKTSQNSEQSESSGNYHASKYSPYPTPLKLTDEMQTPGTVFPAYLDRMGIAKTARIRSQYVYPVLNPVDDASQLKELSDEDSYSTQDSNSRLFSSHTTDSDQRPEEATRISELGMSGFGESAANKDSKPPSINQVQSKQHLGPVHYGRTPRDRPIIGLVAAHWNDDETSRISPKWWDGNGIPNSTNKYKEDQKVSWHVTPFEERLEKALSEETNSSQRIQHSGTPPIAVNEAEESYTATSQVR >Solyc11g027984.1.1 pep chromosome:SL3.0:11:19952385:19952720:1 gene:Solyc11g027984.1 transcript:Solyc11g027984.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPMFMFKYKYDSFFIWIDVEQAILNRRVDMRVDQMVKTGLVDEVRQIFIPDADYTKGI >Solyc03g007160.1.1 pep chromosome:SL3.0:3:1741489:1742599:-1 gene:Solyc03g007160.1 transcript:Solyc03g007160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQKTKIVIIGAGIVGLTAALHLDFNGESEYCNFHGDETTVGKGYLSVIEALASVLPDGLIQLGRKVTKIEWESDLILENDDGGTDTKPVKLHFSNQFKNNTDSAEENRVKFSNILRCKWGSDPLFFGSYTYYIAVGSSGDDLDTVAEPLPNRISKNCPPLQILFAGEATHGSCYSNNSWCLSY >Solyc03g078813.1.1 pep chromosome:SL3.0:3:52950033:52955288:-1 gene:Solyc03g078813.1 transcript:Solyc03g078813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSDGFLVKNPFVVKEVGIVAIVHNPPKFLFSILSSFHDAFPSSRDGFSPPISNMLGSQASLRFGKRDKELYVLHDVARNMLRDVLARTILPAKFMKLVFQPATTKELNLDLFLSSQKLAAPLLSFTVAVSGKKPPAKPPSLSPFPEENPTLSRTPLFFSSHSPSAFFSSTYDKATATGTPLRLVVPPAKKPGYSEASLLLSFLFFGDSDPDSSKPAASRGRSDREESGGLEASRLGFAKEESIPSRLGHDKSKPTVNEV >Solyc06g051910.2.1 pep chromosome:SL3.0:6:35678780:35681137:1 gene:Solyc06g051910.2 transcript:Solyc06g051910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDKNGGVQALIQYPDVQTVNNDRSRDYTIPNVPMMNSQPSVMGQQPPPVGGPVVHPYNGPTQYAPATQGFGAPQHSAAGWNSPVGSAPPQMPMQKHNHHYSMPASGPPQMGHEMMPMHGQNGLPHSGGMPPYHPQ >Solyc06g066790.3.1 pep chromosome:SL3.0:6:42074254:42097963:1 gene:Solyc06g066790.3 transcript:Solyc06g066790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSNDGDKNGRKRNLPSWMSSKPGSSGSGQSKSNDERETTETTEQAKGRRKTNHGKTLPDEAENEAHFSNFSKLMEGVVFVLSGFVNPERGTLRSQALEMGAKYQPDWNSESTLLICAFSNTPKFRQVEADNGTIVSKEWITECYKQRKLVEIETYLMHAGKPWKRQSVSRESSQDQRPSTSRKSQTRGEKSSPFKTTAAPSSEEIHSDKVKDGFSPYKVKKWAINDLNRTISWLENQDERPEPHEMKKIAAEGILTCLQDAIDSLNQGQEGSGVKAVNCKCGGSNQCGYRVANGRRLEWCLMMGKVCWFPSLSKQDMRQITEQWECIPRAVEELAKFDGSCVGSATMHKDLCKHAVTCKQIYELEYKNREDDELLKMKEQRTRVGGKAGGAAKDAAAYDSDDTVEMTEEEIDQAYNAVASTIRNTRKLQLDYISVGLQQINATISANPRYSKMGASLPAKEANLFKLIVKSYETKQYKKGLKAADTILKKFPDHGETLAMKGLTLNCVDRKSEAYELVRLGLKNNLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLSGFVETRQQLLTLKPNHRMNWIGFAVSHHLNSNGSKAVDILEAYEGTLEDDYPPENERCEHGEMLLYKVSLLEECGFFERALEELHKRESKIFDKLGYKEQEVSLLLKLHRFEEGERLFRVLLSMNPDNYRYYEGLQRCLGLYSENGQYSSDEIDRLEALYRSLAQQYNRSSAVKRIPLDFLKDGKFRDAAENYIRPLLTKGVPSLFSDLYPLYNHPGKANILGELVLSLEKSIKTTGGYPESEGKEPPSTLLWILFYLAQHYDRCGQYDIALVKIDEAIEHTPTVIDLYSIKSRILKHSGDLSAAASLADEARCMDLADRYVNSECVKRMLQADQVALAEKTALLFTKEGDQLNNLYDMQCMWYELASGESYLRQGELGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFHKAASGAIRCYLRLFDCPPKSAAEEDDEMSKLPPSQKKKLRQKLRKAEARAKKDAEVKTEEPSSTSVAKSGKRQVKPVDSDPYGEKLVQIEDPLAEATKYLKLLLKHSSDCLETHLLSFEVNMRKQKILLALQAVKHLLRLDAENPKSHLCLIKFFHKIGGLPSPVTETEELVWRVLEVEQPTFSQLHKKSLIEANNIFLEKHKESLMHRAAVAELMYVLEPTRKAVAVKLIEDWVNDLVSIDGVRGAGRAWKLKDCISLHKLLEKTLSDHDAALRWKLRCAEFFPFSTYFEGTRSSVATSSAYHQIQKTPGNGVVNLNADRLPFAAVLHPWIQFLGFCVYLIWCYCYDGVSLSGFSGSGQIPEKRVSWASATWFQQL >Solyc05g054810.3.1 pep chromosome:SL3.0:5:65477323:65479644:1 gene:Solyc05g054810.3 transcript:Solyc05g054810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKSKPDLLTQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREVYKNKKYLPLDLRPKKTRAIRKRLTKHQASLKTEREKKKEMYFPLRKYAIKV >Solyc03g119180.1.1.1 pep chromosome:SL3.0:3:69341230:69341454:-1 gene:Solyc03g119180.1 transcript:Solyc03g119180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELSVLIDGEGFGNLGTNILLTFMGILATIFGVTFVILSCAQGMPRDKSAATESSAYEGAGCAAGCGAGCGA >Solyc10g074360.1.1 pep chromosome:SL3.0:10:57764802:57765321:-1 gene:Solyc10g074360.1 transcript:Solyc10g074360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCTWKEIFRTRPYPNFTCLITFILTFQNNNYKYGPCGRAIGVDLLNNPDLVATDPVISFKTAIWFWMTPHSPKSSCHDVIIGRWNPSAGDLSANRLPGFGVITNIINGGLECGYGNENRVQDRIGFYRRYCGILGVSTGDNLDCGNQRSFGS >Solyc10g006000.3.1 pep chromosome:SL3.0:10:750200:756056:-1 gene:Solyc10g006000.3 transcript:Solyc10g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEGDTVAELAEVSADNNVAAEDKSKAFIEKNAEKDELKELEEDSKEADERDIENMDIDKEEVKESKRGKEKEETKFEVMEEETAEIPDNDKETVEDVANVQKKEKKSPEENAIEKGSKKSDKSKSGAQKKDRKRKRKVEDKKELEPKTPLAPTIVRPVRQRKSVERLVASIEGELTKEIFIEKGRGTALQDIPNVAYKLSKRKTDDTLKMLHNILFGRRGKAVQFKSNISQFSGFVWHENEEKQKMKVKEKLEKCVKEKLFELCDVLDIPVDKETSRKVCSYIITIFQRDLESQLMDFLYAPHPTTSELLAEKEQSTKGKKKKSPSSTIGDLEGSTKSHKKKKAREPKDDQEEGPEVMKANDERSDDEMSKRTKTEEKDGKSEEGSKKDKKKHKRSSNKSSSKKEAGEVKTKKTPSNSSKSSKKNDNNDANSEVHSKKKTTEVVKGNSSTPKKPSSKDKTGKKDPNGKGHKKANKLKPSDDELRSAICEVLKEVDFNTATFTDIVQMLAKRYDTDLTLRKTSVKNMIQDELTRLVDEADEEDKDEQENTEDEKQPSVQGVEA >Solyc02g086270.3.1 pep chromosome:SL3.0:2:49616968:49620515:-1 gene:Solyc02g086270.3 transcript:Solyc02g086270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSYPSTTTNGRGGATITITLLFATFILTTTFSFSDDNVLYPNCHLTYSCGSIRNITYPFTGVDRPDYCGLPDFKLRCVDDKHTEFTHQSLTYRVLSINQSSATMTVSRIDLWKNSCPSQFINTTFNSTVFSVDRNEDDELSLSYGCDVSALVITPQNLFNCSVDGLNSTGAFYLLGPIPSDPFLNVITCTTMVTVPMFTTVGKMLYSNEIALGEALMQGFSVKYDTPYDRRCSECKSLGGNCGFNVGLSQLICICAEMPCAFLPEDSHESSSQDTGKKNHTPLAVGLGVGGAVLAGIGVGWLVFFCRQKRKRIASQASPVQTESKDLPILSSSNGLSSSSTPSSTTFTRSIPSYPSSKSEADYGRGSSYFGAHVFSFAELEEATNNFDPSKELGDGGFGVVYYGKLHDGRVVAVKRLYENNFKRVEQFMNEVEILTQLRHKNLVTLYGCTSKRSRDLLLVYEYIPNGTVADHLHGKRAKSGLLSWPVRMNIAIETAEALAYLHSSIIIHRDVKTNNILLDNDFHVKVADFGLSRLFPNNVTHVSTAPQGTPGYVDPEYYQCYQLTEKSDVYSFGVVLVELISSLEAVDTNRHRHDINLSNMAVNKIQGHSIHELVDPTLGFSTNSSVRRMTTLVAELAFRCLQQERDLRPSMQEVLETLRGIQNGESKAHNAEVLDIVVDDAGLLKDSLSSPDSVAADK >Solyc01g096435.1.1 pep chromosome:SL3.0:1:87400601:87402454:-1 gene:Solyc01g096435.1 transcript:Solyc01g096435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAAMAAQPVIKVAGLCGSLRKGSYNRGLLNAAMEICKDSITGMEIEYVDISPLPFLNTDLEVDGTYPPVVEAFRKKIEEADCFLFASPEYNYSITGPLKNAIDWASRPPNVWADKAAAMVSAGGGFGGGRSQYHLRQIGVFLDLHFINKPEFFLNAFQQPPKFDSDGVLTDEETKQRLRAVLLALQALSLKLKGKCE >Solyc04g064860.1.1.1 pep chromosome:SL3.0:4:56040647:56041348:-1 gene:Solyc04g064860.1 transcript:Solyc04g064860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINNNTNAAANSAAVAVKKTQGRRKIAIKPIANQNSRHVTFSKRRLGLFKKASELCILTGAEIAIMVQSLKRQRLFTFGHPSADAVINRYLTGKSEEQKPAVDDQLNYVQQSNEYYSQICRELELEKKVKEEIVIDESKMVNGGSSSNNGGGGFWWNESIDEMGIEELEKFMFALEELKKKVNMRCDELSMINGSSSMAAAATASTSSMNQAIDYCASIVPFDFNYPGNAQF >Solyc05g046283.1.1 pep chromosome:SL3.0:5:59321313:59323326:1 gene:Solyc05g046283.1 transcript:Solyc05g046283.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLGLVCGLLVKAILIYTSKLFLTADVLMLDKSDWLLWSQSKIRSPKLIKLAESGIFNLTAAVQGPANLKRLHRHTKILIRKSTPVKNVTLRTSRTSADY >Solyc05g009500.3.1 pep chromosome:SL3.0:5:3668201:3672718:-1 gene:Solyc05g009500.3 transcript:Solyc05g009500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMNKKENSSRRMKGGMITMPFIFANEICEKLAVVGFGTNMVIYLTNELHLPLTKAANTLTNFGGTASLTPLFGAFIADTFAGRFWTITVASIIYQIGMIILTLSAILPQLRPPSCKDDEYCKEANSSQIAILYVSLLLTAFGSGGIRPCVVAFGADQFDENDPNQKTQTWKFFNWYYFCMGFSMLVAVTVIVYIQDNIGWGVGFGVPTIAMLISIVVFIFGYPLYRNLDPAGSPFTRLVQVCVAAYKKRKLDMVNDTNLLYQNQELDADISTAGKLVHTKQMKLLDRAAIVTEEDNPKSPNLWRLNTVHRVEELKSIIRMGPIWASGIILITAYAQQSTFSVQQAKTMNRHLLNSFEIPAASMTVFTLTTMLCTIAFYDRVFVPIARKFTGLERGISFLSRMGIGFFISVLATLVAGFIEVKRKNVASTYGLVDKPKSLVPISVFWLVPQYCLHGIAEAFMSIGHLEFFYDQAPESMRSTATALFWTSISAGNYLSTFLVSLVHKFTNWLPDNNLNEGRLEYFYWLITILQVVNLIYYVFCARLYTFKPIQMHKTEELETRKEGIELVNNV >Solyc07g039410.3.1 pep chromosome:SL3.0:7:47548630:47549939:-1 gene:Solyc07g039410.3 transcript:Solyc07g039410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPAMERLAKDMVEKCRGLHLAIVVLSGLLSHKGGLDKCYNDLSTALKQCFLYFGIFEEDKVLKVENIIRLWMDKGFVPNGEERMEDAAERFLNELIRVVDTFWKKVTDCRGHYLLCDLAIKKAYVFRHLYVFYLDIKEGDVIPDAIGSLYHLKLFSLTGIRRLLSSIGNLENLRTLCVENINGGLCKIPSETTELISLRHFVVQYPEPLVGICKFTSLQVVDGICCDQWKDVDPIDLVILRELSMFEITNSHSLNNISSLKNLNTLTVCCEIYESPFPSLEFVNCRKKLQKLFLRRRIEKLPLFSNSITMIDLFFSRLVEDPMPILDAAKPKESHIRRRTLFWKRNNMK >Solyc07g052120.3.1 pep chromosome:SL3.0:7:60791861:60793232:-1 gene:Solyc07g052120.3 transcript:Solyc07g052120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSYRALVQVYTEAERELENLGNKMTFRVKYSINEMKKLLRAYFQEAKWYHEKVVPTMEQYIKNGIPSSTYLLLATTSWLGMGDVATKDAFDWISNEPTILVALSIIARLLNDLVTHEIEVERGDVASGIECYMNEYGATKEEAYMETRKIIENNWKVLNRGCLKPTTVPRVLLMPVLNLTRVAEFFYKDDDAYTFSTNNLKDIISLVLIDPITT >Solyc12g008470.2.1 pep chromosome:SL3.0:12:1883360:1886385:1 gene:Solyc12g008470.2 transcript:Solyc12g008470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILATVVLGILLAVFIVLPRLRSKSVPQKRKVSSNAQNKAVKSYSKAEVARHNKRTDCWIIIKDKVFDVTSYVEEHPGGDAILDHAGDDSTEGFYGPQHATRVFEMIDDFCIGDLEI >Solyc03g112040.1.1.1 pep chromosome:SL3.0:3:64066348:64067850:1 gene:Solyc03g112040.1 transcript:Solyc03g112040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSFADFFFLFFLSFVLLSFFRLSSKKSKKNLPPSPPKFPFIGNFHQLGQQPHRSLQKLTNEYGPMMMLQFGSVPVLIASSAEAATQIMKTQDLGFANKPKSIIPSKLFFGPKDVAFTPYGEYWRNARSVCMLQLLNNKRVQSFSKIREEETSLLLRKINHSIDNSQVVDLTDLFVSMTNDVLCRVALGRKYCDGGEGKKFKSLVIELVELLGVFNIGDYMPWLVWVNHFNGLNAKVDKVAEEFSAFLEGVIEEHNEKIKTDEKEEGSADFVDILLQVQKENKSGFNFEMDSIKAIIMDMFSAGTDTTSTLLEWTMNELIRNPNTLRKLRDEVRQVTQGKSDVTEDDLEHMPYLNAVMKESLRLHSPVPLLPREAIKDTKVLGYDVAAGTQVFVCPWAISRDPTIWENPEEFQPERFLDSCVDYKGLHFELIPFGAGRRGCPGITFAKVVNELALARMLFHFEFSLPNGIKAEDLDVDEALGITVRRKFPLLVVATPRI >Solyc01g086897.1.1 pep chromosome:SL3.0:1:81692120:81692929:1 gene:Solyc01g086897.1 transcript:Solyc01g086897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKEKGGLGFRSLLNVSKAIFDVDEVNQLMIERHWNEDFMLQLLSEDVYKYIQNVTGVVEDTEEQDSLAWMCTNSEKFTIRK >Solyc05g006070.3.1 pep chromosome:SL3.0:5:810477:812549:1 gene:Solyc05g006070.3 transcript:Solyc05g006070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWLENKPRGFGVDKEYDTSVEDKLMEEIEQSRKAQLANINKLKNNPVKANTKQVQQDKVVQDGLCVRLVNLPKKMNVDKDLRVAFKGVSGIVNIVPVVTGNKKTRNPVCKGMAYINFKSKDEAQRFVQMFSGRSISFGKVQKQIKCEMINPGSPKSTTTQSVHKIKHVPEKDIPDLSRDQDDDFDTDFLSDSEKNISTDYNVAEVEDLSAYTNGYEENSSAESTSGDEQDVGEESEFAEQKKVEAKEKKKRPKQKKEQVPKLNIPGSARKLKIKEKAVLTGVLSKYAQKISS >Solyc03g071800.2.1 pep chromosome:SL3.0:3:20928512:20931966:-1 gene:Solyc03g071800.2 transcript:Solyc03g071800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVVCKTHDGLKELLTYGRKGPMNKSSGLHGVGASIGRPLDDRYLVIFLENLRPYAGEFIVDDPQRRLAIRRKPRYVNEETPHVFLGFAVNMINIDTANLYCVTRTGYGLRETLLYGLFSQLQVYKTSADMMEALPFIIDGAISLDGGIIKSGGIFSLGKR >Solyc01g109460.3.1 pep chromosome:SL3.0:1:96321032:96324236:1 gene:Solyc01g109460.3 transcript:Solyc01g109460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyol/monosaccharide transporter 4 [Source:UniProtKB/TrEMBL;Acc:K4B3F9] MEEDKQNQVARIQDFDPPKKPKTNKYAIACTFLASLSSILLGYDIGVMSGAILYIKKDLHITDVQVEILVGILNVYSLFGSAAAGRTSDWIGRRYTMVVAAGIFFAGALLMGFATTYAFLMFGRFVAGVGVGYALMVAPVYTAEVSPASSRGFLTSFPEVFINFGILMGYVSNVVFSKLSTHLSWRFMLGIGAIPSVFLAVSVLAMPESPRWLVMQGRLGDARRVLNKTSDSLQEAQFRLADIKQAAGIPENCNDDIVEVPKRPTGDNVWKELVFSPTPAVRHILITGVGIHFFQQASGIDAVVLYSPKIFEKAGIKSDHDKLLCTVAVGVVKTLFILVATFMLDRSGRRRLLLTSVGGMVASLVLLATGLTIIEHSEQKLIWAIALCIAMVLAYVALFSIGMGPITWVYSSEIFPLRLRATGCSIGVAVNRVTSGVVSMTFLSLEKAITIGAAFFLYAALAAVALVFFYTLMPETQGKTLEEMETLFGTFWNWRARARELKELKKTEKNSNDNGHIPMGTSNALAMQNVMMVTTQTNIVV >Solyc06g033788.1.1.1 pep chromosome:SL3.0:6:23144286:23144990:-1 gene:Solyc06g033788.1 transcript:Solyc06g033788.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLEEMEDITIEGVVEQEVQQAVCLNALTGHNKGENTILVGWTVKKRQLAIFKDSGSTHSFIDKHTIAASGYQPHPCLPVRVTVADGNYVMCNSHYKGFSWKMLDRIFIEDLLIISLGGCALVLVNDWMKKHNPTKFDHERKCVTIGRNANKLVLPRIAGEGCLSMLSSGSMKKMLNKGQSIVAHLFMMNMMTNSEEEVIHDGLQNVLVKYADIFAEPKSLPPARLFDHAMPL >Solyc05g055240.3.1 pep chromosome:SL3.0:5:65817360:65823662:1 gene:Solyc05g055240.3 transcript:Solyc05g055240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWTRDDDKLFEHGLVLYPENSADRWQLIADHVPGKTADDIMAHYDDLVHDVYEIDSGRIDLPSYTDDPVELEGDCQITSGSNKKSNEIERKKGTPWTEDEHRLFLIGLDKYGKGDWRSISRNVVVSRTPTQVASHAQKYFIRQQAMKKERKRSSIHDITTAVDTNPVPPQSSFQNQSGSQNFNFAM >Solyc11g012010.2.1 pep chromosome:SL3.0:11:4960313:4962623:1 gene:Solyc11g012010.2 transcript:Solyc11g012010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHVPKFGNWDGENVPYTAYFENARKSNSKGGKMINPNDPEENPEAFAYCGDEDANINISPLVEKHQYHYDHRRNPSVESGQNKSIGPTNSNSESFGDSQRKSVSGFSVNQPTRRRRTSDVKKNKNDRGNGFVPPSPNRPMKNSRNPSDDLSCSSAASVPKFGAWDEKDPKSGEGFTVIFNKVKEEKHIAAAKFPVVQPQSNMSSSNNHKKNAKSKVFCCLF >Solyc09g065010.3.1 pep chromosome:SL3.0:9:62909943:62916125:-1 gene:Solyc09g065010.3 transcript:Solyc09g065010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKASLEVSKLNAVQKIWKVFSLTGRLIYSYQGKLHLLSQQLYHVTWSAGVPRCMMLIDKEDCYLIDPQFYFRKVQLRFPRKHIYEGRADITHCCTLLDGELITETETETQVKRTIYLIYDMMAFNESSVTELPFHHRLRLIEEEVIRPRNFERDFLSTCINPYHHYGLEQFEVRRKDFYLLSAASTLIKDITPSRPHSADGLIFQVGVDGSSLLYLQEHGNKKLIEGCRVMFKDATDLLLYSGRIIECSWNSHEDAWSFVQIQTDKSNPDDISVYEEAKRNIEGILTEDTLLDEIDKVAGLPVYSDWANRHSGTFRNVWKRQ >Solyc07g056350.3.1 pep chromosome:SL3.0:7:64356264:64365719:1 gene:Solyc07g056350.3 transcript:Solyc07g056350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:F8UFC2] MQPDHRKKSSAEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPTTIFWTDYVATRWYRAPELCGSFYSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSMDTISRVRNDKARRYLTSMRKKQPVSFAQKFPNADPLSLKLLERLLAFDPKDRPTAEEALADPYFKGLAKSEREPSCKSISKMEFEFERRRVTKEDLRELIFREILEYHPQLRKDYLNGVERTNFLYPSAVDQFRKQFAHLEENGGNGVPVVPMDRKHVSLPRSTVVHSNPNPLKEQPIVANMRDRQNGEESCSRNCRDSEGLASSLTRTLQAQPRNALAAKPGKVVGPGLAYDSGNREKYDPRSQVRNAVGPPQIMSSVYSYDRSGVVKQERSVETERDMNCHSKPMAPCGMAAKLAPDIAINIDSNPFYMMRAGVTKPDRVDDRITIDTNLLQAKSQYGGIGVAAAAATSGAAHRKVGTVQYGMSRMY >Solyc09g074040.1.1.1 pep chromosome:SL3.0:9:66152354:66152980:1 gene:Solyc09g074040.1 transcript:Solyc09g074040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNQTITKDTQSQGQGVCEKIFKALNLTSIFRYFSRNSKSKIATSNHQRSDPARVTDFKLVIPQQDQNLSFASNKVHVEYNHSEVTMSKTEIATSDHHQRSDPARAKEFKLVVPQQDQNLSFASNKVHVEYNHSEVTMSKTESPVYMLPQRDYPENARFSTYINHVKDNFMSSYDDDDDDIDDKHKHHINPSKFVIRTTAIACGVQG >Solyc05g014330.1.1.1 pep chromosome:SL3.0:5:8165394:8166689:1 gene:Solyc05g014330.1 transcript:Solyc05g014330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEIEIISKLVIKPSTPTPHELHNYKLSYLDQITPNILMPLVFFYQANNNFTKTHISNQLKTSLSHTLTKFYPLCGRLDVANTHVNCNDEGVPYVEAIAKCNLSDFLLDPLPNELNKLIPCDLHDVKEFCLLVQANFFQCGGMAIGIAISHKIADALSTFMIINTWGAIARGSIDIPCPRFDSSILFPPRDVTKFKSSVMIEKDNIVTKRFVFSASKVSALRDKYTEKGTENTRPPSRIEALSAFIWTRLMASIHAERDETKIYGMVHTVNLRTRSDPPLPDSLFGNVMQVVVTVPATDSNDNNSTNKEQDFELVKKVRESINNVNSEFVSGLRKKDQKHLSFIKEKANEQRKGELVVFNFTSLCRLPLYKADFGWGKPIWVGSARLVLKDVIGFLDTKSGNGIEAWVNLREEDMAIFEADKELLSWCSD >Solyc09g061920.1.1.1 pep chromosome:SL3.0:9:60930434:60930682:1 gene:Solyc09g061920.1 transcript:Solyc09g061920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTRSFSSLLAALVCNRSSFSSLCIAKGRTSSLLASYTIKACFPCHGAPLLLVLFFPCFVFDLKSPPIPSTVPCRWALAFH >Solyc06g043356.1.1 pep chromosome:SL3.0:6:28929373:28929734:1 gene:Solyc06g043356.1 transcript:Solyc06g043356.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILRSFDGETFEVNEAVALELQTIKHMIDDEYPNTISLYPIKIIAKVIEYCKNHVEVPKAEDKTAKEDLKSFDVEFVKVDQSTLFKLMLLWIFLNLYVYFNWFLYVFDYIGGISQLL >Solyc04g049017.1.1 pep chromosome:SL3.0:4:39149633:39150481:1 gene:Solyc04g049017.1 transcript:Solyc04g049017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHWDGMKAEWTLFKQLMKRDTCIGWDSTKNTIMTDDVWWKRKIKVDYDEERQNGIDNDDMKHFINTNNEGGDESDDPEDMNSSMFPKPSIKRPIQLMMHADEVRKSWMEYNHQLYLKKV >Solyc12g014540.2.1 pep chromosome:SL3.0:12:5518114:5534515:-1 gene:Solyc12g014540.2 transcript:Solyc12g014540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAVEIQPEVGSDGVGEKRLADDDVELVEPAPKKARGEVIGNTKKVAEMVLVLAALGKMRGGRVPTAAEREMMAEARESLAQVCQSFAPKDVFPRDAFGAVMEDLGLNKLKDQRLGFRPPKVSIAEKLLIAKEKLEKTEEFAVPAATYSSQRPQSNMAATIENRGPSHVRMFPHNKANHAVNSSGSLQSASPLVHGTPASSAPLPYQLPTSEVRPVISSGVVSGNPVRDSSLVGLPRVDRPSFRMDGRPNGSSHVLQVQATSGDHSAIRTPTWSVQPASVAAAKRGPDTRVTSQTGNKVEGGADVKSQMTANRPFITQTTAGNLPTTLPHLQGASFVKSPPLSSTHAEIGKIVQKFIQPRLSERPAWTPPSRDYISKALTCQMCKSTVNEVDNVLVCDACEKGYHLKCLKMTTQKGGPRGEWHCGKCLSMTNGKPPPPKYGRVMRNFNAPRISTIASVVQSSPDKKASGLYEKVILQKFVPNGKVPLKNSPPVTMENNDRNLPSEPMMRSEKEMGGNIIVSGKENMESKDSMRSCSNNVTVSSSDQYLSTSAGSPVNTSSEEKVVELKPQAHPETVCHSSDPSQALNHLQRNDHEEVANTAAMPLKLVGETQPMISRSNVGNSSSNESKNEEQAVEKINPAETAVAANEDAECSSSSFDHFQNVDWVGNVLQVADDKYYYQSCRINGFIYSVQDYALIRFENERLIPSKLLAMWEDKKAGTKWVSINQCYFARELPQSVGRPCLENNNEVYLSTYSSIVMAGLIQGPCEVHPPRKFTEESERRARLAKGSNDVSQPLYICKWIYDESKGLFRDVSC >Solyc02g038690.1.1.1 pep chromosome:SL3.0:2:31798713:31799117:-1 gene:Solyc02g038690.1 transcript:Solyc02g038690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:K4B5W3] MAPKAEKKAHAAVAEKSKAGKKLPKDGGAAAARDKKKKRSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc09g042290.2.1.1 pep chromosome:SL3.0:9:22988855:22990027:-1 gene:Solyc09g042290.2 transcript:Solyc09g042290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYNSLLDAMGRARRPWQAKNIYGEMLSNGFQPSWGTYASLIRAYGRARYSEDALKIYKEMKEKGLELSVVLYNTLLAMCADVGLTDEAVNIFEEMKSSASETCQPDSWTYSSLITIFSCSGKVSEAEFTLNEMIEAGFEPNIFVLTSLVQCYGKAGQTDDVVRTFDRLSDLGLSADERFTGCLLNVLTQTAKEDLHKLTICLERANPKLGYMVKLLVDDEVEEEEGAFKKHAAELLDCATTDVRKAYCSCLIDICVNLNQLERSCELLDVGLTLNIYTDIMSRTATQWSLHLKSLSLGAALTALHIWVNDLNKALESGEKFPSLLGINTGHGKHKYSEKGLAGVFESHLKELNAPFHEAPDKAGWFLTTKVAATSWLESRCAQEVVAA >Solyc07g047950.1.1.1 pep chromosome:SL3.0:7:59301632:59303269:1 gene:Solyc07g047950.1 transcript:Solyc07g047950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASRRRRTTMSNMLYCEPVQKAEAYYLPQFQTLESHLSCINGSLGGNHSFQTYHDRYCMLEASSATGSNAVYHSPSTASFSSNGSTTCNQESQLYLSDVRQSPETTNYGSPISGSCITDDVTDFMHKLKELETAMLGPDADFQESYDNSLASSIASSEIDSWRQMMLAIPRRDLKQVLIACAKAVSDGELVTAQVLISELRQMVSVSGEPIQRLGAYILEGLVARLGASGSSICKSLRCKEPASFELLSYMHVLYEICPYFKFGYMSANGAIAEAMKDENRVHIIDFQIAQGSQWVPMIQAFAARPGGPPHIRITGIDDSTSAYARGGGLDIVGQRLSKLAKTFKVPFEFHPAAMSGSDIQLKNLGIQPGEALAVNFAFTLHHMPDESVSTENHRDRLLRMVKNLNPKVVTLVEQESNTNTAAFFPRFLETLDYYSAMFESIDMTLPRGHKERINVEQHCLARDVVNIIACEGIERVERHELLGKWKSRFRMAGFNPYPLSSLVNATIKTLLESYSDKYRLEERDGALYLGWMNRDLVASCAWK >Solyc02g021067.1.1 pep chromosome:SL3.0:2:22045789:22049426:1 gene:Solyc02g021067.1 transcript:Solyc02g021067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQQLCDVESFMILEEIVVHSYIVLICFFMAIYSMLLRKQSTNRRGIRYCMSARIQKILSHLNVLIRDNDIVCIDKLRMDRNAFHILASLAKNIGGLTDSKNMSSTEKLAMFLNILAHHEKNRSIKVDYIRSGWSVSRAFNECLRAILKLTPVLLVKPNPVLEADSDDRWKWFKGCLGALDGTYISIRVEAIYKPRYRTRKGDIATNVLGVCDRNLNFIYVLPGWEGSAADGRVLRDAVVRRNGLKVPHGNYYLCDGGYTNGNGFLSPYRGYRYWLKDWQGDNPSPRCREELFNMKHARARNIEMASFPAATSNTSRKRAKKSTPSCRRIWTPEEELTLIDGLKEFCVNGWRGDNGTFRHGYLMELEHYMNARHPSCGLKSLPHVDSKIRAWKKSYATISLLKSRSGLGFQYSDGSILVDYPKAWDDLIKVDPNAKSMNLKKWPLFADWEEIFGKDRATGEFAEGPEDKRMATQSPFTSQAEPNESTGAAQSSFTATKGETHQSQKKGNCFKASSSKVNEKGRCKKRKTVEDDNETVLKGLMEVMKQFTESHDKRMASLIDKLGERDLSEIRGKIFSIIGSPAYEIYNSDERVKAAMGITQDIKRMEFFLSISELERHSMIWMIINDKL >Solyc01g010390.3.1 pep chromosome:SL3.0:1:5199220:5206477:1 gene:Solyc01g010390.3 transcript:Solyc01g010390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKRGIDVWALIFLIFVISFINTNSAQNFSRNNFPRDFVFGTASSAYQFEGAVKEDGRGQTIWDKFSHSFGKVIDFSNGDVAVDQYHRYLEDIQLMKDMGMDAYRFSIAWARIFPNGTGEINQAGVDHYNKFINALLANGIKPYVTLYHWDLPQALEDKYTGWLSPQIIEDFAIYAETCFKKFGDRVKNWITINEPHTVAVQGFDVGLQAPGRCSILLRALCRAGNSATEPYIVTHNLLLAHATAVDIYKKKYKPTQHGSIGISLDSFWYEPLTNSKDDIEATQRAIEFNLDWYLEPVILGRYPSSMVERVGSRLPKFSPTESALVKGSYDFIGINHYTTWYASKNKTNIIGALLNDSVADSGAITLPFKGIKPIADRASSIWLYIVPSGIRSLLNYIKQKYENPLIIITENGMDDANSIFTSREHTLKDTKRINYHNDYLTNLLDAIKEDGCNVKGYFVWSLMDNWEWAAGFSSRFGLYYVDYKDNLKRYPKDSVNWFKNFLGSA >Solyc02g069870.1.1.1 pep chromosome:SL3.0:2:40250391:40250540:1 gene:Solyc02g069870.1 transcript:Solyc02g069870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINRIYVAWSEKPEVVQIFGREKAVAILARSLCGPLDWYCQLKINTNCF >Solyc02g032280.1.1.1 pep chromosome:SL3.0:2:28576726:28577256:1 gene:Solyc02g032280.1 transcript:Solyc02g032280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVDGGSKEESCITDFECIDVEEREKEEAFRKNPFMCEHSVDHYYYLLARAKRSRIFRRNNPPPTVMPSQSMSQKKGLKIRIYLKSKTIEEVDQDLSMEKNKTQTLFGEKQRKLEDREKKTETVLDEKQSKAKDRKRKRRKIYKPIIDPPVLPDELKEMISGMGVQISQVKLVI >Solyc03g070380.3.1 pep chromosome:SL3.0:3:18333802:18338612:1 gene:Solyc03g070380.3 transcript:Solyc03g070380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMMKQGKHFVLVHGACHGGWSWHKLKPLLEGAGHKVTALDLAASGIDLRKIQQLHTLYDYTLPLMELMESLPQEEKVILVGHSLGGMNLGLAMEKYPKKIYVAVFLAAFMPDSIHMSSYVLNQYYERTPAENWLDTQFLPYGTPEEPLTSMFFGPKFLAHKLYQLCSPEDVALASSLVRPSSLFLEDLSKEKYLSDEVYGSVKRVYIVCTEDKGIPQEFQQWQIDNIGVTEAMEIKGADHMAMLCMPKQLCDTLLEIAHKYN >Solyc01g088470.3.1 pep chromosome:SL3.0:1:83136602:83139004:1 gene:Solyc01g088470.3 transcript:Solyc01g088470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSLAPLSFSLSSELLPSHKPNSLSWSSSFPQLRISASSIPCPSNLSFHKKFVVEAAWTRRSRSEAAKKPNRKSWKQRTDMYLRPFLLNVFFSKRFIHAKVMHRGTSKVISVATTNSRDLRNTLPSLTDNDAARVIGKLIAERSKEADVYAIAYEPGKNERIEGRLGIILDTIQQNGIIFV >Solyc02g082390.1.1 pep chromosome:SL3.0:2:46626393:46627406:-1 gene:Solyc02g082390.1 transcript:Solyc02g082390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWPEFLESSNPQEPTIDLSQTNRWTPEEKQVFENAFSRFNNLGSQTFFEFVASKFPHKSMEEMKNLYINLFKEAEMENPSINTNVVDNSSDKEANPNDTPPPPVQITHSRPHRRGIPWTEGEHSLFLMGLNKFGRGDWKSISRHYVVSKTPTQVASHAQKYFCRRNSKTPVDRRRPSINDIQTVSVNSTRTTTTTASNNNDQVGSSSRPFRYANYTFGGPTFANNSNGNFGIYHPGEASGSNSFIMKQNNNNNNDLHRPVSPRPFHSMYLSSTKGNNPQV >Solyc11g005360.2.1 pep chromosome:SL3.0:11:291287:295706:-1 gene:Solyc11g005360.2 transcript:Solyc11g005360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKEQVESSLRAKLNPSHLEVVDMSGGCGAKFSIVIVTEQFEGKRLLERHRMVNGALAEEMKEIHALSITKALTPEQWKQQQESEKPQAAA >Solyc09g010930.3.1 pep chromosome:SL3.0:9:4264079:4269546:-1 gene:Solyc09g010930.3 transcript:Solyc09g010930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTRVSPYQSHKLSLVNQRLPELSSSLVKNTSSFVSFPKKNKKFCRFSVLAMADLNTSTVLVTGAGGRTGSIVYKKLKERSETYTARGLVRTEESKQKVGGADDVYIGDIRNIESLVPAIQGIDALVILTSAVPKMKPGYDPTKGGRPEFYFEDGANPEQVDWIGQKNQIDAAKAAGVKRIVLVGSMGGTNPNHPLNSLGNGNILIWKRKAEQYLADSGIPYTIIRAGGLQDKEGGIRELLVGKDDELLETETKTVARTDVAEVCIQALQYEEAKFKAFDLASKPEGTGTPTKDFKALFAQISTRF >Solyc05g010680.2.1 pep chromosome:SL3.0:5:4897444:4900852:-1 gene:Solyc05g010680.2 transcript:Solyc05g010680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVSSTIASKLAFFPPEASYQVDIDEQTQKLKLLGVSQSEKGEVSIVQTKKGHYIFSVFVRNKGATMTLLYSHGNAADIGQMFQFFVELSDRLRVNVMGYDYAGYGRSNGEPSEQNTYADIEAVYKCLKEIYEVKEEDVILYGQSIGSGPTLELATKLPQIRGVILQSAILSGLRVMYRIKYSLWLDIYKNIDKIPNVSCPVLVIHGTEDDIVDISHGKKLWELSKMKYEPLWVKGGNHCDLQVFPQFFTHLKKFIISLERQSDYCQTVEESIINLDYTLDITNEIKCRPSIEEIEKSSRISTEQKDQIIPIRPSTDSKLKPRISFDKREKPRRSMDYFGKSRSSTDQSERGRNSIDRFGDMMRSVAMCNIDCLKQTLAEED >Solyc08g042060.2.1 pep chromosome:SL3.0:8:23979349:24007332:-1 gene:Solyc08g042060.2 transcript:Solyc08g042060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGYGMSRVKGGVSACGFGTRLKFTLFSHK >Solyc09g091390.3.1 pep chromosome:SL3.0:9:71151127:71152192:-1 gene:Solyc09g091390.3 transcript:Solyc09g091390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNWYIVLAFALVVLVHVVTARNIPQAQTEGVTVNTEIISKAPTPSKGLDDKKNFISFGGVGGWAGIGGGFGGVLPTFGGIGGAGGIGGASGIGGLGGLGGGVGGLGGGGGGGLGVGGGVGGIGGIGGIKP >Solyc10g051260.2.1.1 pep chromosome:SL3.0:10:51745108:51746084:-1 gene:Solyc10g051260.2 transcript:Solyc10g051260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFLYLCVIMQHSIIKWLSTETSTKVAIKVLHCSY >Solyc12g062480.2.1 pep chromosome:SL3.0:12:33426154:33443294:-1 gene:Solyc12g062480.2 transcript:Solyc12g062480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSAKSLTIAELVRECRPRTGAWSLISSPCLRIPSPQFLPSLTTPTLCSHKILKSLNYPTLLTGILFLPPHGDGHDSPLNCNCFRFSDGYDTICCDIIGFNPSMINKKVQILGWNFIPFNCNANANANGGGFLEIIRWAFLDSTSASSDTFSILSGSCVDQYYTKKPGYKYFVCGLVESVSPISVVPCRVGSTADTENLRGFLVNILVCGCKLCNSKYNISFDMRNSNDHCYNKPEIVYFCGSASSWHPVLSRLIKRNVSISGLKKRLVFVGKKVSQLMYVVVDNSLMHIPKLPLPLRETDVRGKGELVSYTGTVTGIYMRGMIVELDNELLLLLTDQHLSVPHSVRVGAMVSVKNVHVVNPKFSWTKTLILGSCVKTSISVECFSSLEAGCYTVTCCESLLAKFIDSLVFVARLWVLLVIICLRRKFSGILSEKEILGSTNRKGLAQTYATSYLPLSVFQIRHGVFMEFVKHDRCACGRERSSVSLKLVAPIANLINSCEGTWMKMICHQDTDFDIMGTQKESNSISCDGRQYVLSIRKTIHSEDIGVSLLGILKVSQSSGRMLLVDATGSIDVIIPDLPSSLNINNIYEVRNFLAIMEDIPMKLGHVDLLQNEPFTCRSIFVNAPLVREMNMPLLLYYNLRNLNPVHHFTTSAHSQVDFPKVGRGKYHLLQLMHKFPILQKHQFQGSQHASNTSSTFTEALILPWDLLIAGNNIDTCIEEPLIDQLKQPMKFFNRMEIGKLIACKRQKPDQLSNNALTSALNDTGNEPSYSSSHPAYACCPEEIPCLVTGNCVNYPFLGMLHHTDTRTDMGSCSKPQVRRALLEFKSEALFVYERLKIGGHYLINHQKEDMFGTDAIVVNSGTYIWSISFSSANVHQNFDVSCLLQQSGSFLSHNNDLPEGYHQFQIPNSLPNGSNDISSDVNLYMPSDVTNLFNVNLVLLENCSLEPLIPFGEMTNICPSDHNLPEGNLTSIHGQIKAVHCSDGKSYAAHLRCESIYGVCPSLFLEGTISICVHVLMDHKMVMIFGSANKPAYPAGFGRGVTASFHRVLALSAQDNFMLIPTSFIVINPSSLINDDSVDAHTYKSAALDLDGGSPFYANTASLIADTVSCLETQQVEFHCRVFLSHEEDQRNMGLEGQSKKETMEGGFGGEMEGLY >Solyc08g016780.1.1.1 pep chromosome:SL3.0:8:9310412:9310666:-1 gene:Solyc08g016780.1 transcript:Solyc08g016780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQGRDRDNTTRAKKFSNRGRGSTSLVNISIVPIPITSSQQGSISSLVDQIISFKFRHYFLLVRLLFKHQIMVVRHHIIFNKTL >Solyc10g076170.1.1.1 pep chromosome:SL3.0:10:59077310:59077846:1 gene:Solyc10g076170.1 transcript:Solyc10g076170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHAIFQKSKRSSPKRNLVTTRKKKWCMIRPALDKCFIFIVSFSVGLSSEDNSRLADYLARLGEQLEIGKDADTVQLKNSPSLQDFQPIRLPKQTLSMESLFLFEHAHKTRKKVKNWASLDAFKFCTENCVPYSSFGSIPLTGPFELPVRLDCSLGGHCGATFRTVAESMGRKDRTR >Solyc07g007520.1.1.1 pep chromosome:SL3.0:7:2205747:2206583:1 gene:Solyc07g007520.1 transcript:Solyc07g007520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKFFISPLIFFIITCGAIFVQSEITRIPKRPYLRGNPGLLPLGVTFHKAISLRVSDSYVVNPSVSKNSDTNSIVSKRPYLRGNPRLLPRGVAFNTPSSPRFSKNHVVSPPVSKNFNTNTIVPKRPYLRGKPGLLPRGVTFHKAISPRESENHVASPPVSKNSNTNSIVLNRPYLRGKPGLLPRGVIFHRAISPKIVENRVVSPSVSKNSNTNSIVPKRPYLRGKPRLLPRGITFHRATSPIVSENHDVSPITTKISNINSMVPKKTYLKSNYEILP >Solyc03g078405.1.1 pep chromosome:SL3.0:3:52288928:52293993:1 gene:Solyc03g078405.1 transcript:Solyc03g078405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWAARLQTPMKIEKRMRDIYKFGPFVCRMTFVFDRLECAAIRIRSVLLVAFAFCLLAVGRKTEAAGKLQSADLSNSIHILYADIQVERRTEDQIRNLTQRPFVSAGFKEDPIIRISLGQDESGTQTFENKNGYYPRPFPQSMVEDSENMVFRFEEVELMSTIEEILISYESVSITGGESFWYPRLQGLREEAPYAPGRVLRKLGGKQELPQIADMRKFVTDHENGQVAFSEDMRRMWRSRRVLGEPVPDRFRLECSREYKEWLKKSLAGTIEPGLNVSHIIADVGAKHQVRLHRLQEKFDKSELEHQRRHSEDAKVIARFKQELRRARQCMTELDDNMERQIQSVEGFRHQEGARLGRNHLWANKYAMWEE >Solyc11g013293.1.1 pep chromosome:SL3.0:11:6185953:6228393:-1 gene:Solyc11g013293.1 transcript:Solyc11g013293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPIEEAIAALSTFSLEDDQPEVQGPGFWVSAEGGATISPIEYSDVAAYRLSLSEDTKAINQLNTLIQEGKEMGSVLYTYRSCVKALPQLPDSMKQSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERRINGPTVTHLWSMLKLLDVLIQLDHLKNAKASIPNDFSWYKRTFTQVSVQWQDTDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFIVESLELNFALLFPERHTLLRVLPVLVVLAASSEKDSESLYKRVKINRLMNIFKNDPVVPAFPDLHLSPAAILKELSTYFPKFSAQTRLLTLPAPHELPLREAQEYPFSVSDL >Solyc10g080020.2.1 pep chromosome:SL3.0:10:61562349:61568721:1 gene:Solyc10g080020.2 transcript:Solyc10g080020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSRSTAVEMETTIDAINDDLLHNILSRLPALACANAACDAVNEAVDKVLSKPIRPQFAIASVGPSFSLQQAHQLITGKLGSRIPIVTLISQGIFGCNAVDDEFKEVQWQFIENDEDHPDHVNENHGVLLTVGFLPGLKVSLIPLLSKTRGTQALMIDELVRNIRECSSSVSGSASPVAILLFSDHETDMKPVLQKLDYAFCQETVIVGEGGSQFLYQGEAAVDHSNDAEYSSAAVALSFLRDTGNPPGVGETQFHVVLAPGMAQIGPTYKAVAVRERPRDFSTWLTAKREAQVESLDGLTMFDQIYDEYGGDLYCSALYIGVSKRRKCSIGKEKASWIYLQEFHEVLRGDEEYLYVNGVGIRSGDSFQFYLANANATRDSCNNASNNITRLKRDLDHQNAGCSTYKKSVFGCLMFSCCGRGEFFLQPILDCSPFVDNFPGITFSGTFSAAEIARGDLSQYGPVSEERSSLRCCLHVYSTVYLIMSFTPASPST >Solyc08g065725.1.1 pep chromosome:SL3.0:8:54080637:54080849:1 gene:Solyc08g065725.1 transcript:Solyc08g065725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCSSQFSCFVKIFETHYGRLTVYERKHVRGIANICNAGIKSEKMVSMSAQACSS >Solyc04g051830.3.1 pep chromosome:SL3.0:4:51075180:51087299:-1 gene:Solyc04g051830.3 transcript:Solyc04g051830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKKKPKIKQQRRKTLKTHWRKLLILSAVFTVPLFALLFRRNSPAFKSATMATVMQKIKDIEDEMAKTQKNKATAHHLGLLKAKLAKLRRELLTPTSKGGGGAGEGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIMYRGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNMTFRKKEKGGINLTSTVTNTHLDLDTVKAICSEYRIHNADVHLRYDATADDLIDVIEGSRVYTPCIYVVNKIDQITMEELEILDKLPHYCPISAHLEWNLDGLLEKIWEYLSLTRIYTKPKGMNPDYEDPVILSSKRRTVEDFCDRIHKDMVKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >Solyc06g064750.1.1.1 pep chromosome:SL3.0:6:40466310:40468601:1 gene:Solyc06g064750.1 transcript:Solyc06g064750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGTCGKRTSSSGHTSNKPSNITSIYDDDDDVVGFEIHAQIIVNKLIRGTKERNVISIYGMPGLGKTTLARKVYNNPSILNYFDVKAWCAVSQAYNRRTLLVEIFKQATSNKIKIKEDDDVADMLRKVLIGKRYLIVLDDIWDVEAWEDLGICFPQGECGSRVMVTTRIEQIAKHLQHHSDPPYSLSFLTSEESWGLLEKKIFRGESCPPDLVEAGLQVALHCKGLPLTVVLIAGILGKTEREASLWLEVAADLSSLALGQQSMKVIQSSYDHLEDHLKPCLLYMALFPEDHKIPVDHLLKLWIAEEFVLNAGTENMEEACRVCLSDLLNRSLIMVSGMRFYCDVQYYSLHDVVREFCLRKLTEDKYMQLAVPFNPYQHLHSTISRLYIYIHDDLVKQLDHSNYQLDKIPMLDFKETNSLEFIAHPKLNVWNNQYSNPLDLVVKLRFVRALDLMDVELPDSWATAIQSLTELRYLALCVKQFELKWISHLHHLQTLWLKSSEKLRLRAATLWEMMKLRHVNIDCFSVAWEDNEQESSPTTLLENMKSFGTCNIHFDNMNARFWWRFPNLEELCLSVKDVPEFPYFPIAEVHSHLHFLSLEFPLMELFDSFGWERFCVFPSNLRHLDLAKCLLTEEMVLNIARLKKLESLKLQMGFPSMRSYCWDVTNVEFPALKYLGLFFMQIEEWKASEESFPVLEKLVISAWPGFREIKEIPPSFADILTLRRIELFDCTDSLGVSAMNMKREIEENTGCDSLQVVIQK >Solyc07g039580.1.1 pep chromosome:SL3.0:7:48188781:48189434:-1 gene:Solyc07g039580.1 transcript:Solyc07g039580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVTHVKKSTNPAEEERCIERRPKEIHMEGNIGFLNAELAAVANRERKREVEIATSKEAENKRYKVLEAQLTFNFESGNILPLCPASSDDGVDYEGDENFKGEKESYADNE >Solyc11g005670.2.1.1 pep chromosome:SL3.0:11:494930:498128:1 gene:Solyc11g005670.2 transcript:Solyc11g005670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDL >Solyc05g050000.3.1 pep chromosome:SL3.0:5:60674231:60707049:-1 gene:Solyc05g050000.3 transcript:Solyc05g050000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNQQHNKRNQPEDMATTTATATQKRKRKEVAVFGNYRNYYGYRRYPVYDNRQTTLRSPVEGSRPTSEKCMSRGKGMLSTGLESSNYKVKIGQNLEEDPRLKAMKKEWFEGKDCLDIGCNSGVITIAIVTFFIPAQKFNCRSILGVDIDDARIQDAYWTLRKAVRSTGAVPAGVAKSTESKNVTGIESHEAESPKKPANIDCTESHHVQEANLFDIVSFRKGNFVQNWHLVENTSYDTIICLSVSKWVQLNWGDEGLITLFSKVWRLLSPGGVFILEPQPWSSYYSNRLVSETTRINYQEIKIRPEDFQDILLDKPKQCTKQPYKKTSSIGIGFHTNSKQPIDKKYKSKFMNQTGRITQYSWARIVGLACAGLHFHINKMEKTSWLLVVVLGMVVISLLGGAEGRKSRILDESSYYDLEYSAISCRAHSASITDFGGVGDGKTLNTKAFQEAVNRLSQYASDGGAQLIVPAGQWLTGSFNLTSHFTLFLHKDAILLASQDINEWAVIDPLPSYGHGRDTSGGRYISLLFGTNLTDVIITGENGTIDGQGEIWWQKFHSKKLKYTRPYLIEIMHSDNIQISHITLVNSPSWNIHPVYSSNIIVQGITILAPVKSPNTDGINPDSCTNMKIEDNYIVSGDDCVAVKSGWDEYGINYGMPTSQLIIRRLTCISPYSAAIALGSEMSGGIQDVRAEDITAINTESGVRIKTGVGRGGFVRDVYVKGMTLHTMKWVFWMTGNYGQHADTHWDPNALPEIKGINYRDVVAENVSMAARLEGISGDPFTGICISNVTISMAKKAKKYPWTCTDIEGITSGVQPPPCQLLPDQGPEKTEMCSFPTENLAIDNIEMQRCSYRLNY >Solyc06g062450.3.1 pep chromosome:SL3.0:6:39521435:39523222:1 gene:Solyc06g062450.3 transcript:Solyc06g062450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKGFLFVWLIAFSLATIAVAQNNQTGFISLDCGIPARSNYTDITTGLFYTSDVGYVSGGVKNNISSKYQSNSLERQFLTVTSFPTGTKNCYTLTPAQGNSGRYLIRASFFYGDYDNGNDRFPYFDLYIEEKYWITISIYNASIPIQEEIIHTPSNASINICLVKLDTTTPFISALELRPLNNTIYKAILNSSMELFIRLDFGSLTNQYVRYSNDAWDRVWRPFQLPGTLIINTTEEILQNTFQLPLSVMSTALIPDPETDPSPDTLTFFWYSTNATDKYYLYFHFAEVVKLSETETREFSIYVNDNLYYGPLSPAYLSTTTVYTVNPGSGIERYDVLINKTESSTLPPLINAIEIFRELRGYKPDT >Solyc01g081600.3.1 pep chromosome:SL3.0:1:80646780:80647809:-1 gene:Solyc01g081600.3 transcript:Solyc01g081600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:K4AY52] MNSSNQAKLVLVPFTMTLILLLITPSSEGAITCGTVISSIKPCLSYLQGSGGKPPQPCCDGCQSLASAATTVPDRQAACTCLKNASQKIKINSQLAGSLPKSCGISLSFPISPTVDCTKIN >Solyc11g007700.2.1 pep chromosome:SL3.0:11:1934635:1941826:-1 gene:Solyc11g007700.2 transcript:Solyc11g007700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMANSFPIHRPAESTHHRLLDFVKNVLIGIFVSPYATVCDMYCGKVPDVEKWDEAQIGHYIGIDVEASGVNEVKEAWESQRKAYTSEFIELDLCIEDIESFWKDKEKQADVVFCMHNLQACFDSEEKARRLLHNVSALLKPGGYFLGITPDSSTIWAKYQKNVEAYHNRSGGMKPNIVPSCIRSENYMITFEVEEEKFPFFGKKYQLKFASDISAETQCLVHFPSLLRLAREAGLEHTEIQNLTDFYDDNRAQFLGMLQDAGHNFFDPRGRLLPRSYDVLGLYTTFIFQKHDPDIAPPLTTPFLPVGSHYLDEMEWQVILWNEEENGQTDSSIGLGKITEQKGILGPGPAELRFPEAI >Solyc06g051790.3.1 pep chromosome:SL3.0:6:35528135:35547246:1 gene:Solyc06g051790.3 transcript:Solyc06g051790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGYSLLEHTLQNILHSINPSEEDWSMRFQLIHELRAVVESIEILRGATVEPFGSFVSNLFTRWGDVDISIELPNGLHISAAGKKYKLSLLGDVLKALRAKGGCRKLQFITNARVPILKFQGNNNISCDISINNLSGQMKSKILYWINMIDGRFRDMVLLVKEWAKAHNINDSKTGTLNSYSLSLLVVFHLQTCVPAILPPLKEIYPGSMVDDLTGVRASAEKFIEETCAMNINRLMSNKSRVINRSSLSELFISFIAKFCNISSRASAQGISPFTGQWEDIVSNMRWLPKTYTIFVEDPFEQPLNSARGVSTKQLTRIEEAFRSTHFMLCSSNLNENEVISTLVKPHVSKFVARISGNQNNYSRNGLRPQLQGQRAIHPPLQAHHQRQAQRAIHPPLRAQHQPQAQRPINPPLQAHQLQDKRMNRNQNSTVQGPTQAIRVQTQTVWRPKSGV >Solyc03g098460.3.1 pep chromosome:SL3.0:3:62179562:62188183:-1 gene:Solyc03g098460.3 transcript:Solyc03g098460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Forever young oxidoreductase [Source:UniProtKB/TrEMBL;Acc:Q8W2K0] MANEEAEKKKNTSSSEAKQPLKTAKKKTLGWMEWLRGWFYLVYEMLFQRILASHLQNPMPLPPINDVTCIVTGSTSGIGREIARQLAEAGAHVVMAVRSTNRAQELIRKWQEEWSGKGLPLNIEVMELDLLSLDSVARFAEAWNARMAPVHVLINNAGIFSIGEPQKFSKDGYEEHMQVNHLAPAMLSILLLPSLIRGSPSRIVNVNSTMHYVGFVDPEDMNVVSGKRKYTSVVGYGGSKLAQIMFSSILHKRLPAESGISVVCNTPGIVLTNVARDLPQFLQVGYRLIPYFIFNAEEGSRSTLFAATDPQISEYCEMLKADDWPVCAYISHDCRPTNASEEAHNIETSYKVWEKTLELVGLPSDAVERLIEGEEIKCRYGNSQE >Solyc07g043687.1.1 pep chromosome:SL3.0:7:57791501:57794238:1 gene:Solyc07g043687.1 transcript:Solyc07g043687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCIVFEENFCLEDVYSIYWLCYHIHTYKKPHIALVHGLSVGGGLSLMVPMKFSVVTEKVFCSTPEANLGCHSDCGLSYILSRLPGRLGEYLGLIGAKLNGKEVVAPGLATHFVPSHKLFLLSIIDDCFSRETVEEILDSFEAEAGKKGNDWIMPVLKSIKKASPIGLKITLISIREGRIQTLSECLRREFRIMINTLTIISQDFYEGIRAAIIDKDESPKIVLLTNQEFRITIKSFRLKWNPSTLDKVHDEQLDLIFKPFDEHDLEL >Solyc04g007260.3.1 pep chromosome:SL3.0:4:976143:982109:-1 gene:Solyc04g007260.3 transcript:Solyc04g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylketone synthase IIa [Source:UniProtKB/TrEMBL;Acc:E0YCS8] MSQCIASPLIRSIGSTSVGNSLLPNHRPPSTLPVSPHRQLLLPNLQLSVSKLRSFRAHAFDLKGSQGMAEFHEVELKVRDYELDQYGVVNNAIYASYCQHGRHELLERIGISADEVARSGDALALTELSLKYLAPLRSGDRFVVKARISDSSAARLFFEHFIFKLPDQEPILEARGIAVWLNKSYRPVRIPAEFRSKFVQFLRQEASN >Solyc11g018700.2.1 pep chromosome:SL3.0:11:9107427:9107955:-1 gene:Solyc11g018700.2 transcript:Solyc11g018700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFREGASKTSTVLTLVWKHGRIEILDQNTMYGWYELPKQEFLNSKQPVQIFTTKKYWILFRIGPERRRKAGMPIGVYYIEFTR >Solyc08g078170.1.1.1 pep chromosome:SL3.0:8:62117691:62118404:-1 gene:Solyc08g078170.1 transcript:Solyc08g078170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFENIDFENDYAFLQSIKLQLLEDWEWENPLTSSDNSISTYSRNNSIESNTFSNEFDYSTDNLSNDFDCLTDKFLSDLINDNGFGYGSDPVIPNVKSEPEIWNFAEFAAAAEVRVEPPAQSVVTAPPQRLPTARHYRGVRQRPWGKFAAEIRDPAKNGQRVWLGTYETAEDAAFAYDKAAFRMRGSRAMLNFPLRVNSGEPEPIRVRSKKSSMSPECSSSSSDNAPGKRRKKVPQAV >Solyc06g063240.3.1 pep chromosome:SL3.0:6:40058688:40068257:1 gene:Solyc06g063240.3 transcript:Solyc06g063240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDPRQIVAGVLTVTMFVMLGNMITRDHFYPVDSDISIHSTSKQSLVTGPWMKGGESVKPCWTKPVPQEADQSQGFVTFSLTNGPEYHVSQIADAVIVARYLRATLVIPDIRGSKPGDKRKFEDIYNVERFVRSLDGVVKVETTQPAEVSSRNLAVVKVPNRVSEDYIAENIEPVFTSKGNIRLATYFPSVNMKKTKEKSNTDSIACMSMFGTLELQPEVNEVVESMVERLRTLSSKSKGQFVAVDLRVDILEKKSCQGDSSLKSKSCYGPEEIGMFLRKIGFNKDTTLYLTQSRWDSSLDALKDLFPKTYTKESIMPIDKKAKFLDSESSELEKVIDFYMCSESDVFVPAISGLFYANVAGKRIASGKTQILVPADIPGSSAASTDYISHYVSKKNHFAYSCFC >Solyc10g048010.2.1 pep chromosome:SL3.0:10:43429884:43434856:1 gene:Solyc10g048010.2 transcript:Solyc10g048010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEYTCCESEFFNHIVIIVFLVIFAGMMSGLTLGLMSLSLVDLEVLAKSGTPSDRKNAAKILPVVQNQHLLLCTLLICNAAAMEALPIFLDSLISAWGAILISVTLILLFGEIIPQSLCSRHGLTIGATMAPFVRVLVWICFPIAYPIGKLLDYLLGHQNSGLFRRAELKTLVHFHGNHAGKGGELTNDETTIIAGALELHEKRAGDAMTPISDIFSIDIDGKLDRDLTNLILEKGHSRIPIYFDQPTNIIGLLLVKNLLTIHPADETLVRNVTIRKIPRVSYTMRLDDILNEFQKGHSHMAVVTRECNKKTTDQPASNSALAHNSVEDVIVDIDDERPVPEKITATSSQKGKSSLPNGGNNNSARRIRNRSRMSSKDMYADILRIDENDLPNIPDDEEVVGIITMEDIIEELLQEEIFDETDHTKHL >Solyc05g014910.1.1.1 pep chromosome:SL3.0:5:9115248:9115547:-1 gene:Solyc05g014910.1 transcript:Solyc05g014910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKTPKTICTDQASSIAFAIKEVFPGTCHRLCEWHIDRNAQKNIPQLYFKSGFRYCFGTLLWRCNSESEFELIWKKMIDDWDCASNTWLQKFYDLRKK >Solyc12g044310.2.1 pep chromosome:SL3.0:12:60135623:60140079:-1 gene:Solyc12g044310.2 transcript:Solyc12g044310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVSIHFSIFPLFFIVFSSSFFSLKSQVSSSMELSTINSSKTEDHHNKILSHNDNPTLPTMQLQRSKGGLVTMPFIIANEALERVATFGVLPNMTFYLMKEYRMGVTNAQNLLFYWSSATNFLPLIGAFIADSYLGRFLTIGFGSIFSLLGTIVLWLTAMIPKARPPPCDLLVSSQACKSATTAQYTILISSFMLMSIGAGGIRPCSLAFGANQFDKRDNNNTKRVLETYFNWYYAAVMISVLIAMTGIVYLQDHMGWKIGFGVPVIIMFFSAFLFFLATPLYIKQNVINNLLGRFVQVIVVAYKNRKLSYPEQNSGYHYNKGSELVVPTSKLRFLNKACIIKSPEDVKQDGVAVDSWSLCTVEQVEELKALVRVMPLWSTGIMISINLSQSSFPLLQAKSMDRHITKRVEIPAASFGVFTVIALTVWLVVYDRVILPLGSRIRGKPFRIGTKERMGIGIFFSCMAMLLSGIIEHIRRRKSINQGLLNNPEGIVAMSAMWLVPQHCLNGIAEAFNAIGQIEFYYSELPKSMSSIATAMFGLGTAVANLLASVILSNVDSITKREGKESWVSSNINRGHYENYYWLLAIMTCLNLVYFMVCSWAYGPCVESRSKKMDEKDGEMELENVS >Solyc02g091400.1.1.1 pep chromosome:SL3.0:2:53326796:53327260:1 gene:Solyc02g091400.1 transcript:Solyc02g091400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKFCFFPPALIILTILAFSSSGNAQVSEVTTSNQALLYASPPLPNGCPYSCLPPPTPTDCPPPPSSPQPPPSGPVNYPPPMGYYLPPGGDFLPPPMYVYGPPPPNPILPYLPFYYKNPPPPQSDYSSAATHYVTSKHMKSKLLLLLTLLLIW >Solyc11g027760.1.1.1 pep chromosome:SL3.0:11:18865222:18865500:1 gene:Solyc11g027760.1 transcript:Solyc11g027760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPGSARKARLGSKKRGCATLLIHGISKITLKVVVFHLRLSAPTYTTPLKSFDKVGLESSSKGSSFPADSAKPILLVVVSLDSRQAQRESR >Solyc02g050240.3.1 pep chromosome:SL3.0:2:4585716:4593387:1 gene:Solyc02g050240.3 transcript:Solyc02g050240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQWYENGKLLKKKNTFTDFIACAEYLIDKKYCSKEKLCINGRSAGGLLIGAVINMRPNLFKAAVAGVPFVDVVTTMLDPTIPLTTSEWEEWGDPRQEEFYSYMKSYSPVDNVKAQNYPDILVTAGLNDPRVMYSEPAKFVAKLREMKTNDNLLLFKCEMGAGHFSKSGRFEKLQEDAFTYTFILKALNMLGSEQQ >Solyc01g058280.3.1 pep chromosome:SL3.0:1:65883415:65887053:-1 gene:Solyc01g058280.3 transcript:Solyc01g058280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVEFQKGAMAGHDNSNGSTEKSTSGMDDLPTFNAENMQNNMKVILYSRTFMAIIGGVIAGILGLTSLTGFIFYFLVMAITSVALTAKAKFSIHSYFDSWNRVLLDGFFGGLMSFVLFWTYPCLL >Solyc01g097090.1.1 pep chromosome:SL3.0:1:87917439:87919169:-1 gene:Solyc01g097090.1 transcript:Solyc01g097090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKQANKTIVYFRKRKTNETVKEVANVVASSAQQGIIKAKSNWAGWVMTHHLAYLDSTNISPSKLWAWLDFQGVDTMGIFTGHNNRATLCHFHTLLNSLIIRYHLEIIK >Solyc01g044240.3.1 pep chromosome:SL3.0:1:42437881:42438193:1 gene:Solyc01g044240.3 transcript:Solyc01g044240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDFLREESSRASLYFYELRWIDLLVLPQHREKEKDRLFQATKSGESREEMLHTGVEGLHIERVK >Solyc10g052869.1.1 pep chromosome:SL3.0:10:53886004:53888228:-1 gene:Solyc10g052869.1 transcript:Solyc10g052869.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSAIIQISCCIFPLKHSKAPSTNGNVVKRFNKKETLSEIFFARNFNNFDRYIRLIKVRGRRRSALIMLELIFNSGWTLIAEKMRNFMPNKRRRPAETTTV >Solyc06g018090.1.1.1 pep chromosome:SL3.0:6:16926007:16926381:1 gene:Solyc06g018090.1 transcript:Solyc06g018090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGTITSGLHIGHNNSYPIFHVFTGHSNPWLHRGHNNPYHFFTYIFTVHNNPYYFFTGHNNPWLHIGHNNPYSTFHVFTGHNNPLLHIGHNNPYHHFYVFIGHNNPWLHIGHNNLWIAYRAQ >Solyc06g075560.1.1 pep chromosome:SL3.0:6:47059012:47060723:-1 gene:Solyc06g075560.1 transcript:Solyc06g075560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSSQRGVSNLRRTVTWLFITLLIIYLLYSFSLILNKDSPECTNSVSSSSELSTKESISENSTSISLKENRGEPETGLKHIVFGIAASTNLWDKRKEYIKLWWRPGEMRGVVWLDKNVTIKKNEDLPEIRISENTTKFLYTNRQGNRSALRISRVVSETIRLGLKNVRWFVMGDDDTVFNVDNVVRLLSKYDHNQYYYIGSSSESHIQNIFFSYAMAYGGGGFAISYPLAKELEKIQDRCLQRYPGLYGSDDRIQACMAELGVPLTREPGFHQYDVYGNLLGLLGAHPVTPLVSIHHLDVVDPIIPRMSRVDGLQHIFESMKYDTASIMQQSICYDKQKYWSISVSWGYVVQITRGNISPRELEMPTRTFLNWYKRADYTAYAFNTRPVTKHPCQKPFVYYISAAKYDRNKNQIVGIYHRHRESYPYCRWKIESPESISAIVVLKKPDDNRWQKAARRDCCKVLPSNNSYLYIWVGKCREGETSEM >Solyc03g096850.3.1 pep chromosome:SL3.0:3:60665430:60667383:1 gene:Solyc03g096850.3 transcript:Solyc03g096850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIILLLQRTSFQGLSLQEAKRGVSNTFLGAESKRNATSNGGSRGLEITARKAAAAKNIEVEVDKPLGLTLGPKQGGGVLITGIDNGGNAARAGLKVGDQVVYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVISRGADVDVKRLPKRPAPPQFGRKLTDAQKASIDLCIIRFPEDYACPQCIAPKKRFARYDVNTGRAIGGGLPPIGVIIGLIAGIGGVGALLVYGLQ >Solyc05g054360.3.1 pep chromosome:SL3.0:5:65129547:65131443:-1 gene:Solyc05g054360.3 transcript:Solyc05g054360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNKVAIGSIASLLLVACVVAAAISTTSKRNEDNSSNNNNDSQISTSAKSVNVICQQTDYKETCVKSLAKANNTNDPKDLLKVAFGATINDLFDVIKNSALLINEASKDPRTKDALKTCGDLLDVAIDDVRRSFDEIGVFDATKFKDYADDLKTWLSASITYQETCLDAFENTTGETGEKMRKLLKNAGELTSNSLAMVSSFGQVLTDLNLKIPGVNRRLLNAHERMLNEVNSNGLKPNVVVAIDGSGQYKSINEALRAVPKKNTQKFVIFIKAGIYKEYIDIPKKMNHIVFIGEGPTKTKITGNKNFADGIGTFQTATVAINGDNFMAKDIGIENTAGAIKHQAVALRVSGDKAIFHNCQFDGYQDTLYVHAYRQFFRDCTITGTIDFIFGDASSVFQSCKMIVRKPMANQACMVTAQGRKDRRGVGAIVMQNCEIRAEHEFISTQPTIKAYLGRPWKEFSRTIIMQSLIDGFIDPQGWSVWAGDFALHTLFYAEYENRGIGANSNNRVNWRGYKKNIGKEIAAGFAPGVFILADEWVRLSGVPYESGLMKV >Solyc12g013590.2.1 pep chromosome:SL3.0:12:4429096:4448734:1 gene:Solyc12g013590.2 transcript:Solyc12g013590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSCNSRKKKGGLKDDFIDLVFSWSIQDIFDDTLYQNQVEKIPMSFESVDHYLESFHYSLLEEIRADISASLEVIDKAPFGELISFDEKPLGSLFFKVQVDYWRKLSGDGKEPYRTLPGDIIIISDAKPETASDLLRLGWNWTFAFVTRVNDGENDDSNASTSFAVKVATDIAISERKQKSLYIVYLVNVLPFKRVWSALRMRKNLNLIEKVLCSENEKQDEDKCDVCSASINDGLAGEVNDLLSKLNGSQAEAILTSIDSLKCRHKPSVELIWGPPGTGKTKTMSVMLFILSKMKYRILTCAPTNVAITQVASRLVKLISESFNSPSAEVDICPLGDILLLGNKDRLKVGQDIEEIFLDYRVDRLVECLVPVTGWKHCISSTSGFLEDCISQYNIYVDNELIKLKELSDQEEARKEKEKISSLIDFVKSRFKSTASSLRRCLLTFCTHLPLYFIREENFEKMLRLMSLLDCLEGMLFQDYLGSKDVEELFSCQQPIEVSSDALLDEWSLPCLRSQCLVLLKDVCQSLGELSLPRAMSKESIREFCIQKASLVFCTASSSYKLHPVDIKPFDLLIVDEAAQLKECESVIPFQLPGLRHTVLMGDECQLPAAVRSQVSEEAGFGRSLFERLSSLGHSRHLLNIQYRMHPTISQFPNSRFYNKQICDAPDVKHKAYEKRYLPGRCFGPYSFINVPLGKEEMDDVGHSRRNMIEVALVMRIVHNLYKGWGGSRTKLSVGVISPYAAQVLAIKGKLEQRYDNLEGFEVKVKSVDGFQGGEEDIIIISTVRSNLGGSIGFLSSLQRANVALTRARHCLWILGNEQTLLNSNSVWEALVLDAKERQCFFHAAEDNDLRTTILDFKKEYDQLDDLLNAESVLFKCQRWKVLFSDNFRKSFVKLTSSCLRKSVITLLVKLASGWRPKRKSVDTISESSSQIVKQFKVEGRYVVCSVDIQKESTYTQVLRVWDILPLEEVGKLLKRLDNIFSMYTDEFIKLCKEKYLEGNLEVPKIWKLCREISQYKSISSESQLNRESTGVEDGRSCVEHSRVSESLLLMKFYSLSSGVVNHLLSDQHGEALDLPFEVTNEEREIIQFSRSSFILGRSGTGKTTVLTMKLLQKEQQHHNSVEGLNKAGKEVHRFKGQADEDNQCVEEASRETLRQLFVTVSPKLCYAVKQQISQLKSFACGGSFSAENSLHEIDDLDGTTQFRDLPNSFIDIPYMKYPLVITFHKFLLMLDGTIASSYFDRFHLKWDLFEDRSLRSAALRSFIREKEVNYECFCSSYWPHFSTVLTKNLDHSRVFTEILSYIKGGLKSGDFHDGKLSKEAYISMSENRVSSISAEKRQRIYGIFQDYEKMKMERGEYDIADLVNDLHSRLKYQHLDGDKVDFVYIDEVQDLTMRQIALFKYICRNVEEGFVFSGDTAQTIARGIDFRFEDIRNLFYTEFVMDLNGDEVALRKDKGHLSPVFQLLQNFRTHAGVLKLAQSVVDLLCHYFPHSVDFLKPETSLIYGEAPVLLKPGADENAILTIFGNTGSTGEKMIGFGAEQVILVRDEYAKKEISGYIGRQALILTIVECKGLEFQDVLLYNFFGSSPLRNQWRVVYEFMKGKVVVDISFPNFYEERHSLLCSELKQLYVAITRTRQRLWICESVEEFSKPMFDYWRGLCLVELREIDDSLAQAMQTSSTPEEWKSRGVKLFWEKNYEMAIMCFEKAGERNWEKRAKAAGFRASAERIRDSNSKESCTYLRQAAEIFDSIGRFEAAAECFYDLREYERAGQIYLEKCGKPELIKAAECFTLAGCYEQAARVYAKGSHFSECLSVCTKGKCFDLGLQYVEYWKHDASQCSTVGERETEIDKMEEEFLSSCALHYFELNDRVSMMRFVKAFPKIDMKRNLLKSLGCLDELLLLEEELGNFTEAAEIARLEGNTLREADITAKNGDFDKASSLVLLYVLSKSLWISGGKGWPLKSFSEKKELLEKAMSLAMHGSKSETTCTVVKVLSNESSDWSSLKHVYVASQKCNSPIGEILSCRKILDVHCETNVAKYIWDDHLSADVMSSEELLLCSQVSVRTLLHFWNLWKKIICDLIDSLQGLEIENFGKYNSLCNFCVNYFGARQRLNDLNVTYALLHPTAEWVKKIHQSFIRRSKKIVLVDARDFIYAARQHWHAELLIVGLKVLDTLESIYKSAATSESHFRQSMCLLNMYDIAKFASEAKELDSKSFQWRLRNFLTLSTEYFDKAFPLDPRQSLMESMISLRRTELSRDLLQEFIHQDIINTRDVLSYGQIGRVMNIWLGSGKLSEDLYKKIVGRDLPESWRSFMETLRCIRVTKMEESQSGNACGGKLSESHSAIDTVPSEVTEVKLVEKFYEALQDTYSVNWIRLSDYISPGCFLYLVERFFILVSQSKGFFFTTKSSLVEWLISEQSEVLHTSKVAINQQSLEKFYHSVLMMVQQFLSDKGSTALWITRSRINFDAYYRILVMRLVVVLCLLCVNSGKYYDVLSFMLRNNDVRNQLPKYFYSILFPCLKRKYFQISEIGEAFKIAGDPLLCVNLCENTIRELPNVIHVQLGTNCNTEDIFDLLFPARNESQAPNSTVSEVMTNPDATSSSDCSDQPKILTVSCSEVSPPSEQNLQQVNWDLFKEVSDFLKLIGSENDGTTSTVAQKIKEEINMHIKFLTAAITLPELKKPDAGEDMAEEVQSMLQELQQLHSFLDTSNLEVAKGEQLLKSLLSRKSKIEALLSQCIVSTTLKDSCEEQGNAVCVEDEKIESPSIAAYSESSC >Solyc03g096150.2.1 pep chromosome:SL3.0:3:59469683:59470941:1 gene:Solyc03g096150.2 transcript:Solyc03g096150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTGMHTVADIFCVCCGSNVGWKYETAHEMSQKYKEGKSVLELFKNCGPDGSHYSASHDIHVAGSDADDVSHMWVLVMQGDRSLV >Solyc12g056530.2.1 pep chromosome:SL3.0:12:63431945:63435923:-1 gene:Solyc12g056530.2 transcript:Solyc12g056530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHAADAHRTDLMTITRFVLNEQSKYPESRGDFTILLSHIVLGCKFVCTAVNKAGLAKLLGLAGETNVQGEDQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPSKRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMMKDVHEPTLDDVLQPGKNMLAAGYCMYGSSCTLVLSTGKGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNAKNWDGPTSKYVESCKFPTDGSSPKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKERALDLVPTKIHERAPIFLGSYDDVEEIKRLYAAEEKN >Solyc04g014700.3.1 pep chromosome:SL3.0:4:4961531:4977081:-1 gene:Solyc04g014700.3 transcript:Solyc04g014700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVGARLGRSSARYAPTTVFTGPVRRWKKKWIQGTPPSSGNNHSRNTANGGVNGSNISHLLLFKWIPITASQNNNDSSSKDDVVSVEEPPKRKFKYIPINVLEEQKNDASEQVEDDIKPIESDTNSEEPTSQADGFDEKPDINDVPMEENHVLGVKAELGFEVYGFSVLVLDRSLPSEYMDSQSQNTSLQRLQNVEKRIVRVLELAGGVMDEMANPSGPRKELINNHCSEFMQLIKDIQVTLREEIKSACEYRPFEKCDYVPRISNEICCKKLDYVISQFDNMKQTIEGYHAAASDHMALD >Solyc05g025897.1.1 pep chromosome:SL3.0:5:37387668:37388146:-1 gene:Solyc05g025897.1 transcript:Solyc05g025897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIIIYHGFEHDMSSSRKGYLFEWDTDMKKSFTPRGQAYFVASTYTSFHIWHARLGHRQPHIAKACVSSFNLPVNHVESFTFCNSFLCNKGHCIQFSNN >Solyc09g037083.1.1 pep chromosome:SL3.0:9:20829057:20830250:1 gene:Solyc09g037083.1 transcript:Solyc09g037083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLREGMWGKGKGGKGVGDGVVGVMVGMVKGVRLGVWKGKGVRVGRCGFRRCRGRGGRCWGGLNGSGMGVRGGRGQGLREWGGGKGGEVPSLEGYDWSKGTLFEQRVIFLTTKSLEGLRASQLACMVVLLLNNGNSGGYSEKQPLHPL >Solyc08g016795.1.1 pep chromosome:SL3.0:8:9434491:9436193:1 gene:Solyc08g016795.1 transcript:Solyc08g016795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDIIAFGKHTRSNNVGRGMPSLPLDNKHDRTTSGVSCHHRLWKAHTVERRRAWLNITALGLHARLDDVGRGMTSPPLDCAHARPKCITKKAERQWQACRVRTLLTERAVPKAQAHCWCAAPGPFRLGPVWSTRLEFNMSTFQEQI >Solyc12g017420.2.1 pep chromosome:SL3.0:12:6679690:6691927:1 gene:Solyc12g017420.2 transcript:Solyc12g017420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQAKLVLESPFFNVSPNIVSTFDNNSKFSHRSSLRFQAMTTRRRVSLSTTAAAATTTPPVPPPIQDEQRKPPDLQSLMRRFWKVAVPYWSSDDKVQARLQLAAVFALTLGTTGISVGFSFLGRDFYNALANKDQEQFTKQLLYYLAGFAGGIPFFVLRDYAREKLSLRWRSWMTSYYMERSFTGTALSFSLTLFNAAIDLISFSNILFGIYPPLFGVLLAYSLGGTALSVFLGKGLVSLNFLQEKKEADFRYGLVRVRENAESIAFYGGEENEMQLLLTRFRSAFENLNQLLISSRNLDFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQALSAFSAVIDRLGEFDDVLDSNSKKGDQDSNEKIQLNFCLISSSNGLHSNGSMPLSNRVKLLHVEHLTVQTPSEATLIKDLSFEIFEKDHLLVTGPSGSGKTSLLRVIAGLWSFGNGAITVYVRPRGDLEMLHSPDVVSHQVASTNETIGDSAGRRNSEGVIFLPQKPYMVLGTLRQQLLYPTWTEDSDNLSDDAKQTDSLPFLMRARDARCVNDRSKKPTSEDLLQVLNDVSLGHLLSRFDGLDSTHEWSSVLSLGEQQRLAFGRLLLSKPTLALLDESTSALDEANEARLYQLIQAAGITYISIGHRRTLYKYHKKVLHVSTADSTSTQQNWSFKDVEEDPIYNFSKQ >Solyc05g008830.3.1 pep chromosome:SL3.0:5:3057390:3060389:1 gene:Solyc05g008830.3 transcript:Solyc05g008830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPPFSGGPITAATAAVPPSGTINYADSADSSPGSRHTDSWDEQQQPSNTAATTTGGKLRLMCSYGGHIFPRPHDKTLCYVGGDTRIFVADRNSSLAELSARLSKTLLGGKPFCLKYQLQNEDLDSLISVTSDEDLENMIDEYDRMSSSSKITRLRLFLFPSKIDSWNPSIGMIRDSSVRSEDWFVNILNGANSTASTKVFSESSSVNCLLGLDDDLGNANIKEVEAQLEVKNGGNSVKGTIHDVQSVPDSPMVETTSSFGSGSSMPSLANLPPIRVHVEESQKGGGIEEQFAQMTVGVKQKNEEGGFLGLASPPAPAVVVTATVVSGVPVVEQMMQQQQQQVQQPQQLMSKPVIPSDLPSPDSVSSEGSGRQRHYFYQDPGVHFQSGSGRITGNSADLNMSDSMGRVQVKQQVQDGGGGYALPVQYEQHPQMLQPQQYVHAGQYIQHTPSGHVPMTSYYPMYPSQHQTHMQHPNLEHQYPVYFIPARPGQGYSLPLQQTNYTEPAQTVPPTRPQTPPTMANPASAYNPARNPPSSNPEMVAGTYRTSASAAPQLVQITPGQHQPQYVNYSQIHHPSQSIPPTSATTANYAYDFADPHAKMYYSQPLAPQLSAQYQTMTSAPAVSFAETSSQLSAENSKQQN >Solyc07g063735.1.1 pep chromosome:SL3.0:7:66247173:66283747:-1 gene:Solyc07g063735.1 transcript:Solyc07g063735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKTTLFSNRIICTGFKKFIGDSNSHNYLKKLLDGKLLRTTSTSFQARRLNKVYLVVQIAGRYSGHNNKNDNSNQSHHEDFELPLLDFLTLINATDNFSIANKIGEGGFGQVYKGVLEGGQEVAVKRLSETSKQGFHEFKNEVNCIAKLQHRNLVKLLGCCVQGEEKMLVYEYLRNKSLDIYIFDEERSTLLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDTDMNPKISDFGMARSFGGDETGANTRRVVGTYGYMSPEYAVDGIFSVKSDVFSFGVLVLEIVSGKKNRRFVHPDHHLNLLGHTYMLHKEGRSLELVDPKLVDSCNISEVQRSIHVGLLCVQQNPVDRPSMSTVIMMLTNEGILPSAKHPGFFTERKIGEVDQFSWSTQTPNSTITTTQFLKDGDKIASHSGSFEMGFFSPGDSENRYVGVWYKNISVRTVVWVANREAPLTGESGILKVIEPGILVLVNGADNVVWSTNTSRSVQNPVAQLLDSGNLVVKQASDDNFLWQSFDHPTDTLLSGMKLGWNFVTGREVYLSSWKSEEDPAPGDYTYHCDPSGYPQNILKKGSDVVYRSGPWNGLHFSGAISSRDSPLYTFGIFSSNTEVYFGFNFTSSVITRLTLNQNGALQRWTWGDRGQNWIPYLSIPTDNCDIYKLCGAYGSCNSQNSPVCGCLDKFVPKHNEDWQKADWSSGCVRRIQLNCLHGDIFLKYSHMKLPDTQNSLSNLTMTLEECKTICSRNCSCMAYSNIDIRNGGSGCLLWFSDLLDIRQLSKEGQDIYIRIAASELDSLKKSDGEKGTGLYWILPLSVGLVLVILSILLICHRRRKKAIKRKTKEKSRYSGNIKQDYNSGSCTEEFEIPLFDLSTITKATDNFSVNRKIGEGGFGPVYKGILEKGREIAVKRLSKTSRQGEDEFKNEVVYIAKLQHRNLVKILGCCIEGEEKMLIYEYLPNGSLDSFIFGGRQNRILDWPERFHIINGIARGLLYLHQDSQLRIIHRDLKANNILLDNDMNAKISDFGIARCYEEDDNEAMTNRVIGTYGYLSPEYALYGLYSVKSDVYSFGILVLEIVSGKSNRRYSPSNLNHSLIGHAWELNNEGRSIELLDEHVGDSCSTPQEVVRSIGVGLLCVQERPDDRPSMSSVVLMLNNEGTLPQAKLPAFYMEGDASDTELLSTLFAHNIMTTIDFLKDGEANVITSRGGIFEMGFFSPGKSNNRYVGMWYKNISVRTVVWVANREAPLTSTTGVLKVIEPGILVLLNDSSNVVWSTNTSRSVQNPVAQLLDSGNLVVKQSDHGVDDGNFLWQSFDHPTNTLLPGMKLGWNFVTGREVYLSSWKNDEDPAPGDYTYHCDPSGYPQNIMKKGSDAVYRSGPWNGRSFSGSQNSRECPYYTIGVFTSKTELYFGYKRTSSVIVRLILSQNGVLQLWTWGDGKQDWVPFLLIPADNCDTYKLCGAYGSCNSQDFPVCGCLDKFVPNNSEAWKKTDWSGGCVRRTELNCLKGDVFLKYSHIKLPDTRNSWSNVTMTLEECKDFCSKNCSCMAYSIADIRNGGSGCIIWLEDLLDIRQEPNGGQDIYIRMAASEAETEAAIIEKEILADSLENSNGKKRKVLHWILPFAIGMVLVILSMLIYHRRKKRTLVLKKKGSSGLNGSSKMDYSGSCAEELEIPVFDLSVIMKATNNFSIDKKIGEGGFGPVYKGILEGQEIAVKSLSRTSTQGENEFMNEVVYIAKLQHRNLVKILGCCIDGEEKMLIYEYLPNGSLDSFIFDDSQTKILDWPKRFHIINGIARGLVYLHQDSQLRIIHRDLKANNILLDKDMNPKISDFGLAKICEEDDVGAMTNRVVGTHGYLSPEYALYGKYSVKSDVFSFGILVLEIVSGKSNRKSCHPDYNLNLLGHAWNLYKEGRSTELIDECLSDSCSTYEVVRSIGVGLLCVQQCPEDRPSMSSAVLMLNNEGLLPLAKQPGREVYLSSWKNEDDPSPGDIIHIIISTEHPEEGIKCDISLWSVEWWGNKLKRHKKEVYFGYNLTSSVIATLLSTTYTQGENEFKNEVIYMTKLQQRYLVKIVDLKTNNIMLDKNLNPKISDCGLAKKGAKICKEDDIRAQTNQVMLRNIEVLDDHLNDSSLRLAVRSTCVGLLCVQQCPEDHTISNDNSIKDGHTIVSAGGNFELGFFSPGNSKNRYIGIWYKNLPKGREVVWVANRVNPLNDTSGILTVSSKGIVLLNGNQDVIWSSNSSRSLIKPVAQLLDTGNLVLKDNSLVNKKDYAWQSFDYPDSTLLPGMKLGLNLVTGKYWTMSSWKSSDDPSPGAYLDRLDTSGYPQFFVWEGPAIKFSSGIWNGHLFVGGPNLKPNPYYTFEFVNNDKEIYYKYELINTSLPSRLVLNPAGLLQRLLWIERNQNWFLYSTGQMDNCDRYALCGQFARCNINDSPPCDCLRGFQPKNQQEWDTADWSSGCVRRTPLTCGTSDRFLKYSSVKLPDTRHSWFDKSIGLEECQRLCLKNCSCTAYSNLDVKNGGSGCLLWFKELVDIREYAELDQDLYVRMAASELGSGYMGNTRISVIAIILTVSAIILVGFLFWFAMQRMKGDRGVGEGKGKGDMELPLFDVMTISAATNNFSSDNIIGEGGFGSVYRGKLSTGPEIAVKKLSKHSGQGFEELKNEVVLISKLQHRNLVRLLGCCLEGEERMLIYEYMPNNSLDFFIFDECRKRQLPWENRFRIAMGISRGILYLHQDSRLRIIHRDLKTSNILLDSEWNPKISDFGLARIIGCDQNEARTKRVIGTYGYMSPEYAVDGKFSVKSDVFSLGVLLLEIVSGRKNRTFRHPDHHHSLIGHAWLLWNEGKALELIDDCLKESFVESQVLRCVHVALLCVQRLTDERPTMSSVVFMLSHEEVALPQPKEPGFFIERSIAETDDSNEKRCISDNVLTLTILQPR >Solyc03g111210.3.1 pep chromosome:SL3.0:3:63326176:63337802:-1 gene:Solyc03g111210.3 transcript:Solyc03g111210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAAVAAASPPVSPPYLNSNPGCFRDRKQLLFKLQKCKSIQHAAPIHAHIIKNGNPNDPFILFELLRICSRCCSIEYASKIFRQIPDPNVFIYTAFIEVLVSSGAYSDGIRTYFQMIKDFILPDIYIIPLVLKACGCGLDLKSGQQIHCQVMKLGLSLDRFVRVKLMELYGKCGEFNDAKKVFDEMPQRDVVASTVMISCYLDHGLVSKAMDEFRVVSTKDNVCWTAMIDGLVKNGEMNYALELFREMQMAGLKPNEVTIVCVLSACAQLGALELGKWVHSYVEKYNIEVNHIVGSALVNMYSRCGDIDEAASLFEELKARDVTTYNSMIVGYALNGKSIEAIKVFQRMKREGVKPTSITFSGVLNACSHGGLVDIGFDIFESMETEYGIERRIEHYGCMVDLLGRVGRLEEAYDFIQKGNIAPDNIIWGSLLSACRIHKNFELGERVAKILLEYGAADSGTYILLSNVYASRGKFKEAARVRAKLREEGVQKEPGCSSIEANNEIHEFLLGDIRHPEREAIYSKLKELNDMLESEDYAPATDVISQDIEEHEKRWALSIHSERLAICYGLISTKPCTTIRVVKNLRVCNDCHSVIKLISKITGRKIVVRDRNRFHHFENGVCSCGDYCVGINEVQHPEVSHLSVIK >Solyc05g010550.1.1.1 pep chromosome:SL3.0:5:4760054:4760635:-1 gene:Solyc05g010550.1 transcript:Solyc05g010550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLENPSFEGYIFQNNSFRIKNNSIYEQSINHQMNNVVEEIRILIDAEETIAMNFTNALPTTTNKIVISHSSREFSTRLMEITLGITGQAAVSLMAYVSATTTSSRSLRGLNVVVAANAVGFIATFLGMWLSKKRKKTKRVAAASAVAEKTGSVAAACAIIVAMGMFLPENVISMWFIGFLCLFPAAVVAFA >Solyc03g097030.3.1 pep chromosome:SL3.0:3:60815511:60820606:-1 gene:Solyc03g097030.3 transcript:Solyc03g097030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVATVDAQKAEILSSVISTNQNQSSEELHVFRSKLPDISISNNIPLHVYLFERLSEFEERTCIIAGSSGETFTFGETYLICQKIAAGLTMVGIEKGDVIMTFLQNCSEFVFTILASSMIGAVITTANPFYTKSEAFKQLKASNAKLIVTQSQYVDKFRDPAENDPKIGEDFSVVTIDDPPENCLHFSVLSEAKAEDMPKGVVIHPDDPVALPFSSGTTGLPKGVILTHKSLITGVAQLVDGDNPNFYLKHDDVVLCVLPLFHIFALNSVLLVSLRAGASVLLMQKFEISALLELIQKHRVSVAAVVPPLVLALAKNPMVDSFDLSSIRLVLSGAAPLGKELEEALHRRVPQAIFGQGYGMTEAGPVVTMCPAFAKQPFSTKSGSCGSVIRNADLKVVDPETGSSLHRNQPGEICIRGSQIMKGYLNDDEATARTIDVDGWLHTGDIGYVDDDDEIYIVDRVKELIKFKGFQVPPAELESLLISHPDIADAAVVPQKDDAAGEVPVAFVVRSAQGFDLTEEAIKEFIAKQVVFYKKLHKVYFIHAIPKSPSGKILRKDLRAKLIATPQL >Solyc11g056460.1.1.1 pep chromosome:SL3.0:11:45668612:45668842:-1 gene:Solyc11g056460.1 transcript:Solyc11g056460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYLRTFKNSFDSLASINAPVSQSDMFTFLLAGLPSDYERSLRRSFNDQNQLWLSFGPACCYMSLGFSRCILLLC >Solyc04g080930.3.1 pep chromosome:SL3.0:4:65063349:65068094:-1 gene:Solyc04g080930.3 transcript:Solyc04g080930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGEPSRTSTNAGNSDGGGASTSGSSVKRFPLAAQPEIMRAAEKDDQYASFVYEACRDAFRHLFGLSYYPICGTRVAVTYQNEAKLLGQMLYYMLTTGAGKQTLGEEYCDITQVAGPYGLSPTPARRTLFIFYQSAVPYIAERVSSRAASHGITLADSMVDYAFRDDPTSSNEAEASPTFEIRSSSTSTPSISAFSRLKAKIRDFWLYTVRRWPSMLPLAREILQLVIRANLMFFYFEGLYYHISKRAAGIHYVFIGKPMNQRPRYQILGVFLLIQLCILAAEGLRRSSLSSVSTSAQQAPFGTYQTSAGRGLPVLNEEGNLITAETEKYGLVAESTSTSESQGNSPSKCTLCLSSRQHPTATPCGHVFCWNCIMEWCNEKPECPLCRSPVTHSSLVCLYHSDF >Solyc10g054272.1.1 pep chromosome:SL3.0:10:55134212:55144777:-1 gene:Solyc10g054272.1 transcript:Solyc10g054272.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGIDTSSARKGSITHVIFDMDGLLLGSVKIGWGLQTATEIDYATPHSSGEAMISVFLNHVDTGSERLGIDPWTDTEKFYTEVQEIILARYNKTFDWSLKAKMMGKKAIEAARVFVEETGISDSLTAEDFLVEREEMLQKMFPTSDLMPGASRLIHHLHENGIPICVATGTHTRHFDLKTQRHSELFSLMHHIVRGDDPEVKQGKPSPDIFLAAANRFEGGTVDPQRILVFEDAPSGVLAAKNAGIFMSQQFGTK >Solyc04g079360.1.1.1 pep chromosome:SL3.0:4:63974716:63975474:1 gene:Solyc04g079360.1 transcript:Solyc04g079360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFNRCSTSSSSSESSSSESSLSGKNPNKSERIKGPWSAEEDKILTKLVERYGARNWSLISKYIKGRSGKSCRLRWCNQLSPNVEHRPFSPAEDEAILAAHAKYGNRWATIARLLPGRTDNAVKNHWNSTLKRRYQQLIQQQNQNPVGFSDVKINGSGSGSGSGFGSCMEYLNVDESPKVNNNNNNYAVTNNCSGEFDDPMTTLSLAPPGMSGDELPEKKTESFPAGFWDVMRGVIAREVREYVASTGFPN >Solyc05g005930.2.1.1 pep chromosome:SL3.0:5:711356:712672:-1 gene:Solyc05g005930.2 transcript:Solyc05g005930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFLAYGHISPYLNVAKKLADRGFLIYLCSTPINLKSTINKIPEKYADSIQLIELHLPELPELPPHYHTTNGLPPNLNHILRRALKMSKPNFSKIMQNLKPDLLIYDILQQWAEDVATELNIPAVKLLTSGVAVFSYFFNLTKKPEVEFPYPAIYLRKIELVRWCETLSKHNKEGEEHDDGLAYGNMQIMLMSTSKILEAKYIDYCIELTNWKVVPVGSLVQDSITNDAADDDMELIDWLGTKDENSTVFVSFGSEYFLSKEDVEEVAFGLELSNVNFIWVVRFPKGEEKNLEDVLPKGFFERIGERGRVLDKFAPQPRILNHPSTGGFISHCGWNSAMESIDFGVPIVAMPMQLDQPMNARLIVELGVAVEIVRDDDGKIYRGEIAETLKGVITGEIGEILRAKVRDISKNLKAIKDEEMDVAAQELIQLCRNSNK >Solyc07g056220.3.1 pep chromosome:SL3.0:7:64193237:64205377:-1 gene:Solyc07g056220.3 transcript:Solyc07g056220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVTVAAEWQLLYNRYYRKPEIYLMQWKHVDLTRNKVACAPFGGPIAVIRDDAKIVQLYAESALRKLRIFNSAGVQISETVWKNPGGRLIGMSWTDDQILVCITQDGTVYRYNIHAEPIEPNSQLTLGADCFTHSVVECVFWGNGVVCINEAFQVYCIPDFNNPKPVKLADTGLEDFPLCMAVIEPQYTMSGNVEVLMGVADHVLLVEEDGVQEVGLGIGPLQKMVVSRNGKLLASFTHDGRLLVMSTDFSSVIFEYPCESALPPEQLAWCGMDSVLLYWDDMLLMVGPYGDPVRYFYDEPVLLIPECDGVRILSNMSMEFLHRVPDSTVSIFQIGSTLPAALLYDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSQQRTLLRAASYGQAFCSHFQRDRIQEMSKTLRVLNAVRHPDIGIPLSIQQYKSLTPAVLIARLINAHRHLLALQISEYLSMNQEVVVMHWASTKITASAAIPDATLLEMLLDKLKICKGISYAAVAAHADKNGRRKLAAMLVEHEPRSSKQVPLLLSIGEEDTALMKSTESGDTDLVYLVLFHIWQKRPALDFFGTIQARPLARDLFVNYARHYKHEFLKDFFLSTGQLQDVAFLLWKESWELSKNPMASKGSPLHGPRVKLIEKVQHLFVETKENFFESKAAEEHAKLLRIQHEIEVNTKQAIFMDSSISDTIRTCIVLGNHRGANRVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPIGYRPFVEACVDANEKGEALKYIPKLTDPRERAEAYARIGMAKEAADAATQAKDNELLGRLKQTFSQNAAASSIFDTLRDRLSFPSVS >Solyc01g110130.3.1 pep chromosome:SL3.0:1:96818894:96824652:-1 gene:Solyc01g110130.3 transcript:Solyc01g110130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:K4B3M6] MASDSSPVNARGGPSTPDDSISSPIGNTYSSPGDSARRKRGRRSASSTPVAPSNRRFTTPEATPTPSSTNPRRGGRRASSNTPTGAATPSYASDVPPSSEGGEGDDADDTPPMYVWGTNISVQDVNAAILRFLRNFREDPSQTEGKYMRAVHNVIEMEGDSLDVDAHDVFDYDGDLYTKMVRFPLEVLAIFDIVLMDMVSRINPLFEKHIQARIFNLKTSTSMRNLNPSDIEKMVSLKGMIIRCSAIIPEIREAIFRCLVCGYYSDPIVVDRGRISEPTICGKQECLARNSMTLVHNRCRFADKQIVRVQETPDEIPEGGTPHTVSLLMHDKLVDAGKPGDRVEVTGIYRAMSVRIGPAHRTVKSLFKTYIDCLHLKKTDKSRMHAEDPMEIENGVVGNDEPLDHEEKVEKLIELSKQPDIYERLTRSLAPNIWELDDVKKGLLCQLFGGNALTLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVAKDPETGETVLESGALVLSDRGICCIDEFDKMSDSARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEQTDRRLAKHIVALHSENPENSEQEVIDLPTLASYLSYARKHIHPQLSDEAAEELTRGYVEMRRKGNFPGSSKKVITATPRQLESLIRLGEGLARMRFSEKVEKRDVVEAFRLLEVALQQSATDHATGTIDMDLITTGVSASERMRRENLVSSTRNIIMEKMQLGGPSTRLLELLEDVKKQSSGGEVHLADLRNALATLATEGLVVVHGDTVKRI >Solyc08g076660.3.1 pep chromosome:SL3.0:8:60715084:60719790:1 gene:Solyc08g076660.3 transcript:Solyc08g076660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSKDKKKQQQPYNETSNSSDIFNTLFGATPADSNIASLFSDENPFKRKPNDNPTATEEPKLGVVEVSIENQTDGVSGNNDSGSAELKKRKKKDKKVNLDSGSVAEEVNEEEGGKSKRVALSGVEESNGVVSDSGRKKKAKNVILGLESVGEGVKESNDGVSNSGNLEKSGLESKKDKKKKRKRDEIEAEYEAKRYGVVAVEDVEKGIGEMVVGEKRKKMDNPEDMMVSNEGFDDESKLLRTIFVGNLPLKIKKKALLKEFGSFGEIESVRIRSIPVADSATPRKAAIIKQKLNDNADSVNAYVVFKSEESAQASLAHNMAVVGERHIRVDRACPPRKKMKGENSALYDNKRTLFVGNLPFDVKDEEIYQLFSGMKEFGSSIEAVRVIRDPNTLLGKGIAYVLFKTREAANTISRKRNLKLRDRELRVSHSKADSTPSKRKSLSTEDRFRSPAKKFAADSARPGSSSYKATAKSDMSYQGVKASKSGVQKKTQSRMSDRGKLKPKAQLSTKPKERIGKRPSVAARKAKELKAAASGLKQMGTKRKMDNRTPDTAGRKKKFRKS >Solyc01g100660.3.1.1 pep chromosome:SL3.0:1:90520406:90521602:1 gene:Solyc01g100660.3 transcript:Solyc01g100660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQTVSNPDVNSSHSRESKRKKRRKIGDDGEIEQQTSLDQSRWRTDTEQQIYSSKLLQALRHVRRSNDNPSPVNAGRAVRETADRVLAVTAKGRSRWSRAILTGRLSLRLSQINKKHKKAKLNSGNIKSKKPAAKKRLPALQRKVRVLGRLVPGCQKLPFTNLLEETNDYISALQMQIKAMTFLTGLLSAGGAGSVAAHPDRLG >Solyc04g024957.1.1 pep chromosome:SL3.0:4:27312195:27312798:1 gene:Solyc04g024957.1 transcript:Solyc04g024957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQKGYYQMGIAEGGEPKTACVTRYGAYEWLVMPFGLTNAPATFCTLMNDILHPYLDQFVVVYLDDKVIYSNTMEEHVEHLRKAILSAKVNSGWRRQRLGRSKSGRFISGYSAKASSLIELLKKNKPWGAFKGLKAAVTEEPVLMLPDFSKTFEIHTDASDFAIWE >Solyc06g034050.1.1.1 pep chromosome:SL3.0:6:23658928:23659101:-1 gene:Solyc06g034050.1 transcript:Solyc06g034050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSALFANVITALSVPLIAVLALIYFNEKMRGVKVISMILAIWGFLCFLKFIYFSS >Solyc12g009417.1.1 pep chromosome:SL3.0:12:2695195:2697931:1 gene:Solyc12g009417.1 transcript:Solyc12g009417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIMHDMIHELCLRKAQKINVTGGKIDQNLCPQSMQYSCKSRGGIIIKNEEELFSCSNSEAHSVMFGTFRCFVPELTFKLVRVLNLASFRCAYFPSEILCLIHLRYLALCLNPIIECSLYPEVEFDLSQLDIPPSISSLCYLQTFILHLPPHCRTNSILSNYHQWSGFEKFEISLWMESSSISQLNEVALRWRLRRLSYLQGAPEELVFIFILTPINSNEYWSLNSNTAAADVPPFLLPPPDAFPQNIKSLTLKGHLIMPWKDLSVVGKLPKLEYLQVIDHSFSGKEWEVADEGFPHLKFLLLCSTNIEYWRASSDQFPCLEQLFLDDCSELDSIPQEFADITTLALIDITCGFQSLEYSARQIQQDNEDNYGDSIKVMHTTMNSERSKISNSRETRTGFDSIFLSTSILTISFNLFSWCCWC >Solyc05g026470.2.1 pep chromosome:SL3.0:5:41464937:41479402:1 gene:Solyc05g026470.2 transcript:Solyc05g026470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSNIEADKMLDVYIHDYLLKRKFGVSARTFQVEAQLLTNRVAIDAPGGFLLEWWSVFWDVFIARFKTPLSSTSASYNVGHMINTLEHKDVQAQRLNQMQQLIQQKQLQGYGTQLLRGTTNEAMTRHSLGTTNALTKRVYESNPQVQRILISVANKKPTNPRDSDNIDQHLDANHSCISKAETFRDQHSRQTHHSFPGDNLRFYPPLADQAHQFPVTQLDQSLTNMIIPAVSLKAAESGNVLTEVSGSDHGVNSLLLKGWPLMKSYKQERLGEVVNDLISRVKGLEQLQSGLIQQKSSTIHLSHPSQQQLQQQILMFLSDQYMDISQGDQSNFTANIVVDRNLPVQVDLPLFSCSDSAMQMKEVQNINQSLEQGQQPALFCKHPKNSSSSSQQQDKSVATGSTTMDVSLSNTFRGDDQKYGTRKRKQLTSSGQINSIGTAYNISLLPSSAPSTPSISIAEDVISMPALLHDDNFSKGCVYGNDVVYSATLVSTQTVDVPLINHMFANNSAESFLSDNADTESIIPRRLGTSKDITVLEIGSFHSSAVNCCNFSSDGKLIATGGDKEVVLWCTDSRAQKHILEGHSDAITDVRFGPRLPRLASSSLDKTIKIWDVHNTVDGELLTSSFTNFFFDRTPVKLSPDHSIRSFTGHSASVISLDFHPTKEDLICSCDNVSTIRYWSIKNGGCAGVSKVRATQVRFQPNLGKYLAAADADGVLLFDVETTQICSYPLKGHFSIIRGICWSSSGEYLASVSEDTVKVWKIGSSGEQECMHERSCLEFWHMAENKMMIVLEEPVNSLAASQPTGVVASAIDNNLIRLWK >Solyc01g020480.1.1 pep chromosome:SL3.0:1:30906803:30908531:1 gene:Solyc01g020480.1 transcript:Solyc01g020480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICYLMNEIKFHFERKNQYSDSDMKFFFSILFILLSFGDKNFNYYKKCFKKRNRSESQGEFCEHTYSGTINRLKHHLSGTHKRIKPCLKVPNDVAEECKKALLKVQNVKTMRSATLEEMRSVATGNSNVDSEAGSCQISENLLPKLEELLIMVLVLFLLSMHELRTWILKDEVININNMLDEHKKSWKQYGCCIMSGSWTDGKSGCFINFLINISAGTFFLRSIDAYDSIKDGELLSLRLNKVIDEVGEENVVQIITDNGPNFVNTGKRIMETRSHVYRTSCVAHCIDLLL >Solyc01g034025.1.1 pep chromosome:SL3.0:1:35593692:35595781:1 gene:Solyc01g034025.1 transcript:Solyc01g034025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQSEAKRKSAEELPFENQPVEELPVVHPVEELPLEQPVQELPLDQHGKDFPFEDQVQMNSFTPQTNDQPEEQAGDVSSPNKRGRTQMHDVHARKERKLIILNSQNQHVGPTDDVVIELSSFLGTLARNATLCPFDILDWRSMDTKKDLWDYTKGKYIIPEAAYHWAMVTIRDAWRRHRSDLKLNYYDPYENDAVRMAKKSGHIQECQFRELLKYWNSEKFKKMSETNAKNRKKLMNPHTAGKKSFALVRNKLEKDKETVSSKDLFVVIRTRKPRRSYKASNEDTTSKIAEME >Solyc06g074490.1.1.1 pep chromosome:SL3.0:6:46229596:46229781:-1 gene:Solyc06g074490.1 transcript:Solyc06g074490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILKHADLWHMLSVLRSIITHYLLCLGVLHICIKRCLCGNKHVIFWLSLTLYYVFPIITV >Solyc11g067090.2.1 pep chromosome:SL3.0:11:53130916:53141374:1 gene:Solyc11g067090.2 transcript:Solyc11g067090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEADQNENGNPAAAVVEETETVIGPGPAPRARAKRPLQFEQAYLDSLPSANLYEKSYMHRDVVTHVAVSAADFFISGSTDGHLKFWKKKPTGIEFAKHFRSHLGPIEGLAVSIDGMLCCTISSDKSLKIYDVVNYDMMSMIRLPFIPGCVEWVYKQGDVKAKLAVSDRNSSLVHIYDARAGTNDPIISKEVQLCPIKVMKYNHVFDTVISADDKGIIEYWSPATLKFPENLVNFRLKSDTDLFEIVKCKTAVSSIEVSPDGKQFSVTSPDRRIRIFWFKTGKLRRVYDESLEVAQDLQRSDVPLYRLEAIDFGRRMAVEKEIEKTECVPQPNAVFDESSNFIIYATLLGIKIINLHTNKVSRILGKVESNDRFLRIALYQGDRSSKKVRKIPAAAANANESKEPLIDPNLLCCAFKKHRIYLFSQREPEEPDDPTKGRDVFNEKPPPDELLAVSDIGKSVTTSLPDNVIMHTSMGDIHMKLYPEECPKTVENFTTHCRNGYYDNLIFHRVIRGFMVQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVVKGMDVVQSLEKVKTDKGDKPYQDVKILNVTVPKS >Solyc06g069870.3.1 pep chromosome:SL3.0:6:43618496:43623497:1 gene:Solyc06g069870.3 transcript:Solyc06g069870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKGNPGDNRNKSFLPSLLVIAALCCFFYVLGVWKRSGFGKGDSIALEITKKAEDCGILPNLEYETHHGNQSTSFDDPKQDVKEIEPCGEQYIDYTPCHDQMRAMTFPRENMNYRERHCPPDEEKLHCLVPAPKGYVTPFSWPKSRDYVPFANAPHKSLTVEKAVQNWVQYEGDVFRFPGGGTQFPNGADAYIDQLASVIPMENGTVRTALDTGCGVASWGAYLFKKNVLTMSFAPRDSHEAQVQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGLYMTEVDRVLRPGGYWVLSGPPINWRVNYQAWQRPKEELEEEQRKIEEMAELLCWEKKHEKGETAIWRKRVNNEYCREHDSRVTLCESSNAANVWYKKMEACITPYPETTNSDEVAGGGLQPFPDRLNTVPPRIASGSVPGFSVEAFKEDNKLWKKHVNSYKRVNKILDNGRYRNIMDMNAGLGSFAAALESPKLWVMNVVPTIAEKDTLGVVYERGLIGIYHDWCEAFSTYPRTYDLIHANRVFSLYKDKCSVEDILLEMDRILRPEGAVILRDHVDVLTQVKRIATGMRWNIKMVDHEDGPLIPEKVLFAVRKYWVVGDNNSTV >Solyc10g062040.2.1 pep chromosome:SL3.0:10:23942742:23943117:1 gene:Solyc10g062040.2 transcript:Solyc10g062040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIHDMKDRVESDTSNLYSISLYDPTMSTRDEPLFGKLKWALGVVGLPVPTCNLILRCSPLVVGMTQVKNKSTSQCDISLSILVGKEWRSPSKSSIEK >Solyc03g118425.1.1 pep chromosome:SL3.0:3:68782434:68786923:1 gene:Solyc03g118425.1 transcript:Solyc03g118425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPAQNNRRNNNSNRPRNNNNNPVVQSGGARREWVARGSAPTNTVPFSAAPVTPVTTTTVVTPVFGGNGRDNENVSSAPFNRFQNQNQTYGEPKFNRGMYGNQRGRGRGSYNHQENKMERPVREVSGRINQERVKDPNLPQLVQEIEEKLLKGNIECMICYDMVRRSAPMWSCSSCYSIFHLHCTKKWARAPTSVDTSAEKNQRFNWRCPGCQSVQLTSSRDIRYLCFCGKRQDPPSDLYLTPHSCGEPCGKKLEKELPGNGLSEEDLCPHVCVLQCHPGPCPPCKAFAPARSCPCGKEVITTRCSDRKSVLTCGQQCGKLLDCGRHRCEQTCHVGPCGHCQIVVDAHCFCKKKTESLLCGDMGVKGDIKMEDGVFSCNSVCGKKLCCGNHICRELCHPGPCGDCALLPSKVKTCCCGKTSLEEERHSCLDPIPTCSKVCGKRLRCGVHRCEAVCHSGDCAPCLVPVTQRCRCGSTSRTVECYKTQAEDEQFTCDRPCGQKKNCGRHRCSERCCPLSNPKNSITGGWNPHFCSMPCEKKLRCGQHSCESLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPLPSCQLPCSVSQPCGHPPTHSCHFGDCLPCAVPVAKECVGGHVILRNIPCGSKDIRCNKLCGKTRQCGLHSCARTCHPSPCDVSAGPSNGSRASCGQTCGAPRRDCRHTCTALCHPSSSCPDVRCEFPVTITCSCGRITANVPCDAGGQIVDSVLEASIIHKLPSSLQPIEINGKKVPLGQRKLTCDDECAKMEKKKVLSDAFGITPPNLESLHFGENAAVSEVLGDLLRRDAKWVLSIEERCKFLVLGRSRGGLNALKVHVFCPMLKEKRDAIRLIAARWKLSVNAAGWEPKRFIAVHVIPKSKAPSRILGPKGCTVNNIVQPAVFDSLVDMDPRLVVALFDLPRDADISALVLRFGGECELVWLNDKNALAVFSDPARAATAMRRLDQGSAYCGAAVVHQSGVASAVASTTNVWGVSGGAKDAGGVASALKGNPWKKAVVQDPFCLEGE >Solyc06g065400.3.1 pep chromosome:SL3.0:6:40926838:40932721:-1 gene:Solyc06g065400.3 transcript:Solyc06g065400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRVSRSSSSPASLLLSMAAPRSLVVDTGRLLTRDEEMNLGLTPGAEDSPTGKRYKEGKFPLSRWEFAAALGVFVVFSVGLFSIYLTMPAAEYGKLKLPRSLSDLRILKDNIGTYAKVYPAKFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVLKGLILVVFNATAGASSCFFLSKLIGRPLVSWLWPEKLRFFQAEIAKRRDKLLNYMLFLRITPTLPNLFINLASPIVDIPFHIFFSATLVGLIPAAYITVKAGLALGELKSVKDLYDLKTLSVLFLIGFISILPTILKRKKIYE >Solyc03g122280.3.1 pep chromosome:SL3.0:3:71642620:71648321:1 gene:Solyc03g122280.3 transcript:Solyc03g122280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATLATAAGAAALLYYTLNKKLQSSPTTDDDDDECGSSGQDHALLGVNRVSNRLIQAPATWLETIATLSETLRFTYSETLGKWPIGDLAFGISFLLKQQGNIHVSSIFCVEDSAQLKGSDIAAELKCLLRLLTVCWHFSKKPFPLFLEETGYSQESVLLQEPKAGILKPAFTILADHRSRSFLLVIRGTHSIKDTLTAATGAVVPFHHTVVHEGGVSNLVLGYAHCGMVAAARWIARLATPCLLKALSIYPEYKLKIVGHSLGGGTAAILTYVLREQKELSTATCVAFAPAACMTWELAESGCEFITSVINGADLVPTFSAASVDDLRSEVTTSAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIATAKAKVAGAGAILRPVSSGTQVVMKRAQSMAQAALSRPAMQLSSWSCMGPRRRSTAIQVNSEERQISRGTSSGDNSEAFIVESETRRTSVMELPVSSTEGVSWNAEIDQSFADGINIHSGLDSDLDDSEHVTHGPEDRMTEVEMWQQLEHELYDQSEGETDVAKEIREEEEAAIAETGQSSSESSVPKTKEVHRFFPPGKIMHIVTLLSEEVDHESDSDTLSEDHNQPKDTKVGIFLTPRSLYSKIRLSQTMISDHFMPVYRRHIEKLIRDLENNDACEL >Solyc09g090970.3.1 pep chromosome:SL3.0:9:70821547:70832461:-1 gene:Solyc09g090970.3 transcript:Solyc09g090970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNTYTYESTTTISPTRLFKALVLDFDNLVPKLLSQHVKNNETIEGDGGVGSIKQMNFVEGGPIKYLKHKIHVIDDKNLETKYSLIEGDILGEKLESITYDIKFEANDNGGCVYKTTTEYHTKGDHVVSEEEHNVGRERIMNISKAVEAYLLANPSSIEIVEGDGGAGSIKQMNFVEGGPIKYLKHKIHVIDDKNLVTKYSLIEGDVLGDKLESIAYDVKFEAAGDGGCVCKTTTEYHTKGDHVVSEEEHNVGKGKAIDLFKAIEALFKALVIDGDNLIPKLMPNVKNVETEGDGSIKKINFVEGGPIKYLKHKIHAIDDKNLVTKYSLIEGDVLGDKLESITHDVKFEPAGNGGCVCKTKTEYHTKGDYVLKDEEHNEGKKHAMELFKAVEDYLLANPSIYA >Solyc07g065560.2.1 pep chromosome:SL3.0:7:67405902:67407030:1 gene:Solyc07g065560.2 transcript:Solyc07g065560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRNTMKQASSSAVGYERYIYKVMKEVNPKLEISLRSMVILNHFMQDMAEKIVETSKSLLRYSGRRTLTSMDMHRAVKMELPGQLAKHAMAEGAKAVIRFSTH >Solyc01g080130.2.1.1 pep chromosome:SL3.0:1:79137783:79140332:-1 gene:Solyc01g080130.2 transcript:Solyc01g080130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSQMPEKAGNFGWGMRSNNPKVQWWFKLLTMGVFLGILIVWGIDGVSVGSFQRDFVLLKVNSSRKFSPGFKDFSFTNISLRPHSVNTQQNLNRDFARKNLAPKVEPQPVNLTGNQGNAPDFSLEMVSNKELEWVEDSRPGVFRWISAELEANYSSNLLTKWLAPGGEPCRDSRTVDVKIPALDGRENIELSTGDIHEFVFHALDDSGKPHCLGGDYYETDISGETWKSRPPMKDFGNGTYQFSLQVHPDFAGDYNLTIILLFRHHEGLKFSPVRFAFDKVLRVIPIKFSKSSVDLPEISQCKKSDLVRDVWSGRWTRHAKNDSCPISRDGRYRCQEPNFPCQKPWCDGPLGSLESNGWVYSTHCSFKMFSSEEAWNCLKDRWIFWWGDSNHCDTVRNILNFILDVNDIKDVPRRSDLNVTNPRNPSQTVRFTNIFNGHHNQTGNYQGLNSLRDAGYRELLKGYFSGHVVPDTIIMNSGLHDGVFWPNVRHFMEGADYAASFWAEVFNGVRQRGLTPPEVIYRTTITTGGYARQLAFNPSKMEAFNGVVLDKFRAYGLLDRVIDDFDMTYPWHYDNRCNDGVHYGRAPAKLKWRDGQIGHQYFVDVMLGHVLINTLCAR >Solyc03g119610.1.1.1 pep chromosome:SL3.0:3:69669806:69670537:1 gene:Solyc03g119610.1 transcript:Solyc03g119610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELKTENNNSNGNKRSRVESGLDSAGDSPESKRVNTEVETDPDSSESRVSPNRSNSPESDDVQTDVYLDSTEAKQIREDILDILDEPDALTECPPEIQDLDSVMKSFEEEILHHSPLNDTQTVLDLTPSKSGDSQPDLGYLLEASDDELGLPPTVSPANEQVNVESAVMPEAAEFANMAGFEDEMPNYDTFDFGMDHEVRVGDNDIDNSNTDFVTVGGLFDYPEPSDFSDFSWRQESLPAL >Solyc04g005390.2.1.1 pep chromosome:SL3.0:4:277939:280146:-1 gene:Solyc04g005390.2 transcript:Solyc04g005390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQMQVSRILILFLMAFAFSSFPFSAYGKDEVFVVSEKMALLKIKKSFIDPFGILLSWKSDNSSYCSWYGVSCNANSSRVSELRIKGNNTNKLVGNLSHAVAYLEELRVLSLPFHDLSGEIPVQIWELQNLEVLDVQGNAIQGDFSSYNFTRLRKLRVLNLGFNRIVGRFPPSLAKCRCLSVLILAGNGVNDVIPGFIGGFEKLKVLNLSSNRLIGRVPVNFGYKCRDLEHLDLSFNFLQGEIPRVLGKCSHLRTVLLNSNKFSGVIPSELGGLRKLEVLLLNNNSFTGEIPSSLGNLTALHVCNLLFNNLSFSSENKTIRRCSFVGNSSLTVTPRMSLALAPPMSQQSNESQRVAAPPQGSNQSRNDEKGLAALEITVAVSVALAFVVALAVLVSLCKNGKEEPPSVPRVEDSVSPDVSNITIFNDVGVVLTYEKIVQATRNFSWSYCIGTGGFGSTYRVEISSELTLAVKRLLTETVDGTIQFEAEIQTLGSINHPNLITLIGYYRSATDMFLVYNFLPGGNLEKYILERASRVFNYKVLHKISLDIGLAISFLHDQCDPRIIHRDIKPSNILLDNELNAYLSDFGLSRIMGTGTSSNTAVAGTFGYVAPEYALTSRVSDRADVYSYGVVLLELLSDKRALDPSFAAYEDGFNIVSWANMMLRDDKIEDIFYTSLWEPDSEEKLKAMLHLAVKCTADLPDRPRMIQVVEQLKNLQPENLRTMEILDSSIIEE >Solyc07g065210.3.1 pep chromosome:SL3.0:7:67196553:67202506:-1 gene:Solyc07g065210.3 transcript:Solyc07g065210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENRFLGNISASSFRNLLPKSVSTKKKLSSSRFKHKMNSENVAPIDPNVQISDPPLLPTSSILKKTVLKTIDSDVSTELTRSVAQEQTSEAPDPPVKVVARIRPANGIESGTQAVRKASDTSVCVADRKFNFDMVFGSNSNQEDVFQSVGAPLVKDALAGYNTSLLAYGQTGSGKTYTMWGPPSSIVEVPSPNGLQGIVPRIFQTLFSSIQKEQENSEGKQINYQCRCSFLEIYDEHIGDLLDPTQRNLKIMDDPRVGFYVENITEEYVSTYEDVSQMLIKGLSSRKVGSTSINSKSSRSHIVFTCVIESWCKESSSTCFGSSKMSRMSLVDLAGFDKNIPDDAGKQLVKEGKYVKKSTSLLGHLVNVLSERSQSRKLEDVSYSSSTLTHLMRESLGGNAKLSVICAISPENKHNSETVSTLRFGKRVKLTPNEPLVNEITEDDVNGLSDQIRQLKEELIRARSSASISVGSNYGSFRGPNVRESLNQLRVSLNRSLILPDIDNEREEEEVHINEDDIKELQLQINNLRGSRGNNSNSLKYSSGESEHYLSCSEESEGEEINSDEILEETLDDADQEMETMQPEYCSSISIGPSRHSADLPGPVLSESPKFRNMQRKSLIISGEDNIQSSSKSSELPSLPQKQDLVQSSLRSSRIFPGPTESLAASLHRGLEIIDYHQRNSASNKSLVSFSFEHLAVNPSPMSNGKANASIQTSSEEGQSSPFVAATFLCPKCKTKATSSSVVKDSTGTWMVSMEGTSTDQDSEKVLFQALEREKQLESVCKDQADKIEQLNQRLARCKCTQEQSSLVDCGKDVVDLHDNENQASIIYQNGSQSPNIPKLLKWDDESPEPEAAGEKYETKEIQGNVENSGGKKMFDMAEREALLKEIGGLRAQLKSDGASTNKSLERTRSSLLAQSMQLRKSGVYATTGSGEELEKERERWTEMESEWICLTDELRIDLEAYRQRAEKVAMELMLEKKCTDELDDALKRSVFGQARIIEHYAELQEKYNDLAEKHKLILQGIQDVKNAAAKAGKKGHGARFAKSLAAELSALRVEREREREMLKKENKSLRVQLKDTAEAVHAAGELLVRLREAEETASLAEENFTQSKEENERLKKQIEKLKRKHKMEMITMKQYLAESRLPEAALRPPIYRQDSDVANNDNNNTIQHSEYDDDQSWRAEFGAIYQEHI >Solyc04g008840.3.1 pep chromosome:SL3.0:4:2457450:2464693:-1 gene:Solyc04g008840.3 transcript:Solyc04g008840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMDLHMMISNVPSLIEQLGKALNDLESQENSSIDKGLIRDILGHFRNLEAAVVNKSLELEIREKAFKEQESDAHLLIVSREADIAAKEHKLWDQLQELKDAAVSAIVAARGDHQVESLEHTDAEDSKDIEVSSSLGITNAHHIGSEVKSSGSAADGASGKVEPRPELTQLCEQMDAKGLLHYIIERKKKMTSISEELSVALDGATEPGRLVLASLEFFYSNDESNSQDEKGKKAIHSLRQACLVCMEAIASLLAKAKPGADHLLYPEIKQQAMAIADEWKPKLATAGTDAANQASLETEAFLQLLTTFRIASEFDEEELCKLVLAVAHNRQGPELCRSLGLEHKMPGVIEALIHSERQIDAARFIHSFELSETFPLVPLLKGYLKDLRRNAQGKGGNSGNAEELAAVKAIVQCIRDYKLEAEYPLDPLLRRVAQLEKAISNDKDRSNDKKRLSGLGKHQQFKRPRVTGGTHGSIRHPNVLSIQPQSVLIERAAANNPSRLVPSVLVERAAPAGLLDKYAFLSTSYDYQPPSQATYPQQTYEQRSYYYPADEGIATSSYSAAPLSSSYASYSGGVLPTAAERINASSYGNYAGSGTPTAYQPYM >Solyc09g005470.3.1 pep chromosome:SL3.0:9:331469:332160:1 gene:Solyc09g005470.3 transcript:Solyc09g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELAGVVKEVIDPSATIELKANTADDPHKRKPDISKAKELLNWEPKISLQEGLPLMVNDFRNRILNEDEGKGN >Solyc05g046300.3.1 pep chromosome:SL3.0:5:59366679:59375400:1 gene:Solyc05g046300.3 transcript:Solyc05g046300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESNSWIGERLFYYPRLFGGVMLTAALLGVSTSYLTGISAPTLPHILPNLSIFRKKKRGKKRIRVYVDGCFDLMHYGHANAIRQAKELGDELIVGVVSDEEIVANKGPPVLCMEERLALVSGLKWVDEVIANAPYAITEDFMNRLFTEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSARSISQDCSNSSLPSKDDNLNHVHAEEKMSKIDHISSFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGVYTDETISELRGHQYPLMNLHERSLSVLACRYVDEVIIGAPWEVTHDMVTTFNISVVVHGTVSERNSSTGEEHDPYAVPKNMGIFRVIESPKDIITTSVAQRIIANHEIYVKRNTKKEASEKKYYAEKRYVSGD >Solyc12g098220.2.1 pep chromosome:SL3.0:12:66809467:66810718:-1 gene:Solyc12g098220.2 transcript:Solyc12g098220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCCLALYIFNGFLGSSVNQSARKVLAVLGEGSAENPRRKVRKLDNIEKPSTLFNHYANGSGWWDCNMEGVDNEEVGCCEVWEGMGSTTLGGLEWH >Solyc04g015800.1.1.1 pep chromosome:SL3.0:4:6103178:6104248:-1 gene:Solyc04g015800.1 transcript:Solyc04g015800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIILLYAVAFLTIFPLFWNHGNATTPRKLGTRQNAVTSLSNTYKITYRGSGGQYFPVSNLLIHENAKTPRILGSQQNTVTSLPYTEETTSDEPGHQYFAISSSPNDHANVATPHILGARHNAVTGEATSSGSEHQDSHIPSSLAHTNIIIPRGPLMNPNEATFPKLGKRDNPIIITSLSNTNEAVDSGESKIRSYPISSSPSQTIIAHIFHRFSPSQMWQQVKLKATPRKLWASRHNGITSLSNTNKAITSRGSAIQYHPISRSSAHTHAITPRTLRARYNAVTSASTTNEETIQKYGERQNSIIITSLPNTKKATNFGESELNFPPISSLPTQINRVFPRDPDEIGQVSSIIH >Solyc11g050907.1.1 pep chromosome:SL3.0:11:16915295:16917248:-1 gene:Solyc11g050907.1 transcript:Solyc11g050907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTEVVEDKSHFKTEQFSNGFISFDESEKCTNGNVVCILLTVKALTGEGRSIIREGDFAEIKGQMLQRVESDKPLLAFCDVNHRVIKRLPTCNVINISYSFLKNFRNDNMKAQNIDDMYYKFNATVFDFDSNTNPWYLACNKCYKRVMLSPNLKRKNNQDTIANGIAKGKEFSILVKIDRKFLDVETNMNVIPMEIHEVSKKLPPYQRKVKMLIVKQRSTRMKKLSIPEVEKNIAAVETDIENQQKTNTCKSTNNIKQVIADDNPKKVRIHKVEKQNVLDESDFEDPLIQLQRKRTMKVKGKNIMPYPMEKKIKEEKN >Solyc08g007400.3.1 pep chromosome:SL3.0:8:1967463:1974728:1 gene:Solyc08g007400.3 transcript:Solyc08g007400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQKEAPADMQCKDKFLLQSVVASAVTNPKDITQEMFNKEPGRVVEECKLKVIYLPPPQPPSPVAEGSEEGSSPRQSLTENDNQNGSELTRTFLETHDKSTEAKSLIYRLTEEKAGALHQSNRLRQELEIMRRDISKSRSGGVSFKFVIVLGLIGVVMGYILKKKRRAEASRIREKYTDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYDEKKDEDGFLYVTYSGENTFGEP >Solyc01g150000.2.1 pep chromosome:SL3.0:1:14179442:14179942:1 gene:Solyc01g150000.2 transcript:Solyc01g150000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLTHLVMRKKIFKWRSPNDIKEFIKKLYSKMKRPRSLQRRYHPKRKKDIKLHQENLKKYTGGRWGAEIRDVITNKRCWLRSLDNTDEIALVYNKTTVKIIGVNALINFLSYNQWKETICTTKKTIGMQLHICLVTFFCSTFHRFIHITFEIMSSEAEL >Solyc04g071270.1.1.1 pep chromosome:SL3.0:4:58292041:58293231:-1 gene:Solyc04g071270.1 transcript:Solyc04g071270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNEELMIEIFLRLPVKSLVRCKCVAKKWNNLITSPEFTRLRVPCFNPARGLFLLCHSFLINPFHEFVPFTLENPIQSPFHKLIFVDDPYGIRILQSCNGLLLCCSFRVRGPNENYYVYNPTTQQFVTLSRLEGHGQISKAVIGMKLAFDPWKSPNYKVICIRLSDEVFPDDQHYQVEIYSSEVKQWRVVGSPFLARYDIGFKYTGVYWNDAIYWECGDNSLRFNVEQEMFDKFPMPDNKESRVAYYGESSGHLYLVLVCKRQKLSFYDIYEMNSDGTEWYVKYQVNVEDVVNAFPHMIQRYHDPTDWNHLAMSVLCVVRGEKEEEEEAFMILHIPKMIIRYNLRDNTFYKLCEFENKSIEENQFEDEDEDYEAPISLQFNRFGAFQYVESLFCM >Solyc04g026340.2.1 pep chromosome:SL3.0:4:16913338:16914023:-1 gene:Solyc04g026340.2 transcript:Solyc04g026340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSTMYGFRGGQDGVMKGKYMSGFDMICSGRDKIRTPEQIDLDGLVVIVGDDSNTNACLLAENIRSKNFKYWVIGCPKTIDVDFKSQICSYKFCL >Solyc04g079680.3.1 pep chromosome:SL3.0:4:64136558:64138493:1 gene:Solyc04g079680.3 transcript:Solyc04g079680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLIVVTLATSFILLFILHKQFYSVKKQSSSSRKVPEAGGAWPIIGHLHLLGGSESDHLPHKMLGRLADKYGPIFGMKLGVHQVVVVSDHKLAKECFTTNDLALAGRPKSMASEIIGYKHAMFGLCSYGPYWRETRKIATIELFSARRIEMLKHIREFEVKSGIKEIYNNWEKNNLNGVVKMEMKEWIGDLFMNTMGKILFGKGRRSNEDEGINKAHKAIRRFFELLGVFVVADFLPYLRWLDIGGHEKAMKEVSKEMDSVVEEWLTEHKTKRGIIKSGEEEDFMDVMLSVCEDRDLPGVDADTAIKATCMALLSAGTDTTMVTLTWILSLLLNNYQSLQKAQDELDAHVGKNRWVQESDIKNLVYFQAIVKEALRLYPAGPLSLPHESMEDCTISGYDIPKGTRLLVNIWKIQRDPNIWPNPHEFKPERFLSTHKDVDVKGNHFELMPFGSGRRMCPGISLALQVLPFVIAMLLQGFDMKRLLDEPIDMSESFGLTVLKASPLEVLLAPRLAPDLYE >Solyc01g111680.3.1 pep chromosome:SL3.0:1:97783568:97791756:-1 gene:Solyc01g111680.3 transcript:Solyc01g111680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGDDSNTEDDRDNNEKAEEADDGNKDRSNCKSDPLIADHVRVLWMDESESTESINNVIVVDRGFLHGDYVAAASDPTGQVGLVVDINISVDLLAHDGSIFKDVSSRELKRVRGFTVGDYVVLGPWLGRIDDVFDNVTVMFDDGSVCKVMKADPLRLKPVGRDGLEDGHFPFYPGQRVKASSSSVFKNSRWLSGSWKANRLEGTVTKVTVGSVFIYWIASAGYGPDSSTAPAEEQNPKNLKLMSCFSHAIWQLGDWCLLPSSFALDKQLSKLQLSDSTKTVSESSQPLTDGDSEVVHLEESTGNSDCMEIDVESSVDGNCETLEHDYLAESSTCANSLSLSKESGQESWPLHRKKIRKVVVRRDKKARKKEENFERALLIVNTRTSVDVAWQDGKIEGGLESTSLIPIESPGDHEFVAEQYVVEKAADDADDSNDVRRVGVVKSVNAKERTASVRWLKLVTRAEDPKEFDKEEVVSVYELEGHPDYDYCYGDVVVRLLPVSLPAKVGSVLTSTEESEHLLVPVEAKEDEQKHSKCNEAEAAPSDDTCSQFSDLSWVGNITGLRNGDIEVTWADGMISLVGPQAIYVVDRDDDESIVAGSDVGDDVASWETVEDHERETLGNVEEELGTTNATDISIEDEDGAMATEDSGRNGALSIPLAALGFVTRLASGIFSRGRKQTDSSSLDSRSEDEEREGTFAKIFTGDDSWSQRSGDLDNSPRLPAAGNAEDHDTMEVTDVIEANLTSEMGNSSDQHDDQTYSFKRFDITTDPYDHHFLGTSGQNNAGRKWLKKVQQDWNILQNNLPDGIYVRVYEDHMDLLRAVIVGAYGTPYQDGLFFFDFHLPPEYPDVPPLAYYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWDSSSSSILQVLVSLQGLVLNSRPYFNEAGYDKQVGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEELIREHFRMRGYYILKACDAYMKGFLIGSLIKDASVSNNSSANSNSVGFKLMLAKIVPKLFLALKEIGVECEEYQHLHQL >Solyc04g071970.3.1 pep chromosome:SL3.0:4:59060282:59068731:-1 gene:Solyc04g071970.3 transcript:Solyc04g071970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFAVEGGGFFSSSASGYSKGLTLLLLGQKNEEKPMRVAPWNQYQLVDQETDSDLQLASGKNRLVRGCGSFVCFGRAAAGPESPSPLKVGPTQQPEVLPSCPASDKGSDQPQCVNIVEDSYISPKLALKSSLKKPVNSVSISGGNERDTISEKVDDAPNPVEKRKVQWTDTTGGELFEIREFEPSDDGESDDEFESGNERTCSCKIMLLGRVALVTGGASGIGESIVRLFHKHGAKVCIVDIQDAFGKRVCESLGDEDSACFIHCDVTSETDVSNAVDFAVERFGTLDIIVNNAGLTGAPCSNILDYDLSVFDNVLDVNVRGVFLGMKHAARIMIPRKRGSIVSLCSVAGSIGGLGPHAYTASKFALLGLTQNVAAEMGKHGVRVNCVSPYAVATELGLLHLPPEQRTDDAIADFNSHFGKFANLKGVDLMAQDVAKAVLFLASDEASYISGHDLKVDGGFSSVNHSLKVFT >Solyc05g012290.3.1 pep chromosome:SL3.0:5:5568704:5576027:1 gene:Solyc05g012290.3 transcript:Solyc05g012290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDQKVNSTSPAPEPEMMIEEWNGTSSTKLTKTATITSTSHSSISIQRSSNAFTHISQRILQAFVPEGYPTSVTPDYFPFQVWDSLQGLSTYVRMMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGVLFTCYQGSNLDSNAKMWRLVADLMNDLGMLMDLVSPLFPSAFVFIVCLGSLSRSFTGVASGATRAALTQHFALENNAADIAAKEGSQETLATMIGMALGMLLARLTIGHSFAIWISFLSLTMFHMYANYKAVCCLSLNTLNCERCSIVLSHFVKTGQVLSPKHVSSMEHVLPLWMTSWNLKGGDSLYKQVRLGVRVSSLDSLAMVDLLQSAGSHYKKEKYLLQQKGGIIRIITHKDSAGADILQSFIHALVMAKLDDQDGSMSSESQSWMDKHYEVFVLKLQSSGWKTERLLSSSVVWRANWLVDYSDGKHD >Solyc07g014703.1.1 pep chromosome:SL3.0:7:5117325:5125361:-1 gene:Solyc07g014703.1 transcript:Solyc07g014703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHGPTARQLIYKKMGKILGILILALCALICEAAIFRPISDSHRSAALELLTPKDGSFTRILLLLEYYFGALQEHSYTSFSFWVVGGGKLLGLGFEVDKDNPRN >Solyc08g022227.1.1 pep chromosome:SL3.0:8:30166643:30173327:1 gene:Solyc08g022227.1 transcript:Solyc08g022227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKVFLRPGYHQPKIRPEDVPKRAFRTHYVHYEFLVMSFGSTSSPPAFMSSMNGVFNPFHDSLNN >Solyc01g005940.3.1 pep chromosome:SL3.0:1:614495:616753:1 gene:Solyc01g005940.3 transcript:Solyc01g005940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEERQKAIWAIYVWCRRTDELVDGPNADYMNNSVLDRWEQRLEDIFNNKPYDMLDAALTDTICKFPLDIKPFKDMIDGMRMDTRKSRYANFQELYMYCYCVAGTVGLMSVPIMGIAPESCVSAQTVYNAALHLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGIRDEDVFARKVTDQWREFMKEQIRRARFYFNLAEEGASHLNKASRWPVWSSLILYMKILDAIEENDYDNLTKRAYVGRAKKLVTLPVSYARALSLPSLAIQ >Solyc02g078665.1.1 pep chromosome:SL3.0:2:43894952:43896141:-1 gene:Solyc02g078665.1 transcript:Solyc02g078665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGACFGVPPFFSRRKHLQEEEMKETKRSPVVEKKKTPPIAVSKSFKSKGSTVRNSSRVAGNFIIMTELRNKILTLRDLLDLSPCIVFFFHSCCF >Solyc04g005035.1.1 pep chromosome:SL3.0:4:37917:40139:-1 gene:Solyc04g005035.1 transcript:Solyc04g005035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIFNHAAISGKVVQHNLVAKCCQQDRELSTKYRLYYCTLSRRNLLPPVGGKLAWNGNSTMQLDALSKSKRGNVYCNAASSSTAIPSVEKSNFLKLQNGSDIRGVAIDGVEGEPLTLTETVTEAIAAGFSGWLLAKKKNVSSKCLRVSVGHDSRISAQKLQDAVSRGLARAGVEVIQYGLVMLFLGPICN >Solyc08g029344.1.1 pep chromosome:SL3.0:8:36995317:36999420:-1 gene:Solyc08g029344.1 transcript:Solyc08g029344.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVDKSWMDLRRSTDEYIHGVSDFLDKAFERATQGDEILYPCKKFFNPYWHFRNVVEDHLICHGNTPHPTKYDEPFDRQDVVDKLREGPSEDAKRFFKLVKEGKKDLYLGYQTDDDEDNQTEEGDDSSLYPKTGHPIEKSEEGQLLKLIEQEPVASQPEANGQSVEEQPLEQPLEQQPIED >Solyc09g018330.2.1.1 pep chromosome:SL3.0:9:14471362:14471683:1 gene:Solyc09g018330.2 transcript:Solyc09g018330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGWGGRGEGGPRVHNASRTRLKGRGPRGTALRVQGLGARKLEDGEDGRREGRGSSGNETLWMGGMGVERKGILGE >Solyc12g011310.2.1 pep chromosome:SL3.0:12:4145258:4163355:-1 gene:Solyc12g011310.2 transcript:Solyc12g011310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQEEEVVLLDYWASPFGTMARIALVEKGVNFIHKFEDLSNKSPLLLEMNPVHHKIPVLVHKGKSICESNIIIQYIDEIWKNNSPLLPYEPYQRAKARFLVDFINKKVHGSSVKVWMGQIEEQENGKKELVECSKFLEEELGDKLYFGGDVFGFVDIALVPFYNWFIVFKTFANFNTIEIQCPKLVMWGERCLNRDSVSKSLPTSNQVYQAYLDFKKDEVWKDKSPLMPFNPYKRAQARFWADFIDKKVYDSGKRIWATKGEDQEAAKKEFIEYLKLLEGELGDKTYFNGENFGFVDLALIPFYSWFPTFEKFGNFNIEKECPKFVAWANKCIYKDSVSKSLAESNKVYEYVLKMKQHLGLP >Solyc12g096740.1.1 pep chromosome:SL3.0:12:66508739:66510175:-1 gene:Solyc12g096740.1 transcript:Solyc12g096740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polygalacturonase 5 [Source:UniProtKB/TrEMBL;Acc:O22313] MSPLAIFFFFFFNLSLAKNTIYNVQNYGAKSNGKIDSSKAFLSAWGSACASTSASTIYVPRGIYLIRKIYFNGQTCKSNAITIRIDGTLLAPSDYNVIGNDGNWITFENVNGVSIYGGIFDGQGASLWTCKKSQKNCPIGTTALAFYNSNNIVMNGVIVQNSQMFHILVDGCHNAMIQGVKVLAPGNSPNTDGIHVQSSSGVSIRNSNIGTGDDCISIGPGNSNLWIEGIACGPGHGISIGSLGWESKEQGVQNVTVKTVTFTGTQNGVRVKTWARPSSGFVRHVLFQHIVMSNVQNPIIIDQNYCPNHESCPHQGSGVKISDVTYQDIHGTSATEVAVKLDCSKTNPCSGITLDNVNLSYKNGRAESSCVNAAGKASGFKELTSCL >Solyc11g040060.2.1 pep chromosome:SL3.0:11:40207004:40209669:1 gene:Solyc11g040060.2 transcript:Solyc11g040060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLSVLLLLAFKGWRSIKYLSFSSFWCKLYSSGSYNEVLSNGVASKKKEFDFEHLFHSCTKIYILKCLHALLIVSGKAQSIFIGTRLVNLYAHLGDVSLSQKTFCMIENKDAYTWNSMISSYVRNGHFWESLNCLNEMLSTADVKPDFYTFPPVLKACNSIIDGVRIHCWASKLGLEWDVFVAASLVHMYCRFQSSGIAFRIFKDMPYRDMGCWNAMISGFCQNGNATEALSLLDEMRLEGIKMDTVTIAVVLPTCAQLGDVVHGMSIHLYVIKHGLELDVFVSNALINMYARFGELSYAQKVFDGMMVVRDLVSWNSLIAAYEQNNVPEKALKYFQEMMINGVQPDLLTLVSLASSIAQTKSFRSCRSVHGFVLRRSWIQVDVIMGNAVVDMYAKLGLIHCSRKVFDEIPIKDVVSWNSMITGYAQNGLASEAIEIYNMLKECDDIEPNQGTWVSILPAYAHLGALQEGTRTHGHVFKVALNLDVFVSTSLIDLYGKCGKLDEAMLLFHEVPRMSSVPWNAIISCHGIHGNGRVSLKLFNDMLNAGIKPDHVTFLSLLAACSHSGLVDEGKTYFHMMEQEFGIKPGLKHYGCMADLLARAGGLETAYQFIKNMPLQPDASVWGALLGACRVHGNVELGKLASDNLFEVDPENVGYYVVLSNIYANYGSWEGVNEVRSLARDKGLKKTPGWSSIDLNNKIEVFYTGNQSHPQCHEIYEELGILTAKIKTLGYTPDYTFVLQDVEEDEKEQILTSHSERLAIAYGILSTPPKSPLRIYKNLRVCGDCHNVTKLISKITEREIIVRDSNRFHHFKNGVCSCGDYW >Solyc02g091470.3.1 pep chromosome:SL3.0:2:53398654:53402149:1 gene:Solyc02g091470.3 transcript:Solyc02g091470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFIPNSFTNSVEPLHSSYPLKTQALATDTDNHCGNEELKIKSLIYRMIWDFGLACFVPTRRRRASGEDNGEKDEGVSSLEHNKAWLLAESGACGTELINAEPHSVHSSFRFSFCSQVELESMNVNKCSSATVLMVNLDNGLTDPLSRELKWRKIQSLERSISPVTHSLIRFTYPEIASATRNFSKGRVLGRGALSYVFRGRVGFMRTTVAIKRLDREDKESPKAFCRELMIASSLHNPYIVPLVGFCIDPEEGFFLVYKYVSGGSLERYLHDKKKRGVKGGPVLPWSTRYKVAVGIADSIGYLHYGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTPAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELLTGRKPIEAKRGPGEENLVLWAKPLLQQGSLEKFLDPRLKFPQKNLQQIARMTQAAAACIHTEESRRSNMDEITAILRGTEVRSLTRKKSISSNNCVIDPQLQRTKSEMNSHLALAMLGVPDFEDDDFYCR >Solyc05g050780.3.1 pep chromosome:SL3.0:5:61798662:61806513:1 gene:Solyc05g050780.3 transcript:Solyc05g050780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSLYVVLIIFVYPLFVLAGSPVKYLPGFEGPLPFQLETGYIGVGEANEVQLFYYFIKSQSNYKIDPLVYWFTGGPGCSPLSAILYELGPITVDIRAYNGSLPTLSLNPYAYTKVANMIFVDLPVGSGFSYATTQKAIYSDDLQAADHAYEFIRKWLIEHKEYLNNPFYVGGDSYSGITVPIVTQVISNGNDMGIKPWINLKGYIIGNPVTSTDPDYKYKVPFAHGMGLIPNELYESFVTNCGRDYSPNDSTNQLCSRDIRTFDWLIKDIYQYHILESPCELVSHDSRRSLAGNVQKLKNLSSFFGMKCREEWHELSVIWANDKVVQDALHVRKTSMAWERCRSNLSFGLIINNSVSYHANLSRKGFRSLIYSGDHDMVVPFSSTQLWIKSLKYSIIDDWKPWVVDGQVAGYTRSYSNQMTFATVKGGGHTAPEWKRVECLEMLKRWLSHVSL >Solyc06g071470.3.1 pep chromosome:SL3.0:6:44106660:44118594:1 gene:Solyc06g071470.3 transcript:Solyc06g071470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKPCFIDKPVVPGDVVLDLSSMTNQTIKLGGGLQQDHDAVTVVKAGILRFSKPNKYWIESSHKRYIPTVGDAVLGIVVDKRADVGTLIYTRIVKANPGINPELSCMDASGKAAEFGPLKDGYMFESSTGLSRMLLSSPTCPVLEGLGKKLAFEIAVGLNGRVWVNAEHQSSIILAANAIMNSESLTSVQQKIMVEKLLDRELDNMASQSDSPPNSVNENTQNPATASQPAAENHQGPKPEAASGSSPASVFVNSEPIREDQVQNAMKFLSHPKVRGSPVMYRRSFLERKGLTKEEIDEAFRRVPDPTPTVTSTQPVAANEDGTQKPSSTSTSQAALQNLQPASAPSNSMKKMGYLSHFHWTHAVIAVGLLAASGAGTAVLFKKSIIPRLKSWIRKVVMDEEEDEKEKRYFEELTSLLNYQVREMKSMTSAIEKLEVHEMKSVTSAIEKLEGQSTTSGRIPVSELDDRRISVTQSRQSYANGKVNGDAHSVRSLSPPASVEPSAAPHPKSYMEIMAMVQRGEKPPNIRDINDQPPNPNQPVPDRVAAKPKPWEVGQSQNNSNFLQSQGSGDGLNYGYQDNLTNGDNSAPWWQRKNARITEIEAEGEQNFGSPAAPVQERPIQRSWVPPQPPPVAMAEAAAAIRQPKKSASQNEQLTDDQLLARSSDELQRVTRISESGGAPEANGSSSGLQMSETTPIEEGDQTFSS >Solyc09g082260.1.1.1 pep chromosome:SL3.0:9:68480150:68481058:1 gene:Solyc09g082260.1 transcript:Solyc09g082260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTEELSMKFEEVLKLSNDKDVVTDDYSDSDISLRVLDLTGVDGFMEFYAYVNDSVSCDTFESKEDAVVADDYSDSDMISLRPLDLTDVDDFMECYMDDNVSMFYSCEPFESKEEAMQHMVDFELTRPWNRAICLNDKVIGTICVTPLDQEWYSCRAEIGYEISSKYWGKGIATKAVKMVASTIFVEWPCLARLEGVVDVENPASQRVLEKAGFTREGIMRKYYLRDGEPRDMVMFSLLSTDSLDNLGLDIPICGKSSYEKRKNHSGSDGRKAGKHPFSVFSPERKESTAKPTRKNKFSRY >Solyc03g093850.2.1 pep chromosome:SL3.0:3:56941925:56965050:-1 gene:Solyc03g093850.2 transcript:Solyc03g093850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRNNMNESVNNKENVVGSGGGLTAEQKARISSKFRAAKALLARKRPLHDCSTTSLDKVGKAQRTTSLDRIDGDKRFPLTEIPTNTPSPIYEKGSNKTFNVFTSVKGSQVRECGSSINARTTREIQFQTVETFKFSSNRSESVESNLSENGGSVQVENMVGLNTYITPVRQPGFSGLTESSFSSTVLDDDFDEHILDEIDALCEQNSKEKPGKRFNSIPIENHHINNLDKEDNLNAVVSSDNSGQECILSRGNQESEAERSKSSGPDNSNLVRSDEAFKLECILNSTGNQECELEDPKSCKATDTGSKLEATDIRNMPEDYIKYVESLNDRQQEAACSDISIPLIIVAGPGSGKTSTMVGRVLMLLHKGIGPSNILAMTFTIAAASEMRERIGRVAGKTAAKELTISTFHSFSLQLCRMHAEKLGRTPEFLIYGHGQQRRAVIEAVRLLDDKKNGPRDELCQLNDKNSPQHFKERSKKWLKFVTQAKSAGRTPDDYYKIGNETGAAVLQSYNDILKSCNALDYHDLISCSIKLLTDFPEVLEECQELWKAMVIDEFQDTSAVQYGLLHILASHKRITIVGDEDQVKLKLVFLTLSSETFFSRLAVTSSLLLFPSSTLLLRVFNIILQSIFGFSGADASGFDSFRKDFPLHKEVRLSKNYRSTRCIVEAASFLIQNNSKRCQSKRVLTDNSVGSKITIKECCNEDAQCSFVVDKILEIASDGTTEKSSFGDIAVLFRRQVSGKIFQAAFRNRKIPFNVHGVAFYRKKVVKGIIAMLRTTLPGSDDGSFRRVFKALLPSEKEEKMKVIEYIEKVSTVRKSSFISAARDIFSAKVSGTFKRSQLSQGRKVLLMIDMISKLVKREESISAVITSVANMIPQKYLLEQRAVHDNDGGKLLNEDHDVRPVLQYLLDDVSDFLKTHKNPIEGESECKTEGQGCANILKAFIDHISERESENFRTRRHDNKDSVTVTTIHQSKGLEWDTVFIVKANESEIPLLHEFNGITNERSNSIEEERRLLYVAMTRARKKLFILHVIMDSNWQVLQPSRFLREIPRHLQETQEELTNHQKLQKGTPQSGSAEKVESVDHRITIDDPLSEPVDGMSKESIDTLELCNSKIFLKRFNAEDRTVVSHLFHHWAKKPAFQEPRRLLKKVEFVIDERLRVKKSTHKDVLRALKSSLTCEEALQYAESVLNWEKIPAEKRAYLMREKQHSCGARPSVRRPGLSQPPRDCIAGVRQPGSCRGSFPFPFNIWEYFQKLRIESSMGTSEPTPKQIAYLQSLGCTTVPSSRLHASRLIEEYKSL >Solyc11g017130.2.1 pep chromosome:SL3.0:11:7924583:7932004:1 gene:Solyc11g017130.2 transcript:Solyc11g017130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKLEISSIEEDKKIPVFTVLKNGAILKNIFLLDNPPPCSNQESEIEEILVVGRHPDCNITLEHPSISRFHLRIHSKPSSLSLSVTDLSSVHGTWISGKKLESGVKVELKEGDRMQLGGSSRVYRLHWVPISHAYDLENPFVPTLCESEPEESTQEEQHQDESGFSLQNDQIQKEDYDMVQGLDSSFSGMSSLPRLRSLTPPAPPMLDKNVAANENLPGNIHEEGEISLRQPAYQADKENSIPEALLVPGQSPNENADGTPPRSQQRCSSIWSRRGKHSNVQIQTGKDRAMNENIDMETEVESINREIEGTISVSKDLFASGNKDKEEEVFTPDKENHTPSSLFLGSMKKSCLSEMTNRSGRKSVLSNMDETDEETFTPDKENMTPETRRLRLMKKIGSQHQIKHPKLFKSSSLKLVVEPRSNQAAGCVSHKKEKLGSTTKSTQPNVDENDEEIFTPDKENMTPDTRLMRSMKKIGKLEDLKLESFKFSLDNVVDPIFHQNGTPFSSEKDNLNDKVLEEQKSTILAPRYPARLEVNTVKNRMDRVPLQSLLVNYPVKTSSISPEENIKLRDYPIQHPETMELCPFFNESFMEKKRWTIVVDTGSLLNKESRKSLQLLQGLRRTYMIIPRTVIRELDCMKRRASLFRRTTEVSAALEWIEDCMINAKAWIHVQSCAEETRAVAPTPPATAPLSLFSEENGMFPVGSHQFSPHSGLMDFASPTAEDHILEYALFFKRTNRNGQLVLLSNDLTMKIKAMAEGLNCETAEEFRESLVNPFSERFLWKDSSPRGRTWSCEDDFVLRETYYHGPPKKPSMSGEAAKGLKLILLHNSHFRCHISTAS >Solyc05g005720.3.1 pep chromosome:SL3.0:5:532237:536584:1 gene:Solyc05g005720.3 transcript:Solyc05g005720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4BW99] MSSKEEIEENSVSEGLLLRRNGSNGGGSSGSLRWVDGSEVNDNQEEVYDKNEEIIRENNYGSVRRRLKKPKRVDSLDVESMQIKGGNGGSQHIKDVPLLATLSLAFQTLGVVYGDMGTSPLYVFSDVFSKVHITSEVDVLGALSIVLYTIALIPLMKYVFIVLKANDNGEGGTFALYSLICRYANVNLLPNRTPADECISSFKLRLPTPELQRAVYIKEILERKSLLKTILLLLVLMGTSMIIGDGILTPAISVMSAVSGLEGRIPGFNTDALVIISIIILGGLFSIQRFGSSKVGFTFAPALALWFFCLGSIGIYNLLKFDVTVVRAVNPTYIYLFFKKNSTNGWSALGGCVLCITGAEAMFADLGHFSVLSIQIAFTSVVFPCLLLAYLGQAAFLMKYPQSAGRIFYDSVPNTFFWPVFVIATIAAIIASQAMISASFSCVKQAMALGCFPRVKIIHTSKEHMGQIYIPVINWFLMIMCMLVVAAFRSTTSIANAYGIAEVGVMMVTTTLVTIVMVLIWQTNLILALCFPLIFGTMEVVYMSAVLSKILEGGWLPLVFASLFLCVMYIWNYGSVLKYQSEVKQKISLDFMDELGCSLGTVRVPGIGLLYNELVQGIPSIFTQFLLDLPAIHSVIVFVCIKHIPVPVVPQEERFLFRRLCPKEYHMFRCVARYGYKDVRKEDHHLFEQLLVDSLEKFLRNEALDLALETNKQSQPEFDNNVVSPMDNSDELKVPLMRDQRLEIETCISEPPATTAAGDEDPSLEYELSALREASKSGFTYLLGHGDVRAKKNSWFIKKLTINYMYAFLRRNCRGGNATMRVPHMNIMQVGMTYMV >Solyc01g087035.1.1.1 pep chromosome:SL3.0:1:81830128:81830256:-1 gene:Solyc01g087035.1 transcript:Solyc01g087035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVQRLQTLKKNKKTIKWKVEKKVVYLYPEQLVVGSNRQKQKP >Solyc10g008480.3.1 pep chromosome:SL3.0:10:2588727:2591838:-1 gene:Solyc10g008480.3 transcript:Solyc10g008480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMEKGSMQSNLDCFLNCTTPLVPSQFLAKSEIRNLNRLWHPWEREKVEYFKLADLWNCFDEWSAYGAGIPIRLDTGETLVQYYVPYLSAIQIFTSTSPANFLREEAESVCEMRDSFSDSLSEESENEKLSRWDGCSSEEGVVEQDSLSRTNDRLGYLYFQYFERCTPYGRVPLMDKINGFAERYPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLLTCFLTFHTLSSSFQDMDLEDDMENCSRKRKEGENISLPPFGLATYKMQGDVWISDRSGRDQERLMSLFSVADSWLKQLGVQHHDFNYMSIRRG >Solyc05g016075.1.1 pep chromosome:SL3.0:5:14467690:14468350:-1 gene:Solyc05g016075.1 transcript:Solyc05g016075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSMNEKFKKYWGEPQKMNKMIFISSIFDPCNKLDYVPFVIADIFGKEVGKKLCSKVKKYMNKLFEYYVKKSPKSSLHVPFSPTSSNNSSSISSVSGCGNFVNRGRMRTKQQFKKHKEVSGSSGNNSELRRYLEEDSDEFDILIWWKVNEPRFPILAEMVRDVVASECAFSTGGRVLDPFRSSLTHKIVKSLICVQDWLRSEFFPINIEKYL >Solyc10g078590.2.1 pep chromosome:SL3.0:10:60494981:60496455:-1 gene:Solyc10g078590.2 transcript:Solyc10g078590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEWEILPDDGFLQIHDDDGKMIFSRKYSSDNSKNEFNMNYFICPSPKSSQFVDTTHQLVPLDPNSQETSNDQEKVVIPIEAMITKVPLELKVPNFGEHHDQFSQVFFKKMKENEFDNMKIDSPKSINKSLLSQFEEKTEDFEVEKDEIIEENGNSGLNLLNKSLNGIGAICSFGVAAAATICIIFIGNHQKHKQNQKIRFQIFTDDKRIKRVVQHATRLNEAISAVRGVPVTRAQITVGGYYDAL >Solyc03g112170.1.1.1 pep chromosome:SL3.0:3:64153793:64154308:1 gene:Solyc03g112170.1 transcript:Solyc03g112170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHSYNFAIFMILSISFTLTSVKGDLIDEICSKTDVKKVCYYALREDPRSKGAKPEGLMAIVIDLSQKNATFACNLVSTLLKGTTDPKLKSRYTLCLGNYNKASDIVAKMPDLLKSKDYYGLSANASAAIREPSTCDNNFIEPPVEVPKLREASDNLRGLIDVILVLVGFL >Solyc03g046497.1.1 pep chromosome:SL3.0:3:13034464:13035498:1 gene:Solyc03g046497.1 transcript:Solyc03g046497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIMNSGKAEFEFDRRHIKAILMNSMQDMLMASMDTSPTSIDWIFSELISHPKIMKKLQKELEQVVGINRMVEESDLEKFEYLEMITIDGFDIPKGSRVIVNTWAIGRDPEVWSEPEKFVPKLLPFGSGRRSCPGLQLGLTTLHLVLAQLVHCFDWELLNGMNPNDLDMTEKFILVMYRAEHARVIPTYRLNVY >Solyc01g057110.1.1.1 pep chromosome:SL3.0:1:58761626:58761781:1 gene:Solyc01g057110.1 transcript:Solyc01g057110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICRVKLIYLWWVSHCEVHLLRKTCLDRSTIVKMESLPQEDELHQPTFRLV >Solyc07g047750.3.1.1 pep chromosome:SL3.0:7:59080229:59082218:-1 gene:Solyc07g047750.3 transcript:Solyc07g047750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPPDPQCSYFLCMASNLFAYFTLCIYIPLSVLLLLCIYLGNESFFFCNDES >Solyc01g088610.3.1 pep chromosome:SL3.0:1:83243219:83247297:-1 gene:Solyc01g088610.3 transcript:Solyc01g088610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTFLTLAKPFTSHSTNLPSFSPQRPIGLRRNSLRINAISQKWEPTKVVPQADRVLIRLEELPEKSAGGVLLPKSAVKFERYLMGEVLSVGSDVAQVETGKKVLFSDINAYEVDLGTDARHCFCKESELLALVE >Solyc10g055280.2.1 pep chromosome:SL3.0:10:56512253:56515160:-1 gene:Solyc10g055280.2 transcript:Solyc10g055280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSETRKSHPVACLTWIKSQISENPSRVFASWNDSVCFCQWVGVKCDLRHERVIQLNLEGIRLAEIGMGSKVSIKGDMYSFGILVLEIFTRRRPTDDTLFQASSSLHDLVETALPEKLWRF >Solyc06g036330.1.1.1 pep chromosome:SL3.0:6:25991290:25992270:1 gene:Solyc06g036330.1 transcript:Solyc06g036330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPIFISTATLHTLLTHKSLVDHLQFSLPTFASTTESPLRHAHQTSPSTSLLLMPCWSRAPSLPYIGVKLVTYHPNNSTQNLPGVHASYVLFNSITGQTLSTMDATELTVYRTACTSALASKFLSRQDSETLLMIGAGTLAPHLIKAHLTVRPNLKKVIVWNRTADKAKRVIENLQSEASFEGVSFESNASLEEVVGLGDIVSCATNSGTPLVKGKKLKEGAHLDLVGSFKHSMRECDDEALKRGKVFVDNEAAMVEAGELVGAFERGAITRDDIVGDLLELIKGEKNGRTTAEEITVFKSVGSAVVDLITAQLAYETYMKSH >Solyc12g042605.1.1 pep chromosome:SL3.0:12:58899182:58904423:-1 gene:Solyc12g042605.1 transcript:Solyc12g042605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGEAQAYGIVVNSFEKLKPQYVQGVKKAKGNETTFGQIGHVSLCNKEEQDKVERGNKASIIDEHHCLKWLDSIL >Solyc07g066170.3.1 pep chromosome:SL3.0:7:67783532:67787479:-1 gene:Solyc07g066170.3 transcript:Solyc07g066170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEEQNTANNKEMITPPIFENANQSKVESSDGSSPPGDNSSNNNLDDVKGKGIDIKEVEDYSTPLVKSSPITKGFGLKKWKRMKRDAQRQDGDSSVNSGKLLKRGLASEFANAEKPATFAVGRIQKSDGSVSSTNAVFMNPGVLSDGFGVIGDSGLAMGPNFIAVSESENSEDRSSRSSTAASAPKARYEAPVHLGYPSDKHWLRSLSGKSLSTSAQKPHQRKGRAETCKKPRGERVKIEKENSHSSMESDSRSSNFLFMQGDFATSNGTKGERSMNYDEESSDEAQDRERPIGEELGAGLERGNDRESENVSKEDLAAESPWDVNEEKSENHGSSTDHEPLTESIFNFHAAQEALASGGVFLDGSICLEDEIQKFKEIGKDTNFGHSLEDVGIPSNFTSDDSDFPRSSTSVLSQNRDGAQSSLNSLESEVYSLKQNILLLQNQVQEAADLAKSKEARVTELEAILSSSSKSEEETTEGEFESLFRLKIEAEVQYVALSTTAQKLRSAAVYQLTLLEEQKTLASEQAQMVHVLGDAEAKAVVLKTQTKKLETYCEDLASTAEKLKLQKKVCKYSSCFFIQLVLLAVVVGLFLMQISPDHAELVPT >Solyc05g013470.3.1 pep chromosome:SL3.0:5:6680555:6685603:1 gene:Solyc05g013470.3 transcript:Solyc05g013470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BY68] MENDSIVPLLTSKVNNHSNGSSFKPDSYDIEVINGVKDLIRQFSAESKKLWYLAAPAIFTSICQYSIGAITQIFAGHVGTIQLAAVSIENSVIAGFAFGVMLGMGSALETLCGQAFGAKQLDMLGTYMQRSWIILIATSLILMLPYIFATSLLRLIGQTSDISKWAGTFAVWMIPQLFAYALNFPIQIFLQAQSKIMVMAVIAAVGLIGHTLFSWLFMLKLGWGLVGAAVVLNGSWWFVVVAKLLYIFSGACGEAWSGFSIKAFQNLWEFVKLSFASAVMLCLEIWYFMALILVAGYLKNAEVAVDAISICMNIQGWTFMVALGFNAAISVRVSNELGAGHPRSAKFSVIVASITSLLFGMFLAMVLLVCRSWYPLLFSNNERVQHVVYELSPILAATIVVSSLQPTLSGVAIGAGWQAYVAYVNIVCYYLFGIPIGLILGFILDTGVKGIWYGMLAGTTVQTGVLIMMILRTNWNKEASLAGDRIKLWGGDSKVKENGENLIN >Solyc07g066180.2.1 pep chromosome:SL3.0:7:67792031:67794498:1 gene:Solyc07g066180.2 transcript:Solyc07g066180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVEIPVVDTQSLTIKIANSGQQKLTATSSAYNSPSLISPPSSAFVSALQSPYISPRATLVPNSNQETPIVPLTSVVHPSPPVSYCGSQSDDVPSTSYTPPPERYDFSDDPTDTKLKIVTCVPVSGPETDPRISFSFPVPRISFAKGSVSPASNAKLRSCDVYIGFHGQNPNLVRFCKWLKSELELQGIACFIADRAKYADNQSHEIADKVICSVTFGVIVVTGCSFSNHHSLEEIRFFAQKKNLIPLFFNTDANEIASLFNHNGDTKKCKEALDVILKCHEFRLETDESNWRSCVSKAAGILRAKLGRKSVVEKCTEGFEELPFPRNKSFVGREKEIIDIETTLFGCGDSFEQESVVPSAKGGTPGQSEGLADDESEAVVGRGKYINLELGKNKETNKEAWVERNSLKRPKYRKSRSGKDKNLSMSVVCINGLAGVGKTDLALEFAYRYSQRYKMVLWVGGEARYFRQNILNLSLNLGLDVSADAEKERGRIRSFDEQESEAFKRVKREMFRDMPYLLIIDNLETEKEWWEGKDLHDLIPTNTGGTHVIITTQLSRVMNFDPLQLQPLSTPNAMILIRGRRKKEYQAGEVEFLHKFDEKLGRSSFGLWVVGSLLSELAILPSALFEAVNQVPVEETASCSNLSIPHQQFCRDNPFLMKTLVFCTALLQQSNDSRDSVASRMLQVGAWFAPAPISVNLLAAAAKKIPVNRNRFKKWTKCMKVALCFYSGQCLTSQAWKSEEESALLLVKLGLARKANRQTGCWIQFHPITQIFAKRKDGLVAAKANIQV >Solyc02g094435.1.1 pep chromosome:SL3.0:2:55598339:55599163:-1 gene:Solyc02g094435.1 transcript:Solyc02g094435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAISAGTYTAAGVSPLLQSRNSCLRITSARFKIASTAATTGRSTASIGQQPPTVPMSIVDSSELEQTTTLNMKDFMKWSKDMIASGSEGGPPRWFSPLDCAAPLKDSPLLLYLPGIDGVGLGLIKHHKRLGK >Solyc08g014560.2.1 pep chromosome:SL3.0:8:4738108:4750431:1 gene:Solyc08g014560.2 transcript:Solyc08g014560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGITIKVKAKQIEVEGPRGKLTRNFKHLNLDFQLIKDEETGKKKLKIDAWFGSRKTTAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASISGGNKSIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIVEEEPGIDFDLGVKLWIRIGGRISNQVLRLDLDSGVGWSQIWGRELRLNPRSRAYNVVNFGAKGDGKTDSTAPFLRAWMSACSSTSPSNVYVPKGTYLIRPVTFTGPCRSRIEFRNDGTIVAPVDYNVIGGSNFWILFYKVSRLSIYGGTIDAKGHSFWSCRRSGHSCPPGARSITLLWCDNVVVSGLKSLNSQIMNMAIDYSSHVRVEKIRIRSPGGSPNTDGIHIENSRDVTITDSIIQTGDDCISIGTGSMNMWIQKIGCGPGHGISIGSLANSLNEAGVQNITVANSVFTKTQNGVRVKSWARPSNSYAKNVIFRNLVMRNVGYPILIDQNYCPDKNCPHQNSGVKVSQVTYKNIKGTSSTQEAIKLDCSQTNPCSGITLQDIKLTFVDPRLKRQALTYCKNAQGTHRGTILPRSCF >Solyc01g110090.1.1 pep chromosome:SL3.0:1:96793708:96794510:-1 gene:Solyc01g110090.1 transcript:Solyc01g110090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B3M2] MGNTLKKILDLELADESQPFCCKICMEPMLLSSKKFKNQNLCVHPFCIDCIITYISVKLVDNVVEIPCPFSNCNQFLDPISWLTWCYCPNRNCSALILDECGGFATRSKCPSCKKLFCFQCKLPWHAGFQCEQSGALRDKNDVAFSKLAEGEKWQRCPKCRSFVQLSEGCRHITCRCQANFCYDCGMLFDKSHGLCACDSQLITFNRIIDLRFGNWRFLF >Solyc08g068720.1.1.1 pep chromosome:SL3.0:8:57928386:57929099:-1 gene:Solyc08g068720.1 transcript:Solyc08g068720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSLQQPIPSESITSDASSDVTITGKIYTRVRLATKSNLSHIYKLFYQIHEYHNYIHLYRASESSLANLLFKENPLPLFYGPSVLLLEVSQTPFKEPTNEGFKPVLTTFDLKFPVVEGEVEEFRSKYDDKSDAYIAGYAFFYANYSCFNDKPGLYLESLYLRESYRNLGMGKLLFGTVASIAANNGFVSVEEIVAVWNKKAYDLYINMGLEIFDEFRYGKLHGENIKMYADKNVGN >Solyc06g034238.1.1 pep chromosome:SL3.0:6:24095388:24095803:-1 gene:Solyc06g034238.1 transcript:Solyc06g034238.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLFTIVSLVIFFFLHHLIGRGFLHPTLRNLAQRYGGVMYLQIGEIPVVIVSSSTIAKQLLTTHDLAFSDRPQSTSTTILFYNNKDIVFSLYDNYCKQMRKICKVPTF >Solyc03g098125.1.1 pep chromosome:SL3.0:3:61899717:61900879:-1 gene:Solyc03g098125.1 transcript:Solyc03g098125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQRLIEGEGIWIRNIVMIVLPEVINSCRLMRLTELFKNLFGVSGNKGCNAKDAISSAGSILIGIVTLHGLGLSRLVKFLPIVTH >Solyc06g008770.2.1 pep chromosome:SL3.0:6:2700827:2705543:1 gene:Solyc06g008770.2 transcript:Solyc06g008770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKDNEEANNSLVLFSALSKDIADVLVFLENEENQKALDKDQVEKLKLKMAFIYTYVQLSYSDFEQFEDIMTRKRQEVENLLQPLLDDDVLTSLTSNMDDCISLYKSDAIMMDEQLDFLLLNLYHLSKHRAKKMFPRLTQYEVLQNVCGNIRDFHGLIVNGCIKHEMVENVLPLFQLMAERVGHFLWEDQTDEDSDEDHQNDRDSRLFQLTHLLLKIVPTELEVMHICYTNLKASTSAEVGRFIKKLLETSPDILREYIIQLQGHMITVIHPSTSGARNIHVMMEFLLLILSDMPKDFIHHDKLFDLLAHVGVLTREVSTLVRDLEEKLRNKEGNNQTNCATLDLLENIELLKKDLKHVYLKAPDSSQCCFPMSDGPLFMHLLHMHLNDLLDSNAYSISLIKEEIELVRQDLEFIRSFFVDAEQGLYKDIWARVLDVAYEAKDVIDSIIVRDDGLLHLIFSLPITIKKIKLIKEEIFALDENIPKDRGLIVVNSPKKPVERKSLTTDKIIVGFEEETNLILRKLTSGPADLDVISITGMPGSGKTTLAYKVYNDKSVSSHFDLRAWCTVDQGYDDKKLLDTIFSQVSDSDSKLKVILIVLDDVWDTTTWDELTRPFPEAKKGSRIILTTREKEVALHGKLKTDPLDLRLLRPDESWELLEKRAFGNEICPDELLDVGKEIAENCKGLPLVADLIAGVIAGREKKRSVWLKVQSSLSSFILNSEVEVMKVVELSYDHLPHHLKPCLLYFASMPKDTIMSIYELNIFLGGEGFVGKTEMKSMEEVVKIYMDDLISSSLVICFNEIGDALNFKIHDLVHDFCLIKARKENLFDRIRSSAPSDLLPRQITIDDKEHFGLNFVMFDSNKKRHSGKHLYSLGINGDQLDDSVSDAFHLRHLRLLRVLDLDNSFIMVNDSLLNEICMLNHLRYLRIGTEVKYLPLSFSNLWNLEILSVEHNESTLILLPRIWDLVKLRVLFVDDCSFFDMDADESILIAEDIKLENLRILVKLLIFYSKDTKNIFKRFPNLQMLQFVLEESWDYSTEQYWFPKLDCLTELETLSVSFKSSNTNHSGSSVATNRPWDFHFPSNLKQLLLSDFPLTSDSLSTIARLPNLEELSLYDAIIQGEEWNMGEEDTFENLKFLNLRLPTLSNWEVGEESFPNLEKLKLRGCGELEEIPPSFGDIYSLKIIKIVNSPQLEDSALKIKEYAEEMRGGGELQILGQKNIPLFK >Solyc04g049770.3.1 pep chromosome:SL3.0:4:43276878:43306714:1 gene:Solyc04g049770.3 transcript:Solyc04g049770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPEDDCRVGVEHPEKHEDEEAVARHEEFKKSVEAKIALRQNNLNPERPDTGFLRTLDSSIKRNTAVIKKLKQINEEQREGLMEELRSVNLSKFVSEAVTAICDAKLRAADIQAAVLICSLLHQRYKDFSPSLVQGLVKIFFPGKAAEDVDVDRNARAMKKRSTLKLLLELYFVGVVDDTGIFVNIVKDLTSVEHLKDRDATQTNLSLLASFARQGRYLLGLQLAGQDILEELFKALNVTTDQKRFFRKVFQTYYDASVELLQSEHASLRQMEHENEKILSAKGELNEENASAYEKLRKAYDQLYRGISGLAEALDLQPPVMPEDGHTTRVTSGEDASSPGGSKDSSSLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKLSEQLAKVQDHSIDSTPDADETQTAAQEIADAVAVQEDRNDIGKDKDEKDKEKTKEKSKEKDKDEKDKEPDKEKTREKEAERKGEGDKEKAKGVEGTNLDSLLQRLPGCVSRDLIDQLTVEFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPPGLVFSCLKACLDDFSHHNIDVACNLLETCGRFLYRSPETTIRMANMLEILMRLKNVKNLDPRHITLVENAYYLCKPPERSARVSKVRPPLHQYIRKLLFSDLDKSSLEHVLRQLRKLPWSECEAYLLKCFMKVHRGKYGQIHLIASLTACLSRYHDDFSVAVVDEVYKYLSLTTIFLRRIVLEEIRVGLELNDYGMQQRRIAHMRFLGELYNYELVDSSVIFDTLYLILVFGHGTSEQDVLDPPEDCFRIRMVITLLETCGHYFDRGSSKRKLDRFLIHFQRYILNKGVLPLDIEFDLQDLFAELRPNMTRYASIEEVNAALVDLEEHERIVTSEKANNEKHSETEKIPSRTTSGMSVNGQSLSNGIEENGLHEEVVETESDSENGTIEHVAHDDDEETDDWNRDDRCDTEDESDEGDGPGSDEEDKVHVRSKVAEVDPLEEAEFERELRALMQESLDSRKLELRGRPTLNMTIPMNVFEGPTKDHRGVEGESGDETLDEATGGSKEVPVKVLVKRGNKQQTKKMLIPRDCSLIQSTKQKEAAELEEKQDIKRLVLEYNDREEEELNGLGNQPSSWTQSSGSRVAHRGSTWDAPGRGSGSRHRYLHHSGGGLYYGRRR >Solyc09g098510.3.1 pep chromosome:SL3.0:9:72820384:72825406:-1 gene:Solyc09g098510.3 transcript:Solyc09g098510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLLFLALNSKESCCTSPKFEELVVGALSLLVMRLFAGGPGRGRHYLPHILVAILALVDIVSADPYIYASPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPPISPTTILLQVSSTTITITPTSILLQITTTTIPITSTSLLLQVSSTTIAISSSTILLQVPTTTITVSSSTILLQITTTPITITTPSLLLSLSTSTREVSSSSILLQLTSPTRKITSSSSIHLWFSATTSPLLSSWKSLAIFHNHSNFSFSHKISDGFLRRRLIVPCSNAINKMFNFAIERLIPSDLDHFASASLVSLLHIVLCVSSRGKWLK >Solyc06g075565.1.1 pep chromosome:SL3.0:6:47060524:47060934:1 gene:Solyc06g075565.1 transcript:Solyc06g075565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEFSEIDSLVDNSDEEDTELCTCHHVSVLEMDCGRVGERKKVGDGERGSIERKRGIFSTLLGMNLFKFYK >Solyc06g071880.3.1 pep chromosome:SL3.0:6:44422522:44426782:-1 gene:Solyc06g071880.3 transcript:Solyc06g071880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSNEPENPTKSCKARGSYLRAHFKNTRETAHALRKMPLNKAKSYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLYITHIQVNQAQKQRRRTYRAHGRINRECSSYLLDGVLIVVKYMHNKFKLIDLSAAYMSHPCHIELVLSEKEESVKKESSLDLFVSCHYVVFSLNWLVLFEHVYEGILDFYGLAFSKNELG >Solyc03g122120.3.1 pep chromosome:SL3.0:3:71553937:71559774:-1 gene:Solyc03g122120.3 transcript:Solyc03g122120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASSLASPLCTWLVAACMSVSSEKDQFSKPASSFQSSKFMGRRRKAITKFKSGSLMNGSVMNTSWHNCFGFEPCEEYYKSDGFSASFSSLFAENPFSVFGFKQVPLNRRQRRMSSAAHPGKPMAVAVQPAMEATTMKKPLMRNRRVVVTGVGVVSPIGHDPDMFYSNLLEGISGISQIEAFDCSEFPSRIAGEIKEFSTNGWVAPKLSKRADKFMLYMLTAGKKALADGGITEDLLQELDKARCGVLIGSALGGMKVFHDGIEALQVSYKKLNPFCVPFATSNMGAAMLAIDLGWMGPSYSISTACATSNFCILSASNHIIRGETDMMLCGGSDSAIIPIGLGGFSACGALSQRNSDPTRASRPWDMDRDGFVIGEGSGVLLLEELEHAKTRKAKIYAEFLGGSFTSDAYHMIEPHPEGNFIHGNYHRIAGIPAQILYRVHSHSKAWKTCIPTDFFYMLYKIGSGVVLCIEKALAQSGVKREDVNYINAHAASTPAGDLNEYQAILHCFGKNPELKINSTKSMTGHLLGAAGAVEAIATVKAIHTGWIHPNINLENPDNGLDMNVLVGSKKERLDIKVALSNSFGFGGHNSSILFAPYKNV >Solyc08g079540.3.1 pep chromosome:SL3.0:8:63173181:63174691:-1 gene:Solyc08g079540.3 transcript:Solyc08g079540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSDSVDRCQLVNKMQHCMEILYLEDYFRRDSAEGSVPIPYLSAAIWCQSTLSISFRLGLGHWMMLPHHCEKVVRGPKVEEYCRHEATQERDA >Solyc04g005220.2.1.1 pep chromosome:SL3.0:4:176776:177081:1 gene:Solyc04g005220.2 transcript:Solyc04g005220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVSMDDAEPKDWQFSGSNLINASNRIIDSFCVSVWGNPISLSNVGLCGTNIPMNPGAATVLDWTQPNAMSKGGTFSMVPQSLTQLTADSGFIERVTRFS >Solyc06g069385.1.1 pep chromosome:SL3.0:6:43263353:43264785:1 gene:Solyc06g069385.1 transcript:Solyc06g069385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDGLLKTVAANKPIKQNDDVASYESIITDTKLPTYINIALADNPTPYDSWTLLATHSPPYTCPSFSTHLHGPYGGGGRFLGGAGDRRLRPNNHQNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKSKRSKPKSTTADDTPEEPKSDTNSSSESSSLTATTTAAAAATANTPGAATTEDVSATSSNSASTYLNFPDSNFFIPHSTNQTFDDQPLMENSVEDQFQDIGNFTNMMTSSNDPFNMVDIPAYRLPENQNSNEQWNTETKMVETLPTSGEMKMEQMSTDFLNQTGRVDEYPGLHQSNSELTPLNWQTGGDHGLYDLTGTVDHQSYWSQTQWGENDNSLNFLP >Solyc03g019800.3.1 pep chromosome:SL3.0:3:62827197:62830428:1 gene:Solyc03g019800.3 transcript:Solyc03g019800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSPLVLRLNLPVTGICFIFVHLQETTFGALQKDIIDKSERVMVQGVEKEGMLRKLEKKSKKSNKNAASSGLGGESDECDVKRKKKREGGKPNKVSDEYAVKCVEKKKKNKLKKSYLNEPLDTKTSAPASATEMRDNDKVVETLGEVSGGDVVDVITRKKKSKKNIKTINGVDVTNTDIKVKSDDSDIKRKKERKHGKRSGKFSEDSNEVTRIIEGNKSRKLKKSCGDELEKMHDTKDIREDFAAEVNEGDIFSPIEMEDKIKTDKGKIKKRKREKVGHSSEDPTHEKSEKRVRFSGQVQIFPSLNDSSDEKHEIEEENLVHGKRFSKLEDEIIKEAVHKYIEVHNLGEEGLKKVLNARSYPEIKGCWKEIGSALPYRPSKAFYCRAQVLFRRSESRKWTEEEYEMVLKFQKEHGNNWKVLADELGKHRWHVKDTWRRIKLPNRNKGQWTQEEYQNLFDLVNTDLRLKLSEEKKSKHGMLRDNIAWGAISENLSTRTDANCCLKWYDQLTSPMVAKGEWADTDDYRLVDALFELDASCIEDVDWDNLLDHRPGEICRKRWNQMILHIGQLGNKSFTDQVEVLAKRYRPDLVEVREAWDSKPIVP >Solyc04g009770.3.1 pep chromosome:SL3.0:4:3081778:3086569:1 gene:Solyc04g009770.3 transcript:Solyc04g009770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRAPKKSDNTKYYEILGVPNTASPDDLKKAYRKAAIKNHPDKGGDPEKFKEIAQAYEVLNDPEKREIYDQYGEDALKEGMGGGGGGHDPFDIFQSFFGGGGFGGGGSSRGRRQRRGEDVVHPLKVSLEDLYNGISKKLSLSRNVLCSKCKGVGSKSGASLKCPGCQGKGMKVSIRQLGPMIQQMQHPCNECRGTGEKINDKDRCPQCKGEKVVQEKKVLEVVVDKGMQNGQKITFPGEADEEPDTVTGDIVFILQQKEHPKFKRKGDDLFVEHTLTLTEALCGFQFVLTHLDNRQLIIKSQPGEVVKPDQFKAINDEGMPMYQRPFMKGKLYIHFTVDFPNTLTPELCKNLEAVLPARPKTQASDMELDECEETTLHDVNIDEEMRRKQQQQAQEAYDEDDDDMHGGAQRVQCAQQ >Solyc01g010780.3.1 pep chromosome:SL3.0:1:5774785:5781530:-1 gene:Solyc01g010780.3 transcript:Solyc01g010780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGDGVKGGSWDVEKSKGRKKKEGDEEETGCWMKLRFISSCISSRSKVDNSISGISTRCESKSTNDTKIYQPVAPIISSTTTSNAESNSSTSKLEEELKVFSQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLIHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRREYLFIVTFSCYGTIYGSATTIIVGRVLVILAKDKWLHQRLTFYWSWSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMMTGRRSMDKNRPNGEHNLVEWARPHLGERRRFYKLIDPRLEGHFSIKGAQKAAQLAARCLSRDPKVRPMMSDVVEALKPLPNLKDMASSSYYFQTTQADRVGSSPSPNTRNVLRTQGSFSRNGQQPPPRSLSIPNGSHASPYRHQLSQNSPNPNGKP >Solyc03g044370.3.1 pep chromosome:SL3.0:3:8907583:8924550:-1 gene:Solyc03g044370.3 transcript:Solyc03g044370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKHQKSKPNKQPHAKKHGKQADISEFRAQLDALGLKIIQVTADGNCFFRALGDQLEGNEEEHEKYRAMTVKFIRNNRDMFEPFIEDDVPFDEYCESMEKDGTWAGHMELQAASLVTHTNICIHRHMSPRWYIQNFDNRESRMLHLSYHDGEHYNSIRLREDSCTGPAIPIIIKADADLSAKSREVIAAAKSKGDTSRNMVQEGSVKMVMAGSGCDNKQKVEQVLRQVGGDVDAAIEFLIAEQGSEDQLDANDNISSLASTSQGSLGEHAIKSGDSPCKRGSSDCSVKGASDEHTSLEDEKKIPRNKACPCGSKKKYKSCCGSVAGKHPTSFTEYATIQLIMERVGEIKSKERKFPLSMLRLQNNLMQGHQTWALFVYSQYFLGFHVHTNLSSALQYG >Solyc03g082750.1.1.1 pep chromosome:SL3.0:3:54064811:54065347:-1 gene:Solyc03g082750.1 transcript:Solyc03g082750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLLLLITVFMAMATLLTQVFFKKKERNCVGDFREAFAVEKKRYFGLDEVISLANNLNTNSRIENRTETIPNTPLVIVEHEEEKKKARYSMQSSTTESGNLFIYRGKIQERHEILAEELSKVDHGRWRKRRTNPTGKSYYSCTEVKNYPAKRHVEKSSKDPTKVIVTYRGQHNHPLP >Solyc03g118180.3.1 pep chromosome:SL3.0:3:68604088:68612596:1 gene:Solyc03g118180.3 transcript:Solyc03g118180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLELQDKQSMVLSGGYEDDEDHGEWFLYRGKTSCGHNLRLKCFQKWIGQGKDTRVECRSQISRQND >Solyc08g075010.3.1 pep chromosome:SL3.0:8:59267164:59270749:-1 gene:Solyc08g075010.3 transcript:Solyc08g075010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYGFIFAPQCTNFVVMDALCFIILSPWYEVTVIFLIPISDAGLKNKRAGHGDLNDPCVRFKRDCVGIMAAFRLKDPSHFIIVANTHIYWDPELADVKLAQARYLLSRLAQFKLLVSDKFDCSPSVVVTGDFNSLPGSQVYQYLTSGSSEAGTLLEISDDVHIPLCSAYASTRGEPHFTNYTPGFTGTLDYILFSPENIKPVSYLELPEPEASDIQGGLPNYYHPSDHLPIGAEFEIIQ >Solyc07g009385.1.1 pep chromosome:SL3.0:7:4464009:4465059:1 gene:Solyc07g009385.1 transcript:Solyc07g009385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEVAEHEEQPDEIVEQGEQLGDNTEQMEYPEEEQSQPLRRSERQRIESTKYPSSEFSDNNFIILLLYVDDMLIVGQDNELIAKLKKDLSKSFDMKDLGPAQQILGMKILWLSQERYIERVLERFNMKSAKPVSTPLASHLKLRK >Solyc07g020850.1.1.1 pep chromosome:SL3.0:7:14165652:14165819:-1 gene:Solyc07g020850.1 transcript:Solyc07g020850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNLFLCIVDAIKDHDTYFIKRIDALGISGLSTMQKITTVLRMLAYGLPVDATD >Solyc04g024990.2.1 pep chromosome:SL3.0:4:26727712:26729160:-1 gene:Solyc04g024990.2 transcript:Solyc04g024990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLFMKRSRDASPFGFYHRYPQSDFSEGGLGNISGDSDVHRAGASKPKMTIDEAFSYLDQVKDNFPNQREKYITFLVVLIEFMRKRIDIVGVIEKAKYLFKGHPSLLLGLNPFLPKCYEIILNDEDEETYLMQQKRLENYSEVKDVFSMFKKKRKNAKEVYNQVILLLKDHPDLQEEFKFLLGRLSYC >Solyc02g092400.1.1.1 pep chromosome:SL3.0:2:54110243:54110821:1 gene:Solyc02g092400.1 transcript:Solyc02g092400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCNPRTRKALKIGCGITAILLIILLIVAITLYFTILKPKSPKVTTQSVALETVRLEPFPAFHLNITIGLILTIHNRNYGSFKYDNSRAYVTYRGDPAAEALIEADTIPARADHDLNTTVLIDSDGFSKNPNFLGDLLSGCLNFTSSTALHGKVTVWKILKLKARTVSTCDISVFTKFQNASSVCKSKIKL >Solyc12g040760.1.1.1 pep chromosome:SL3.0:12:56036653:56037771:1 gene:Solyc12g040760.1 transcript:Solyc12g040760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4DEX0] MKRSIISKFLKPKPKIENKAYSSSRRPKSFKRLYRRHKIQRSAGGNHVEMESTNLQIVNSNQFEMESTNSQIVNSNQVEVDSTDLKFLNSNQVEMESTNVPILSSSNVEVDPMSLNSVVFDVAENNNDYNIGDDDIELQAILFCSAQFHSGKDLKSRSNHDVGKKPMEIECSFILDSLNHEKGESSCRFCEICEDVFPLPDTMIWGNNCNHRYCVECIQNYIGENINEVIRDVSIRCPASDCKESLDIDLIMPIDFLIRVRDVSRLMKALASLEVIDCPYMDCMGKLIDDQQGYPIRACPKCWNLFCVNCKTLHFGMTCEIFQFSRQMNLLYEQQQYGGYRNEIANEEEEEEEEEAEEEEEEEEEEEEEEAL >Solyc08g066410.2.1 pep chromosome:SL3.0:8:55080507:55083890:-1 gene:Solyc08g066410.2 transcript:Solyc08g066410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIECGGNSTLGERNKKNKEVVLVDINEEENVVKKMSEPDKKEVEEGVGVLKDEVGEKRMDEDENGSRSGDKGLGDKEEGSLVRLPKRIQVGESPPYKIDRKLGEGGFGQVYVGRRLSPPHPHERNGAGAIEVALKFEHKSSKGCNYGPPYEWQVYDTLGGCHGIPRVYYKGRQDDYYIMVMDMLGPSLLDVWNNNAHMMSIEMVACIAIEAISILEKLHSREYIHGDVKPENFVLGKPGTPDEKKLFLVDLGLATKWRDTFSGLHVKYDQRPDIFRGTLRYASVHAHLGRTGSRRNDLESLAYTLIFLLQGRLPWQGYQVSIFLTWNATKVDIMLTLVLRLTPS >Solyc03g059110.1.1.1 pep chromosome:SL3.0:3:29894243:29894416:-1 gene:Solyc03g059110.1 transcript:Solyc03g059110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSFTSFKVFIFLFFCSLKLFIRCRNSFYVAYFCKICWCDLSNNRPLALNANREPV >Solyc09g009720.1.1.1 pep chromosome:SL3.0:9:3123698:3124201:1 gene:Solyc09g009720.1 transcript:Solyc09g009720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC36 [Source:UniProtKB/TrEMBL;Acc:K4CR01] MASSQAALLLQKQLKDLNRHPVDGFSAGLVDENNVFEWSISIIGPPETLFDGGFFNATMSFPTDYPNNPPTVKFTTEIWHPNVYSDGRVCISILHPPGDDPNHYELASERWTPVHTVESIMLSIISMLTSPNDESPANVDAAKEWRDNRAEFVKKVKRCVRKSQEML >Solyc09g065810.3.1 pep chromosome:SL3.0:9:64312663:64325243:1 gene:Solyc09g065810.3 transcript:Solyc09g065810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSWPIALFYTFLCFFASGSFSSIENFHQPFPIIEPDPGHTKLRLSREGLEAIEKITTPIAAVAVIGPYRSGKSFTLNQLLSLSCDEGFGVGHMRDTKTKGIWVWGTPVEMDINGVKTSVFYLDTEGFESIGKSNVYDDRIFALAAVMSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVDEALRRVPNSNGDKNIDQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDMKDAELDPLYVKKREQLKEVVASIIRPKIVQGKSLNGKEFVSFLEQILDALNKGEIPSTGSLVEVFNKGILERCLKLYNERMAKLVLPMPGESLQKAHVENRQAAMNVFDEQHFGRHHAKKSVDKLDEEIEKVYKNIMLANEYQSSKLCEALYTRCEDKMDNLQVLRLPSMAKFNAGFIQCNQSFHGECVGPSKINYEKRMMKMLEKSKSSFIKDYNHRLFNWLVAFSLFMVVVGRFVIKFILVEIGAWILFIFLETYTRMFWSAESLYYNPMWHFIVATWETLVYSPILDLDRWAIPVFVMAVIFVVYWRCYGRRKHGPMLLLPMYSNPKDRHRTE >Solyc01g098725.1.1.1 pep chromosome:SL3.0:1:89045134:89046432:1 gene:Solyc01g098725.1 transcript:Solyc01g098725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSMKQESPLLSYLLFVFLFTCSSLIDESVAQPPTTGNKFQYARLSHPLAIIIIVLIAALFFMAAFSIYIRHCTQASGLSGSVRRALSMRRRAAAARGLDAAVIETFPTFTYAEVKDHQIGKGALECAVCLNEFEDDETLRLIPKCDHVFHPECIDAWLESHDTCPVCRADLNPQPDEPPVQVPEDEPATEQQQQQQNDEVSIRIVSDDEQREQVQQQQQEEGSVNSTVKRNLSFNVPNRVPKQEEGGSVKSGVKRNLSFNVPIQPPRSISMRPKMFSKFRSHSTGHSLVLPGENLDRYTLRLPEGVRKEVMNRALLNRAKSFGGTLPRDTSSRKGYRTGTGDGSNRGSRSNKRMEWSEPEPKSDRWIFTMTPPFFSRGSSMKSPRVGAEAGEGSTSGSMRTAVKLPSFKCLEPKGDEASLMSTDSARPPV >Solyc07g043620.3.1 pep chromosome:SL3.0:7:57686369:57694643:-1 gene:Solyc07g043620.3 transcript:Solyc07g043620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVSSSGFNPQPEEAAGEKKCLNSELWHACAGPLVSLPPVGSRVVYFPQGHSVQVAASTNKEVDAHIPNYPGLPPQLICQLHNLTMHADVETDEVYAQMTLQPLSPQEQKDVCLLPAELGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPCQELIAKDLHGNEWKLRHIFRGEFLCSLELRGSSCVLVVGQPKRHLLTTGWSVFVSAKRLVAGDAVIFIWNENNQLLLGIRRANRPQTLMPSSVLSSDSMHIGLLAAAAHATATNTRFTIFYNPRASPSEFVIPLAKYAKAVYHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVCWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSPFSLRLKRPWPSGLPSLPGFPNVGLTMNSPLSWLRGDMGDQGMQSLNFQGFGATPFMQPRMDASMLGLQPDILQTMTALDPSKLANQSLMQFQHSIPNSSAPLSQIQMLQPSHSQHNLIQGFSENHLISQAQMLQQQLQRPTQPHLSHLQVLSSTGSLQTFSDILGNHVNASSNSNMQSLLSSFSRDGASAVLNMHETHPLVSSSSSSKRIALESQLPSRVTPFVVSQPEDVIAHNTKVSDLSSLLPPFPSRESFSDYRGVDDSQSNALYGFTDFLNILQTGMSNMKGSSGDNGSLSIHYATSTFTSTAGNEYPLNSDMTASSCVDESGFLQSSENGDQANPTNRIFVKVQKSGSFGRSLDISKFSSYHELRSELARMFGLEGLLEDPERSGWQLVIVDRENDVLLLGDDPWQEFVNNVWYIKILSPHEVQQIGKEGLDLPNGVQAQTLPGNVNGCDDYMNQKGSRNTMNGIPLGSLDY >Solyc03g123560.3.1 pep chromosome:SL3.0:3:71873524:71877856:1 gene:Solyc03g123560.3 transcript:Solyc03g123560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKSNDYYAVLGLKKECTDTELRNAYKKLALKWHPDRCSASGNLKFVDEAKKQFQAIQEAYSVLSDANKKFLYDVGVYDSGDDDDENGMGDFLNEMAAMMSQNKSNENQGEETFEELQDMFNEMFNSDNGTFSSSSSSSSSWTGTPSMCSTTSSTSSSETFLTFPNKRSSGEMKSGSSVRGDSCQFQGFCVGAGGTSGKCNERERSWRKNSKSGRKH >Solyc01g080150.3.1.1 pep chromosome:SL3.0:1:79181973:79185450:1 gene:Solyc01g080150.3 transcript:Solyc01g080150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyltransferase 2 [Source:UniProtKB/TrEMBL;Acc:J9PEK3] MNIVLQHIAPFVTCKHQLLINFRDSLTIDPFIPPRRKDKVVIVMGATGTGKSRLSIDLAKQFSAEVVNSDKMQIYEGLDIVTNKVTDKETCGIPHHLLSIIDPNEVFTATDFCQRASKAVDSIISKGQLPIIAGGSNSYIKALVNDDIEFKSRYECCFLWIDVNLKILQKFVSERVDKMVKEGLVDEAREFFNPDGDYTSGIRRAIGVPEMHQYFINENNKMINENTRDKMLQIAIENIKVNTCKLACCQRQNILRLESQLEWKINRLDATEAFEKKDGEAHQAWNRIVYERSTQIVRHFRGEKLLNIPPPPPPSSSTSFLHKVATMTH >Solyc05g009390.3.1 pep chromosome:SL3.0:5:3544212:3548813:-1 gene:Solyc05g009390.3 transcript:Solyc05g009390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLALNSTFRPSIPSESSLFHRKINRPIIHRHIWKWKPQISTSETKGRTITMALKKAKIDGVSEALNEIASQNLDHAPARRRVRSAFANVQQQLDHILFKMAPGDIRLEEWYETNSKGQQIFCKSWLPKPGIRIKGALCFSHGYGDTCTFFFEGIAKHIAAAGYAVYAIDHPGFGLSDGLHGYIPEFDGIVDNVNEQYVKIKGRPEVRGLPHFIFGQSMGGAIALKALLKEPHEWDGIVLVAPMCKIAEDMTPPVPLQKVLIFLSNIMPQAKIVPTKDLAELAIREFKKRKMAPYNVISYSDKTRVKTAVELLNATKYIEQHVDKVASPMLILHGADDRVTDPRVSQYLYDKSSSSDKTLKLYDGGFHCILEGEPDDRILTVLNDIISWLDTRCTPN >Solyc07g047960.3.1 pep chromosome:SL3.0:7:59303718:59307963:-1 gene:Solyc07g047960.3 transcript:Solyc07g047960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPKDDMSQSNQKDSSLSNVPEKDAENVHQKKGLESEGGASESSRVSVLPKKEPYIISCKSDSPVKDGNISLVPGKASDSSQQIQSQKMEEVVSQPHQEQVTSSPMAENALCKLQSRRNPDTSVQDLPSDQGVTPFSEPEKPSEDGYNWRKYGQKLVRGNEFTRSYYKCTRLNCLAKKQVERSQDGHITNIHYIATHEHPKPLSSPQISPELVVPSETRRPDMSTGTPHEGEKSTALGEACQSIVPSESLSSATVVSAGGSSQDTVLKPLKPGDEGDNNGGRNSKRRKKEVPTSDDMTPPMKSPSESRHIVQTSSEVDVVNDGYRWRKYGQKFVKGNPNPRSYYRCSSAGCPAKKHVERSSHNPKSVITVYEGQHDHDIPPSRTVIQNTAEADSNTTRISGESTSESGENKHVVHIGAS >Solyc05g018060.1.1.1 pep chromosome:SL3.0:5:19904536:19904754:1 gene:Solyc05g018060.1 transcript:Solyc05g018060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQASTRFWTETPLAVVRQHRSTLLLFSLASAGQPKAAASRRGSLELAAATDEESNTSSNNQASPGETLNK >Solyc02g085080.3.1 pep chromosome:SL3.0:2:48723612:48726524:1 gene:Solyc02g085080.3 transcript:Solyc02g085080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVRLLTCSNRHHVSPSLFLKLNHKPFPLSPSSFRTPSLSSPPKNRPRIFLSHRNPSSPLSSPFGFSKNPFPSKFLNPILSSDRFARIVSGTQDKLFDWHFAAGSEKGNEIGAIPKEGPIVTVVMLGWLGSKPKHLRRYIELYNSKGIHALTFVASVKDVLSFDLGKKLEERIAVLANELALWLSESDNDGRERCLIFHTFSNTGWLAYGAILENLKNRQDLLEKIKGCVVDSGGDPDISPKVWAAGFTAAMLKKCSSFAYSSVEAGEGNEVERPLTLGNIQTKGAMMMETVLFATLEKLFSLLLNLPDVNERLTKIITVLVKNQPSCLQLYLYSTADKVIPIQSVESFIQEQRKIGREVHSFNFGSSPHVDHYRTFPDKYVSVLQKFLQECTLIPKGKRR >Solyc03g026417.1.1 pep chromosome:SL3.0:3:3986856:3989220:-1 gene:Solyc03g026417.1 transcript:Solyc03g026417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTSSDSQPYVRQGLDGRPRKNLEFLTSESGSPKKSCAIVHENRRNEGYARFGARLTFQMGRTSRLDGCPRKDLAFLTSESGSTKKWCAIAHENRRNEAYARFWVRLTLQIGQTSCDGQPYAYTRSTRMSTKKFGILMSESRSPKKWCAIANENHRNEAYARFRARLTLLMGRASCDGRMYA >Solyc10g078560.2.1 pep chromosome:SL3.0:10:60470343:60482608:-1 gene:Solyc10g078560.2 transcript:Solyc10g078560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTYLFLAPTSIDKGGSSNQNFSFGPWAWPNKRIGIVHPRTQVSASAIGGGQQSHYSVLGVPQNASSVDIKKAYRLLALKYHPDVSKDSGADEIFKKIHHAYDVSHSFLAFATGSVTSILYADMINFGTLHEIDVAIHVLSNESARNQYDQALRHQDYTDRPSGGYWEYDFEYDDGIRTYRWADLRRKMQRERYWGRYHTGENFSSYYDEVEESEEETLDEERGPFAEVLRSALLSLFLMNTIGIHLSLTFSSLMALLDRKLDSGYKIGYLVAWILGGRGGVLLTLCLSFASWLCGKTSSSVVALVVIAVWVGSNVARYAPFPQGTLFGENMNALAATNRNFKLAARLLGLDSKLELSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCSPSDLSISELERLTRVFTQKIHDLIGIHTDVPAPDMGTNPQTMAWILDEYSKFHGYSPAVVTGKPVDLGGSLGRDAATGRGALFATEALLNEHGKSVAGQRFVIQGFGNVGSWAAKLIHEQGGKVVAVSDITGAIKNEKGIDIESLFKHVKETRGVKGFHDAHPIDANSILVEDCDVLIPAALGGVINKDNANEIKAKYIIEAANHPTDPEADEILSKKGVTILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNDELKTYMTRGFKDVKDMCKTHNCDLRMGAFTLGVNRVARATVLRGWEA >Solyc03g032000.3.1 pep chromosome:SL3.0:3:4526382:4530090:1 gene:Solyc03g032000.3 transcript:Solyc03g032000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin reductase [Source:UniProtKB/TrEMBL;Acc:K4BFH1] MADIQKTKVCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTSEVENFPGFPEGLAGGELMDRCRAQSVRFGTQIFTETVSNVDFSASPFKVMSDERTVLADTVIIATGAVAKRLEFPGSGDGANGYWNRGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEATFLTKYGSKVYIIHRRDEFRASKIMRNRALSNPKIEVIWNSAVVEAYGEKSLGGLKVENVVTGEVSDLEVSGLFFAIGHEPATKFLDGQLQLDAERYVATVPGTTKTSIRGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQELGAQEGKSD >Solyc09g014600.1.1 pep chromosome:SL3.0:9:6238331:6238735:1 gene:Solyc09g014600.1 transcript:Solyc09g014600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSVAAMENQKVKLMYSHGGKFNFVLMIINYPILAANAKFYKCTSEY >Solyc01g006450.3.1 pep chromosome:SL3.0:1:1057680:1063081:1 gene:Solyc01g006450.3 transcript:Solyc01g006450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAASSFQITIARPSIFSTKRITSFCSTKFGGETQKLSCNRLASSCHVSSIQHFWRSFTSTTQKFQKVVTKAKSEADDSKPASVLPIDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDSLEDVPEDIKTNKRYAGSSKWTVSEVAESVKEDFGSIDILVHSLANGPEVTKPLLETSRRGYLAAISASSYSYVSLLKHFLPIMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKQKVRVNTISAGPLRSRAAKAIGFIDMMIDYSIANAPLQRELSADEVGNTAAFLASPLASAITGAVIYVDNGLNAMGVGVDSPIFKDLNIPKSTE >Solyc03g082450.3.1 pep chromosome:SL3.0:3:53814277:53820025:1 gene:Solyc03g082450.3 transcript:Solyc03g082450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFMVNCLVFFAIFSVSSPQDSVHLLGFRSSLPELSQQLLPWSRSVSHCQWKGVSCYSDTTCHVKSLNLTDLFLPGTLDKAFPNLCRLPRLVSLDLSGNHFTGGIPDMLANCSQLDTILLNDNRFSGSIPPEIFKSSKLIKLDLSLNQLNGTIPSEVSLSTNLQHLGLWNNFLSGNIPKELFHLQNLTHLHINTNELTGPLPEFPSSCSLSELFIYENRLSGSLPITLGNCHNLTSFSASSAHLGGVISLEVFRDLSNLEFLYLDDNNFEGEIPETLWNGRLQELALSLNIFNGSISEKIGASHQINYIDLSVNNLTGQLPRSVGRLKNLTKLFLYNNMLTGSLPAEIGNCTSLVAISLATNFIGGEIPLELCNLHSLINFQVYENQIQGQIPECIGRISGLEELDLRENLLIGKIPPGITNMTKLVLLSLAHNKLTGEVPRNLGKNNFPGLFKVDLGYNNFSGPIPSELCNGKRLGVLVLENNSFDGSFPTYLAKCESLYRVKLPNNNLQGSIPDYIEKNEKISYLNVRGNMLAGRIPAAFGYWTNLSTIDLSENMFSGSIPAEIGKLQNLERLNISSNRLTGKIPLQLSYSPKLAELDLSNNNLSGRIPKEIASSTVLTNLLLQDNRLSGALPDTFSSSQKLVKLQLGDNLLEGSIPCSLSKLREPNVALNLSMNKFSGQIPRCLSNLDNLEILDISSNNLSGAIPSEMDKMRSLSFLNISFNNLSGKVPISWEKRLSSHPGTSQGNPGLCLSDTESSNCNHVKKSQINWKTLAGVISGCVLSMAVIAVAIYLLVTRIQHASLLNKHPLVKYQSEIEDLPDHINFEDIVHATEGWSEKYVIGRGKHGTVYKMGSAKSKKLWAVKKVDLAHRAFSNEMRTLNSVRHRNLVRVGGYCIRHGYGFILTEFIPGGTLHDFLHQRKPHVVLDWEARHRIALGVAQGLSYLHHDSVPQIIHRDLKSDNVMLDTEMEPRIGDFGIAKTVSDSDENSTNSKIVGTLGYIAPENAYSVHLTEKSDVYSYGVLLLELFCRKMPVDPSFEEGLDIVSWMRTNLHRSDNNFLHFLDEEISFWYIEEQWKALKMVYLALQCAELQASTRPAMRDVVRSLVELNQM >Solyc01g067860.3.1 pep chromosome:SL3.0:1:76641308:76652210:1 gene:Solyc01g067860.3 transcript:Solyc01g067860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGILKFLFFTILVFVAIGHSNGQLRKDFYKSSCPQAEQIVQNITWKRVATNSTLPAKLLRMHFHDCFVRGCDGSILIDSTANNSAEKAAIPNLSLGGFDVIDEIKTALENTCQGVVSCADILTLAARDSVSFQFKKPMWEVVTGRRDGRISKSSEALSEIPSPFFNFTSLKQSFANKSLTVHDLVVLSGGHTIGVGHCNLFSNRLYNFTGKGDSDPSLNSTYVTFLKTKCQSLLDNTTIVEMDPGSSLTFDNNYFSVLKQQKGLFQSDAALLTNKGAKNIVDEMLIDGKFFTEFSQSMKRMGAIGVLTGSNGEIRKKCNVVPRKNFYKSTRCPNAEQLIRDITWSKAKNDATLGAKLLRVHYHDCFVRGCDASILLDKVGTVDSEKEARPNLSLGGFEVIDDIKRQVEAKCPGIVSCADILALSARDAVSFRFKTSMWEVETGRKDGNISLASDVNGNLPSPFSDFATLKQIFSNKGLNVDDLVALSGAHTIGVSHCGAFSRRLFNFTGKGDMDPTLNATYAESLKKLCPNPANPNTTVEMDPLSSTSFDSNYFNILINQNKGLFQSDAALLNDKDSVIVIKKLLKDKTFFIEFAKSMKKMGAIQLLTGNAGEIRKNCRVKN >Solyc04g074070.2.1 pep chromosome:SL3.0:4:60165463:60167976:1 gene:Solyc04g074070.2 transcript:Solyc04g074070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDRQDSDNRFNAKITSAVIITSIVAASGGLIFGFDIGISGGVTTMRPFLEKFFPSILKKAASGGGETNVYCVFDSELLTAFTSSLYIAGLVASLAAGRLTTAIGRRNIMVVGGCTFFAGALINGASQNIFMLILGRVLLGFGVGFTNQATPVYLSEMAPSKWRGAFSTGFQFFICVGILIANCINYATSKLSWGWRLSLGLAIVPAVTMTIGALSISDTPSSLVERGKVEQAKQSLAKVRGTNNNTEIEAELADLIRSCEIARTSKEEPFVTIFRKQHRPHLVMSIAIPFFQQMSGINIIAFYAPVLFRSVGLGNNAALLGAIILALVNVGAILVSTCIVDRFGRRFLFIQGGVQMLVCQVAIACTLAASIGENGRKHMSKQYAALILALMCIYAAGFGWSWSPLNWLIPSEIFPMNIRSTGQSISVAVNFATTFVLSQFFLAMLCHFKYGVFLFFAGWIFVMTMFIVMFMPETKGVDLNSMHQVWEQHWFWGRYVKRSVLVPQQRS >Solyc11g017390.2.1.1 pep chromosome:SL3.0:11:8318914:8319372:1 gene:Solyc11g017390.2 transcript:Solyc11g017390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPPQDDFSFPTITNTPPRFLESPPLWRTTLVASSDLQNNSDHDHEEKLDMSPLISNKSNYINQRKSFSHIEGVLAMKRMIEDEDEEEEKMDMLWENLNEEMSNKIMTKTSCGKNAKKVEYKCAKSLNLSKASKRPSLVVLIKVLKKVFL >Solyc06g073290.1.1.1 pep chromosome:SL3.0:6:45297831:45298622:1 gene:Solyc06g073290.1 transcript:Solyc06g073290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSFNSIHTLRRRHSIDCPYPFSSSSPSSFFPKTITKNPSSFSNHNLITFSVSSTTPTTTTTTTITTTPFDTLEQHLISQNFREADEETRRLLIVLAGEAAVKRGYVFFSEVQFISESDLKEIDSLWRKYSDGKFGYSVQKKIWNNKANRDFTNFFIKIGWMKKLESEEVDQHNYRAFPNEFIWELNDETPEGHLPLTNALRGTQLLKSIFTHPAFVEDGDEEEEKEDSNNNSGGDKGKAKKGGLFGGLRSKLFSKPDYSF >Solyc05g051860.3.1 pep chromosome:SL3.0:5:63117840:63119498:-1 gene:Solyc05g051860.3 transcript:Solyc05g051860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNMRRTISTTRITVDVMNNGEGKPFDLDLGTNYGFYGHDHQHVSSKYHHRSSSYQGFHHQIEEKSHFLTTCGLCNHRLTPCRDIYMYRGDTAFCSMECREQQMKSDKRKEKLKLLVLKKKTENYQNYSELPFANSENSGKTKTIVAA >Solyc02g070845.1.1 pep chromosome:SL3.0:2:41003242:41007408:-1 gene:Solyc02g070845.1 transcript:Solyc02g070845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSNMEVPNDVTREIIRKLPYKSQCRFRCVSFFWKDVIDSVKDYNVYTLIQKKDVIHPVFSLLDSSRELVLPLTNPFPSYLYEMKIVGSLDGIVCLCRPNWGDVITLWNPFESSFKFVQLSTNSKRHKHLASVAMAHTGTNIVIVRIILSSHKKVEILPTNSLHSKWISKKVDLQFELENQDSCGIIYSGQPYWIGIQHEKNREREIVLKFDGGTFKMTSYPIIFKEDRGKNYHKMLVNLYSHHMLGMLVWDDKPTSKIEVCGFDHNEQWIMLWTVSPPLEIDRILGMSVKGHIIVEYDDRILLIVSRGVHMGLAQCKIQIGDRRRELGMNGCFEILEIQRSTLIVEGMSTVEEKRWSHADIRRQKVQFRTRQAISELHHLILPHRRIPKGNQFNPWWTKKADKAMARTHNVHIGEETGERAVHMDN >Solyc10g079170.2.1 pep chromosome:SL3.0:10:60893992:60903432:-1 gene:Solyc10g079170.2 transcript:Solyc10g079170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLRLFTVLLVGLWLKSYFGTVQALHEQAILEAIGKELAIPGWDLNSTDFCSWHSISCSSSNNSMVERLNLSGFRLQGNVTLISELKGLKWLDLSNNNFQGSIPQAFGNLSELQFLDLSFNMFRNSIPGELGKLKNLRALNLSNNLLTGSIPDELEGMENLLYFQIFTNKLSGFIPMWIGNLTNLRVFAAYENEFSGDIPVNLGLHSELLLLNLHSNQLEGTIPESIFAMEKLEFLVLTNNKLNGTIPDSIVNCKGLSSIRIGNNKLIGGIPKGIGNISSLTYFEADNNTLSGEIVSGFAKCSNLTLLNLASNGFSGTIPSEFGELNNLQELIVPGNNLYGEIPTSVLRCKNLNKLDLSNNKFNGTIPRDICNTTKLQFLLLGQNSLKGDIPREIGNCIKLLELQMGSNYLTGSIPSEIGHMKNLQISLNLSHNHLHGQLPKDLGKLDKLVSLDVSNNQLSGNIPLELKGMLSLIEVNFSSNQFTGPIPAFAPFEKSLNSSFLGNKGLCGEPLSSDCGYGFEHNGNHHRVSYRLILAVVGSGLAIFTAVTVVVLLYMMREKQEKTTMEAGNTTDETCSKPVIIAGNVFDENLKQAIDFDSVVKAVRKDTNKISTGTFSNVYRADMPSGMILSVKSLKSMDNTIVHHQSKMIRELEKLSKLCHDNLTRPIGFAIYEDVVLLLHQYYPNGTLAQFLHEFSQKPEYEPDWPTRLSIAIGVAEGLAFLHHVAIIHLDVSSGNVFLDSKFTPLVAEVEISRLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEAFGEGVDLVRWVHGASARGETPEQILDARLSTISFAWRKEMLATLKVALMCTDTIPAKRPRMKKVIEMLQEVTQS >Solyc11g007170.2.1 pep chromosome:SL3.0:11:1602261:1608492:-1 gene:Solyc11g007170.2 transcript:Solyc11g007170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSNFKEQAVAYVKQAVEEDNAGNYAKAFSLYMNALEYFKTHLKYEKNPKIKEAITQKFVEYLRRAEEIRSVLDEGGGGPTSNGDAAVASRAKSKPKNGGGGGGEGDDSENVKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARESSPSIVFIDEIDSLCGQRGEGSESEASRRIKTELLVQMQGVGHDDDKKVLVLAATNTPYSLDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEQLARKTEGFSGSDISVCVNEVLFEPVRKTQDAEFFIKTSDGLWVPCGPRQPGAIQTNMQELAAKGLASKITPPPISIRDFDRVLWKQKPTVSKADLEVHERFTKEFGEEG >Solyc10g080980.2.1 pep chromosome:SL3.0:10:62287560:62291347:1 gene:Solyc10g080980.2 transcript:Solyc10g080980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D2Y5] MEGECNCNFFQRSKPYIAMISLQFGYAGMNIITKVSLNRGMSHYALVVYRNAFATLVIAPFALLLERKIRPKMTFMMFLQIFVLSLLGPLIDQNFYYAGLKLTSPTFSCAITNMLSAMTFVIAVLCRMEKVNLKKIGSQAKVFGTIVTMCGAILMTLYKGQIVNLIWSNQITGTYDKEWLKGSILLIFATLAWASFFILQAITMRKYTAPLSLIALVCFMGTLQSMALTFVMEHKTSVWAIGFDMNLLAAAYAGIVSSSIAYYVHGQVMEKKGPVFVTAFSPFMMIIVAIMGSFILAEKFYIGGIVGALVILVGLYFVLWGKYKENEIEESSVIIEAVKGINGNNQMMIIVINDIEMSKNSEKIISTAPVFSFPMLTREAPKS >Solyc09g014390.1.1.1 pep chromosome:SL3.0:9:6019382:6020587:-1 gene:Solyc09g014390.1 transcript:Solyc09g014390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYYTKFKLFFFFYFALIISLIILYDSLIANISSKSIVFQINVNSLQPLKPPLANRPLFSTKEEPSNEVYLKEEEDHAHLVPPYNLSQEERISWFKKNLAYFEILKSTNISRKFNDRVNEYFTSHYCSVQFFMTWISPTKSFGKREFFTLESLFKAHPKGCLIILSHTLDSPSGTMIFKPIVELGYNILVVTPDLYFLFENTPSKSWFIDLKNGKKDPGKIPLAQNLSNLIRLAILYKYGGVYLDTDFIILKDISRLRNSIGAQSVDRNGNWTRLNNALLIFDKSHPLVYKFMEEFALNFDGNRWGYNGPYLVSRVVEKVKDYNLSVLPPRAFYPMNWNRIKGFFNAKNDSRWIEAKVLELNEKTYGIHLWNRQSKSMKIEQGSIIHRLISTHCVVCSDI >Solyc08g077560.3.1 pep chromosome:SL3.0:8:61662069:61666621:1 gene:Solyc08g077560.3 transcript:Solyc08g077560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCFYIFKDKSRSRRRGESAPELSNESRRNGNSEGNRVTRSTGSVSSPRSIPEMYREREQNLRVFTLSELKEATRNFNRLLKIGEGGFGSVYKGSIQPSNGKGDPVVVAVKKLNTLGLQGHRQWIAEVQFLGVLEHPNLVKLLGYCATDGERGIQRLLVYEYMQNRSLEDHLFNKAVPVVPWRTRLKIILGAAQGMAYLHEGLEVQVIYRDFKSSNVLLDENFCARLSDFGLAREGPAGDRSHVSTAPVGTLGYAAPEYVETGHLSVKSDVWSFGVVLYEILSGRRTLERSRPVNEQKLLDWVKQFPADSRRFSMIIDSRLRNDFSIIAAKRIAKLADSCLNKNAKERPKMSEVVEILTQAVQESQGTTSTEATGAGPSRPTQLPLPNQLKKKTISETARPMIPLAQASLLKYGLYNLLEIS >Solyc06g074870.2.1 pep chromosome:SL3.0:6:46534891:46538471:1 gene:Solyc06g074870.2 transcript:Solyc06g074870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNIIAADEIPDRHPEDQVKQQSDEVKEAFEVETPQATKLENNRQDQQRPKPARRRTRKATSLAIDHEQTIISGDIYQSWLQSSSDIASRKRKKRKTLSVLPSMKIARMMEMPPIALLEGLFTNGNKEVHYPAPLLKLWMRNTQPPHDSPSGKTSPPFPPEPSYTSPGERMGNLEPPFEYFQGGVGSPAVGISIEKQRANLNNNKIPPEILMEDLRTNLTNMGLHPTETNGVKETDHMATPGSGDEPRSIPSSGSGHGLLSQNSVTDSSRSNKKRPHSSLKHSGNGLQPVAEENPWHDPTLNFKLTRLSELSENGFTQDNVCYEPPFTILAEILMETGPTQTQHPFITQPLDMMTDSIRIQLKSHFDTPGSAEAECLNELTLGMTKKQAACLFYQTCAQRNFIVSSFSLERINVCSILRAVLATRDFVKVEQELPYGNILISRGAKMYWRIEAQIYSSNFQEKHLVTAKNYGLPLFDLYKNGSGYFSYQKLKNHPKIGIRANDPRHFRPALIVSDGDMAMTMTTAMASPSRRLLINSRHSTICTIPKNVAIYPAAAVTNQGLLKHCISSQRCLRSLVKPVSAASSGFEADLGDDESLIRVKNAKIAVESEDDEKIQVRVDVSEEDTKTVFEKVLTNLAKSAPPVPGFRREKGGKTSKVPKDFLLQILGEDRVTNFVIREIVTSTLADYVKKENLAVKDNKISTTQTADELKSSFVPGTEFRFNATLELEKSKTEAST >Solyc09g012040.1.1.1 pep chromosome:SL3.0:9:5325625:5326119:1 gene:Solyc09g012040.1 transcript:Solyc09g012040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPISQSPTIIQEAAIEDDIDSGSTMNSEEIASAFGDGQSSIANNFEIHLDTLIDTNLLLVGTYSVMGDEHSSHADQVFDESSHLIEESVHAFDLVEDNSLGLQMPLQVTEVISTPTWYVEIDYRGDMHSTKSNLAEDEMSLVEVSSEMSPPYRAYSQICSL >Solyc10g083600.2.1 pep chromosome:SL3.0:10:63507872:63512410:1 gene:Solyc10g083600.2 transcript:Solyc10g083600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFKTPQKGRIRRELEKRAPKLVETGKKTLILHGTKTSQVLNDVMTEIYHLKRDNSVKYTRKNDNIRPFESGGETSLEFFSLKTDCSLFVYGSHSKKRPNNLVLGRTYDHHIYDLVEVGVEEFKSMKSFKYDKNLAPKIGSKPFFAFMGEGFESVEELKHLKEVLLDLFHGEVVTNLNLAGLDRVYVCVAVSPNRVFFTHCALRLKKSGTVVPRIELVEVGPSMDLVTRRHRLPDDSLRKEAMKTSLEKAKKKEKNVVKDAIQGKLGKIYIPDQKVGSVPLPHNAKGVKRERREAKLKHGTTEELPEEKKQKLDSE >Solyc04g057780.3.1 pep chromosome:SL3.0:4:54854928:54856276:1 gene:Solyc04g057780.3 transcript:Solyc04g057780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLAGIDTCGCSIGHLLSKELCISEILPRHRAVLHFQTPHLLSSVYLDWLKRELVAGMEYHINVLLAAVTNLRELFNGAVIKIAVNIEYAEVPLSSASLIVHPVDSQFVREHIAMMVRQVNQKSYLWLSGACRECHPRYVDDHMLNC >Solyc10g086580.2.1 pep chromosome:SL3.0:10:65486787:65489640:-1 gene:Solyc10g086580.2 transcript:Solyc10g086580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSTIGAASKAPLSLNNSVAGTSVPSTAFFGKSLKKVYAKGVSGPKVSNRNLRIVAQEVDETKEDRWKGLYDNTSDDQQDIARGKGLVDSLFQAPTGTGTHHAIMNSYEYVSQALKTYQLDNKLDGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGVWGGKGQGKSFQCELVFRKMGINPIMMSAGELESGNAGEPAKLIRQRYREAAEIIRKGNMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDNVPEEAVVKIVDSFPGQSIDFFGALRARVYDDEVRKWVSGTGIELIGEKLLNSRDGPPTFEQPKMTLEKLLEYGNMLVQEQENVKRVQLAETYLKEAALGDANADAINTGTF >Solyc08g023640.1.1.1 pep chromosome:SL3.0:8:26792734:26794491:-1 gene:Solyc08g023640.1 transcript:Solyc08g023640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGESTKPYIVKKCITLLLSCASSTYKFKQVHAFSIRRRIPLSNPYMGKYLIFTLVSLSGPMCYAQQIFNQIQFPNIFTWNTMIRGYAESINPYPAIEIHNDMCVNSVAPDTHTYPFLLKAIAKVIDVREGEKVHCIAIRNGFESLVFVQNSLVHFYGAISQAENAHKVFEEMSDKNLVAWNSVINGYALNSRPNETLTLFRKMVLEGVRPDGFTLVSLLTASAELGALALGRRAHVYMLKVGLDKNLHASNALLDLYAKCGNVNEAEQVFHELEEDSVVSWTSLIVGLAVNGFCEKALELFEEMERKGFVPTEITFVGVLYACSHCGLVDKGFAYFERMQKLFGVKPKIEHYGCMVDLLGRAGLVEKAYKYIKDMPLQPNAVIWRTLLGACSIHGHLALAEMTRNHLKQLEPNHSGDYVLLSNLYAAERRWSDVHQLRTTMLKEGVKKVPGHSLVELGNRVHEFVMGDRSHPKNEAIYAMLGEMTRLLRLEGYVPHTSNVLADIEEEEKETALAYHSEKIAIAFMLISTPPGTPIRIVKNLRVCADCHLAIKLISKVFEREIVVRDRSRFHHFTNGSCSCKDYW >Solyc12g008485.1.1.1 pep chromosome:SL3.0:12:1890592:1890921:1 gene:Solyc12g008485.1 transcript:Solyc12g008485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNIGKEFEDNYRSDIIRYIIGEVRDVFDDESNKGREKVEVSVDTVFTVKRFLDKSILDDDCVICFEKPGKEREVMCTPCSHMFHEDCIVKWLEHDNSCPICRQDILDS >Solyc08g076570.3.1 pep chromosome:SL3.0:8:60656756:60659383:-1 gene:Solyc08g076570.3 transcript:Solyc08g076570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHELLARSSTMVIAVILIARISSCLNTAVLSEVHAPYVDNYVSKSYFNKHDNLVDSKFEDFIAHDILYGACESLQGNVEFSPKLSALHGKLIGEGSHRRLSSTLRLKMSSESISMPPKSCEVIIVERLPSGVFADPFELQHLVQRGVFRDAAVFGDTNLELPSFLSNRSLVEVHLEVGTNLTSQQKDELEIHMELPLHARYQPLGHGFSRVQFASPDLFLRCNIKRKEDDTGCLFLLDKQNAESIDTHPVWEVPCGNREHTEVVSAFTFISAVLSALLIIVASIKYSDNASNNILKQS >Solyc08g081880.2.1 pep chromosome:SL3.0:8:64924026:64925128:1 gene:Solyc08g081880.2 transcript:Solyc08g081880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKRRFIYCNSLSSKTASGLVDVLMAADKFEVASCMRYCSKQLQNQHVTTETALRYLDLPSKVVNVDAVQPLADAAKLFIILLSLPLSGIKVVLSSDLLQIASEDAVYDFALKWARMHYPKFEDRRHVWTSHLCFLIRFPAMTCTKLKEIMTCNDFGSELASKFVFEALYYKAEEPYQQRAMCNLDQQGVEHCLGLFLGMQGEESEPIALDYEFSVRLRPDNEFLSMYKGSQFVLGCRNLCDISWTPFLNEDSLYFINGVLHIRAEISVIRE >Solyc07g005760.3.1 pep chromosome:SL3.0:7:607960:611881:-1 gene:Solyc07g005760.3 transcript:Solyc07g005760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxycinnamoyl-CoA:quinate hydroxycinnamoyl transferase [Source:UniProtKB/TrEMBL;Acc:K4CB47] MGSEKMMKINIKESTLVKPSKPTPTKRIWSSNLDLIVGRIHLLTVYFYKPNGSSNFFDNKVIKEALSNVLVSFYPMAGRLGRDEQGRIEVNCNGEGVLFVEAESDSCVDDFGDFTPSLELRKLIPSVETSGDISTFPLVIFQITRFKCGGVALGGGVFHTLSDGLSSIHFINTWSDIARGLSVAVPPFIDRTLLRARDPPTSSFEHVEYHPPPTLNSSKNRESSTTTMLKFSSEQLGLLKSKSKNEGSTYEILAAHIWRCTCKARGLPEDQLTKLHVATDGRSRLCPPLPPGYLGNVVFTATPIAKSCELQSEPLTNSVKRIHNELIKMDDNYLRSALDYLELQPDLSTLIRGPAYFASPNLNINSWTRLPVHECDFGWGRPIHMGPACILYEGTIYIIPSPNSKDRNLRLAVCLDAGHMSLFEKYLYEL >Solyc02g077750.3.1 pep chromosome:SL3.0:2:43183180:43187667:1 gene:Solyc02g077750.3 transcript:Solyc02g077750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDFWTSRLAAAKRQLNLQQNHSYNHQTSQLVDRLSIDDFEVEEEVRPDFPCPYCYEDFDIASLCSHLEEEHSCESRVTVCPICSHKVSRDMLSHITVQHGHLLRISFSIFSLTLKHVQRRRRLRKVAIPSSQALSLLGRDLREAHLQVLLGGSGYRSSTATSTTAAAANDPFLSSLVLNYPTFEAEEISKSVLSGVEDSTTKNVTSQHIWKLSFDPSLSVEEREKRISQATGRAVFVQDLFASSLLAD >Solyc03g095830.2.1 pep chromosome:SL3.0:3:58936321:58937445:-1 gene:Solyc03g095830.2 transcript:Solyc03g095830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHFCLLNQSPWDVITFPNEDLSLFQPPLSSSSNSQFVGSVDNGPFNDTNETVASVAKDVDVDVSFVAKKINQDKDDNVEMKNLELSMDQNNNGNKKQEKQHEVVVAKTGNLCLLSTRAKKSSYSSSNPNDFYYYSGFGPSWGKKRDASRKTIHPATTIIENDSTKNVDQCLSSQVDNEEINYVELEDKDDHHKEQNVNKKKKKRRSLEVN >Solyc04g077530.1.1.1 pep chromosome:SL3.0:4:62576075:62576236:-1 gene:Solyc04g077530.1 transcript:Solyc04g077530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILNAAAAVGSYTVEVEVVGGGEVQHLLFPPPETAVVEVLVKNHGCHNFLLV >Solyc03g058886.1.1 pep chromosome:SL3.0:3:28756494:28756837:1 gene:Solyc03g058886.1 transcript:Solyc03g058886.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTDYFDFLLDVVIATDPFTPSSVPFYAVGGNNREDRNKLDHLSIQLVISGTFDQQAILMSPCHATTILIFLFSYGFQF >Solyc11g008800.2.1 pep chromosome:SL3.0:11:2977714:2989767:-1 gene:Solyc11g008800.2 transcript:Solyc11g008800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRIDDDDGDFLASSSNTTGRRNYHCYSEKFVFNSDSDSDDVAGAGDVNRVGSSGRKFSFDETERTPKLFDRFYGSSSSDDEEFSSGSGQNGVVRKRLDNMIQFLDRKICSETAGSNSNNNVKSQSQGLPEFSGKGGGAGIFKLPVRAAVHPDRPPSLELRPHPLRERQIGRFLRTILCTDDGSQLWAGSECGVRLWNLPDMYEAAQEEEENEDFEDAAPFLESGRTSPTLCLVEDAGNRLLWSGHKDGRIMCWKMDSETSSREKGVCGKAALKEVLSWQAHRSPVLSMIMTSYGDLWSGSEGGSIKIWPWEGMEKAIALIYEERHMAALSIERSYVDLRSQVMHNGTGNSIFSVDVKYMLSDRSGAKVWTAGYVSFALWDARTRELLKIFNTDGQVENILAAVDPVIEDEMRMKVVSNSKKDKSQSSIGFFQRSRNAILGAADAVRRVAVKGGFGEDNRRTEALIITVDGMIWSGCANGLLVQWDRNGNRLQDFQYHTFSVQCLCTYGSRIWAGYASGYIQVLDLSGNLLGGWIGHSSPVIDFSVGGGYAFSLANHGGIRGWSVISPAPLDGILRSELASKEFLYTRLENFKILAGTWNVGQGRASPDSLISWLGSAAADVGIVVAGLQEVDMGAGFLAMSAAKESMQVGLEGSSAGQWWLEMIGKTLDEGSTFIRVGFRQLAGLVISVWVRRNISRYIGDVDVAAVPCGFGRAIGNKGAVGLRMRVYDRTVCFVNCHFAAHLEAVGRRNADFDHVYRSMIFSRPSNFLNAAAGIVPYLFSACLLACSMFLIWVAYGSRLPLVILVAAGVSSAIQMLRSANGAFNSAEATPELSEADMVVFLGDLNYRLDGISYDEARDFISQRSFDWLRERDQLHTEMEVGNVFQGMREAVIRFPPTYKFERHQNGLAGYDSGEKKRIPAWCDRILYRDSRSNSGSTCSLDCPVVSSVLQYEACMDVTDSDHKPVRCIFNVEIARVDESVRRQEYGEIIRSDEKVVHMLRELNRIPEAIVSTNNIILLNSDASILRITNKSGKNKAIFEITCEGESTVKDDGQVFDYRPRGSFGFPRWLEVNPAVGVIAPDQIVEISVHHEDRQTLEEFVDGVPQTSWCEDAKDKEVMLAIKVRGCFSTERKCHRVRVRHCFSGKPLPTKVRQSNSDHPQPNVLRRSDFQPSGFSPDVVDDLINLNSP >Solyc04g009040.3.1 pep chromosome:SL3.0:4:2601193:2604456:-1 gene:Solyc04g009040.3 transcript:Solyc04g009040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLFSFNLLVNSCSSLLFFMTCFRCFSMALCHGNDTDQQALLAFKGSINDPFGYMKTWNASTHFCHWSGVTCGRKHVRVIQLNVENQKLDGPLSPFIGNMSFLRSLYLSNNSFRGEIPSETGRLRRLHRLYLGNNSFHGQIPSNLSRCLNLVSLVLGGNKLVGSLPPELGALSKLEYLLLTRNNLTGEIPSSYGNLTSLIGFYAPVNNLQGKIPDSFGQLKNLERFGVAANRLSGTIPSEIFNISSITTFDVGLNQIQGTLPSSLGITLPNLELFIIGGNNVSGSIPSTLSNSSKLVYFLAGSNQLTGSVPSLEKLNELQQLTIPGNYLGTGEPDDLSFITSLTNVSRFRILEIQFNSFGGVLPASFRNLSTELQVVQLSYNRIRGNIPPEIGNFVNVEEFQVRENLLTGTIPMNFGKLKKLQILDLSQNRFSGNIPSSLGNLSVVSILLLHDNNLTGEIPASLGNCNYMIEIYVANNNLLGQIPKDLFALSSLVAVDISENHLDGFIPLEVGNMINLEYLNVSVNNFTGKIPITIGSCVSLEALDMKGNFFQGIIPPSLSSLRGLRVLDLSRNNMSGQVPKYLEDFKFQLLNLSFNDFEGALPNEGVFKNASAISVIGNPKLCGGVPDIHIPECDIKRSKKFGSRFILKIVISVVFGILGLGMLVTLLICFLLKKPKSVPVSSSLGESLINVSYRSLLQATNGFSEDNLIGAGSYGSVYKGTLDGGIVVAVKVLNLSRHGASKSFMAECEVLRNTRHRNLVKVLSACSGVDYRGNNFKALVYEFMVNGSLEDWLHPHPSEDTSQAAETKKLNILQRLNIAIDVASAIDYLHLHCETPIVHCDLKPSNILLDNQLVGHIGDFGLAKFLQPTAQNSSISEGSSALVRGTIGYTAPEYGIGSELSPCGDVYSFGILLLEMFTGKRPTDGMFKDGLDLPSFAKHALLYGAMEVIDPSLIYGTEEDEQGKSTNIYQNKEFLVSVLRVGVACSAYSGAERMNITETVSQLYSIKEALLESQKTSGAH >Solyc05g042126.1.1 pep chromosome:SL3.0:5:55857656:55858654:-1 gene:Solyc05g042126.1 transcript:Solyc05g042126.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVQPLFHIPSRRTIKRDCYEVYGELRINLKQSLREIQPRICLTTDTWTSVQRINYMCLTAHVIDRDWVLHKRILNFCPITSHKGEHLAESISNCLLDWKFDNVFTVTVDNASSNDVAVLELSKKLDMCGTNLMEGKHLHVRCMAHIINLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTTEKFEKAFERFDLYDGNFNSFLATDFCEDGNICELNAYLKLCVASDDLDLSKMASEMKEKFKKYWGTPEKMN >Solyc01g005820.3.1 pep chromosome:SL3.0:1:535572:541703:1 gene:Solyc01g005820.3 transcript:Solyc01g005820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQGFQGRQLTVVFAEENRKKPTEMRSRERSGSHRSSRSYDRRRTPPSRYARPGSHSRDYSPKRRPYSRSVSPEEKRYSRERSYSRSPPRDLSPPPHNGSRSRSQTPVREHPPYNGSPRSRSRSPVRRERSPVRGHSRSPSRSRSRSPGCAPYSP >Solyc04g015750.3.1 pep chromosome:SL3.0:4:6034388:6040394:-1 gene:Solyc04g015750.3 transcript:Solyc04g015750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSPFTLPNSKVEHLSSISQKHYFLHSFLPKKTNPTFSKSPKKFQCNAIGNGLFTQTTQEVRRIVPENLKGLATVKIVYVVLEAQYQSALTAAVQTLNKNGEFASFEVVGYLVEELRDENAYKTFCKDLEDANIFIGSLIFVEELALKVKSAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKKSSAGFSDQMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLVNFLKMVSGSYVPALKGVKMDYSDPVLYLDSGIWHPLAPCMYDDVKEYLNWYATRRDTNEKLKSSSAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERYFIDPITKKPFVNSVVSLTGFALVGGPARQDHPRAIEALTKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFSGRDPRTGKSHALHKRVEQLCTRAIKWGELKRKSKAEKKLAITVFSFPPDKGNVGTAAYLNVFASIYSVLKDLKKDGYNVEGLPETSAELIEEVIHDKEAQFSSPNLNVAYKMNVREYQKLTPYATALEENWGKAPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELIASYQSLKDSGRGPQIVSSIISTARQCNLDKDVDLPDEEKEIDAKERDLVVGKVYAKIMEIESRLLPCGLHIIGEPPTAMEAVATLVNIAALDRAEDDISSLPSILAATVGRNIEEIYRGNDNGVLRDVELLRQITEASRGAISAFVERSTNNKGQVVDNSDKLTSLLGFSINEPWIQYLSNTQFYRADREKLRVLFQFLGECLKLIVANNEVGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQAIPTTAALQSAKIVVERLLERQKIDNGGKYPETVALVLWGTDNIKTYGESLAQVMWMIGVRPVADTLGRVNRVEPVSLEELGRPRVDVVVNCSGVFRDLFINQMNLLDRGIKMVAELDEPEDQNFVRKHALEQAKTLGIDVREAATRVFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMMEKRKVFEMALSTADATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDGKKPSAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSTGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANTTFIKDEEMLNRLMNTNPNSFRKLLQTFLEANGRGYWDTSEENIEKLKQLYSEVEDKIEGIDR >Solyc09g015453.1.1 pep chromosome:SL3.0:9:8805095:8808227:1 gene:Solyc09g015453.1 transcript:Solyc09g015453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIQDQYSQILQILGHTNAKGGTEGSSSQSNSAGNANLVQDYSSSTGNDIALIVGDRQQGWIIDSGATNHMTSLPTILDYQQQVLSDKPRRVYLPNGDNVKVTHTGSLDGSIPEQRRYTRSSKAPLWMKDYVAVASLKSSNKPTYSIDKYVAYDHLHTSYQAFLSNFGLGAAKPVSTPLDPYVRLTTKEYDDVNGKEQEDKLLEDATIYRRLVGKLLYLNVTRPNIAFATQTLSQFLHQPKQSHLNAALRVVRYIKSQAGQGVLISSKSSKQLKVYCDADWGACLHTRRSVSGFMVKMGESLISWKSKKQATISRSSAEAEYRSMASAIAETTWIVKLFKELGAKIQNP >Solyc04g025130.1.1.1 pep chromosome:SL3.0:4:25383209:25383697:-1 gene:Solyc04g025130.1 transcript:Solyc04g025130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHNRTHCHNHTMSEIEAFLTGLHIAFTHHLLPLEVETDFLEILHFLEDTPQTYYSIIMSCRSMLKKLGNPTVRHNFRLANMVADVLSKIGEKLTLPNQPHMLLSPPEAVKDYLKADLGVALSSKLILWSTCNKLACNKLARFGNRLVFSSTSTDVMVNL >Solyc01g086953.1.1 pep chromosome:SL3.0:1:81727238:81730188:-1 gene:Solyc01g086953.1 transcript:Solyc01g086953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTMLILLRVEFGYYGILNCVVIGTVGGSKGVGYYSSRSRIVHGLYAILRAEDRPQGSQVQDIEVTDFNEFLRDTSMHELKTVGGTWSNGHTCSRIGRALVNADWMIRMPTMEDAILRSGMVLDEVSHKKYKAFRFFNCIAEHERFLPLVKQAWQGEDIGSMKEVWRKLKRVIRVIKVLNNTEFRGVIDKILSIRTQLQQIPHDMIDHRQEAIEKEAIPFYWELLGQNATRLPSVDKKIMKEGGKLNREQQLKLAAPVTTKEAFDLVANVEKSSSTSFLGDTEDPEGCKTFTRGRVTEEDKRNMTHYNISKIYRQMRGTKEKVEWRSLVWTNFGAPKWLFVMYLAVNGRSEKKDRSAKWGVLQVLTCPLCQLVDEDHHQIFFQCAYAAEVWKGTLQRQGITRSSMSWINEIQWAVNA >Solyc01g060490.3.1 pep chromosome:SL3.0:1:71211162:71211955:1 gene:Solyc01g060490.3 transcript:Solyc01g060490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPEVMISSEMEVKAAEMMISPEIKAKAPEVISSEIQMKTPEVISSEIQMKNCVNCGAMKTPLWRSGPAGPKSLCNACGIRSRKKKRDLLGLNKDEKKTKKSSANSASSSNVDKKKKKKICAVKNADAIPIHWTDLDDVEQAAFLLMCLSCSSVCA >Solyc04g007470.3.1 pep chromosome:SL3.0:4:1145206:1149475:1 gene:Solyc04g007470.3 transcript:Solyc04g007470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKACEFCMLLKPVVYCEADAAHLCLSCDAKVHSANALSNRHPRTLVCECCGHNPAYIRCSDHQTFMCRDCDRCHHDLSSQHQRKVITSYMGSPSAKDLAALWGFGLKDLENATPPDQFISTSNGKANGVKVISKKFKRSHSSPGGSSLASELDFTGLVVSPESEVGSTSYYTKVLSLRKRRENTSLILQQILDLERLQLTEGSNNLTSGESRNNVSSLKNCTSWNMHNKFDCLQSSLDLGPELQDWGSTHESPVDESFPLPLPDGDSFWECKSPVQSSQLWPQNLQDLGAYAELERFDNSNMPDVDLTFQNFEELFGEDQYLNNTLLEEDMTCSSMENDSSIDRSDYSYVKKDISTASSVRTGHSTHFGQAHGEHIPTIKDCPPPIRTNFSSLSFSASRLSSESSGNEYVDSPAANDQEVSCNSQMDSKKKARLYEKQARNTPRRARTNFKKQHVLKAHCYETDALNMSRSF >Solyc01g005010.3.1 pep chromosome:SL3.0:1:23062:26037:1 gene:Solyc01g005010.3 transcript:Solyc01g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLEHTYILFSASHKNLSIKKKKMGDLHSIAYNSFSSLGCDFHGLEVLNNDMSPFMESTPPFLSNFDCELSSGYLQDALFKFNSKRRRLFLFNDDDDKENYQNKDSIKNLWSSTIDQQFSEDYDSFSQITKCDSFSGDPMSKMSEEYSKRTEEEAISENYYYSSNSSPTSSSHHNKQPLQYGGGDKKRRMCGKIVYPFGLVKPGGQEGDVTLNDINERILMRPTRPVRHPVGDFACRSTVCPAGPGLSGKTVVALTKIHTQGRGTITIIRTRG >Solyc07g066110.1.1.1 pep chromosome:SL3.0:7:67755851:67757434:1 gene:Solyc07g066110.1 transcript:Solyc07g066110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAASTSARAPLQNLTELQRGKRNEIPSSVLLLQMCREKKEVKQLHGQLILNGLIHRFPNGAKLVESYVGVSETDDALLVFNSSIQSPDTFAYNVMIRGLILSKRPIESLFLYERLVTDGLSPDSHTYTFVLKACSHMKAVLEGKTVHAQIMKKGIKPNTHICSSLISMYSCAGDMASARQVLDEFSEPNNVICPFNSMITGYMNEGLVEEAIEIFDTMGNKDTATWSVMLSGYVKNGMHEDALATFQKMMSYNVPLNEASLVCTLSSCGELGALDQGRWLHKYIINKRETVMSVNLGTALVDMYAKCGCIEFSYQLFEKMPRRDVVTWGVILSSFATHGQAKLCFQLFDKMIESGVEPNGVVFVAILSACSHAGLVEEGCHYFDQMVHQFGIRPSIEHFGCMVDLLGRAGRLAEAEQLILSMPEEPNSVILGALFNACRIHNDVERGRHLFKRLINLEPSPDRYKLAASLFANNGEDHEIRKLLNDKILETRCGLSNIQVDGVDHEFMVSDIANDKAQDVYETLGG >Solyc03g115255.1.1 pep chromosome:SL3.0:3:66541761:66544995:1 gene:Solyc03g115255.1 transcript:Solyc03g115255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGFFSGHSCFRLGMVTHQTSLWNLHGMNGKRFLAVLEDEEAADDVVAAVLYTYNVRFQVLSTPLDSDLKMTLAVHLSLWHSDDVQLHWETMQNKGGSIVNMPSFCFGAGMAAGIGALMVSIARSHAQAFGNKR >Solyc06g076360.3.1 pep chromosome:SL3.0:6:47583111:47587414:-1 gene:Solyc06g076360.3 transcript:Solyc06g076360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAAHGLAIPVNRSHRRLNSANTTTGTSVTAASLHPLTIKSKLPSFSSSQNPKPSSSNTRDNSNIFASKQLQNVVKTSAAIGAGFYALSSDSFWSKIFSPAAIAGEEESQEWDSHGLPANIVVQLNKLSGFKKYKVSDILFFDRRRGSTVGTEDSFFEMLSLRPGGVYTKAQLQKELETLATSGMFEKVDLDAKTNPDGTVGVTISFLESTWQSADKFRCINVGLMPQSKPIEMDADMTEKEKLEYFNSQEQDYRRRIERSRPCLLPVSVQREILQLLREKGTVSARLLQKIRDKVQQWYHDNGYACAQVVNFGNLNTKEVVCEVVEGDITQMVIQFQDKLGNVCEGNTQYPVVRRELPRQLRQGKVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELEQKSAEVSTEWSIVPGRGGRPTLASIQPGGTVSFEHRNLYGLNRSILGSVTTSNFLNPQDDLAFKLEYVHPYLDGVYNPRNRTLRTSCFNSRKLSPVFTGGPGVDEVPPIWVDRAGLKANITENFTRQSKFTYGLVMEEITTRDESSHISARGQRVLPSGGISADGPPTTLSETGIDRMAFLQANITRDNTKFINGTIVGERNVFQVDQGLGVGTKFPFFNRHQLTMTQFIQLKQVEEGAGKAPPPVLVLHGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGEIGAARNIVELAAELRIPVRNTHVYAFAEHGNDLGSSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNSGTGAVFFRFGERF >Solyc10g007620.2.1 pep chromosome:SL3.0:10:1909632:1910873:-1 gene:Solyc10g007620.2 transcript:Solyc10g007620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEEVILLDFWPSMYGMRVRVALAEKCVNFEYKEQNMIEKSPILLEMNPIYKKIPVLIHNGKPICESLNVVQYIDEVWKNKVIFLPSDPYEKYQAMFWADYVEKVTKFVFDTGRKLWMEKGGEKQTRKGNYIDTLRMLEGIIGDKLYFGGEKFGYLDICLIGICSWFYTYEKFGEFSTEVETPKIIAWMKRCMKRESVYKNVVEPLKVYDFALQLRKHYGIE >Solyc11g021130.1.1 pep chromosome:SL3.0:11:13389928:13391456:-1 gene:Solyc11g021130.1 transcript:Solyc11g021130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:K4D762] MDSIQLEHENVTKLVLVTLRGGVKEGGGFSNTENDPMNSKELNEESKGNLFVNFFTITAKKPNSALRKVARIRLTSGFEITAYIPGIGHNSQEHSIVLVRGGRVKYLPIVRYHIVRGTLDVVGVKDRQQGRFNSRYCRKKIAKSDPIYRNRLVHILVNRILKHGKKSLAYQIIYRAVKKIQQKTETNPLSVLRQAIHGVTPDITVKARRLGGSSHQVPIEIGSTQGKELAIRWLLVASRKRPGRNMAFKLSGELVDAAKGSGDAIRKKEETHRMAEANRSFAHFL >Solyc05g009120.3.1 pep chromosome:SL3.0:5:3244790:3248102:-1 gene:Solyc05g009120.3 transcript:Solyc05g009120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQNQNSIAMAIKSFNTPINIINLFSSFFLFACGITCGIIFTFYFKNFSVNLQVINGQILNFSSFNSQSLSPPPPPASSSSRSIIPRLGLNEYIKPINVEHDMSDEELLWRSSMVPKVKDFPFKIQPKVAFMFLTRGPILLSPLWELFFKGNEGFYSIYIHSNPSYNQSQVDESSIFHGRRIPSKEVEWGKINMVEAEKRLLANALVDISNQRFVLLSEACIPLFNFSTIYTHLMNSTKNFVETYDLPGPVGRGRYHPRMSPTIKLAQWRKGSQWFEMDRDLALEVVSDQTYFSVFKKHCKGSCYADEHFLPTFVSMKFEKRNTGRTLTWVDWSKGGPHPTRFYRSDISVEFLKKLRSESSCEYNGEKTSICHLFARKFTPHALSRLLIFAPKVMQFNH >Solyc09g018800.2.1.1 pep chromosome:SL3.0:9:17075116:17075397:1 gene:Solyc09g018800.2 transcript:Solyc09g018800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGLNTTTWIWNLHLDAHDFDIHTSDLEEISQKVFSAYFSQLSIISLWLSNMYFHGARFSNYETWLSYPTNIGPSAQVVWPIAYKISEFIGLVC >Solyc06g065790.1.1.1 pep chromosome:SL3.0:6:41351577:41352650:1 gene:Solyc06g065790.1 transcript:Solyc06g065790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLWKRGTTLGQGGFGVVSLASTSNALFRGVTLPSLIALKSCNYTASQSLKQEVEILRMFKHSPYIVHCFGANVSFEDNVNLYNLLLEYASGGSLADRLQNCNSLSEFEVKKHTKNVLIGLSCIHNKGIIHCDIKPGNILLVGADKTAKIADFGLSITLEQGMNQKQGIIRGTERYMAPESVINTEYTPKVDIWALGCTVYELITGTPLWEDADGDDVLDKIEFEEPKLQNPKLSNEARNFLEKCLVKNPSTRWTADMLLNHTFLQNLSKVANIAKTRKKKIDSMSFLHQPIQKITFKIGHHKFSRQLLDPKPLLDKPIKKITIKTCNHKFVRYLTDLKEVEDETCGRILSTDNR >Solyc12g009065.1.1.1 pep chromosome:SL3.0:12:2356194:2356682:-1 gene:Solyc12g009065.1 transcript:Solyc12g009065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPMPYIPSYSPPKQLNLPSLYCALIIVGTAVFLLGIYNFIITRWCNENNNTNQSLEQNTVQVPNNLNANIVSSFRYTKGEVGGNQQEKNGDYECAVCLSVFEEGEEVKQLPICRHYFHASCIDMWLCSHLDCPLCRSPVEPLVLHRNSFTRQEESSRDG >Solyc05g023860.1.1.1 pep chromosome:SL3.0:5:29798757:29798999:-1 gene:Solyc05g023860.1 transcript:Solyc05g023860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGICNLSSIPLPGSIWHCQLSSQSFYLSKEQYTNLEISSFGSAAYANVLHNCIKNRDLIIGKALYCDVLKRGGFVDLFG >Solyc04g008740.3.1 pep chromosome:SL3.0:4:2399448:2404519:-1 gene:Solyc04g008740.3 transcript:Solyc04g008740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:K4BP30] MANIDIAGIMKDLPNDGRIPKTKIVCTLGPSSRTVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLKIAMQNTQILCAVMLDTKGPEIRTGFLTDGKPIQLKEGQEITVSTDYTIKGNEEMISMSYKKLVVDLKPGNTILCADGTITLTVLSCDPPSGTVRCRCENTATLGERKNVNLPGVVVDLPTLTEKDKEDILEWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKRIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLAGKAVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMSRICIEAESSLDNEAIFKEMIRCTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGSTAKLVAKYRPAVPILSVVVPVLTTDSFDWSISDETPARHSLVYRGLIPLLGEGSAKATDSESTEVILEASLKSAVTKGLCKPGDAVVALHRIGSASVIKICIVK >Solyc11g022400.1.1 pep chromosome:SL3.0:11:13756354:13759323:-1 gene:Solyc11g022400.1 transcript:Solyc11g022400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDGQGSASPFVAVDFDEQLQRTQTKNKDLNPLVFNIKSPRDLENQTISVYAYDDQKQGHHKKFLGRVKISGAFIPFSDSEALVQRYPLDKRGIFSHIKGDIALRIYAVLAGGGGGVADVIPTPVLVETEKQNVNNGEDRATPFTPFQETSTNNFEEQYMKETEIKKKKEPEVRTFHSIPVPVPASVETRPPLAARMGYWGKDKTASDLVDQMHFLNINVVKARDLPVMDISGSLDPYVEVKLGNYERVTRHFEKNQYPVWNSAFAFSKERLQSNLIEVTVKDKDLGKDDIVGKVMFDIDEVPLLVPPDSTLAPQWYRLINKKGEKIPRGEIMLAVWMGTRADEAFPEASHSDAHMASQQNLVNARSKDLLPSDRSRMPEAYAKLQLGHQARTTKPSPMRHINPVWNEELMFVVSEPFEEYLIIDVVDRVGPGKDELIGRAMISLKNIPTRVDNSKLIDAIWFNLLKPSHAADDDEKKKEVKFSSKIHLRVWIDAGYHVLDESTHFSSDLQPSSKFLRKPSIGLFELGILSAKNLMPMKSKEDRITDSYCVAKYGNKWVRTRTLIDTLAPRWNEQFSWEVFDPCTVVTIGVFDNCHINGKDEARDQRNGKVRIQRIGKVRIRLSTLETDQIYTDFYPLLVLTPSGLRKHGELHLTIRFKCTAWVNMVAQYGRPLLPKMHHVHPIPVRRIDWLRHQAVQIVAARLARAEPPLRKEVVEYMLDVDYQMFSLRRSKANFFRITGLLSGISAVHGWFYGICNWRNPLTTILVHVLFVILICYPELILPTIFLYLFVIGLWNYRIRPRAPLHLDARLSQAENAHPDELDEEFDTFPTSRQTDVIRMRYDRLRSLVGRVQTVVGDLAIQGERALSILSWRDPRATAIFIILALIWAVFLYVTPFKVVAVLIGLHWLRHPRFRSKLPSVPVNFFKRLPSKSDMLL >Solyc01g056620.3.1 pep chromosome:SL3.0:1:54997542:55002969:1 gene:Solyc01g056620.3 transcript:Solyc01g056620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTKLQELCHQFEWNLPEYATEKDGPDHNPRFTATVVVNGVSFESPKDQCRSSKEAQNHAAHIAYDHFNLPQRRNLPSSAPVMVKCCGGGHGNGSFIVHS >Solyc11g005960.1.1.1 pep chromosome:SL3.0:11:767365:769644:-1 gene:Solyc11g005960.1 transcript:Solyc11g005960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARQAQSLRVLDSLMPNTILSLIARSSSLSQTQQVHAHILKTGHSSDTHFTNKVLSLYANFNCFANAESLLHSLPNPNIFSFKSLIHASSKSNLFSYTLVLFSRLLSKCILPDVHVLPSAIKACAGLSASEVGKQVHGYGLTTGLALDSFVEASLVHMYVKCDQLKCARKMFDKMREPDVVSWSALSGGYAKKGDVFNAKMVFDEGGKLGIEPNLVSWNGMIAGFNQSGCYLEAVLMFQRMNSDGFRSDGTSISSVLPAVSDLEDLKMGVQVHSHVIKTGFESDNCIISALVDMYGKCRCTSEMSRVFEGAEEIDLGGFNALVAGLSRNGLVDEAFKVFKKFKLKVKELNVVSWTSMISSCSQHGKDLEALEIFREMQLAKVRPNSVTISCLLPACGNIAALVHGKATHCFSLRNWFSDDVYVSSALIDMYANCGRIQLARVIFDRMPVRNLVCWNAMTSGYAMHGKAKEAIEIFDSMRRSGQKPDFISFTSVLSACSQAGLTEQGQHYFDCMSRIHGLEARVEHYACMVSLLGRTGKLKEAYDMISTMPIEPDACVWGALLSSCRTHRNMSLGEIAADKLFELEPKNPGNYILLSNIYASNNRWNEVDKVRDMMKHVGLSKNPGCSWIEIKNKVHMLLAGDDLHPQMPQIMEKLRKLSMDMKNTGVSHDTELVLQDVEEQDKELILCGHSEKLAVVLGILNTNPGTSLRVIKNLRICGDCHTFIKFISSFEGREIYVRDANRYHHFNEGICSCGDYW >Solyc05g043360.2.1 pep chromosome:SL3.0:5:56923817:56928781:1 gene:Solyc05g043360.2 transcript:Solyc05g043360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4C0L8] MAMAHQQVFLFACAIALLASSSMFSAEVITHSFHVQNHTISRLCGRQVISAVNGNLPGPTLHVREGDTLVVHVFNKLPYDLSIHWHGVFQLLSGWADGPEFATQCAIRPGNSYTYRFNLTAQEGTLWWHAHVSWLRATVHGALIIRPKRGRAYPFPKPYREVPILLGEWWNANVVDVEKEGLASGAAPNNSNAYTINGQPGDLYPCSSSKTYKLIVKQGKTYLLRIINAALNNQLFFKIANHNMKVVAVDAAYTNPYITDVVVVAPGQTTDVLLTANQAPSAYYMAANPYVSVAGVPFDNTTTTGIIVYEGAKSSTPKMPILPAFNDTPTAHKFFTNLTGLVTGPFWIPPPRQVDEHMFITIGLGLLACGKTGNATCRGPNGQRFGASMNNASFQLPTKMSMLEAFFNNVKGIYTTDFPNQPPLKFDYTNPNNSFNPSIIMTTKSTKVKKLKYNATVEIVMQNTALIGVENHPIHLHGFNFHVLAQGFDNYNPTIDKKKFNLFNPQERNTIAVPVGGWAVVRFRANNPGVWLMHCHLDVHLPWGLATAFVVENGPTPSTKLPPPPQDLPKC >Solyc02g030290.1.1 pep chromosome:SL3.0:2:25960033:25961246:-1 gene:Solyc02g030290.1 transcript:Solyc02g030290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVLLSASVEVLLQKLLLLATSGTSHLWGSKKELKKLRRCLAMARAVLRDAERQQRKDQALKLWLKKLEDLAYDADNLLDELNYTTLKKSEWKGMGGLGKTTLARLIYNDEQIVRYFDERIWVCVSEIFDANKIIRLVLESLTQRSIDVQSRTALLQILHKELGGTKHLLLLDDVWNEKLEEWDDFKRSLVGINATKGNAIILTNRSERVASTVATHHLHFLEKLSADDCWSVFKERAFPEGDVPMELVTIGKQIVHKCSGLPLSSNLLEGMLHLTKETSEWSSVLRNGLWNLNGDENAVLQVLKLSFDHLP >Solyc02g081270.3.1 pep chromosome:SL3.0:2:45853536:45864836:1 gene:Solyc02g081270.3 transcript:Solyc02g081270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSLPPGFRFHPTDEELVAYYLKRKINAKKIELDIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQTRSVGMKKTLVYYRGRAPHGARTDWVMHEYRLDERECEVANGLQDAYALCRVIKKNLNTTNGAKIGEQQYYGNAATSDRSSSVDHVYSHDGGRSCHDMMESSHGLMPSSSSSTSMAVHGSSPINDNVCAPSEADDDKWMQYLSSNEPNFTFNNSLQPIPNCGTLPFPPSKVDIALECARLQHRFTLPQLEIQDFPQVGSYADHAKIPAQSSFIQQASQNHVINQDYSWGGNYNSSCAPNMDDDFSFLIPLNNSNQIHHHGDLGSFNFMEQDENVIRSIDIGDFDHHDFKSDRMVENLRWIGMSNRDLEKTFLEDYKTVPLENVATVHIEEHELQGENSGHSNSFITGFNETEANNFSIGFDNFLDNDGDIDGFSNSPSFEVYEKVEVNHGFFIATRQASKTFYHQVTPSRTLKIHKNLVPLHDFPKSSINNFVTRPWTTTMRTLVGMVAILQTFWIYFGECLELEVKGFKLEDKKGVYEECCLIEGVEKKIKKVHDFKWDFYEQNKFLIGEKQEEGIKYCCYVEKKWPNYLTLSLDYANAAIPTVKVGNISKVGDSAYFRIYYGQTFKVIKNTLDGKSYLLIQNNTRMASRTKYCTSRIKSFVIPLANYSIETDYFFPVSFFEVRMHSFSIILIAVLLD >Solyc08g042080.3.1 pep chromosome:SL3.0:8:23943852:23952762:1 gene:Solyc08g042080.3 transcript:Solyc08g042080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASMWSLQLHTVSVPVPLEAFWRYNSTRKRTFKKLNIVANGDAKNSKNLPHQIMVVKSNKPNLPVLLQDAVTKLQESVKSIPPPSLLVKKHSGVSLPIALSTMVTLLVIILRNYVAKRSKPNRPGSVADLVRRGQLRSDRRGISNPLKYNDPFNNPLVKVSKSNSTVEMCGQVYRLAPVTLTKEQQAVHQKRRSRAYQWKRPTLFLREGDSVPPDVDPDTIRWIPANHPFATTASEIDEDLAQTNVYQKHGVPFRIQAEHEALQRKLEALQSEQKLGKLVIDPGAAKDFERPFKTRLKTEEQLDQGPYNRQMGSNSSESEGARDSFVNQHSSEEMDKP >Solyc09g019980.3.1 pep chromosome:SL3.0:9:17899426:17904643:1 gene:Solyc09g019980.3 transcript:Solyc09g019980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFFLLWLLLLLLLLLEVNSEDSCTSNLNLKNKPLFDTSSFHCVSVWDEQGYILRYLQTDTKVWSFLLSAPNSNSFIGMGFSKDGKMVGSSAIVGWISNDGSSTMKRYYLGGQSSAQVVPDEGNLQLVNMTSSIIAENSRIYMAFQLSTAVTPTNRLIYSLGPNGRLPSPINYQLSQHRAHISTFFDYNSGESKSKIPYANLRSSHGVLNMLSWGILIPIGVMVARYFRQYDPIWFYTHTTIQSIASLLGFSGIVCGFVLEDRLSAHVDKHKAIGIFILVLACLQVIAFLARPGKESKVRKYWNWYHQILGRVLIILAAANIFYGIHLGGAGTAWNVGFAVTLVALFSISIVLEIRLWMTK >Solyc08g005650.3.1 pep chromosome:SL3.0:8:510907:512972:-1 gene:Solyc08g005650.3 transcript:Solyc08g005650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome P450-1 [Source:UniProtKB/TrEMBL;Acc:K4CI56] MEVSSFIFTIFLIFLPLLLAIVKNHKKSKKLPPGPWKLSIIGNLHQLEISRPYITLKELSKKYGPLMHLKLGERSTIVISSYKILKELMKTSDTILSHRPELLVSETVAYNGRDIAFAPYGDYWKQMRKICTSEILSTRRIHSNYPLMEEEISRLVKNIKESSSKGTLINVYECLNSLSCAIICRVTVGTTCNDSDSLISTIRKITPLVGLFNISDLFPSLKFLDRYITGSNQKLLKVHHELCDRLLEEIVHEHEESIRKNNVDEEDLLHLLLRLREKESHNFQVPITRDNVKAIILDMFIGGTDTTSILVEWAMAELLKNRNMMKKAQVEVREVLKGKKKVDHIDVQNLKYLKLIVKETLRLHPPGPLAIPRESIEEIAINGYVIPNKTIALMNLYAMGRDPEYWHDPEKFMPDRFNNYVDNDVKMIKGSSNVPMEFLAFGFGKRVCPGMLFATASSELTLARLLYHFDWTLPNGMNPQDLDMTEGFGAAATMKNNLYLVATPYD >Solyc07g054040.2.1 pep chromosome:SL3.0:7:62570667:62571194:-1 gene:Solyc07g054040.2 transcript:Solyc07g054040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRRSMYMQRIKIHGINKTLGSVHYKRASHREGIGVCLKAGRGKGSGHEACLKAGRGWGAFEHGACFKEEGRGCLKARSLPQGGDGEGEA >Solyc08g080760.2.1 pep chromosome:SL3.0:8:64092281:64094008:1 gene:Solyc08g080760.2 transcript:Solyc08g080760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVYSNLRTINISNYVSPRRETFTLWMKSLVYHGNGCTAYNSNGQIVYRIDNYNIKRSKEVHLMDCNGKVLFSIRNRKVPVFGHWDGYKWSYDGITSKEKPWFQVKKIHNVLRGENVNYYSVILGCNSEATCYNIILATKSIKIVDQHGGLVAEVKQKQASSGVLFGDDVLTLVVEPHVDQSLAMALVTVCGLIHHRI >Solyc01g099640.3.1 pep chromosome:SL3.0:1:89697396:89703203:-1 gene:Solyc01g099640.3 transcript:Solyc01g099640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVMKARLERAKMLDYPEAISPPFEPYDPRYAAMGLPLDPYLRAFKLNPNLGFVAGIIRHLE >Solyc06g076880.3.1 pep chromosome:SL3.0:6:47917278:47919769:1 gene:Solyc06g076880.3 transcript:Solyc06g076880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTKVYVVYYSLYGHVETMAREIQRGVNSVEGVEATLWQVPETLPERILEKMKAPKKPDDVPEITPEQLLEADGFIFGFPSRFGVMAAQFKAFFDASDEIWATQALAGKPAGIFWSTGFHGGGQELSALTAVTQLAHHGMIFVPLGYTLGKGMFEMDEVKGGSSYGAGTYAADGSRQPTELELKQAFHQGKYIAEVTKKLK >Solyc06g083860.2.1 pep chromosome:SL3.0:6:49202827:49205553:-1 gene:Solyc06g083860.2 transcript:Solyc06g083860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTELVESSNHHHHEKINIETVNNIEIISVSASSSSSAATPAPASSSSRYENQKRRDWNTFGQYLRNHRPPLTLSRCSGAHVLEFLRYLDQFGKTKVHTPMCPFYGHPNPPAPCPCPLKQAWGSLDALVGRLRAAYEENGGKPETNPFGARAVRLYLREVRDLQSKARGVSYEKKKKRKRPTPPPPIPPPQLLSTSVQLPLSSPPPSGKGALPFEL >Solyc06g051235.1.1 pep chromosome:SL3.0:6:34518167:34521601:1 gene:Solyc06g051235.1 transcript:Solyc06g051235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLINAIVMDLDLNHEPMESSALGLGSLPNSRIEQRILHLESVAARWRQVHNSSPNSSPNSVQHHNSLGKGKGCKRDRSHLVAKALEMDVVVKKVDKNGPSFFDCNICFDIAKEPILTCCGHLYCWSCFYNLPYVDSTTKECPECKGEVTDANITPIYGNANSYCSNQVHSAFELPPRPKANRVESVRQQRVTRGLSHIPVAEALRRIRNSIGLGHNPQQLHTGAVSSTSLSGSHELPNTEATGSQRPRSRVFSRVLLEGAASLSSELGNVQRLFEDITTSITDRIHEIKTLLSYDQSIRLWIQLQRQVLLYLFLPLLNQMKFLMQHFRWKT >Solyc04g077600.3.1 pep chromosome:SL3.0:4:62635237:62640939:-1 gene:Solyc04g077600.3 transcript:Solyc04g077600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANTLRLDDDDGEDGVEFNRNYQNDVVTRGANSSTASHAVDEDTSTYEEIDLEERSNNDNDYRSGSDVDDEDNQNRGVKEDLSELKETLTRQLWGVASFLAPPPPPLPPGKSDLFGSEGDRIEDSGLSDGSEEEEGEYVGDGDSYMENLDEVEESESNAVGVTEEVLAFASNIAHHPETWLDFPFADEEEFDDFELSEAQLRHAYAVERLTPRLAALRFELSPAHLSEGFFWMVYFVLLYSRLKKQDAELLSTSQLVEARAMWMQELQKKTKPESDWFGIGTFQAKESAYSPRGDFESNSSEDAHSRYFVLPRAFALEPPSCHTTSDTDTEKHSIENTEIQFIDKAVIEEDAPSKIIEKEVVAGPSFKPPVFDYDEHEDDWLKDNSELEGYTGSTIVNEEDVSFSDLEDDTDYTMPIKPKSVSINHSTTLDVS >Solyc08g061698.1.1 pep chromosome:SL3.0:8:49513097:49514258:1 gene:Solyc08g061698.1 transcript:Solyc08g061698.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFINNEESNKKKVIFIMGATGTGKSREIEPDSDFTPEDFCLQAIVYIEKILKTQRVPIIVGGSNSYIEQLVEDPVFMFNYKYDCCFIWIDVEQSVLNCRVDMRVDQMVKAGLVDEVRQIFIADADADYTKGIRRSIGVPEMDKYLREETNLDGDDESKRMILQASISSIKRNTRMLICNQLDKIQRLISEKMWSLHHIIATNIFKEDREENLDEAWTNTVLQPCQDIVKRFLKNDHHN >Solyc10g049940.1.1.1 pep chromosome:SL3.0:10:47082989:47083312:-1 gene:Solyc10g049940.1 transcript:Solyc10g049940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLKSGSANATATLEYLNQGNPKNASAESRASCFEGYRVASINLNHSIGQFKEKNIPEVAREVVVANGFISTCEEYQIEDATILSSYHYINDICQKVLKQIRNKLL >Solyc08g061770.1.1.1 pep chromosome:SL3.0:8:49550882:49551076:1 gene:Solyc08g061770.1 transcript:Solyc08g061770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCINNEEFNKKKVIFIMGATGTEKSHLFVDLATHFREQIINSVKMQVYNELEIVTNKITHSE >Solyc12g056650.2.1 pep chromosome:SL3.0:12:63578700:63592772:-1 gene:Solyc12g056650.2 transcript:Solyc12g056650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSERWIDSLHFSSLFWVPPQAAEQRKDQITAYVKYFGQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFVLHHPEHGHAVVHPIISCIIDGTLEYDKSSPPFASFISLVCSSSEKEYSEQWALACGEILRILTHYNRPIFKVDRQDKGTDRSTSGSHTSTSESSSSEPGVPSIQHERKLLRPLSPWITDILLAAPLGIRSDYFRWCGGVMGKYAAGDLKPPSSAASHGSGKHPQLLPATPRWAVANGAGVILSVCDEEVSRYETATLTAAAVPALLLPPPTTPMDEHLVAGLPPLEPYARLFHRYYAIATPSATQRLLVGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGLRLPRNWMHLHFLRAIGIAMSMRAGIAADSAAALLFRVLSQPALLFPPLRQIEEIEILGGDTSCNKKQREVTAAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIVVATPLQPPILSWNLYIPLLKVLEYLPRGSPSETCLMKIFVATVEAILQRTFPPDSSREETRKTRYIFGSALKNLAVAELRTMVHSLFLESCASVELASRLLFVILTVCVSHEAKPNGNKRPKGEDTHSPSEIGVDTQATIGKQRPIGSKKVKKQGPVAAFDSYVLASVCGLSCELQLFSMISRGPNYPDPKIIMDEAKPASDSSTELRNGIHSAVSHTRRMLEILEALFSLKPSSVGTSWSFSSNEIVAAAMVAAHISDLFKRSKACMHSLSSLIRCKWDNEIHSRASSIYNLIDIHSKTVASIVNKAEPLEAYLIHVPLLKERPRCLNGKKHYKYTSRNCLTSEQPSGPLCKDSYDRRSSLVCEKASDSSSHSSELAGYTISKVFANFSLDATDLANFLTKDRHFGFNCNAQDLLKSVLADKQELCFSVVSLLWHKLIASPETQPIAESTSAQQGWRQVVDALCNVVSAAPGKAATAIVLQAERELQPWIAKDDEVGQQMWRINQRIVKLIAELIRNHDIAESLVILASNPDLLLRATDGMLVDGEACTLPQLELLEVTARAIQPVLDWGESGQSVADGLTNLLKCRLPATVRCVSHPSAHVRALSTSVLRDIMYAGSVKPSAKQAADVNAIHNPAYQYLSISIIDWKADIEKCLMCEASSRLENGMSAQFLDTAARELGCTISV >Solyc07g064330.3.1 pep chromosome:SL3.0:7:66652964:66666302:-1 gene:Solyc07g064330.3 transcript:Solyc07g064330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGRLVKRDWLIWFPCCMTMDDNNQISSLEDSIIHQYKSANSQAQFANISLKTDSSRRRYIASEIEKFGKGNISAQAFTFRELCLATENFDSECLLGSGGFGKVYKGHIKSKNMAVAVKQLDRNGFQGTKEFLVEVLLLSLLRHSNLVNLIGYCSDGDQRILVYELMSNDSLEVHLLELGPDQKPLDWYTRMKIATGAARGLAYLHETANPPVIYRDFKSSNILLDENFDPKLSDFGLAKLGPTGDSSHVSTRVMGTYGYCAPDYACTGKLTIKSDVYSFGVVLLEIISGRRVIDSSRPSEEKNLIKWARPLISDKKLHLIADPLLRGNYPRRGLDKALTVATMCLQEDASTRPLMSEVVTALDYILNIKKHDDDHKEETADDTYKSPPALQTITSHVDRIASNKPNCFINTAAQSPLLSCTSNKLVGRRSRATAAVAMAFLGLSVQSSPFKPTSYVWFSPHSFSSRTFWASSGKALHRGEDCKTRSIENASSSLAVFGDPIKQISSHERKLFSSGLQQKIEEDSIYGWNAEIDAIKALKAKNAYNSYKKISAANCSVSASIDRKVNDENSDVPIEVNTRMMRERVTSSYSATTCISGGSLSSKSKPPHNPNRGEKKDVGNWREYKKHLPQLSVGINHSRNNEVTSINKVDGPNVSHYKPLSKGSNLNGQLSSKIVNAKFEKANKLWQGNASNQIRDSVNGTDTKVVTVKAKSVILEQATIEREKNAVKSVATDFVNGNEAKIVSDKGTGLDQITLRERLGAMYEKVHIVDNLSAAKEVVANIDIKQQTPVDHGEVICFSIYSGPEADFGDGKSCIWVDVLDGGGKDLLVEFAPFFQDPSIRKVWHNYSFDNHVIENYGFEVSGFHADTMHMARLWDSSRRILGGYSLEALTGDSHVMCDARLVHAERLFHDEGLFGKISMKTIFGRKKLKKDGTEGKVIMIPSVEELQRTERELWICYSALDSISTLMLYESLKKKLSKRIWTFDGVRKGSMYEFYEKYWRPFGEVLVQMETEGVLVDRAYLADIEKVAKAEQLVAVNRFRNWAAKYCADAKYMNVGSDTQLRQLFFGGIQNRSMVVAEIFVGDIESFRIPAMRGNTTSVQRPIIRVPFQRLWQILYRQVLRLQLKISLVSAIFSLLQFDLGKNVDESLPNEKEFKVPNVDKVIEEGKKAPTKFRKIHLHRICDPINTEIFTASGWPSVSGDALKALAGKVSADFDIFDEVDGNAEEVPETSVDEALTTNNEALSQNPEISAYGTAYHAFGGGQKGIEACHAIAALCEGHDVSGENGRIHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFVAAEGNSLIVADYGQLELRILAHLANCKSMLGAFEAGGDFHSRTAMNMYPHIREAVEKGQVLLEWHPQPGEDKPPVPLLKDAFGSERRKAKMLNFSIAYGKTTIGLSRDWKVSVKEAKETVERWYSDRKEVSDWQEQRRFEAREFGCVHTLLGRARWFPSVKNATGSVKGHIERAAINTPVQGSAADVAMCAMLEISKNARLKELGWKLLLQVHDEVILEGPEESEEEAMAIVVHCMSNPFNGKNILRVGLSVDAKCAKNWYSAK >Solyc01g016400.1.1 pep chromosome:SL3.0:1:19413760:19415777:-1 gene:Solyc01g016400.1 transcript:Solyc01g016400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFGGGCKRGRHGLMNGNIGFKKSKLAEAQVFVLLLFQVHFAQVPLLACFSDQLFSFVYTALSYCTDGRFSLGGAGKSSI >Solyc12g088110.2.1 pep chromosome:SL3.0:12:64554056:64567515:-1 gene:Solyc12g088110.2 transcript:Solyc12g088110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDSVKSGRHESTSMDISYVVSRTPFVYRTQPLSISMITVQIAALPVGKLMAKVLPTRKFKIGSWEFSLNPGPFNMKEHVLISIFANCGAGTAYAVSIVTIIKAFYLRNISFVAGWVLVVTTQVLGYGWAGIMRKYVVDPAEMWWPGCMVIVSLFRALHEKDADGKSSRGKFFLVVLACSFIWYIVPGFLFPTLSNISLLCLFYPKSVIAHQLGSGMKGLGILSFTFDWSVVASYLGSPLVCPLFAIVNVVVGYVFVVYIMIPISYWGYDIYNAKTFPILSSHLFNAQGQKYDIHRIVNDKFELDQVAYGKQGRINISTFFALSYGLNFAAVVATLTQVGLFNGKEIISRFRASNKSGKSDDIHTKLMKKYPDIPGWWFHGMLVVSLVLSLILCIVWVDQVQLPWWGLLLAAAIALIFTLPISIITATTNMTPGLNVMTEYIIGLIIPGRPIANVCFKTFGYISMAQAVSFLADFKLGHYMKIPPRSMFILLGTLIAGTINMTVAWWLLTNIDNICQDALLPVNSPWTCPGSRVFFDASVIWGLVGPKRMFGDLGNYGALNWFFLGGLCAPIIVWLLHKAFPKQSWIKLINIPVLLGATASMPPATPLNFNSWIVFAIIFNYFIFKYQKKWWQKYNYVLSAALDAGLAFMGVFIYFFLDKVKLNWWGTGGEYCGLASCPTAKGIAIRVRVPWITSKE >Solyc09g091960.3.1 pep chromosome:SL3.0:9:71633897:71637879:-1 gene:Solyc09g091960.3 transcript:Solyc09g091960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSAENSPVPLRVAPPNYQPYPLPLVKYENIVASPQLFMETLQKLHAFMRTKFMVPVIGGKQLDLHRLFVEVTTRGGLSKILGEKRWKEVTLLFNFPSSATNASFVLRKYYISLLFHYERLYYFKAKYWTPPPGVLQEASRTAAPTQALAGYILPPPGLEATMPQPQRIETTFPEETTIPSTGSPVSGFIDGKFESGYLITVKIGSEDLKGVLYQIPINQEQQELQNVPAYNTQKEASTPGIARRKRRKKSEIKKRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISRMIGELWNNLNVPEKMVYQERALQDKERYRMEMQDYRERQTTGQIVSSPLLIQSPLEHNVDMMDQPTELHSENGNVSWSPEHGTSSDKCAQSNSENNNNNNNKGTDPPVLEMQTNNVIVEGFELLKRVDMVDCEDKEMQEEEVEGDKQEELQHSKEKVFFFLEEKTVTN >Solyc04g051370.3.1 pep chromosome:SL3.0:4:50376766:50385824:-1 gene:Solyc04g051370.3 transcript:Solyc04g051370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDGKLEAQIEVLLNVEKQMRQAGDVAATRKAAIDILQLCFEARAWKTLNEQIVLLSKRRGQLKQAVQAMVQQAMQYIDQTPDLDTKIELIKTLNSVSAGKIYVEIERARLIKKLAKIKEEQKLIGEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDHKDYVRAQILSRKINPRVFEADPSKEKKKAKEGENVVEEPAADIPSLPELKQIYYQLMIRYYSHSNDYLEICRCYKAIYEIPSVKEDPAQWIPVLRKICWYLVLSPHDSMQSSLHNSTLEDKNLSEIPHFRLLLKQLITMEVIQWTALWNTFKDEFENEKNMPGGTLGDKAAEDLKLRVIEHNILVVSKYYSRITLKRLAVLLCLDIQEAEKHLSEMVVSKALVAKIDRPMGIVCFQPPKDSNDILNSWASNLEKLLDLVEKSCHQIHKETMVHKAALKA >Solyc02g043900.1.1.1 pep chromosome:SL3.0:2:959113:959286:-1 gene:Solyc02g043900.1 transcript:Solyc02g043900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWTIIMLRRDNYRKRQITKQVENQNFNLTFFIQKFEIKQIAISKSTQLTRNAYYLF >Solyc12g096180.1.1.1 pep chromosome:SL3.0:12:66190559:66191152:-1 gene:Solyc12g096180.1 transcript:Solyc12g096180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVLGPERRTNPLIWCVALLCTFITLAVIVTGIIVFIGFIIVRPKVPQMSVRSANIDTFAYDMSNLLSIKVSIVINAENDNVKAHATFYDTLYTLYFHDVKVAYLRADPFDVLKNTTIQLYYPVESTSIALTPQEGANAEVALNQKLVGLDLKGSSKTRWRLGLVGSVKFWLHLNCKLKLPLDGSTIYPKCSTKS >Solyc03g026200.3.1 pep chromosome:SL3.0:3:3627861:3634178:1 gene:Solyc03g026200.3 transcript:Solyc03g026200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTCLLLNSLTPSLFPVKRYGKSIWPSEFRCRKTRKSSSLIVYSRLENSKESTNAQQQQLNLSVLRFTLGIPGLDESYLPRYIGYAFGFLLVLNHFLGSDPSAITAAQLRTEVLGLLLALFSVIVPYLGKFLKGSVLVEERNLPEDAEQAFVISENISDILKEDLAWGTYVLLRNTSSISVLFSLQDTICARGYWRTPKDVLKAHLCDWFEKQIQQSGLHDLKETLYFPQVSDSEVWQMLPKGTRSLLVQPLIQSETSASSQEWKNNGFVLVASSNSYAYNNKDRSWVGAVAKKFGGKCVHADL >Solyc11g008950.1.1.1 pep chromosome:SL3.0:11:3104193:3104387:-1 gene:Solyc11g008950.1 transcript:Solyc11g008950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHLFFIFLIFFKANSIFNIITSHHGQCFKRNRHFFLVTSLDDKYYIVALRTLHLLYIINAVN >Solyc08g077860.3.1 pep chromosome:SL3.0:8:61899099:61903741:1 gene:Solyc08g077860.3 transcript:Solyc08g077860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTPYFLVMATILTFIALSFITTWVPLLANAKIFMVLMKDDPFVSTESKNLEDVNIYKERMRRQHDMLLGSLLEKSVYTKVYSYTHLINGFAIHLTSDEALDVLRNVEGVRAIYEDVKMKKLTTHTPDFLGLPVGVWPKLGGPTTSGAGVVIGMIDTGINPFHPSFLAQASNGAGRGTIVKSGKFKGKCVTGDRFPETACNSKIVGAQYFARAATAAGEFNASRDYASPFDADGHGSHTASTAAGNHQVPVIVNHFNYGYASGMAPGAGIAVYKAMYSFGGFMSDVVAAVDQAVEDGVDILSLSVGPASVPTGPSAFLNVLEMQLLFATRAGVLVVQAAGNGGPSSTSILSFSPWITSVAASTTDRRYNNSIVLGNGQSFSGSGLSPPTLSEVHFPLAAASDVCKGNTSSALLTVESCQETEPFIRTLVQGKIVICTYTFDFESEAASIATVADTIQEVGAAGFVLTMDPDISSEKIKGATMTLTVPGLILNSMEASTALREYYNSNTLRSRSGRAISFRATAKILDGRQASYNSQDPFVASYSSRGPDVNNALLDTADVLKPNIMAPGSSIWASWSPNSEGDQHIKGQNFALLSGTSMATPHIAGIAALIKQKHPGWSPAAITSAMMTTADVTNGYSSTPILAQQTNQLTPATPFDFGSGLVNPSRAIDPGLIFKASFKHYVLFLCSVPGVDEMSVRRAVGVGCPSKKKAWCSDLNTPSVTISNLVGSRNVIRRVTNVAGVDETYQVIVQEPLGVSVTVRPRVFNIIAKASKHITFVLNATQTTNTYSFGEIVFQGNQNHTVRVPLAVFVSSTLHS >Solyc06g082510.3.1 pep chromosome:SL3.0:6:48341936:48344868:1 gene:Solyc06g082510.3 transcript:Solyc06g082510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRMDIDTDISHWILEFILRQPLDDSVLNGFIHVLPLPNDKPNLKKALLIRKIESEISNGSVNEKILDFLELIEELNHQDGIEASEVMKAAYCAVAVECTVKFLNSEGTGGDKGKYFDAVRRIWKRKINLTEKIENVGFVSEELWNWRDEIEAALWDDKCSYSVIMKSKAVVAVESVKFFVREAKERTGSPFLDVVAEAYQSDETMKTLFGGLNKEGARRENNREVSKGTALRRKKHVAFKRTREGVRINDSIELELKASGGGGQDGLPSSAEIQKAEKALKLSSLELRAMVKDPLPEALRYAETLSHLARDNMGHQPAGNRSDRAPPPMYSSSRLFQASGDKCEAQHNFHQSAASKPDQVNQNTTANPIELDDFLDKLTEGSPSPSKANRATLPTPKTVRVSPLKKYEYKKITTRRKVTKWSSLEEETLRAGVQQYGIGNWKFILNTYPNIFSARTAVDLKDKWRNLIS >Solyc06g034236.1.1.1 pep chromosome:SL3.0:6:24094880:24095380:-1 gene:Solyc06g034236.1 transcript:Solyc06g034236.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSFSTIRKDEISSLISSIRSTKGSPVNMTEKIFWFTNSVTCSAAFGKMFKDRDEFIKLLKDILILASGFYVTDLFPSWKLLHKLSGAESRLMNVHKKIDEIMEDILKEHLENKANRNKRIGDQLGGEDLVDVLLNLMEDAELECPITNDHIKAVILVSLGCFIS >Solyc02g088180.3.1 pep chromosome:SL3.0:2:50973105:50976137:1 gene:Solyc02g088180.3 transcript:Solyc02g088180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC2-domain containing protein [Source:UniProtKB/TrEMBL;Acc:K4BBX4] MEIVCGFGGREEEMELPPGFRFHPTDEELITHYLAPKVLDSGFCAIAIGEVDLNKVEPWDLPWKAKMGEKEWYFFCMRDKKYPTGQRTNRATEAGYWKATGKDKEIFKSKTLVGMKKTLVFYKGRAPRGEKTNWVMHEYRLDGKYSIHNLPKTAKNECVICRIFKKNSGGKKIAISNLTRSDSITDNSRSSNLPPLMDLSPYNKITTTARSSGETCNSHVTCFSDSMEDQKPQTYDHHHLLSSSPVSVDFPQNVPNDSMTYMGNFQYGDSGLMQDNSIMRLLIDNNYGSESKQSLRGYEDHDINISSTGPVDIDCLWNY >Solyc06g076370.3.1 pep chromosome:SL3.0:6:47590043:47592155:1 gene:Solyc06g076370.3 transcript:Solyc06g076370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLDKFSHSSRSTPKSNSTTFEQLLPGNERSWSTSSSPTAYYDHEEYTPTHGKKSVISKVKEKAKKLKHSLSGRKKMQENDVHDDTPSWGVALDDDDEEDVDPEYLGAPMYESELAPEPLKEAARQHPRADPVLSEKHVTPRSIKQTVTERLAPAYAAVSDATQAFASKISNLTLSNADNHESDIQKTPKNVHFGNVNRQNVDQNATALTSPVKKWDKGVSVKEYFAEKFEPGEGERSLSQIITEAMSPRHSSSSDIGIMEKMKGAVTSFIQPEFSPKSSTIKSIKSASTSNIPVSTSDIMSPKSVIVASNNNLPQDNPITMPHKSHLSTTNDSASISQIPEFHSARSSPLIPITSIIEEQNHERILQPN >Solyc10g005610.2.1.1 pep chromosome:SL3.0:10:489769:494797:1 gene:Solyc10g005610.2 transcript:Solyc10g005610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACINNVGVSPENFLDCSPTKYKSYGWLSPRISTAVDNPEVIIDPEVSVNEMVDFEFRLEDPVNMLPADELFSDGKLMPLQLPTVRPAAVSTSAGVRSPETPKLRMRNNEISRKDPYLFSPKAPRCSSRWREILGLKKLQNDKHEATKNCSNAHKSLKNFLNRSSKSSTNDSTLHLPLLNKDSDNESISISSSRLSLSSSSSSGHEHDDLPRLSLDSDKPTTQTNHNSNPNPPRIRLVKHRALSSENPVPTRLAGSPINKPPADSTLRGLSIDDSPRMNSSGKIIFHNLGRSSSSPSSFNGAPRYKHGGGVERSYSANVRVTTVLNVPVCSSLRGSSKSGVFGFPLFSSATVNKKHHCNSNTATGHRMK >Solyc04g079040.3.1 pep chromosome:SL3.0:4:63718770:63725587:-1 gene:Solyc04g079040.3 transcript:Solyc04g079040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLLKLPVLLLLLLLQQQQAVSHSTVEYLPGLPGRLPFPLETGYIGVGKDEELQLFYYFLESESEPTTDPLLIWLPGGPGCSAVTAIVNGIGNTAGEEPFVNLQGYLMGSPGTFRPQEDNYQVLFSYGMGLISNELYKSDWRKHMKYWANDPKVQEALHIQKGSIGSWVQCNKISSVGSPPNYTLMIDNVVPYHANLSIKGYRSLIYSGDHDYSVPFQSTQKWIKSLNYSIVDDWRPWNVNNQVAGYTRSYSNKMTFATVKGSGHTACRYKPEECFVMFKRWINYDPL >Solyc04g082430.3.1 pep chromosome:SL3.0:4:66171883:66175432:-1 gene:Solyc04g082430.3 transcript:Solyc04g082430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSDENYPGVIRPSNLQGGLRPGVGGKVNGGLGQNRRALSTINKNVMGAPPLHCAVVHKRNDITENKASAANKIPPVPIHRPITRKLAAQIASKQHQPAVEVTKPPVPVVPVRNESEDCIIIDAEDYKTTGNSSVPMFVQHTEAMMEEIDRMDEEIEMEDAEDWSIVDIDSPDKKNSLAVVEYIDDIYAYYKKSEIVSCVPPNYMEQQFDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQSVIRKKLQLVGITAMLLACKYEEVTVPVVEDLILISDRAYTRKEVLEMEKLMVNTLQFNMTVPTVYAFMRRFLKAAQSDKKVELVSFFLIELCLVEYEMLRFPPSMLAAAAIFTAQCTLGVSKEWNKTCEKHSSYVKDQLLECSKLMVCFHQKAAIGKLTGVHRKYSTSKYGYATRCEPASFLLEAWF >Solyc04g005870.1.1.1 pep chromosome:SL3.0:4:559151:559312:1 gene:Solyc04g005870.1 transcript:Solyc04g005870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATSEAPPLVAVVNNDLYSADYANIEVRKYDKLIKARVTIRRLPERVASMNG >Solyc03g098080.1.1.1 pep chromosome:SL3.0:3:61869860:61870102:-1 gene:Solyc03g098080.1 transcript:Solyc03g098080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLLASGVGVGFGVSIELKNFVDESIDINEEDEVRVEFREKGDKFFDNGIIASGILLAGFTTMAIITILNSAKRTGKSF >Solyc12g044240.2.1 pep chromosome:SL3.0:12:60081960:60082933:1 gene:Solyc12g044240.2 transcript:Solyc12g044240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLKTCTQKEIEQFLYSHSLGKSQKEKSKVQRASLISFHTNIFIFFSIHSMLEGKALIEDTDMPVKMQIQAMSYASQALDLYDVLDCKSIAAHIKKEFDKKYGGGWQCVVGSNFGCFFTHTKGTFIYFTLETLNFLIFKGASSP >Solyc11g039715.1.1 pep chromosome:SL3.0:11:43264679:43279481:1 gene:Solyc11g039715.1 transcript:Solyc11g039715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVLAPEPAVVKKLENKDEPEKKKPVNDGKTRNRLSKSVILPESRYSAAGVEVDKLCLDLEPEVVIVFENKVASEDEVSKFRLPETRDYYAEILKLEPKGSSHGLDILTNEIIELRKELVKSGGSKSFIREVREPSKKQADETFSGGLDFNGDEDVAGIAIEKVLSKVIVDINEEADLNIVGEKSDGNTKITNSFFKLCDAHEDKKFKVKDSDDISRYICGRRLLEVARGIKDCGVFVIAFAEFVNNGQHILNQQVKADILRKRFGAILWEYARRNQASDLQSEDERPVR >Solyc11g070080.1.1 pep chromosome:SL3.0:11:54916185:54920303:1 gene:Solyc11g070080.1 transcript:Solyc11g070080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLIAILLLEIITFATFVWGENGLVVIKSIQSEDGDVIDCIDIFKQPTLSHPALKNHKIQMTNDQEKSYKCVTTQIWHKNGSCPKGTIPIRRTQNIKKDQIKKPNLFHLDKGLKIKKDINLTQKNHSLAILITEGYRYVGGKTDMITRNPHDEEDDEYSTSRLTLKSGSYYNDQDIESGWMVNPRVYGDRQTRLFTYWTNDGSRETGCFDLTCPGFVQISHEIALGAAIYPISTQYGLSYSITIYIYKDLNTSNWWLQYGGSINIGYWPSKIFEGGLEVHAETVQWGGEVYSKNVGKHPHTKTQMGSGAFPFYIFANTGLMKYMRILDNSMELRFPQNVVAYSEEYDCYRSQYVGDYVEEPEFHFGGPGRNPLCP >Solyc05g023900.1.1.1 pep chromosome:SL3.0:5:30038138:30040627:1 gene:Solyc05g023900.1 transcript:Solyc05g023900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYVLARTQPWKRFATFPASHCWALWHRQFSSQSLYLSKGQYTNLEISSFNSSTYANVLQNCIKNRDFIVGKALHCDVLKRGGCLDLFGQNILLNLYVKSELLHDAVQLFDEISTKNVVSFVTLLQGHLQAEEYITAVELFNRLHREGHELNPFVFTTILKVLVGMDEAEMGWNIHACIYKLGFDSNPFVSTSLIDAYSVSGLVDFSRDVFNGIIDKDMVSWTGIITCYAENDYFEEALGCFSQMRLAGWMPNNYTFTSVIKACLSLLAIDVGKSVHGCVLKTRYEMDPSVGISLLDLYCKSGDLNDAACVFQEIPERDVVHWSFIIARYSQSDRCDEALKFFSQMRRALIVPNQFTFASVLQACASVEALDLGMQIHCYVTKFGLDSDVFVRNALMDVYAKCGKVENTVDMFLETENINDVSWNTIIVGHVQCGDGEKALALFIDMHEAQGRASSVTYSSLLRACATLAALEPGLQIHSFTIKTIYDQDLAVGNALVDMYAKCGSIKDARLVFEMMIERDVVSWNAMVSAYSMHGLGNEALSIFERMRRTHVKPNQLTFLGVLSACSNSGSLNHGYAYLSLMLDDYGIEPCVEHYTCMVSLLGRLGHFDKARKLIEDIPFEPSVMVWRALLGACVLHNEVDLGKTAAQCVLELEPQDETTYVLLSNMYATSKRWNNVAFVRKTMKKKRLKKEPGLSWVENQGSVHYFSVGDASHPDIKLIHGMLEWLNLKSKGGGYVPNSDVILLDVDDDEKIRLLWLHSERLALAFALVRMPPGSPIRIIKNLRICLDCHAAIKFISTLVQREIVIRDINRFHHFQSGACSCGDYW >Solyc05g008860.3.1 pep chromosome:SL3.0:5:3085255:3087955:-1 gene:Solyc05g008860.3 transcript:Solyc05g008860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFLCFSIFLILCSCCVFVTSLNDEGIALWSFKKGIGQDPEGSLKNWNFSDETPCSWNGVTCKDLKVVSVSIPRKKLTGFLSSSLGSLTELRHVNLRSNLFSGSLPVELFEVQGLQSLVLYGNSFSGVIPFEVGKLNYLQTLDLSQNFLNGSVPITLLQCKRLKVLDLSHNNFTGVVPEGFGGNLSALEELNLGFNKFGGKIPTDLGNLSNLKGTVDLSHNMFSGSIPASLGNLPEKVYIDLTYNNLSGPIPQNGALINRGPTAFIGNLGLCGPPLKNPCSAQSDASSPSSEPFLPNNIPPLDGAGGDVNGRGLSRGAVIAIIVGDVVGICVIGLLFSYCYSRICGCGRKKDEPGFGFQKGGGKGRKECLCFRKDESETLSENVEQYDLVALDNQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGLNLAVRRLGEGGSQRFKEFQTEVEAIGKLRHQNIVTLRAYYWSVDEKLLIYDFIPNGNLTTAIHGKPGMVSFTPLSWSIRLKIMKGTAKGLVYLHEYSPKKYVHGDLKPSNILLGHDMEPKISDFGLGRLANIAGTSPTLQSNHMTSEKPQQSKQGSAPSESGTVTSTTTSGSCYQAPEALKVVKPSQKWDIYSYGVILLEMITGRTPIIQVGSTEMDLVNWIHWCIEEKKPLSDVLDSCLAQDADKEEEMIAVLKIAMACVHSSPERRPSMRHISDALDRLQASSE >Solyc01g013980.1.1 pep chromosome:SL3.0:1:10652391:10652602:1 gene:Solyc01g013980.1 transcript:Solyc01g013980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQTVSKLKDYVIELNSLPKMARNINLDKHLTTFTSKPLFL >Solyc08g082090.1.1.1 pep chromosome:SL3.0:8:65108827:65109318:1 gene:Solyc08g082090.1 transcript:Solyc08g082090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPEKISPQKMASAAANKPLIWDCGSSLYDSFELKSFERQLDSAISSRSLSMPHLPDRRLLIPPSTTDPQQTTQPISKKTSRISRSFQKLLKSVFRAKQSNSPLFQGQNRDGFYVVYDKSGALTTIPEGPEYDGLSPEIKSLVRRTGSERFTATSIGISCA >Solyc07g055700.3.1 pep chromosome:SL3.0:7:63775231:63776324:-1 gene:Solyc07g055700.3 transcript:Solyc07g055700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSLLHHLNLSTQTSSDC >Solyc12g062700.1.1 pep chromosome:SL3.0:12:34253314:34254645:-1 gene:Solyc12g062700.1 transcript:Solyc12g062700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHLVPFGALILFVQKGIQYLKLETNLSIKNCDYLLSCRNDTVVVVSNVKSGELKHLFDFYSELFLDVASRNNDSFATSNAYNMIYACKAGENKPVKAFSRHPDINTIKWSPIAAGTSNLNHHMMFARYPISCYVHINSFFHMWW >Solyc07g021350.1.1 pep chromosome:SL3.0:7:17186782:17187097:-1 gene:Solyc07g021350.1 transcript:Solyc07g021350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVTGPRDTVNSLLFLQIWSMKYDVFLNDFRENGKDMNKIKWSPIAAGTSNPNHHMMLARYPISCYIHIYSFFHMWW >Solyc06g073000.3.1 pep chromosome:SL3.0:6:45131124:45132901:-1 gene:Solyc06g073000.3 transcript:Solyc06g073000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMNIISSKTISFSLLLCSFFSYTFAYNFIIRNNCPFTIWPGTLAGAGTPPLPATGFRLDAGQSITIPSVAGWSGRIWARTGCTFDASGIGSCQTGDCGGKLECSGLGATPPASLFEITLGVGDNKDFYDVSIVDGYNLPMVALPQGVNGGCNATGCLSNLNMGCPKELQVMGEYGGEGNVVACKSACEAFGLDQYCCAGQFANPTTCQPSFYSTIFKNACPRAYSYAFDDGTSTFTCKANDYAIIFCPMNGYSLKTFIILNVPSIPIYV >Solyc05g005140.3.1 pep chromosome:SL3.0:5:126485:131852:-1 gene:Solyc05g005140.3 transcript:Solyc05g005140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMLHLFWNVVLLLFCTLIESSSATLSPAGVNYEVVALTEIKKALHDPYNVLDNWDVTSVDPCSWRMVTCSLEGYVSALALPSQSLSGTLSPGIGNLTNLQYVLLQNNAISGHIPDVIGKLQKLQTLDLSNNKFEGEIPTSFEDLKNLNYLLKLTATIYFTLSKIVYIMDVSYNNLSGTLPKLSARAFKVLGNPLICGQGSENNCSAIYPEPLSFPPDSLADQSGAARKSRRVVVAFVASFGAAFLVIIALALLLWWRYRHNQQIFFDVNDHCYPEVCLGHLKRYTLKELRTATDHFSSKRILGKGGFGVVYKASLNNGTVVAVKRLNDYNAVGGENQFQTEVELISLAVHRNLLHLLGFCSAESERLLVYPYMPNGSVASRLKDSINGKPVLDWSRRKKIAQGTARGLVYLHEQCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLLDHCDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKAMDFGRGANQKGVVMLDWVRKLHQEDKLNLMVDSNLRNSFERIELEEMVKVALLCTHFNPCHRPKMSEALRMLEGDGLAEQWAASQNLETRRKRAFEPTPQTYSDYVQDSSLVEPMELSGPR >Solyc01g112260.3.1 pep chromosome:SL3.0:1:98249777:98250455:-1 gene:Solyc01g112260.3 transcript:Solyc01g112260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSFAFFLFTDTNMSSLLQSFQSKEALPMSQPTEEERGEGLGVRKRLSSLSLRMNMRMRSTCQQQQPILSFYSSTSSSSWAFRRSKSLSEYTGNSIRKWWDWGLGWIMSKKPAFANDLEMNEEEKAVLGCNSKGSWRHVFFKIRSELRRFTGSGNNMGLPQTFRSEFTWSTY >Solyc03g025460.3.1 pep chromosome:SL3.0:3:2900299:2905139:1 gene:Solyc03g025460.3 transcript:Solyc03g025460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSQICDFHSRNSSLLVEIETLRSSNQNLQSELTQKDELLHQIQLQKDDDLLKQYVDLLEDFKEVLKERETLLLMIERLEDDFSRRGMEFYEETERIRIELEVTRKKAEELLDERKEQSEVCSRNLEIVQSAKHGLLRLVENLDLGLPKSRNSELKNKEKGENTKLLNEKPAVFSVVLDLVNLVEEKWGEYEEMRKKEKRELESSVAGLEEENRDIGSLLKIALVEKEVAEKSLNRLRGNTEQKKAAILQIAERGLQKVGFGLGFGFMMGSTATSETFSDNLDSNISVKKDNNECQTEAVTLASTIETITKKLRVEITQLQKSLEESRSDMESLQHQSDKKSQKLAENMIYIKELEDKKMMLTQKVEELMIENKESEEEIYRWREACEMEVETGKKAVNEHKELVNILKQELEKTRTALHISNCKLQLKDEIEAAAIAAREAAERSLQLADSRATELRKQIEELRRQLEAAEKKERINRRRPRHVCWPMRALKFCPATNTTGIRNVTQMLPF >Solyc01g094620.3.1 pep chromosome:SL3.0:1:85908953:85927592:-1 gene:Solyc01g094620.3 transcript:Solyc01g094620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYHGGSCTSAVNNSTIGGPSARDSSRVDSASLPPNFSRRPLQLTPFKLKCDKEHLNSRLGPPDFLPQTPNCPEETLNKEYVQSGYRETVEGLEEVKEISLTQLPAFTKPVIFKCKEAIRKCHRAINESRAQKRKAGQVYGVPLEGLQLTKPGIFPDQRSSGEEFRKKWIEGLSQQHKRLKSLADHVPHGYRRKSLFEVLVRNNVPLLRATWFVKVTYLNQVRPGSSSISSGVPDKTHISRSEQWTKDVIDYLQYLLDEFISRNSVHSALQIRDRSQQMVYAGSIPLKSDPTLGSVDCEEPSLHFKWWYVVRILQWHHREGLLIPSLVIDWVLNQLQEKELLGVLQLLLPVVYGFIDTVVLSQSCVRTLVGIALRFIQEPSPGGSDLVDNSRRAYTMAALVEMLRYLMLAVPDTFVALDCFPMPPCVMTNVVTDGSLYSKVTEDTRKVKNGPFEVAYFLRDKGPEIRSDSYSISRAVSSIQKRAQHLATAARPGHPGQSVVKALHALDKALAHGDLREAYKFLFENVHESSIDDCWFAEVSSCLRSSLKYIRGVTLSSICSVFYICEWATCDFRDFRFAPPRGMKFTGRKDFSAIYVAVRLLKLKMRETGISSRPRDPKIVKNNHLRKDPGQLTNYAGRTLASGASESLSNSRRAREKCNDFLGMFDSPSPLHDTIVCWIDQHEVQNTEGFKRVQLLIIELIRAGIFYPQAYVRQLIVSGIMDGDEPLSDPVKQKRHCKILKHLPGPYVHDALEEARIADTPVLSEVVNVYCNERKLVLHGMIDSYNSGCGSSHHKHKPRPNSGENLSAPSIDQLSSSESGPFMSSKNVGRDVELEELKRSITALLQFPSSSSTDTGVEDSQVSLRKAVVYGSNGMDNSEGTPGCEECRRAKKQKLSEEKSSYSQIYQQNPSDDEETWWMRKGQKSIESFRAEPPPKPAKTASRGRQKIVRKTQSLAHLAAARIEGSQGASTSHVCDSKVSCPHHRPGIEGSVPKSGDGIRMPNGDVVSIGKILKRLRFVDKRTMTLWLIGIVKELVEESEKTVTKVGQYGRPFSAADERGCVRWKIGEDELSVVLYLIDACDELVLAARFLLWLLPKVLGSCSATVHGSRNILTIPKNTENNICEVGEAYLLSSMRRYEGIIVAADLIPETLSVVMHRAQTILTSNGRVSGSPAVIYVRYLLKKYGSVGSVAEWEKNVKSTFDKRLASEVESGRLVDGEFGFPLGVPVGVQDPDDYFRQKITGVRVSRVGLSMRDIVQKKVDEAVNYFYGKDRKLFGPNSGKLPGFQKWEDVYQIGQQIVMGLMDCMRQTGGAAQEGDPTLVSSAISAIVINVGQVIAKIPELTASNNHPSSSTSASLQFARCILRIHVTCLCILKEALGERQSRVFEVALATETSSALAQLFAPGKAPRSQFQLSPESNDSNLSSDILNNSSRVVIGRAAKISAAVSALVIGAILQGVSSLERMVSLFRLKDGLDVVHFVRSMRSNSNGNARSVGILKADSLAEVSVHWFRVLVGNCRTVSDGFIVDLLGEASILPLFRMQRILPLNLVFPPAYSMFAFVLWRPLILNASSGTRDEVQHLHHSLMLAFADVIKHLPFREVCLRDTHSLYDLIAADTVDSDFASLLEASGVDLRLKTSSFVPLRARLFLNALIDCRIPQTIAKLNDGNQLALQGESKFHSAENETKLLDKLVYILDTLQPAKFHWQWVELRLLLNEQAVMEKLEAHDLSLVEALRSLSPNTDKASVSENESNIIEMILTRLLVRPDAAPLFSEVVHLLGRSLEDSMLLQAKWFLGGNDVLLGRKSVRQRLHNIAVSRGLSTRAQYWKPWGWCTTNSDPTTSKREKLKSEVSSIEEGEVVDEGTTLKRPVKGSGRTVDVEKLHVTERALVDLILPCLDQASDDSRSTFASDMIKQMNLIEQQINAVTREASKPAGTVASGIESPTTKSSRKGTRGSSPGLARRATGPAETVPPSPGALRASLSLRLQFILRLFSIIYADREPSGRNMRHVLASVILRILGSRVVHEDASYSFNQACNSKREVDSLVEASATASVVVSLESLFDRLLLLLHGLLSSHQPRWLKWKSNSKAPSESSKDYSAFEREGAESLQNDLDRMQLPETVRWRIQCAMPILFPSARLSISCQPPSVLPAALSSLLPSNPVSVLHSSNGSNQTQRNPGSLLRTATSVAGKAKHVSSQQENDHEVDPWILLEDGAGSSHSSSNSPLVGGGDHANLKASNWLKGTVRVRRTDLTYIGAVDDDS >Solyc01g012720.1.1.1 pep chromosome:SL3.0:1:9887876:9888070:-1 gene:Solyc01g012720.1 transcript:Solyc01g012720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIGMNIDFIHAPMRRHFIMNAKKLVNVRYLESLLHYKFHDPSLLVKTLTHGSSILPEIARCY >Solyc11g011430.1.1.1 pep chromosome:SL3.0:11:4497206:4497409:-1 gene:Solyc11g011430.1 transcript:Solyc11g011430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQREIQQRKERSILWDPFFLQTELKEIESGRFPKFLSGYSSMSRLFTEREKQMINHLFPEEIAIL >Solyc09g011660.3.1 pep chromosome:SL3.0:9:4888457:4910632:-1 gene:Solyc09g011660.3 transcript:Solyc09g011660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDRTIGVAVDFSKSSKTALKWAIDNLADKGDTFYIIHIKTHSSDESRNKLWAQSGSPLIPLVEFREAEVLKKYDVETDIELLDLLDTATRQKEIKIVIKLYWGDAREKLCEAVEHLKLNSLVMGSRGLSTLQRIFLGSVTNYVLSVATCPVTVVKDPDFHKH >Solyc06g007215.1.1 pep chromosome:SL3.0:6:1277842:1278847:1 gene:Solyc06g007215.1 transcript:Solyc06g007215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTEPKHEHREAEEEAVGADDEDTGAQFQFPNCSNNDELQVSLVLVSQLYENIQYSTWAELFKIHARSHRVLDHIIPPEKGKEKVPKTDEEKEFWSTLDATVLQWIYTTMSHDLLHTILEQDATAMETWNRLRDIFQDNKHSGAITLHSCQHGRFFRYLCLLSISQIFVGSTQECRFSSRQQ >Solyc08g008440.2.1 pep chromosome:SL3.0:8:2838482:2841536:1 gene:Solyc08g008440.2 transcript:Solyc08g008440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCNCFSDASRRSGNDSYPIFERQFLSSEQRETKQRNFTPNGFQDRV >Solyc12g094730.2.1 pep chromosome:SL3.0:12:65841014:65846343:-1 gene:Solyc12g094730.2 transcript:Solyc12g094730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIGELQLPIAPSPVDNENSVDNENSYERHMTQIGEGSGYQISASGRPVRDSAARCRLGWQEQHLLDYGEVAEPIVKDGSAYNTPKRAKSRKTSGSLKHQGKKEADQQTKAAETETFKPLDVQVVDVGPPADWVKINVRETNDSFEVYALVPGLLREEVRVQSDPAGRLVITGQPNQRDNLWGVTAFKKVVTLPARIDHLRTNGDVTLHGCLHVHMPFAQKDL >Solyc02g089020.2.1.1 pep chromosome:SL3.0:2:51565114:51566978:1 gene:Solyc02g089020.2 transcript:Solyc02g089020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 5 [Source:UniProtKB/TrEMBL;Acc:G3BGU5] MITREEKGNVVNDDGNKSKACTTSTSTTSWTRLKDPRIVRVSRAFGGKDRHSKVCTVRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLDAAKNEIDELPPLQIPPGSLNPNLYPMLGNAPQITTNKEGRINWDNNIPLEPPNNNALGYNPNFFKWDPSNLSLAHSENSLSHQHDHHQNFNLMSSMPLTSHHQPVVYPPGLPQFFHQHNNNINVGASSSSEFDPKEINFQMLSNNSGSENPLSTSTNSFRHSIDSTNQSIRPFHFLPSQNCDDTEPSN >Solyc01g006630.3.1 pep chromosome:SL3.0:1:1222559:1230306:-1 gene:Solyc01g006630.3 transcript:Solyc01g006630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLPQIAILGAGIFVKTQYIPRLAEISNLFILRAIWSRTEESVRSAVEIAQKHFPNVECKWGDAGLEEIIKDSSITGVAVVLAGQTMVDMSLRLLKAGKHVLQEKPAAPTVDEAEKALTHYNSLSTTLTQQPIWAVAENYRFEPAFIEGKRLISEIGEMMNIQVIVEGAMNSSNPYFASSWRRQFVGGFILDMGVHFVAGLRMLIGCEIASLSSMNSHVDKTLPPPDNISSMFQLENGCSGVFVMVVSSKSPKVIWRVVGLNGVLQVDRGNKDGKHGYSVSLHMADGQSKSFFYPFSGVTDELKTFLSDVSTANLKKDHSSHIDPRLSFAEGARDVAVLDAMLESGKRQGALVQVKKF >Solyc06g007460.3.1 pep chromosome:SL3.0:6:1468114:1471667:-1 gene:Solyc06g007460.3 transcript:Solyc06g007460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYYCSVRWRIIHKKILLFFTLSFFFFVAYKTLNSTSLFEINFGLAAKADFSIQRFKKATTNTRRSLGGLGSSPPRCILKCGRCTPCHPVHVAVPPGTPVTAEYYPEAWRCKCGNKLYMP >Solyc04g049498.1.1 pep chromosome:SL3.0:4:42152260:42152890:-1 gene:Solyc04g049498.1 transcript:Solyc04g049498.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSMKDLGPLHFFLGIEVNYFEGGIHLNQSKYGAEMLAKTEMTLANVTTLLVRNIMTVGSLQYLTLTRPNITHAVNLASQFMQSPNVEHFQGLKMIPKYIKGTLHFGLQIISQSPCRLYDYSNVHWGGRRKPRRSIKGFSIYKTSKKQSIVARLSDEADYRALASVAAKMTWI >Solyc10g083620.2.1 pep chromosome:SL3.0:10:63530015:63531205:1 gene:Solyc10g083620.2 transcript:Solyc10g083620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMDRVTFEARGCRYLNTKFRAVT >Solyc08g006640.3.1 pep chromosome:SL3.0:8:1225696:1234384:-1 gene:Solyc08g006640.3 transcript:Solyc08g006640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHSSVTQESANPFGFVSQKAPFVFNYSNSNSNLDACSSLLSNYLYFDSRGKNDFLNCINKPKAVSLLSLTVSSRSASSRISEVLFQFNKAIRFHCEKIPLGFASVGGGVHCGESNGVREEGSVLENEGIPVNGVESEPSKKVLILMSDTGGGHRASAEAIRAAFNEEYGDKYQVFITDLWTEHTPWPFNQLPRSYNFLVKHGSLWRMTYYATAPRLVHQTNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRILRSKGLLKKIIFTTVVTDLSTCHPTWFHKLVTRCYCPSEEVAKRALKAGLNSSQIKVYGLPVRPSFVKPVPPKVELRKELGMEDHLPTVLLMGGGEGMGPIEATARALGDALYDETIGEPIGQVLIICGRNKKLFNRLTSVQWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNGYIAGQEAGNVPYVIENGFGKYSKSPKKIASIVAQWFGPKQDELRIMSQNALRLSRPDAVFKIVHDMHELVRRKNFVPQYCPA >Solyc11g022385.1.1 pep chromosome:SL3.0:11:13732660:13733554:1 gene:Solyc11g022385.1 transcript:Solyc11g022385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFAMFIYFVVIYDELFLNILSQQIKVDDCLTKNIDKVNYYVFDVMVELACIYIFMHHILCFENKLTNIHATKQQELTQKKEQNLKRKHPISNRNRDRLGRV >Solyc12g040470.2.1 pep chromosome:SL3.0:12:54934528:54935778:1 gene:Solyc12g040470.2 transcript:Solyc12g040470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQELSSVLATFSWTEGFPSQPQPCIMLVISVTIAAATYKDH >Solyc03g097280.3.1 pep chromosome:SL3.0:3:61027615:61047716:1 gene:Solyc03g097280.3 transcript:Solyc03g097280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKSRKESRKEARKAKKHKKFDSWIQHQQTQKARRMLPNSKPTHTVHSRKDSQVQRHEHLHSLNSKKDLDTSPRLDSSKVENTSLKRKHVSSKNSKTMFMEYLKMEKKGDAISADVDLRLERKLAKKLKVKNEKLRGEDDIDMLLEGIPSVVDCNSQLNESLEGTDTDSSHKKLKKKTVVEVLDGKLVSEDGKFDPSCVSYVEHVDTDLLAKQKESKKMKRKKTKFEELLATEMRGQDISADEDLALERKLAKKLKVKRGKLLGDHDDMNNLFEGIPSLLDSFEDENTQLVGETPRKRDTSSSNERSKEKRYNKEVQGEDYNQEEEQKAESTSYCTDVKAAARSAAKENAIYVAPRLRSCLGNDSEEFAQIRRRLRGLLNRMSEANVESITSEISTIYQTVGRTFGSQIINDEVLASCSRGPRGNEQYAAVFAAFVAGMACLVGMDFGAKLLASMAKCFEDEYQNEDNLSVRNLTLLLSYLYTFGVCSSDLIYDFLVTLSKRLTEVDVSTILTVLQACGMKLRGDDPVGMKNFIVSVQNRVNELKSSSGEGQSNSMGKRMEFMLEMICDIKNNKKRTKEETLQLTRIKKWLQQLRVVDILIRGLKWSKLIDPDKRGQWWMSGNIDSTTDVQDVASTIDLEVTEAQKMLQLAAAQRMNTDARRAIFCVIMSGEDYIDAFEKLLRLDLQGKQEKAFNKYYCALASKLCSHDKNNKFTLQYCLWDHFKELDQMQLIRSMHLSKFVAEMVASFSLSLAVLKAVDLSDSSQLTPKRIMHFRMLFETILEFPEKLVWNIFTRIALLPEYESLRDGIVFFIRKYVIDSQKSLADKFKIAKKALNNVEGVIIAATLPSSAALLLPPSPAVSGRLFNFTRTFSVSKPMGSQPEVLDWPANKVRQTFISFFQGKDHKEVQSSPVVPHNDPTLLFANAGMNQFKPIFLGIVDPNSPLSKLKRACDTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIGWAWELLTQASFNLINS >Solyc10g084000.2.1 pep chromosome:SL3.0:10:63801322:63803165:1 gene:Solyc10g084000.2 transcript:Solyc10g084000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKEKIKVEKKKNEAGKKSAGGGKNADDNSGGIATILLKLDLHCEGCAQKVRRSVRHFEGVEDVKADASTGKLTVKGNVDPLWLREKVESKTKKKVALLSAQPKKVAAGEKKSDEKVEKKAVEEKKKSNAKVENEAVEEKKKSDEKVENKAAEEKKGVDKKPNKVQCNNEVIQQHCNGPVQKLKRFLIKKLKRNVSVVRQKKGNESCSRYDNKKNREMVRDGKKKHSASCSTVASRSTEPKEQKTEVMNSKKECHHGGYINPNMCNQNHWNQNYGATIQDHHGYYGLSVGYMPPPPYITAFHDHGYGFRTGYVSPRHFTAPRDHDHGCSYGYGHSGYVPPPYSAPQYFSDENPNACSVM >Solyc02g085330.1.1 pep chromosome:SL3.0:2:48887045:48888716:-1 gene:Solyc02g085330.1 transcript:Solyc02g085330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRHVWRNYALRSATGVQPLSLFSKSSQIGLRTLLTKSSKQDDVKLDQTNPKETMSSFGEGYATRSDEEGFGGIYGGNQEDEEKVVHGSEFDNKQGSEVKEKEKARNQSEVA >Solyc08g081453.1.1 pep chromosome:SL3.0:8:64632078:64634273:1 gene:Solyc08g081453.1 transcript:Solyc08g081453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGQLLQHSVWSKAEFTHTLSIPPLKANHESVTKVSQRRLKIRGIKSKYNCLVTFSMLNPENGTSMNACSPSDTIKKFYSSINSKDMNQLALLIDKDCFFDDFSYTKPFQGRKEALKFLGQLTTCMGKNTKFYIENIYEGVDLTTVVNWHLEWNKKQVPFTRGCSCYELSREGDQELVIKKAQVILESPIKPGSFALEVFQKVISVCDAFPEAAEWLFLMSPLLLPSIYNIALHTSIRPILSCYHKLWSFIVAFLTLIYTILLFIIKKFQE >Solyc06g073080.3.1 pep chromosome:SL3.0:6:45159544:45162655:-1 gene:Solyc06g073080.3 transcript:Solyc06g073080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTTSVLSSGFNHSTLPQSYVRPESQRPCMSEVVDSDDLVPVIDMSCTDRNVIVHQIGEACRLYGFFQVINHGVSKKAMDEMLGVSHEFFKLPVEEKMKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCYPLDKYAPEWPSNPPSFREIVSKYCMEVRELGYRLEEAISESLGLEKDCIKNVLGEQGQHMAINFYPQCPQPELTYGLPAHTDPNAITILLQDLQVAGLQVLKDGKWLSIKPQPNAFVINLGDQLEALSNGKYKSIWHRAIVNSDKARMSVASFLCPNDCSIISAPKTLTEDGSSAIYRDFTYAEYYEKFWSRNLDQEYCLELFKNDGT >Solyc11g012620.2.1 pep chromosome:SL3.0:11:5405676:5415530:-1 gene:Solyc11g012620.2 transcript:Solyc11g012620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFCECPNFINVMDTPTSERRRSVVMAGHLADEVQLPNELKAKKSNILWSRTAWTCAKQRTHYPSFRRNGTTIAVNSFVIFTDKKESQHVGYLEDFYENKKGQNKVKVRCFEYLQDIKCAIPELEGHPREVLFTSHVEGMQANCIDAIAIVLTPGDYDKYISLLRKILPSGVYVCSREIKYKIMPFSLNELEGYYSQTIFIMSDSQRAKLKSKGHMLYKVLDVSQKRMKVQYDDIEDCDAPEKLEEWVPSYRVAGSDKLGMRCTGRLTVRPRPLEDSSDYSFEVGAAVDAWWSDGWWEGVVAEIDVCGSGHHQVYFPGENMLLEIQRKNLRTSRDWIDGKWVEVEGKKDIKSSLTDLSKCSIKEHGNCEKQMAPKLVAMKTTNCCQFLSNQLRSKTMMLLN >Solyc08g005180.1.1 pep chromosome:SL3.0:8:120012:121058:-1 gene:Solyc08g005180.1 transcript:Solyc08g005180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDFGFSLRNEPTQILLPYIHNNRNGMHICYAYSTTEAVSALFAVDYNSTPVELSTQQIADQMPRSFNYAQQGRKRNATLGCYFGSHVDALYYARNFGLYEATTYPKRNTSWDINFPDPLPNEVKYKIGEVVRVRTENIAAKWQRVGFEDLVTDEQINQVLRHQPMVGAIRVPWISKERYIDEATVENPTDVEDGAAVRIHSEAHSVLITGWGIKNGVEYYEVKNHWGDEWGDHGYAKVRRDLVYRLAYPRGIVQLGNVVKKKKK >Solyc11g039420.2.1.1 pep chromosome:SL3.0:11:45244063:45244783:-1 gene:Solyc11g039420.2 transcript:Solyc11g039420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEVDAGYQLIDVHTTRQNATVHDQYYLVDVACCFPMTLAPSTHSMAKGFCLWHTLMSP >Solyc12g019900.2.1 pep chromosome:SL3.0:12:12176591:12177372:-1 gene:Solyc12g019900.2 transcript:Solyc12g019900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGQIKHRWNPGEDELLKKLIEEHGAKNWSFISQLIPSRTMSEDLTFEKPQLPLQRSSSVGTCINLGSPSKSHLSNLEFSRFPQLCLYPPTIPLCQNLPHSTYSPVIPYPFTSLTFYESYLSNLCISRYPWLSLYPYIAQLSEIFPFSSGSLVLPSPSTTLILSLSGFKPIKNLNPINRIDQEDEVTLV >Solyc06g060810.3.1 pep chromosome:SL3.0:6:38956266:38962512:-1 gene:Solyc06g060810.3 transcript:Solyc06g060810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLNFIIYRIRNSMGLGNEVQKILSWTSVCGNLVLHLVWLILHLIINVWYSVLGIARMVEEARETSKVLELLCWLASVGLKSICLYDREGVLKKSQTAIIEGLNKSQKAIVEGSDHGTLVEKHVDLEFVSFADSKPAVAKAANLLFAKHYASTKPEKPNFTESDMSEALQAVGYGGPEPDLLFVYGPVRCHMGFPAWRIRYTEIVHMGTLKSMEFGSLLKAIHKFTKVHQNYGQ >Solyc02g093960.3.1 pep chromosome:SL3.0:2:55277955:55282005:-1 gene:Solyc02g093960.3 transcript:Solyc02g093960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVSVYQVGKKKKHKGIIPEVSIFVPSMRVPAQCDLHRTLKGVIPKDLADRLTSIRNQILLIAQDTDVSAIDELQKALDEYLSLLVGLTRKEFGNQELTGFKWKNLEDGQEETSVANSWFELLSVLHMMAMLTLVEANMKLAPRDIAMTERAVSGDCMRDTVDLLLKASGYLDFCVQNVLVHIPPDIKNKLPKHLHQGILEATSNQALAQGTEIQLGLALESQNATLSVKRRLACEAVSYYAQTLCCLSGDNNFHRTAKKHLLFIKWKYLEAKAAAYYYHGIIIDKGTEPSCHVSAVCCFLAAEELLAESKKASLSFCLAEPITRTPPAWGVMKHLNKKVPETAAKKSQMYGYLLDQEKDLRVLPDLPEFQLSLKPDDYTLPEADSTWDSEKWDISLKEHLKDCEETD >Solyc04g011495.1.1 pep chromosome:SL3.0:4:3930799:3931676:1 gene:Solyc04g011495.1 transcript:Solyc04g011495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFGGGKPPTGTPSMAWSTVVVVVSLLAGASVVHNFFKPDLQRTFGYMMYSVQNFFKPDLVTPP >Solyc05g008110.3.1.1 pep chromosome:SL3.0:5:2528513:2529379:-1 gene:Solyc05g008110.3 transcript:Solyc05g008110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFVIFLLFFVVAIPITNGLNQRKLDEGENKCGGCPCNKPCIPPSPPPPPPSSPPPPPPKKPPSGYDCPPPPYSGGGGGGGGGGSNIPYPPNSQYIYMTGPPGNLYPVDHDFGGAKRSFSSGFSLLIGGFFLGLFYFW >Solyc01g110900.1.1.1 pep chromosome:SL3.0:1:97302447:97302749:-1 gene:Solyc01g110900.1 transcript:Solyc01g110900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLVGISNAKEKLRRTISPRNGSISSTTNDVPKGHFAVYVGETYRRFVVPISYLNHPLFQDLLHWAEEEFGYNHPMGGITIPCSEDYFISLISLLKSS >Solyc05g010730.2.1.1 pep chromosome:SL3.0:5:4925918:4926735:-1 gene:Solyc05g010730.2 transcript:Solyc05g010730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KTVARYFT >Solyc09g082130.3.1 pep chromosome:SL3.0:9:68387325:68389800:1 gene:Solyc09g082130.3 transcript:Solyc09g082130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASRRDQSEPLPISQSPNPGNAHKIGQSPNSGEAHKTGKSANSKKVRKTGEGGGTSSRKRKPNMKQEGRYLWKKVNSNITNTEVSNALLVKEESVENNEAPNKVQSSLFDSPVSDDNATISPLNFHQELATPLKDSPLTVTPEDFDNYISSLDDILHLPIHGSPSIISGSCGEKEMTGARKKLVNLLRIGFPALFNSDRLTEVAALSLELQNEPNLSDRELSMLKLVQEIPLASKDFLEAKRVTKQADKFFADLEAKVTHVSTLRDDYNASKKEIALLEVEDMSTSSAIQEIDDKIAILQSRRAALAKVANRTNKKIAEAKSKQRMVMGHLPKMVDEIQVANSESSEWKLKKQKSAEKEVEILTKFAPLKGFSI >Solyc10g050450.2.1 pep chromosome:SL3.0:10:49665697:49681493:1 gene:Solyc10g050450.2 transcript:Solyc10g050450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILVVLILVSTMVMMDNVYAGDTNSVFDPCSDTRVQRWDGFTFGLVFSSKESFFFNETQLSPCDRRLSLTGNSAELAVFRPKVDEISLLKINNSNFNPSKSGGYMVAFAGRKYAARSLPAFVADSTHTVTSFTLVLEFQKGTLQNLFWKKFGCDSCKGDSFVCLNKTDCAVPNNKCNGNGGSIDCDVSIQLAFSGTDKNEDVLNSWYEVKNLRQYSLYGLFQDVSDSLTSPFKNLF >Solyc01g060227.1.1 pep chromosome:SL3.0:1:69768237:69768772:-1 gene:Solyc01g060227.1 transcript:Solyc01g060227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKLEELRIGLKELLEAGHIRPSNAPYRAPTLKKVTIKKNYPIPLIAYFFDRLGQDKYFTKMDLQKGYCQVHIAERDEPKIVFVNRYGSYKWLVMPFGLTNAPVTFCTLINKMFHHYFDQFVYNTFKEHVEHLKRVFQVLRENQLYVKR >Solyc03g096300.3.1 pep chromosome:SL3.0:3:59749338:59750595:-1 gene:Solyc03g096300.3 transcript:Solyc03g096300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKCGRWNPTVEQVKVLTDLFRSGLRTPTTDQIQKISSQLSFYGNIESKNVFYWFQNHKARERQKRRRKVLVDETNNDEDMRIQLDNISSNKQINSNHDTDERVIETLQLFPLNSYAETEKLRLFTEEYMKENMTFSCSIGAEMDHPTLDLRLSFFS >Solyc01g009040.3.1 pep chromosome:SL3.0:1:2976051:2979066:1 gene:Solyc01g009040.3 transcript:Solyc01g009040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHNKAVVPHNLPRGGDMGGKQKIGQVAGKNRRVLGDIGNLVIAPAVEGKPKTQVTRPATRSFCAQLLANAQAEKNKKPLAEVVNKVATTKVQVKKKASDNLAPETVIVISPDKEVKQSPLSSRRKTKKSGKTLTSTLTARSKAACGVANRPKIDDIDAADVDNHLAAVEYVEDIYNFYKLTEDEGRVNDYMDFQPELNHKMRAILVDWLIEVHRKFELMPESLYLTMNILDRFLSVKTVPRRELQLVGISSMLIACKYEEIWAPEVNDFIHISDNAYARDQILQMEKAILGKLEWYLTVPTPYVFLVRYIKASTPNDQEMENMAFFFAELGLMNYKTIITSCPSMLAASSVYAARCTLNKSPLWTQTLQHHTGYSEDQLMESGKELVSCHLGAAENKLKAIYRKFSSPDRGAVALFPPAKNLSPTNANTTTSS >Solyc04g024960.1.1.1 pep chromosome:SL3.0:4:27282704:27282937:1 gene:Solyc04g024960.1 transcript:Solyc04g024960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLSSPIEAREDSQARRPAALATGERQQLEPATTLTDITLPSPPSSSSPVLRSSLATTANKNKQQEELRLKSYNL >Solyc05g055000.3.1 pep chromosome:SL3.0:5:65624378:65633770:1 gene:Solyc05g055000.3 transcript:Solyc05g055000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTTPMEGAMLKLPCLRSVSRNTNFTNRSSNSFPWSYRRLHISASASASASAPLVEGPKLGPGSLGHITRSDFPILHQEINGLKLVYLDNAATSQKPRAVIEALQNYYEAYNSNVHRGIHYLSAKATDEYESARQKVANFIHAAEAKEIIFTRNATEAINLVAYSWGLSNLKPGDEIITTIAEHHSAIVPWQIVAQKTGAVLRFVNLTEDEVPDVGQLRDSLSSSTKLLVMHHVSNMLASVLPMDEVISWAHDVGAKVLVDACQSVPHMVVDVKNLDTDFLVGSSHKMCGPTGVGFLYGKSEILSGMPPFLGGGEMIADVYLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYISEIGMQQIHDYEMELGNYLYDRLSSVSDVRIYGPAPSRTVKRAALCSFNVKDIHPTDIATFLDQQHGVAIRSGHHCAQPLHRHLGINASARASLHFYNTKDDVDKFIDALKDTINFFTSFK >Solyc09g055300.2.1.1 pep chromosome:SL3.0:9:39199957:39200208:-1 gene:Solyc09g055300.2 transcript:Solyc09g055300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVNQLEKSLNSIREATIARQIQEQFQTSGKQTLQETQEYTLEVLVIPSFEMSFKAMFEKVNSIFQKVIAEHTIVAQQQFESLL >Solyc06g059850.2.1 pep chromosome:SL3.0:6:37858655:37869135:-1 gene:Solyc06g059850.2 transcript:Solyc06g059850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLFSKSRSLTHFLQYYTKKYAVQQRCFESIKAGELQLDNYNEDDQQTLNFPGGRIPITTRMKFISESFEKRLPCYRVLDDDGDLIPGSIFEQVKKGLAVNMYSTMVALQIMDTIFYEAQRQGRLSFYLTSTGEEAINIASAAALTSDDIVLPQYREPGVLLWRGFTLEEFADQLFGNKNDYGKGRQMPIHYGSNKLNYFTVSSPLATQLPQAAGVAYSLKMDKKEACVVVYFGDGSTSEGDFHAGLNFAAVMESPVIFLCRNNGWAISTPVTEQFRSDGIAIKGQAYGIRSIRVDGNDALAVYSAIRAARQMAIKEQRPILVEAMTYRVSHHSTSDDSTKYRCVKEIEQWRTEKNPITRFRKWIQRNDWWGDQNETELRGNIRKQVVEAIQTAEKVEKPPLRDLFGDVYDKIPSNLQEQERFIRDSTKRYPKEYPFNVPV >Solyc03g118915.1.1 pep chromosome:SL3.0:3:69168610:69169457:1 gene:Solyc03g118915.1 transcript:Solyc03g118915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHANFSQPHQGPIDLIHEPALKWSCPCPGSLSTISGFSGSTTESTESTAKGAKRVEYCDTTLLLSAVLADFTSESRSVSSTGRAMAVRTSTAFVDALCRESETTVG >Solyc06g069090.3.1 pep chromosome:SL3.0:6:42996414:42999183:-1 gene:Solyc06g069090.3 transcript:Solyc06g069090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S7 [Source:UniProtKB/TrEMBL;Acc:K4C882] MYTSKQKIHKDKDAEPSEFEVTVAQAFFDLENTNQELKSELKDLYINSATQIDVSGNRKAVVIHVPYRLRKAFRKVHVRLVRELEKKFSGKDVIFIATRRIVRPPKRGSAAQRPRTRTLTSVHDAILEDLVVPAEIVGKRTRYRVDGSKIMKVYLDPKERNNTEYKLETFSAVYRKLSGKDVVFEYPITEA >Solyc08g023515.1.1 pep chromosome:SL3.0:8:27551164:27557217:1 gene:Solyc08g023515.1 transcript:Solyc08g023515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGSHLGTGSYNSRNGPGPKNSPLSGSHERKNSDASQICDRNNHTTLKCFYRWDYSYQAADELSQALAATNFQNTDDTLSGLKLKEVLVVPKINKNLLSVSKLAKDNCCTLEFDETNFVVKDKKTRTLLAKGTKRNGLYALEDNYLYALTAAHDWNMSDNMWHTRLGHPTTPLSPKHGLHEAVGSLVEASFYRMIVGILQYLTLTRPDITNAVNLASQFMLYGYSNVDWGGCTTTRRSTTSYSIYLGANCISWTSKKQSTVARSSAEAKYRALVSTASEMT >Solyc08g078060.3.1 pep chromosome:SL3.0:8:62031040:62035706:-1 gene:Solyc08g078060.3 transcript:Solyc08g078060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSSSSSRVSEEFFFNSSPNLKSTISTETEELPTFNPQSHVAKKERNRLRSAENAIHLIPLILLLSAIVLWVFSSPAVTMVNKADSIVARLNVAVNQPEIHEDSSKTSFTSKLELEDIDATDKSEDQSEG >Solyc10g018023.1.1 pep chromosome:SL3.0:10:6506353:6508601:-1 gene:Solyc10g018023.1 transcript:Solyc10g018023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIELIQIFLDPIKWMNMFPTIVTEARILDFLDSGDMGISIQLMYEKLHILSPLVDAREFLFIHCCKQLDHKTWIMLDVSYDLLKEIQTCAPSYAWKFPSGCIIQDMGYRTSLISRRMVKSFCEILCLTEKLDFSTSSKLNNTYRVSIRENEETIQSKGFIATAATSLWFPFAFKTIFNFLKDDKTRYQF >Solyc01g105370.3.1 pep chromosome:SL3.0:1:93452160:93468164:-1 gene:Solyc01g105370.3 transcript:Solyc01g105370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMWDEESNEGVFLTWNDLCVSVSTGSKNIIEGVTGYARPTQLLAVMGPSGSGKSTLLDALAGRLDFSTRQSGDILINGHKQKLSYGTCTAFDRGDEGKVGDYKFEVVSEPCMDLRLFFDHSHAEIQHLACYQWVSHKMDPNELVKLIDILNPQNRPGRITVIARMGADNMRVKLPHLIRAIRGAGQIVTWVSDPMHGNTTKAPCGLKTRSFDSIRAEVRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSQTITYNDLSSRYHTHCDPRLNASQALNLPLLLRSASGKEDWEDPDYVRELIRKTRTLTNKPFGIGVILAFPHKENVKAILDEKVAVLQLYWGECSKELVLKAHKAGVKVVPQIGSYEEAKKAADAGVDAIIVQGRDAGGHVIGQDGLITLLPRVVDLVRGRDIAVIAAGGIVDERGYVAALALGAQGVSLGTRFLATEESYAHPTYKRKLIEFDQTEYTDVFGRARWPGAPQRVLATPFFMEWKALPSHENESNQPVIGRTIIHGREIEVRRFAGTVPNATTTGDIESMAMYAGESIGLIKEILPAGEVIKRIVERAQRLIDQQFAQVSRTQNN >Solyc06g017890.1.1 pep chromosome:SL3.0:6:14599348:14599556:-1 gene:Solyc06g017890.1 transcript:Solyc06g017890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDGAALVYEIKESWTKMLTIKHPDDLFVDYD >Solyc01g009620.3.1 pep chromosome:SL3.0:1:3786757:3796527:1 gene:Solyc01g009620.3 transcript:Solyc01g009620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSAIVNTYPLSSYTFGTKEHKMEKDSSVADRLARMKVNYMREGMRTSVEGILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLSSKLAANPPANPPNWKIGECVATWWRPNFETIMYPYCPPHITKPKECKKLYLVHLSEREFFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRLQFNMINQ >Solyc12g040816.1.1 pep chromosome:SL3.0:12:56291099:56310047:-1 gene:Solyc12g040816.1 transcript:Solyc12g040816.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWARSNSQMISQLGRLRLFTGLNCVGVVEDFKFNTEEPNRLIVQYFGGNEIIHKSDLFDRFNGKVWVDNDDDAIKFSINKVLITDGQYYRLCGMPVVFQIWIYECMGKRQTNFARKISDRIPRILNWQTVGAKPRFKTLMKDTFNDGNREKNVVPSLMEIVVLQLPPEEPYRDIDEQALSGQNSDDDFVNPPPPSMKVTGHDAAIRKEFTKLAQLIPLKLTMYDYYKNRGLDRSFSQEENELFEIVFIDNIPQQIDDSLYVALCNYAKQKQDNRAISESEAPPRHAMPQFVRVMSAPIEIQ >Solyc11g069130.2.1 pep chromosome:SL3.0:11:54028953:54035780:1 gene:Solyc11g069130.2 transcript:Solyc11g069130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHKRRIMTGNKQERREEAVKKPGYIQEL >Solyc01g009700.2.1 pep chromosome:SL3.0:1:3912982:3916220:1 gene:Solyc01g009700.2 transcript:Solyc01g009700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTINPIASDYCYDIRTDVDIQSYPRTLSWNKSTSCCSWDGVHCDETTGQVIALDLRCSQLQGKFHSNGSLFQLSNLKRLDLSFNNFTGSLISPKFGEFSNLTHLDLSHSSFTGLIPSEICHLSKLHVLHLGSNNLEGTIPQCVGEMKENFWSLDLSNNSLSGSINTTFSVGNFLRVISLHGNKLTGKVPRSLINYKYLTLLDLGNNQLNDTFPNWLGYLSQLKILSLRSNKLHGPIKSSGNTNLFTRLQILDLSSNGFSGNLPENLKGHIPSIIGDLVGLRTLNLSHNVLEGHIPASFQNLSVLESLDLSSNKISGEIPQQLASLTFLEVLNLSRNHLVGCIPKGKQFDTFGNSSYQGNDGLCGFPLSKLCGGDDQVTTPAEFEEEDSPMISWQGVLMGYGCGLVIGLIQAY >Solyc10g055800.2.1 pep chromosome:SL3.0:10:57355938:57358464:-1 gene:Solyc10g055800.2 transcript:Solyc10g055800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYAYSLLFSLLLLTVSAEQCGRQAGGARCAAGLCCSNFGWCGNTNDYCGPGKCQSQCPSGPSPKPPTPGPGPSGGDISSVISNSMFDQMLKHRNENSCQGKNNFYSYNAFINAARSFRGFGTTGDNTARKREIAAFFAQTSHETTGGWPSAPDGPYAWGYCFLREQGSPGDYCTPSSQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGADLLNNPDLVATDPVISFKSAIWFWMTPQSPKPSCHDVITGRWQPSGADRAANRLPGFGVITNIINGGLECGRGSDSRVQDRIGFYRRYCGILGVSPGDNLDCGNQRSFGNGLLVDTM >Solyc11g044317.1.1 pep chromosome:SL3.0:11:33291944:33293042:-1 gene:Solyc11g044317.1 transcript:Solyc11g044317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKILKLPPSTEQSISQGLQIDHNKVIDPDDDFQNPPSITSRKRKEKVIECSSPIRKKKKQSVTVISIIKSSTKAIKTYTRRSMTRKATPSQSININSVAKHSDAGTSYNNEHVEQKSVKNEFADLRKMLEDKFKTVLEAMNSKATFEDVMQETHITRVHQLNIKSSQLGA >Solyc05g051385.1.1 pep chromosome:SL3.0:5:62528833:62530526:1 gene:Solyc05g051385.1 transcript:Solyc05g051385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGNSQQLIEDAQKTLHSTFKVNDLGLLRYFLGIKVLRSDKGILLNQRKYILELLSTVGLGGSKPASTPMEMNVKLTTLEYDSVVGGVEDPMLSDIHSYQQLIGKLIYVTITRPDICFAVQVLSQFMQHPKRSHWDAALRVLRYLKQALGQGVLLARDCITSLTAYCDSDWAACPNTRRSVTGYVIQLGSSLISWKSKKQHTISRSSAKAEYSSMTVTVAEIIWLTGLLKDLRVDITTPKNTRGQSNHKTLPVTSKERF >Solyc03g058190.3.1 pep chromosome:SL3.0:3:25350430:25364615:1 gene:Solyc03g058190.3 transcript:Solyc03g058190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMASTTPCFSLPKKTFCISTPLLKKQLFGTTLPGCLSAKLPLKLNPIHTSIKCAVSEATQAPSALEKKSQLMRRSDIRNIAIVAHVDHGKTTLVDSMLKQAKVFRDNQFVQERIMDSNDIERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPEFVVNSTFELFIELNATDEQCDFQVIYASGIKGKAGLSPENLGEDLGSLFEAVIRCIPGPKINKDGALQMLATNIEYEEHKGRIAIGRVHAGSLRRGMDVKICTSEDACRFGRVSELFVYEKFSRVPAETVEAGDICAVCGIDDIQIGETIADKSDGKPLPSIKVEEPTVKMSFSVNTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFIVSGRGTLHITILIENMRREGYEFMVGPPKVINKRVNDKLLEPYEIATVEVPEEHMGSVVELLGKRRGQMFDMQGLGSEGTSFLKYKIPTRGLLGLRNSILTASRGTAILNTVFESYESWAGDISTRDLGSLVAFEDGTSTSYALMSSQDRGQLFIGPGVDVYKGQIVGIHQRSGDLALNVCKKKAATNVRSNKEVTVVLDTPLDFSLDDCIEYIQEDELVEVTPSSIRMLKNPKSAKKMR >Solyc11g062030.1.1.1 pep chromosome:SL3.0:11:49131536:49131745:1 gene:Solyc11g062030.1 transcript:Solyc11g062030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIYWFSLLVKSGDTLICVSNTFISCCVSSSYLIRMLILTSFAFVVQTLSEFMVDLFPQRCRIKLNRC >Solyc07g053990.3.1 pep chromosome:SL3.0:7:62529005:62532916:-1 gene:Solyc07g053990.3 transcript:Solyc07g053990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRSNNAGSKGFDFASDDILCSYEDYANQDPSNGTHSDSVIAANSAKEFHKSRMTRSSMFPAPAYSPPEESSFNQDMICTIEKTMKKYTDNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLVRDHGEADSKLKALEKHVQEVHRSVQILRDKQELAETQKELAKLQLAQKGSTSSSNSQQNEERSAQHLSDDKKSDDAPEVHGQQLALALPHQVAPQASLTNRPVEQPQQPPVPPPQSIPPQSMPQSQGYYLPPPQMANQQAPTQLSQGQFLSSDPQYRNPQMQVTPQRAAPQVNQTQQLQSMPQYQQQWAQQVPQQVQQSQIPNMQQQARPASPAVYPSYLHSQPNPTPETMPNSMPMQVPFSGVSQPVASRPESMPYGYDRSGRPLQQQPATPHLKPSFGAPGDGYAASGAHPTLSPGNAYVMYDGEGTRAHPPPQPNFQQSGYPPSSFPPQNQQPAPSPNLMVRPPQQVRNHPYNELIEKLVSMGYRGDHVVNVIQRLEESGQPVDFNAILDRMNGHSSGGPQRGW >Solyc04g007790.3.1 pep chromosome:SL3.0:4:1474888:1476848:1 gene:Solyc04g007790.3 transcript:Solyc04g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGKLVVSMEVKCGGHLFHDLYQTSSHHVTNISSDKVHHFDIHEGEDLRAGSIIGWKYTHDGKVKVTKQLIEAVDEEKKSITWKVLEGDTLELYNSFTISASFEDNWATWTFVYEKKTEDTPEPVTLLKLMIDITRDLEGHLHKI >Solyc08g075480.3.1 pep chromosome:SL3.0:8:59747732:59750224:-1 gene:Solyc08g075480.3 transcript:Solyc08g075480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIPSPPKRAQIPSRKPSLPSNIFNAFDDFVNTYIDPPRKSYVDPKYVLSNNFAPVDELPPTECEVVEGSLPPCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSIKISQGKATFCSRFVKTYKYNIENEAGFQIIPNVFSGFNGIIPSVTRGAITLARIITRQFNPADGIGLANTSLALFGGKLFALGESDLPYAVKITSDGDIITLGRHNFNGKLVWGMTAHPKIDPDTNEAFAYRYGPFPPFLIYFRVDPNGIKTADVPIFSIKRPTLFHDIAITKKYAIFSDIQIGMNPIKFILAGGSPVGINSRKISRLGVIPRYAKGESEMRWFDVPGFNNLHAINAWEEDGGDTIVLIAPNILSVEHTLERMDMIHGCVEKVKINLNSGVVSRHPISTRNLDFGVINPTYVGKKNKYVYAAIGYPPPKLSGIVKLDVSIAEVDRRDCIVACRTFGEGCFCGEPFFVAKNNLGANEDDGYVMLYVHNEKTEESNFLVMDATSPNLDIVANVKLPRRVPYGFHGIFVRESDLNML >Solyc09g005085.1.1 pep chromosome:SL3.0:9:50062:51354:1 gene:Solyc09g005085.1 transcript:Solyc09g005085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGIIPVMLLFATEKKEAKPRDLPISVGMEFLERLLSLPMRSRGTVPLKKLEESERTLSLPTSNMDLGIDPFRLQEERVKVVKFEKLAKYSGTVVERLFWSRRMKERK >Solyc08g076420.3.1 pep chromosome:SL3.0:8:60547166:60558705:-1 gene:Solyc08g076420.3 transcript:Solyc08g076420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNWVEVLDNDRRTADVDSKSKVASQYVARIVGAGTEKLEELSFQPNCSSFYSTLGKRKRTVEGETNCRSHLRKSTVKNYSNFIKSGLPQRVLYHQNGEWNDFPQDIVRIVKEDFRAKKAVIEVNFGGFHVILDILYMVQVNLVTGLEKPIAWIDEAGGCFFPDLCLVSCKAHDNIEIQSQRTEGFSAAEPDRATNIKLHLEIDINGPNNCKLDEGMEESNVRVKRIKVDPLKDNQQFAVDQKSYPKMEVVAENQQDQESLSPILEDTLKLVDAESVKTMFVKGMNTIYMVDIVKISQCSSKYMRNRLELFEKQAEITQKYRGKSNVRHAWLAASKEVVSTIMNYGLMHGACGQKTKLGVGVHLIAQDCASKSAASCDIDENGIRYMVLCRVILGNEELLHFGSHQSYPSNERYDSGVDDLESPTHYTVWNMNMNTHIYPEYVVSFRMSSGAEGAPIKEESRLDVSRVTSQGSEERLDLNKLPTELGNCQQYQFVRNIQQSHGVGVGTNKSPKSPWMPFSMLFGAISAKVSPKDLKLVHAHYDLFRSKKISRNDFIRRLRLIVGDQLLKSTITNLQCKPPSASPCFLNVPSGESNC >Solyc02g089730.1.1.1 pep chromosome:SL3.0:2:52102438:52104546:1 gene:Solyc02g089730.1 transcript:Solyc02g089730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPPKPLYSEYSILNSMKMVPLLLFYAFSVFVQGYNAELTLHQSTQFLFPQGKSLVLPEPSDYFSSHLVSTPLPTNSFFQNFVLKNGDQPEYIQPYLIRSANSSISISYPSQNITSDFIEQIFRPDLTISASNNPKPNHRHVISSYSDLSVTLDLPSSNLRFFLVRGSPYLTFAVNGRNTSISISTVDPIRKLSCDSSFTKYTIRLRNRQSWILYASAPIHLTNNVSTIKSGGFSGVIRIALLADSDSQFEKILDTYSSAYPVSGSAILGSFALKYKWDVKGAGKLLMLAHPLHCRLLSKADASVTVLEDVKYSSMDGELVGVIGDTWDLKTESIPVSWHSIKGLNKKSIPEIIRALGNDVKTLNASNISATSSYFYGKLVARAARLALIAEEVSYPKVTPVVVQFLKDMIEPWLNGTFGANGFFYDNKWGGLVTEHGLNDTTGDFGFGIYNDHHFHLGYYIYGISVLTRFDPAWGKQYRSRAYSLVEDYTNLGPKKNQHYTRLRCFDLWKLHSWAAGLTEFPFGRNQESTSEAINAYYSAALMGSAYGDADLVSLGSTLTAFEIQSAQTWWHVKRDNKIYAPRFVKKNKVVGILWSRKRDSILWFAPAERKDIRLGIQVLPILPITEVVFSDVDYVKELVKWAFTSVPKNRTEEGWKGFVYALEAIYKQKQALKEVRDLSSHDDGNSLSNLLWWIHSRK >Solyc03g112730.3.1 pep chromosome:SL3.0:3:64555460:64565681:-1 gene:Solyc03g112730.3 transcript:Solyc03g112730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIMYEFGGYSDNHDLEAPEYFECPPEAFSDEELFGSAGNTRSLEVISATNSSIVEEENIQAKISSHPLYTKLLLTYLDCRKVGAAPEIVDMLDNIVQENDLHRRSISSTALNQPISDDSELDDFMVTYCDVLAKLKLDLGRSFNEATTFLNDIQTQLTNLSTTTNISASVANISCKPRANVAINVDLTPKFTQLMETLHDFIVQNKSATYDMNTNAPDIYGMVKCHNDLSHDDCKLCFEEAKTKLTKCIPAPGGSVHLDGCFLRYEDYTFFDESIQNNSSVYVCGAPTDITNDQYMKRDFAAGVDRAIVNVTSTSIVNGGFGATIVKSGLLAIYALGQCWDYLQPEICTKCLNDAGDMLRKCLPAADGKAMNAGCYLRYSSNKFFEDGALVLADKGPTKSKNVWVIAAIVLSSILGIFAILGAFLGYRRYSQDKGGANRIHKIPLALETSKLNFKYEMLEEATGGFDPSNKLGQGGSGSVYKGILPDGKTIAVKKLLYNTRQWAEEFFNEVNLISGIQHKNVVKLLGCSIEGPESLLVFDHVSNRNLDQLLFDKNKRQFLSWKERFDIILGIAEGIAHLHEGSKATIIHRDIKNSNILVDDELVPKIADFGLARRFAPNKTHVSTGIAGTLGYLAPEYLLQGCLTEKADVYSFGVVAIEVACCIKSNVFVSDNGSVLQSVWRNYKLNKITESIDSRLMSDFQEQEASRVLQIGLLCTQTRRFSRPSMSQVVRILRNEEIEIPTPTQPPFQNSSLLAPPDTSSSSITKDSLCSEWYSTDGISIHSSEFASISSSQSGDFSTCENSRLLKIA >Solyc01g018060.1.1.1 pep chromosome:SL3.0:1:27233482:27233643:-1 gene:Solyc01g018060.1 transcript:Solyc01g018060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNCIRKHGKKSLSYKIIYRAVKKIQQKTETIPLSILHLAIRGVTPDTTVKA >Solyc10g078670.2.1 pep chromosome:SL3.0:10:60531569:60538463:-1 gene:Solyc10g078670.2 transcript:Solyc10g078670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRNKESSSTGGYDLGELDDQALFLYLDAQDPSSNHDQIQNNSEMMRPPTLNIFPSQPMHVEPSSTKGNTGFVSSGSEKSSEPSIMELSKSKNNVLSTSSGPEPKIPKREWNRKGQNSGSEQNAPKTTDHKTLRRLAQNREAARKSRIRKKAYIQQLESSRIRLAQLEQELQRARSQGFHFAGTLLGGDQGLPNNIANMTSEAAAFDMEYSRWLEEHHRLMCELRNAVTEHFPENDLRIYVENCVTHYDEMMNLKSILLKTDVFHLISGMWRTPAERCFIWMGDFRPSELIKIILSQIEPLTEQQFVGICGLQQSTQEAEEALSQGLENLNQSVSDTIVSDALLANCPQNMANYMGQMAIAINKLSTIEGFVRQADNLRHQTIHRLHQILTSRQAARCFLAIAEYFHRLRALSSLWVARPRHE >Solyc04g050080.1.1.1 pep chromosome:SL3.0:4:45372117:45372362:1 gene:Solyc04g050080.1 transcript:Solyc04g050080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSMIKRRWNPKEDDLLQKLVEEHGEKNWSLIVQLILGRSKKSCRFRWCNQLNPQVDRRPFTLDEDDIIIKDHAKFGNQ >Solyc11g018770.2.1 pep chromosome:SL3.0:11:9423549:9440235:1 gene:Solyc11g018770.2 transcript:Solyc11g018770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALSIAVAAFSTFHTTRPRLFTFPNPSTSSSLLLPSFKLKRFSIFSIQQPPPALGFYAGVTTMVEGSAFQPLSVQVKDHIDLSPKEEQIFNRLLQVVEHYNMGTQLRVAGGWVRDKCVCGQRIAGTRLECRKKFQQLLGKECYDIDIALDNMYGREFCEKVNEYLSASGEETQGVGVIQCNPDQSKHLETARMRLFDVWIDFVNLRAEDYSENSRIPTMKFGTAKQDADRRDLTINSLFYNINTRSVEDLTERGIADLKSGKIVTPLPPKQTFLDDPLRVLRAIRFGGALSAQSSGANNRTGARFGFILDEELKKAAADEDVRVAIADKISRERIGHEIDLMMSGDQPVKAMTYIADLKLFSAVFSLPSDFEPSIPDGCDRLCVTCLGSAWELLNLIGRSSFSDDQRRLCLYAALFLPFRETIYRDNKAKKIPVVNYIFRNSLKLKASDAEIVMSLHTVTRKFVTLISLLISKDDIQVLEVDWKRDTIEVPIASKLRILTGLLLREIKEFWRVALLLSMLLHPVDVVSSTSFSNENFELDKSSGLFKTVENAVRTLGLEKVWEMKPLVNGKEIMNILEIKSGGPVVREWQQKLLEWQLAHPSGSAEECIDWMKQAQSKRARTE >Solyc08g068000.2.1 pep chromosome:SL3.0:8:57129873:57133288:-1 gene:Solyc08g068000.2 transcript:Solyc08g068000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFPMDVASTIPFQPIYQFFNGKKQEGDIFGFLNLLRLWRLRRVSKFFSRLEKDSRFSYFWTRFCKLVCVTLFAVHSAGCFYYWLATHYHTAENTWIGSNVTSFQERSISLGYTYSMYWSVVTLTTVGYGDLYAHNTGEKVFAIFYMLFNIGLTAYLIGNMTNLVVHSAARTFAMRDAINEILRYARKNRLPEGIKEQMLAHSTLRFKTAELQQEEVVEDLPKAIRSSIAQHLFHTTIQNTYLFKGVSEDFLVQLVMFSYLPTTLYYVLAHFTLQVSEIKAEYFPPKVDIVIQNEILTDFYIIVSGAVVCVIPISRTSITFEVVTYKNGTEQVISEITGPKQVHSFLLSAEQFLSKLESPELFGEIGVIFNMPQPFTVRSKRLSQAVRISHHHFKQLLQLFTTDGKIILSNFLQHGLVTKYNQHLKGIEKEELQEIPLISELLSDLNSEVILFFLQEFSVSTERNHEGPNQEGTSKLINFTDFVTNSAGIRRVSGTFPTRIIIHGYHPHDKPNEGGIEGKLIHLPESVEVLLTIAGK >Solyc01g100390.3.1 pep chromosome:SL3.0:1:90310769:90316658:-1 gene:Solyc01g100390.3 transcript:Solyc01g100390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMSEALTQILIPLAAIIGIGFALLQWVLVSKIRVSSGSKLESEYNNKLIEEDEQEEGIDSDDVVAKCADIQKAISQGATSFLFTEYKYLGIFMVVFGAIIFLFLGSVKSFSTESEPCTFNKENICKPALANAFFTTIAFLLGGLTSALSGFLGMKIATYANARTTLEARKSIGKAFITAFRSGAVMGFLLAANGLLVLYISINLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSIGSNHDYSAMSYPLIISSMGILICLITTLIATDMFEIKNVTEIEPSLKRQLLISTVLMTVGIAFVNFFALPSEFTIFDFGSEKVVKNWHLFFCVSIGLWAGLVIGYTTEYYTSSAYSPVQEVADSCKTGAATNVIFGLALGYKSVIIPIFAIAASIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHSIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAYVSRAGIKTVDVLSPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNSIPGLMEGTAKPDYATCVKISTDASLKEMIPPGALVMLTPLIAGTFFGVETLAGVLAGSLVSGVQVAISASNTGGSWDNAKKYIEAGATEHARSLGPKGSDAHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKLL >Solyc11g013260.2.1 pep chromosome:SL3.0:11:6171053:6174171:1 gene:Solyc11g013260.2 transcript:Solyc11g013260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRFTSKISVSLFLHSTTMGSQAAVSFLTNIARAAFTLGLGGALVNSSLYTVDGGQRAVLFDRFNGVLDKTVGEGTHFLIPWLQKPFIFDIRTRPHVFSSVSGTKDLQMVNLTLRILSRPEISRLPYIFQNLGTEYDEKVLPSIGNEVLKAVVAQFNADQLLTDRPQVSALVRESLIKRAKDFNIVLDDVAITHLSYGAEFSKAVEQKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESESAKLISDATAAAGMGLIELRRIEASREVAGTLAKTPNVAYLPKQGNMLLGLGRGGFFNGVVYFTAILLQWPLLTRPFSG >Solyc10g084460.1.1 pep chromosome:SL3.0:10:64105398:64107386:1 gene:Solyc10g084460.1 transcript:Solyc10g084460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTSDDMPEKEVNRAAKILMQMAKTRTCTSFNLGESNKSTNLGERSEESRAINSPETIYPCSEEIRACFRREEALRYTQPNKAFSYTAVDGKKVVVAPLKKRGGKLFKRICHYDILKSNKPAFFTLHCLVRDAAARLPGGVGTRDDVCVLARDSQFIVEDISDSQLRKAVKGGLDRLHYEDDPCVKYEKERHRWTYLHGDRKVEDFEDDST >Solyc02g071980.3.1 pep chromosome:SL3.0:2:41874973:41880465:1 gene:Solyc02g071980.3 transcript:Solyc02g071980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPHHNSDSKFATPGEVDPSSTSYSRVSNPLVQSDCSKFGDNRGLDDFDPVIGSNIVKTGKHEEYNIVAVHLMNGTPKNTSLMSRLDTQAEELFSKQSFHDTGEASERYHMDGWKGKSEEDSITLRQNNILRSSEKIISSNCDKSRKCGSESFGQSAGHGNKDKSYIMGKPVGGQQETDHDSPLCTKENYEKEAHTTNGMVKAEVSNVNLTQQTNGSDIKINLLYPQLAENIDCATQSSQNAEGSICHQNQEKYFCYDSPLFGETGAWIPVSVPPMSESEHDEWSRGFCSNGGYLPEGDTDWNQCMGEDKELTMWDVVLDMLLAARGKVQSLASGDIVGNMSWISSHLIEQAWKEMAQTLTEANFGNAQEILEADPPKWLPDSASSTCMLCNVRFHPIMCSRHHCRFCGGLFCNECTKGRSLLPEKFRTGEPQRVCDVCFVRLESVQPYLMDQVSRAAQLPTHDLTDLSTLRSWVNFPWGQSMEYEIYKAANTIRGYEKIGLLSSEKRIPEAILQNARGLAILTVVKVGVMVTYNIGTGLVIARREDGSWSPPSAISSFGVGWGAQVGGELTDFIIVLRTSDAVKTFGGDAHLSVGAGVSAAAGIIGRTAEADLRAGTAGYAACYTYSCSKGAFVGCSLQGSVVTTRTRENSRFYGSQSIKASEILLGSLPRPPAAAALYRALTDLYQKL >Solyc12g035555.1.1 pep chromosome:SL3.0:12:42134428:42139586:-1 gene:Solyc12g035555.1 transcript:Solyc12g035555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQMQERMQKMEKQKKIVRQEVIANVIGQLNHAGLIDPNILAALSSPSPRESTFVQGAEQGIEEGDESSSEDLT >Solyc11g007840.2.1 pep chromosome:SL3.0:11:2056800:2063902:1 gene:Solyc11g007840.2 transcript:Solyc11g007840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGRSSGDDNKDKNDNNAISSGGGFHLIRDRFRFKRNSQRPTEAVTLPSSSSPSDRQWKTPARSHHHHHHNRSFTSMVLQSSIMSVFRQNERARSRWSVRDDLKLGSSLEFVPPPRFQLGNGLDLVRNQPRIGVRPPRIALVLGNMRKDPLSLMLSTVVKNLRGLGYMIKIYAVEDGIARSVWEEIGGKVSILTADRYDLIDWSIFDGVIADSLEDKNAISSLMQEPFCSVPLVWIIQQDTLASRLRLYENMGWENLISHWKDSFRRADVIVFPDYSLPMLYSGLDTGNFFVIPGSPKDNWAAGSYSRRHSKSQSREKYGFDKDDLLVLVFGSSIIYNELSWDYALSIRHIEPLLLKFAGSDAEERLKFVFMSGNSSDGYNDALQDIANRLGLHEGSLSHHDMKGDVNGITLIADIVLYFSPQYEQEFPPILIRAMSFGIPIVAPDYPVIKKYVADEVHGIIFSQHDSNELVQDFSLLISDGKLTRFAHTIASSGRLLSKNMFAVECITGYAKLLENVITFPSDVILPGDTSQIKQESWEWGYFQKDLEDPKDIEDLQMKDVDPINSSVVYDLELEMTGFVPLMNVSGDDLEAAIKEDFPSELDWDILNEMERSEEVDRLESEEIEERMEKDIGRWDDIYRNARKAEKLRFETNERDEGELERTGQPICIYEVYDGIGAWSFLHHGSLYRGLSLSTKARRLRSDDIDAVGRLTLLNETYYRDILCEMGGMFSIANHLDNIHRRPWIGFQSWRATGRKVSLSKNAELALEETIQAKVKGDVIYYWAHLHVDGGFSGSNDALTFWSMCDILNGGNCRNAFQDAFRIMYGLPSHIEALPPMPEDGGKWSALHSWVMPTSSFLEFVMFSRMFVDALDGLHVNSSNRTHCVLANSTMEKQHCYCRVLELLVNVWAYHSARQMVYINPQSGAVEEQHSVEQRKGYMWAKYFNMTLLKSMDEDLAQAADDNYHPYETWLWPLTGEIFWQGIY >Solyc02g077600.3.1 pep chromosome:SL3.0:2:43053191:43062673:1 gene:Solyc02g077600.3 transcript:Solyc02g077600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFFGRGSPQQDSPSPSPSPSPSPSSSPSPSPPKRSSVNVASGPARPIRFVYCDEKGKFQIDPEALAVLQLVKEPVGVVSVCGRARQGKSFILNQLLGRSSGFQVAPTHRPCTKGIWLWSAPLRRTALDGTEYNLLLLDTEGIDAYDQTGTYSTQIFSLAVLLSSMFVYNQMGGIDEAALDRLSLVTEMTKHIRVRASGGRTSASELGQFSPIFVWLLRDFYLDLTEDNHKITPRDYLELALRPVQGGRRDVAAKNEIRESIRALFPDRECFTLVRPLSNENELQRLDQIPIEKLRPEFKAGLDALTRFVFERTKPKQFGATVMTGPIFSRITQSFVDALNNGAVPIITSSWQSVEEAECQRAYDLAAEMYMTSFDRSKPPEEAALREAHEDAIQKSMSAFNSTAVGAGSIRTKYEKRLQHFIKKAFEDIRKDAFRESSLQCSNAIQDMETRLRKACHAPDAKVDTVLKVLDDSVSKYEAKCQGPEKWRKLLVFLQQSLEGPLVDLINKQMDQIGSEKTALALKCRSIEDKMSFLNKQLEASEKFKSEYLKRYEDATSDKKKLAEDYASRIANLQSKYSLLEERYASLSKTLDSTRIESMEWKRKYEQLLSKQKAEEEQSNAEISILRSRTSAAEARVNAAKEQAESAQEEAEEWKRKYGIAAKEAKNALEKAAAVQERTSKQAQLREDALRDEFSSTLANKEEEIKEKAVKLEQAEQRFSTLNLELKVAESKIQNYDLEVSALKHEIKELGERFERMNATALSFEREVRILEQEKVHLEQKYRSEFSRFEEVEHRCKSAEREAKRATELADKARVEAAAAQKEKSEIHRVAMERLAQIERNGRNIQNLERQRDDLADELERCRASEFDAQSKVTTLEARVEEREKEIESLLKSNNEQRASTVQVLESLLETERAARSEANNRAEALSVQLQTTQGKLDLLQQQLTKVRLNETALDSKLRTASHGKRARIEEYEAGVESALNMGTNDRVTRGNKRSKSTTSPVAVTCPEDGGSEFRGDDVTSSQQTYTEDYTKYTVQKLKQELTKHNFGAELLQLKNPNKKEILALYEKCVLQKS >Solyc07g049250.1.1.1 pep chromosome:SL3.0:7:59650223:59651110:-1 gene:Solyc07g049250.1 transcript:Solyc07g049250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPGFIARLISFVVRVQSYPQLFRHYMRVITDYSTKKLGSAAVHSELNTLFQFHPDLQTEYTNIVSTNSTSSEQSLNKPDMELLKPCIYYMNKVQNRFADERGVITAYLDTIRSLKEGNLCDEEAYSAIAKIFGEENQDLIDEFEFLIMDKKETRNKKKKNSSSSSNKKTLDDLRRSEVMEDEMCEVDVDLALGKRCVECINMLMNNSTDDEERKQIINIDGNLRATSLGYIRKIYKKEDSSILTRLREDPTSVLPEILEKLTLKQDELSKKWSDIHEKRENPIYSLRKLRSIV >Solyc09g083095.1.1 pep chromosome:SL3.0:9:69200044:69204800:-1 gene:Solyc09g083095.1 transcript:Solyc09g083095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAGSLTGSSTGLADPNLQHQSHLLPQQFVSSSHIDACAGRNGVANSSVNLTALPSDNVVVPNEHIEVLPSTVAVPVDTGEVRKSTRGSKPPIWHKDYVIKTGSSSCTYSIAGFQQSTGDYSLFTKKEGEKIVIILVYVDDLLLTGNDGFMIQHTKDVLQTTFKIKDLGELKYFLGIEFARSEAGILMHQRKYALELVAYMGLAGAKPVTTPMDQN >Solyc06g048380.2.1 pep chromosome:SL3.0:6:31061349:31063720:1 gene:Solyc06g048380.2 transcript:Solyc06g048380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKIMIKIIEDPISRQQFYSKCKDSIVKKSNELGLLCDTNIALLMVSPNGEVTSCSGGESSYEPQAENINTVEEADAYEQYLLGAIGRIQLSKAKFLDNQEFLKRNENVAVRHFLMVSYLKEYSTVFRTSSM >Solyc06g007130.3.1 pep chromosome:SL3.0:6:1180617:1191837:-1 gene:Solyc06g007130.3 transcript:Solyc06g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGVDFVSPNEEMEMEFDSSVFPPFKLSQIRASIPKHCWVKNPWRSLSYVLRDIIIVFALVTMAIFIDNWKFWPIYWILQGTMFWAIFVLGHDCGHGSFSDSPLLNSVIGHILHSFILVPYHGWRISHKTHHQNHGNVEADESWVPMPEKIYKELDFATKFFRFKIPFPLLAYPIYLITRSPGKKGSHFNPYSDLFQPNERKCVVTSTLCWTLMAALLFYLRNVVGSLQLLKLYGVPYMIFVMWLDFVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTIDRDYGLLTIMGSLGVEFISPNENLELKFDSSALPPFKLSEIRAAIPKHCWIKNPWKSLSYVLRDVVIVFALVTMAIYFDNWKFWPIYWVLQGTMFWAIFVLGHDCGHGSFSDSALLNSVVGHILHSFILVPYHGWRISHKTHHQNHGNVEADESWVPMPEKLYKELDYATKLLRFKIPFPLIAYPLYLMRRSPGKKGSHFNPYSDLFQENERKFIVTSTLCWTLMVALLFYLSTVVGFLQLLKLYGIPYMIFVMWLDSVTYLHHHGHEEKLPWYRGKEWSYLRGGLTTVDRDYGVFNNIHHDIGTHVIHHLFPQIPHYHLVEATKAAKPVFGKYYREPKKSGAIPFHLVENLVKSMKKDHYVSDNGDIVYYQTDPKLFNDSGKKDK >Solyc12g055750.2.1 pep chromosome:SL3.0:12:62685938:62698477:-1 gene:Solyc12g055750.2 transcript:Solyc12g055750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNAAAPFWRSAGMTYITYSNLCANLVRNCLKEPYKAEALSRERVHYSISKWADGKPQKPRDKLDIESDEEISFSSSHATNKIESLHFESAHMASPRSFYSSRVLRKEWVFFFSLVGITPLAERLGYATEQLAFYTGPTVGGLLNATFGNATEMIISLYALNNGMMRVVKQSLLGSILSNMLLVLGCAFFCGGIVHQQKVQATALVNSGLLLMAVMGLLFPAVLHFTHTEVHFGKSELALSRFSSCIMLVAYASYLFFQLKSQPNLYSSIDEDRENNAENSDEEEAPEITQWEAIGWLAILTVWISVLSGYLVDAIEGASDSMNMPVSFISVILLPIVGNAAEHASAIMFAMKDKLDITLGVAIGSSTQISMFVIPFCVVVGWFMGKPMDLNFQLFETATLFITVLVVAFMLQEGTSNYFKGLMLILCYLIVAASFFVHVDPSKGYKLFYTVPIARNNKPASEGDEDGRNLNKGNKYAQGGDTHASADELLNNWQ >Solyc01g112280.3.1 pep chromosome:SL3.0:1:98256976:98260811:-1 gene:Solyc01g112280.3 transcript:Solyc01g112280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminoacylase [Source:UniProtKB/TrEMBL;Acc:K4B489] MSKTGYYLDLRVFLVTLILIITTRTAAKDPSTILSRFQQYLQINTAQPHPNYYEAAEFIISQAKLLSLESQTLEFVKGKPLILLKWPGKDPTLPSILLNSHTDVVPSEHHKWTHPPFSAHLDSTTGNIFARGSQDMKCVGLQYLEAIRKLKSYGFRPLRTLYLSFLPDEEIGGNDGARKFVDSDVFAKMNVGIVLDEGLASPTDNYRAFYGERSPWWLVVKAVGAPGHGAKLYDNTAMENLLKSIEIIRRFRAAQFDLVKAGQKAEGEVISVNMVFLKAGTPSPSGFVMNLQPSEAQAGFDIRVPPTADQASLERLIADEWAPASRNMTFEFKQKVSVNDKLGRPAVTAVDSSNIWWALFEEAIIKANARLGKPEIFPASTDARYFRERGLPAIGFSPMANTPILLHDHNEFLNKDEYLKGIDVYESIIKTYASYIQYQRDDASREEL >Solyc07g021540.3.1 pep chromosome:SL3.0:7:19296853:19303195:-1 gene:Solyc07g021540.3 transcript:Solyc07g021540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNPNPNPDHPHSSDYAPYPKLNPNNFAPVSETWTPVNVGSNPPYAPIYGSAATSMPTESNPYVTPEPAQTPASLVKNKMDSVKDALGKWGKKAAETTKKAEDLAGNMWQHFKTSPSLADAAMGRIAHGTMVLAEGGYEKVFRQTFETVPEEKLLKSYACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYKVGDLTEYSYYKVVLPVDQLKAVNPSISKINPAEKYIQVISVDNHEFWFMGFVNYDNAVKSLQGALLGDHS >Solyc05g054460.3.1 pep chromosome:SL3.0:5:65233479:65238669:1 gene:Solyc05g054460.3 transcript:Solyc05g054460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIWAILELLLISAIVLAFGLAAAIVFETFRRRFNHTHVEAPPVFEDPTSFKPVPCPHIFDPAEKYISLVIPAYNEEHRLPGALEETLNYLQRRAANDSTFTYEVIIVDDGSADGTKRVAFEFVKRHSVDNVRVILLGKNHGKGEAIRKGMLHSRGELLLMLDADGATRIDDMEKLENQIHAVAKKGQQSEDSAGRDSTIRISDVPAAVFGSRAHLEEKALATRKWYRNFLMKGFHIVVLLAAGSGIRDTQCGFKMFTRSAARKLFLNIRLKRWCFDVELVYLCKWFRVSMNEISVNWTEIPGSKVNLLSIPNMLWEMAIMSLGYRTGIWRIYS >Solyc10g052455.1.1 pep chromosome:SL3.0:10:52698852:52700588:-1 gene:Solyc10g052455.1 transcript:Solyc10g052455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCDLDVTTDIEFHHTNDDNDVNTNNDADTEVLHDKGGVSNTDHDDNTTNVPIATEIVEVPTTSVRKTSRIVKEPVWMKDYAVRKQSSTRHPLVNSLSDRATSCYKKDVNILVILAYVDDLLITGSNTKMINEAKEDLHKLFKLKDLGQLRLTSVEYDLANGHTGDDVLQDITAYQRVVGKLLYATITRSDISYAVQVLSQFMQSPKRSNWDASIRVIKYLKGTVGQEIWSQSKPANVLSCWCDSDCAACPIARRSSAEAEYRYMASAASEVTWLLGLLTELGVEIQMPILVFSDSKSTIQLAANPVGEKNT >Solyc06g050850.1.1 pep chromosome:SL3.0:6:33774542:33775264:1 gene:Solyc06g050850.1 transcript:Solyc06g050850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSLFCKNRHSTEKQLDRIFDLVWLGFHIVY >Solyc09g090490.2.1 pep chromosome:SL3.0:9:70469971:70472518:-1 gene:Solyc09g090490.2 transcript:Solyc09g090490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFIASQLTMPLFFKISIFFFFVSTSLSSSPLEYNHPLESLTLFELTQVTNIVKNSYFPLNVTFHYVGLNEPNKQLVLSWLSSTHHPSLPREAIVITRIDQKTHEIIVDLSSVSILSDKIYNGHGYPMLTLQEQTAANELPLSYPPFISSIKKRRLKLKEVACQGYPVGWYGEEKKSTNRMVKVICYYLDGTVNLYMRPIEGITIIVLLDQMTIASYIDRLIVPVPKANGTDYMGSKRRRSSHESNSIKIVQPDGPSFTLDDGNTVRWVDWKFHVAYDMRAGIIISLASMFDDDKGEFRSVMYRGFASEVFVPYMDLTEEWYYRTYFNAGEFGFGLCAVELEPSKDCPENAKFIDGYFISQDGTPGKMPNVICIFERYAGDIMWRHTEDAIPGEKYFSFISKNLELQIRKIRPEVGLTGLLEVRGSIYTHSDQIKEEVYGTLIAENTLGAYHDHFFTYHLDLDVDGHENSFVKNNLKTRRVIHKSSPRKSYWTVVSETAETESDAKIQLGSSRGAFEMVVVNPNKKTEIGNEIGYCLIPGGSATSPLLSDNDYPQARGGFTKYNVWVTPYNKSEKWAGGLYTDQSHGDDTLAVWSLRKIKNKDIILWYTFGVHHVPKQEDFPIMPTLSTGFELKPTNFFQHNPVL >Solyc06g075620.3.1 pep chromosome:SL3.0:6:47146033:47148632:-1 gene:Solyc06g075620.3 transcript:Solyc06g075620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Similar to 60S ribosomal protein L35 [Source:UniProtKB/TrEMBL;Acc:Q53U38] MARIKVHELRNKSKTELLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKLALREAYKNKKYLPLDLRPKKTRAIRKRLTKHQASLKTEREKKKELYFPLRKYAIKV >Solyc05g014163.1.1 pep chromosome:SL3.0:5:7904487:7905432:-1 gene:Solyc05g014163.1 transcript:Solyc05g014163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADGSQFSEVQKETLVEVMLSLGRRRLPPLRSYIFLLLILLPWIALAAGKILQVELYK >Solyc02g080465.1.1 pep chromosome:SL3.0:2:45221902:45227412:1 gene:Solyc02g080465.1 transcript:Solyc02g080465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASLFRGCLMAEMFTSRPILPGLRYQPHFGKVQGAVKGTNKANNTCDVWTEYYDMAYTFGADSMDFAALL >Solyc05g026000.1.1.1 pep chromosome:SL3.0:5:39174409:39174579:1 gene:Solyc05g026000.1 transcript:Solyc05g026000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLMKLGGIQLYDYTLWFGTVAMLVYCIKEKMRPNNRHIIEFNKFLATDTRVQIS >Solyc01g099200.3.1 pep chromosome:SL3.0:1:89380919:89390536:1 gene:Solyc01g099200.3 transcript:Solyc01g099200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSKLESKKINGTVVVVKKRALELDPSEVVPQRVYEILGDKVTLQLISSVSGDSENKGKLGNPAHLRDENKVGDESKFSVTFDLDEEFGAPGAFIIKNFNPNEFFLKTLTLEVDDPSHGGMHFVCNSWVYPAENYKSDRIFFVNQAWLPSETPVKLCWYREEELLNLRGNGIGKLEEWDRVYDYAYYNDLGEPEKGSAYVRPILGGSTKYPYPRRGRTSRPPTKTDPNSESRLPLLMSFGIYVPRDEKFAPLKMTDFIGIALKVIVQLLVPELESLGNINLNEFNSFEDILKIYGGGVNLPNDVLQRSSAEMLKKFIQSSGHEFLKYPMPQVIKEDKSAWRTDEEFAREMLAGINPVCICGLKEFPPISKLDPKVYGNQTSKISREHIQNQLDGLTIEEVIKGNQLFILDHHDTLMPYMRQINMTSTKIYASRTLFFLQKDGTLKPLGIELSLPHPDGDQHGFISKVFTPQEDGIEASIWQLAKAYVAVNDSGVHQLISHWLHTHAIIEPIVIATNRQLSVLHPIYKLLHPHFRDTMHINALARQTLLNAGGILEQTVFPTKYAMEMTSAAYKDWVFPEQALPADLIKRGVAIEDPESEKGVRLLIQDYPYAVDGLEIWTAIKSWVQEYCTIYYKTDDMIQKDTELQAWWKELQEEGHGDKKDEPWWPKMQTLKELTDSCTIIIWIASALHAAINFGQYPYGGYLPNRPSMSRRLMPEPGSPEYEELKRNPEKVYLRTITPQLQTLIGISAIEILSTHSSDEIYLGQRDTPEWTNDKAPLQALERFGKKLAEIAEKIIKMNNDKKWKNRMGPTKMPYTLLYHISEPGLTGKGIPNSKNFLDLTDAKDAVVDQIDEILGHKVSLQLISAVNTDAANKGRGKLGKPANLEGWKISTLTEREATFSVNFEWNEEIGIPGAFLIKNSHHNEFYLKTLTLDDVPGHGKVKFVCNSWIYHSEYYKKDRVFFANQTYLPNETPAPLQVYDYDVYNDLGDPEKGETYVRKILGGSSDYPYPRRGRTGRPPTKIDPKSESRLPLRKTLDIYVPRDERFSPLKMSDFAATGLKSIFQFLVPGFLALFNKTPFEFDSFEDMWKLYEGGIRLPSKSFVDKIRDHLPLELLKELLRSDGDYAFKFPMPQVIREDKSAWGTDEEFAREMLAGLNPVVIRCLQEFPPTSKLDPTLSGEQRSTISEEHVKHNLDGLTIEKAIKDKRLFILDHHDSLMPYLKRINTTTTQTYASRTLLFLKEDGSLTPLAIELTRENEQSRIVSNVYTPAETGAEATIWQLAKAYVTVNDSGLHTHAVTEPFIIATNRQLSVLHPIYKLLHPHFRDTMYINALARQMLINADGILEMTVFPGKFSLEMSATIYKDWVFPQQALPADLIKRGMAVEDSSQPHGIKLVIEDYPYAVDGLEIWSAIKTWVRDYCNFYYKTDEMVKIDTELQCWWKEAREKGHGDKKEQPWWPKMQTREELIDCCTIIIWIASALHAAINFGQYPYGGYPPNRPSMSRRFMPEPETSEYEELKSNPEKAFLKTITPLPQSILGISLIEALSLHTSDEVFLGKRAPEWTTDEEPLQAFERFGKKLEEIEQKIIKMNDDPNLKNRVGPANIPYTLLCPSSELGLTGRGIPNSVSI >Solyc09g056395.1.1 pep chromosome:SL3.0:9:49176930:49179006:-1 gene:Solyc09g056395.1 transcript:Solyc09g056395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKMTFTLTFLFIFFLFIFLIFISKLLTFLLVFISDFFNIPSQVGGEQSEAQLGHIVKMRRSDKWLSKYVGQLISGRDEGKGNQVGKVLMTNKMAVKLDVFCTLVEHRVSSDVDCCLSIRVNRYWQRRRNRQIYDNDTELCFFDFQEMGDRPKLIKKPLTDRRESQQDAQSASQKLDRRIHRRRYCRDMRDIKLVQEL >Solyc06g075540.3.1 pep chromosome:SL3.0:6:47045278:47051572:1 gene:Solyc06g075540.3 transcript:Solyc06g075540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILQILRRKLSSSQIRSTATPSLSSPLFYRHLHSSSNPASFGVAFDIDGVLLRGSNPIGGSPRALKRFYDDSGTLKVPYVFLTNGGGVPESKRAKELGSLLDVNILPLQVIQGHSPFKQLMRRFENERIVAVGKGEPAEVMSEYGFKNVLSIDEYASYFDNIDPLAQYKKWTDKLDGNQSSNSKHIALSNDPCSQRVAAVFVVSDSVDWSRDIQVLCDILRTGGLPGKDIAHQPPLFFANDDLAYQALFPSERLGMGAFRIAVESVFNAIHPAALKYTSYGKPNPSVFKNAETVLMHVLQSCHTNHQVDGRKQLFKTLYMIGDNPLVDIKGARQAGSPWFSILTRTGVFKGKENHDDFPADLVVDTVEEAVDYILSKECIL >Solyc01g010315.1.1 pep chromosome:SL3.0:1:5086434:5088779:-1 gene:Solyc01g010315.1 transcript:Solyc01g010315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVLNHGSIDRTLLLSQHEYKSELLWKGEIPFSSLMRTVRMNDAWNLFRLHRSHERVEEILRRSGLYDVVCVLFDLRIDGDVVYIQDATGRIRPWRTLLETLTGCTIAPTDMDGASRVRIHNITGYLRDQLQVDPIRDATPVERVERIARLYMLVILGGILFPNTSENLISLQYLAFLDPIHDVGSCLYSHQLLLSIDGDILLPYAKRWTREIDRDTESYHVLIPIRDQLDRMTEDQSRWTPYNAISHTMPHCCMVDEPLWMACVPMFCLEIVEVHSPDRVMRQFGHSQHVPVIPSWGINHHVYDRRRRLGSEVLEMMDKFFCDWGNRHQSLAIEVNDETSGVGCRLWYMRHGRLLIGRSALEVDVSLGFVHFTGTSIAMSRGLFKLYSLALQWQRDTTSASHGEEVFQIVKDTLLEVEIQFRKPFFEGDPLFEHVHVRGPRRGRMGHSGKARGRGAGGMPIPPDIEAEVRVEADDSHVHQFGTSDIMNLLHMSFDPYSRPTRDVEGIGRMSYESTMMLEIT >Solyc12g010890.2.1 pep chromosome:SL3.0:12:3790551:3797046:-1 gene:Solyc12g010890.2 transcript:Solyc12g010890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDDLVASPSSNNNSPSPSPSPPPSSVKNELATLPPPPMIPPASTRPAAFPAREDCWSEAATHTLIEAWGSLYVELKRGNLRQKHWQEVANAVNKLHGNTKKQFRTDIQCKNRIDTLKKKYKIEKARVSQSHSRYVPQWPFFNSLDVLIGDNFKPSPLPVTVAPRRKTPPLLPPPTSAVPVGPRSKRPAAVMEDVVSRRNFSAMAAAAAAAASEDSDEEEESETSSPATFTASAPSRRKESGALAEGCSRLAEAIGRFAEIYERVEDAKQRQMVELEKQRMQFAKDLEIQRMKLIMESQVQLEKLKRAKNSSQADGYLYKEC >Solyc01g006570.1.1.1 pep chromosome:SL3.0:1:1144914:1145087:-1 gene:Solyc01g006570.1 transcript:Solyc01g006570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLSLNYWAEDPVINAAFEVFLGKLKELEGIIDARNVHCNLMNRNGVGVMPYERSV >Solyc02g014780.1.1.1 pep chromosome:SL3.0:2:19010000:19010209:-1 gene:Solyc02g014780.1 transcript:Solyc02g014780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYEFNPSCLAERRIFLDHYQTITYSQTSCGENIFHFPSHGKLFSLRLALSPSRGILVIGSIGIGRPIW >Solyc05g014840.2.1.1 pep chromosome:SL3.0:5:9055869:9056051:-1 gene:Solyc05g014840.2 transcript:Solyc05g014840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGKNLGSNVKRRSGSSKAGLKFPVARIARSLKVGKYAKHVSDEAPVFLAVVLEYLEVE >Solyc02g069480.2.1 pep chromosome:SL3.0:2:39918973:39921926:-1 gene:Solyc02g069480.2 transcript:Solyc02g069480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQVHGNGSVFNVQDFGASPNGKTDSSQAFLKAWGQACQNNGGGTVLIPIGTFLLNTLTFNGPCKGPMFFNINGLLKAPLGKVKDDYWILFEHIDGLTINGQGSLDGQGPSAWSMYNDNGPNPPSSIKFNSISNGFLQGITSINSKFFHFQINKSQGVHFQNMTIIAPGESPNTDGIHIGGSNDIIINKVNISTGDDCVSIGPGTSNVHISEVNCGPGHGISIGSLGKYQNEGDVNGVIVTNCNIGKTQNGLRIKSWAPSPPSKVFNVTFVDINVNYVENPIIIDQHYCPHSSCKSQGESQVQISDVKFINVKGTSASKEAVVFDCSKSVPCQGIELRGLQLTLDGQQSTSATCENANMKFNGPQIPSQCS >Solyc08g061900.1.1.1 pep chromosome:SL3.0:8:49727476:49727754:1 gene:Solyc08g061900.1 transcript:Solyc08g061900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITTLLFQWREEPMISFSGNFQKNNFNEIFQFLILLCSTLCIPLSLSRSQINDGVYLAPPKWASKLDRTLCQAMIPSKLWSKTSISQQDQE >Solyc07g052290.1.1.1 pep chromosome:SL3.0:7:60908906:60910240:1 gene:Solyc07g052290.1 transcript:Solyc07g052290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINLSPSSCSLSLARFINSKSHISRLTKTHFSKSFSSSSSSTFHSKNQFLHEKNRYPFGRRRDFRSWVIPGFDFGSNFESTQSVLEAVGVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPILAKFNAKNVEYSLRAFPLGGFVGFPDNDPDSDIPPDDKNLLKNRPIFDRVIVISAGVIANIIFAYVIIFTQVLLVGLPVQESFPGVLVPDVRPFSAASRDGLLPGDVILGVNGIDFGKNGPSLVTEVVDVIKRSPKRNVLLKIGRGGGNVDVRVTPDENSDGTGRIGVQLSPNFKISKVQPKNILEAFSFSGREFWGLTYSVLDSLKQTFMNFSQTASKVSGPVAIIAVGAEVAKSNVDGLYQFAAVLNINLAVINLLPLPALDGGTLALILVEAARGGKKLPLEVEQGIMSSGIMFVIIVGLFLLVRDTLNLDFIRDLL >Solyc08g007290.3.1.1 pep chromosome:SL3.0:8:1854152:1854688:-1 gene:Solyc08g007290.3 transcript:Solyc08g007290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLFSCLSLLGSLIGWKNCTLSENLTLFSVFSLVRFFELCPNFVFFIFLILASSICLSSVSSMAETSP >Solyc07g007745.1.1 pep chromosome:SL3.0:7:2387090:2388296:-1 gene:Solyc07g007745.1 transcript:Solyc07g007745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLFKVFFLLVLFFVENSEAGNCVEWSKTYQWQCFDTNKCREACISEGFTDGWCAYLIRYRRCACTKPCLFNNN >Solyc01g099620.3.1 pep chromosome:SL3.0:1:89679425:89683661:-1 gene:Solyc01g099620.3 transcript:Solyc01g099620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIENTTDSVRGSRVGFSGSLVSGKKSARFKDDESYVEITLDVRDDSVLVQNIKGADHEAALLASKLEKRPNHTLGSQLSFHLKQVSKELKRMTSSNKFQKIDRSKSGAARALRGLQFMNRNVGTEGWSEVESRFDQLAVDGMLAKTLFGQCIGMKESSEFAEELFDALARKRCITSPAVTKDELHEFWEQITDTSFDARLQTFFDMVDKDADGRITEEEVKEIISLSASANKLSKIEDNSDEYAALIMEELDPGNVGYIELYNLETLLLQAPSHSMNLSTNSRVLSQMLSQKLKPTKERNPFKRCKRRLDYFIEDNWKRIWVMVLWLSICAGLFTWKFIQYKRRAVFDVMGYCVSVAKGGAETTKFNMALVLLPVCRNTITWLRSRTKLGKIIPFDDNINFHKVIAFGVAVGVGLHAISHLTCDFPRLLHATDEEYEPMKPFFGDERPNNYWWFVKGTEGWTGVVMVVLMIIAYVLAQPWFRRNRLNLPSTIKKLTGFNAFWYSHHLFVIVYVLFIIHGYFLYLSKKWYKKTTWMYIAVPMILYACERLLRAFRSGYKAVRILKVAVYPGNVMAVHMSKPQGFKYTSGQYIFVNCSDVSSFQWHPFTISSAPGDDYLSVHIRTLGDWTSQLKTLFSKVCEPPTGDQSGLLRADIGKADYKPRLPKLLIDGPYGAPAQDYKKYDVVLLVGLGIGATPLISIVKDVLNNINQQKDIEDGTKGSKKSPFATKRAYFYWVTREQGSFEWFKGVMDEVSENDQEGLIELHNYCTSVYEEGDARSALITMLQSIHQAKSGVDIVSGTRVKTHFARPNWRQVFKRVTINHPDQKIGVFYCGPQGLVGELRHLSQDFSHKTDTKFEFHKENF >Solyc07g061790.3.1 pep chromosome:SL3.0:7:64863821:64872320:-1 gene:Solyc07g061790.3 transcript:Solyc07g061790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSMNLLMMRLCLFLFLVSKLCNGYSTKLNFYPPTCQRIECPNYDLIESGKDYEIRRYDSPMWMSTAPIDDISYVSATRTGFLRLFDYIQGKNKYHETVKMTAPVIIQVKPSDGPFCASSFVVSFYVPKKNQPNPPPAKGLHLQKWGDTYVAVRQFSGFIADDDLPREAAALSASIAGTNWAAAIDKSHAADNTTLYTVAGYNSPFEFKNRVNEIWFTFDLEKALAI >Solyc10g081000.1.1.1 pep chromosome:SL3.0:10:62313828:62314280:1 gene:Solyc10g081000.1 transcript:Solyc10g081000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKSDQAPAENCSDPAPSNSNSGDDPSPPTTAESWEEKPEIEDPDIIRQAKRRKNCPSALDKFDSVNSGSNFGFSFSFDSKFSGCSTPEVTPKFGSFNRVKPGSTKDPKSEETVLEVEDEDEEEKPDVVVEKNSLGLLSSVDGIKTVD >Solyc01g016380.3.1 pep chromosome:SL3.0:1:19401839:19403384:-1 gene:Solyc01g016380.3 transcript:Solyc01g016380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERVGGVQDYNCSVEFVRSTFLVQEWEIPDGNGSTKETLRLDLVERSCDKYKGADVLVFNTGHWWTHEKTSEGYHPLFSTFRRQEGSHVYGELNVVEAFRKAMTTWARWIEANVDPSKTDVFFRGYSVSHFSGGEWYAGGKCDSDTEPLKDEKDLSPSLYPPIMGMLEDVIKWMKSPVYYLNVTIMSDFRKDGHPSIYRKPNMTDEERRTTLRFQDCSHWCLPGVPDTWNELLYAQLLMKHYQKQHKQQQQQIGS >Solyc11g018670.2.1 pep chromosome:SL3.0:11:8893351:8910670:-1 gene:Solyc11g018670.2 transcript:Solyc11g018670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESSSRRKKRKQEESATSSSESFSESDYEVDSSSEDEKRRKRRHKRRREGERSRRSSSRSDKHRERAKRKKKLVKKREHRGSSSSRRSKPEGKRKYSDSSESDTGSGSDCDDFQPERRRDSPGDVVKGILQEFPTVAGDLKQLLQMIDDGQAVDIRGLSEKLLVKRLRKLFLSLNLKETGDHVFLLPSKAFPTLETVGPLIRSQMQLQSQELHQNDEQSKPLDSGVGQEHCNANLSLPNEAAGGHNANLSLSSDSATAYDANLSLPAEEDTGPKKRVIGPAMPSAELLAAAAKLTEAQAELRDVELEEDTELFIGPPPPALVTEVESANEAERFEEVTRIMVADADNSYDVLGANRNMLSDNMKKRYWKLSLMVHPDKCTHPEAHQAFIKLNKAFKDLQDPDKRNVLDEKIKRKEEQEEMKAELQAMREAAQWRRLQGISMEGDDLLLAEMEVKVAPKRDEWMTTLPPERKAGVVSMHSKTSFSKSSKEGRGDTSAWTDTPSDRAQKAKMNYLEAFNEASALASKELQEKSRSSVDAELVKQYNKARRSKSMVEKHQETARSKSKKKSKQESTKEEWQGNHPWKPWDREKDLTAGRQNVKLDAADMSQGLTSRFSSGSFQRNFL >Solyc03g033740.3.1 pep chromosome:SL3.0:3:5359917:5361067:-1 gene:Solyc03g033740.3 transcript:Solyc03g033740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLDNQLKSPSPLLLPAKDAVTPLSESEAIDLFASATERDIYTGDKLEMLY >Solyc07g062610.3.1 pep chromosome:SL3.0:7:65434983:65439873:1 gene:Solyc07g062610.3 transcript:Solyc07g062610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPILRHLTTPFPAFVKLNETPKIQSFFTLSTHPNSRKFSRGTSISVSAMASATKKVLVPIANGTEPIEAIVPIDILRRAGAEVIVASVGNQLQIEVMYGIKIVADVLITDCVDTEFDLISLPGHMTIASVVMILFLDNVFTILQGGVPGAANLGNCKILESIVKKQAENGKLYAAICAAPAIALGSWGLLKGLKGLKVRIATCYPSYMEELSSHAIAVESRVQKDAKVVTSRGPATSIEYAVALVEELYGKEKANEVSGPLLCEQVAGGTAYVQLVMRPNHSEEFAFAELNSVNWTLTSKPRILVPIANGSEEMEATIIIDVLRRANAQVVVASMEDKLEIVASRKVKLVADVLLDEAAKQSYDLIVLPGGLGGAETFAKSEKLVDMLKKQRESSKPYGAMCASPALVLEPHGLLQGKKATAFPALCNKLSDPSEAENRVVVDGNLVTSRGPGTTMEFALAIADKFIGHKETLELAKEMIF >Solyc03g063765.1.1 pep chromosome:SL3.0:3:38219437:38221129:1 gene:Solyc03g063765.1 transcript:Solyc03g063765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENRGKEFPKLVPMTQDILAMQALSVASEGVFSAARFQLGEHRHSLAVDNLEISVLFRDWINAERRNLGREPLPTKFQDDVDEVMQDYSDDDLGLTSIVVCWVQILICKSCLNLSVEVEIPIDGSCSSLNVGG >Solyc03g034370.1.1.1 pep chromosome:SL3.0:3:6228723:6228914:1 gene:Solyc03g034370.1 transcript:Solyc03g034370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIICEFLFVRILTTWSGYSTSFSNFFGIRRVDILLKAWILKISTKTRLLLFQLIATFLRGWL >Solyc10g083480.2.1 pep chromosome:SL3.0:10:63385563:63388194:-1 gene:Solyc10g083480.2 transcript:Solyc10g083480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLPLPKKAMEHLPVEVIGNILSRLGAARDVVIASSTCRKWREAWRNHLHTLTFNSNDWPLYHELTRSRLEIIVTQTIFQTNGLQCLSIMMDDVDEFSAAPVIAWLMYTRETLRELHYNVRTTPNINILEICGRQRLEVLGLAHNTITGVEPSYQKFPCLRSLSLSYVSVSALDLSIFLTACPKVEVLSLISLDIVMSDPHASMELSTNSLKDIYVEAISLDKIILEADSLEKLQLKDCTLEVFELVSKGKLRLLKIDDVSVIHLDIGDSAENLEIVDVSNFTIMWSKFHHMIAKSSKLRRLRLWAVVFDDDDEVVDIETISACFPHLTHLSLSYELREAVIQYGLQGSFQLENVVVLELGWTVISDLFSQWVAGLLERCPNLRKLVIHGVVSETKTHEECQTLAKFTSFIVRLMKKYLKIDVQFEYE >Solyc01g103980.3.1 pep chromosome:SL3.0:1:92348885:92352949:1 gene:Solyc01g103980.3 transcript:Solyc01g103980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLHSIPLRNRRQNPNPIPPSPAMLSDLASDSGDGRQEETASSSSAAVMNSDEEEEEEDMGYKSPDDEVSTTLFSGTEIEDELEGNREPTAEDEDLRELDGASSKSLVVVETENAFLRTEKPPTDDCCPICFGNFVVPCRGPCGHWYCGGCILQYWNYGAALQPCNCPMCSRKLTDLTPEASLYSQQDAEVIEVLKKVRKYNRLFIGGTYGLMLKVLGLPFYIKRVFNEMMNPDRPGAHLNKLRIFATSIYCWESSSFPALLHAGVLLGRQNVIDVFDYSAIALSSVLYLVGLYLRRRRFRHVREMAEADFAAH >Solyc12g049090.2.1 pep chromosome:SL3.0:12:61441822:61456713:1 gene:Solyc12g049090.2 transcript:Solyc12g049090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPEYKESFFPKFDYFKKPLAPEDKYKKEPYVPEVSTEPKPEYKVPSLPMNDYYKKPKIPEDGYKKVSYVPKVPSVPKEEYKAPTLPKNDYYKKPSVTEENYKRVPLVPKVPSVPKEEYKVPSLSKNDYYKKPSVSEESYKKAKSIN >Solyc06g076420.1.1.1 pep chromosome:SL3.0:6:47625181:47626584:-1 gene:Solyc06g076420.1 transcript:Solyc06g076420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITFFALFFLLQSTAIFSFVVADYSIATSQYGGNTPPPVTSQPPPSHGHQPPSAPGQAPPQHSHGHVPPSVGSPPPPSPMISSPNPPPSHGHGPPSKGHAPPGGYHPPSPPSHHGHPPPSTPTPTYSPPPQVQPPYSQPSAPPQSPPSHGHAPPPKRHTPPGRHNPPSHHQPSPPSHHGHPPPKHLQPPPTPIYSPPPQVQPSPPSYSPHPPTYSPPSRSPPSHGHAPPPKGHKPPGGHHPPSPPSHHGHPPPTYSPPLPTYSPPPPPLTYSSPPAPTYSSPPPTHSPSPPPPTYSSPPPAQSSPPPPTYSPPPPPPPSYTPPPPAQSPPPPPSYSPPPPVQSPPPPPSYSPPPPSQSPPPPPAQSPPPPPSQSPPPPPIYSPPPPVQSPPPPPTYSQPPPPSPPPVYSPPPPSSPPPSTYSPPPPTSHPPPPTHSPPPPAYSPPPPPTTYSPPSPPYGLSRSTP >Solyc11g045390.2.1 pep chromosome:SL3.0:11:30898940:30942082:-1 gene:Solyc11g045390.2 transcript:Solyc11g045390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFFSRLRSCSKPICRNLRTSNFQRPHIPSSLTEPVTCSRKGQGTIPSWAASLIPLALAVSAGSLALQSQNYPSLCLNDAPLSDPKSGKNGGKGSTDYVVKGSLRKVPPELIEELKGICQDKMTMDEDERYVHGKPQNSFHKAVNIPDVVVYPRSEEEVSKIVKSCNMHKVPIVPYGGATSIEGHTLSPYGGVCIDMTLMNRVKALHVEDMDVVVEPGIGWMELNEYLESYGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVINLKVVLADGEVMKTASRARKSAAGYDLTRLLIGSEGTLGVITEVTLRLQKLPQSSVVAMCNFPTIKDAADVAIATMHSGIQVSRVELLDEVQIRAINLANDKKLPEIPTLMFEFVGTEAYAREQTQIVQKIASEHYGSDFVFAEDPEEKKELWKIRKEALWACFAMKPKFEAMITDVCVPLSHLAELISRSKQELDASPLTCTVIAHAGDGNFHVVVLFDPTKEEQRREAERLNHFMVHTALSMEGTCTGEHGVGTGKIKYLEKELGIENLRTMKRIKDALDPNNIMNPGKLISPHICF >Solyc02g080265.1.1 pep chromosome:SL3.0:2:45091755:45092283:1 gene:Solyc02g080265.1 transcript:Solyc02g080265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKGASGEGNLKEILAGVSDMLHGSCKMIREELIHELDSWCLQLIILGRQMIKIIFKNSYRNPILRAYSV >Solyc01g104685.1.1 pep chromosome:SL3.0:1:92994027:93002019:-1 gene:Solyc01g104685.1 transcript:Solyc01g104685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKMNSVAAMALVFLILLSANTDIVGVAAQGVNCWDSCNTACVGLPPREYARCDGKCNIRCGPGFTKPTNCRLSKLQACYCGGWRNWYSTLMVPVVIGFPGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDGNLHFVESPALAPPEVQIDLAAQALHEQELQAALNQPLPDDDDEAFE >Solyc01g066290.2.1.1 pep chromosome:SL3.0:1:73726294:73726899:-1 gene:Solyc01g066290.2 transcript:Solyc01g066290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTQPTSLSLYYPKYYHQLSPLIHTKEMAHRKEQLLAKIGQEGFDLIDEFWGKRKERPSPPQRPNNSAPYKYYPQQSHVVKLHPSEAKVYNINSYEAVQMYQGVEYFSSKRKSSTAAVAF >Solyc05g008300.2.1 pep chromosome:SL3.0:5:2673192:2676162:-1 gene:Solyc05g008300.2 transcript:Solyc05g008300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDEDFKLLKIQTCELRVNIHCDGCKQKVKKLLQRIEGVYQVNIDFEHQKVTVYGSVDSDTLIRRLVKARKHAELWSPINTSQTQKQQNPICIKDNNKNKTNQKQQDGPRQMAILRQQAEANKKKAMAAAMANGKGNNNNVAAHGKKTGPSPNMPMKANPGGGGIDQRAIHAMKMNMNGAASAAQLGPNVNLNEAAKIGMVGGNDLNSMMNLAGHQNNAIPGSLSTGGHHHPSSASMLMNMNNGGQQQHDENPSSCSIM >Solyc11g012070.2.1 pep chromosome:SL3.0:11:5009216:5014187:-1 gene:Solyc11g012070.2 transcript:Solyc11g012070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVPLLKPFLVLLITFGFTIFFVRLIEPTNSSPPKNSEAMARSFILWLHGLGDSGPANEPIKGLFTSPQFKNTKWSFPSAPSKPVTCNSLGGIWGDSGTVNEPIKTFFTSPQFNNTKWSFPSAPSNPVICNYGSVMPSWFDIHEIPVSDSSPKDETDLLKAVKNVHAMIDKEIEAGTNPNNVFVCGFSQGGALTLASVLLYPKTLGGGAVFSGWVPFNASILEQQTPEAKKTPILWSHGMADKTVLFEAGEAGPPFLERAGMTCEFKAYPRLAHSINNEELKYLESWIKTRLQSNS >Solyc02g079920.3.1 pep chromosome:SL3.0:2:44867501:44869476:-1 gene:Solyc02g079920.3 transcript:Solyc02g079920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVWFALKKSLQCRSEIKDVYDPRSEGKNLSKISTKKATTGRSGCSRSIANLKDVIHGSKRHMEKPPLQSPRSIGSSELLNPITHEVVLSNSTCELKITSCNFQDGNGNGSSGNNVESISAFMGTLKPGTPGPGGHHIGSSRKYRGFGSPIRKGSPGNLSRKTGPGFGGNVSRPKASYGADSHGLTCHKCGEQFVKWEAVETHHLSKHAVTELVEGDSSRKIVEIICRTSCSKPENSSNGIERILKVHNMQKVLAQFEEYRELVKIKASKLAKKHPRCLADGNELLRFFGTTVECSLGMNSSSSLCTSEKCKVCRILQRGFSIKKEINGGVGVFTASTSGRALEAIEENDENILSCRKALIVCRVIAGRVHRPLENVQELMGQSGFDSLAGKVGLYSNIEELYLLSPKALLPCFVVICKS >Solyc03g111935.1.1 pep chromosome:SL3.0:3:63994860:63997559:1 gene:Solyc03g111935.1 transcript:Solyc03g111935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLLVPLLAFIFFLHQFFFSPSNTQKRLSPPSPTKLPIIGNLHQLGSLPHRSLHKLSQKYGPVMLLHLGSKPVLIASSVDAARDIMKTHDLVWSSRPKSSMADGLFYGSKDVAFSPYGEYWRQIRSVTVLHLLSNRRVQSYRHVREEETSNMIDKIRQQCDSVIDLRDVLSCMTNNIISRANIGRTYNEGESGIAVKNLLEETLILAGTFNIGDYIPWLKWVNKIKGLDTRVKEVAKDLDAFLESVIEERLIGNKKAECSAGEAKDFLGVLLEIQDGKETGFPLQRDSLKAILLAFVAGTDSTYTVLEWTMTELLRHPRVMKKLEDEVRELGQGKTEITEDDLGNMHYLKAVTKESLRLHAPVPLLVARESMEDVKLLDYDIPAKTQVLINAWAIGRDPLLWDHPEEYMPERFLNSDIDVKGLNFELIPFGAGRRGCPGIPFAIMVNELALANLVYKFDFALPKGIKGEDLDMTECNGLAVRRKSPLLVVATPSPWSS >Solyc11g005985.1.1 pep chromosome:SL3.0:11:783400:793253:1 gene:Solyc11g005985.1 transcript:Solyc11g005985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLVPYSSDPSLKVMQWPPFLLASKIPIALDMALQFRSKDADLWKRICADEYMKCAVIECYESFKLVLNALVVGETEKRIIGIIIKEVENSISKNTFLSSFRTGPLQNLCKKFVDLLEILRDGDPSKRNNVVIALQDMLEIVTRDMMVNEIGELVELGHSGRDSGKQLFANTDSRTAIAFPPPVTAQWEEQLRRLYLLLTVKESAVEVPTNLEARRRISFFTNSLFMEMPRAPRVRKMLSFSVMTPYYSEETVYSKGDLEMENEDGVSIIYYLQKIYPDEWNNFMERLGCKKEQEVWEIEENILQLRHWASLRGQTLCRTVRGMMYYRRALKLQAFLDMASEGALHCGYLYTEILEGYKAVTVPSEEDKKSQRSLYAQLEAVADMKFTYVATCQNYGIQKRNGDRHATDILNLMVNNPSLRVAYIDEVEEREGGKTQKVYYSVLVKAVDNLDQEIYRIKLPGAAKIGEGKPENQNHAIVFSRGEALQTIDMNQDNYLEEALKMRNLLEEFNEDHGVRAPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLRVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNITHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTTGFYISSMLVVLTVYAFLYGKLYLALSGLEQSIVKVARSKGDDALKAAMASQSVVQLGLLMALPMVMEIGLERGFRTALGDIIIMNLQLAAVFFTFSLGTKLHYFGRTILHGGAKYRATGRGFVVRHEKFAENYRMYSRSHFTKALEIMICLIAYQIFGVAVTDNIAFILLSGSMWFLVISWLFAPFLFNPSGFEWQKIVDDWEDWGKWISNHGGIGVPATKSWESWWDEEQEHLQCSGLIGRFCEVLLSMRFLLFQYGIVYQLNVSNSDKSIMVYGLSWLVIVFVMVVLKIVSLGRKKFSADFQLMFRLLKLFLFIGFIVTFVMLFKFLSLTVGDIFASLLAFLPTGWALLSIAQACRPVVKGIGMWGSVKALARGYDYLMGLVIFTPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKHK >Solyc10g079320.2.1.1 pep chromosome:SL3.0:10:60998966:61000399:-1 gene:Solyc10g079320.2 transcript:Solyc10g079320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTCNQNQMHSQNGNQFPQEVVVVVVPFPAQGHLNQLLHFSRLISSYNIPVHYITTTTHTRQVNFRAHGFKSTNNIHFHEFPTPIFNSPTPNNNSNPSIKFPSHLQPSSQISTNLRNPVAKILHSLSHNKTRRIVIIHDSLMGSVVQDYRDIPNAEAYTFHSVSAFTIFLYVWESMGRSSSIDVDMIKDLPSNDGCFTPEFTTFVRREHEYSKFNSGKIYNTSRVIEGPFLDLLSKEQINKGKKQWALGPFNPITISGPTQQRHYSLTWLDKQVPKSVIFVSFGTTTSFSYEQINEIAIGLEQSQQKFIWVLRDADKENVFSQDFTKKIEPPKGFEERVKERGIVVRDWAPQLEILAHNSTGGFLSHCGWNSCMESISMGVPLAAWPMHSDQPRNTVLITKILQVGLVVKDWAQRDELVTLNRIEKVVRILMASKEGEEMRKRAKKLSFDVKNAVGENGVTKNEFDAFIAHIARAI >Solyc07g065765.1.1 pep chromosome:SL3.0:7:67539343:67540406:-1 gene:Solyc07g065765.1 transcript:Solyc07g065765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESQDILLNSIASSGVTIPSGVSSVKDLTPPTLFAVSSQALYLIDRQNSSFPASLPENSVADRSKLCSELASAFKSIGFVGDISFHKAVPLSIGRGLVRVDKVLGWEAI >Solyc12g043020.2.1 pep chromosome:SL3.0:12:59554960:59568451:1 gene:Solyc12g043020.2 transcript:Solyc12g043020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHLLSPTIYPATTTTSPLFPKCRTHLRKPPTLTIRASSTETSTPPPQTSTSTDPSQQLNKYSSRITQPKSQGGSQAILYGVGLTDEDMNKPQIGISSVWYEGNTCNMHLLKLAEAVKEGVTEAGMVGFRFNTIGVSDAISMGTRGMCFSLQSRDLIADSIETVMSAQWYDGNIAIPGCDKNMPGTIMAMGRLNRPSIMIYGGTIKPGHFQGHTFDIVSAFQVYGEYVSGAVSDEQRMNVVRNSCPGAGACGGMYTANTMASAIEAMGMSLPYSSSTPAEDSLKLDECRLAGKYLMELLKMDLKPRDIITKKSLRNAMVVVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVIRHLLELGYMDGDCMTVTGKTLAENAKLFPSLAEGQQIIRPLSNPIKETGHIQILYGNLAPEGSVAKITGKEGLYFSGPALVFEGEEAMLAAISEDPLSFKGKVVVIRGEGPKGGPGMAEMLTPTSAIMGAGLGKDVALLTDGRFSGGSHGYVVGHICPEAQEGGPIGLVQNGDIITIDIQKKKMDVQLSDEVLEQRRKNWTPPAYKADRGVLYKYIKNVQSASKGCVTDE >Solyc02g063540.2.1 pep chromosome:SL3.0:2:36175487:36178234:-1 gene:Solyc02g063540.2 transcript:Solyc02g063540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 7 [Source:UniProtKB/TrEMBL;Acc:O81143] MKLLSKKAMCNSHGQDSSYFLGWEEYQKNPYDEIRNPKGIIQMGLAENQLSFDLLESWLTLNPDASAFKRNGHSIFRELSLFQDYHGLPAFKDALVQFMSEIRGNKVSFDSNKLVLTAGATSANETLMFCLADPGHAFLLPTPYYPGFDRDLKWRTGAEIVPIQCTSSNGFRITESALEEAYTEAERRNLRVKGVLVTNPSNPLGTTLTKKELQLLLTFVSTKQIHLISDEIYSGTVFNSPKFVSVMEVLIENNYMYTDVWDRVHIVYSLSKDLGLPGFRVGAIYSNDDRVVSAATKMSSFGLISSQTQYLLSALLSDKKFTKNYVSENQKRLKKRHEMLVGGLKQIGIRCLESNAGLFCWVDMRHLLSSNTFDGEMELWKKIVYEVGLNISAGSSCHCTEPGWFRACFANMSEDTLNIAIQRLKAFVDSRVNNKDDIQNQQQCSNKKKSFSKWVFRLSFNERQRER >Solyc05g025905.1.1 pep chromosome:SL3.0:5:37899995:37903711:1 gene:Solyc05g025905.1 transcript:Solyc05g025905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAALEYFSYVDLPPHYMDSFKSYDPVGGEHFNAFAAGLIQQTREWDDTKEWNPELDVHFKSDGYVNCSLGTLQTGKP >Solyc01g107140.2.1 pep chromosome:SL3.0:1:94647358:94648709:-1 gene:Solyc01g107140.2 transcript:Solyc01g107140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGEWSSLSGTCSTEEANFMAQLFGACPNEQQLPSSGLPNFWTNHESNIGGSSEVSIFSSQHHTNSSIYHFPTSTNHFQPMLLTTSMTMEHLPPTNNLIEADAVEFLNKQVNNDSIESGENIMSESVLHGKSLQLGREYDQMHQPESSKKRSQSPVDHSSFSCCSEDESNVSSYDIYGLASSDNSKGVSLPNGKSRANRGSATDPQSLYARKRRERINERLRILQSLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYSPIAYNGMDIGLDLKIGIPNPKP >Solyc03g026000.3.1 pep chromosome:SL3.0:3:3437621:3439856:-1 gene:Solyc03g026000.3 transcript:Solyc03g026000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKKDFEGKYESSSNSLYWTNERHVHFLNSIEASFVQTLLENNSNAAPILPLDRHLPDSTDSTLDTPKERRRRFSTSDINMSSGSRIDVEKKTRRMSSIISSQDQVVPQYKHGRGDKDADGHPSVPLN >Solyc06g049010.2.1.1 pep chromosome:SL3.0:6:32349354:32351207:1 gene:Solyc06g049010.2 transcript:Solyc06g049010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENGVFFAASYPTSRQSSLFLSRGQNRNVNFPPRKRICVTTASKNFEQRNHPSIEILLDECLFKVFKHLPSGKERSVCACVSKRWLTLLSSIRKDKIPESNGIEGKGSLVRSLVGREATDVRLAAIAVGASNYKGLSKLSIGGDNTCHSVSDGGLKAIARCCPTLRDLSLSNMAFVGNEGLSEIANGCHLLEKLYLFQCPKITDSSLLDIAKNCSHMTSLTIDSCFKIGNEVPKAVGLYCPKLKVIILKNCPLIGDRGIEDLFLSAGHSLTEVELQALHTSDISIKIISQHGTALTSLDFGELRRVVVRDFWVMGIGQCLHKLKALSISACSGVNDLGLHVICKACPNLKLFCVRKSVVLSDNGLVACIKDLVSLQHLRLEECHLITQAGFFGILLNCGKKLKTFSLVKCFGVNDLTNAIPSKAPCCNSLVSLTIRNCLGVGNATIAIISRLCHRLTHIKLIGLLSITDEGIFPLVKNCAANLVAVNLSGCVNITDISVSYIVNKCGKSLKYLLVDGCKNVTDATLVEIWNSCWTLNVLDVSKCGITDSGITTLSSAIQLQLQILSLSGCPLVSDNSLPFLLDLTQNLQGLNIQHCPNISSKTVDALVEINPRCDILS >Solyc08g079820.3.1 pep chromosome:SL3.0:8:63392576:63397405:1 gene:Solyc08g079820.3 transcript:Solyc08g079820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTVPRFGLPFLKPLYEFPFSSSSSNLWPRNCSGSFSVKMSTTPPSQIADIINLPTQLDQPVSVVAAPGVSDTHFRNAIESSLFKQWLKNIQTETGLLANGALSLKQVLIQGVDMFGERLGFLKFKADIIDKETGQKVPGIVFARGPAVAVLILLDYEGETYAVLTEQVRVPVGRLILELPAGMLDDDQGDFAGTAVREVEEETGIHLNVHDMVDLTAFLDASTGGRVFPSPGGCDEEMSLFLYRGNVSKEKIQQLQGKETGLRDHGELIKVHVVPYDKLWRATADAKALTAIALYEMAKRDGLLS >Solyc03g097950.3.1 pep chromosome:SL3.0:3:61767035:61769174:1 gene:Solyc03g097950.3 transcript:Solyc03g097950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSIATPPSSVNFASKLNLSKSSFHGVRIAQVCPVHARSAHSIATTRSSSLMVVNMAKRDDELKEIRTKTTEELQEEIVDLKGELFMLRLQRSARNEFKSSEFLRMRKRIARMLTVKREREMEEGINKRISRKLDRKWKKSIVPRPPPSLKKLREEEAAEEAKESA >Solyc05g026550.3.1 pep chromosome:SL3.0:5:41748738:41751751:-1 gene:Solyc05g026550.3 transcript:Solyc05g026550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLICEVFSVADKMSCSFVPKALPCLPRKIEKPHNRNYSCIKIEASKFGINLKAIQIESNSKSSLAIQIGTLVLSTVCSAEPVLAVTGVNNEEDLVSVLIQLAISAFFYFLVCPPIIMSWLRKRWYKRDLFEMYLQFMFVFIFFPGILLWAPFLNFRKLPRDPSMKYPWSTPQNPSQIKNNYKRYPWATEEDYEVL >Solyc11g019990.2.1.1 pep chromosome:SL3.0:11:9950486:9950512:1 gene:Solyc11g019990.2 transcript:Solyc11g019990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKGLHKMD >Solyc02g032780.1.1.1 pep chromosome:SL3.0:2:29689103:29689324:1 gene:Solyc02g032780.1 transcript:Solyc02g032780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHFSTSSPPACLTDSVRSKNCHSKDKLSSLLLHCSLVASIIATIRCWICLNVPLAGGIALELQSFFFCLDN >Solyc10g018980.2.1 pep chromosome:SL3.0:10:11169133:11169707:1 gene:Solyc10g018980.2 transcript:Solyc10g018980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLRFLNNPQHFFFYWNTRFPFSVEKARIINSDFTYGQFLNILFIRNKIFYLCVGKKNMLFGGEILFHQSSHKYLTYSYLTIFHKVVTKRITCTNLSIFQVDPIHSDIYSIAEVSGTPLTEGKIVNFERTYCQPLSDMNLFDSEGKKLHQYLNFNSNMGLIHTPCSKKDLSSEKRKKWSLCLNKCVEKG >Solyc09g059270.3.1 pep chromosome:SL3.0:9:54391836:54395665:1 gene:Solyc09g059270.3 transcript:Solyc09g059270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKGLQTPARKVYLWVRKQSKKVKTVLGVVTCLTLLITLRLLIHDHNHFFVLAEFVHFIGILCLIYKLSTLKTCSGLSLKSQVLTAIFLAVRVFCSFIMEGDIHTILDFVTLAATLWVIYMMKFKLKSSFMTDLDNMHYLYLIVPCVVAAFLIHPATAHNFFFRILWAFCVYMESISVLPQLRLMQNVQIIEPFTAHYVFALGVARFLGCAHWINQVYDTSGAYLYLAGRGYFWIPMVFLAEIVQTFILADFCYYYIKSVMSGQLLVRLPQPV >Solyc01g065510.2.1.1 pep chromosome:SL3.0:1:71590370:71591311:-1 gene:Solyc01g065510.2 transcript:Solyc01g065510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMLAFSILIITMMITGMQNEGNLVNANETTWAGSKYQIECTMCAACDNPCATPSPPPPQPPSPPPPPSTSYNCPPPPSPPSSGGNYYYSPPPPPPSSSGGGGGGNYYYSPPPPYQNYPSGPTPPPPNPIVPYFPFYFYNPPPPSQSAAIKLTDFSPKSSIFFTVFIALLIFH >Solyc12g077548.1.1 pep chromosome:SL3.0:12:20485569:20487095:-1 gene:Solyc12g077548.1 transcript:Solyc12g077548.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGDFPNPSLDFFLELEKELKELLQANVLGYQDFIWAINRYTYPNHSNIKWALYDEEDTKWEALEKLPTFYHLRKGLLFKSQSA >Solyc10g005090.3.1.1 pep chromosome:SL3.0:10:80521:83064:-1 gene:Solyc10g005090.3 transcript:Solyc10g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNELVCSFMSKITVFAADYQVLANVLKCCAAIADGMLGKALHTCVIKLGHHSCQFMIKALLNMYAKSKHLEDCQKLLHTTKYTDIVMWNILLSGFASSPLHQSEMGRVFNLMQRECDPKPTSVTLAIMVPRSPHLGAGKTLHCYAIKHGLDCQTLVANAFISMYAKSGNILDADATFRGISDKDVVSWNAMIAGLIENKLTDRAFELFRLMLRGSVLPNYATIANIIPTCPSLGGIDGYHLGRQMHCYVLRRVELLSEATVINALLSCYLRVGNFEGAETLFRNMENKDLVSWNSIIAGYAANGQCWKTIDFFREFTKEEMTGPDSVTLMSILPVCPQLNNVLIGKQIHGYVIRHSFLHQDISVVNALISFYAKCGNIKEAYHIFLLTSNKDLISWNTMLDALAENQLHKEFIILLKEMFREGMKADSITLLAVVRYFANISRLDKIKEAHGFSVRYGILLSYTEPTLANALLDAYAKCCNLIYANRIFENLSGSKNVITCNSMISGFVNYGLHEDAHGIFKRMTERDLTTWNLMVRAYAENDCPDQAVSLFTELLHHKMRPDTMSILSLLPVCAQMASSNLLKQCHAYVIRAFLDNDVYLVGALIDVYSKCATLKYAYKLFQSSPVKDLVMFTAMVGGYAMHGMGEEALGIFYHMLEMDFKPDHVIITTVLSACSHAGLVDEGLKIFDSMEKAHQIKPSMEHYACVVDLLARGGRIKDAFSFVTQMPFQADADIWGTLLGACKIHQEVDVGCAAADHLFQVNANDIGNYIVMSNLYAANARWDGVLEIRRSMKMRDLKKPVGCSWIEVERKKSVFAASDYSHQLRGLIYETLRVLDEQIKELYEY >Solyc02g080350.1.1.1 pep chromosome:SL3.0:2:45156040:45157410:1 gene:Solyc02g080350.1 transcript:Solyc02g080350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFHCLLLRFGRNRVNSFHLSHSLATATNILDSNDKIIATRISDCNNLQHLHQIYAQIIRNHFLELYPAQFHWNNIIRSYTRLNSPTNALHVYITMSRTGVRPDTFTLPIVLKAICQVLNYVVARQLHGVAIKLGLETNMYCESGFISLYAKAGEFENARKVFEQNSERKLGSWNAIIAGLSQGGRAKEAIEMFLELRESGLQPDDVTMVSATSACGSLGDLDLASQLHKCVFQAKEMERSDLLMMNSLIDMYGKCGKMDLAYRVFSRMKERNVSSWTSMIVGCAMHGYVRDAVECFHCMREAGVRPNHVTFIGVLSACVHGGMVKEGKYYFNMMKNEYGIAPMLQHYGCMVDLLGRAGLFEEARGTIEGMSMKPNVVIWGCLMGACEKHGHVKMGEWVAKHLQQLEPWNDGVYVVLSNIYASNDMWEEVRRMRAILKERKLAKIPAYSLSTSSY >Solyc10g078710.1.1.1 pep chromosome:SL3.0:10:60568442:60568849:-1 gene:Solyc10g078710.1 transcript:Solyc10g078710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGKAKKQSIREDLVTGEEEKMPARRRGRPQKPKDEIEEEEGIEKVLDDEDDSENTKGSVLNKDIKNQVAEDGKKRKRPSQVMENKDSVKEENGVGTKTISNDLIKSVGFRPNGSRRKNKPRRAAEVGVECR >Solyc04g056470.1.1 pep chromosome:SL3.0:4:54197288:54198367:1 gene:Solyc04g056470.1 transcript:Solyc04g056470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEKPPAFQSFNSFLVFIWAGPLLSSGMCTKVFQFDASSSAPETMVLVTNFRDIYVEERQNDTRLHLNRRVHNGSLVSISRPSHNISEEQIWDKWKMCTEVFLFDASSSAPEAMVFVTNSRDMSMEERQNDTRLHRNRRILNGPIVSLSRPSHNISEEQIGINGK >Solyc06g007930.3.1 pep chromosome:SL3.0:6:1802041:1807694:1 gene:Solyc06g007930.3 transcript:Solyc06g007930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSETKVYTLQEVAPHNNAKDCWLVISGKVYDVTKFLDDHPGGDEVLLAATGKDATDDFEDVGHSSSARAMLDEYYVGDIDSATIPTKKAYTPPNQPHYNQDNTSEFIIKLLQFLVPLIILGVAVGIRFYTKQSS >Solyc09g082350.1.1 pep chromosome:SL3.0:9:68525358:68526305:-1 gene:Solyc09g082350.1 transcript:Solyc09g082350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPYYNSRYIRLVMVVEGNARFEMVGDVLVIPASHPITFVATGGSNLRLVGFGINAQNNKKNFLAGKQNIWRNIDKEAKELSFNMAGREVEEIFQKQDESYFVAGPEEHRWPAAEGER >Solyc06g054180.1.1 pep chromosome:SL3.0:6:37079583:37080593:-1 gene:Solyc06g054180.1 transcript:Solyc06g054180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLSDSPFGVITCKAGNRICSSLTAENPLTSTRFCDLCCSEHGFCGDCCCILCGKLIVLDYDGYSYIRCEATVVDGHICGHVSHLECALRSYMAGTVKGSINLNAEYLCRYCDSRTDLVPHALKLLSICTSVASYADIKKILNLGIRILCHSQKSSAKELLDRIKSINAKLVKGVGIQDALKRENCGNSTVLPVVHKYQKRLVQTKLQYDKKIKLECLGKSMKEELCCMICITTLVCETKEDGVG >Solyc05g008810.3.1 pep chromosome:SL3.0:5:3042785:3043069:-1 gene:Solyc05g008810.3 transcript:Solyc05g008810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPIRKDHDWKLISHLPLRNSRNKSDTNKLLLTASMVEYDLKGYQQVAIEREQSQVMESTEYN >Solyc05g011960.3.1 pep chromosome:SL3.0:5:5178069:5179860:1 gene:Solyc05g011960.3 transcript:Solyc05g011960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWAKFVVNYCGQVMNFVGQQLLISINFVGRLILNLVNFGGKLLNYGAELLSKMLNFAGQLILNLVDVVGKLVLNLVDLAGGLVNFVAEQLPKLWGTADEADRFWCGMAIETGKFWWAIDTEPVAIEAVKYLLATDTESGKFRPGTGKFWCGTGNETRKFWCTSVNVAQNFHLASAIDGYEFWLPSASYAEKIQPASISYAGEVLWANTRELEEEARKVKLEKKRKAESDRLSELEKRKKKRLEEMRETKRKDVESMKLKENIRAKVGKELSMLETTCHDMASVLRGLGISVGGGTIHEVRVAYKKALMKFHPDKSSGCDIRQQVEAEEKFKLISRMKDKYLPNL >Solyc12g010830.2.1 pep chromosome:SL3.0:12:3765675:3770796:-1 gene:Solyc12g010830.2 transcript:Solyc12g010830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKGIAWVGDIYQKFEAMCLEMEDAMYQDTARYVENQVQTVGASVKRFYSDVVLDLHPQFNIDPVKVAAADLSLNPYAHTEISKKLKAQLKGGHPRVINKELIDDTQVIKGKSKSGGVYRRQSVGMKEIVRDNHPPSKKSDALCLVSGNTIKLSSDSKVRGGFEVASDHMTMTSPLASVKGLKSTETGKEVSNHIIKTEVPAAGISINIAASDTSLSVDCVGQNQADLRNTFSVGDLQSDSHDRGTRKELAGDTGLKISSNTGDNNIASKEVNNIAKISSNTDDNNIAGEEIKESCKARSDKSCSPPPDKYDLIESDVEIVERYDEPKLEETCVLVEAEKLHVPQGSVKRKSYKKKLRQVFSMKKKSTRTEYEQLGALYGDQQPNLQPEEKQMQVLSKNSNPKKLSSADDHSESEWELL >Solyc01g006850.1.1.1 pep chromosome:SL3.0:1:1417007:1420300:1 gene:Solyc01g006850.1 transcript:Solyc01g006850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSRMILKNLWKQKLQNSQNNLLNSRFKICSSSSISTKSSKLSKIKKSENPNSKNKNQPQNFTSLFNEIREILGTESVMPYESANLNDTQFVNLSSCHESVRENAKQSTELEDSVSCTELVCENAKLSTELEDSSSCTERVRGNAEMRIELKDSSCACGDVKQSVELEMMNLHEDTRVEDLVKRDVSPIVHKITGILRSECDVIAMEEQLESAGFEYNEDVVEKVLKRCFKVPHLALRFFDWLKTREGFSHTTETYNTMIYMAADCKEFRLVDELVEEMERSSCRKNLKTWSILLSHYGNGKLIGKALSLFEQLKKLGYEPDLRAYTIMLSSLCNAGKADIALEYFNEMIHKGLMLDEATSGQLLKCLANSGNIAAVHKVGDDMIRVCSIPENHVYRLMLKSFCITGRITEALELIRDLKSKNMNLDSEIFTTLVKGLCKAERINDALEIVEILKKRNGADEKVYAVLISAYLRRNEISKALNLFQSMKDSGSLLNVSTYTNLMQRLFRVKEFQEALNLYNEMTEMGVKLDAVAATAVVAGYIIQNRISEMWQVFENMKDKGIVFTRKSYLIFVKELTKVSGTTDIFKVLNEMKASKMCIGNDIFQYVISYLERKGDMKNINRMKLLQGGCKVHNHENGTCDVSSQRERYLESNYENLEQVSSAHDMPEATSKSSIECDVREICQILISSRDWYLIQEQLENCNIQFTPEIVMEVLRNFKLQGRLALQFFSWVEKQSSYRHTTESYNTAIKIAGQGKDFTQMRNLFSDMRRNGCLVTAHTWTIMIMLYGRTGLTDIAVRTFKEMKHSGCKPTESTYKALITSLCQKKGRRIDEAVKIFQEMIQVGYSPDKELIEDYLGCLCELGKLRDARSCTESLLKLGFSTPLAYSLYIRSLCRAWRLEEALALINEVDDEQHVLSQYVYGSLVHGLLQKGNLEEALARIESMKQTGIHPTVHVYTSLIGYFFKVKQVSKALQTFKEMKDSGCQPTIVTYSALIRGYMNVGKVSEARDVFHQMKKNGPYPDFNAYSMFISCLCRIGNSEEALQLISEMLNIGIIPSTVNYRTVFYGLNREGKQDLAKTVLHMKLDVKRRRKFLT >Solyc01g013780.1.1.1 pep chromosome:SL3.0:1:10089123:10089410:-1 gene:Solyc01g013780.1 transcript:Solyc01g013780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQNFHFESLEKLGKSFLRYAISIQSFRTYENYHEGLLNIKKNKIISNVALFKLGCARKILTFIHNEPFYLKVWTIPSDDPQVYKLTKIS >Solyc06g068570.3.1 pep chromosome:SL3.0:6:42616750:42622164:1 gene:Solyc06g068570.3 transcript:Solyc06g068570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIYGIKIVGTNRRLKKEDKVSTYEKEIKEMETQSREEYIGSLRRRSSGFSRGVSRYRGVARHHHNGRWEARIGRVFGNKYLYLGTYGTYASEEEAAIAYDMAAIQYRGPNAVTNFDISRYNNSLGANPNDMNIPMPNTNKEEKTSSSVVDCPETPPEVEKCRRITTFPDDIQTYFDCQDSSSSFVEDSHIIFGDLESFVLPMMFESYE >Solyc03g121350.3.1 pep chromosome:SL3.0:3:70949652:70955974:-1 gene:Solyc03g121350.3 transcript:Solyc03g121350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLSPPASSLPVFLRHFLSATKRLSSHLPLIACTISFSGDSRFPLLEFFGEMNENIGVEDLETVAFEIGVVVPKSLGNKKDGDETDDCVEVLVDEFRKTGLIVDRVIGLQNEFLRLGAPLKTLGQAAAELQLKKRTHIGVDLQFHWDEVEAYERQPDGSLFSWFERYCCYRHIIYGIVNTSTTTVILKSDGNEVHWEPGKPLLRTLESMGIVKEVFPVHDETKRKQLLRSWALNWWDFTVQPIDDICNYYGTKIASYFAFLGMYTRWMLFPAAFGVTVQLVDFGSLQLFVLSAFFISIISWAVLFFQFWKRKNSALLVRWQMNYPAVDNESKYLEGEWYQFQSPVELMKKKGADKTDKPRGKEAFQREEWLGHFMRFRNDAMIILSIICLQLPFELAFAHVYEVLRSDASKFCLTAVYLFIIQYFNKIGGKISVKLVKYENNKNIERRADSWVYKVFGLYFMQSYIGIFYHALLHRNIMTLRQVLIQRLIISEVLENMLENSLPYLKYSLKKYRAVGNKRKREKGTSSEKTQYMSRVEKEYLKPDYSASIGEELEDGLFDDFLELALQFGMIMMFACAFPLGFAFATLNNITEIRTDALKLLAMLRRPIPRPDATIGAWLNIFQFLIVMSICTNCILLVCLYDHEGKWKISPGIAAILIMEHVLLLLKFLFSRIVPEEPAWIKANRMKNATHVHDMCSRQLLRSISGEKMHELKKDE >Solyc10g081180.2.1 pep chromosome:SL3.0:10:62434763:62438092:1 gene:Solyc10g081180.2 transcript:Solyc10g081180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNKAGSILRQAVSKQHINHEISASKPSIFQLIRCMSSKLFVGGISWNTNDNSLQEAFSKYGEVVEARIIYDRESGRSRGFGFVTFNTSEDASAAIQALDGQELDGRRIRVNVANDRTRGYGGGYGGGNFGGGGGYGGGGNYGSGGYGGGGGNYGSGGGNYGASGYGSSGNYGSAGGVDANIASSYGSGESNFGFGTSGDNYASGSTDAAASNSFSFGNDTTSNQAEASDVNSPVDAGENYRDDNDSNDYADRRA >Solyc05g056030.3.1 pep chromosome:SL3.0:5:66260628:66266000:1 gene:Solyc05g056030.3 transcript:Solyc05g056030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:K4C2S8] MESNIISDMRLEPSIDTDKLSYEIFSILESKFLFGYDDQKLWVPKNLPSPVEDGKNDGVLTSTATENIQAIKNQRGKICILSIDGGGMRNILSGKALAYLEQALKVKSGNPEARIADYFDVAVGSGVGGIFTAMLFSTKDQNRPVFQAEDTWKLLEEQGRRIYPSKGSTSAGNGFLRRVLRGGATRSDASGLEKVMKEAFMDKKTGRSLTLKDTLKPVLIPCYDLSSTAPFLFSRADAFESESFDFRLWEVCRATSAEPGLFEPVCMKSVDGKTGCVAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDILVLSLGTGQLLEGSFQYEHVKKWKAKDWAKPMARISGDGAADMVDHSVAMAFGQCRSSNYVRIQANGSSFGRCGVNIDADPSPNNVKKLVGIADEMLKQKNVESLLFGGKKIAEQSNFQKLDWFAGELVQEHQRRSCRIAPTVAFKQHLTNQEK >Solyc07g055950.3.1 pep chromosome:SL3.0:7:63999930:64003090:-1 gene:Solyc07g055950.3 transcript:Solyc07g055950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRSNQSSRILWAAFAALLLQNLVIPVVSFASFEEEKNYYTPDPHTGSPPTGSSTPPYHATPSHGSSSHESKPPANCGNPPKGGQHHDPTPASPSGGYYPHPPSTPTPTPSTPSTPTIVTPPTTPIIDPGTPSTPATPTPSPPFTCDYWRTHPGLIWGLFGWWGNVGSAFGVASAPGLGSNMNLLQALSNQHTDGFGQLYREGTASLLNSMVSKRFSYSTTQVKNNFATALSSDGAAAAQAQLFKLANQGRLKPRA >Solyc04g074730.1.1.1 pep chromosome:SL3.0:4:60752619:60753014:1 gene:Solyc04g074730.1 transcript:Solyc04g074730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSKIIVVAFMFALVAGSAFAQAPGASPVASPKPSPVPVAKPPTPVVTPVSAPSVSPAASSPSDSPVSSPPAPPTVETPASAPTAGGALAPSIGATPSGSPTSSPNAASLNRVAVAGSAVVAIFAASLLF >Solyc02g082200.3.1 pep chromosome:SL3.0:2:46452143:46453720:1 gene:Solyc02g082200.3 transcript:Solyc02g082200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGISLQWQLPSVIRSTTTSAAQRTTDVFLSFPTVHSGRALQSSAIPSSSIATGKRDGRLRSLRCLSALDPNLKSTLDKVVTSQKIVLFMKGTKEFPQCGFSNTVVQILKALNAPFETLNILENEALRQGLKEYSSWPTFPQLYIDGEFFGGCDIVVGRYTN >Solyc10g006050.3.1 pep chromosome:SL3.0:10:785925:791341:1 gene:Solyc10g006050.3 transcript:Solyc10g006050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESDLGKLFVGGISWDTDEDRLKEYFSSYGEVVEAVIMRDRNTGRARGFGFVVFADPVVAERVVKEKHMIDGRTVEAKKAVPRDDQQIINRNNSSIQGSPGGGRTKKIFVGGLASTVTESDFKTYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDRTLFKIFHELNGKMVEVKRAVPKELSPAPNCSPLMGYNYGHNRANNFLNNYAAQGYNLASVGGYGVRMDGRFSPVAGARAGFSQFASPAYGMGVNLDPALSPTFAGASNFSNNLGYGRILNPYFGANSSRYTTPIGYSTSNNRADSFLSSPTRNLWGNIGLNASASSGGPGSFVGSGSGNYGVFGNNNANWGSLISVPGGNSSGYGGGNFRSGENNYGLGSGGLGRNNAATTSSFTTSTGAYEGSYGDQFRGASVYGDRTWQGVSSDADGSGSFEYGFGNPADVSAKESEDYIGNYNIANRQPNRGIAA >Solyc11g066680.2.1 pep chromosome:SL3.0:11:52737927:52743846:1 gene:Solyc11g066680.2 transcript:Solyc11g066680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTNHVEQQHDVVVVVVPLPAQGHLHAAIELSHRISSANIPVYYVSTAAHVRQAQSRAVGWDPLNTTNLNFIDFPVTFEVPPPNPDASIKFPSQLVPAFNSSLQLREPVTDLVNKLASTSRRVVVIHDFLTSWVVQDVPKIPNAECYCLHTVSAFLVFSYIWDAARPPVPPEAEAVLKQLPSLDGCNSPELEEFAKKQIAARVPGVGNIFSTSRVIEGLYIDLMDRMMGASGFKHWALGPFNPVNLEFEKKENRHYSLEWLDKQPASSVIFVSFGSTTSLSEDEIRELAIGLEASQQRFIWVLRDADKGDIFSGEVRKCQLPEGYEKRVSERGLVMRDWAPQLEILGHPSTGGFMSHCGWNSCMESITMGVPIAAWPMHSDQPRNALFITEGLKTGIWVRKWEQRNEVIPAETVEKVVRTLIASPEGAEMKRNATAIKEAVKLSVMEVIVPLPAQGHLHAALELSRRLSSANIPVYYVSTTAHVRQAHSRAAGWDPLNTPNLNFIDFPVTFNAPPTNPDASIKFPSQLVPAFNSSMQLRTPITDLVNKLGSISRRVIVIHDFLTSWVVQDVPKISNTECYCLHTVSAYLMFTYTWEAARPPVPPEAEVVLKQLPSQDGCTSPEVIEFAMKQINVLQDAGNIYSTSRAIDGMYLDLTEKMSVPKDFKNWALGPFNPVDLNIDKKDRHYSVEWLDKQPASSVIFVSFGSTTSLTEDEIRELAIGLEASQQRFIWVLRDADKGDIFSGEVRKYQLPEGYEKRVSERGLVMRDWAPQLEILGHPSTGGFMSHCGWNSCIESISMGVPIAAWPMHSDQPRNALFITEGLKIGISVREWERRKEVVPAETVEKVVRTLMASPEGEEMKRKAMELKEAVKLAVMEGGVTHKEMESFVAHITR >Solyc10g055200.1.1.1 pep chromosome:SL3.0:10:56431129:56431713:1 gene:Solyc10g055200.1 transcript:Solyc10g055200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4D1B3] MAKLNTIILYCSIAIVILSSIPLAHCNIAQGPKAVENWFQKVSHSKPKITKLHFYFHDIVAGKNPTSIPIAQANSTSHSPTAFGTLAILDDRLTTGPENNSTTIGRAQGIVGAASLEEFSLLMSLNFVFTHGKYNGSTLSLLGRNTVLNEYREMPIVGGSGVFRLARGVATAKTHTLSKNGDAIVEYNVVVLHY >Solyc10g078440.1.1.1 pep chromosome:SL3.0:10:60399241:60399666:1 gene:Solyc10g078440.1 transcript:Solyc10g078440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLSVHSKKANKQPKNTKKKPVKVVYISNPMKVNTSAEEFRALVQQLTGQHANYPVADTNIRVADTEKKEFYYSESPPEYDPQVVEADSTIHHQVVPNYVNSTNETTMTDNSDLSFEDYVGEDYVPELLENLPTNVWYD >Solyc02g079630.2.1 pep chromosome:SL3.0:2:44685558:44697454:1 gene:Solyc02g079630.2 transcript:Solyc02g079630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPQDCEHKCLNERSCMAYTNVSGSKCVVWLEDLVDMRYSQIAGNNIFIWMANGKPDESEINQPANSQETLLQGTNVIAYDSNDLAAATNNFSLANKIGHGGFGNVYKGVLANGVEIAVKKQDMTSRQGFSEFKNEVKLIAKLQHRNLTKLLGYCINGAEKFLVYEFMANNSLDKVIFDPARRGAITWPMRFNIIKGIAKGLVYMHHDSRLTIIHRDLKASNVLLDREMTPKISDLGLSRVVEDGVEEKTQHLIGTRGYMPPEYMKHGRYSTKSDVFSFGILTLEIVSGQKNYDYRHPVYDIGLVDYAWRIWSNGNAIELLDPMIEKPGDENEVLGCILVGLLCCQRGPQDRPSMIQVVSLLEENEMSRNFTTLRTREEWEIFLADNSALVENLGWCRSGNSMNDCLSKYSETNVTQNIYTLNSPTYSSILQYAQKNPRWLNSSHPVFIVSPTKESDIKPVILYAKKLGLQMKIKSGGHDYEGISFRSETPFVMLDLSNLDKIEIDLKEGTVWVQAGATLGQLYYAVAKKSKVHAFPGGVCFTVGTGGMISGGGLGALMRKFGLAADNVVDARVMDVNGKTLDRKKMKEDLFWEIRGGGGGGASFGVILAWKLKLVRVPDKTITKIYSKNDKTHHINYLMVCSSEQLSKMMEQGMTTLYGCYAGATDSLFAYQIFTSYNTLVSSNHDFIIGFFGHYSLTPIVCKLYLGLWYRSVNPRAIVWVGNELNPLGCFTELEITNEGFNVQDMMGTKVWIYKTNTTVPLPVLKLLDSGNLVFGDSRNLMAGEYLWQSFDHPTRTLLPGMKLGWDKKSGINRSMRSWRTEADPAPGDYLLRLDLGDSGQLPQLLLEKNQQIQSRWGPWDGEKFSGGYALMDNQAYRPIFHSDTDAVYFTFEAKNDSSLILSLNPDGKLQFWKWNNNSTSFGDEVKTLNMAVCDQYNTCGPYGVCTDGDLPCGCPDGFTAASPAEWNKMNFTQGCRRNTSLNYTDKDVFVKNTELKLPDKATYWGMLYPQDCEHKCLHERSCTAYTSINGTKCVVWLTDLLDMRRSQRAGNDIFVRMANGKPDEPEINQPENSIGALLQGTDVIEYDSSDLAAATNNFSPSNKIGHGGFGDVYKGVLENGIEIAVKKQDVALRQGVEEFENEVKLIANLQHRNLTKLLGYCIHGIEKLLVYEFMANKSLDKVIFDAARRATITWPTRLNIIKGIARGLVYMHHDSRLTVIHRDLKASNVLLDSEMTPKISDFGLAREFEDDVEIKTHRVAGTYGYMSPEYIQAGHYSTKSDVFSFGILALEIVSGQRNSLYRHPTYDIGLVGYAWKLWNEGNAIELLDPLIDKPDDLNEVVGCILVGMLCCQRRSQDRPSMVQVVSLLEENEMLKVNCVPLEPYFYKGTSPSSRSRESINGLTITEITGRS >Solyc04g045480.3.1 pep chromosome:SL3.0:4:33615597:33624889:1 gene:Solyc04g045480.3 transcript:Solyc04g045480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin thioesterase [Source:UniProtKB/TrEMBL;Acc:K4BRH8] MQDQDVDVDVADAAKETFTSSETDDWKKYKDDDIMQQHSAIQAEQAVKVPFLGDKEPLSSLEAEYHLGNSIVLEKIKVLSEQYAAIRRTRGDGNCFFRSFMFGYLEHILESQDHNEVQHIKSNIEECKKTLQSLGYAEFTFEDFFALFLEQLDSVLQGSEDSISHDELLCRSRDPSISDYVVMFFRFVTSGEIRKRSEFFEPFILGLTNTSVEQFCKSAVEPMGEESDHVQITALSDALGVPIRVVYLDRSSCENNSINVNHHDFIPTSREVGNSDVSKTTNRPSITLLYRPGHYDILYPK >Solyc03g051960.3.1 pep chromosome:SL3.0:3:23475216:23477901:1 gene:Solyc03g051960.3 transcript:Solyc03g051960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSSLSSSSVIPKTVLKLSTNWRWCPPNKVYCQTSGTKNGNVSSVVTERSSVSSEKSLGSLVLTSNTEIKVKDLVPYGQPRHDDGIGINMFLRGKAFLITGATGFLGKVLIEKILRTAPDVNKIFILIKAKNKEVAMQRLKNEILNADIFNCLKQVHGKSYQTFMLSKLVPVLGNVCEANLGIDQDTANMMAKEVDIIVNSAANTTFDERYDIALDINTGGPTRLMNFAKQCHNLKLFLQVSTAYVNGQRQGRIMEKPFCIGDSIAKENLLSEVNPNSFTSLNVEDEIKLVLESKQGLENNSVAQKMKEIGLQRANKFGWQDTYVFTKAMGEMMIDSMRGDIPVVIIRPSVIESTYKEPFPGWMEGSRMMDPIILYYGKGQLTGFLVDPNGVLDVPADMVVNATLAAMAKHGTEGKPGSSNVYQVASSAVNPLVFKDLARMLFDHFNRSPYIDSKGRPIHVPKMSLLRSMEDLSSHLWRDAINRSGLTDLTDPNGKLSRKLENICRKSVEQAKYLANIYEPYTFYGGRFDNSNTQRLMESMSKEERWQFGFDVESIDWKDYISNVHIPGLRKHVMKGRGSCT >Solyc06g084530.3.1 pep chromosome:SL3.0:6:49655694:49673768:1 gene:Solyc06g084530.3 transcript:Solyc06g084530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETRRGSSSSKRPLSSPSSPLPNGKRSKAVEALSSTNDTIGQKTQGAVNESGQESAEQEVRSADLSGASVLKSSDASLPLKSPENQVKGEPLVSPITLGHSVINAEKVKLNGSTLNRGKKRQLKSNVGAAWGKLISQCSQNPHVVMHHPTYSVGQGRQCDLWIGDPSVSKSLCNLKHIEQEKGGFITLLEITGKKGDVQVNGKVYPKNSTVPLNDGDEMVFGSSGDHAYIFEKITNDNKSCLPRQVSILEAHSGSVKGLHIEARSGDPSTVAVASTLASLSNFQKESSLLSPSSQNGKDLQQSSELPRLPAADGVSDKHDLDAEMKDASNLSNLPGVSLCEKTGVISPDSGNEKLNLDNGALDSVDAEIGKISGVAQELRPLLRVLAGSSEFDLSGSISKILEDRRGIRELLRDLDPPILTSTRRQAFKDALQQGILDSKSIEVSFENFPYYLSETTKNVLISSTYVHLKCHKFIKYAPDLPTLCPRILLSGPAGSEIYQETLAKALAKYFGVRLLIVDSLLLPGGSIAKDIDSVKESSKPERASVFAKRAAQVAALHLNKKPASSVEADITGGSTVSSHAQPKQEASTASSKNYTFKKGDRVKYVGPLQSGFSPLQAPLRGPTYGYRGKVVLAFEDNESSKIGIRFDRSIPEGNDLGGRCEEDHGFFCAADFLRLDSSNSDDIDKLAIDELFEVASKESKISALVLFVKDIEKSMVGNPEAYAAFKIKLEHLPENVIVIASHTQTDSRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLHDRSKETPKTMKQLTRLFPNKVTIQLPQDEALLSDWKQQLERDIGTLKSQSNIVSIRNVLNRIGIDCPDLETLCIKDQALTSESVEKIIGWALSHHFMHKTESPVEEVKLVISSASISYGVNIFQGIHNETKSLKKSLKDVVTENDFEKRLLADVIPPSDIGVTFCDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEELTPNVDLEAIANMTEGYSGSDLKNLCVTAAHCPIREILEKEKKEKALAVSDSRPVPALHSSVDVRPLNKDDFKYAHEQVCASVSSESANMNELLQWNELYGEGGSRKKKSLSYFM >Solyc04g054260.3.1 pep chromosome:SL3.0:4:52160245:52162384:-1 gene:Solyc04g054260.3 transcript:Solyc04g054260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIMWTTLIVAIAMYIFHKLLNINHNKKLPPGPWGLPILGHLHLIGKNPHQDFYKLAKRYGPFMHIQLGLVPTIVVSSPNTIEKVLKTYDHVFASRPHHEASQHVCYGQRNLIFSKYGPYWRNMRKLTTMNLVSSQKINSYQPSRKEEVSLMVKSIKHVADHNDQQHVVAVDLSAKVSSLNANLSCLMVFGKKFMDDDLDKRGFKSLVQEVVHLAATPNLGDFFPYLGVLDLQGITSRLKTLSKVFDEFLEKIIDEHVESKEQRETKDFVDTMMDIMQSGEAGFEFDRRHVKAVLLDMLMASMDTSATSVEWILTELLRHPHVMKKLQKELEQVVGLDRMVDESDLENLNYLDMVIKEALRLHSAAPLLIHESIEDCVVDGFFVQKGSRIVVNVYAAQRDPNAWPEPDKFLPERFVESSVDLRGHDFQLLPFGSGRRSCPGMQLGIIIVRLVVAQLVHCFDWELPNGMQPSELDMSEQFGVVTCRAKHLMAIPTYRLQL >Solyc02g077410.3.1 pep chromosome:SL3.0:2:42920860:42925357:-1 gene:Solyc02g077410.3 transcript:Solyc02g077410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKFKGKPTGRRQFSTPEEMLAGTSRRPRTFKQEEAEAEDNEKFEEESEEESEEDSDGERRKGTQGIIEIENPNLVKPKNVKARNVDIEKTTELSRREREEIEKQQAHERYMRLQEQGKTDQAKKDLERLALIRQQRADAAKKREEEKAAKEQKKSEARK >Solyc08g066620.3.1 pep chromosome:SL3.0:8:55433719:55441212:1 gene:Solyc08g066620.3 transcript:Solyc08g066620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRGVLKLMNIQSTAVVTSIMSLVPSHPLDLAVGVCRYFLFWHDLLFLNIVPALLTECEVQISWAKNIYFLQNAEGAGLVPFDCWLCLRGTKMMVLRVENNSGLALKRLVDISMDSLLFSRSNWSL >Solyc02g078700.3.1 pep chromosome:SL3.0:2:43938563:43943128:1 gene:Solyc02g078700.3 transcript:Solyc02g078700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLQRSSKWNQERNVSLAEIDLASIEDRMAVNKAVQSGNVEDAIEMVNDLNPEILKTNPQLFFHLQQQRLIELIRNEKFEEALEFAQEELAPRGEENESFLEDLEKTVALLAFEEVSECPVRELVDVSQRLKTASEVNAAILTSQSHEKDPKLSSLLKMLIWVQDQLDEKVYYPRITNLSTASLENPAV >Solyc01g096390.3.1 pep chromosome:SL3.0:1:87351367:87374506:1 gene:Solyc01g096390.3 transcript:Solyc01g096390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSLSSKVSDGIVKRIKFGLATPQEICKSSISDCPITHPSLLLNPFLGLPLEAGRCESCGTAEPGQCEGHFGYIELPIPIYHPDHVSELKKMLSLLCLKCLKMKNRKFQVKNAGVLERMLSSCCEDVSQVSVYEGKTSDGASYLELKVPKNAAKLQEWNFLEKYGYRYGDGYSRPLLPSEVLAILRRIREDTRKKLSAKGYFPQEGYILQYLPVPPNCLSVPDISDGNNIMSSDHSITMLRKVLRQIDIIKSSRSGTPNFEAHEVEANDLQAAVVQYLQFRGTGKASRDVDKRFGIHKEAADTTTKAWLEKMKTLFIRKGSGFSSRSVITGDPYKGVGEIGLPCEIAQKITFEERVSQHNMAYLQKLVDEKLCLTYKDGSSTYSLREGSKGHTFLRPGQIVHRRIMDGDTVFINRPPTTHKHSLQALSVYVHDDHTVKINPLMCGPLSADFDGDCIHLFYPQSLSAKAEVLELFAVGKQLLSSHTGNFNLQLATDSLLSLKLMFSHYFFDKAAAQQLAMFLPMALPDSAVVDVRKSGAMWTTLQILGAALPDGFDSCGETHTIGKSQFLGIDYHRDLISSILNDVITSIYFMKGPNDVLKFFNSLQPLLMENLCTEGFSISLRDFYMTKAVRDGIQERIQCMSKLLHHLRSSYNESVEVQLEHHLRNEKLPVIDFVLKSSGMGVLIDSKSESAFNKVVQQIGFLGLQISDRGKFYTKTLVHDMAQLFQKKYPSVGTNPSEEFGLVRSFDLQ >Solyc10g050775.1.1 pep chromosome:SL3.0:10:50613861:50614500:-1 gene:Solyc10g050775.1 transcript:Solyc10g050775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPLRYFLRIEVKYFAVVRLQYLILTRLDITHDFIQSPNIQHLQGVKRILRYIKGTIHLRLRIISQSPCRLYGYSDADLGGCTTTRRSTIGYSINVGANCIFSTFKKQNTIGRSSTETEHRELASTAA >Solyc02g005183.1.1 pep chromosome:SL3.0:2:7366360:7367068:-1 gene:Solyc02g005183.1 transcript:Solyc02g005183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKKELYKSFAIKYLVHAKRTLDMRITHFRYERKIYLSHKKYTECVLKRFNIKNSKPISTPLAGHMKLTKKMFNTAREEKENMSKVPYTSVVKSLIGVVSRFLDNLGKEHWEAVKWILRGSYVMTVKVAYVYCTTTTEAEYIMDTEADKDMIWLKRFLQNLGLNRMDYIVYCDN >Solyc06g073710.3.1 pep chromosome:SL3.0:6:45611195:45615555:1 gene:Solyc06g073710.3 transcript:Solyc06g073710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYLPNREALYPKLPSLLHILLITGNKIYVTNKKKMTSYLSLFLCLILLFNVLVADAFTGTYGINYGRIADNIPAPESVVTLLRANKIKNVRIYDADHSVLTAFKDSEIQIIIGLGNEFLKDISVNEDRAVEWVKINVQPYLPGTLIRGIAVGNEVLGGGDTELWEVLVPAVKNVYNALEKLDLSHKIEVSSPHSEAVFDNTYPPSAGAFKESILPYMLPLLNFSKQIGSPFYINAYPFLAYKSDPSHIDLNYALFEKSSGIYDAKTKLHYDNMFEAMIDAAYFALEKTGFEKMAVICSETGWASQGDENEAGANVKNARTYNKNLHKLLLKKKGTPHRPKMVMRAYVFALFNENQKRGPTSERNFGLFKADGSIAYKIGFRGLVPSSASKDFVLRGGFWSSQWFIALASAVVLTQFLDL >Solyc03g044220.1.1.1 pep chromosome:SL3.0:3:8504215:8505864:1 gene:Solyc03g044220.1 transcript:Solyc03g044220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSAHGFSPTNSNYPHHRCSGTDHSQSEFFYPTQSDEGHFPISQPCENRDYTDGLPDECLALIFQSLSSGDRKRSSLVCRRWLLVEGISRHRLSLNAKSEIIPDIPTIFSRFDSVTKLALRCDRRSVSINDEALILISQRCVNLTRLKLRGCRDITDLGMACLAKNCEKLKKFSCGSCMFGAEGMNALLINSISLEELSVKRLRCMNHTISTEPISIGSNAACLLKSICLKELYNGQCFGPLIMGSKNLKTLKLLRCLGDWDRVLETIASRENHLVEIHLERVQVSDIGLGAISKFSDLEILHLVKAPECTDAGVVDVARRCKLLRKVHIDGWRTNRIGDVGLSAIGENSINLKELVIMGLNPTVTSLLAIASNCQKLERLALCGSESIGDAEVTCIATKCMALKKLCIKGCEVTDEGIVSFAWGCPNLVKIKVKKCKNVTGEVADLLRARRGSLTVNLDVGEVDVEVVDGSASDGGALEEGIEFPAIARIVPILGAGGVVDIDTPSTSNFGRSSASNTRIGFLGGRGFVACTFRRWSNGNANENL >Solyc04g074620.1.1.1 pep chromosome:SL3.0:4:60649909:60650256:-1 gene:Solyc04g074620.1 transcript:Solyc04g074620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPGLHLLARPQGGNHSLRGVNAMTEGISDKPPYASTITAPATNHTHPSTTILQGREKVQARHSAHNGMPAVIFKASEYYGVIADECRYTIVCRFYKTRPELTNQVPILRKNSN >Solyc03g115280.1.1 pep chromosome:SL3.0:3:66550226:66551078:1 gene:Solyc03g115280.1 transcript:Solyc03g115280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEGQDLEKFSVFHRFAKFHGRGQSIGVESIFNRCCCTSHKPFLQRYGTALPMPQSPSDRAGNGLASSQALKFTWYECEEIFDRFD >Solyc03g095780.2.1.1 pep chromosome:SL3.0:3:58463917:58464447:1 gene:Solyc03g095780.2 transcript:Solyc03g095780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSVQLQRINPTTTTTLAGNFHKPPQPTCIIPVQFSVPNNHLHYHTHAVSPNQCCSAVVQTISAPIHAVWSLVRRFDNPQAYKHFLKSCHVIVGDGNVGTLREVQVISGLPAASSTERLEILDDKEHVISFSVVGGDHRLNNYRSVTTLHPADDENGTVVVESYVVDIPTGNTKEE >Solyc05g054180.3.1 pep chromosome:SL3.0:5:64984918:64990635:1 gene:Solyc05g054180.3 transcript:Solyc05g054180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPRQQPRPGGLQTSLSLVVSPDACGSPNPQERGSTSDQARDSPSESASSRETWPTSDALMAKKLEKEKENGYAEHSVVRNMPNSDKISLRDIARERVDVIADRMRNLPDEYLEKFKYELRVILEGSGGAQHRNEFQFLQRLVNCRGELTEGILCLTHRTQLEILVAIKTGIQAFLHPSVSLSQASLIDIFLYKRCRNIACGSVLPAEECSCEICSKKNGFCNLCMCAICNKFDFEVNTCRWIGCDLCSHWTHTDCAISNGQIGTGPSVKVGVSSAETLFRCHACSRTSELLGWVKDVFQHCAPTWDAEAFVRELDFVRRIFQRSEDARGRKLYWKCEELVEKLKGGVADPMACKVILSFFQDLDDPSKNQGNDEGARPIAPLEAFGKIADVVQEAIRKMEAVAEEKMRLVKKARLSLEACDQELKDKAREVSMLKMERQKKKQQIDDIESIVRLKQAEAEMFDKKAAEARREAERLQQITLTKTNKSEEDYTNRYLKQRLCEAEAEKQYIIEKMKLQESSRAAQSSAGGTDPSQIMYSKIQDLIKNM >Solyc09g042710.3.1 pep chromosome:SL3.0:9:25249464:25252759:-1 gene:Solyc09g042710.3 transcript:Solyc09g042710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATEMDSVILVEKKENACVKAEIDTSAPFESVKEAATRFGGIGFWKPTLHKSFGDSSQVAEEKVDIAKVEEQAVQLEKDLIVKERETLEVLKELESTKVLIEELKSKLQKEAYEGNALLGADAEAKDVHLAHESADKENSEKVLSNNQDKNSGLDLCPSSAPGLILLELKQAKLNLTRTTSDLADIRTIVESYNKKIEKERILLEKTRQRLSSSSTQISSLEEELNKTKEKLKLVNHAEGGGPCDPVDLTRELQRLTAETKQFKKVGEAAKSEVLRAMPEIEHTKTRIKIAEIRLVAAKKMKAAARAAEAVALAEIKAISSSENSFVTLRREPEEGVTLTFEEYSSLLSKAQDAEEASKQREVDAMMLVDEAVVSKTEILKKVEEATEEVKVSKKALEEALSRVEAANEGKLAVEEALRKWRSENGKRRRSVQNSSKFKNPSSSQHRKDSVLVDVNGLTLVNDELKPVLKTTLSIGQILNKKLLLTEEFENGFRAGKKAGKRTVSLAQMLGKPTGELQSSRKDEKENGLKHHLPKKRKKFGFAKISHLVTQHSKKKKQHCQHNASLRCTSAE >Solyc01g014538.1.1 pep chromosome:SL3.0:1:14144238:14144537:1 gene:Solyc01g014538.1 transcript:Solyc01g014538.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDDEPTLHVKKKGNAFIIVCLYVDDIIYSTSSISLVDEFKSQMMNEFQMSDMGEYAKDILIKFSLLNCKPSATPL >Solyc09g075930.2.1 pep chromosome:SL3.0:9:67976558:67987307:-1 gene:Solyc09g075930.2 transcript:Solyc09g075930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKVLHIFIAFFFLFFSINSQSHPLDPLNPDEINKIRVIIQKSHLSNVTFHDVDLDEPEKNDVLNWLSFHKSNNASFPYRRARVVVRANGESYELTLDLAASSIISQKLYSGHGFPRFTLNELRQAISLPLSSPEFQDSISRRGLNISEVSCIPLSVGWFGQAKTRRVLNVPCLYRGGTTNIWTRPIEGITILVDIESMKIIQYLDRFRAPLPEARDADFNSSSQGSVTCNETGSSRINIQGHEVSWANWKVHVGFNTRAGMIISTASIFDAVRNEYRRVLYRGHASEIFVPYMDPTFEWYYRTFFDIGEYGFGGSASTLVPSLDCPNNAVYMDGYMADSESQVVQIPRAICIFERYAGDAAWRHTENGNTKGQKEVNLVIRMVATVGNYDYILDWEFKQSGSIKVGASLTGIMAMKAVKFTNKDQINQDVYGTLVAENIVAVNHDHFLTYRIDLDVDGSKNSFLKAKLKTTRVKDQKTSPRKSYWSVVKETMKTESEGRTQIGTEAADLLFVNTVKKSKLGNEVGYKLIPSRPSISLLTDDDYPQIRAAYTKYQLWVTPYNKSERWAAGFYADRSHGDDGLTVWSGRNRSIEKEDIVLWYTLGFHHAPCQEDYPIMPTINDAFELRPTNFFERNPFHPLDPLNPDEINKIRVIIQKSHLSNVTFHDVDLDEPEKNDVLHWLSNHKLHNASFPYRRARVVVRANGESYELTLDLAASSIISQKLFSGHGFPPFTSNEAIQSSILPLSNPKFQESISRRGLNISEVSCIPLSVGWFGQDKTIRVLSLPCFYSGGTTNIWARPIEGITILVDVESMKIIEYLDRFRTPLPEAKDADFNSSSQGSVTCNETGSSRIKIEGHEVSWANWKLHVGFNTRAGMIISTASIFDAVRSEYRRVLYRGHPSEIFVPYMDPTFEWYYRTFMDAGEYGFGRAASTLVKSLDCPNNAVSMDGYMADSESQVVQVPRAICIFERYAGNAAWRHTENENTKGQKEVNLVIRMVATVGNYDYILDWEFKQSGSIKVGASLTGIMEMKAVKYTNNEQINQDVYGTLVAENTIAVNHDHFLTYRIDLDVDGSKNSFLKAKLKTTRVKDQKMSPRKSYWSVVKETMKTESEGRTQLGIEAAELLFVNTEKKSKIGNEVGYKLIPSRPTMSLLTDDDYPQIRAAYTKYQLWVTPYNKSERWAAGFYADTSHGDDGLTVWSGRNRSIEKEDIVLWYTLGFHHVPCQEDYPIMPTLYDAFELRPTNFFERNPLLD >Solyc12g088870.1.1.1 pep chromosome:SL3.0:12:65056165:65056317:-1 gene:Solyc12g088870.1 transcript:Solyc12g088870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSMDTYFLFTFFLISLFPPLDLPLTPLMASHFPRKIMTFFINNIIKY >Solyc12g005390.2.1 pep chromosome:SL3.0:12:231757:235838:1 gene:Solyc12g005390.2 transcript:Solyc12g005390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDHRTRQQSNGKLSWLCPFTKSIFPAKRRLRLDPSNNLYFPYEPGKQAKSAIKIKNTSKSFVAFKFQTTAPKSCYMRPPGGILVPGESLIATVFKFIEQPENNEKPIDQKTKVKFKIISLKVKEGTDYVPELFDEQKDKVCIERTLKVVFLDPERPSPALDKLKRQLAQAEAATEAEKKPPVDTGPKVVGEGLIVDEWKERRERYLARQQVEAVDSVKQ >Solyc03g098660.3.1 pep chromosome:SL3.0:3:62394292:62396356:1 gene:Solyc03g098660.3 transcript:Solyc03g098660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKHHILQEDHQNSSGSSLAMSSSFGTTTPERHSSFSQESESAVASTLHSPSSSDYSPTITPSHDDSAVIIQKRKLGKKAKSYAYRIREHVKLGSKFSETVKGKLTIVKEGGRRNIFKHMFHVNDGEILLKASQCYLYTTAGPIAGILFISTHKIAFCSERPISVPFPSGGILRTPYKVVIPVTKIKRALPSVNENKPSQKYIEIVTEDDFEFWFMGFVRYEKAFLNLQRAISMSN >Solyc01g086660.2.1.1 pep chromosome:SL3.0:1:81505692:81506158:-1 gene:Solyc01g086660.2 transcript:Solyc01g086660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLQEFVMMKTSQNHQLKTPKRNHHQKLQFLFLTSLSTHKFRVCSINNFLHYQVIQIISTKLVNFTKNIEIQVAVIAS >Solyc04g051372.1.1 pep chromosome:SL3.0:4:50387286:50387634:-1 gene:Solyc04g051372.1 transcript:Solyc04g051372.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISQRRNSEELVMLEVEEVTGRQLVVLRLILGLVRSLSFPPDTHTNFKQNKRNTILVASHEYASMSLSRAGLSLLS >Solyc09g059280.2.1 pep chromosome:SL3.0:9:54396897:54397306:1 gene:Solyc09g059280.2 transcript:Solyc09g059280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTKQTARISTGGKAPRKQLATKAARKLSPATEGVNKPHRFFPGTEASISEACREIAQDFKTDLRFQISVVAALQETAEAYFVGLFEDTNLCAIHAKRVTIMPKDIHLARRIRGERF >Solyc01g020370.1.1 pep chromosome:SL3.0:1:29348742:29359631:-1 gene:Solyc01g020370.1 transcript:Solyc01g020370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSRYWVIIVDQDDGIHRWTSRSKWFYRNKCLAMVFVVKMRQFPVQDGYCWVSTIMGFLVLVSCIYRHCQGSEYFLSWRGCVLSVCIEMLMFFGDPTDEISMVMTILDKSSTLTVPFFLCFAVVGSFKIREVFFSWSNLVCLSEVDIFMQCRTHLFHPTALYKEPFLLGMGRAGTSSSFLPPNVLFDSEVRPKGFLRHPRTSWLGCTNSFMVLPEVMMVKEARKYFFSKYGLNGSSIQEEALDEASRNFPDARTGKDQDSMCVAMIPVQKSLYQGLCYSLFVQVLCCSRFGESTFLILSILLVVEVSIELWSLKMQLLHGMECMLNCKIELIL >Solyc01g059800.1.1.1 pep chromosome:SL3.0:1:68415224:68415382:1 gene:Solyc01g059800.1 transcript:Solyc01g059800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLWSWPDVILAKALLLPRNLPLTRVDGCNVEHKDDRFDQSLSGPFQLLQVC >Solyc02g030355.1.1 pep chromosome:SL3.0:2:26017215:26025689:-1 gene:Solyc02g030355.1 transcript:Solyc02g030355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLTEVSPCLLDEVSASVAVDWLLPLKILALSAGMIELFQDMIYEIRSALRVERSDNQPKRNQSKLMSSIGSVAPCLTSSNESCLPASGKEAVGCKWKSTWKLNKSLYGLIQASRPWYCKLANMMLSSQELTQEKLCSLRITNMAGYTIFWVWKFYTEWMESLSHKGNTATRPDSRRSISGYLVFLGNRPIIWKSLKQETISISSAEAEY >Solyc09g010790.1.1 pep chromosome:SL3.0:9:4082583:4085473:1 gene:Solyc09g010790.1 transcript:Solyc09g010790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKHQDPSISPESPPGCMWILHRKRLPRKRKGGGKRVAVVEDLEDNATATESSPLVATLCTYMRAKLTMSSTVSFQIVSKIKEPNQVSKSSMLSRIRSLITQEETSKKRKGRHRRSSSCPIQLERTNSIQHLDLADLQSSHDKTLEHTNYKEMYSVASLLDPPTKKIRDRAVQEPMEVENMRNDSSKLMLGFIKSISFPSRRSRGRKAVRSRKCRHHAKGEDDQSQVGSEVSGSGGFNSNSVTSTDLSSDDDVGKNVLIRRAESFDNASACSPRAEKKDRESSKLILNRFKNLKQKIRYALEESRKERHRIFMDAVLHKVPHGHRSSKDIEKGSTFAHCNSPFMRSEKMTSFRRTSSVNESLHKYNGFLDSSIYREEKHHISDRSSFRTSRSPSPGRRSPIGLDRILSMPDLTYYNSFKCEDSPERGSSYTLDRATSSSNLYVGICKSNEQKSLDIPLGSENHTEKGYNSDSKSTIFLDVSERFDDFGGLKTRENSSPVENIIEGTSSVVSNVDKPIPVPLPDMIIQNATSGANELSAAKGAEEDVVHTDKRGLPSSDLNRILQIQVDKRYECEFNYVKDVLELSGFSGDKIIGKWHSADKPVNPSLFDEVEGYCLLDQEGVTCDQLLLFDLINEVLLQIYERSCSYWPKSLTCHTHIHTMPIGYHVLGEVWKDVTLCFESEMKNDQPIDDVVSRDLAGGETWMNLQFDAVCGGLELEDLILNDLLEELVFT >Solyc10g080960.1.1.1 pep chromosome:SL3.0:10:62266172:62266972:1 gene:Solyc10g080960.1 transcript:Solyc10g080960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEDSNWFAKWEEELPSPKELMPLSQTLITPNLAIAFDIQNPNTPNPKITPLVHTLSSAEFDSNSAELGGMAGSSGVGDEPARTLKRPRLVWTPQLHKRFVDAVAQLGIKNAVPKTIMQLMSVDGLTRENVASHLQKYRLYLKRMQGLSHSGSVSGAGVDPATDHLFASSPVPAHFLHPGRGNSDHYMPFVPMSAVVGHAPQFQQQYRHFGSPTNGQFEVPFLPRQSQQPAQRMGTSVHSSSPALESATTANGRKVLTLFPNRDD >Solyc01g097480.1.1.1 pep chromosome:SL3.0:1:88195363:88195776:1 gene:Solyc01g097480.1 transcript:Solyc01g097480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWIRNFLMVMGKKEERKKKEYKSIESVGTPTTPKAKRRWSFKKSSSMERNSHKSNRSFDLTFDHQLNTQGSMLELEILEKLHKASLTAKGAIEPKAYTTRRVKDAAATKIQAVFRSYLVTIQTTSVFLFILN >Solyc10g008560.3.1 pep chromosome:SL3.0:10:2654854:2663644:-1 gene:Solyc10g008560.3 transcript:Solyc10g008560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKPPPNSSSSSSQTLTATDAKLLVRETLRISADLASSPYVTSVGSVPENASFGVVDREFVDSSLRLLCCEEIDGRRWKYFADESVGSEQLNLKKKKKNAIRAVSLHSPQAPAEEFMSFIRSYVVPEGFPDSVTPSYVPYMTWRALKHFFGGAMGVFTTQTLLNSVGVSKHRTTPGAVAINWILKDGAGRVGKMLYARQGKKFDYDLKQLRFAGDLLMELGAGVELVTAVVPQFFLPLACAANVAKNVGAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNLADLLGTGLSILISKRNPSLVKTFAFLSFGYVFSSYREVKSVVLHTLNRARFTVAVESFLRTGRVPTLQDGNSREDIFNFPWRQHRPIVLGPRFKEAFQDPNSYLSVKPIFEKEHYIVTYNPSKENIYVLLKDQAKSDDVLKAAFHGHVLLHIIRSCTNKQSSSRKQHEDEHSASLLSTADLQAYVAESYKMVSALYIPFKSKAKEQGWVMSESLLNPGRARLCEMTTHDT >Solyc11g021180.1.1.1 pep chromosome:SL3.0:11:13401449:13401652:1 gene:Solyc11g021180.1 transcript:Solyc11g021180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFLLGNLVSLCMKIINSVVVVGLYYRFLNTFSIGPSYLFLFRALVMEEGTEKKVSATTGFITG >Solyc02g070530.3.1 pep chromosome:SL3.0:2:40828609:40832408:-1 gene:Solyc02g070530.3 transcript:Solyc02g070530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSYAKNCLQRFCVEEFQMDTETTHSGFFSSDLLPSLGARINYATKLRRFIISPYNPRYRCWEMFLVVMVIYTAWISLFEVAFLSYKKDDTLFIVDNIVDCFFAIDILLTFFVAYLHPESYLLVDEPKKIAIRYLSTWFIFDVCSTVPFQSLILVFTDHKESGGVGFRLLSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCINYMIADRYPDPKKTWIGAVYPDFKQLSVGDRYITSLYWSIVTLTTTGYGDLHAENSREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRNFRETVKAAQEFAKRNQLPPRVQDQVLSHMCLKFKTETLKQEETLNGLPKAIRTSIAHHLFFPIVQNVHLFQGVSRNLLFQLVPEMEAEYFPPKQDVILQNEAPTDLYIIVSGAVEFIAQIEGLEQTIGKAVAGEIFGEIGVLCGRPQPFAVRTTEISQILRLNRTSLMNILRANPEDERIIMNNLLMKLQGFGGFGYVDHQSNAGPEIKRHDDITLTSIDINNLEARVKKQEKDDGQEVNKTMNDLSINLENKSELSEHNVELIGPDEGTKSCQLKPEVPFCSNSCLKRPTCSTSSSGSQGTKSTHHKKRITIHMKKEPLHHQFGKLIILPDSLQELFRVAGQRFGGCDFQRAVNAEDAEIDDIDVVRDGDHLFFL >Solyc01g006410.3.1 pep chromosome:SL3.0:1:1024549:1025244:-1 gene:Solyc01g006410.3 transcript:Solyc01g006410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITKMLMMLVVIATLFCNHHQVIVAKKVALVDEGSESLSSFPFDLPQPRPQPRPQPFSPPPPPRRSPSPPPPRSPPPPPPRLPPPPAPTMTCSASDQQQVKTCMYETTSIDACPIFKKILGTSCPCYKYANDLDNQILITLEAYCDVSTPCKGVQVIKLFNDEQR >Solyc06g069270.1.1 pep chromosome:SL3.0:6:43154194:43154556:-1 gene:Solyc06g069270.1 transcript:Solyc06g069270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVRKIVVVVEDVEIARRALEWTLENLLRYGDIITLLHIFCMTRSKSKKKLRLLRLQDEDRDCGDTRGSRWWKDWCYG >Solyc03g098490.1.1.1 pep chromosome:SL3.0:3:62205107:62206120:-1 gene:Solyc03g098490.1 transcript:Solyc03g098490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIANRLSEEKGKLIKTTILTMELLLLSTGFISTFFMIKKAVSPRYLFNMFFLNLSEILKYLKCFLSSPLYICLFINSVVILIFTFSKFHHPTMEFLNVFLDEKSDHDDILIDQPQPQQDDNIPKRTSSVIAMDTVMSFFNRYDLDPVVSEEDIIEDAMEPCSVDVKDIEIDRVTKLSSPPPDDGEIVSPRSLNETKTDYEETMMNYQEIEEDDGSLNATWKAITGEGNNKKKKKQVLKKSETWPIEPQVTSAQSIGSKDLLPSVAAAWKDLRKSLTFNDALSVFRRGGLRGDVSVSTEESNKRFDDFIKKINHERLLQRQESNQRAFNRLHRAR >Solyc11g067160.2.1 pep chromosome:SL3.0:11:53188917:53192730:1 gene:Solyc11g067160.2 transcript:Solyc11g067160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTAVSTQQAFFNLQKFTPLSYASSCRSIRSKRKCVIPIKCCSPSPASEVATAAKENRRELLKNGDDKLEICRVLNGMWQTSGGWGRIDRNDAVEAMLNYADAGLSTFDMADHYGPAEDLYGIFINRVRRERPPELLETVRGLTKWVPPPVKMTRSFVSESIDVSRRRMDVAALDMLQFHWWDYSNPGYLDALKHLTDLKGEGKIKTIALTNFDTERLRIILENGIPVVSNQVQHSIVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLTIPFAGPALNTPSLQKYKRMVDAWGGWSLFQELLRTLKTVANKHGVSIPTVAVRFILDQPAVAGSMIGVRLGLSQHIKDANAVFSLVLDEEDISNILQVTNKGRDLQKVIGDCGDEYRRA >Solyc06g069140.2.1 pep chromosome:SL3.0:6:43033579:43036957:-1 gene:Solyc06g069140.2 transcript:Solyc06g069140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRPYKGKKEKKEDNYDKEEIEESLYDDSTGSAKRVKTQHTASDTEAAERVVDLLAGIPVVLPIKALNLEIGKMNTPNFLRKNNQNPSEYRPDIAHQWSHDKSCHWDERNSNVTISDSRVNKAGRLKALYVKTEKVVLFEVKPHVRAMVHGKIEKDFEDYLSISDYSMSAAYCISMITNAVEHKWKIL >Solyc07g005130.3.1 pep chromosome:SL3.0:7:145540:151227:1 gene:Solyc07g005130.3 transcript:Solyc07g005130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVTAGVAAKFAFFPPEPPTYEVFKDEDGRVCFSGITADKNVNVHLLDTKGGNKIVATFWKHPSGRFTLLYSHGNAADLGQMIDLFVELRAHLRVNIMCYDYTGYGGSSGKPSEFNTYHDIEAVYNCLKSEYSIKQEDIILYGQSVGSGPTLHLASRLQRLRAIVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIQKVSCPVLVIHGTSDEVVDFSHGKRLWELAKEKYDPLWVEGGGHCNLETFPEYIKHMRKFVNAMEKHSFSKRNKGRLSQSPSIAESKHNRCLRFGKRQK >Solyc02g071555.1.1 pep chromosome:SL3.0:2:41530422:41532277:-1 gene:Solyc02g071555.1 transcript:Solyc02g071555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIHPTLFTVISEIQFTPDLDHIIPNEASPLPSLGRTTRSIHPPSYLKDYNCTLPKLNSSISTPNSQHSLTSIISDHNHFKIKDLGRLHYFLGLEILYRQNDALISQRRFTTNLLKEFDVMDCKVTNSPLDSTEKLTAADGKLLSDPTHYMNLIGNLNFLTNTRINISFSVQHLSQFMQSPRDTHLRSAYHVLRRSISGYLVLMGDCPISWKSKKQVTVSLSSAEAEYMAVR >Solyc08g076640.1.1.1 pep chromosome:SL3.0:8:60691436:60692749:1 gene:Solyc08g076640.1 transcript:Solyc08g076640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKYYIFLFNFLLITSTTLSKSNFQPKTLFLLVKKDPSTLQYITQIHQRTPLVPLKLALNLGGESLWVDCQNGHKSSTYKPARCESAQCDLAWSTSCGNCYENDTFLPICNSCYNVVSNPVTSTIGEIADDVLTIQSINGSIPGPAAIVPNFIFSCPPTTSNLTQNLGKNVKGMVGFGQQSPVSFATQFASIFKFSRQFAICLSSSTKRNGVIFIGHSPYFISLAFDASRDLIYTPIITQQRFVTITYPHYISVIRPSPEYYIQVNSVRINGKTLPLNKTLLSLDENEEGGTRISTNVPYTELEPSIYDIVSKAFINEMPKEVTKVPSVQPFKTCFDSTYIGVSRLGYDAPEINIVFQKQSVYWTIIGSNSLVKVKEGVICLAFVERKEARGQAIVVGGYQMQDNLIEFDLSRRRIGFSNSLFYRQTMCANHNYA >Solyc11g012140.2.1 pep chromosome:SL3.0:11:5060250:5077185:-1 gene:Solyc11g012140.2 transcript:Solyc11g012140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVCEGKDFSFPNQEEKILQWWEEVKAFENQLEKTKNQPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQSMTGHHVTRRFGWDCHGLPVEHEIDEKLQIKTKQQVIEMGIDKYNEECRAIVTRYVGEWEKTVVRMGRWIDFQNGYKTMDLKYMESLWWVFAKLHEKGLVYRGFKVMPYSTGLKTPLSNFEANSNYKEVSDPEIMVSFPIVDDPEGASFVAWTTTPWTLPSNLALCVNANFVYVKVRNKFNGKIYVVAESRLAELPVEKAKKVAPNGPAADTQIPNSKTKPSGGKSQNVETYEVLDKFPGSSLVGKKYIPLFDYFKDFSDSAFRVVADDYVTSDSGTGIVHCAPAFGEDDYRVCIANNIINKGETLVVAVDDNGRFTDRITDFREKYVKDADNDITQAVKDKGWLVKSGKFMHSYPFCWRSDTPLIYRAVPSWFIMVEKIKDQLLENNKQTYWVPDFVKEKRFHNWLENARDWAVSRSRFWGTPLPVWASEDGVETIVMDSIDKLEKLSGAKVTDLHRHYIDHITIPSRRGAEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVELFENNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKRLKNYPQPSEVINDYGADALRLYLINSPVVRAEPLRFKKEGVFAVVKDVFLPWYNAYRFLVQNAKRLEIDGFGPFIPSDQKTLQSSSNVLDQWINSATQSLVHFVRKEMDAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEGDCRTALSTLYYVLLTACKAMAPLTPFFTEVLYQNLRKVSKGSEESIHYCSYPTVEGQRWERIEQSVNRMMTLIDLARNIRERHNKPLKTPLREMVVVHPDSEFLDDIAGKLREYVLEELNIKSLVPCNDTLKYASLRAEPDFSVLGRRLGKSMGVVAKEVKAMSTADIIAFEKAGELTIASHALKLTDIKIVRGFKRPDNRKEDEMDAAGDGDVLVILDLRTDDSLFEAGVAREVVNRIQKLRKKAALEPTDMVEVFFKSLDNDEKVSKQILESQESYIKDAIGSPLLPAELIPSHAITIDEDSFHGISNLSFVITLARPSLVFNADAITALYGGNTQYSQGLRTYLLMRDHHNLKSEFQQGKGKITVKCIENQPPVEVILGKHVFLSVGDHFLNTKSQ >Solyc05g042050.3.1 pep chromosome:SL3.0:5:55388650:55395001:-1 gene:Solyc05g042050.3 transcript:Solyc05g042050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFLVNLEIYDSMGFRRSRAGHDPITCDLWPCLSLRVRMLDAKSSDVWSKFHKLPVLSFALLASPAAAISTFAFEKMDGFLEHSRAITLARGLQLEKTNRVANIQFIEVALSQFLGMGSSRIIPSFGRLVGCIIILISACCTMYIGPEKEIE >Solyc10g083380.2.1 pep chromosome:SL3.0:10:63325533:63335403:1 gene:Solyc10g083380.2 transcript:Solyc10g083380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFETHVPSCFDLTSQSPINSFLDTNFTNGRECQWSDSAGDVIPADTPTKLHAKAAKTVQKVYRSYRTRRMLADSALVAEELWWQALDYARLNHSTISFFNVPQPETAVSRWNRITINASKSNCTHKGEATIKSLEVLEEIVVRIKVGKGLSRDGKAQKLAFQHWIEAIDPRHRYGHNLHTYYQEWCKTDAGQPFFYWLDLGDGKKVELKECPRSKLQKQSIKYLGPQEREHYEYVVAEGKILHNLTGNHLDTTNGLPGAKWIFVMSTSKRLYAGEKKKGIFHHSSFLAGGATLAAGRLVVKDGILKSISAYSGHYRPTDDSLNSFLSFLKENGVNLDEAEIKKPRDDDESYEEGKSSESNSASDLSTISESLQIDLPKEEEKDLSGLVINPQAEKASNNYKRTLSGGLESPRAEVPKTAILQRINSKKLSKSYQLGHQLSLAWSTGAGPRIGCINDYPVELREQALELTHLSPRPRSASSTPRPIAAMEAPVMSRYSASKFSDWCPSDLAGHVSLPHPFPPLIFMLSVFSFSLNTLFSMNSDVTWQTPPSCTAVEEFSGEPAEFEFEAAEALACLSHPVDEDGASGETELCVDAVTDRDQIGYWAVLQVLVTGAPEAIPVKEQRIDVKFEDEKEVATQTGYESELKTSLAEKEERRMRRVLANRESAKRTIRRRQAMREEMRRKADDLALENENLKKIKELAAAEYNFLKNKNDNLRMQIAKNVKAEVEETYDDSKSPLVETHTSTTSTTSLYNQMPLILSSALPCFDGLLLQRGGMHHISSITPPVLTSPGEGTTPSDKLESSVMVMMNNNVPGDTMPTHFLHNIDKVKQLAGFRSTSSPFVLPQAIATQNEIIQQIMLCTPDFKSDVGRVARASADDALLEHRTCNSEVEDAYEMKIRVLLSVRFLAKDKMRVAILSLVSTRCYGIPLIRSIFISFRSL >Solyc12g013730.2.1 pep chromosome:SL3.0:12:4558780:4565841:1 gene:Solyc12g013730.2 transcript:Solyc12g013730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLCSPTEAFALLQFKQSFQIDDLCVCWDDSNLKYVDIPKTKSWNENRDCCSWDGVTCDMLTGHVIGLDLSCSLLDGTIHPNSSLFQLHHLRTLNLAYNNFHSSLVSHNIGRLTNLRHLNLSHSFFEGEIPFQFGISGELPNSIGTFSSLDILNLKGCQFSGFIPDSIGNLTQIRYLDFSYNHLIGHIPSTISQLKHLTILGLSSNSFSGEIPDIFSNLQELSYLDLSNNSFIGSFPSTIVSLTYLQELDLSSNSLSGPLPNNFSMLQKLTRLGLWNNSLNGIIPCSLFSLPLLGQLCLDNNRFSGLPNELKTNPTLVRLGLSHNQLSGHLHQFHFHNLQFLDLKFNSLQGPLPSSICNMNFLEFLDLSRNNFSKSIPSCLGSMSSLKVLDLRRNNFTGSIPPLCAKSTSLITIVLNDNQFEGTLPLSLINCSNLEVFDMGNNAINDTFPAWLGTLEELQVLILKSNLFHGPISSCQSTLCFPELRIFDLSHNQLSGSLPVKLFGKFKAMIKLDGEDTG >Solyc09g066250.1.1.1 pep chromosome:SL3.0:9:64911106:64911510:1 gene:Solyc09g066250.1 transcript:Solyc09g066250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSSTHQEVDLQQKHQENGIGRFYECVFCKRGFNTAQALGGHMNIHRKDRIRINRSSRINGPYLTCFPGHTPTTTIGPFVMNGPDCGSEDNRPQCLNLLGDDWRIFQFGENMEKNKGILEDDLDLELRLGHDP >Solyc09g055520.1.1.1 pep chromosome:SL3.0:9:41644387:41644761:1 gene:Solyc09g055520.1 transcript:Solyc09g055520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSPALLASLQYASWGVALSPLVSSLQLASSSSLLDGEEESGRGRREKGGEEAAGDGFLGSDLVVFWWCLAYVGLVLRSAADNRRKWRLWVVLMVVWVGEEMGEGRPVSEMREDGGCGSPFY >Solyc11g065410.1.1 pep chromosome:SL3.0:11:51146758:51147185:1 gene:Solyc11g065410.1 transcript:Solyc11g065410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESSCDSLSNSMIMTCFCNELARCFTSRNPLNPGRRFYRCSKPKMENLRESLNAIKIERDNLKKKFENLEILNYFEVKK >Solyc03g006650.2.1 pep chromosome:SL3.0:3:1228820:1231809:1 gene:Solyc03g006650.2 transcript:Solyc03g006650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGISNGNNGKEYPGNLTLYVTITCIVAAMGGLIFGYDIGISGGVTSMDTFLGKFFHSVYLKQKADTSTNQYCKFDSQTLTMFTSSLYLAALCSSVVASTVTRKLGRKLSMLFGGILFCAGALINGLAKDVAMLIVGRILLGFGIGFANQSVPLYLSEMAPYKFRGALNIGFQLSITIGILVANVLNFFFAKLDNGWRYSLGGAMVPGLIITVGSLLLPETPNSIIERGNNEEAKMKLKRIRGVDDVDEEFNDLVVASEASRKVEHPWKNLLQRKYRPHLTMAIAIPFFQQLTGINVIMFYAPVLFKTIGFGADASLMSAVITGIVNVGATIVSIYYVDKLGRRFLFLEGGIQMLICQIAVAICIGTKFGTDGYAGELPKWYATLVVIFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFIVAQVFLTLLCHLKFGLFIFFAFFVCVMTIFIYLFLPETKNVPIEEMVVVWKQHWFWSRFMTQVDYPGAAADVEMAKGRAN >Solyc02g030610.2.1 pep chromosome:SL3.0:2:26985724:26986506:1 gene:Solyc02g030610.2 transcript:Solyc02g030610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITGENSRNVKLNLGRSLIGNLGINSKKMKILNRSLSITNGNYSVLFSLGLQGSVASSFTEYETQQPPNLWKSAKEVGEKLRKFLYKMPSVFYDGPNGKKTKGYLLYSFTKREDLKIVCACHASFFIPAEFVKHGGGGDVDVEDPLNYINIILDYLKMD >Solyc09g014540.2.1 pep chromosome:SL3.0:9:6153994:6162470:-1 gene:Solyc09g014540.2 transcript:Solyc09g014540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANKDVFHDAFTNKPHHVSSMSPLHVQGCELLEGAFETVGSKICWTYTLAEGAKKISKQIIEVVDHEKKVITFKEFEGDLVNKYDNFKGNSSYRNKRRNRFSKLTIEYERPNENVPELVNLLDFIIGMTNAIDDHHVN >Solyc01g108910.3.1 pep chromosome:SL3.0:1:95951006:95953706:-1 gene:Solyc01g108910.3 transcript:Solyc01g108910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLQSMALNSLSSTSLVGVNNELHSSRFQVNSSTIRCCSRSHAYIPKLEPFSRTKFDRVFKDPPLIEKSENELADYCSVLEGDDSYSCWQAYFELKDLEKEAPKEEVERLILQAGGVKTLIGCLHGVSDMHKAKKESKESAKPVNLDAQRAGGLCPIPDGLPKSREELEEEEKARMPDSPYTKLLRAKGTHPVWY >Solyc02g069770.3.1 pep chromosome:SL3.0:2:40155460:40164810:1 gene:Solyc02g069770.3 transcript:Solyc02g069770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKKKKASAQNTQKGSKKRFSIESDPFFHEDNDLKRRKRFGDDEDIESSDDSEDIYGSDDEGVDRNERKDEEEEEEEETAAEKRKRLAETFLHSMRESKRKEEEERESEEEYDREEREAMRDSWMVDMLQKEQMEGSGRSRKAIASRIQKPTEGFHLLVKHRQSVTAVTLSEDDLKGFSSSKDGTIVCWDVDSGKTEKYAWPTDEALKSHGAKDPQGRATKHSKNVLALAVSSDGRYLASGGLDRHVHLWDIRTRQHIKAFPGHKGPVSCLTFRQGSSELFSGSFDRSIKIWNVEDRAYVNTLFGHQSEVLTIDSLRKERVLTVGRDRTMHLWKVPEESQLIFRAPATSLECCCFINNDEFLSGSDDGSIEHWNVTRKKPVHIVKNAHASLQSVGIEQSNGALSNGHMENGTLNPQCHSSSALSWVSALTVCRNSDLAASGAGNGSVQLWTIENESKGISPLFELPVAGFINSLVFSKSGQFLVAAVGQEPRLGRWGRIADVRNGVFVHPLKHS >Solyc10g079870.2.1 pep chromosome:SL3.0:10:61473363:61476865:1 gene:Solyc10g079870.2 transcript:Solyc10g079870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase-like [Source:UniProtKB/TrEMBL;Acc:I0IUR0] MKSPTQFFFIQKHILLKLLIFILFICSNNRSNICCNHHFANPSSLSLKQLKLEGYLSFEKLNHAAKDFGNRCHFLPLAILYPKSVLDISSTLKHVFEIRTRIDLTVAARGNGHSLEGQAQAYQGLVINMKSLQELEMKFKINEELSYVDVSAGELWINVLHESLKLGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNVYQLEVVTGKGEVITCSEEQNADLFHGVLGGLGQFGIITKARIALETAPKQVKWIRVLYSDFAAFSNDQEDLISSQSTFDYIEGFVIINSTGLLNNWRSTFNPKDPLQASNFSSEGRVLFCLEVAKYFNPEDTYSTDQDIDILLSKLSYIRSTLFLSEVSYVEFLDRVHVSEMKLQEKGLWDVPHPWLNLLIPKSRILEFAQEVFGKILTDTSNGPLLIYPVNKSKWRKGTSMVTPDEDVFYLIAFLSSAMPFSTGKDGLEHIIDQNNRILSFCEKTRIGMKQYLPNHKTQEEWKHHFGPHWDTFARRKSTYDPLAILAPGQRIFRRTADCEHE >Solyc10g084830.2.1 pep chromosome:SL3.0:10:64349079:64354640:-1 gene:Solyc10g084830.2 transcript:Solyc10g084830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKLDEEYGADRLLQIETDNEENEALDTLNEDDDTGSDISNHSVHAPFHSQLDSPTWPQSYRRSMDVFTGVTPPSLSFLKGSSILSSAYMRSQTLTPEHSLSKPFISSPSLDREEIPTSNPSKLSIVSSARYSAPELPPSQQCSYAQSVLNAINALCGIGILSVPYALKEGGWCSLFLLFLFGIITFYTGTLLKKCLESSPGIETYPDIGQAAFGLSGRIFIAIALYAELYSSCIEYLIMMSDNLGALFPNSHMEFAGIHLNSYQICAIISTLIILPTVWLRNLRLLSIVSAGGVIALIVVVICLLWVGVVDDVGFHPSGTAINIARLPVTIGLYSFCYGSHSVFPNIYSSMKEPSKFPSVLLISFSVAFFSYLGAAVCGFLMFGENTNPQFTLNLPTELVTSKVAAWTVVIAPLTKYAITLTPVAFGIEEFLPSPQLRTYVVSLLIRTLLVFSTLVIALAVPYFGSVMSLIGSSLVMLVSLILPCVCYIQLSKDQITRFQLAACSFIIVVGLISAVFGTYSALTNMV >Solyc06g066860.2.1 pep chromosome:SL3.0:6:42166439:42176480:-1 gene:Solyc06g066860.2 transcript:Solyc06g066860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTTPPKLLVVDFNNEELRPGTTTWESTCKEIRHAFENHGCFIALYDKISPQLQKSIFQDNSQQLFELPLERKVLNISEKPYHGYVGQISFLPNHEAFGIDYATTSQGIQTFSNLMWPQGNDSFCESSLMFSKIVAALDNKVVRMLFESYGITKNCESYVESTTYLLRYLKYNTPKTKETSMVFPPHTDKTFTTILYQNHISGLEVQTRDLQWITLEFPPSSFVVMAGEALRNDKIIEVDEELIDEEHPLKFKPFVHLDLIKFFDTERGRRSQNLVEDFCENMDSKNINLPKIDFSHKELKPHTLVWNQVKSQVHKALVEYGCFEASFDKFPISLQKSIFESSQELFDLPSQTKLKSIRTKPFNGYSYSEQLSELDQMIRRMILESLGVENYMDEHMNSTNYLLRLMKYKTPQSSENEMGLSSHTDKSNITILYQNQVNGLQVLTKDGQWINVDPTPDTFIVLIGDSLHAWTNGRMHAPFHRVMMKGNEARYSIGLFTIPKTGYMIKAPKELVDEEHPLLYKPFTHLEFITFSYTEEGMQCESALKTYCDLKPDTLVWNQVKSQVHKALVEYGCFEAYFDKIPINLQKSIFKVSQEIFDLPFTNQT >Solyc03g120250.3.1 pep chromosome:SL3.0:3:70182791:70186691:-1 gene:Solyc03g120250.3 transcript:Solyc03g120250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNSIKVEDSSGNTNDGTEASSSSSSSQPNGVHKVCLPPHRTTFQKLRHRLSEIFFPDDPLHKFKNQTALRKFVLGLQFFFPVFEWGPKYNLMLLRSDIIAGITIASLAIPQGISYAKLANLPPIIGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLSQAVTYSKEPTLYLQLAFTSTLIAGCLQAAMGFFRLGFIIDFLSKATLLGFMAGAAVIVSLQQLKGLLGIVHFTNKMAIIPVLTSVFENRNEWMWQTIVMGGCFLIFLLTARQISARNPKLFWVSAAAPLVSVILSTVIVYLIKNETHVIPTIGHLPKGINPPSVNKLHFGGPYMALALRVGIITGILALTEGIAVGRTFAAMENYQVDGNKEMTAIGLMNIAGSCASCFVTTGSFSRSAVSYNAGGKSVVSNIVMAATVLITLLFLMPLFQYTPNVILAAIIITAVIGLIDYQGAFRLWKVDKLDCIACLSSFFGVLFISVPVGLLIAVGISVFKILLHVTRPNTNALGYISSTRSFQSLSRYTTAVRIPSFLIIAVEAPFYFANSTYLHERTLRWIREEEDRIKTNQEPPIKCIIIDMTAVTAIDTSGIDTICELRRILEKRSLKLVLANPVGNVMEKLFNSNALEAFGLDGLYLTVSEAVDDISSSWKPEKGPAQPLTI >Solyc06g062650.1.1.1 pep chromosome:SL3.0:6:39655310:39655489:1 gene:Solyc06g062650.1 transcript:Solyc06g062650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKIKRAVALRAFFIGGIAAFSKISSAVKAVGGVKVGVATAAMSAAATAISGSVEKRK >Solyc05g049870.3.1 pep chromosome:SL3.0:5:60467944:60479885:1 gene:Solyc05g049870.3 transcript:Solyc05g049870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCKACDKTVYFVDLLSADGATFHKSCFKCSHCKGTLVMSNYSSMDGVLYCKPHFEQLFKESGNFSKNFQTSSKSEKESSLAKAPSKVSAMFSGTQDKCAACSKTVYPLEKVILEGEAFHKSCFKCAHGGCALTHATYAALDGVLYCKHHFAQLFMEKGSYQHVLKATTNKKSTVTPVDDDDNNNNNNNNDDDDKPANEEDKANDHDKADEKEPNDENEQS >Solyc01g108110.3.1 pep chromosome:SL3.0:1:95352163:95367276:1 gene:Solyc01g108110.3 transcript:Solyc01g108110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESYRKERPASSSSSESCANELTRLNSGELSSSVADFKDTLLQSENATKEKYTGWTNTKHNAFLDCLESSFVKQLHRSMALRAGSVEMNLSCRNLSEELSLSAHVNKASKQLPFLRHGSWKKIKTVRQPPVVYIAADSHDCLKYLRGDGHHQVMDSQFCSELLCKGKQTSDERSSSSCVYKTISNLIPSKPGELQKTVCRVTEGSGQNFLDEDLDENTRCKKMKTASVDTTEQEQNKVPCFNIESGVIMCVRSIARFPWADEAPSSIGSSSNSNIPNRKWRSWLPLFVALVFIAEISFLSKIDMAEKANLVNSWADSFYQFTTSSRSTIELAVDEAELGVLVSEVDQGLVPGGCEEWLEREDSVAFSRDFDKEPILVRGREQTLNSCSVGCKFGTNFDKKSDAAFRLPRQAGIASVLQSMESAQYYAENNITLARRRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTENALAAAFISNCGARNFRLQALEALERANIRIDSYGSCHRNKDGRVDKVEALKHYKFSLAFENSNEEDYVTEKFFQSLVAGSIPVVVGAPNIQDFAPSPTSVLHIKELKDAASVAKTMKYLAENPIAYNESLRWKFEGPSDAFKALVDMAAVHSSCRLCILLATRIREKEERSPKFMKRPCKCTRGTETVYHVYVRERGRFEMDSIFLRSNNLSLQAFESAVLAKFKSVKHVPVWKEERPQVLRGGDELKIYKVYPLGLTQRQALYSFRFNGDTEFKNYIESHPCAKFEAIFV >Solyc04g050260.1.1.1 pep chromosome:SL3.0:4:46292088:46293461:1 gene:Solyc04g050260.1 transcript:Solyc04g050260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVNHVRCLKFLQALFILYISSFKFSSCEIKNTHIIDDSRQIILIERFGFAPDGHVTISLDHVYWRSDEPAAKLYPSSMGFCLVRDVSFPRLLNESIYTENFCVLSSKYVNLVFRFDKLGPDSSYNISTTIDEPDEYNLIFGNCQKEFLVTMNVHTEIYNVNDNGEKDFLPAGQTPLPKLYFLLFVVYIVFLGIWGFVCVKQRKNIHKIHLIMAMLLVFKALKLICAAEDKMYIRNTGKDHGWDVAFYIFGFLKGVTLFTVIVLIGTGWSFLKPYLHDREKKVLMFVIPLQVIENIASIVISEGGPVEKHWLVWNELFLLIDVMCCCIVLVPILWSIKSLRLASKNDGKAAENLKKLTLFRHFYVVLIVFLYFTRFGIAMIESVVTYMHEWVTVVAAEGASLMFYVFIFYNFKPIGKNPYLAINKGDDDEEGEEEYEDEDEDEDEHEHDNDDDEIA >Solyc04g009080.1.1.1 pep chromosome:SL3.0:4:2629772:2630140:1 gene:Solyc04g009080.1 transcript:Solyc04g009080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKTIIKSIQVCTNETLDLLERMAERDLEIYLRKLLTKHKYLLVVDGVWLIEAWKSLKRAFPDNNNGSRVVITTRKVDVAERADDRGFVHELRFLSQEESWDSFVGNYLMFDQWLQQWKV >Solyc06g008680.3.1 pep chromosome:SL3.0:6:2598606:2599741:-1 gene:Solyc06g008680.3 transcript:Solyc06g008680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASKKLFGMFLICIFVISASVDVSMADEAIIDEKFRPTYEHIGSEMDNCYYKCLTVCATTDTPQKKCNTQCGGDCVQRILQVFAEDIEKMKH >Solyc08g068330.3.1 pep chromosome:SL3.0:8:57491731:57506428:-1 gene:Solyc08g068330.3 transcript:Solyc08g068330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPYEYEEGGYPQETDAVGYDPNFVPDSVKSFVVHLYRHIREKNVYEIHQMYETSFQTLSERMFKETPWPSVDAVAPYVDNDHVFCLLYREMWFRHLYARLSPTLRQRIDSWDNYCSLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQAFCQYRAKMKSKTAEEIALLRQYDQAWNVYGVLNFLQALVEKSTIIQILEREKEGLEEFTATDGYDYSGGSNVLKVLGYFSMIGLLRVHCLLGDYHTGLKCLRPIDITQQGVYTSVIGSHITTIYHYGFANLMLRRYVEAIHEFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAIALSLCPQVKLVEETVNSQLREKYGEKMARMLRYDEEASALYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKMFLYEVKQQQLLAGVRSYLKVYSTISLGKLANYMDLDEPNLRAVLMTYKHKTHAVDSDGKIISNADVDFYIDEDMVRVVESKSAKKYGDYFLRQIVKLEGIMTDIDRIKLEILQIIVQSKQTLKRKAYCSKQKFRTTKMHTQQSPSPSADRRLSVLARHLEPSSVAVEGHSNHSIVGAPTSGNDGKQSVFSHIVRAPEDPILGVTVAYNKDTSPMKLNLGVGAYRTEEGKPLVLNVVRQAEQLLVNDRSRIKEYLPITGLADFNKLSAKLILGADSPAIQENRVTTVQCLSGTGSLRVGGEFLAQHYHQRTIYIPQPTWGNHTKIFALAGLSVKSYRYYDPATRGLHFQGLLEDLGSAPSGAIVLLHACAHNPTGVDPTKDQWEQIRRLMRSKGLLPFFDSAYQGFASGSLDTDAQSVRMFVADGGEVLVAQSYAKNMGLYGERVGALSIVCRNADVTSRVESQLKLVIRPMYSNPPIHGASIVATILKDRNLYHEWTLELKAMADRIIRMRQQLFDALRAKGTPGDWSHIIKQIGMFTFTGLNKEQVAFMTKEYHIYMTSDGRISMAGLSSRTVPHLTDAIHAAVTRAR >Solyc01g011233.1.1 pep chromosome:SL3.0:1:8100991:8108220:-1 gene:Solyc01g011233.1 transcript:Solyc01g011233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQLPGIEVAQSRSGIVISQGKYALDILEETGMMRCKPIDTLMDPNVKLLSGQGEPLSNPESPYKGLLFEEQGHEHIIGYADADWAGSPSDRRSTSEYCVLVGDTDCRAFSSSTLVDHEQNLTYPFYAFEKARRGRGRGEFCSGRGHGYGRGRGRNGGHRQSNEQSNTKNGIQCHHYLRYGHIKANCWYKDQKINFSTTENEEENYLFMACIDTITKQVMYGKKVRITMTPKKLFPLDVSNMECFALTASAKDESKLWHLRFMQQPSKVHFGAAKRVLRYVAGTMDYGIWYSQVSNFRLCGFTDSDYAGSLDDRRSISAHIFTLGSGVINWSSKKQATTTLSTPEAEYIAATSAACQAIWLRRMLAELQHKQESTTEIYCDDKASISMTKNPNFHSRTKHIDVRFHFIRDLVAKEEIVVEALQHS >Solyc01g010170.2.1 pep chromosome:SL3.0:1:4890235:4903656:-1 gene:Solyc01g010170.2 transcript:Solyc01g010170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSMTVADSGVITLPFKGIKPIADRVCNFVSFIS >Solyc12g096810.2.1 pep chromosome:SL3.0:12:66552694:66562699:1 gene:Solyc12g096810.2 transcript:Solyc12g096810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYTEEFAPKLEIHKHSRFFVHVHTLNFTAKSFSLRIKQGEILKRKLMASRAILRRRRLLSDYLNVSARSIQNLRSWGNGQSAHYFDSCGFSSTANCICQGLDKRKDHDEVSPINDGFSGLVFFRTKRYSTTVFDYLNRRVDVVPSTSMSLWPYSVRCTSTATAKKPNLGSDDEEELIAKKKSEASPEECDQAVVGLSTAKAKAKAQRLQESQKDAKSVIQRVWATLLGIGPSLRMVASMSREDWAKKLAHWKHELTSTLQHYWLGFKLLWADMRISSRLLIKLASGKSLSRRERQQLTRTTADMFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLIARIEYAKFLQDTVKEMAKEVQNSQSGELKKTAEDLDEFLSKVRRGAGVTNEEILGFAKLFNDELTLDNIRRSRLVSMCKYMGISPYGTDAYLRFMLRKRLQRIKVDDKLIQAEGVESLSEAELREDCRERGMLGLFSVEEMRQQLRDWLDLSLNHSVPSSLLILSRSFTVSGRLKPEEVVGATLSSLPDEVVDTVGITSLPSEDSLSERRRKLEFLKMQEELIKEEEEREKEEEEKSRRKKSVCSREDVALKEMTVPTATKAQEQARARAIDKQEQLCKISRALAVLASASSVSQEREEFLRLVNKEIELYHSMVNEEGTVGEVDAMKAYRAAHDENDHAAEHDEVSSALIDKVDSMLQNLEKEIDDVDAKIGNHWQILDRDYDGKVTPEELAAAAMYLKDTLGKEGIQELISNLSKDTDGKIFVEDIVKLGSRVEDARSNE >Solyc04g008720.3.1 pep chromosome:SL3.0:4:2384356:2393013:1 gene:Solyc04g008720.3 transcript:Solyc04g008720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYCLSGHSSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISLDFHPFGEFFASGSLDTNLKIWDIRRKGCIHTYKGHTRGVNTIRFTPDGRWVVSGGEDRSVKIWDLTAGKLLHDFKCHEGKIQCIDFHPHEFLLATGSADKTVKFWDLESFELIGSAGPETTGVRSMAFNTDGRTLLCGLHENLKVFSWEPIRYHDTVDVGWSTLSDLSIHEGKLLGCSYNQSCVGVWVVDISCLEPYTMDSSTPSNGHSAAKSNLRVNLSTSAEDNTDASCRGQLISQNSDLVKESKSFRRLSLSQNMVLQRDSAALTTITDTPGTTQKVNLSTGPKAPPFSSRAVPNTTGVKRNSAKTHSTENGSIVNKEIIPVLVPRNNERTELASECRKGGVAGREIPQRLQPKVSDWKSPTTNEDLGRPTSAAQSEVEVPKAIESSSPADRNNFPSVKCSIFETAATERSVNDDTNLVSTKLDINTAHEPLSRHPIENYEARGNILNRKPYSMLGQQRGRTRSTIANWEKRDKVPGYEGLAPCIAGAVPAPDVVPTNVNLTHRNSKIIPEKREDPLSAVSDAGTADDEDIIADLMEQHKEFVGSMQSRLAKLQVVYQYWKRHDIKGAVSVMEKMADHAVLSELVSFLAEKNDIITLEICTCLLPLLTGLLESKLDRHQDISLSMLLKLVKVFGSLIYTSLSTPTSVGVDIEAEKRMERYNLCFIELEKVKSCLPALSRRGGSIAKTAQELNLALHEVS >Solyc01g057020.3.1 pep chromosome:SL3.0:1:57940981:57942248:1 gene:Solyc01g057020.3 transcript:Solyc01g057020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLRWAMDSGGFWELDLSTPITLNGQARPVPGDPLPLGLSRGSRLSRFQQIDFFQRFMAMPFIPSFAANRGFLLQRVLSLPIVENWSVMLLGQLNVQRFLSSLRKNKTKHLPDPSWLQSIRRNFIQKSFYALGFCSELFLTPDDTLIISLDAYGDEKVPQKRAVLHHKASMYEL >Solyc01g009975.1.1 pep chromosome:SL3.0:1:4518220:4521033:1 gene:Solyc01g009975.1 transcript:Solyc01g009975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRKYALGLVSELGLAGCKPSSTPLEFNHKLTSTVFDEVIGKNTNAEDLILDEFGKYQRLIGKLLYLTMTRPDIAFVVQVLSQYMHSPKSSHIEAALRVVRYIKETAGLGLFMPSNKNNEMVAYCDSDWGACVETRRSVTGYMIKLGGALVSWKSMKQSTVSRSSAEVEFKSMATTVAEIVWFKGLFRELGMNIKLPTRIGRSTIPNVPATSSSVPLSRYNHVAALAAPNVTNLVTIKLASVEDYLTWCTQFQSLLLSHKLFGFVDGSIFPPNPFIYDASRTQQSNPLYRSWIKVDQSVRSWLFATLSREVLMDVLTAYFMLYLEFSTTSVHGGKSGEIYRIKETTDNYA >Solyc03g097960.1.1.1 pep chromosome:SL3.0:3:61770757:61771818:-1 gene:Solyc03g097960.1 transcript:Solyc03g097960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKLNGDPTPRLKHFSHPHELELCIQLQNNPTPCSGCKLPSSPQMYTCKPCNFTLHLSCTQFPKLINHPAHPDHTLALLPRSKYPHGLFNCDACNHRGDGFSYHCRDCEYDLHVICASKPLKITHQLHQCQLELTFKNPYADAKGFSCDVCQKIGVKQWLYRCGTCDFDVHLDCSNPNPNSAVQGSTILQHHHSFPGATSSHNQFQQPPMVEKKRPNQLVQSANSGAMGIQLPQAPMLSGQAMPNQYTQTSQGAAQARPNPLLYSTSTGSIPQHQSLQPPLIQGLVRPDQYLQSPGTNNDMMNAAFQGLVEVAAQQVGQTIMQGFVGGGNNSDGNEGSSILRSIFGDSSQN >Solyc10g055330.2.1.1 pep chromosome:SL3.0:10:56527657:56528841:-1 gene:Solyc10g055330.2 transcript:Solyc10g055330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSIYLVSFGFDVKLEMPVVFSACRRTKVVSDFLLLNGFSVTLETSDISSERLHQIIRFIHECKESDVLGDHKARSYGHGKNVPKRLCSRHRLGILVPKLEHHDQYLKNAAVAPKINFIST >Solyc03g033310.3.1 pep chromosome:SL3.0:3:4909692:4914475:-1 gene:Solyc03g033310.3 transcript:Solyc03g033310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNLKLHCLFINPFPPFPETGSHINNLAIFGRFRVCLSLQKMADSRVKRYSRSPSPWEEEKVRSRSQSRSWSRPRGRSRSRSQGRVEPSNPGTTLYVTGLSTRVTQRDLEEHFSKEGKVKSAFLVVEPRSRISRGFAFITMDSLEDANRCIKHLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGLKNARGDGFRGDRGGDRGRYRGREDYGRLEDYGYQRSPPRRLPYRDYSPRRSPYGGRSRRERSRSYSPYERKYGGGGR >Solyc05g021413.1.1 pep chromosome:SL3.0:5:27343189:27348595:-1 gene:Solyc05g021413.1 transcript:Solyc05g021413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMNGVFKPFLDSFMIVFIDDILVKRAPRRAYASFRRFSCAIAHHFLGDRDSDVKNAKFFCGRPSRPSVCIRLVITAFPTHLEGQTSPEASIRLISMIFVPYLCIRLAITACPTHLEGQTSPEASIRLISTIFTIFWVIGIPTSKMPNFFVDIRKDLVYAYDWPSRLVRPIWKVKRVPKRAYASFRRFSCAIANHFLDLVYAYSWPSRLVRPIWKDSDVKNAKFFRGCPSRLCLCIRLAITACPTHLEGQTSPKASIRLISTIFTIFWVIGIPTSKMPNFFVDVRQDLVYAYSWPSRLVRPIWKVKRAPKQAYAPCLCIRLVITAFPTHLEGQTSPEASIRLISTIFTIFWVIGIPTSKMPYFFVHVRQDLVNAYGWPSQLVRPNWKVKRASKRAYASFRRFSPFFG >Solyc12g036673.1.1 pep chromosome:SL3.0:12:47736112:47738061:1 gene:Solyc12g036673.1 transcript:Solyc12g036673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMWYLFQVGIENNKGTIYASSTSPSSVLKAYSKQYKRDFATFLKYRAEELVKGGRMVLAMPGKENEHHLSNVCRFMLEPLAIALKNLVTEGSIEEEKMDSFNVPTYSPSPAEIQYVVEKEGSFTIDLLRTLEHQMDSSCEGYNEAQSVRAFAQPLLVRHFGDDNKLMDVVFNKCREIYGNTMAKEKNIFTNVIVSLIKS >Solyc03g025310.3.1 pep chromosome:SL3.0:3:2776791:2785448:1 gene:Solyc03g025310.3 transcript:Solyc03g025310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQINMSRSIFFVRPIYLQDQWRRKQYECRLAEFDSMTTSSLMASALSSSSSSLASSRRVSVTALSFSSISVTHNPKVHFFKWIPYSGLSSWNGLKQLSISKSQFSVKIGRSRKNKGKGVYASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIRELQDVSREFKSTLEREIGLDDIKGSVQDTRNSSTMSPSSDSSYKNSVADPNGSPSPKLASTAEDDLERMMRIADAEKQAEKDLAALLESRSESQTVSQEVADNSSSSDRAYSTEEYLKISEEQLKAAAQKQSETSTPEQIPFNTQSLSQDSASSADGAYSTEDLSKAAAQQNLSSSQQSPSNAESQSQEAPGEAASMISSSTNPESET >Solyc12g089290.2.1 pep chromosome:SL3.0:12:65392289:65395403:1 gene:Solyc12g089290.2 transcript:Solyc12g089290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSFLTRGLVMVFGYAYPAYECFKTVEMNKPDIQELRFWCQYWILIALLTVCERVGDAFVSWVPMYSEAKLAFIIYLWCPKTKGTTYVYDSFFRPVVLKHETEIDRNLLELRTRAGDMACLYWQNSASYVQTRFFDVLQFIASQSTPRPTQPQKQSSRGRQRTVTPPKCRSAAPATKVQTEKQAPPASTESSSEKEADIKEKVKPSQPPPVATPASSASSSNAQKTTPAEAHAQITKASSLSKTEVMQVDQVSSSDNKSAKPLVDTVMEDNITAKPVDTVMVEAVRVTRARSRRTRPAPNP >Solyc03g093700.2.1.1 pep chromosome:SL3.0:3:56757279:56759828:-1 gene:Solyc03g093700.2 transcript:Solyc03g093700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAETSPSEMLGKKKLHQMVDTKSPSTFKRVKVDATRNFPENCGSFVYQNNGSKDIYPEFRSNSKRVKVNSTRSFPKNCGPCVPEKKKGSDTPCSVDSEIKSCSDVDMNVVESAEPLSVFEPEDDLAATVVCPKEAGDSSHQNTSCQPANGNQQHEVLVNLVLQNPSIDSGNTCDWFIKSEPIENEPELPAIVSQENLIQGRDEPSKETSKRVHYGEVPYDEYRSRVDNDEICILSCSESNSLKSGLKTLSAGKKGGKGEIVQEEAVSSPEPLHKCNVIFEDESVVMKNQIVLGVSQEDLRNSVVMCNVSGNGLLTEHEHIQKVKEVRETLKLFDDEYTKLLLEDRAEKHEGGPKRSIHIEAAMALKKQKKWVNCEWTFGHVPGVQIGDQFRFRAELVMIGLHHQFIKGINYVTIGRKDVASSIVDSGRYDNEAISSETFIYVGQGGNPKVSVNARMEDQKLEGGNLALKNSMELGYPVRVICGRQRVNGEKSDTRYIYDGLYTVTKCWEERAPTGKYIFKFELKRNLGQPKLARELVSRPAKLVKVNQFCVNKAKKSILQSEFVVDYDVSQGKEKIPILVVNAIDDERPSPFTYITSMQYPDWYYISRPQGCNCTSGCLDSEQCSCASRNGGEIPFNTRGSIVRAQPLVYECGPSCKCPPSCKNRVSQHGPRYHLEVFKTESRGWGLRSRDYVTRGRFICEYVGELLDEKEAENRIGHDEYLFDIGNYDEEIPKRNVARNNNLKVESNSLTRKDEDGFTLDALRYGNVGRFINHSCSPNLYAQNVMYYHGDKKVPHIMFFASESIAPLEELTYHYNYDVDQVSDKNGDMKRKNCRCGSRKCEGRMY >Solyc12g087960.2.1 pep chromosome:SL3.0:12:64440441:64444575:1 gene:Solyc12g087960.2 transcript:Solyc12g087960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFNPLSWWLWSGKHQEPKISKGTSVNSSPDSSLLELDTLKFTLDRRRNMASSSRKVKRKWESREERKVDREYDIVLVPSDGGCVSGSESDDSDWSVGWLEPHGPGFQSDDDRDDSFAVLVPCYGRGRADLEDNAQDKFMQTIGNFRDIHASGNEKFMEQWLSSLRYS >Solyc02g086860.3.1 pep chromosome:SL3.0:2:50100656:50103883:-1 gene:Solyc02g086860.3 transcript:Solyc02g086860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNHTKQTLQTAMENEQQHLSLSESDSTDSEPEKAITFSSPARFHSGSDSDHSTKFQTDKATNPSTVNGDSTKAQSQPRSSISSDSDDFSKCGEHKVSKIDSTTATVNRDSTATKVSSPPVESPPRSSISSDQISLPHESLNMEDTKPSAAPTGSPPEKPPIPEAVVKKFIKEEPLALVKADLFVGDGTASIKEGEDSGNRRQRGKPQLSILRKVRRDAMVKKAGLGFRIFGFLSCLVSFSVMAADRNKGWALDSFERYKEFRYCMSVNVIGFVYSAAQAFDLAYQSATGKNIVQHHLRYMFDFALDQATKLVTWSIWSFIFLVTRPETVVTYLLISASSSAATRIDDWQSNWGKDKFPDMASASVALSFLSFAALAFSSLISGYVLCNSRST >Solyc02g081870.3.1 pep chromosome:SL3.0:2:46204080:46214035:1 gene:Solyc02g081870.3 transcript:Solyc02g081870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNGSDSLESYSNFGRHSNADSDEDELMWAALEKLPSEKRTNFALLKRTDTIDVTKLDRLTRQILVNNALATSEQDNYKLLYSIKQRFNRVGLEIPKVEVRYENLTISTNVKVGSRALPTLLNYGYDVIESILAGLRIFKPRRHPLTILKNVTGIVKPGRMTLLLGPPGSGKSTLLLALSGKLDNELKRTGHITYNGHKEDEFCVQRTCAYISQIDNHIAELTVRETLDFAARCQGASHGFGDYMKDLGHLEKERKIRPKFEIDAYMKASSVGGTKHNVSTEYVLKVLGLDICSDTIVGNDMVRGISGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTFQIVKCIRNFVNLMEGTVMMALLQPAPETFELFDDLVLLSDGYVVYHGPRADVVPFFESLGFQLPSRKGVADFLQEVTSRKDQAQYWADTSRPYEFIPVEAIAEAFRNSRYCQDLKSSLSVPYDRSKSHHLALSKTKFAESRLELLKGCFSREMLLMSRNSFLYIFRTCQVAFMGFVTCTLFPKTRLHPTDLVNGNLYLSGLFIGLVHIMFNGRLELPLLILRLPVFYKQRDNFFYPAWAWSLSSWILQLPYSITEAAVWSCVVYWTVGFAPGAGRFFRYMLLLFSVHQMGMGLFRSIASLSRDIVIATTYGSAALLITFSLGGFLLPKEMIKPWWIWAFWVSPLSYGQRAISVNEFTATRWMEKTTSGNVTLGYAVLQSHSIPTSGYWYWLGVGVIWLYALLFNIILTVALAFLNRKLKVPVTEIRAFIILIPISTGLTFFALKKSQAIIPADSSGVNSVSDGPGQRASTKKGIIFPFQPLTMSFHNVNYFVDMPKEMSSEGIPDKKLQLLSNVSGVFSPGVLTALVGSSGAGKTTLMDCLAGRKTSGHIEGDIRISGYPKIQETFARISGYVEQNDIHSPQVTVFESLWFSSYLRLPKEVNEKQRQEFVEEVMELVELDSLRYALVGLPGRSGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIEIFEAFDELLLMKRGGQVIYGGKLGEKSQIMINYFQSIHGIPPIPSGYNPATWMLEISTSAAEAKLGEDFATIYRNSEQYRQVEGLIERLSVPPENSEPMGFTSKYSQGAVSQFKICLWKQNLVYWRNPSYNFMRLFFTTMCALLLGSLFWDVGSKRDSSQNLFVVMAALYASVLFLGGNNATSVQPVLSIERTVFYRERAAGMYSPLPYAVAQGIIEIPYVMIQTLIYGVITYFMINFEREAGKFFLYILFMFLTFMYFTFTGMMVISVAPTQHLAAIISSALFSLWNIMSGFIVPKPSIPEWWIWFYYINPVAWTVRGIISSQLGDVETRITGPGFDGTVKDYLEVRLGFGPGMIWWSAAMLTGFCSLFFAIYAASVKLINFQKR >Solyc01g091120.3.1.1 pep chromosome:SL3.0:1:84700254:84708730:-1 gene:Solyc01g091120.3 transcript:Solyc01g091120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSGAKDTQLRESNSQKVHPQPMEEAANQNPEAVEAVVSRIFTNISSLKSAYIQLQSAHTPYDPDKIQAADKLVISELKNLSELKHFYREHNPKPVCVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEILQLQQQILEASQKRIKLEKNLKLRGLSEKESENAVNEDGHFSVDLTPELFRSAVEGAYRAIHDFSKPLINMMKAAGWDLDAAANSIEPDLVYAKRAHKKYAFESHICQRMFAEFQNEFFSVKYENSAVPNDSFYHQYLALRESDPLDVVVQNPKSLFGNFCRKKYLEVVHSKMESAFFGNLDQRNYIMSGGHPRTAFYQAFLKLTKSIWLLHRLAYSFDPPVRVFQVQRGTDFSEVYMESVLENFTVDENEKPKVGLMVMPGFYVGGSAIKCQVYLTGVKVTE >Solyc05g056540.3.1 pep chromosome:SL3.0:5:66654253:66656969:-1 gene:Solyc05g056540.3 transcript:Solyc05g056540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSCLQIARAFGASEIIAVDVQDEKLQKAKFLGATHCVNASKVDAVKTIKDITGGSGVDVAVEALGRPQTFLQCVQSVRDGGKAVMIGLTLSGVKGEVDINHLVRRQIKVIGSYGARARQDLPKLIKLAESGIFNLSAAVSRTCKFEDAPQAYKDLDQGTIAGRAVVEIM >Solyc05g013760.3.1 pep chromosome:SL3.0:5:7076254:7077903:1 gene:Solyc05g013760.3 transcript:Solyc05g013760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTIILLYLFSSFHLVFHIQILIIMSRQRETNPYFLPPHVPPLEQQHEPQHPPFSSQFPPSNAKNQTPHEPQHPPFSSQFPHSNAKNQTPHEPHSPGDSYFPSPTMPVSTRHSQPQLHSGPQPHSTGHNSHLPTPVPPPRQQQQHSGSRPHSQHHRHSSGLVRVPTRRKTRPFAWLVAGFCALFWVMVIVAGLAILIIYLVFRPRNPKFDITSATLNAAYLDMGYLLNADITILANFTNPNKKGRVDFHYAILDLYHGGHLLASSYMDPFSTMSHESRFQDVHLVSSQVRLSLEQSQQLKKEVDNGRVKFEVKGLFRARSNLGSFLQYSYWLYAQCTIVVTSPPTGVLIGRKCTTKR >Solyc10g080110.2.1 pep chromosome:SL3.0:10:61635403:61636970:-1 gene:Solyc10g080110.2 transcript:Solyc10g080110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFGVLCQGSLKTVEVFNGTRAGINGLISSFVKLLQEENPSRESTIMRTAGKLTPFHFNRMPEDNDYNGQVASRRRQKKYNLKTKDALPPESFCPICNSPLKISSFGTSINFENGKTNPNGIGAACCASCQFQVLPNDPSSLEHFISLLPPSMVSQAGDNDRLSQRQLREQIEDCLLSDNEDGT >Solyc07g039570.3.1 pep chromosome:SL3.0:7:48006029:48011156:1 gene:Solyc07g039570.3 transcript:Solyc07g039570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFFLEEGDRTVFLLKIMESFGCTYICLWQYFQPSNTFMSLGGIYNGENVVAQRLFEEYKHSWLIMDNGRIPGLAFKNNVPYMELKFADLQSHASNPVQLQFYYTTICMGCSIGEIEFGMTSSPQVNLEMGMKNLFPEYFSTRLVLARPQTLLTNIDQNRPSPSSSFSLDSPGEYSSLLFNVATTSYVPDAFPEQTVRPVSTSAMPFHQQQPIQTLTQLRGIQFPGVETDDAALTRAYLAVMTSPSSSSSSHQSRENIDVPITDYHYQKSTAFRRFGPGLGRPSNVQIGTSRTIRRENILRRSIIFFRNLDMMRRKEQIQANQRALTSTQVHHMISERKRREKLNDSFQLLRSLLPPGTKKDKASVLASTTEYITCLKDQVEELSKKNEIMLNAQALDKSSMMKSNDVGDGNDERVVVEIIKNVSSESESRTVELQVSVRSGECNVLDLATRLLEFLKTQDNLSLQSVAANTRPSMVTHVSLTITIQGSEWDESGFEEAVKRVVDDLT >Solyc08g077990.3.1 pep chromosome:SL3.0:8:61992318:61998768:-1 gene:Solyc08g077990.3 transcript:Solyc08g077990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQLTSFSDLDSATAISDVHKAWHIFALLLSTGRPAQPSELSSKCILFSASPEFIEFLCSIPNSPLHLTNNYLVTFSSIGFTSTVKFFANADAFTAFVAQLEFQELPDRGQTERITRTYYRKRKRAGSEVEYSKVVNKRGLFNYFDGEGRSQMMMPLPSMAWRMFRQAYLPNDNSRCGVNQLTRVPLSLGCNFREFYEMFRTCRVIDGSTRSSSSGLQSIEYECKNKLERGENSDEANLVHIPICKSKVPSHSLFRFPRSTRVKCITDSMLQMNPHLASSASEMVGTTEKKTNSCINSCTILDQEMEILPSAADASVYGFERMIQKENFVEGNKEEPASYPVSNIKVNCTETHTTKVLISPQDDLPAENATKECSTSLLHIDTAKNDKPLQSHAILARSQSFGQKQLGKSLPSSKSFQRDVLDHEEPQNSKEPKDHNAASLLLDKEQLRRDATSIPVKLKHKQNYDLGMGIKERRENPKENAENVICNSAKSQSEQNQLPNFDSYIVEEEEGSGGYGTVYRARRKSDGVKFAIKCPHPNANTQHVHNELKMLERFGGKNCVIKYEGSFKNGNSDCLVLEHVEHDRPEVLKRDMNVSQLRWYGFCMFRALAGLHKQGIVHRDVKPGNFLFSRKVDKGYLIDFNLALDLHQKYGTSDKTKSSHATSCNSDPVPPARSLPPIKQRRSTVKLEEGINEESMKGVKSPIQSKNLKRKAGQEKVGTDIAHRNIRKSQGADGSGITSAKDATSNRTPSAERLREPLPCTGRKELINLVQEVRQRSSHVDTNGPTSKRKRVAATPGRVEKKYYITPMPLHSSGIAIGGAGLLKSKGDRMQKREGPCVGTKGFRAPEVLFRSVHQGTKLDIWSAGVTLLYFLIGRTPFAGDPDQNIKEIVKLKGSEDLWEVAKLHNRESSFPADLFDTKSLSPVKLREWCSRNTRKSDFLEIIPQSLIDLVDKCLTSNPRLRISAEEALRHEFFAPCYETWRKQKLHRLRFSQESQDLESTLPLPENSQSRVVL >Solyc03g112680.2.1 pep chromosome:SL3.0:3:64537331:64541012:1 gene:Solyc03g112680.2 transcript:Solyc03g112680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVLFSHLLFFLTTVSVVLAVSSVDSSSDSYRLMRIKSELVDPYGVLENWSEGTNMCTWNGVACSDDKSHIVRLNLTSSGLEGQISQEFAHLTSLRVIDLSDNFLNGTIPPAFGELHNLEELLLFSNFLSGEIPLEISRLRKLQVLRIGANMLTGQVIPEIGKLSELRVLAVAYCQLSGKIPNEIGNLKQLINLDLQQNSLSGPIPEAIGGCKNLQNFAASNNRIEGKILASIGQLESLEILNLANNSFSGLIPVELSHLSNLKYLNLFGNELEGEIPFELNKLVQLETLDLSNNKLSGTIRLLNTQLKNLETLSLSGNFLTGSIPSNFCLRDSSLSLLILADNKLSGNFPLELLNCMSIRQLDLSGNSFGGMLPRGLDRLKSLTDLLLNNNSFTGTIPPEIGNLTNLEDLYLFQNMLSGGIPVEIGKLQRLRELYLYENQLSGSIPRELTNCSCLTSVDFFGNQLSGPIPDNIGRLKNLVILQLRQNELSGPIPSSLGYCRKLQKLALADNKLSGSLPPTFRFLSEMDLITLYNNSLEGPLPESLSLLKNLSKINFSHNKFSGNISPLAGLDSLTALDLTNNSFSGPIPSKLALSKNLTRLRLANNFFTGQLPSEFRQFKDLRFLDLSFNNLTGNLPPSLAGLKNLGHFLLSSNQFSGEIPTWLGGIEDLGELDLSFNNFSGTVPTELGNSPKLLKLSLSYNRLSGPIPPELGNLTSLNVLNLRRNNLSGSIPSTLSKCQKLYELRLSENNLTGSIPYELGSLSELQVILDLSQNHISGEIPSSLGNLVKLERLNLSFNQLQGKVPQSLGRLSSLHRLNLSYNHLEGQIPSTFSGFPLSSFMGNNHNLCGPPLLSCSELKEHDRIWELSKAGVVGITVAIVLTATVICMVLLYIMLRIWCNWRKVTISCSENGGIESTSKQGENWVYGEEINSGQYWNTTSLVSSKEKQISKGTCMFNLSIWKLTASKVGL >Solyc04g079607.1.1 pep chromosome:SL3.0:4:64115305:64115901:-1 gene:Solyc04g079607.1 transcript:Solyc04g079607.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRPKSLAAQDELDIQVGKNTLVNESHIKKNFALLLKNRYVYIRLHYSQHLMNQWKIALLVVKISQRVLPWKKDLSGDFFSTCVVALDFG >Solyc05g051070.3.1 pep chromosome:SL3.0:5:62125575:62133186:-1 gene:Solyc05g051070.3 transcript:Solyc05g051070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNEEKAQSMLNRFITMKEEEKKKPKERRPYLASECRDLAEADKWRQQIMREIGRKVTEIQNEGLGEHRLRDLNDEINKLIREKVHWERRIIELGGPNYTKHSAKMTDLDGNIIDVPNPGGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGILVKLEGPAEKKMRAAAVKEWMEMEEIKREAKKAVKSGEVVEVGLGSKILFEEEEDVIEEERMEREREEKDREKEYVVHVPLPDEKEIERMVVEHKKMELLSKYASEDLMEEQMEAKAMLNIQSDVAQQCDEGSA >Solyc06g054010.3.1 pep chromosome:SL3.0:6:36966775:36972044:1 gene:Solyc06g054010.3 transcript:Solyc06g054010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1,4,5-triphosphate-5-phosphatase [Source:UniProtKB/TrEMBL;Acc:A8IDW6] MRDEYSKRSKLSWSRKLVRKWFSSKGKAVEFESVYGGSYERRTSILEWEHCAIKKTKTEKSTRCMEHLPRGSVSLDRPKIINVQDYRLFVSTWNVGGESPLSNLNLDEWLHSSPPADIYVLGFQEIVPLNAGNILGAEDSGPAKKWIALIGETLNSGPGTSGGYGCYSSSPVPIAEWNADFEGSNRYKTSYFSHRRSVQTPQYGSMENDPSISQLCVDGRYSVCDRVIFGHRFSDFDPNRERKPSDFSSSRRPSDYTSFHRPSDYSSGHRPSDYSCGQRPSDVSRWGSSDDEYGPGDSPTDSFSPVTNRGYAPAEDGYRMPRNSTYCLAASKQMVGIFLTVWVRGDLREHVRNLKVSCVGRGLMGYLRNKGSISMSMQLHQTSFCFVCTHLTSGQKEGDELRRNSDVMEILKKTRFPQVSRVDEEKSPETILEHDRVIWLGDLNYRIALSYRSAKALVEMQNWRALLGNDQLRLEQRRGRVFEGWKEGKIYFPPTYKYSRNSDRYSVDDMQPKDKRRTPAWCDRILWHGGGLQQLSYVRGESRFSDHRPVFSMFLAEVESEHIRLRKVRRSSSRIEVEELLPYSHGYTELCFF >Solyc06g011350.3.1 pep chromosome:SL3.0:6:6570654:6572662:1 gene:Solyc06g011350.3 transcript:Solyc06g011350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aquaporin [Source:UniProtKB/TrEMBL;Acc:E7EC27] MTKEVTDFSAKDYTDPPPAPLVDFEELRQWSFYRAIIAEFIATLLFLYVTILTVIGYKHQADVDAGGDVCGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGVGFVKAFQSAYYNRYGGGVNVMAGGHSKGVGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPVTGTGINPARSFGAAVIFNGDKAWDEHWIFWVGPFIGAFIAAFYHQFVLRAGAIKALGSFRSTA >Solyc07g022830.3.1 pep chromosome:SL3.0:7:22381859:22387336:-1 gene:Solyc07g022830.3 transcript:Solyc07g022830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPANSSHLRKSGSRLVVYDIGILEDAAASVFEEDTTDAKATTTIIPSPLVKFNLHVLLFIIWGVTCCKISWDSVKRMSLNLRDLFLYEAFLYYNPLLLVTIMVWLWGINLWVFAQADVNFPKIFDLDQNHLSHTQIWKCATWMTIIVPTSMTAYLYLYSNGEVSLAASQPVLLYAAFAMALLCPFHIFYLSSRYFLLRTLWRIVFPLQAIAFADFFLADILTSMSKVFSDLERSVCRMVHRQVATIAWFEGDSVCGSHSVAIPIVLVLPYLFRLFQCLRQYKDTRDKTSLFNALKYSTAVPVIFVSALQYHVFPDKWVNLYRPLWLVSAVVNCLYSFYWDLTRDWDLSCFTVVFKFNKPHILSHYLYGRKWVYFWVIGSNLILRCTWTYKLSAHLRHNYLTVFTITALEMFRRFQWVFFRVENEWNKISNNKSSNTQLYLGDQQDKEEEFLINSNGHNV >Solyc10g050850.2.1 pep chromosome:SL3.0:10:50874624:50883453:-1 gene:Solyc10g050850.2 transcript:Solyc10g050850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAETPNRSPEIRKDKIHNRWILFSPARSRRPSDFKAKSNPQPNNQTECPFCAGHEHECAPEIFRVPADSTNDWKIRVIQNLYPAVSRELDFQNPVSLVGDVAVSGFGFHDVVIESPVHSVNLSDLSPAQVGEVLLACKKRIEQLRSCDSIKYVQVFKNHGASAGASMSHSHSQMIALPIVPPTVSARLDSMMEYYKQTGKCSLCDIQPNELLIAESAHFISLVPFAATFAFEIWIIPRDHSSHFHEIDSEKAVDLGGLLKLMLLKMSLQLNNPPFNLLIHSSPYQDDPSNAPSTHWFLQIAPHLSGVGGFEIATGCYINPVFPEDAAKILRDVRISNNNI >Solyc01g107460.2.1.1 pep chromosome:SL3.0:1:94902684:94903720:-1 gene:Solyc01g107460.2 transcript:Solyc01g107460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDKFQFPVIKSKEIALKYTLAHQQLEAVIQFEYTVGFYDGFIQVRARLDNIRLCVARLGFNKNEDEEDSYLLEKHFPSRIRVWVGPEIGANYVGGLSLGRSTNNVEREFEMQKVLKGNFGNSKQSQVKTRAKMATKSKMKNWRWDLEAEGNAAVYESILYDHVSGCEIATWKPVNGDDKNNQLMNNFRGRYFGGNRAFSKKGGLVFAGDECGEEVGWRLSKEMEGSVLKWRVGGQVWLSYWPNNVKSSYYETRLVEWCDEVDLPLIPGKIF >Solyc02g094635.1.1 pep chromosome:SL3.0:2:55862701:55863428:1 gene:Solyc02g094635.1 transcript:Solyc02g094635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVDDILIHPLARASLDEASWLAKKIAADIGNQFQVTKCCALVLMRGSTEDEKMASGLYGFPIKQEKALEDQIL >Solyc09g060183.1.1 pep chromosome:SL3.0:9:58324582:58325258:-1 gene:Solyc09g060183.1 transcript:Solyc09g060183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNNKHFLVKYLACRHNYSARYTDYVLDGCGEFFPTGARETLESFTCAACHFHQIFPRKVEVEVEDGPESSIISIYHPNEITGTQLVIMDDPPPPSQYTVKTRA >Solyc05g050620.2.1 pep chromosome:SL3.0:5:61614984:61615716:1 gene:Solyc05g050620.2 transcript:Solyc05g050620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSEANVFIALTFSDPTSAISFKVSTASGAGRFASALTSSPEMRSTGKLGTGLERSELSDDSFVTETKVDSVIGSTTGSETENSIGCAAVYWECSWR >Solyc10g006990.3.1 pep chromosome:SL3.0:10:1384210:1396827:-1 gene:Solyc10g006990.3 transcript:Solyc10g006990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGILYETLRPLSAAGTTTTATDDIPPSLSSSDEHEPYVVFRNQISLSNLQCPSPETAAPDYFSLDLDGDASDLNNGSVSTPVPAATPLRDKEVERGLEGNWFRANCRFKSPMLQLHQEIIDFCEFLSPTLEEQASRNEAVECVFNVIKYIWPNCKPEVFGSFKTGLYLPTSDVDLVILGSEIRSPQIGLQALSRALSQKGVAKKIQVISKARVPIIKFVEKKSGISFDISFDVENGPKAADFIKDAMSSWPPLRPLCLILKVFLQQRELNEVYTGGIGSYALLVMLIAMLQNHRNGQASVEENLGILLVNFFDIYGRKLNTSDVGVSCNGEATFFLKSCKGFSIKGKQSLISIEDPQTPENDIGKSSFNYFQVRSAFSMAFTTLTNAKAIFALGPNRSILGTIIRPDEVLVERKGGSNGEVTFTNLLPGAGEGLQQYGDQQEIYCNWQLNDNEEALPRGNGIAENGGAESSGKKRKSSKDKQPAKKVKENGHSSHIRDEENSSRKEKTVGAAAAAVALGAHAYLSRSGKFRSRVIGIIPARFASTRFQGKPLVQILGKPMIQRTWERAKLAETLDQVVVATDDEKIAECCRGFGAEVIMTSESCRNGTERCNEALQKLDKKYDIVVNIQGDEPLIEPEIIDGIVKALQSAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNRGYAIYFSRGLIPYNKLGKVNPQFPYLLHLGIQSYDTKFLKIYPELTPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDIPEDVEKIEQFMRERNMA >Solyc06g011335.1.1 pep chromosome:SL3.0:6:6487020:6492149:1 gene:Solyc06g011335.1 transcript:Solyc06g011335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLASIMTAGIDGLRKKLSLPAPVGMFSWCSKKQDIVAQSTTEAEFVAATAASAMWLRRVFGDLHMNQTKGIKVFVDNQSSIAISHNNVFHGKIKHFNIKIFFFREFQNNDDVILLYRKSEEQLTDIFTKPL >Solyc11g013680.1.1.1 pep chromosome:SL3.0:11:6945841:6946212:1 gene:Solyc11g013680.1 transcript:Solyc11g013680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLREGIITMSNSEPNMSLSESQNSILRQHLDSLMSCLQTTPNHPPYAWMIETALQELDKEEGSDEDSISELIIKNNDSLPRAHKIMLKHHLEKMSERGEIVMIDGGRFLLLGESKHLNSKE >Solyc08g023637.1.1.1 pep chromosome:SL3.0:8:26891461:26891472:-1 gene:Solyc08g023637.1 transcript:Solyc08g023637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDN >Solyc01g104150.3.1.1 pep chromosome:SL3.0:1:92515386:92515634:-1 gene:Solyc01g104150.3 transcript:Solyc01g104150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVFEYLIITSLFFFLSQSLSKKKIGLGFIVLGMMIIYVCGEFEGDVVWLDTITLLYVFSYSLSRLMSITNKLNTYIQDVKRE >Solyc05g021077.1.1 pep chromosome:SL3.0:5:26225380:26226540:-1 gene:Solyc05g021077.1 transcript:Solyc05g021077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHTTFKSFLEKFSYPKPSLSFMNTSLLTTENLKNFKNEKSYVLILKKKLSAIEGLRFDFHLFYSSVKSRGSKFNLIKLPWNGQPSSVFYVYYCRFTSYSHYFKNCNSTDNIIELPGMQPLSPIDFLCLVFDDSEENSRVLVNTFDALEFDALRIIKHVIMVGIGPLMP >Solyc04g008680.3.1 pep chromosome:SL3.0:4:2314427:2333711:1 gene:Solyc04g008680.3 transcript:Solyc04g008680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLFIPKLFFISHIFLVQFDHNMMIESWNPPLLHDYSKLSRLQSNRDCSNNGVLMMEELELPMIDLNGLKSRDEREVIRCENAIAKASSEWGFFQVVNHGVSLELLRKMRNEQMKLFKAPFEMKANCGLLNNSYRWGNSTATCPKQFNWSEAFHIPVTKISENASYGEFTTLRDVMVEYATSMQDLAKSLASVLVKKLDHKDSEIGEICNENSCFLRLNHYPSCQLPQEIFGLVPHTDSDFLTILHQDEVGGLQLMKDSKWVAVKPNQNALIVNIGDLFQLGHGYSESSAGGPERSSQLPTGSRHSSMLGTPQDAEMNSYTSHSHHPSTAPNYGGQYSSVYGSTAQQMPTIGGKGSGSSALESRSGFGVDSPKFTAGDYISSSSHGYGHKAEQQYTDRVSDYPTLDRRYGERHNSYAGRDLTSEQPSRYSDSISFGNKHQAERYEHMDHVSLLRQEKMRQEQILKSQALQSASVDGGSRQAEYLAARSAAVRLAAQDPISYSSRIDSDPRTLSTLPGSLLPGQHAPSILGAAPQRAVEDVMYVQSSINPGYGVSLPPGRDYGIGKGLHATSVDSDYPSSVLTRAGHSRRHSPVKRREYFCKVYSSSLVEIERDYLSLDRRYPRLFISPECSKVVVNWPKGNLKLSFHTPVSFEHDFVEGEAATALKRLSLKPSAGEPEKSEPGMTIWNAKMILMSGLSRNSLEELSSDRNYDDRIPHMCNMLRFAVLKLENSLMTVGGQWDSVDGGDPSCNDSALIQTALRHAKDIAHLDLKNCQQWNRFLEIHYERVGKDGRFSHKEVTVYFVPDLSECLPSLESWREHWFTKKKDIAEREKELALSKEKSGEKKTLKEYQHLTDAKRGLKSEKNSASGQSAEASKKENDGKLKESIADKEGSKKKGGESKQPLETGKVGNDNAEPNPAAIETDGSAKIVKKRVIKRIVKQKISNKKDLETTDEVNEKADIKETGDGNMSSEIASPQVGASANPPVKTFIRKKIVKKVPVVKTPKEDGMKPPDVESVKEVESSEDKGNSKTDGNSTSIKQDAVVKKLVKRKIIKRVPKRKAATTDTNNGATGVASLNDDVKEEKSVQAESEVKNVGNNNAETAENVNVVNQEQKVSPKTKSKIADVKQESKEEKKAKELSLAGSKKESEADKHKSPQNDDLLKLKGKEVPKEQTGKKDQDEKILSKSKSTKEIKEKRSEDPPRHPGFFLQTKGSKDMKLRSLSLSLDSLLDYTDKDIEESRFELSLVAESLYEMLYYNMGSRLFTFLQKLRSKFLIKRNQQKRQREESSKKISEDKPAKRAKKTDEHREDDKSTKTESHGKHDQKDEKLPVKEDAILLNNAEETENAEEMPKTDEEASEIKPNLESGSKEVSTKVEKNTKTEFNKELLQAFRFFDRNRAGYVRVEDMRLILHNLGKFLSHRDVKELVQSALIESNTGRDDRILYKKLIDMNL >Solyc03g111970.3.1 pep chromosome:SL3.0:3:64017577:64027682:-1 gene:Solyc03g111970.3 transcript:Solyc03g111970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILHYEVWELVQGKTEVTKDDLGNMQYLKAVIKEFLRLHPLYPLSWDDPDEYRPERFLNSNIDFRGINFELIPFGASRRGCPGINFNRASISKDCTQVQFSIRKGTKNEDLDMSECTGITIHRKLPLLAVATLFAT >Solyc09g061825.1.1 pep chromosome:SL3.0:9:60646121:60647384:-1 gene:Solyc09g061825.1 transcript:Solyc09g061825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPLEFRQGRETEKDEVGNPESTALISVQVQGIGLLFGKLSISYMWYKLYMEKTTHACSIPSKLQFGQGKYIIIKEKNAHVKPLSEALFGLCWNHKRFFVPKAAQFVKDPVVTLKQFWMKHLVPYAFIGHADYRQPI >Solyc09g065470.3.1 pep chromosome:SL3.0:9:63804484:63809871:1 gene:Solyc09g065470.3 transcript:Solyc09g065470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIETEHGRIRVLPKFNERSKLLKGIENYRFAILEANPKTFVVPNHWDADAVLFVAQGRGTLSLVRKGKRNSFNIRRGDVIKVCAGTTAYLINRDDNEKLLIAKLLRPVSTPGHFEHFFGPGGRQNPESFYSAFSSEILEAALNTRKDRLQRLFGQPREGVIIRASEEQIRVMSQHEEGGIWPFGGESKGSVNIYKQRPLRSNQYGQFYQVDESNYKQLEDLDISINFANITEGGMVGPIYNSRATKIAVVVQGEGYFEMACPHLASKFTSSHETRIGSSYQKVRSKLRRGMVLVIPPGHPFVGVTSTNQNLQIVGFNVNARDNEIVPLAGRNNVMSQLEREALELGFGLPAREVEQIFRSQQEEFFFQGPGGRQSGRAAA >Solyc04g049670.3.1 pep chromosome:SL3.0:4:42786404:42792875:-1 gene:Solyc04g049670.3 transcript:Solyc04g049670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANDSMGIVFKCLSKGAVDFLVKPIRKNELKNLWQHVWRKCHSSSGSGSESGIQTQKSTKSKSVEMSGNNTDSNDEAENGSFDLDDRDGSDNGSGTQSSWSKRAIEVDSPQPMSPWNELADPPDSTCAQVIHSRPEALSATWVPANATRRCLNEKDELGNVRTVKDLNMGVANVPELEGLSGKVMDGLAASMKDKHLELDPKDNENMGRNLKLNKETREDDLKDKDVGYMGDITNTCTPQVESTANEVPNDPSKITNIKEIATYDSKDMPSLELSLKQLRDVGENGTGVQERNILRHSDLSAFSRYNAASTANQAPTGNVGSCSPVNNSSEVAKAESIHNLQSKSSSTPNQRSNGSSNNNDMGSSTNNFFIKPDTLIDKPINKPAVNAHSCSAFQPVQHGHTSSLQAMVPGTQDTAKAAVGQARAMHQKFQVQHHHHHYHHHHHHVLSLQQRQQLLNADASSLRNAVETAPDCGTSDMVGTPVEGNAANYGSASGSNNGSNGQNGSSGQNGSSTAVVAEGTNLVAENETGEKCEIGSGSGSATRSGDHSAQREAALIKFRQKRKERCFEKKVRYQSRKRLAEQRPRMRGQFVRQDADKSKSNNTNS >Solyc03g118110.3.1 pep chromosome:SL3.0:3:68558108:68563148:1 gene:Solyc03g118110.3 transcript:Solyc03g118110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLRRALLFTIPRIINSSKTASVATSTLQSHNLYRPLAGTFSRFISSNETSSPMNIDLSDEESKRRLFNRLIYRSKQRGYLELDLVLGKWVEEHIQSMDENGIKSLVHVLDLENPDLWKWLTGQEQPPDAISTNPVFSAVHEKVMNNLNNHTSPETRAVPGKPWVRGWDDIKRGRDAPIAGNQ >Solyc02g094200.3.1 pep chromosome:SL3.0:2:55442738:55447703:1 gene:Solyc02g094200.3 transcript:Solyc02g094200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVAKAFVDHYYSTFDSNRAGLANLYQEASMLSFEGVQIQGAQSIVAKLTSLPFQQCKHHINTVDCQPSGPAGGMLVFVSGNLQLPGEQHALKFSQMFHLMPTPQGSFYVLNDIFRLNYA >Solyc09g011883.1.1 pep chromosome:SL3.0:9:5163119:5163763:-1 gene:Solyc09g011883.1 transcript:Solyc09g011883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTCVLVDDLLVTGSCESLIVQTRNDLQLKFNMKDLGELTFFLGIEFARSKEGYVMNQRKYALELISEMGLSGAKPVHTPIDPNVRIDISFVVQVLSQYMHAPKESHMEAALQVVRYIKTAPGLGLFMPSQSSELLTTYCDSDWGTCMQTRKSVTGYLVKFGDAIISWKSK >Solyc03g097210.3.1 pep chromosome:SL3.0:3:60958375:60962377:1 gene:Solyc03g097210.3 transcript:Solyc03g097210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSLISLSSSSSSTVSRTFPSFFSSETSSPPSSLLTLLRNQSNFSHVASSYRNFGSGSCSFVSSGCSMSAADYVVPRAFSSSFGSEFSSSGRNSDGESSEVRSGENDEQGAETDDEDQVLIVDEEDAGYSNSDLPQRWDVLGLGQAMVDFSGMVDNEFLERLGLEKGTRKVVNHEERGKVLSAMDGCSYKAAAGGSLSNSLVALARLGGQPIAGPALNVALAGSIGSDPLGGFYRSKLRRANVNFLSAPVKDGTTGTVIVLTTSDAQRTMLAYQGTSSRINYDPCLAEAITKTNILVVEGYLFELPDTVRTISKACKEARKNGALVAITASDVSCIERHYDDYWEIMANYADIVFANSEEAKAFCHFSSNESPLSATRYLSHFVPLVSVTDGPKGSYIGVKGEAIYIPPSPCTPVDTCGAGDAYASGILYGILRGVSDLKNMGSIASKVASVVVGQQGTRLRVHDAIGLSESFSVHCRNSTFWS >Solyc02g064802.1.1 pep chromosome:SL3.0:2:36517999:36521415:-1 gene:Solyc02g064802.1 transcript:Solyc02g064802.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSTSMTIRTGENAYSITSLPHVFQHYSLQEYIKELTLKGSLLNDCTNIGLSNLRSWKNRIEAKALIPHPITSLPHVPRCLQLIPADTGHPGRSKPSHFPLSLRLYNRVDLLVTVDKKITYLEWILVKPTEAQNAISHSKEWNITENISSIMTSCAGKEKQMNQVWQHRGTLNRNVDFSYKKLPPLSERIYCDTHYLYLFHAYAKHLQHFLSDGVCMEDTHSTARKQYLPFLCLESYLEPVDEAMMQSCIPCSASHSRLVKDDNRDKASRVVHRSAILVPHMERRINFGMQVKQSFSKLVREEQKSRVRLLEKDQMRKAR >Solyc03g117190.1.1.1 pep chromosome:SL3.0:3:67884309:67885592:1 gene:Solyc03g117190.1 transcript:Solyc03g117190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINIVILSLIALVLISSTLLVEARSRNNEEEKRRSRGGVGRSRRSSGGRRSRGRSSSSSGCDPLFSYLFGSCGQWPFPRNAQNNPFTQPISPSPSPRIPAPPYRPPIVPSPPPAVIRPPVIPSPPPLIPSPPIVNPSPPPLVTPSPPPVVAPSPPPVVASPPPPVFSPPPLVPSPPPPSPPPPIPPPPLVPSPPPPEPPPFFVFPPPLVASPPPPAEPVFPWWSPPDPDDTPNFPLFSPPPLVPDFPLPTPQPPDFPPETPLVPIFSPPEQDFPPPTPLLPIFSPPPIFTLPPPEQNLPPATPLVPIFPPADNQPVIPDQPPNSFMPSPLFPDQPPTNFLPSPIFPDQPPNNFLPSPIFPDQPPVNFLPSPVIPDQPPTNFLPSPVIPDQPPETFLPSPLVPDQAPPVAVIPPFELPPQPDSPPFA >Solyc03g044230.1.1 pep chromosome:SL3.0:3:8509316:8512753:-1 gene:Solyc03g044230.1 transcript:Solyc03g044230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVITTFLLIFFVYLINANDMFYNNNNNNNDTDEEVKRIYEIWLAEHGKIYNGLGEQGKRFEIFKDNLRFIEEHNNSKNQTYVLGLNRFADLTNEEYRTIFLGTKSDARRRLVESKNASHHYDFRASDSVPKSVDWRKKGAVAPIKDQGTCGSCWAFSTVAAVEGINQIATGEMITLSEQELIDCDRMYNDGCNGGLMDYAFQFIISNGGINTESHYPYNGIDHICDLVQKNAKVVSIDDYEVVAANEKALKKAVAHQPISVAIEASGRAFQLYSSGIFTGNCGTQLDHGVVVVGYGTENGVDYWIVRNSWGTNWGEDGYIKMERNIEDTNSGKCGIAMEGSYPIKNAINKITMGEEGLQEVSKEITWHGSCLFSEF >Solyc05g010805.1.1 pep chromosome:SL3.0:5:5026794:5027378:1 gene:Solyc05g010805.1 transcript:Solyc05g010805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGAAQKILGMEIIRYRERRKLFFSQRSYIQKVLARFGMSSSKPIDTRSAANIHLTAMFAPCQCRRKFDVCYDLAHAVSVVSRFMGQPGREHWQAVKRILRYLRGTSDVGLIYGGDTQCFVTGYSDSDYAGDVDTRRSMIGYLEGNFATYSDFVYYGNGVYGLDRGCKRRDLAERAG >Solyc05g009610.1.1.1 pep chromosome:SL3.0:5:3812164:3813201:-1 gene:Solyc05g009610.1 transcript:Solyc05g009610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIKYDPNFNLQKMKEYPQHKVLLEEIEGLIKVYKNGHVERPQIVPNVTNKLPLELGVTSSDVVIDRYTNIWARLYVPKTLCQGNKLPLLIYFHGGGFCVGSSSWICYHEFLAKLASIANCVIMSVNYRLAPENRLPSAYDDGVKTISWIKQKVLIGANEDYRWLNKVNFSTTYLVGDSAGGNIAYNVAIMLRSKMGDLKPITLKGIILIQPFFGGESRTYSEKYIIQSPRSALTNVSADTYWRLALPTGVNRDHPWCNPIGRESVNLVDTRNIPRILVCISELDILRDRNLEFCATLNRVSNKKVVEYVMFKGVGHAFQVLSKSQVAQTRTTELIEQIKGFIS >Solyc01g097580.1.1 pep chromosome:SL3.0:1:88246886:88247940:1 gene:Solyc01g097580.1 transcript:Solyc01g097580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDDKVLREAKLKQRYCNMIVKSQKQILGDSYDEEEMEKKVRSWERELREDKEEKAKSREKDREAARIAIASMKRTVYFDDALQAERDFLAIIGSTLLHTRHFLDPKSYVKFSAALVRIAIMGEVEAYPALLLPLQSEEGFSFRFKVSAL >Solyc09g055803.1.1 pep chromosome:SL3.0:9:45082463:45082806:1 gene:Solyc09g055803.1 transcript:Solyc09g055803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVSVEHTVMENKVGTVLLVFYLFSTDSVSSSKLCRPINELLSEGNPQKYHGTSALSRYKI >Solyc12g009160.2.1 pep chromosome:SL3.0:12:2466296:2472646:1 gene:Solyc12g009160.2 transcript:Solyc12g009160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQEEFVKSVEDGLRLSKQIYFGKDRAVAPPKQMTAMEKTAESYFPTSPMMYAVIENPAFVDNPDIPSYQPHVHGRCDPPALIPLQMNGVSIAADCYFDTAFITVTGSWRLHCVMSSRSCDCRIAVPMGEQGSILGVEIQLPRKSYSTKLVAEDDEKENKKSVKLEDGCFLKPHIFTLTIPEIDGGTYISVTIRWSQKLLYRDGQFTLSIPYSFPVYVTPVGKKISKKEKIQFNVNCGPQAEVSCKAISHPLKELEDEGEKLGWFYEADVFNWSSSDVVITYKISSPNYHGSVLLQSPQLHDSDQRKMFSCYLFPGALDCRKVFRKEVIFVVDISGSMKGKPIDGIKQALSGALSKLDSQDLFNIIAFNNEEYQFSSSLEVATKEAIDNAIQWIDMNFIVGGSTNILNPMKQAIGMLSDVGESMPIIFLVTDGAVEDERQICEFVKSHLTENRKMCPRLYTFGIGLFCNHYFLRTLATMSRGHYDAAIDVESLQVRLERLFSRASSIILANIAFENLDGLEELEVYPAQIPDLSSEGPVVLSGRYQGVFPEMLKAKGILTDMSNFSVELKGFKSKAIPLDKARVKQQIEILTAQAWFTQNKDLEKKIAKMSIQDAVISEYTRMALVEAEKVKIIKSTTKRKVHCDDEKIEERLIQKTILLQYPGFGFGNLTATIENIPPGAIDKEDEAEIIAKATSNCFGKLCGLCCCCSCCITACSNMNHQCAIALTQCLGAFGCLGCFAYCQYCCCENHK >Solyc09g055940.3.1 pep chromosome:SL3.0:9:46477916:46480757:-1 gene:Solyc09g055940.3 transcript:Solyc09g055940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVNTIKKFSCIKLSCHLFSALLLLSFFFPPRRRSSQFFPAIGINYIHRRAISLILRMSTTPFLSVKYPKPFLSIPNSIFHGKSTFSVRYPVLPGFNGCAVACLTSSPLTGRVGLQRREGNLSLLSFGANPKSFYVAEDDEKVDYSQVLSALLPFVVAITAVAALSHPSTFTWVSKDLYAPALGGIMLSIGIKLSIDDFALAIKRPFPLSVGFVAQYVLKPALGLLLAQAFGMPTTFYAGFVLVSCVAGAQLSSYASFLSKSDVAFSILLTSTSTIASVLVTPLLTGLLIGSVVPVDAVAMAKSILQVVLLPVALGLVLNTYAKPVVSVIQPVMPFVAMICTSLCIGSPLAINRAQILSAEGAKLIAPVLTFHGMAFALGYWLSKLPILRFEEEMCRTISLCTGMQSSTLAGLLATQFLGSSQAVPPACSVVAMAIMGLCLASFWGSGYRIRDIPSILFPSNASTIKPSL >Solyc03g078150.3.1 pep chromosome:SL3.0:3:51554980:51562403:1 gene:Solyc03g078150.3 transcript:Solyc03g078150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKDEEFLDYLTDNDSDDVEANSVNMSDDDDDEEEEENAPFASQQWPQSFRESINSYTIAASPNFGFIRRGSSIIPESDTKGFLDSDLKTHLLPEKEKIQEQNVADGISVTKASLLEKASSLHEQLTGELPIPYGCSLTQTVFNGVNVMAGVGLLSVPCTVKEAGWASLLVLVIFAVICWYTASLMKHCFESREGILTYPDIGEAAFGKFGRIIISIILYVELYSYCVEFIILEGDNLTSLFPGSSLNWGGLKLDSIHIFGILAALIILPTVWLRDLHVISYLSACGVFATMIIVLCVIFLGTVDGVGFHNTSQVVNWSGIPFSIGVYGFCYSGHSVFPNIYQSMADKSKFNVALIICFILCILIYGGVAIMGYLMFGQSTLSQITLNMPNNSIASKVAVWTTVISPFTKYALLMNPLGRCIEELLPERISSSLWCFLLLRTALVFSTVCVAFLLPFFGLVMALIGSLFSILVSIIIPALCYLKIIGKKATRTQRVSSISVIALGIVCAALGTYSSLSGIANKY >Solyc09g011200.2.1 pep chromosome:SL3.0:9:4547603:4552259:1 gene:Solyc09g011200.2 transcript:Solyc09g011200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTLLLIIFFTSMINYTLSKKIATKNKLICENCGKIPVPYPLSTAPNCGDPLYKVSCNAGTLWFDAMKNSSYVISSVTPQIQRLVIKPPTPYPNTCLSSDFRSEGIQLDPNLPFNITASNVILLLNCTDYMLHLQVPINCSSSCVCHPYVEKNLEWGACRKQSLCCTFRTGGSQNEYMIRVHSQGCMAYQSFVGLDSSLPIEKWPQPGLELMWQIPDPPICKKQVDCDALKYSRCLVDPKDVRKKRCFCKSGHYWDAQGYCQKCRHGTACKIRRNKAPLIAVAVISVVLMVLGGFLVYRRYLIKRRTIRLLVKEREEILSANTSGKSAKVFAGKEIKKSTNNFAKENLLGTGGFGEVFKGILDDGTIVAVKRAKPGNAKGTLQVLNEVRILCQVNHRGLVKLLGCCVELELPLLIYEYVPNGTLFEHLHVFRLRGQAPLSWLRRLVIAQQTADGLAYLHSSAVPPIYHRDVKSSNILLDDKLDAKVSDFGLSRLVELSESDNTHINTSAQGTLGYLDPEYYLNLQLTDRSDVYSFGVVLLELLTSKKAIDFNRDEENVNLVVYMKRIMDGEKLMDVIDPFIKDGASKVELETIKAVGNLASACLDDRRQNRPSMKEVSDELEYVIGIVSGQASKS >Solyc07g021570.1.1.1 pep chromosome:SL3.0:7:19684805:19685059:-1 gene:Solyc07g021570.1 transcript:Solyc07g021570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFACSLPVSTSAPIQSEERAKKRRRSSISRLLLLRKPYSFPSIGTGNRSLDPMQLVVSRFRLRMVSEIIWIPLVMRIRNITH >Solyc06g008850.3.1 pep chromosome:SL3.0:6:2794261:2798980:1 gene:Solyc06g008850.3 transcript:Solyc06g008850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKNKKLTNTMAPKKKKKQSNNAAESSSKSTVAEKKNPQALKGKHKLVKKFSHVNSAQIKEAKISSQMRARNKHKKAKNGNKGNHVGEKDASESENINKGNNELDTSERCGEMTKYEKTQKSLDLNEGRGEVNKDAKTLNSLGGVIFMCNARTKEDCFRYRVMGVSASKQHFVMGIKPGLKLFLFDFDLKLMYGVYEASSAGGMRLQPAAFGGAFPAQVPFRIHKDCIPLPENVFKKAIRDNYDEKTHKFKTELTLMQVEKLTEMFRPTPCLYPTLKPVLQDPVAQPVIQRSAAPPLSGYEPVREHVYGAQYGSSKAGQNFSHDHGRQQFVDHHVMPREVARNLHFLTEKEYRNYGLQQAKHVQPCTSAVHVTHNLDHCGSEQGIQQLLRAPAGARSDAAFARNEHVHSDARFPNEREYRSYGLNSLHGQPGTVTPAAESRNRMSAAANHSLLKNVNPYDEDTTSLVNRYLSLPRTMITPGELPLTGRESFASASNYVSDIRGHPGRLPAENVRFYAPSSPNALSGYGHIYQHPRDEPGRSLSGLRQYPFAGPPASRR >Solyc02g069250.3.1 pep chromosome:SL3.0:2:39755884:39757969:-1 gene:Solyc02g069250.3 transcript:Solyc02g069250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTPKHTQVVSGWAALDSSGKITPYTFNRRENGVNDVTIKILYCGICHTDLHYAKNDWGITMYPVVPGHEITGIVVQVGSNVSHFKTGDKVGVGCMSASCLQCESCKTSEENYCDKVQFTYNGVFWDGSITYGGYSNMLVADYRFVVAIPNNLPMDRAAPLLCAGVTVFCPMKDNNLIGSQRQNIGVIGLGGLGHLAIKFAKAFGHHVTVISTSLSKEKEAKTKLGADDFIVSSNPQQMKSRHRSLDFILDTVSANHSLGPYLELLKIKGTFVIVGAPDKPMDLPSFPLIFGKRTVKGSMIGSIKETQEMMDICGKFNIMCDIEIITPHQINEAYERIEKNDIKYRFVIDIAGQSSKL >Solyc08g067560.2.1 pep chromosome:SL3.0:8:56627945:56629940:1 gene:Solyc08g067560.2 transcript:Solyc08g067560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLTVIENRCNHDIEIRVWVSPARPDKFQSIIRIEGEGGWKEVNSKNFIHADATILDDNDDDIEFVSSTLLMIYMDGVYTGYYFLPIHLVKYAKVICDINEDGLFVVQGIKPTFNFCRFKGCAYFPYLRGNKKEMI >Solyc09g015300.1.1.1 pep chromosome:SL3.0:9:8504939:8505295:1 gene:Solyc09g015300.1 transcript:Solyc09g015300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRFPESEVKILVNRNPVKTSFEEWARPGHFSRTIAKGIDITTWICDLHVDAHDFDSHTRDLKEISRKLFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWISDQTHIGPSAQVVWPI >Solyc06g033890.2.1 pep chromosome:SL3.0:6:23475001:23475444:1 gene:Solyc06g033890.2 transcript:Solyc06g033890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQLEFSCITFKIFHGYIFEGVVYKIKKPCVFLRCGPIDKVYLSHKKMEDYKYVPGENPIFMNEKMSRIEEDIVVCFIVVGAMYVEVEKEFQTVGSLESAYLGHISQNAVWISVIYSPTL >Solyc07g062200.2.1 pep chromosome:SL3.0:7:65130287:65134121:-1 gene:Solyc07g062200.2 transcript:Solyc07g062200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSEFDFGQLDQLFNFLSSPSPPPPPPTTLQSYQDSSFSLQKQNSNIVFTSTHNVPKKKPILITNINIIDDQVIQDLPKEKEMVVEKKVMRRDVERQRRRDMAKLYQRLRLLIPSKYLMGKRSISDHLEEIVDYVKDLKKDIEELESKREKLKEMKNITNISSPLAPNSSSMKLNDDDDEDKIIVKSCNEGVEISIKGVLSISKVLKVLMKEGFIVNSCVSSTINQRLIHIIQTKVNKRGDIDLALLRSKLMGKKSYI >Solyc01g108047.1.1 pep chromosome:SL3.0:1:95316041:95320499:1 gene:Solyc01g108047.1 transcript:Solyc01g108047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVGLQPDKFTYSTLTGAYCANVDIKVSIIVLMIELFKEGVSEELHQVIQSTLESCKLADVESVRDQCLQNTKNLLQRDNTFTCLSYLKGIEMLLLFLHPPSQALWHRFETIIWRNGPPEKPVLCNACGSRWRVRRTLDGYIPRHGNIEIESYQRPSDMKPARPEKKLEVGIEVSGQDGSSACLEEEMNNLSTLGSAWSSSDNCMQMGETNEAYKDPLWNPDSVPRRKRSKRRKHILSPIERLHRQLHNILQEPDFENVSTDDENILIYARNKYIPPNEIGLGAMLLVSPPAATEHLTSLSPVTDDNDASCSVNVPVGNSNSNL >Solyc01g006755.1.1.1 pep chromosome:SL3.0:1:1343868:1344209:-1 gene:Solyc01g006755.1 transcript:Solyc01g006755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTIAKTEEFVKTNIITRLATVKENPNKDCLDTCKEVYEDAVDAMKKTIKSVDEGNYVEALVHVSAVGSFMGTCKDSIEEIHCDVNPEMTKFEDWSNGVISDATTKIASVAH >Solyc02g080430.3.1 pep chromosome:SL3.0:2:45206060:45208537:-1 gene:Solyc02g080430.3 transcript:Solyc02g080430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIISQLQEQVNTIAALAFNTFGTLQRDAPPVRLSPNYPEPPPANPTEDSANVAEQPKQMSAAFVKAAKQFDVLVAALPLSDGSEEAQLKRIAELQAENDAVGQELQKQLEAAEKELKQVQELFNQATDNCLNLKKPE >Solyc03g115340.2.1 pep chromosome:SL3.0:3:66566702:66567292:-1 gene:Solyc03g115340.2 transcript:Solyc03g115340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYWYGLETAALSTALFNNGSTCGACFQIKCINAPKACHPDQIITITATNFCPPNYTKTTDVWLQRKKAGVVPVVYRRVTCQEKGGLKFEIKGNSNWIIVLVFNVGGVGNVVNVKIKGSKTGWVPMKRNWGQNWQANVQLGGQSLSFQVQTSDGKMVRSDNVGI >Solyc07g005990.3.1 pep chromosome:SL3.0:7:839223:845801:-1 gene:Solyc07g005990.3 transcript:Solyc07g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELMMSSGMASFPVKYEVEDPLEDEHGPLTKRSKLSSASSSFNQWDAGNDELPVPPPEYNPLDEPSPLGLKLRKSPSLLDLITMRLSQNNASSTAPENPTSVSNTGTKGASTSVATDKLKASNFSGSLLRIGTWEYASRYEGDLVAKCYFAKHKLVWEILEGGLKSKIEIQWSDIMGLKASCPENGPGSLTLVLARQPLFFKETNPQPRKHTLWQATSDFTGGQASLNRQHFLQCPVGVLNKHYEKLIQCDVRLNSLSKQPELALESPYFDTKATVFENLDELNDHGLDPVGSGKGSPLSSIQDAASPAAAQSSSVSFEQPDLLGAAPEHLSRDAPSPSSVMDTHAVRDNANSIGYDSNRMRNLEQLKVPGLRPSMSMTDLVSHFEICISQQINSGSLQSDEALECKGMLEDIAQMWLSDTQCTPASDEKSLMKKVNSLCCLLQDPIASHEPQLNGENHLQNPVQSNDACSSSACENDGNNKENTKDSVGSKPTPSIPRIDSFGDLLLHLPRIASLPKFLFNIVEDDENQSR >Solyc03g115510.2.1 pep chromosome:SL3.0:3:66663970:66673592:1 gene:Solyc03g115510.2 transcript:Solyc03g115510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNEGGDDQEGSGWMQVKKKHNRNSSKFSLHGWVGGSSQGTSTCHPDSQSSLAVKNEDLKSSLWHSKGNRPGIIHDGGTSVPKEDAVIVHDKCVVGHCSTSVSLGFSTDSNQGVNREHSQRINHEVLPKIKWGDLDDRALPSHFGSTVQAEIKFGDIQNHDLLSRRTDQTNDSFAHTSITDLEQNRLVATTEDETHQILDSHPLSPNMKELSSEDINATAAYTQLANGDTCNSPGEKVKCSARKGPSGVVMCNVESEEACMEIPEVSSLDQNIKTVVVSQNPESLSPTKGGSGNIEQSFLASSNEEFRNKRVNSIIEDLSRTNSSSIDTEDSSESKERFRQRLWCFLFENLNRAVDELYLLCELECDLEQTKESILVLEEATSDFKELSSRVEEFERLKKSSSHATDGTPFTMKSNHRRPHALSWEVRRMTTSPHRAEILASSLEAFRKIQHERASLSATVVEKMEPNCYDHHCGSISVLETFNEKGDKKSCSNELLEKSTKQSNALNPSHGNLSREKRHIDSGKSASHASRLPLKEGVSTSVNGKNKRDNEKNLKSIDHLKRHYERDKEKRNGSSWRSMDAWKEKRNWEDVLSTPQRISSRFSYSPGLSRRSAERARTLHDKLMSPEKKKKSAIDLKKEAEEKHARAMRIRTELENERVQKLQRTSEKLNRVSEWQTVRSLKLREVMYARHQRSESRHEAHLAEVVRRAGDESIKVNEVRFITSLNEENKKLILRQKLHDSELRRAEKLQVLKTKQKEDMAREEAVLERKKLIEAEKLQRLAETQRKKEEAQVRREEERKASSAAREAKTMEQMRRKEVRAKAQQEEAELLAQKLAERLRESEQRRKIYLEQIRERASMDFRDQSSPLFRRSVAKEVQGRSTSINNCEDNNENNGSTPEGSMLAPGHITTQHSLKRRIKKIRQRLMALKYDCPELSISTENAGFVYRTAVSTARAKIAKWLQELQRLRQARKEGAASFGIITAEIIKFLEGRDAELQASRQAGLVDFIASALPASHTSKPESCQVTVYLLRLLKVVLSAAANKSYFLAQNLLPPIIPMLAAALETYIKIAASSNGSASANLVTSKASTERLELMSEVLDGFLWTAAAIIGHASTDERSLQLQDGLIELVIAYQVIHRLRDLFALYDRPPVEGSPFPSSILLGVNLLAVLTFRFRNMSSLTCENFPGVSTHENEKNDIEFVEAADLKSSSFLCNYGTEGKLVFSGVNGGVALGLSDVPEDSPLDEFPKIKEHQGAVVNDLSSDNVDSVAVSLETADVLQESASNGTYNNLQTVEKKYQDNGKGHIGGNESMMKPAVKFLLSAVSETGLVCLPSMLTAVLLQANNRCSEQQASYVLPSNFEDVATGVLKVLNNLALIDISFIQKMLARPDLKMEFFHLMSFLLSYSTSKWGTTTDQIGLLLLESLPLLGYFSLFHPENQAVLRWGKSPTILHKVCDLPFMFFSDPELMPVLAGTMVAACFGCEQNKDVIQQELSTDMLLALLKACRSSLPSANSFTTPNYPSLDETGASAQLGPESKNLQVDVPLKSNRNSRSARVLPQRGSPLPTARTARIRNLRENKVVKPCEGKSLKSISPVPKSTTAWMLHSRLSTDVLDKAEQFFAAVTCNENGEL >Solyc03g115610.3.1 pep chromosome:SL3.0:3:66741855:66745837:-1 gene:Solyc03g115610.3 transcript:Solyc03g115610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEESDILLLPVALFHLLLLITTVCGETLENDKQVLLSYKDFLELQNPVNKGYRHTKWNASDSSPCSWSGVSCDVDRVTRIDLSGDGLAGNMFNNFSAMTELTYIDLSMNTIGGSIPADLGQCKNLRFLNLSHNIIDGELNLTGLNNLQVLDLTMNRIHGEISLTFPGICDSLVVANISNNNFTGEIGTTFDQCWNLRYLDLSYNNLTGGLSFGFDKLKEFSVSKNKCNGSLLSSFFTPNCTLQVLDLSENGFVGGVPKEISNCKTLEDLNLSGNDFSGPIPEEIGSVTSLQALYLGSNNFSRDIPESLLSLSNLVFLDLSRNNFRGEIQEIFRQFTQVKFLLLHGNSYTGGIVTSGIPNLVNLSRLDLSDNQFSGPLPVELSKMKGLKFLILAYNHFNGSIPSVYGDIPTLQALDLSSNKLTGSIPPSLGKLSSLLWLMLANNSLTGGIPPELGNCSSLLWLNLANNQLSGSIPPQLARIGSNPMPTFLSNRAKDKVTAGSGECFAMKRWIPADYPPFSFVYPLLTRKNCRSLWDKLLKGYGLFPVCEPGSNVRSNQISGYLQLSMNKFSGGIPPEIGSMQNFSMLHLGVNEFGGTFPSEIGKMQLVVLNVSQNRISGEIPSQIGNIKCLLNLDLSSNNFSGLFPASFSNLTDLSKFNISYNAHIYGTIPENGQLATFEKSSYLGVPLLHLPPFIDNTTNNAINKGGSFKRPTKVGTVLVFMALLLAFLVCGLMSLVVCLVLKSPIDTPGYLLEDSKGRHDLASSSGASSPWLSNDVKVIRLDRTSFTHSDILKATGRFSNDRIIGKGGFGTVYRGVLPDGRQVAVKKLQREGIEGEREFRAEMEVLSGNDFGWHPNLVTLYGWCLNGSEKLLVYEYMGGGSLDEIITDRSKFTWKKRINVAIDVARALVFLHHECYPCIVHRDVKASNVLLDKDGRARVTDFGLARVMDAGDSHVSTMVAGTVGYVAPEYGQTWQATTKGDVYSYGVLAMELATGRRAVDGGEECLVEWARRVMGDGRQGFTRAIIPVSLLVSGLAEGAEELCELLRIGIRCIADIPHARPNMKEVLDMLIAISRSQRSGSSRSTSPSF >Solyc09g075890.3.1 pep chromosome:SL3.0:9:67954938:67957452:-1 gene:Solyc09g075890.3 transcript:Solyc09g075890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPFDSIIFDLDDTLYSSATGIGQSLKKNIDDFLVEKCGFPVSKASALRVELFKTYGSSLAGLRAIGYDVDADDYHSYVHGRLPYDLIKPDPQLRSILRSINQRKIIFTNSDRIHAMKALDRLGITDCFEQIICFETMNFNLSKATRPEEIPVILKPSMEAMNIAIEAAQVDPYRTLFLDDNVKNIAAGKAVGLQTVLVGRSTKTKEADYALEIVTDLVQVVPAIWFKEEEKDQKVTRTRSEMDFLATTSVGA >Solyc09g007640.3.1.1 pep chromosome:SL3.0:9:1208349:1209752:1 gene:Solyc09g007640.3 transcript:Solyc09g007640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVEAFSSMESKPKKLHLFLLILLLTSLFTSSASSSVTLQSFFPKEALPTKSGYLTVNSTTGSAIFYTYYEAQKPRFDLSETPIIIWLQGGPGCSSMLGNFYELGPFRVSSSLRQNVEHLTLKPNPGSWNRLFGVLFLDNPIGTGFSIASNPEEIPRNQHDVAKHLFIATRKFVALDKLFENRPIYLTGESYAGKYVPGFGYYTLKKNEGLPKSRRLNLAGVAIGNGLTDPEAQVGTHAVNAYYSGLINEKQKVQLEKLQMEAIRLTKNGNWSEATSARSRVLNTLSNMTGLATLYDFRKLKPYEDELVAKFLSNVEIKRALNARESIVYDICSDVVGKVLHEDVMKSVKYMVEFLVKNTKVLLYQGQCDLRDGVVSTEAWMKKMKWEGIGKFLEAERNVWRVNDELAGYVQKWENLTHVVVMNAGHLVPTDQAVNSQAMIEGWVLEKGLFATRLKQKSTNMGKSL >Solyc09g098300.3.1 pep chromosome:SL3.0:9:72668610:72670282:-1 gene:Solyc09g098300.3 transcript:Solyc09g098300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPQQGYPQQGYPPQGYPPQYAPQYGAPPPHQQQQQSGTGFMEGCLAALCCCCLLDACF >Solyc12g020040.1.1.1 pep chromosome:SL3.0:12:13908925:13909335:1 gene:Solyc12g020040.1 transcript:Solyc12g020040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIYKTPISDSIFAYLSPFTDNLMIAYHEISDSEKEWGAFYMTSLMFCFFQANGFPELILGRNDHVGPVLFSLKDGSQTNDSLNVIEDYLRGDENVDNLNRKNLYEIDLEHDPEDNYPSDGYIEDRESSNAYSQE >Solyc09g014595.1.1 pep chromosome:SL3.0:9:6230771:6232266:1 gene:Solyc09g014595.1 transcript:Solyc09g014595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDKSIVSKLQPAKEVGTIPVGKVSNRGGEVSGVLNFEEVYGSNTTNLSHAFACRPTCPMLLHILTEHSRWDAFKGESTDEKDLLFTIKKTSIFQWKTKLAVFLANNNSKGNWSIDHV >Solyc09g090873.1.1 pep chromosome:SL3.0:9:70753157:70756956:1 gene:Solyc09g090873.1 transcript:Solyc09g090873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNSGHNDGRMREICLELEDARHHIRGLELQMRDARLACNIGEFVSLLDRRATYLEKEAKLEKELKTKFNFFYRFFCYHLAYTSFILLRSHRNSDQYVHLYRISNIMPLRTPLTTVAPPVKENLEIHQEPGSPHAWTSQLPSVLRPIRTESEYKSPEYVVLNGLGSGFLVDTLI >Solyc05g025957.1.1 pep chromosome:SL3.0:5:38772018:38772982:1 gene:Solyc05g025957.1 transcript:Solyc05g025957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINKNPIGVLVSRDLKTPVAMIGKGRSVGDKKTRIILSLRHLTIPNGGVIPNIHNIFLEMFPKSLKMVR >Solyc04g025490.1.1 pep chromosome:SL3.0:4:22577644:22580708:1 gene:Solyc04g025490.1 transcript:Solyc04g025490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEMLHILSPLLEATDYYFIHYFRKLDEKTWIMVDVSYDLIKEIQSGETSHAWKFPSGCVTWIEHVQVDEKIPVHNMFLPLLIDHQTYGAKRWIVTLQRMCERYNVEVCATSLSRYDPKQEILENVMQISLRMVKRFCEILSMTEKLDFPTSSKFKSEDRVSIRKNEDITQQKGFIITAATSLWLPLSCRNLFNFLKDINSRCQWDFLAGENTVTELERIETGCVPGNNVTIIQVFLIVNCL >Solyc05g054120.1.1.1 pep chromosome:SL3.0:5:64935001:64935390:1 gene:Solyc05g054120.1 transcript:Solyc05g054120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4C291] MAIKFNRNLAGTLFAAVVVASLFFHASAALGGGKGGLLGGWSRITDTKDSKVAEIGKFAVDQYNENTKSKLVFKRVVKGETQVVAGTNYRLVISATDGGRRSRSGDKYLAEVWDKPWMSWRNLTSFKKL >Solyc05g056050.3.1 pep chromosome:SL3.0:5:66299650:66301774:-1 gene:Solyc05g056050.3 transcript:Solyc05g056050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4C2T0] MASNTLMSYGIAPVYPSILSSSKSKFATALPVSTGVTNDTSRFSMSANWMPGQPRPSYLDGSDPGDFGFDPLGFGEVPENLERYKESELIHCRWAMLAVPGIIVPEALGLGNWVKAQEWAAIPGGQATYLGQPVPWGTLPTILAIEFLAIAFVEHQRSMEKDSEKKKYPGGAFDPLGYSKDPAKFEELKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDVIIPKGIFPN >Solyc09g042700.3.1 pep chromosome:SL3.0:9:25208772:25212200:-1 gene:Solyc09g042700.3 transcript:Solyc09g042700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLCLCLFIIGSSWVCAARELAVLITETEDVSVLQINNLKELRQVQPLEEVNGSEQLCTLCEEYTAKALNYMANNKTQTEIIDRLHKSCSKMRFYKKECAILVDYYAPLFFLQINKMKPENFCQQFGLCEQVVIISQALSGKNCNLCHKLVTDVESKLKDPDTQFEILELLLKACGAIEPYTKKCKKLVFEFAPVILVNAEQFLEQNDVCAILHACEPAVDKEQASRKQTSLHSAS >Solyc10g051150.2.1 pep chromosome:SL3.0:10:51492577:51498736:-1 gene:Solyc10g051150.2 transcript:Solyc10g051150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTYKFLQKQLLLSVKVSVDTSKLLFMASSDEEGEIVPNCITNYHFVDSNGGVASFSILPLQWGEDDKLGALNSEIFLRGTADDGLQPIYKKVLAWRFELSYALPEIHVLSKDKIWIKLLKPRNGYVDTIRSVLITVHFLHFVKKNPEGIVWNYIEKSLSAYEVLPSKDDLLEHMPTIKEAARRDKDLSNSKSFDAFILETSRKRIHSYECNQAKKRPRFIIETNNDADSGGDDDAIEDEQFDHVCALCDDGGELLWGKSLVLATRQSRPSCAEIANINDTNVLLVACWGLLTN >Solyc11g065780.2.1 pep chromosome:SL3.0:11:51677809:51679650:1 gene:Solyc11g065780.2 transcript:Solyc11g065780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGLAVSSAFLSSALNVLFDGLTPQGDLLKVFQKHTNDVRLLKKLRMTLLGLQTVLSDAESKQASNQFVSQWLNELRDTVDSAENLMEQVNYEVLRLKVEGQQQVSDLNLCLSDDFFLNIKEKLEHTIDKLKDLQEQIGLLGLKEHFGSTKRETRTPSTSLVDDSAIFGRHRETEVLIDRLLYEDANGKHLTVVPIFGMGGVGKTTLRSIERKPEGKKFLVALDDVWNDNYNEWDDLRNLSVQGDIGSKIILLKRHSLKNRDPQERPKFEEVGRKIAEKCKGLPLALKTLAGILRCKSEVEEWRDILCRKIWDQPSTFLS >Solyc03g119625.1.1 pep chromosome:SL3.0:3:69674960:69675395:1 gene:Solyc03g119625.1 transcript:Solyc03g119625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTAKKWQLKCQSGVYWKSADASLIEQQAKTIWLLDIELPEVTATPLGDPPCTNGPQGANRSSSGPHDDAVGPAAYIECGNN >Solyc06g072040.1.1.1 pep chromosome:SL3.0:6:44539803:44540501:-1 gene:Solyc06g072040.1 transcript:Solyc06g072040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNPHQSPTEAAAAAAAAAAAAQSATYPSQTPYHHLLQQQQQQLQMFWTYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPVLFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKDEGVGLGPGIVGSTASGVPYYYPPMGQPAPGGVMLGRPAVPGVDPSMYVHPPPSQAWQSVWQTGDDNSYASGGSSGQGNLDGQM >Solyc10g050510.1.1.1 pep chromosome:SL3.0:10:49829448:49829618:1 gene:Solyc10g050510.1 transcript:Solyc10g050510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKLEICIEMVKMALEIVAVFVDAVGTIVSKDNKYSSSSSTQSNIDLPTPYVGF >Solyc06g065150.1.1.1 pep chromosome:SL3.0:6:40745949:40746890:1 gene:Solyc06g065150.1 transcript:Solyc06g065150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSSWEFHCLRKLKSLDLSGCNLSDNQTAALMNLPSLLELNLRRNKFISLPDIISRLSQLRYLNIKQCQELKELPKLPPSIEELYAEDFLAKQSIAKLQMYPRLNLVSFTNYSFVQQSYTKESNGSSVLDEILGSFLSHDMDNVVQSSLNCDYRVTCSIVFPECAIPTWFKHQSVGEKMLLELPINWYNDKFKGFAICCATHMGAGVLNPDSGLSKKYDYAFVKAKLICKDDLEVLEKECKVGTASRTYGSCVCFVYIPLYASLQVSGTDFGKINQYSLFEASLHGRIVRQWGVHLIYEDERTLFAKKIRKS >Solyc11g007880.1.1.1 pep chromosome:SL3.0:11:2099090:2099389:1 gene:Solyc11g007880.1 transcript:Solyc11g007880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Self-pruning interacting protein 1 [Source:UniProtKB/TrEMBL;Acc:Q9FR57] MAKDLQTPKDFQENQSPNINSLLPNECKTPKSPSFRIPKVVNCPGAPKKPKRANRSLCKRRLRFEVIVMVDEEEIDSFFRNAEDVNNGGSMIMKRRRSM >Solyc01g111820.2.1.1 pep chromosome:SL3.0:1:97895375:97895962:1 gene:Solyc01g111820.2 transcript:Solyc01g111820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLIKYMYDPIERGILQFQFCDVEMVSMDSLTYTSLKDLLPESPPSICSPTYGRKDSWREIPMKDPLLQQAAWAYLQPTAVVEVDQCFSEKMKEKCFGLFDCFSAVIFTMFSAVFSPAEENRDGEQILI >Solyc04g079140.3.1 pep chromosome:SL3.0:4:63805560:63810201:-1 gene:Solyc04g079140.3 transcript:Solyc04g079140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGFGGKYYWGRKERGKVEGIVVVFAWLSSKDRYVNNYVDLYSSLGWKSLVCHSQFRNMFFPDKAAALAAEIVNELVKELKVRPCPVVFASFSGGPKACMYKVLQIIEGKYEEHTNLGEFRLVRDCLSGYIFDSSPVDFTSDLGTKFILHPNVLGMSRPPRLASWIVNGIASSMDALFLKRFESHRAEFWQTLYASVSTGAPYLILCSEDDDLAPCQTICNFAQRLKDLGSDVKLLKWSSSPHVGHYRYHQNEYKAAVAELLGKAAMIYSQRIRQLEGEKMVLEGSHDGISEPLGNLRKAAATANQSFQSISLEINDHFLVPNSVEYHEGSNGGSVQHEQKERYIPLSTPPKINAHGVLGQVLFDVCVPKVVEDWDIRSSPTFRKASFASTRRHSPFNPMKCIRRSRL >Solyc03g114400.3.1 pep chromosome:SL3.0:3:65876617:65880294:-1 gene:Solyc03g114400.3 transcript:Solyc03g114400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKTKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCNREDKRTIAPEHVLKALEVLGFGEYTEEVYAAYEQHKLETVDTVRAGKLSNNIAEMTEEEALAAQQRMFAEARARMNGVGTVPPKQQDPETEQKLDC >Solyc02g078640.3.1 pep chromosome:SL3.0:2:43846756:43870698:-1 gene:Solyc02g078640.3 transcript:Solyc02g078640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDEKLLKEAKKLPWDERLAHKNWKVRNDANIDLAAVCDSITDPKDPRLREFGPFFRKAVADSNAPVQDKALDALICYLKAADSDAGRYAKEVCDAIVAKCLTGRPKTVEKAQMVFLLWIELEAVEAFLDAMEKAIKNKVAKAVVPAIDVMFQALSEFGTKIVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVSGTAKPTRKIRSEQDKEPEQEAVSEAVASGPSDESAADIPQEIDEYDLVDPVDILTPLEKTGFWEGVKATKWSERKEAVAELTKLASTKKIAPGDFAEICRTLKKLITDVNIAVAVEAIQAIGNLARGLRTHFSGSSRFLLPILLEKLKEKKPTLTDALTQTLQAMHKSGCLNLADIVEDVKTATKNKVPLVRSLTLNWVTFCIETSSKAVILKAHKEYVPICMESLNDGTPDVRDAAFSALAAVAKSVGMRPLEKSLEKLDDVRKKKLSEMIGGSDGGPPAAFTSGAVPSSGGIASSTQASSGSLIKRSAASMLSGKKPVQAAPPSKKGTSAKSGTSKKGDGTSQLKASKSVEVEDVEPTEMSLEEIESKLGSLIQTETITQLKSAVWKERLEAINSFKEQVEALQVLDPSVEILVRLLCAVPGWSEKNVQVQQQVIDVISHIASTASKYPKKCVVLCIQGVSERVADIKTRAQSMKCLTTFCEAVGPGFIFERLYKIMKEHKNPKVLSEGILWMITAVDDFGVSLLKLKDLIDFCKDTGLQSSAPATRNATIKLIGTLHKFVGPDIKGFLSDVKPALVSALDAEYEKNPFEGTSAVPKKTVKVSDTPSLSSGGLDSLPREDISGKITPALLKGLESSDWKARLESIETVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLIMATLSTFGGVASAMGPAVEKSSKGILLDILKCLGDNKKHMRECTLNTLDSWLAAVHLDKMVPYITTALTDAKLGAEGRKDLFDWLSKQLTGMKEFPDAVHLLKPVASAMTDKSADVRKAAEACFGELVRVCGQETVSKNLKDIQGPALAIVVERLRPYGVLQETSDLGRTTSTGTTSKVGSKIGKSTGPADRASRHGNRAGASRVIPARSSRQETLMSVQDISIQSQALINVKDSNKGDRERIVVRRFKFEEPRLEQIQDLETDLMKYFREDLHRRLLSTDFKKQVDGIEMLQKVLPSIGKELIEVLDIVLRWFVLRFCESNTSCILKVLEFLPELFEMLRNEGYMMTEAEAAIFLPCLVEKSGHNIEKVREKMRELTKQIIQAYSAAKTFPYILEGLRSRSNRTRIECADLVGYLLDNHEAEIGGQLKSLQVVASLTAERDGETRKAALNTLAIGYKILGDDIWKYLGKLTEAQRSMLDDRFKWKAREMDKRREGKPGEARAALRRSVRDNGTDLAEPSGEVSRSTAGPILNRDIYNNTELPMERNVNLRPVAGTIGPSDWNEALDIISYDSPEQSVEGMKVVCHLLALATNDPEGSAMDEIVKDADRLVSCLANKVAKTFDFSLMGASSRSCKYVLNTLMQTFQNKTLSHAVKERTLDILITELLLWLLDERVPRMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPSRWPSPATNESLVIRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGTAIKGHLSMVPIDMQPPPIILAYIDLNLQTLAAARMLTPSVPGQTHWGDSAANNPAPATHNADAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNAAAGRTPSSVPMPTPPPSSLNLSSPKFGPLSPVNTNPLNDAKSVNNKIEPSHFSLPPSYGEDDRGGNALPSRGLSSEHLELQRNDRLPSGVTSGTLEAIRERMKSISLATTVGNADPSNRPLMSMNGNISHVVSNHGPGTEHSSVENTIQSGVLPMDEKALSGLQARMERLKSGSMEF >Solyc03g007840.1.1.1 pep chromosome:SL3.0:3:2384215:2386248:-1 gene:Solyc03g007840.1 transcript:Solyc03g007840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSITGPLRLCRYSSTANSYIQTVRKPQLSYSLNVDEVSSSRILDRLPDIKTVKKLHAKIIFDPGLCNNTSLAIKLMRAYAACGQPTVTRQLFDKIPERNGAVYNVMIRSYVNNKYYKDAIFIYIDMCKRHVSPDNYTFPCVLKACFGSDNLRVGLQIHCAVGKRGLDSDLFIGNCLVAMYGKCRCLVEARQVLSEMPKRDVVSWNSMVVGYAQNGRFDDALEVCKEMNVLGYKPNAGTMASLLPALSNTSIENVLFVKDIFMSLDKKDLVPWNVMIAVHVKNYMPNEAVELYFQMETSGIEPDAITLASILPACGDLSAVSLGRRIHEFIETKGLRPNLSLENALVDMYARCGCLTEARKMFEDMKFRDIVSWTSLISAYGKSGQGRDGVALFSQMLESGLQPDSIAFVSILSACSHAGLLLEGEHYYKLMTDKYKIVPRLEHYACMVDLKGRAGHINEAFNFIKHMPIEANERIWGALLGACRVYNDMDIGLVAADNLFELAPKQSGYYVLLSNIYAKAGRWKDVTTVRSIMKGKGIKKMPGVSNVELNNMVHTFLAGDTSHPQSKEIYEELDILIGKMKEEGYVPEIDSALHDVEEEEKENHLVVHSEKLAIVFAIMNTSHGTPIKITKNLRVCGDCHIAAKLISKITQRLIVVRDTNRYHHFQNGVCSCSDYW >Solyc03g058233.1.1 pep chromosome:SL3.0:3:25747732:25748140:-1 gene:Solyc03g058233.1 transcript:Solyc03g058233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGFIVVETLILSINWSLAPWKNMILHKYGIIEILDQNTMYERISTANNYFNYSRPRSPKRRRKEGMQIASIILNLPNCPHFGNVPCKSH >Solyc01g009910.1.1.1 pep chromosome:SL3.0:1:4413265:4413627:1 gene:Solyc01g009910.1 transcript:Solyc01g009910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYQNTRARQRSSSSDLLERVARLASGSAVVIFSSSSCCMCHAIKRLFCELGVSPMVYELDQDPNGKGMERALYKLLGNSPTVPVVFIGGELIGSMDRVMASHINGTLVPRLKEAGALWL >Solyc06g073450.3.1 pep chromosome:SL3.0:6:45413760:45415025:1 gene:Solyc06g073450.3 transcript:Solyc06g073450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEITNTPQSGWFRNVEEPWLNSRSQKKKKNQVFLEGYVENSDEDELGRTKSLTDDDLEELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKYLDEQQKCSDAMSDTGSSGSGHVANWKISSPGDDPEDVKARLKYWAQAVACTVKLCN >Solyc09g065790.1.1.1 pep chromosome:SL3.0:9:64294446:64294976:-1 gene:Solyc09g065790.1 transcript:Solyc09g065790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4CUK5] MKKKKRTGISTLIHNFFEPYTSKGACTVPKGYNFTLFNRLYRERLFFLGQGIGTELSNQLIDLMLYLSMEDENKDLYLFVNSPGGWVIPGIAIYDTMQFVRPDIHTMCLRLAASMGSFTLAGGQLTKRMIMSTPNFNIPHFYPHNVELSICIPTKGATVPPDTHILRVYSICLIYI >Solyc06g051410.3.1 pep chromosome:SL3.0:6:34848209:34850581:-1 gene:Solyc06g051410.3 transcript:Solyc06g051410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTQVLAIVLCFSVFNMGAQLQPRVIGLPRSQGVLDVEVYSINRAQLRTSTSNRFMCTLNQSTGVSAQAAQTESRTLVKMCGITSARDAALAAEAGANFIGMIIWPNSKRSVSLSTAKEISKVAREYGALPVGVFVDDNANTILRASDAANLELVQVKTVIFHHLVSIVFNTQNPQHVQIEQLHGNDSRDAFPVLVRERPLVYVLHADEEGGLLNSISNEESSLVDWILVDSAKGGSGKGFNWAQFKLPSIRSKQGWLLAGGINPENVCEALSALKPNGVDVSSGICGQDGIKKDESRIQSFMNAVKSLHL >Solyc09g059640.2.1 pep chromosome:SL3.0:9:55212747:55213773:1 gene:Solyc09g059640.2 transcript:Solyc09g059640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAAPGQWNLYAQNPDSSSHLFGTAEGAGTTILTLLGGFHPQTQSLRLTDIAHYHLAIVFIFLVAGHMYRTNFWIGHNMKDILNAHIPPGGRLGRGHKGLCDSIINSLHFQL >Solyc02g086000.2.1 pep chromosome:SL3.0:2:49438595:49440461:-1 gene:Solyc02g086000.2 transcript:Solyc02g086000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPNNLASRTFFPESRRENWVTQRRFSDEVMDSKARTEDVISAILSASASPPFFNGSPPSRPMNPLIHDVRFVNYGKQFNGSSSSSSTTTSISASTSVSCAKPKSLGQNTQAPPPRIEGFDSSKLSPI >Solyc02g030490.2.1 pep chromosome:SL3.0:2:26726603:26728029:1 gene:Solyc02g030490.2 transcript:Solyc02g030490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EEFNTLICSLDYKNYKSEIRTADAQDSFKDGVVVLVTGCLTGRDKLKRKFAQTFFLAPQDKEPTHVVDPPNLDQASSPTEEVQYVEEKANDSSVDGRQVVDEREIVVENGSYFNKDQHPTNKESANSVAQEDAPKKPYASIVISQTKKGPTKIYVPTNTSRVAPLKAVKQPVAAVAQNVAPEASNPTTTSGIDVPESNDQQGFCFGFVEFEDMSSINLYGTVDGAHILKFKKAKSESLFLQQRE >Solyc06g082350.3.1 pep chromosome:SL3.0:6:48239491:48247017:-1 gene:Solyc06g082350.3 transcript:Solyc06g082350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKSIKDLLEQMLNQVQHQHTGDSKDVYEMIGKLEQIKSYLLTEAMHSNVFSGFPSLHSPTPQQPSPPVADQQSLVSNQQTTPLIAGQQVMTQAVDTVSPMNLGQPTPIVVQNPQPAGPFFRHPVHWQVVDENLFDAVDKPVLWFSKLVTENMQEVLTVPPKKISYLVKGSPLSSYAHSPLFTGMSLPVALHCSKLESMIGGAPGLLYNKSKTTMHIYWPSVSSYFKIPTMIDVSFQWKKHGPRHMLIVKVEEQGN >Solyc05g041400.1.1 pep chromosome:SL3.0:5:52320834:52322089:-1 gene:Solyc05g041400.1 transcript:Solyc05g041400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGMLEGSQQIGLMLVIFPWRVFDYLSDVTLTQPRNEPEGTATWGMSMSRRKAHYSLWVIRREALSDQGRREGGANSGWLSQAPTTTPPPKNSGLDLIHGERIEGDDQAQGSEGKKLKMLHLGSERIVLVRSIGREGDHLISWVSSKN >Solyc08g066880.3.1 pep chromosome:SL3.0:8:55828561:55829405:-1 gene:Solyc08g066880.3 transcript:Solyc08g066880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRYGDGPENELPLEVNGDYTRDIGYLKIAEYTVNVTNCNSHNNLLNNVWYQPEEVFPVFGTPEDRQHIFWVPVDPRYYAIAKKLEGLKLVGCLNATTCLSHPPKVTRVARGSSASIYLDNAAYRSFMYNKFDVSPVEMESAAVALICYQQKVPYIVIRALSDMAGGGTSESNEASTFITLAATNSVEVTVQFINQLATKKLYQDA >Solyc06g061250.2.1 pep chromosome:SL3.0:6:39354087:39377876:1 gene:Solyc06g061250.2 transcript:Solyc06g061250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMDVLSLRMTTRKKAAEFRVICGLLSVTTYGRGPDEDDNRWPPWLKPLLKERFFVQCKLHADSHKSECNMYCLQCNNAPLCSVCLAHHRDHPVIQIRRSSYHDVIRVNEIQKYLDISSVQTYIINSAKVVFLNERPQPRPGKGVTNTCEVCERSLLDSFKFCSLGCKSFHGSNLVSDNIPKGEFSTFIFIWCELDTPMYNKRILDDETLVPVSNPRTLVPYMSTRDCSQGFCSFYCPQWCYIIFPPPPQFDLPDDDDDSSPNFSPLVIAIIGILASAFLLVSYYTIISKYCGNSRRRGSHHQEESELEEEDHDPSNHEAWNVNAGGGLDEALIKSIRVFKFKKCDGLLTEGTDCSVCLSEFQEDESLRLLPKCSHAFHVMCIDTWLKSHSSCPLCRSQITSSNAPPLPLPPPVMEAPREIETTPPIQPERDIEMGIRVEETRDEEDVNHMNQEGRRRSLSMDYISQRRLSIADVLRIDHDEFHDCVTGEDCELQRDVGTSKQENNGEEMSKGGIRNNSLVQYCPMMMKRSLSSGRFLFTKCGRGQNMVTTLSNV >Solyc06g016730.1.1.1 pep chromosome:SL3.0:6:13075530:13075835:-1 gene:Solyc06g016730.1 transcript:Solyc06g016730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYHRPWSAHTDERPRAWHVGMTLGLLIQLDDIGVACSHGPCATHSVGKRRALLGKQTQSDYVGLSMPSSPLGIAQGQTTSGVSCHYFPGTTYMVRRRQV >Solyc09g018810.2.1.1 pep chromosome:SL3.0:9:17305800:17305862:-1 gene:Solyc09g018810.2 transcript:Solyc09g018810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRYRLDTLGIKQGKGWKKV >Solyc11g020474.1.1.1 pep chromosome:SL3.0:11:11211004:11211591:1 gene:Solyc11g020474.1 transcript:Solyc11g020474.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWISDSTIYISNPLEAENPLFLNNLQTLFLHYSPFVEEIIRRIPNLKKLNIVDDSENLKQLILTHTYIPWEVVKLLANLPNHEVLKGYHAFDRTYWKLDEDIVCHNLKYLLLNGFYYLKRWEAEPANFPMLEQLILDNISAMKEIPESIEEIITLKFIRIIWCSSGVETSARKIQEEHESLGNYELQLQITPKIC >Solyc01g097650.3.1 pep chromosome:SL3.0:1:88298418:88303971:1 gene:Solyc01g097650.3 transcript:Solyc01g097650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVEGSKEEMEGSSIERYSKIKKGSWLDQFRHGSNPWMARYLYAILFLIANLLAWAVRDYGHSILKEMKRLKGCNGGEDCMGAEGVLRVSLGCSLFYFAMFLSTAGTSKLNDRRELWHSGWWSAKLFMNISLIVLPFLLPAEIISIYGQVAHFGAGVFLLIQLVSIISFITWLNDFCHSEKYAVRCHVQMMLLATVAYVICILGIILMYIWYTPQPSCLLNIFFISWTLVLLQLMTSVSLHPKVNAGFLTPGFMGLYVVFLCWSAIRSEPAEEKCIRKAESPTGKGDWFTIISFVVAVLTIVIATFSTGIDSKCFQFKKDDAPEEDDVPYGYGFFHFVFATGAMYFAMLLIGWNPNHAMKKFTIDVGWTSTWVRVVNEWLAVCVYIWMLVAPIIWKSRQVATSNV >Solyc04g016460.3.1.1 pep chromosome:SL3.0:4:7296036:7298838:1 gene:Solyc04g016460.3 transcript:Solyc04g016460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKIYLSICKMRIINKQLFILFKVFVKKCNIIGQIKEF >Solyc04g082840.3.1 pep chromosome:SL3.0:4:66455415:66457486:-1 gene:Solyc04g082840.3 transcript:Solyc04g082840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:B2-type cyclin dependent kinase [Source:UniProtKB/TrEMBL;Acc:Q9FSH4] MEAAKNAGKSVSAMEAFEKLEKVGEGTYGKVYRARDRITGKIVALKKTRLHEDEEGVPPTTLREISLLRMLSRDPHIVRLMDVKQGQNKEGKTVLYLVFEYMDTDVKKFIRTFRAKGETMPLKIVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTNVLKLADFGLGRAYTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDMWSVGCIFAELVTNQALFPGDSELQQLLHIFRLLGTPNEELWPGVSKLVNWHEYPQWKPQPLSTVVPGLDEDGIHLLSEMLHYEPSRRISAKKAMEHPYFDDLDKTPL >Solyc11g007410.2.1.1 pep chromosome:SL3.0:11:1742363:1742782:-1 gene:Solyc11g007410.2 transcript:Solyc11g007410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGNSQLRYFTLILQIIFLQTSNAWKINCPDSACGDIRSIKYPFHLNTDPKHCRVFSGFELACEGNQTVIWLSSKKLHVQGIDYANNTIHLVDPTLQTHDLCSLLPSKLNFQQYGNFFCRYYYASRYRVATPIFMFNCP >Solyc09g074150.2.1 pep chromosome:SL3.0:9:66317101:66318734:1 gene:Solyc09g074150.2 transcript:Solyc09g074150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNISDDADEKSLAISDREKGSRNKRKFESKIPLGTSSNSHRSSLTEFLRYDLLEKRTKGVTLFKIDPLTSGCPQYDAEQEVGTAPNIDSEDMSACCSFVLDKKLDSSSSGETKKSSHSKMKILVKCNQPLAKESFNNQCLSSPIAPASDTSKVPPIKDNINEKDLDSLSLEPKSSKKVPEHNYFFCDYYVGIPYDKSLGKYVPRNERDENILFLTSHLKTPQEELQEWSDWANEKVMQATWSLGKDQAELKRMRQEKEDAENVHQEKHMLEETTMRRIMEMEQALVNTSSMGETIYSLLNTLDMDNVGLKKDLEAVMLSTGKHAMNVNNALAKEQEALKKCQAADTEKRSFEEDLSAIKHEKTSFSCFRDLLKQEESVKQRFQQQADCLKNEREQLRVKGKVQRHKFREKVERNKQKYKEEIQKCESEISQLRFQSERSITEALKRGIP >Solyc12g005210.1.1.1 pep chromosome:SL3.0:12:139985:140251:-1 gene:Solyc12g005210.1 transcript:Solyc12g005210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKGVRSTRNVDPRMKKFILDKRIEALFKQANDLSILCDIEVGVIVFGPGENNAVVWPSLAQASDRVKNYLARHRDCKGKEVVNVRQ >Solyc02g082220.3.1 pep chromosome:SL3.0:2:46466035:46480939:1 gene:Solyc02g082220.3 transcript:Solyc02g082220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEYPNRPTFPSRPAATPFGVPQSTTPFQSSRPVVGSDASAFRPAPPTSSPAMPPPSSSGPMVGPGISSFRPMPPGMPNDAGRPPPTSTPPYGPTVSGPFPRFPSPQFPSTAQVPPPRTSMPGQPVVAAPVRPVSGPFSTPPGAHHPQIQPPTVPMGSPPQGASTVQPSPNVYQGPMQSQFSAARATSQPSSPLAGSAYPAARPGFQSGFPGYISQQPSGFAQAPPRQSVPFPSQPGGYVPPVPAASSPYLSQQGGFAPPPPPLTSQRPGSMPPTSAMQGLVEDFSSFSIGSVPGSFDSGLDSKVLPRPIDVDVERNVLSEMYPMNCSSRFLRLTTSGIPNSQSLASRWHLSLGAVVCPLAEASDGEEVPVVNFAPTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNEVPGEYFAHLDASGRRVDLDQRPELTKGSVEFIAPAEYMVRPPMPPLYFFLIDVSLTAVRSGMLEVLAQTIKNSLDSLPGFPRTQIGFITYDSTVHFYNMKSSLTQPQMMVMSDLEDVFVPLPDDLLVNLSESRTVVDAFLDSLPSMFQDNANVESAFGPALKTAFMVMNQLGGKLLIFQSSLPSLGVGRLKLRGDDVRVYGTDKEHTIRVPEDPFYKQMAADFTKYQIAVNVYAFSDKYTDIATIGTLAKYTGGQVYYYPSFQASVHKDRLRHELTRDLTRETAWESVMRIRCGKGVRFTTYHGNFMLRSTDLIALPAVDCDKAYAMQLSLEETLLTSQTVFFQIALLYTSSSGERRIRVHTAAAPVVSDLGEMYRLADTGAIISLFTRLAIEKTLTSKLEEARNSIQLRIVKALREYRNLHAVQHRVAGRMIYPESLKYLPLYGLALCKTTALRGGYADAQLDERCAAGYTMMALPVKRLLKLLYPKLIRIDEYLLKKPSSREESKDILKGVPLTTESLDPQGLYLFDDGFRFVIWFGRMLSPNMIQSLLGENFAADFSKVSLQELDNEMSRELMGLLKRQRESDRSYYQLCHLVRQGEQPREGFFLLANLIEDPVGGSIGYQDWILQVHRQVQQNGSCMFNHLWSSTVWRFLKKLELVATCNYGWFIQ >Solyc06g008180.2.1 pep chromosome:SL3.0:6:2054456:2057912:-1 gene:Solyc06g008180.2 transcript:Solyc06g008180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIVPTKQTHEGFCSFHSTRKDITVNCCNNRRFKNSSLLVGQLRKQRQDKVFPVSKIETLSSVEKRGFGSSSNRRCKNSLLVGQLRKQRQNKVFAILGGTTNGRLSSVEKRTNGSVSENIEEFESNNYLRRLVRNGELEESFKHLESMVYRGDIPDIIPCTSLIRGFCRIGQTKKATRVLEILEDSGAVPDVITYNVLISGYCKSGEIDNALKVLDRMSVAPDVVTYNTILRSLCDSGKLKQAMHVLDRMLQKECYPDVITYTILIEATCKESGVGQAMKLLDEMRSKGCVPDVVTYNVLINGICKEGRLNEAIKFLNNMPSYGCQPNVITHNIILRSMCSTGRWMDAEKLLADMVRKGCSPSVVTFNILINFLCRKGLLGRAIDLLEKMPKYGCTPNSLSYNPLLHAFCKEKKMDRAIQYLEVMVSRGCYPDIVTYNTLLTALCKDGKVDVAVEILNQLSDKGCSPVLITYNTVIDGLSKVGKTELAIELLNEMREKGLQPDIITYSSFVAGLSREGKVDEAIKFFHDIEGLDVRPNAITYNAIMLGLCKARQTDRAIDFLAYMISKGCKPTESTYTILIEGIAYEGLAEEALELLNELCSRGVVKKSSAEQGSLIVIWFPFLSGNIVVSSPEIYGILEVREFLSLEEQPNHKRSTSLPPLSACPAPYSVPYIHLLALELPYNVIVRQVSMCEHVDGFVACMVPKDPSEPSYSRVAFCGSIGVELVVTRPSRRCNHSATDSPPSALVSPDEKNVALASTDHQSYISRESDNSEKVLVITHRITCMYRHAFSESSARLKGNGFSSKFK >Solyc03g113720.3.1 pep chromosome:SL3.0:3:65267395:65269444:-1 gene:Solyc03g113720.3 transcript:Solyc03g113720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMAQELHVLAVDDSHVDRKVIERLLKISCCKVTAVESGTRALQYLGLDGEKSSMGIDGLKVNLILTDYSMPGMTGYELLKKIKESSVLSKIPVVIMSSEKILPRIDRCLEEGAEEFLLKPVKLSDVKRLRDFILRGEGDDNKETEKNIEQVCSRKRKLQDDPTTQSIPSPVVTAHDIESSPESQQPLSKQSKIG >Solyc04g072347.1.1 pep chromosome:SL3.0:4:59458367:59460494:1 gene:Solyc04g072347.1 transcript:Solyc04g072347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHGSGTLVNLEVVGPLLKLRHLEILYCNKEAQTARNLAKLHLEGEVPPDMELECCTIHPIGGVDSIVGYPGVSHK >Solyc04g072530.2.1 pep chromosome:SL3.0:4:59608513:59610836:1 gene:Solyc04g072530.2 transcript:Solyc04g072530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIRFRGKAVVVSTHCLINHCNGFRDFRFTSQYLYSSTSTPAPTHFLVKYLVDSLGFSDEEAASTSSKVTSLKNLKNPHLVINFLKQIGLDDTQMKKMVSLAPKMLLSDVSKTLKPKFQCLMDIGLSGSDLVDVIAKDSKIVERGLDTHLRPTIDCLRRTLGSDENVVKALKRAPWLLTFGLSNSRVFICFPFGNSSCSDYELFANQSILLPPELLYFLVLNVKLKSLFHFILFTRNNLALHLFYNYSVM >Solyc11g010260.2.1 pep chromosome:SL3.0:11:3324033:3325921:1 gene:Solyc11g010260.2 transcript:Solyc11g010260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKLCLCGETPDKFTLSMILKGSTVSYAESYSLDIGKQVHAQVIKCDVEGDDVLYTGLVDSYVKSGRVDYARRVFDLMLDRSVVCSTSLITGYMNQGYFEDAEDVFSKTMEKDVVVFNAMIEGYSKQIENAKKAIEVYIDMQRFGFRPNISTFASIIGACSALAASEIGQQVQGQLMKTELIEHVKIASALIDMYSKCGLVEDARRVFDHMHEKNVFSWTSMIDGYGKNGYPDGALELFSIMQEDHHIVPNYVTFLSALSACAHSGLVVKGREIFESMERDYYMKPRMEHYACMVDLFGRSGSLNQALEFVINMTERPDSDVWAALLSSCRVHGDVELANLAANELFKLSSGSRPGAYVALSNALADAGRWDGVSELREIMKIRGISKGTVKDLQRLVVKKGIVANLKRLNHA >Solyc01g017563.1.1 pep chromosome:SL3.0:1:24034281:24034632:-1 gene:Solyc01g017563.1 transcript:Solyc01g017563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIIPPPKNKTTGFGQMNMIDNALTIGPKLSFKIVGRVQGFMVEGKYNGSTFTILGRNSMSMVREMAVIRGSGLF >Solyc02g088490.3.1 pep chromosome:SL3.0:2:51207615:51212830:1 gene:Solyc02g088490.3 transcript:Solyc02g088490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSLNPNPTYDVIILGASGFTGKYVIREALKFLNVPSSPLKNLAIAGRNNSKLSQALQWASRRNPPPEIPILTADTTDPSSLRELASQTKIILNCVGPFRIYGEPVVEACADSGCDYLDISGEPEFMERMEVKYHDKAVENGSLLVSACGFDSIPAELGLMFNSRQWLPPAVPNSVEAYLSLESDKRIVGNLGTYESAVLGVANVDKLQELRRSRPKSPRPVIPGPRPPKGPLMDHLKEVGVWAVKLPSADAIVVRRTLSCLAENPHGLPGVNESSEQVERREAFWSSIKPAHFGVKIASKSPLGVVRFIIVGLFIGLFGKIGLGRWLLLKFPSLFSLGWFRKKGPTEDEVASATFKMWFVGHGYSDGSLASQGNRKPDTEIITRVMGPEIGYLTTPIILVQCALILLKERNNLPKGGVFPPGIVFGPTDLQDRLQHNGISFDVISKKTI >Solyc01g097970.3.1 pep chromosome:SL3.0:1:88498444:88499631:1 gene:Solyc01g097970.3 transcript:Solyc01g097970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANGIASSALFFRVKKLSDNAVLPSRGSPLSAGYDLSSATEMKVPARGKALVPTDLSIAVPEGTYARIAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHCDVDFEVKIGDRIAQLIIEKIIVPEVEEVDDLDPLE >Solyc04g008480.2.1 pep chromosome:SL3.0:4:2095960:2101098:-1 gene:Solyc04g008480.2 transcript:Solyc04g008480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCGRNGGVRQYIRSKVPRLRWTPDLHHCFVHAIEKLGGQDKATPKLVLQMMDVRGLTISNVKSHLQMYRSMKSDVNKQGERMTIQPSKEVCLGDLDCHYQQQEQEDEGCVEQQKLLVYQYPLSFSSNPNMKRTDSTPYFNSVQPTKRARIERCSIREAVSNEYNSRNDYNTEKNETTQPLCNSTTHIFNPLTTSNELQESLFFKVLKTQDSNRDSLKRFKFEDSMKIDNVREDDDERGLSLSLSLHRPTTQRSNASSIISEISETISSYSGGLNLNIWQSSSEKQNVNLNLSIAL >Solyc09g009010.3.1 pep chromosome:SL3.0:9:2359119:2365062:1 gene:Solyc09g009010.3 transcript:Solyc09g009010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSGTNIVYNTFYETRDDITEQFGIMWGQIKAPLIVPLLRISVFLCLVMSVMLFVERVYMFVVITLLKVFGKKPQKKYKWEPLKDDVELANSSYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIVQVLDDSTDPITKLVEIECQKWAKKGINIKYEVRDNRNGYKAGALKEGLKHSYVKQCDFVGIFDADFQPEPDFLWQTIPFLVHNHELSLVQARWKYVNADECLMTRMQEMSLDYHFSVEQEVGSSTHAFFGFNGTAGVWRIAAIDEAGGWKDRTTVEDMDLAVRASLKGWKFLFLGSVKVKNELPSTLKAYRYQQHRWSCGPANLFRKMCMEIIRNKKVSLWKKVHVIYSFFFVRKVVAHIVTFVFYVVVLPATVLVPEVEVPKWGAVYIPAIITLLNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKLKSATKAFKKHRMRLGDRIHLLEFAAGAYLFFCGCYDIAFGTNHYFLYLFIQAFAFLIVGFGYVGTFVPNS >Solyc07g065185.1.1 pep chromosome:SL3.0:7:67188093:67191975:-1 gene:Solyc07g065185.1 transcript:Solyc07g065185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLKFIIRFLSDCFASDSFPRPNESNLAFMVCVIKIKVRSSGWQKAVNRVLRSTNGVRQFKFSEGGKVTVSGIVDPTLLMKNLAKSGKSAELEWIQYGQCSSNLFLPPKPPINGFQNQGPFRNFHHSRFALHHHGGHYGLPPPPYPPYPMVAPPPVFY >Solyc08g076320.3.1 pep chromosome:SL3.0:8:60407386:60413427:-1 gene:Solyc08g076320.3 transcript:Solyc08g076320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSFKASDSQQGKPSGVTFARRTSSGRYVNLSRESLDSEISGIEFANYTVHIPPTPDNQPMDPSISQRVEEQYVSNSLFTGGYNSVTRAHLMDKVIESETNHPQMAGAKGSSCAIPGCDGKVMSDERGEDILPCECDFKICRDCYVDAVKTGDGICPGCKESYKSTDLAENAVDPSGRPLTLASNVSMSKMERRLSLMRSGNKSAIIRSHSGLMRSQTGDFDHNKWLFETKGTYGYGNAIWPKDGGLGNDKEDHVGEPSELMNKPWRPLTRKLKIPAGVISPYRLLILIRVVVLGLFLQWRITNPNNDAIWLWYMSIICEVWFAISWLLDQLPKLCPVNRATDLNVLKEKFETPTPTNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYISDDGGALLTFEAMAEAASFANIWVPFCRKHNIEPRNPETYFSLKKDPYKNKVRQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYNAREEIKAMKLQREAAGDDLLEPIKITKATWMADGTHWPGTWMISAPEHSRGDHAGIIQVMLKPPSDEPLHGTSSDDGLIDSTEVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSEAIREGMCFMMDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLQGPMYVGTGCLFRRTALYGFDPPRNKEYHPGCCSCCFGRRKHNATVSSVSDDNRALRMGDFDDEEMNLASFPKRFGNSSFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKILQRIAYLNCGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLTYLLVITLTLCALAVLEIKWSGIALEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSATDDDDEYADLYIIKWTSLMIPPIVIMMTNLIAIAVGVSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGASEIGGSFQFP >Solyc07g063010.3.1 pep chromosome:SL3.0:7:65751400:65754564:1 gene:Solyc07g063010.3 transcript:Solyc07g063010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADITRIGVVGAGQMGAGIAQLAAVNGVDVWLYDLDSEALTKAQKSISNNIQRLLTKGQISQATSVDAVKRLRCSSRLDDLQSVDIVVEAIVESEQVKKSLFANLDKIVKSSAILASNTSSISITRLASATSRPSQVIGMHFMNPPPIMKLVEIIRGADTSEDTYCATKCLAERFGKTVICSQDYSGFIVNRILMPMINEAFHTLYTGVATKEDIDTGMKLGTNHPMGPLELADFIGLDVCLSIMKVLQAGLGDDKYAPCPLLVQYVDAGRLGKKRGVGVYDYRNAAKKTGGSPRL >Solyc09g075460.3.1 pep chromosome:SL3.0:9:67592241:67596397:1 gene:Solyc09g075460.3 transcript:Solyc09g075460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLSFFGEEGVGGSRFVNVTMSEKNTIMKRLAVLLLLLVFNHCIVQSEAEFEGECKFNKHLKPRPHSVSVLDFGAVGDGKTINTVAFQNAIFYLKSFADKGGAQLYVPAGKWLTRSINLTSHLTLFLEKDAVILASEDFDHWDVVEALPSYGRSIEAQYGRYRSLISGNNLTDVVITGNNGTIDGQGSIWWEKFNSHSLNYTRPHLVEFVSSSNVVISNLTLLNAPGWNIRPAYCSNVVIQNLTVYTPQDSPFTNGIVPDSSEHVCIENSNISMGYDAIVLKSGWDEYGISYGKPTSNVHIRRVRLQSAAGAGVAFGSEMSGGISDVLVELSALHDSLYGIELKTARGRGGYIKDILISNVVMDNLQVGIKATGYSDTHPDEKYDPSLLPTVSGITFKDIVGTNISIAGNFTGLSESPFTSICLSNISISISSDPSTPWLCSNISGSSKNASPEPCPELQGSFSSCFALPNPYTEVAVL >Solyc07g045425.1.1 pep chromosome:SL3.0:7:58634354:58645063:1 gene:Solyc07g045425.1 transcript:Solyc07g045425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAHFREGNVSDAKEVLAEMEEKGLCSSNFTYKFLMKGLFRAGYVDEAIEVKKSMFAKSLVPNRYFYATFIDGLCAANRFREAIMVLAEMSEMGVKPDAKVYEALLDCYLRHDDVDEAFKIKDAKGITLALCNTLLRVLCKCGKMEKANELVDEMTRKGIKPGSTTYALLIEGHCQWDNVATALELLEEMKKKNLASVESTYCMITDCLCRNKDPNTAMSSLPNLIMKKGKRNAHTWRTLLMNYPGKGHLLKLRGVVEAMHKHGIVPSTSHYNILIKGLCETRKMKAAQSCLVEMVDKGLNPDQGTYEPFIIGYCRKGQLQNAERYLLQMLHHGFKPTEEVYSALISANCKSERSTSSGIAKESCKFCIPHQLQPKFAADLGFEVRVTGGKCKWSMRKKVDCLIRFALQESQKLKVTS >Solyc06g082360.3.1 pep chromosome:SL3.0:6:48247588:48252321:-1 gene:Solyc06g082360.3 transcript:Solyc06g082360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTGDIPPNQTIYIKNLNEKVKKEELKRSLYCLFSQYGRIVDIVALKTPKLRGQSWVVFSEVTSASNAVRQMQNFPFYDKPMRIQYAKSKSDCIAKAEGTYDKKKKQDEKVEKRKRTEETPPQTGAANGSRTDSNGGGPAAASRQGKPSAQDVAEPNNILFIQNLPYETTSMMLEVLFKQYPGFREVRMIEAKPGIAFVEFDDDVQSSVAMQALQGFKITPQNPMAITYAKK >Solyc08g007710.3.1 pep chromosome:SL3.0:8:2190967:2199831:-1 gene:Solyc08g007710.3 transcript:Solyc08g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGDGTNGKRIRAEDVICKLKDDGDFDKLRLKIVRRMKENVELRNSLRATVKESAALNRPGAENMKLRQLSDAIYQEVGEKAMSKISDDLWNTIRTGDGIQTEITETVQSVYSKLLNPQLNEAGESAFHSDLQPAQNGVESNGHLAVSDSCIDATFSADAEPSEPPGFAQLSSNRNGKSDHKVSPKEVSGIPVPSDGKAKETYTQSENNCSLDPLIPESVDVGAPPGFAIGTIEKNPDDVNDEDPEVPPGFG >Solyc06g033850.3.1 pep chromosome:SL3.0:6:23374146:23376301:1 gene:Solyc06g033850.3 transcript:Solyc06g033850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKGYLGNAGCTYKGVLRHTSANWEAEIHEPNYSPRLWHGTMLHTNIVVIRSNSIFPITCTQKIGKRRKAKINSICSKMLHYYTEKKRIIRASLRKGYI >Solyc01g101060.3.1 pep chromosome:SL3.0:1:90795405:90797732:1 gene:Solyc01g101060.3 transcript:Solyc01g101060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKTMAMEDPCQNWWSSLIFYRMETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPESKVACETCTKTNLVMVFGEITTKAIVDYEKIVRDTCRNIGFVSDDVGLDADNCKVLVYIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYSNDNGAMVPIRVHTVLISTQHDETVTNDEIARDLKEHVIKPVIPEKYLDENTIFHLNPSGRFVIGGPHGDAGLTGHPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDREILKIVKENFDFRPGMMSINLDLKRGGNRRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQD >Solyc01g095907.1.1 pep chromosome:SL3.0:1:86929719:86931831:-1 gene:Solyc01g095907.1 transcript:Solyc01g095907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLVAIVLPTECNNKKTQKTEKEEDKVPSNIEHHVAAYNAIIYSQSKDNVITIVLSTIHTSGWVGMGFSREGMMINSSCMDGWVTPAGHGKIKQYYVEGITPSKIIPDKGELPLTTVPPLIYLQGASATYPTPLKTQPMTQSLWYYFHVSAQFILGLAGVVLGIHVNNKLQPDIPGHQGIGILILVLGILQVLVFFVRPDKDSKYRNCWNDYHSWMGRTALFFGALNIVLGMHYAGAGQGWKLSYGFFLASTMLACIILETLLRQ >Solyc02g094080.1.1 pep chromosome:SL3.0:2:55344459:55345776:-1 gene:Solyc02g094080.1 transcript:Solyc02g094080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFFFFSINYKLSSSVLICSLFFGIFFHGGNTYEHSYQKHLNHAKNQASSQKLLSLHCHPKDADDNRLYFYSSSLSLVQFVKDYRIIDCPPNSNPSYGAVVYCSCDGLFLIGIWNKRYVEQPKVLLIWNPSTRESTLLPHSRYYYSSDSSSSSSDSEDGDNNDLRSTYGLAYDSISDDYKVFRLVLPFDGPNENEIFALKKGSWRIIDETSSSGMTDCSMYSGGEFLPFVDGSFHWLGISSKKKLYVVSFNISSEVYGEISLPYFVCFLTISRWSKHKIEVDVGVSVLGGRLSFYYKDDNFFNLWMMKDGPGTWMKLFTIPSNRIYRVIPTYMFSDNQVLLCFKLDWKIMSRFVYRLISFGLFGLTNQIWPLDCDDIFIDAITIDKDIGIVYNGIVYTESLISPGLGLGS >Solyc04g049240.1.1 pep chromosome:SL3.0:4:40995149:40996041:1 gene:Solyc04g049240.1 transcript:Solyc04g049240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVQQEEGSGVNGRYYWKDSSLDNRYCNWYSCNRFNRYFLLWFIFRIGFIPVVIE >Solyc12g035805.1.1 pep chromosome:SL3.0:12:44492883:44493451:1 gene:Solyc12g035805.1 transcript:Solyc12g035805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYESNLWLLSRKVYYYTFSQKNNHSFFSSPSTKTTETTAGRGNLGKSGRSSVFIQATVEKKNEINLEVGGEKSLVNQVPRSGEAKPNKDFAEKKHGYGYHDRSLSIKKNFSKFVPVSGAFKYPKYAKIENYIENDDSLSKTNLFKFFFPKRPAPTAQLVIYLKGPSPHSILP >Solyc02g093190.3.1 pep chromosome:SL3.0:2:54767598:54788970:1 gene:Solyc02g093190.3 transcript:Solyc02g093190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSSIRIGQLTIDLPIGAAEGTTIEADEFLSVTESLKQQFASKRADYVKKRTEENAQKAYDLGELFLKLSTERKNHTVHGADSSIDLLSKRQQDVINMQTGIGSSNGDNDSNSSEDDGYASSEIRLGSSIAIKSAVCPIILPQVERLPQYTTWVFLDRNQQMPMNQSVVGCRRIYYDKNSGEALICSDSEEELLEGEQEKKFAEYEDVMLCSTIQQIGLSDTVLELLGQFLSRKPSEVKERYEDLVKDKYECASKNENIQGTPDLFLDNDLDAALDSFDTLFCRRCLVFDCQSHGCSQDLIFPAEKQLPWCSPDVDKEPCCSNCYRLVSWSPSFM >Solyc09g008280.2.1.1 pep chromosome:SL3.0:9:1748210:1752837:1 gene:Solyc09g008280.2 transcript:Solyc09g008280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SAM3 description:S-adenosylmethionine synthase 3 [Source:UniProtKB/Swiss-Prot;Acc:P43282] METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKATVDYEKIVRDTCRGIGFVSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDNGAMVPIRVHTVLISTQHDETVTNDQIAQDLKEHVIKPVIPAKYLDENTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVAEPLSVFVDTYKTGTIPDKDILVLIKENFDFRPGMMSINLDLLRGGNYRYQKTAAYGHFGRDDPDFTWETVKVLKPKA >Solyc04g039675.1.1 pep chromosome:SL3.0:4:13351496:13367041:-1 gene:Solyc04g039675.1 transcript:Solyc04g039675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRVTLSEKVLEWICFLLREASSHQKNQVRRWRFKDQVAEFFGTRNHNCHGRYMSILSLQGEGKTVIIVPESDINAGWKSVAFKIRSFIYCSPQKEKTQSRTHDSKMPYAKQLQTVNGKVTALIWSQPKADWNQLKPKSTIKEYWEDVSLGILTRRKLKIPPCLRLDVGLRRAMGIPMHLWTEETFHEIGELCGGWLATEEETKLRNHLKWARIETQGDDRSMTMEVTITREGINFIIPIWVERRTRFELPPERIGTIAREDDGCQMKIQRIIEPSSSISANPEHDGDGTGEKHKVLAKHGAQKISAYVTHGIFPNRSWQRFSHDKGGSPENGMTYFWITDSCPQTVKEVKDKKPFEVLSLAAPIAAALQI >Solyc02g077910.3.1 pep chromosome:SL3.0:2:43305814:43308775:1 gene:Solyc02g077910.3 transcript:Solyc02g077910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSGYKDYVAGLMAGVATVITGHPFDTVKVRLQKYNTEAGAVKYKNGLHCATRILQTEGVKGLYRGAASSFIGMAFESSLSFGIYSQTKRFLQGEIDGDKPQPHAIVPSAAFGGAIISFILCPSELVKVRDARVPNFLLYLEYHLCNVVFQCRMQVQGSDFMVPKSTRFSGPLECALKTVQEEGLTGMFRGGLATLLRESVGNAVFFSTYEYTRYFMHLQLKGASSESRQLIDVGVGIMSGGLGGIAFWSAVLPLDVAKTIIQTTPEKNHSRNPFSVLNLIYRRSGLRGCYTGLGPTLVRAFPANAAAIVAWELSAKLLGIRRDQ >Solyc12g011010.2.1 pep chromosome:SL3.0:12:3870284:3872189:-1 gene:Solyc12g011010.2 transcript:Solyc12g011010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYKQISLILWAALLIHNLVTPVMARASFEEQKTYYSPDPSTGNPPSSGSGSHTPPSHDSGEGGHGGKSPGGNCGTPAPSGGHKHHHHHNPTPSPPSGGHGGGSYSSPPPTHHGGGGTPPSTPTPDPGTPSTPGGGGYYPSPTPTPSTPSTPSTPTIETPPTPTVDPGTPSTPGGGGYYPSPTPTPSTPSTPSTPTIETPPTPTVDPGTPSTPGGGGYYPSPTPTPSTPSTPTIETPPTPTVDPGTPSTPGGGGGYYPSPTPTTPSTPTIETPPTPIIDPGTPSAPGVFPIDPNSPPFTCNYWRTHPTLIWGLFGWLGTVGNSFGVASVPGFGSNMNLLQALSNSRTDAYGDLYREGTASLLNSMVSKSFTYTTNQVRDSFVSALSSDKSAAAQAQLFKLANEGRA >Solyc07g045160.3.1 pep chromosome:SL3.0:7:58384095:58389313:1 gene:Solyc07g045160.3 transcript:Solyc07g045160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDDNFAVHNLNNISCSMGEVTNSQQQKKKIVIGDDGYVLEDVPHLSDYIPNLPTYTNPLQHNPSYSVVKQYFVNEDDTVAEKVVVHKNSPRGIHFRRAGPCQKVYFEPDDVYACIVTCGGLCPGLNTVIREIVCGLHYMYGVSRVMGIDGGYRGFYSKNTIPLTPKVVNNIHKRGGTILGTSRGGHVTKKIVDSIQDRGINQVYIIGGDGTQRGAAVIFEEIRRRGLNVAVAGIPKTIDNDIPVIDKSFGFDSAVEEAQRAISAAHVEATSFENGIGLVKLMGRDSGFIAMYATLASRDVDCCLIPESPFYLEGSGGLFEYIEHRLKDNGHMVIVIAEGAGQELVSESLKSTDPSGNKLLQDVGLWISERIKEHFSKQKKMLINLKYIDPTYMIRAIPSNASDNVYCTLLAQSAVHGAMAGYTGFTVGPVNNRNAYIPFNRIIEKQNKVVITDRMWARLLSSTNQPSFLRTRVDIIKANKDEEPPTQLSDDATTDDNLMEKQILTF >Solyc06g062810.1.1.1 pep chromosome:SL3.0:6:39745263:39746561:1 gene:Solyc06g062810.1 transcript:Solyc06g062810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C712] MADDSKAAENHNLTSDSNLSSESGNEVSIDSLARKVQESLSLSKRHKFWETQPVGQFKDLGDSSLPEGPIEPPTPLTEVKQEPYNLPSPYEWTTCDLDSEDMCNEVYLLLTNNYVEDDENMFRFNYSKEFLRWALHPPGYYKSWHIGVRVKTSKKLVAFITGVPAKIRARDNVVIMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPVSTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDQTVTPGFRKMELHDVPAVTRLLRDYLKHFVVAPDFDENDVEHWLLPKEGVVDSYLVESPESHEITDFCSFYTLPSSILGNQNYSTLKAAYSYYNVSTKTPWIQLMNDALIVAKKKDFDVFNALDVMHNETFLKELKFGPGDGQLHYYLYNYRIKHVLRPSELGLVLL >Solyc09g072550.2.1.1 pep chromosome:SL3.0:9:65516233:65517859:-1 gene:Solyc09g072550.2 transcript:Solyc09g072550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRLLAPLRLSAPLEALYPPLMLRGSHLPPPSQPPLRGSRP >Solyc01g106770.3.1 pep chromosome:SL3.0:1:94403820:94442655:1 gene:Solyc01g106770.3 transcript:Solyc01g106770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATVQAIRYPVATTGAGNIDALNRVLADLCTRGNPKDGAALTLRRLVEEEARDLSGEAFARFMDHLYERVTTSLDSNEVSENLGALRAIDELIDVTISENASKVAKFSNYMRVAFETKRDPEILVLASKVLGHLARSGGAMTADEVERQVKVALEWLRGERIEYRRFAAVLILKEMAENASTVFNVHVPEFVDAIWVALRDPTLAVREKAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGRNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLTICMNHILHVLKIPAERASGFIALGEMAGALDGELINYLPTITSHLRDAIAPRRGRPSLEALACVGNIAKAMGPTMEPHVRGLLDPMFSAGLSVTLVDSLDLLTESIPPLLPTIQNRLLECISAILSRSHHAMSRQSAALSRGHLATVTPQVPELSGSALVQLSLQTLARFNFKGHDLLEFARESVVVYLEDEDGATRKDAALCCCKLIANSFLAMSSTQFSPSRINRASGKRRRLVEEIVQKLLIAAVADADVTVRHSIFSSLYADGGFDEFLAQADSLTAIFATLNDEDFEVREYAISLAGRLSEKNPAYVLPALRRHLIQLLTYLEQSADNKCKEESAKLLGCLIRNCERLVLPYVSPIHKALVAKLCEGTGVNANSGIISGVLVTVGDLARVGGFAMRQYISELMPLIVEALLDGAAVTKREVAVSTLGQVVQSTGYVITPYNEYPQLLGLLLKLLNGELAWSTRREVLKVLGIMGALDPHVHKRNQQSLPGSHGEVTRVTGDPGQHIRSMDELPTDLWPSFATSEDYYSTVAINSLMRILRDPSLSSYHQKVVGSLMFIFKSMGLGCVPYLPKVLPDLFHIVRICEDGLKEFITWKLGTLVSIARQHIRKYLPELLSLISELWSSFSLPAANRPVHIAPILHLVEQLCLALNDEFRKYLPDILPCCIQVLTDAERFNDYTYVIPILHTLEVFGGTLDEHMHLLFPALIRLFKVDASVEVRRGAIRTLTRLIPCVQVTGHISSLVHHLKLVLDGNKEELRKDAIDALCCLAHALGEDFTIFIPSIHKLMVKHRLQHKEFEEIQGRVEKREPLIFGSTTAQRLNRRLPVEVISDPLSDGESDLYEVGTDMQKQLRNHQVNDGRLRTAGEASQRSTKEDWAEWMRHFSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWSQLNEASQRQLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDERPLPIDIRLLGALAEKCRAFAKALHYKEMEFEGALSNRRDANPVAVVEALIHINNQLHQYEAAVGILTYAQQHLGVQLKESWYEKLQRWDDALKAYTAKASQASSPHLCLDATLGRMRCLAALARWEELNNLCKEYWTPAEPAARLEMAPMAANAAWNMGEWDQMAEYVSRLDDGDETKLRVLGNTASSGDGSSNGTFYRAVLLVRRGKYDEAREYVERARKCLATELAALVLESYERAYSNMVRVQQLSELEEVIEYCTLPPTGNPVAEGRRALVRNMWNERIKGAKRNVEVWQALLAVRALVLPPTEDIETWIKFASLCRKNGRISQARSTLIKLLQFDPETTPATVRYHGPPQVMLAYLKYQWSLGEDHKRKEAFARLQDLAMDLSRTATLQPVMQNALVASSGAPLVARIYLRLGTWKWALSPGLDDDSIQEILSAFRNATHCATKWGKAWHTWALFNTAVMSHYTLRGFANIAAQFVVAAVTGYFHSIACGAHAKGVDDSLQDILRLLTLWFNHGATSEVQMALQKGFTHVNINTWLVVLPQIIARIHSNNHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRRAAAQEVVDKVRQHSGVLVDQAQLVSKELIRVAILWHEMWHEALEEASRLYFGEHNIEGMLKVLEPLHEMLEEGAMRNNTTMKEKAFIQAYRIELLEAYECCMKYRRTGKDAELIQAWDLYYHVFRRIDKQLQTLTTLDLQSVSPELLECRDLELAVPGTYRAGILMLFELITRTWLSDYLSQFCAFVLICFVLSNFKLAISDTPVVTIASFAPQLVVITSKQRPRKLTIHGSDGKDYAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTAEKDLSIQRYDVIPLSPNSGLIEWVPNCDTLHQLIREYRDARKITLNQEHKLMLSFAPDYDNLPLIAKVEVFEYALQNTEGNDLSRVLWLKSRTSEVWLDRRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRFSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRLHKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSTLASAHVPPVVNSEDSSSDRELLQPQRGARERELLQAVNQLGDANEVLNERAVAVMARMSNKLTGRDFAATSASSSSLQHPLDHSTLISGETREADHGLSVKLQVQKLIQQAMSHENLCQNYVG >Solyc04g045310.3.1 pep chromosome:SL3.0:4:32272258:32275517:-1 gene:Solyc04g045310.3 transcript:Solyc04g045310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESLLIVHVQEEEETPPLLKSQGHDTDLDKTLEKLELFLTLLGLNQSSVLRFVLSWILFLVLGILLPMIMLEVSNPQIKSFKFDILVSQALVAAVSLLCLSHNLRKYGIRKFLFVSGHIERFSNQYHHKIHDSVRLLLLWVLPCFLLKTAREIIRIVYVQNDSWWKSAAISLAFVSSWTYITAIFLSACVLFHLVCSLQIIHFDDYVKFLEREPDCFVLIQEHITGYTGLITYINDGDFAVYSIVQVVGVILCLNAAAKISHRAQGVGSIASRWHALATCTSGEASQMRNSTSMSCLEAANRSNSFYMNFSESDLEIDVCGTCSNMGRYPKTLLLDALTKKIEKKKGSPAFQGLSDMHNNPGGITIFGWTVDRGLINTIFFIELTVVTFILGKTIAFTVISTANIAGSRCYVGRFILIAL >Solyc11g005250.2.1 pep chromosome:SL3.0:11:202582:212396:-1 gene:Solyc11g005250.2 transcript:Solyc11g005250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDYSIPDHKLCGFFLTAVEISSPPHSSELHSTLPLNSQCYIAGDGSNIHFVTDNDVELCPIGSHTEEDRNDVVPMKKRSRIGMVNGSISVVHQLHKLVMQKCLKIVARVLEVVERGHDEEVRAVVLVDVYLPLALWSGWQFPKSGPVAAALFRHISCDWDAWSSMLQSAKLGVEKDFSIWNLSDCHVLGCKLHCSASDPSKKKLFELHEIFKSLPSVEKRGNPDSLRVNPLDTSRSGIWVITDDILISILSSLCPADLLRVSATCRHLKFLAASIMPCLKLKLFAHQQAAVDWMLQRERSVELLKHPLYMDFVTEDGFAFYINAVSGQITTGHAPTIKDFHGGMFCDEPGLGKTITALSLILKTQGTLAEPPDGALVIWCMHNAHRRCGYYELSSEDTINSGVLSSNRATGHNGRRGQLSLEKLTPEKSLNSFSTSLGSMVVNSADHVAISEISSSTVTQSTPRRSTARCTSSYSQIKRDLMYEYEGTSSFPEERNSRKNSKKRKLASNNQRKSSACEKSGYSHKLSRSSKRFHEPSAENYELKETWIQCDACHKWRRLADAGAADTTSAWFCSMNTDPLYQSCSVAEVSWDHKQHITCLLGFRSKETPGGLEENISFFTGVLKDEYSIMDSEAKKAIIWLAKLSPQKLLEMETTGLVQPIVQTSIGVPHGHHKIFQAFGLVKRVAKGTTMWYYPRGLMNLVFDLDALRVALCKPLDSFRLYLSRATLVVVPSNLVDHWRGQIERHVRQGQLRVFVWTDQKRPSAHSLAWDYDVVITTFSRLSAEWGPKKRSVLMQVHWLRIMLDEGHTLGSSLTLTNKLQMAVSLRATNRWLLTGTPTPNTPSSQLSHLQPLLKFLHDETYGQNQKAWEAGILKPFEAEMEEGRSRLLQLLHRCMISARKKDLQNIPPCIKKVTLLNFTEEHARTYNELVETVRRNILMADWNDPSHVESLLNPKQWKFRSTTIRNVRLSCCVAGHIRVTEAGDDIQETMDILVEDGLDPTSEEYGLIKYHILFGGNCMRCKAWCRLPVITPCKHLLCLDCVSLDSEKCTISGCGNLYEMQSPETLARPENPNPKWPVPKDLIELQPSYKQVGLILKDIHIGQDLDDWNPDWQSTSSSKVAYLVGRLKEIKEANRMIIISNEDKIVETSVSHVHTRINNFSMFSSQQYLVGPANDFCNINPQKVIIFSQFLEHIHVIEQQLAIAGISFASLYSPMPSISKVKALLTFQHDVDCMALLMDGSAALGLDLSFVTHVYLMEPIWDKSMEEQVISRAHRMGATRPILVETLAMSGTIEEQMMKFLQEADEGRRLLKEEYGKLGHDGARAPRTLHDFAESNYLTRLNFVRTSSKA >Solyc03g120300.3.1 pep chromosome:SL3.0:3:70220294:70227681:1 gene:Solyc03g120300.3 transcript:Solyc03g120300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGEAEYESDPEEAKLSLKMRRREASDDEEEEREVEKREKSVRMIESDGESEGQGAAADYDEEEEEEEYDDEEYVEDEEDYEGDEYQEVGGTSGEGHEETVKKVEAVTEGAEGVKQVVGEGTGSGQGIDDDNENNVQGEEGKKENEPFAVPTAGAFYMHDDRFRDNAGGRHRRTFGGRKLWESRDERKWGHDKFEELTVEERHYDEGRRGSRGRYRGRGRGRGPGPERGTVRGQRAKAYVNDSYPSNNNIQKIQDNAPKGMRGRGPRRYRPTFKDNIDAPPLPNKQSGSSVEKRSYHSTAKASAPVSNVENDAATAAKQGFVSSLNSASPPFYPSSSSTKEINVTHKKELQTGTSSRSAHPSVLGDNSAASQSNSVLRGKNGSDSVSIDKLHISDPITAVASKISPGLQLAPGSSTISPTQSQPLRGQGRGFNAMPNVNYQSPVINNQFNRAPQPTNLNSTQRNPVLGRGQPTFQATGQQFAQRSGTRSQGSSPPKAGQSISETGEFESSSDSSKSKSAMVAKGKGTLQSTGRGSVLYGGAQVMGAPGSMGGGDQNFPTTPAFLPVMQFGGQHRGGIPAVGMAFPGYVGQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYIAMDGAYHARPSGQISSLTAAPSKENSASKPNNESNPQQRLELSNDDLGQRQKNPRRYTEMKFDQ >Solyc10g085680.2.1 pep chromosome:SL3.0:10:64906616:64910865:-1 gene:Solyc10g085680.2 transcript:Solyc10g085680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLFSPSPTAPTTFHQDPNLICYSPLRPISKSWSCTNHSKRNNTITCSSFVDEISVSLDNSLSQFPVFQSGYSQFEKFTGELPEEQKLGLLIFAGITWIYLTARPGVLLGAIDAYILAPIQVGLDSLSGKRNFKMKDFLIGERLGEGSFGIVYSGVIVPKNVNLDDIVRKRGSSVKSVITDSRFKEKVILKQVKIGVQGAAECGEFEEWFNYRLSRAAPETCADFLGSFVAEKTNSQYTKGEKWLVWKFEGDRDLGDYMKDRGFPLNIESVMFGRVLQGLDSIQRNALIIKQIMRQIITSLKKIHDTGIVHRDVKPSNLVVTKKGQIKLIDFGAATDLRIGKNYVPDKGLLDPDYCPPELYVMPEETPKPPPEPVAALLSPFLWQLNSPDLFDTYSAGIVLMQMAVPSLRSTAGLKNFNMELNAVGYDLNNWRERTRTRPDLSILDLDSGRGWDLATKLISERGRRLSAAAALRHPYFLLGGDQAAAVLSKLSFSK >Solyc08g013850.1.1.1 pep chromosome:SL3.0:8:3284657:3287176:-1 gene:Solyc08g013850.1 transcript:Solyc08g013850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPINPTFNPYTPGPGTSKPSSFQKSPQTHLDGTNEKFLNKSHYFKLLGSLCKESKLQEAVDFLKEMEYGNLYVGPEFYGELLQGCVYERNQKLGKQIHAKILKRGDFFAKNEYIETKLVIFYAKCDVFDVSNHLFCRLRKQNVFSWAAIIGLHCRMNLSKEALLKYIEMLENGILGDNFVLPNVLKACGALNVVEFGKCVHGHVLKLSYEDCVFVASSLIDMYGKCGVLDDARKVFDCMCERNVVAWNSLIVSYMQNGFNEEAIGVFYDMRTEEIEPTHVTLSSFLSASANLCALQEGKQGHAISIVSGLDLNNILGSSLINFYAKVGLVNDAELIFDRLFEKDVVTWNLLMSCYVQSGKIDKALNLSRWMRLKGFRFDSVTLSTILSASAELRDLKLGREGHCFCIRNNFENDIVVASGIINMYSKCDKIPDARRVFDYTLEKDLVLWNTLLAAYAEVGLSGESLRLFYQMQLYGLQQNTISWNSVILGFLRNGQINEAIDMFTQMKTVGLDPNTVTYTTLVSGLSQNGHNSEALAYFKQLLQAGYRPNNASIVAALSASTNMASLHDGRAIHGYILRQKIPLSLPVATSLVDMYTKCGSVNCAKCIFDLIPEKELALYNAMISGYALHGRAIEALALFKRLCKEGVEPDNITFTSVLSSCCHAGLVKEGLDVFYDMLSLYHMEPRVEHYGCMISLLSRCGDLDEAMQLIQSMPFKPDANVFESLLVACRELRETELEEHIANCLIKMEPDNSGHYVSLSNAYATTGRWDEVSKLRDLMKKKGLRKRPGCSWIQVGTEFHMFVSGDKWHPHTEEISTILALLDMEMQLPRFFFNN >Solyc01g107590.3.1 pep chromosome:SL3.0:1:94985426:94989323:1 gene:Solyc01g107590.3 transcript:Solyc01g107590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLEVEKKAIGWAARDPSGILSPYTYTLRNTGPDDVQVKILYCGLCHSDVHQVKNDLGMSNYPMVPGHEVVGEVVEVGPEVSKFKVGDIVGVGLLVGCCKTCRPCKQDIEQYCAKKIWNCNDVYTDGKPTQGGFANFMVVEQKFVVKIPDGMAPEQAAPLLCAGVTVYSPLNHFGFNQSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKRQEALDHLGADDYLVSSDNEKMQEAADSLDYIIDTVPVNHPLEPYLSLLKVDGKLILMGVINTPLQFVSPMVMLGRKSITGSFIGSMKETEEVLHFCKEKGVTSQIEMVKMDYINTAMERLEKNDVRYRFVVDVAGSKLDQ >Solyc02g062600.3.1 pep chromosome:SL3.0:2:34861864:34870236:-1 gene:Solyc02g062600.3 transcript:Solyc02g062600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLGKAIYTVGFWIRETGQAIDRLGCRLQGNYYFHEQLSRHRTLMNLFNKTPTVDKDAFVAPSASLIGDVHVGRNASIWYGCVLRGDVNSISIGAGSNIQDNSLVHVAKSNLSGKVLPTIIGNNVTVGHSAVLHGCTVEDEAFVGMGATLLDGAVVEKNAMVAAGALVRQNTRIPCGEVWGGNPARFLRKLTQEEIAFISESAANYSNLAQVHAGENAKSFDAIEFEKALRKKFAHKDEEYDSMLGVVRETPPELVLPVNIQAPKAI >Solyc08g082820.3.1 pep chromosome:SL3.0:8:65610083:65614370:1 gene:Solyc08g082820.3 transcript:Solyc08g082820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSRRGNSLVVLAIVLLGCLSALSNAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDNERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQRDMKLVPYKIVSKDGKPYIQVKIKDGEVKVFSPEEISAMILTKMKETAEAFLGKTIKDAVVTVPETCLVSAAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRREAERAKRSLSSQHQVRVEIESLFDGTDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLQKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPSKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRNLGKFDLTGIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKAEKITITNDKGRLSQEEIERMVREAEEFAEEDKKVKEKIDARNALETYVYNMKNQINDKDKLADKLESDEKEKIETATKEALEWLDDNQSAEKEDYDEKLKEVEAVCNPIITAVYQRSGGAPGGGASEEEDDSHDEL >Solyc08g062950.3.1 pep chromosome:SL3.0:8:52438817:52442393:-1 gene:Solyc08g062950.3 transcript:Solyc08g062950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAX1 [Source:UniProtKB/TrEMBL;Acc:K4CL78] MMFLSSAIQESPIASTIFTILAGVLVYLYRPYWRVRKVPGPPAFPLVGHLPLMAKYGPDVFSVLAKQYGPIYRFHMGRQPLVIVADAELCREVGIKKFKDIPNRSIPSPIAASPLHQKGLFFTRDSRWSTMRNTILSVYQPSYLAKLVPIMQSYIESATKNLDSEGDLTFSDLSLKLATDVIGQASFGVDFGLSKPISDKMSHHQDDSEVQEFIKQHIYSTTQLKMDLSGSVSIILGLLVPILQEPFRQVLKRIPGTMDWKVERTNKNLSSRLDEIVAKRIEEKDRSSKDFLSLIMQARESEKLAKNVFTSDYISAVTYEHLLAGSATTSFTLSSIIYLVACHPEVEQKLLAEIDAFGPDDNMPTANDLQQKFPYLDQVIKEAMRCYIVSPLVARETSAEVEIGGYKLPKGTWVWLALGVLAKDPKNFPEPEKFKPERFDPNCAEEKQRHPYANIPFGIGPRACIGQKFSIQEIKLSLIHLYRKYIFQHSPLMESPLELEYGIVLNYKHGVKVHAIKRK >Solyc07g041760.3.1 pep chromosome:SL3.0:7:54255949:54263233:1 gene:Solyc07g041760.3 transcript:Solyc07g041760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHQQLGGASTGSVWSLFARLYPIGYISSSSKQSSCIDDLILERCMSSFGCWAGGNHLCST >Solyc06g034000.2.1 pep chromosome:SL3.0:6:23584370:23586293:-1 gene:Solyc06g034000.2 transcript:Solyc06g034000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVKKGAWSPDEDQKLVDYIMKYRIWNWSHMPKFAGLSRTGKSCRLRWINYLRPDLKKGPFSIEEVEIVIRMYQSLGNRWSAIAKELPGRTDNEIKNFYHTHLKKHLGTKVEVKPKSRKKAKQIEMSTQKKPLITNCPNIQSLDFTNSSSSSSYITFDENYDFLETSNQHNDVTSIVNQVDDENIVILESNPDDTTSSSSSVDLHIKDFMDVSVDSSNVVDFWLELYMAADNLKI >Solyc02g063085.1.1 pep chromosome:SL3.0:2:35696108:35701177:1 gene:Solyc02g063085.1 transcript:Solyc02g063085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFRHLFLLKETCLSSKMGIERRNKQVALRKQRVNFEYGELVRIHMRKLRFPSNRKSKLHLRDDGSFKVLERIGDDVYELNLPDEVLTLRSKMKQKALKECDRYTTKYAECASGRTISVVWQCRKQAKELNECLHQYCFAETFSFTAPATRRADSGCWAKVHRVRTNDSVLEEMKKAYMLQQNEQGSLRNARGVGI >Solyc04g016310.2.1.1 pep chromosome:SL3.0:4:7109356:7109487:-1 gene:Solyc04g016310.2 transcript:Solyc04g016310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFVFFSNLMTITSVISACEALGDESLGRQLHGYVSRMSFESG >Solyc04g079770.3.1 pep chromosome:SL3.0:4:64192252:64198509:-1 gene:Solyc04g079770.3 transcript:Solyc04g079770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSMLNNSVSITVSDDEPDEFANKLRARARRKRKKYALRGKTGFSHIVLKKLLRWWPFLLFLLAAGLLIFEASRIGRRPSSVVKNSNPVTGNKTDKVVEKKPPTNLNRLDLVTHVVHGVREPCLKLLPAEGLEHLDFPMDKVPADPIKQVVYITEANPASLDDGMPFEQHSGASRFNLFTGNQTLKQRDESFKVKGAGASIHCGFYSDKGGFRISVEDRSYMESCKAVVSTCAFGGGDDLYQPIGMSESSLKKVCFVAFWDEITLASQEADGHKVGDDRYIGKWRIILVKNLPFTDQRLNGKIPKMLAHRLFPNSRYSIWVDSKSQLRRDPLGVLEALLWRSNSVLAISEHGARSSVYDEAKAVVKKNKATPEEVAVQIAQYRQDGLPEDKRFNGKKALSEASIIVREHTPSTNLLMCLWFNEVVRFTSRDQLSFPYVLWRFKEFKNINMFPVCTRKDLVNSMGHIRKAKPLTG >Solyc02g071190.3.1 pep chromosome:SL3.0:2:41241321:41243971:1 gene:Solyc02g071190.3 transcript:Solyc02g071190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLHSPLPSPSPPLLTSFSPIKASISPKKSSFVSHHEPLQQLLSTGVSLVLSLGLLVSAPISIALESPSLQSSNSSLEVICRENEGEEVYEKDTEVSKVVSNENIVEEAWQIVNDSFLNTSDRRSWSPESWLQKKDDILSSSIQTRSKAHDIIKRMLASLGDPYTRFLSPEQVDINVLGKFGRVYLAFP >Solyc04g007420.3.1 pep chromosome:SL3.0:4:1092537:1107567:1 gene:Solyc04g007420.3 transcript:Solyc04g007420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSRKNGVGKAPGSITLREESGVKKKQTHVNAKSMLKLEHIKDIATWASGEGSIPSLGAFFGQRLAVSAESLGVPPDPSLFTCQRCESILQAGYNCTAQIEKNKRKARKKCKTSGIPPKNSVVYECHFCSHRNLKRGTPRGYMKSLYPAKPKTLTVDPAKSATPKAKVDPTESAMQRSEHLGTLVASIDKAKVDPTESAMHKSEHLDLSVASTDKARVDPTESAMQKSDHLDTSVASIDKTRIDATVSATQKSEQFDTLGGSTTDVIVSSELVGDDPMAGPATPLSTGTVTSLLDSKKRKRNRTGSKKKAEPQDGSSMTDAEKTVSTSSKRKKKSWTSLKEIAEKGKSIAKACQECETNFFCSLFEPPASSTSSRLPALMPPRHSNSQKQRSSKGMRRRYKIAKKMKVLETLIPNCNKSDRASVLDQAIQHIQALQHQIQVMSMDRIRGSTLVAAGRNQIMQSTLHFNPYIGAIGYFFNFSNILCSNFSPMLSTGSEFPFLPLAVACNLLHPGPIMEVFTRGSASVAPLEKRV >Solyc05g020043.1.1 pep chromosome:SL3.0:5:26064299:26065913:1 gene:Solyc05g020043.1 transcript:Solyc05g020043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFYTSSRTMFLNSTLKGERCKKETQRILEIAVSLGIILLSLMLSVGQQQTKSIPLHYSYRPQVDKLTYFTQFFWSCYDNIYHHIFNRILLHSLFGIVSSELLLLDHYINQPDRDPEWVELVQQVFIDPLCWDREESPFYVKVKRIQPENSLDRSYFVLVLIGLGLTWFTRYWLSEDLISRLA >Solyc12g042170.2.1 pep chromosome:SL3.0:12:58133312:58139753:-1 gene:Solyc12g042170.2 transcript:Solyc12g042170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDQRTIEECTSGRDFIAKSSIRQSKSVSHLPSMKVEKPTDALKRIPGNDKCADCGAPDPDWASLNLGILICIECSGIHRKFGVHISKVRSLALDVKVWEPSVIILFQALGNVFVNSIWEGLLHPRRNFQADEIPMRSLESHKHKQFSCKPSEDDHISVKEKFIHGKYAEKKFVHKVEDSEHLLSVAQQLWEGVRKNDKKTVYRLIVVYQADVNAVHGQASLGSDCANSLNPRGGSADLSSDGFFDGCSLLHLACQTADIGMVELLLQHGANINACDLRGQIPLHHSFLRGRTEIAKLLLSRGANPQAVDKEGKTPFHLVQESALDDVEIIALLKVAIG >Solyc12g096550.2.1 pep chromosome:SL3.0:12:66399610:66402866:-1 gene:Solyc12g096550.2 transcript:Solyc12g096550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPTPFSSQKSLTIMALQQPFLSELLLHSSSIRTTLPKSSLFSLQIPQRFNLYLQNKQIKKQGTSCYAIAENLEAEDQSLILENSSLDELRGQREIVGYDWTEEWYPLYLTKNVPNDAPLGLTVFDKQVVLYKDGSGELRCFEDRCPHRLAKLSEGQLYDGKLECLYHGWQFDGDGKCVKIPQLPENAKIPRSACTKTYEIRDSQGVVWIWMSHGTPPNINKIPWFENFERKGFRDISTIHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAGPLFFEVTERTNRGFAGWWGKERDQGKANYTPNFLRFESPCVLQNNREIVDESGEKHYFSGLFLCRPSGQGKSMLIVRFGNTRKRTGILKFIPNWFLHQNASKVFEQDMGFLSSQNEILMKEKVPTKKLYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHSTIFLPQQPAVVEHAPAGFVANFSAAQPAKGGIGGIYAPNPANRYFRHVVHCRDCSNVVKAFETWKKALSVIALVSTAFAILVSGRQWKALLLLSTSLCLAGAYACSTAIAMNTTNFIRTHRRL >Solyc06g009897.1.1.1 pep chromosome:SL3.0:6:4239633:4239956:1 gene:Solyc06g009897.1 transcript:Solyc06g009897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEKFITFKTSDGEEFKLNKDNKVVTVKSEVIKNMIQNVDCTSNVISLSNVDGKTLNKVVQYWKKYSKEGVTENQLKSFDKDFLKMSQSK >Solyc12g049160.1.1.1 pep chromosome:SL3.0:12:61520922:61522049:-1 gene:Solyc12g049160.1 transcript:Solyc12g049160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQWLQFACVLTFFLIATCTMAYTPYNSYESSDSTYNKVPTTVVKSEDFKVPSESEKEYKSSFLSKNDYYKKPSIIEDNYKKVSLVPEHESFLPKNDYYKKPLFSEDNYKKESYVPEVPSKDKPEYKESFLPKFDYFKQPSFSEDNYKKTSYVPEVSSMAKPEYKESFFSKFDYFKKPLVSEDNYKKTSYVPKVPTEPKSEYKESFFPKFDYFKKPLVQEDNYEKVSNVPKVPSLPKNADYYKKSLVPEDNYKKVSYVPKVPSVPKEEYKIPSLPKNDYYKKSSVTEDNYKKVPFVPKVPSVPKEEYKVPSLSKNDYYKKPIVSEDNYKKTSYVPKVPSVPKEEYKVPSLSKNDYYKKPSTSPSPPPPYY >Solyc02g089495.1.1 pep chromosome:SL3.0:2:51945460:51949531:1 gene:Solyc02g089495.1 transcript:Solyc02g089495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQEVQSEAASALQRKAAGERSQASQIHTRLYTVNLWGAVKCEGGEERKITVGNYEVGRESTGPQLQSLRLLYDSFNVNFKLDSVTEYILLKPGTSVKSKASLERTSRRITEVVSCEVHKSSSAQRIAVQNRILKVLNDQKGPKSGHPSHDNYFTSEKLHTLIPQYSLKLINGHCVLITHTVGAMEYLLILGLMVYCDWKSDELDHMDC >Solyc01g079720.3.1 pep chromosome:SL3.0:1:78685583:78700724:-1 gene:Solyc01g079720.3 transcript:Solyc01g079720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAMPGADDDSSSIAMTTLTTTNNILLSKQAIFIIIVMLLLIIFLILIACKYKPWRFFYSHPVSSNSVFRNKNTIKGEDIERPLISDDLHLVESQSHEYSRGYAHDAGSHQNQGAYGSPWTQGLVHKQRINPQLTHTDSFVLDVCDTSEDISIGQTLKRPLVFNQLAEEQKHIRPEDVKYRPNLAIQNEKFGDFAPKDTKDQRSILMLEVISGPCQGLQHSMQSTDTSRLPLTLGRVTPSDILVMDSEVSGKHAMINWNINKLRWELVDMGSLNGTLVNSNAVHNPHSGSRQWGDPIELANGDIITLGTTSRIFVHIRSQNDHVPFGIGIASDAMAVRRGGKKLPMEDVCYYHWPLPGTDKFGLFGICDGHGGADAAVSVSKIMPQMVASILSDSYRRERVLSQSDASDVLREAFSQTEASIDHHYEGCTATVLLVWADGDGNFYAQCANVGDSACVVNIDGKQTKMTEDHRITSHSERLRIQATGEPLKDGETRLCGLNLARMLGDKFLKQQDVRFSSEPYISQVVYIHQASKGFALLASDGFWDVINVKKAIQLVQQAKERISTEEEISAEKIANSLLSEARTLRTKDNTSIIFLDFENAHRILSCKLDS >Solyc10g009610.2.1 pep chromosome:SL3.0:10:3718643:3750954:-1 gene:Solyc10g009610.2 transcript:Solyc10g009610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIILMMENIEKIFDAEEVIEDFEVMTKDAGTIQEETLEKILKENGGTEYLKKWGLNGRTDVETFKACVPIVSHNDLNPYIQRIADGDLSPILTGKPIQAISLSSGTTQGKPMFVPFNDELMKSTMQTFKTSFAFRNREFPIGNGKVLHIIYSSKQFKTKGGLATGTATTHVYRNAQYKKTMKVMSTPICSPDEVIFGPDFRQSLYCHLLSGLIFRNEIQVVSSAFAHSIVQAFQTFEQVWEELVVDIREGVLSSRVTVPSIRLAMSKVLKPDPELADTIYSKCSSLSNWYGLIHKLFPNTKYIYGIMTGSMEPYLKKLRHYAGELPLVSADYGSSEGWVGVNVNPKFPPEMVTYAVLPNTGYFELLPLEENLIGMEQANSPVCLTEVKLGEEYEIVFTNFAGLYRYRLGDVVKIKGFHNSTPELQFICRRNLVLSINIDKNAEKYLQLAVEAAGKHLVDEKLEVVDFTSHANISSDPGHYVIFWELSGEATDEILQECCNCLDKSFLDSSYVNNRRMNTIGALELRIVKRGTFNKILDHFVGLGGAVSQFKTPRCVGPKNSSLIQILSTNLKSKMMENIEKKFDAEQVIEDFEVMTKDAGRIQEETLGKILQQNGGTEYLKQWGMNGRTDVETFKACVPIVSHSDLDPYIQRIVDGDLSPILTGKPIQAISLSSGTTQGKPKFVPFNDELMNSAMQTFKTSFAFRNREFPIGKGKAMHFFYSSKQFKTKGGLAAATGTTNVFTSPQYKKIMKDWSTPVCSPGEVIFAPDFQQSLYCHLLCGLIFRDEVQVVSSTFAHSIVQAFRTFEQVWEALVVDIREGVLSSRVTVPSIRLAMSKLLKPDPELADTIYSKCSSLSNWYSLIPELFPNTKYIYGVMTGSMEPYLKKLRYYAGELPLVSADYCSSEGCLGVNVNPKFPPEMVTYAMLPNIAYFEFLPLEENLIGMEQANSPIGLTEVELGEEYEIVITSFAGLYRYKLGDVVKIKGFHNGTPELQFVCRRNLLLSINIDKNTEKDLQLAVEAAVKHLVDEKLEVMDFTSHVNVSADPGHYVIFWELSGEATDEILQECCNCLDKSFLDAGYVSSRKVNAIGALELRIVKRGTFHKILDNFVGLGGAVSQFKTPRCVGPTNSSLIQILSSNVVKSYSNDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAETDVETFKACVPIVSHSDLEPYIQRIVDGDLSTYSYGKAHSSDLLEPKFVPFNDELMDSTMQIFKTSFAFRNREFPIGNGKALQIKTKGGLAVGTATTNVYKNAQFKKTMKAMSTPVCSPDEVIFGPDFQQSLYCHLLSGLIFRDEVQVVWSAFAHSIVQAFRTFEQVWEELVIDIRDGVLSSRVTVPSIRLAMSKLLKPDPELADTIYSKCSSLNNWFGLIPELFPNTKYIYGIMTGSMEPYLKKLRHYAGELPLVSADYGSSEGWIGVNVNPIFPPEMENLIGMEQANSPVGLTEAKLGEEYEIILTNFAGLYRYKLGDVVKIKGFHNVTPEIQFVCRRNLVLSINIDKNTEKDLQLAVKAAGKHLVDEKLEVVDFTSHANISSDPGHYVIFWELSGEATDEILQECCKCLDKSFLDSGYMNNKKMNTIGALELKIVKWGTFRKILDHFVGLGGAVSQFKTPRCVGPKNSSLIQILYSNRESLKCGEQKAYCFFLYYTCNNRNEFAHAIDSHKILSPCISLSTAKSARRTSFVTITEENSFISWNIRGIESNGSLERLLLFKKQFHIPLLCLQESMVTCDKMEKFIRKLGFHQAYNNSNKIGILWSNDIDVEKEETRQSTWSKGALSQVVEFKKASKMNKEKSAEINEWIMSLKRQVVLIEEAVSLKVELFNELQTELGVFSSGYKNLKS >Solyc04g049795.1.1 pep chromosome:SL3.0:4:43407427:43407692:1 gene:Solyc04g049795.1 transcript:Solyc04g049795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAYSFQHLSKFMQSPRVPHLKAAYHVLKYLKQDPTMCYSDWAACPESRRSVSGYLVLMGDSHISWKSKKQAI >Solyc09g064420.3.1 pep chromosome:SL3.0:9:61821386:61830423:-1 gene:Solyc09g064420.3 transcript:Solyc09g064420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDSERVVFDISSDEEVGFVKNRGGDGGGGGGGGGGGGRGSDDYDWITELLGEGGDGRSKDDSDDVVVVGEVIVNPKQNLKLITNADDDDDDCVVLEEDPDKPVEVENDRGDDSDELLVVSEKGQIACRDYPHSRHLCAKFPFGSTPHEQHCDQCHCYVCDTIAPCVYWGTGISGTAHCHATDKDKFWKAQRQNTRKSDKVLPLVTPCRGTLVSVPPPVANQAPGFIQRVPNYPPHTQMFRQAPIRPCSMSSSPGLPNSTTQQSTALRDKFHSHVVSQQLRNASINVTPGDRRHSVGHMGPQFFTPRTAFKRAGSSGQAFATDRSGYNSPNTCSVPQFGRTHSSSVRWQVPSASRPVGSNKYIASSQHNASILSARAVPYQPPLQRQPGFVGVPANYAPMGPQIPAQPHAGIRGVNAVSSQHQLPRQPALLGAPANYAPMEPEIPSQPSAGVVGAHAVSFQPQLPRDPRFLGASTNYAPMEPQIPSQPQVSVCANSVSSEAPTFLLPYANSSPSQPHLSTLPSVLSEFESLVSSENSVSSQSQVGNMYNNPLSSEAQMFSQQYADGNSGNSLPQQTSVAYLPEGGNTSVNTTASQYLLSCPTNAGTALGNSTTPVSQLSNQPDRETSPNISVPCSGYLPCPATMENPFGSRCESTFSCQPELCRQHISSSSHASKNISQHGYQGENSLIPNFEDFDFGWETHVSQTNSSGNVYNPKPAETLEVSRHPQLMENIADLDIQYGDWLVSAPSDAPVSTGFNILSPEASPIDSGYFVDF >Solyc01g068030.3.1 pep chromosome:SL3.0:1:76877754:76880555:-1 gene:Solyc01g068030.3 transcript:Solyc01g068030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAITAIPASTTMSATATSASASSSAHILRLNHKQLIFSLHGRRKSISASSSSFFSTYRFNSSISRSSRRISYPSSIRIRPLSPVMEWQDVTVKMEVDVPISVAYKCYSDREAIPEWMPFISTVKILEDKPDLSRWSLKYNAFGQNIEYSWLARNMQPTPNQKIHWRSLEGLPNRGAVRFFPKGPSSCIIELTVSYEVPQLLVPVASALKPFLENLLSQGLERFATFARIYSADTPK >Solyc01g111900.3.1 pep chromosome:SL3.0:1:97978499:97980550:-1 gene:Solyc01g111900.3 transcript:Solyc01g111900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEINGLNHIGSGEEEVQADIWRCISGFAEMAVAKCAIELGISDFLENHQEPVPLSQLSSALGCSASSLHRILRFLINRGIFKLVSITGNGEMGYVQTPVSRLLKRDGENSMAALVLLESSPVMLAPWHYLSARVLSKENGGAFCAAHGKDLWEYAKINPEHSKLINDALACHARVTMHAIINNCAEIFKGIESLVDVGGGNGTTVGMLVKAFPWINGINFDLPHVVSVAPPCHGVVHVEGDMFHSVPKAHAVFLMSVLHDWGDDECIQILKKCIEAIPKDTGKVIIVEAVIDEKIGKGKNLKDVGLMLDMIMMAHTTNGKERSAKEWDHILSAAGFSSHSILQTSAIEPLILAYP >Solyc12g087893.1.1 pep chromosome:SL3.0:12:64375055:64376279:-1 gene:Solyc12g087893.1 transcript:Solyc12g087893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFLITWGALRVGEKHRKTVVLRLLFKLRNSMLCCCLSVDVYRKVISLNLYCHIVVEGLEQVVMVCQAAGQTRFRALKHENGIAGCATIIVRVIACFQPLQDCQAEYFRQLLKPVT >Solyc12g096670.2.1 pep chromosome:SL3.0:12:66481418:66485355:-1 gene:Solyc12g096670.2 transcript:Solyc12g096670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEKQVSFQGAKMEKQQSFQNGAMEKQKSFIGIMEKQKSFRIAMERQMSFGGERKRGKDSPGKRGDSPLHLAARAGNLGKVKEIIQKFDEKGIKDLLCQQNQEGETALYVAAENGHSLVVAEFLKHLDLEIASIVANNGYDTLHVAARQGHLDVLQELLHSFPNLVMTTDSSNSTALHTAAAQGHIHVVNMLLEIDSNLAKIARNNGKTVLHTAARMGHLEIVRSLLSKDPEIGFRTDKKGQTALHMAAKGQNVDIVLELIKPNPAVLALEDNKGNTALHIATRKGRIQMVQCLISIEGIDLNTLNKAGETVLEIAEKFGTPELVSILKEAGATHSKDHGKPAGPAKQLKQTVSDIKHDVHSQLQQSRQTGFKVRKIAMKVKKLHISGLNNAINNATVVAVLIATVAFAAIFTVPGQYVEKKTDGFSLGEAQIGRKAAFIIFFLFDSMALFISVAVVVVQTSVVVIEQKAKKRLMFWINKLMWAACLFISVAFISLSYVVVGSKERWLAVYATVVGCIIMLTTIGSMCYCVVQHRLEESRLRSIRRTETNSRSFPMSVASDQELCSENYKRMYAV >Solyc12g005450.1.1.1 pep chromosome:SL3.0:12:270043:271074:1 gene:Solyc12g005450.1 transcript:Solyc12g005450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRCFGYNVRRGGLTDVRVFAEGDKEDESDNTMIADATRYTSQELKKFTANFSNSNLIACGGFSRVYLANFPDLSPRAVKIMDSSSERLNGMYKQELDILIQIKHDNIVKLFDHCEDNEFGNMLVFEYVSNGTLQDKLHENRDRTILSWRNRMGVAFQLAQAIEYLHEKCALQIVHSDIKSSNILLDDQFNCKLCDFGSAKIGFSSSISPPTKNRVILGSPGYTDPHYLRTGIASKKNDIYSFGVIILELISGVEAFSSCNGERLISKAAPILNDVSKVAEMMDPRLDRNYVLEEAKAMVSLAALCLSDFPGLRPSASEILENMRSRISSISFLFSDGKKV >Solyc05g007000.3.1 pep chromosome:SL3.0:5:1581540:1598582:1 gene:Solyc05g007000.3 transcript:Solyc05g007000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDTENQSQLSTWPGYVDWKNKPALTNKHGGLIPASFVLVVEVLENLAYLANASNLVLYMSEYMHFSPSKSANSVTNFMGTAFLLALLGGFLSDAFVTTYYIYLISALIEFLGLVVLTIQARSGSLKPPTCDLQATPNVPCEQVHGAQAAMLFIGLYLVALGVGGIKGSLPPHGAEQFDESTPQGRKQRSSFFNYFVFCLSFGALIAVTFVVWVEDNKGWQWGFGISTLAIFLSIPIFLAGSSFYRNKIPSGSPLTTISKVLIAALVNSGASRNSTSAIVSMTSSPSPPIPTGKENGEDSNRKDVGSMHTPSTSLSFLNRAVSDTPACSSSAFECTVQQVEEVKIVMKILPIFACTIMLNCCLAQLSTFSVQQAASMNTKVGSLKVPPASLPVFPVVFIMILAPVYDHFIIPFARRVTKTEMGISHLQRIGIGLFLSIVAMAIAALVEIKRKRVATDSGLIDSAQPLPITFFWIAFQYLFLGSADLFVLAGLLEFCFSEAPVSMRSLATSLSWASLAIGYYLSSAIVSIVNRVTGISTNKPWLAGSSLNHYHLDRFYWLMCILSALNFMHYLFWATKYKYTSLKSSNYVDWKNRPAINNRHGGLLAASFVLGVEVLENLAFLANASNLVLYMSEYMHFSPTKSANSVTNFMGTAFLLALLGGFLSDAFFTTYYIYLISALFEFLGLVILTIQARSSSLKPPKCDLNKPCEQVHGAQAAMLFIGLYLVALGVGGIKGSLAPHGAEQFDESTPRERKHRSTFFNYFVFCLSFGALIAVTFVVWMEDNKGWQWGFGISTSAIFLSIPIFLSGSSFYRNKIPRGSPLTTISKVIIAAVLNSSSNSSKNSSTVIASMTSSPPPPVPTSKSIETAPSRSLSFLNRAVSDTPACGALKCTVQQVEEVKIVMKLFPIFACTIMLNCCLAQLNTFSVHQAASMNTQVGSLKVPPASLPVFPVVFIMILAPVYDHFIIPFARRVTKTEMGISHLQRIGIGLFLSIVAMAIAALVEIKRKRVATDSGLIDSAQPLPITFFWIAFQYLFLGSADLFVLAGLLEFCFSEAPVSMRSLATSLSWASLAIGYYLSSVIVSIVNHVTGISTNKPWLAGRNLNHYHLDRFYWLMCILSALNFMHYLFWATKYKYTSVTSRR >Solyc09g064360.1.1.1 pep chromosome:SL3.0:9:61740917:61741147:1 gene:Solyc09g064360.1 transcript:Solyc09g064360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTCIFSTQSLNNPLIEILIVLVSFWTCSEYESFIFLQRSIKKLLYREARYYGILDHVRSAKWGLFDGNMARLA >Solyc12g036230.2.1 pep chromosome:SL3.0:12:45385798:45388828:-1 gene:Solyc12g036230.2 transcript:Solyc12g036230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDGAMGLINNEFEHLMISKRAEDPQFVVETIQEYCAEVKAILSQMKNDIATPDVEFSPLVASCDLVKKKSTRIGCELVISTCMLLIGACDERNKKKFSQTLELLKKDFSTTQSQLETYAWLERRIINVQVDQAESSGF >Solyc11g056400.2.1 pep chromosome:SL3.0:11:45644438:45645465:1 gene:Solyc11g056400.2 transcript:Solyc11g056400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQDVNLRSYFGSIRPPTRLTFGFRLGRCIIIHFPKRTFIHFFLPRRPRRLKRREKSGPVKAGGKVGPIGCLHSSDCTEEERNEVRGRGAGKRVESIRLDDREKQNEIRIWPKKKQGYGYHDRSPSIEKNLSKSLRVSGAFKHPKYAGIENDIAFLIENDDSFRKTNLFKFFFPKKSRSDRPTSHLLKRTHPAVRPSLNYSVMQYLLNTKKKMHFDPVVVLNHFVAPGVAEPSTMGGVNAKGRSLDKRIRSCIAFLVESSTSEKKCLAEAKKRVTHFIRQANDLRFAGTTKTTISLFPFFGATFFFFKGWGWGDAREQLLGQLRRKCWNLMGKD >Solyc04g081590.3.1 pep chromosome:SL3.0:4:65619063:65623407:-1 gene:Solyc04g081590.3 transcript:Solyc04g081590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKKISLFLQIFIFFVFTINANSDLEALLKLKESMVAPGTSALLDWNNNTKNYPFSHCSFSGITCNNNSHVISINITNVPLFGTIPPEIGLLQNLENLTIFGDNLTGTLPLEMSQLSSIKHVNLSYNNFSGPFPREILLGLIKLESFDIYNNNFTGELPIEVVKLKNLETLHLGGNYFHGEIPEVYSHIVSLKWLGLEGNSLTGKIPKSLALLPNLEELRLGYYNSYEGGIPSEFGNISTLKLLDLGNCNLDGEVPPSLGNLKKLHSLFLQVNRLTGHIPSELSGLESLMSFDLSFNQLTGEIPESFVKLQKLTLINLFRNNLHGPIPSFIGDLPNLEVLQIWGNNFTLELPENLGRNGRLLFLDISINHFTGRIPPDLCKGGKLKTLILMENYFFGPIPEQLGECKSLTRIRVRKNYLNGTIPAGFFKLPALDMLELDNNYFTGELPTEINANNLTKLVLSNNWITGNIPPSLGNLKNLVTLSLDVNRLSGEIPQEIASLNKLVTINLSGNNLTGEIPSSIALCSELTLVDLSRNQLVGEVPKEITKLNSLNALNLSRNQLSGAIPGEVGVMNGLTVLDLSYNDLSGRRPTNGQLKFFNDTYFVGNPKLCSPHATFCPSASNSPQNALKIHAGKFTTTQLVITIIILVTVALLLAVTVLFIKKEKFKNSQLWKLTAFQKLDFRADDVLECLKEENIIGKGGAGVVYRGSMSNGIDVAIKKLVGRGTGHHDHGFSAEIQTLGRIRHRNIVRLLGYVSNKDTNLLLYEYMSNGSLGEMLHGAKGAHLKWETRYRIAVEAAKGLCYLHHDCSPSIIHRDVKSNNILLDSDYEAHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLKVDQKSDVYSFGVVLLELITGHKPVGEFGDGVDIVRWVNKTMSELSQPSDAASVLAVVDSRLHSYPLASVVNLFKIAMMCVEEESCARPSMREVVHMLTNPPPQSTNTTTTLRAL >Solyc03g114380.3.1 pep chromosome:SL3.0:3:65866549:65872376:1 gene:Solyc03g114380.3 transcript:Solyc03g114380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVFTRSQITDSQPQNEQRIRQRTDQEDTQMARNLRNPHHGLKEKMKALTLLYEQQKQGSAAIKNQSFKPEDSRLSSHPSVDLVSSGRRAEKEQKQSKTISLVMRENTMHSSTVTRTYVQPPPPSGLDDGKENVAVVAGGDRIVGFSYPKRVNPSSNVARKLSLGSSTMPHTEPRAARRIVKENVQELDSISEKAASKGGGVDDGGSRILVFVRLRPISRKEREAGARCCVKIVDGRDVYLTEFATENDYLRLKRLRGRHFTFDASFPDTATQHDVYSTTTAELVEAVLQGRNGSVFCYGATGAGKTYTMLGTIENPGVMVLAIKDLFSKIRQRSFDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNLNRTTEPTRCNETSSRSHAILQVVIEYHVKDSSNNIVSRVGKLSLIDLAGSERALATDQRTLRSLEGANINKSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTVMIANISPSNLSFGETQNTLHWADRAKEIRTKAYDAHEEMQIPDSETDQAKLLLELQKENRELRMRLAHQQQKLITIQKENLAANSSPAPSIVSSILSPAPSSAQANEKRKARPSFMAGNCFTPESKRKGADDPVRDLKKVVKGLEAEIERLKKDHVLQIKQKDDTIRELSRKSAKPAGGTQVGGVKRIVTRASLRPREPHDVHLKSPSHRFHSPAPTAKKRSFWDITTANSPSVVTLNGRKTRSHVNTETVAAPSMLLQLAYPCFWFVFMFGPHLFNCTTQPGFARQNVKH >Solyc08g076230.1.1.1 pep chromosome:SL3.0:8:60365689:60366348:-1 gene:Solyc08g076230.1 transcript:Solyc08g076230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-binding transcriptional activator [Source:UniProtKB/TrEMBL;Acc:H1ZN90] MASQVNHKEETFDSHFPWIHRDNFPPATQLGSKSKPCAAVPIRSVAPTGEQNVDVKFKAKSQKMKKNKKTSMNGIRETVSELLKEKRFENKSSASKKPKGEAKCGEATVTKNPSSVYGRASADFSGLPQPFCSCTGVSRRCYKCGGGWQSSCCTTSLSEYPLPFNPSKPGNRKAGRKMSNGAYNKLLCTLATEGHDLSNPVDLKDHWAKHGSNKFITLK >Solyc02g091350.3.1 pep chromosome:SL3.0:2:53312559:53321588:1 gene:Solyc02g091350.3 transcript:Solyc02g091350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHHNTKMCPPHVLLFPLPIQSPINSMLQLAELFCLAGLQVTFLNTNHNQQLLYRHTNVESRFRQYPKFRFRTISDGLPDDHPRSFPMFEDLINSLQAVAEPSLREIVTESGVTCVIPDGLFYYAVDICNEVGVSVISFDTISPCCLWVYLCFPKLIQTGDIPFKGNDLDMLIENVPGMEDLLRRRDFPFYRLTDCATDLYCQLALKEIQSIPRSHGLILNTFEDLDGPLLSNIRSHCPQTYAVGPLHLQLKTRLADKTMSSSNSLWEEDHSSIQWLDAQPIESVIYVSFGSLATLTKEEMLEFWHGLVNSGIRFLWVMRSDLLREEEFSHQLVKELADGCKERAYIVSWAPQEKVLSHPAIGGFLTHSGWNSTMESIVERKPMICWAVYVDQRVTSRFVSEVWKIGLDMKDICDRDNIEKVVKDLMVTNKEKLKKSVDKLSMLAEISVGEGGSSYNAFEYLKMGNNIGGTTKKTKVMKINGEILKLKTPITTLEVVKDYPGHVLLESEAVKKFGIRAKPLEPEQELKPTKIYFLVELPLFPKEEIITSNNKVTRRVKSDVYMNAQDRLECLMLSRRSASDLSISKPSNGAVVQLKMKLPRSLQVTFLNTNHNQQLLYRHTDVESRFRQYPKFQFRTISDGLPDDHPRSSLLFGDLITSLQAVAEPFLREIVTESGVTCVIPDGLFYYAVDICNELGVSVISFDTISPCCLWVYLCFPKLIQSGDIPFKGNDLDVLIENVPGMEDLLRRRDFPFYRLTNCATDLYCQLALKEIQSIPRSHGLILNTFEDLDGPLLSNIRTHCPQTYAVGPLQLQLKTRLADKSMSSSNSLREEDGSSIQWLDAQPVESVIYVSFGSLATLTKEEMLEFWHGLVNSGIRFLWVMRSDLLREEEFSHQFVKELADGCKERAYIVSWAPQEKVLSHPAIGGFLTHSGWNSTMESIVERKPMICWAVYVDQRVTSRFVGEVWKIGLDMKDICDRYIIEKAVKDLMVTNKDKLKKSVDKLSMLAKISVGEGGSSYNAFESLVIDIKKLGRRDKDINPNCIGYTG >Solyc03g113000.3.1 pep chromosome:SL3.0:3:64787347:64793984:-1 gene:Solyc03g113000.3 transcript:Solyc03g113000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIKTLPPPSSLSVSVVSFLNAKLNEKEDLEQASSLLSELRTQCHVLDQSLSDLNTQFRNYLINHASHSDRTGALLRDIDAKLGDLQSASCSSSPDGGSGKVLGEELPALAKEVARVNTVRTYAETALKLDTLVGDIEDAVSSTVKRTLRREPSTKSSEEMRSVAIRTLKLTEETLRLVAKTHPQWTRLVSAVDHRVDRALAILRPQAIADHRSLLTSLGWPPPLSTLNSLGPESKRTTDAQSPLFTMKGDLKQQYCDSFLALCSLQELQRQRKSRQLEGQNREIALHQPLWAIEELVNPISVASQRHFSKWVDKPEYIFVLVYKVTRDYVDSMDELLQPLVDEAMLSGYSCREEWISAMVTSLSTYLAKEIFPMYVSQLEEESTSEKHLQARISWLHLIDQMIAFDKRVQSLASHSGILLSLQEDAKLEKLSSFSVFIDRPDWLDLWADIELTDAFDKLKPEIENERSWSTDVRGVAVLSAQEDNKSPAIASAFHQRFSAVIDRCQSLPSIELRSRFLKLAGAPIIHRFLGCLLFRCQEAEGLTALTDNDALMKVAKSVNAARCFESILKEWCEDIFFLEMGLNQDTSTDGNDFGSEESSGNGILYEEIKRLEEFRTGWVEKLSTVVLRGFDVCCRDYLKNKKQWQEKGEEGWMVSQTLVGALDYLQGKMSILEEGLNRVDFVGVWRSLAPGLDKLIFNGILMTNAKFSDGGVERLSNDLSVLFRVFGAWCLRPEGFFPKLSEGLKLLKMGKKQLQNCLAGGEIWLKENGIRHLTAAECEKIAKNRI >Solyc02g079250.3.1 pep chromosome:SL3.0:2:44409312:44420721:1 gene:Solyc02g079250.3 transcript:Solyc02g079250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRYSASRYPAAHLQLPFRTPLRRRFVAVRSSLSLPFAEQKAKYYSELEAAVDVVERACRLCVDVKKSLFSSDGRILEKNDQTPVTIADFGVQALVSLEMNKLFPSIPLVAEEDSAFLRSNNLVGSVVDVVKDKATLGDEVTEDNILKAIDRGGKDAYVFAPEPATYWILDPIDGTRGFVRGSEALYVVGLALVVEGKIVLGVMGCPNWHEDCSDNSIIGVQENQSSRSGIIMVSHVGCGTWTKRLSDILSNESPHTWTRCSVDSCQMVQGARVTIPESQAWKSLPLSGLFDAKTDSENIGEGNILLLSACCGSLCKYLMVASGRASVYIQGKKATSIIKVWDHAVGIICVHEAGGKVFLREVTDWEGSSLDFAADQTERRLIFPSGGVLVTNGSLHSKIIEMISSNSSVL >Solyc02g021610.1.1.1 pep chromosome:SL3.0:2:23769342:23769743:-1 gene:Solyc02g021610.1 transcript:Solyc02g021610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLLGNYSIVESSLIRQESSLAWANNSEQDGQDGLDALDNDLCHKFVVSITYSNRPETLESMGVGEIWDKANEGGIDVEGHGGVNTFAKCNYFSSDHISVFLVEHVMKVIRSRVFERLERVESIKNFAISN >Solyc10g049430.1.1.1 pep chromosome:SL3.0:10:45811003:45811314:-1 gene:Solyc10g049430.1 transcript:Solyc10g049430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D0A0] MDNVITYLGRPWGIFSRTVVMESFIDHLISPRRWIEPRKKSIGHRHPYFLEYKNRGPGAATQKRVTWASHTMNPNIASTFTVRNFINGDKWIPANIPYYSDFS >Solyc07g018142.1.1 pep chromosome:SL3.0:7:9418779:9419453:-1 gene:Solyc07g018142.1 transcript:Solyc07g018142.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSTTFELNDLGPAKQILGMRISRGRSADTLNLSQELCITKVLSRFRVNNAKPRTTPLANHFKLSKEQSPKIAEKHEHMALVTIQRFVDNDIGGDVDSIKSTSEYIYTIGGTAVSWISRLQKCVSLSSTEAEYVAIAEAGKEVI >Solyc11g069150.2.1 pep chromosome:SL3.0:11:54042842:54049437:-1 gene:Solyc11g069150.2 transcript:Solyc11g069150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMDSAPAKSSLMSPESDIQRTQCTYVHKDAGEEHWIWRKMFQKGICKLYLPVSAAYALTGGFHWDVKAAYAFKLLDLIFIHAKKFPYVTGTSVVGIKYKDGILLAADMGGSYGSTIRYKSVDRLKPVGKHSLLGASGEISDFQEIMKYLDELILYDNMWDDGNSLGPKEVHNYLTRVMYNRRNKFNPLWNSLVLGGVKNGQKYLGTVSMIGVHYEDNHVATGFGNHLARPILRDEWHENLSYEEGVKLLEKCMRVLLYRDRSAVNKLQIAKITEEGVTISQPYSLKTFWNFSAFQNPTVGAEGSWFDEGTTQCVADYRTVFMEVMFDRTRIVIFQSYLACHGSSQLWNVVVFRLMRMTQPRYYSLLCNTSELSKCSDDFGLAGGSDLGMDKLGERPKDTNCFPMSVLNGRDSCRLSTGSEPSTSGT >Solyc08g014530.1.1 pep chromosome:SL3.0:8:4657525:4663025:1 gene:Solyc08g014530.1 transcript:Solyc08g014530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSYRHGFSGFAAKLTNSQAKQIRGILLFLLVKKSTSTNMHLNLIKLQIKFTRLKTTRSWDFLGLSKSNPNDLLNKANQGDGVIIGIVDTDGKVICKSEANFNATKHCNKKIIGTRWYIKGLMEQFKLNQTMVEKSYNLSPLDEDGHGTHVAYTAAGSYVNNVQYYGLNMGASRGGAPHARLAIYKAQEIFQGKDHAFIPLLVTKDVTNNKEPMIKLGQTKVVEGNEVFLKVAKFSSRGPNSFGPDILKVKI >Solyc03g095840.3.1 pep chromosome:SL3.0:3:58956219:58962454:1 gene:Solyc03g095840.3 transcript:Solyc03g095840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNSPNLECRMYEAKYPEVDQAVMIQVKSMADSGAYVALLEYNNIEGMILFSELSRRRIRSISSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDISACEERYNKSKLVHSIMRHVAETMGIDLEDLYIHVGWPLYRKYGHAFEAFKLVVSDPDSILNSLTREIKEVGPDGKEVTKVAPALSEEVKDALVKNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKEAMRKAEAAGNDDCPVKIKLVAPPAYVLNTQTLDKEQGIAILTKAIAACTEEIERHKGKLAVKEAPRAVSEREDKLLAEQMAKLGRENEEISGDEDSEEEEDTGMGEIDVENSGTGIRE >Solyc05g006870.3.1 pep chromosome:SL3.0:5:1484825:1486311:-1 gene:Solyc05g006870.3 transcript:Solyc05g006870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4BWL3] MGANYSVTLHEAHNMPITPQFKRSQVIAFHSSTKWKLHFDSLKNTNKLVVIDFTATWCGPCKYMEPILNDFAAKYIDVEFVKIDVDELDDVAQEYGVQAMPTFVLIKKGKVVDKVVGADKDGLKMKIEKHKAMFI >Solyc05g009190.3.1 pep chromosome:SL3.0:5:3328930:3334032:-1 gene:Solyc05g009190.3 transcript:Solyc05g009190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEKVEFQVYNSMTRQKEVFIPKEPGKVKMYVCGVTSYDYSHIGHARAYVAFDLLYRYLKYLGYEVMYVRNFTDVDDKIIRRANELGEDPTALSGRYCQEFLKDMDDLQCLQPTHQPRVTEHMEQIKEMIAKVYFAFFLMLMILRCNLQIMANGCAYTINGDVYFSVDSFPEYGRLSGRKLEDNRAGERVEVDSRKKNPADFALWKSAKPGEPSWESPWGPGRPGWHIECSAMSAHYLTHSFDIHGGGMDLTFPHHENEIAQSCTACRESNISYWMHNGFVNIDDEKMSKSLGNFFTIREVTRLYHPLALRYFLLGNHYRSPVNYSISQIEIASESVFYIYQTLLDSEETLSAFQQGTETAKNAKGRVTPQAQECINKLRNELKTKLSDDLHTPTILNAALQEALKQMNSCLTILKKKQQKQQHLSASLSLTELLKEVKAVLDVLGLLAGSTSAEVLPELILSVSIFWAFFPFVLQQLKQRALKRAELTEEDVLHAIEERALARKNKDFARSDQIRTDLAAKGISLMDITGTTETAWRPCVRSIQEQPAAPAQPKKSAAAVQEKPVAPSQQKQPSAAPQQEQPAAAPAQQDHTTVPPQ >Solyc12g042950.2.1 pep chromosome:SL3.0:12:59396144:59399769:1 gene:Solyc12g042950.2 transcript:Solyc12g042950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:K4DF84] MEGVLQTRGLLSLPSKPKIKAFYPLPQGGLRNRFNSLSSLKPNPFNGVSLSSNGFQKVQGFDTKPQLFGQKKRCFSICKAAAAGGAADGQPLFVEKEQPKFMGIEVVTLKKIIPLGAMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKEALFYTVILPFIAFFGAFGFVLYPLSNYFHPTAFADKLLNTLGPRFLGPIAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSSLRSSLGPGVDGWAISLKGMMSIVVMMGGAICFFYWWVNRNVPLPTRSKKKKVKPNMTTMESLKFLVSSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFDKYGWGAAAKITPTVLLLTGVGFFSLLLFGAPLAPTLAKFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLASSTPYLGGVLLVIVLAWLAAAKSLDQQFTQLRHEEDLEKEMERASLKIPVVSQNETGNSPLSSGSSLNPAEGDSTNASSEPSSPRSL >Solyc01g081325.1.1 pep chromosome:SL3.0:1:80401601:80403477:-1 gene:Solyc01g081325.1 transcript:Solyc01g081325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNNKKTRMDATHNEAGYTTTALNERQRAIMEEFAQEEVVNGDYMDGELSSADTRIPDPAAFNIIPAQSLLFSGFNLIWRELPKHLQSW >Solyc01g107390.3.1 pep chromosome:SL3.0:1:94843134:94845241:-1 gene:Solyc01g107390.3 transcript:Solyc01g107390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFIKSETKTPGGLLARPVLTSYYKSEHFKSRPHDPYNVYTSPNETILCSDSFQSMYTQMLCGLYEREQVLRLGAVFASGLVRAIRFLQLHWEELAHDIRTGTLNPQITNPSVCERMGKIIKPNPELADFVANECCKENWEGIITRIWPKTKYLDVIVTGAMAQYIPTLDYYSGGLPKACTMYAASECYFGLNLNPMCKPSEVSYTIMPNMGYFEFLPHDPTSTTTTSSPTNLVDLVDVEVGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQGAVENASKLLREFNTSVVEYTSYADTKTIPGHYVIYWELLMKDLNNSPSDEVLNQCCLAMEESLNTVYRQGRVACNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFAPILELLDSRVMSRHFSPSLPQWTPERRR >Solyc04g025935.1.1 pep chromosome:SL3.0:4:20281231:20282199:1 gene:Solyc04g025935.1 transcript:Solyc04g025935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVATKSTHQTLPQIVSAQDTFDPSKILFEIFLTKYLPTTSQNVYAWTSIYLELRMIKLGHYLDAAVELKVPTLSVGIIGIHYICRWFFKKSSGWASMQAGSIPSARIRSVSNLRGYNP >Solyc09g008310.3.1 pep chromosome:SL3.0:9:1754992:1772665:-1 gene:Solyc09g008310.3 transcript:Solyc09g008310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAIRECDDRRLKTKYNNAIYVIRRALALYPIQEVALSFNGGKDSTVLLHLLRAGCFLHQAEEFNSGGDAVDGGKTFPIRTIYFESPSAFPEINSFTYEAASIYDIQMDIIRLDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLVSKVRYCSLYDQGYTSIGSIHDTVPNALLCTRNSDSSEEKFKPAYLLADGRLERAGRAKKNSSAASGKSSSISNGLKMDNLNSGSMLSASVITVGDEILFGTVEDKLGSVLCKKLHSIGWAVSRVTVTQSDIDSVAEEVERRKSIDDMVFICGGIGPLHSDVTVAGVAKAFGVRMAPDEEFEEHLRHLIGEKCSGDKNEMALLPEGITELLHHEHLPVPLIKCHNVIILTATNAVELDRQWDCLIELAKSNGILELMDPFASKCFATTLSDVEVAQPLSKLCAQFPDLYIGGYRESREGPLIITFEGKDLSRIEAASQSLCQKFHPGSFSKIE >Solyc01g104220.3.1 pep chromosome:SL3.0:1:92564486:92568821:1 gene:Solyc01g104220.3 transcript:Solyc01g104220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGDMSMRRWIFLMLLCLLHKGQAIWLTVPSIGTKCVSEELHNNVVVLADYYALPDDHTHPSPTLSVKVTSPFGNTLHHKENLTHGQFAFTTTEAGNYLACFWVEDGHNPGGKSLNVGLDWKTGIAAKDWDSVARKEKIEGIELELRKLEGAVEAIHENLIYLKTREAEMRAVSEITNTRVASFSLASLGVCIMAAVLQILYLKRYFQKKKLI >Solyc09g030453.1.1 pep chromosome:SL3.0:9:31628564:31638423:1 gene:Solyc09g030453.1 transcript:Solyc09g030453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADLLNSHEEVRHAQAKLKGALNLILERLGVLERALAVPNVGAVNGIKWKNFELDREEFNKLQQSGTLDEFLGRFEDLKAKILICNLALNNTHFLSCFIGALKEEIRFEVKMFKLTKLKEVVQKARMKEMTIEAVHKRRKGVNRLVTPVVQGMGNTGSTATGNRTYPYQLTPENEDDNEPVLVSEDNEEITIEGIVEQEVQQVVCLNALTGHNKGQNTILVGGAVKKRDLATLIDSKSTHNFIDKHTVTASGYQTRPCSPERIFTENFLIILLGGKKTNKLVLLGIEEEGSLRMLSSEAMRKMLKKGQAIVAPLFMMNMVTCNEEEEVEASTMRFLSNQERCKLVLDPIAKKKDGTWRFCVNYRGLNDFTIKDTYQIPIVDDLLDELHRAVIFLKVDLWAGYHHIRMRVEYVHKTTFRTHIGHYKFRVMPFGLTNAQTTIQSLIMRFFNRFSVFCFSLLDDILIYSRSRDEHLRHLQIAFETLRANVRFSKNYKCSFGQAHVEYLGHITTDEVVSTDPNKIKAMNYGTISRPLTNLLKKEDFKCSMDAETAFKNLKVAVTTTPVLDLPDYNRNLWLKLMLLIGLLELYLYNTEGPLQQQLISTLNDTPLGGHRLIVKFSIVMDANVAYLGLLQLLSIPNQSWNNISTDFIEWLPKSKAKDMILVVVELIMKYAHIIALAHPCSAVTVAELTCSNCWGRNCTIVWPIILRVTDNLTTAQARMKFFADKNRTEREFYVKDQPMTILLRQMVIRNNEVVVRVLVQWSNLLPEDSMWEDYNFCVLGSLVLILILEVKDVFMGKLMSSPLEEKKEGKKAAIKCPHHHLKVVKLSGFYTGLFELELVRYFLENVVALENTGKLREILPSSSLNVKCLRLFSC >Solyc07g032250.3.1 pep chromosome:SL3.0:7:36561029:36565091:-1 gene:Solyc07g032250.3 transcript:Solyc07g032250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFLFDIANVQLVEQDSEGQCNSECKTIERACQDVMDYSDTDVAEYLYKSKSDLDSLKSFLCKDLTKVCSKAPPPVPKNRVPGEPFVAKSSKEAEMEKLMRSMQGMPGAPGKGY >Solyc03g061580.1.1 pep chromosome:SL3.0:3:32601245:32601585:-1 gene:Solyc03g061580.1 transcript:Solyc03g061580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPTTVSALIHAATMVTTGAMTSFLAATTGILQNNLKRVIAYSTCSQLGYMIFDCGISSYLVSIFHLMNHAFFKALLFLSAGPVKPL >Solyc07g054390.1.1 pep chromosome:SL3.0:7:62849997:62852083:1 gene:Solyc07g054390.1 transcript:Solyc07g054390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENYENVGFGDSLKPKNSKSREVSSRYLSPTSNSSIIDDKNQSPESIVSKTRSSTDYNKHKSLEKSGLLGKLWPSSSSPSSIMDTFGNHLGNERSRDVEERKNLKNSNSHPLFLSKQKSCTGFSRFENEKERTKEKEKRRSFFGGSGKIKFPGRFSNSSSKSSNLSDGSTGQIASGRFSVDGRRCNSARIRSDILSDIIANESESEYSDICSNNSFDSPVFGYMAPTISSRKSGIEVPSKYMQDTLSKSRRWSSDCTVPKPESPKLGLKFKNPIQKAATSKTSKWAWSPGRPNSPPVLIENRGIFTSNMKPPTSPSKGKSVGNLLSMGLELLKGKKFSSGAASPLRPGMTESVHRLKILHNKLLQWRYVNARADSVHHNISKQAETNLVYAWDGLIKMRQSVVQKKLQIQRQNLEMKLSFVLHSQIKLLEGWGSIERQHSSAVSNSVDCLQSALCRVPLIEGATAEKTAEVMKEMAEVVVQEKLLLEECLELFNNISTLEVNFSIYRTIAYIIQSYLLFI >Solyc09g091690.1.1.1 pep chromosome:SL3.0:9:71413833:71414999:1 gene:Solyc09g091690.1 transcript:Solyc09g091690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNKLAEAKIPQELLQFSCLPDEIIIEILLRLPVKSILKFRCVSKSWLSLISTPYFINTQIKFCVKKSKNVNLRLVIVASVAGLMGKMCSVYSLDCENSSVNVDKIDYPLKPPFGSAKFLGSCNGLICLTPMSFKLMIWNPVTGKHKEFEDSFVQCAVNCYIRYGFGYDYVNDDYKVVKIFSFPRNEGRYENKVKVYSLKYDSWKMGEAFDSGYVNAQSGMCLNGYLHWEVSHCRDSGDGDGGGGSSEIMTLDLSTETYGIMALPNCGNGNTSWSLSVLNGCLVACCNYHSVRTDMWVMKEYGVESSWTKLVSNITAPSGRLGYVSPLSVSENGGEVLVRLGTNISLYNARNASHESLDIHSLGYCLQVQAITYIESLASPNVGDT >Solyc06g048920.3.1 pep chromosome:SL3.0:6:32031291:32035369:-1 gene:Solyc06g048920.3 transcript:Solyc06g048920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCLLEPPFRCLPPFSPPRFRCFAAPRAQPQPSEPDTETLVLPLDGPKERREIVRLAWEKLVRWSRSLQSRAKTDVLERTNKIVVLGGGSFGTAIAAHVATRKSLLEVNMLVRDPQVCRSINEEHHNCKYFPQHELPKNVIATTDAKAALLGADFCFHAVPVQFSSSFLEEIANYVDPGLPFISLSKGLELNTLRTMSQIIPKALKNPRQPYVVLSGPSFALELMNKLPTALVVASKDQKIANSVQQLMASKNLRINTSRG >Solyc12g017290.1.1 pep chromosome:SL3.0:12:6498134:6498582:-1 gene:Solyc12g017290.1 transcript:Solyc12g017290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPNRITTKVKAKLIEIEGPRGKLNHLNLDFHSRKATAAIRTTFSHVKNLIIGVTKGYWYKMCLVYAHFPINSSVTDRNKSIEICEFLGDMLDGVTVVPSKKVKDELVLYRDDIELGWYLCNREGKNC >Solyc08g068930.3.1 pep chromosome:SL3.0:8:58069652:58074401:1 gene:Solyc08g068930.3 transcript:Solyc08g068930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQIRLEAVPLLVVVLIGAHVLALVYWIYRLANQKQPMRLKKQ >Solyc01g096800.3.1 pep chromosome:SL3.0:1:87671227:87675719:1 gene:Solyc01g096800.3 transcript:Solyc01g096800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFKSKNRKPFVKQIAKKTPTVDHVTGDKIPKSLVFSRGKISGPLKQLQMDLRKLMLPYTALKLKESKRNKLKDFVSLANGMMVTHFLILSKTDSAAYLKVAKMKHGPTLTFKIHKYSLAADIAQSQLRPRCPPDLFKNPPLIVLSGFGTGEEHLKLTTFIFQNIFPAIDINTVKLSSCQRIVLLNYDKETKLIDFRHYSIRLQPVGVSRRIRKFVQNHQVPDLRSLQDVSDFVTKAGYGSESEGDDEAATVNLSSDIGRVNRASTKSAVKLQEIGPRMTLQLVKIEEGLCTGGLLYNESGNVDAKKLENEEENEQESDEEMDTDDEEELEIEDEEEQEIEEED >Solyc05g013720.3.1 pep chromosome:SL3.0:5:7017735:7024177:-1 gene:Solyc05g013720.3 transcript:Solyc05g013720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4BY93] MILKTYIFIIFTTLFLHWETSETKSRGDIGQASLPPRGWNSYDSFCWTITEEEFLQNAELVAQRLKPHGYEYVVVDFLWYRKKTIGAYTDSYGFDVFDEWGRMVPDPGRWPSSQGGKGFSQVAGKVHSMGLKFGIHVMRGLSLQAFNANTLILDTTTGKAYEEAGRQWRAQDIGIKERTCAWMKNGFMSVNTKLGAGRAFLRSLYQQYADWGVDFVKLDCVFGDDLDLDEIIVVSEVLNELSRPIIYSLSPGTNAKPIIAKEVSGLVNMYRVTGDDWDTWEDVAAHFNVARDFTAANLVGVKGLKGKSWPDLDMLPLGWLTEAGSNEGPHRYCRLNLDEQRTQVTLWSMVRSPLIFGGDMRKLDASTFSLLTNPTLLEINWFSSNNMEFHYVKGSLSSSGKHSLSNHTEDKEKINVLEKRVLALRSCKDVKANGWSTKVLDSVEKVCWEENSNKRQAPFCLNKREALSASEGETVYQHQNLGRLHLFVTERTELCFGASSNRKLAANEISRGSFSRCRSHANQMWENYNGTLMNSYSGFCAIMDFVRASSGGIRSWLATGRRGEIYLAFFNLNNQVTKMSAKLSDITNAIHATSSKCSGREVWSGTNLGAIKDSISMSVNAHGCALFVLNCT >Solyc06g073600.3.1 pep chromosome:SL3.0:6:45537685:45548172:1 gene:Solyc06g073600.3 transcript:Solyc06g073600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEISEGIRATSLRINDCPSPLPSAIASSATPQKHLKCFISVHFVQKLIAEFVGTYMLIFAGCAAIVLNINKNNVVTLPGIASVWGLVVMVLIYSVGHVSGAHFNPAVTIAFATSKMFPWIQVPAYILVQVVGSTLASGSLRLIFNGKEDQFVGTVPAGTDLQALILEFIATFYLMFVIAGVATDDRAMKHLSGVAIGATVSLDILFSGPLTGASMNPARSLGPAIVTGHYKGLWIYIIGPTLGAIFGAWTYNLMRLTNKSWGEAAKEISHSQTAIEVSSKDKVICNCGEGWSCVVSKTEAAEIFELEAVAMSPASRSKSKDKKGGKEPPKAASKPSSVNAGATTPTSGYNPLLGTFHTIETAPVTSNAALHVNGRFRNIDETDDPSGHSLGPSSEYDSVSLNGSWSGESEDHKEKMSNPPPRAETVVPGSDNDKREKIRQKNEKKHQRQKERRAQELHEKCSGYLMSRKLEALAQQLVAMGFSSERATMALILNEGRVEESVSWLFEGGEEADKLKEHNLDGGGNLKIDISEELARITDMENKYKCSKQEVERALVACEGDLEKAEETLRSQKQEAHSASSKPEESGDPPTLGNGKLPTATTQNLLRAPVKPSPNMILPKRDDRDFNYTKVAATSGSSAENGSKSIQSLKRVQPKLEWAKPPQMAAPAEKRWQNAGSNPSVSYSLASPLQASTLSSKTEARYITVGNELKNLQLGTVREPVMVMQRPNQSFNPKQIPTSTVSSSPPGSTAGWVPYTVETVKANGLMPHIPGTRSLSPNGVSTNQLYSQLQYQQHQQPQQFVSSSGPVELPGTSRGNNLWSRTGALQTQTLSAASSLGLFSGLGTSGLSGSSSPVDWNSGGGSMEQLDYTNIDWSLDRGSSSSRAGGMWPGMNPLMQNNVRTYDSFTPGLGVTSAMRPALSNVGGGGVSIPGLQERASTAEASSGGSREWASPFEERDLFSAPRQFVSSPSL >Solyc01g049660.1.1 pep chromosome:SL3.0:1:45734022:45735107:-1 gene:Solyc01g049660.1 transcript:Solyc01g049660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSRPEFSLINSSTCIHFHLNRTTTTNDELILFKRSFREGPDEYRSIMSFLFDVDEQIDHTAIFQDLVVPYLTTTSSCMFHRLLGPCNCLIVLTDSGFLVLFNPTTRNYRLLEADTYICPFGFYQDNSGVGFGFDWIVNNYKIVSVSEIIGDPAFYDFHFRKLKVDVYDLITDSWRDVNNINQQMPKMHWYACSELYYKGAVHWIASDDAIFVVLCFDVCTEIFRSILMPDGCDYFDGKYYGIVILHEYLILVCYPDPGNDIQPGKESTDVWLLKEYGDLNTQQVKEYNHLQGFPKSLRIAIYKESLTPIPKRSWLCQPT >Solyc06g066600.3.1 pep chromosome:SL3.0:6:41958871:41965288:-1 gene:Solyc06g066600.3 transcript:Solyc06g066600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGGLADAYSMYKRASTKDQFTDYEDREENLDRAENGVWKEIGNPSWKRPLPHILVAIISSLLFGYHLGVVNDTLESMSLDLDFSGSTLAEGLVVSTCLGGALLGSIFSGWIADGVGRRRGFQLCALPMIIGASMSAATSTLGVMLLGRLFVGIGMGLGPAVAALYVAEVSPAFVRGTYGSFTQIATCLGLLGSLLIGIPAKDTAGWWRVCFWISTIPAAILAVLMEFCAESPHWLVKRGRIDLAEEELEKLMGAPHVKYAIAEMSKTDKGDEVDNVRFGELLYGRHFKVVFIGSALFALQQLSGINAVFYFSSTVFKKAGVPTDTANTCVGIVNLTGSIIAMMLMDRLGRKVLLIGSFLGMAVATGLQVAAASSFVPSFAVLYLSVGGTLLYVLAFSLGAGPVPSLLLSEIFPGRIRAKAMALCMAAHWVINFLVGLLFLPMLEHLGPQIVYAIFAGFCLFALAFVKKNVVETKGKTLQEIEFALLPSH >Solyc02g081210.3.1 pep chromosome:SL3.0:2:45815109:45818193:1 gene:Solyc02g081210.3 transcript:Solyc02g081210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4B9Z1] MLLLSLLVAFALFSPTPFVHSHYNWSPSSSSTSNFQSEWRPARATYYAAADPRDVVGGACGYGDLERNGYGKATAGLSTVLFDKGQICGACYEVRCVEDLRWCIPGTSIIVTATNFCAPNYGFDLDGGGHCNPPNAHFVLPIEAFEKIAIWKASNMPIQYRRIKCRKEGGVRFTLGGSGIFLSVLISNVAGSGDIVAAKVKGSRTGWLPMGRNWGQNWHINADLKNQPLSFEMTSGDGATLTSYNVAPKNWNFGQTFEGKQFGS >Solyc11g005070.2.1 pep chromosome:SL3.0:11:54705:64442:-1 gene:Solyc11g005070.2 transcript:Solyc11g005070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTNFAAKHPVSINITESKLFDDDGRIKRSGSVWTASAHIITAVIGSGVLSLAWAVAQLGWIAGPIVMLLFSFVTYYTSSLLSDCYRSGDPLFGKRNYTYMDVVQANLSGLQVKICGWIQYVNLFGVAIGYTIASSISLMAVKRSDCFHKHGHKAPCLQPNTPYMIIFGVIEIIFSQIPDFDQIWWLSIVAAVMSFTYSTIGLGLGIAHVAETGKIGGSLTGVSIGTVTEMQKVWRTFQALGAIAFAYSYSLILIEIQDTIKSPPSEAKTMKNATIISVSVTTVFYMLCGCFGYAAFGDHAPDNLLTGFGFYDPYWLLDIANIAIFVHLVGAYQVYCQPLFAFIEKTAAEWYPNSKIITKNISVPIPGFKSYNIYLFRLVWRTIFVIISTIISMLLPFFSDIVGILGAFGFWPLTVYYPVEIYIVQKKIPKWSRKWFGLQILSVTCLIVSIAAAVGSFAGVVSDLKSRFPVALRSFLRGAEVKYVQIPLTTASIVECYKKRYLTIIDVIILEFYHPNPHAASISVLADTKLRDVSALVSFHVYYGEASLAWNIEDLVPPSERYIFNFSSKDELKNWHLYSDSEYGAFTKSKIKLVTNKYPDDNISGLSSAALEIKDTGNGSTSVGLFSGNLSLDVMEGSKWNMTRSGFCGMRSKKTVRPSNWTQLGIGMAHRPTQQFDGFIDLDGYDTIALKLKGDGRCYISTIYTENWVNSPGQDEDNSWQAFVFVPKDNWYIAKIPLTRYAPTWRGNIINARMEMNPARIVGMSLSVNAEGGVPDAKSGPGDFGVEVDWIKALRMMQ >Solyc03g114740.3.1 pep chromosome:SL3.0:3:66131748:66136863:-1 gene:Solyc03g114740.3 transcript:Solyc03g114740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLWLKARSFAEEAAKRSQEFTVEAAKRTQELSIGSAKLSDIVSEASKRSKEIAAQASKRSKEIVAEASKRADQIKFQIPAAAALSSLVDSSTSPQTASAITTPADLEKFGVTDDLREFVKGITRDTFQNVQLQDESEMSDIPTVANIRQDLTEFQEKHAKLVLSSVKEISKLRYEICPRIMREKKFWRIYFILVNSHLAPYEKKYMDEKKIISAEKANVEDAKNISSEGKTSEPVTGATTQANKKAASSTADQDLDVFLLGEESDEGPGKVLFIILLCSLPSPTKENKEKRIITHKYSEPAIFLSFILFLYCTVDR >Solyc08g078080.3.1 pep chromosome:SL3.0:8:62042685:62050532:-1 gene:Solyc08g078080.3 transcript:Solyc08g078080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKHLSNLEKLLQKEAKPEPVIQKQGKTGENRGKQGEKTEENRGRNLLEGLNLSRIWPEMKAAEEMSPRHLIRLHRMLSSKSMEYSPRNNLGSRWKEYHGCKDWLGLLDPLDENLRRELVRYGEFIQAAYHCFHSDPATSANENAHVARDVSLPDRSYKVTKSLYATSSIGLPKWVDDVAPDLGWMTQRSSWIGYVAVCDDKTEIQRMGRRDIVIALRGTATCLEWGENLRDVLVQMPGENELVDAQPKVECGFLSLYKTGGAKIPSLAESVINEVKRLIEMYKGESLSITVTGHSLGAALALLVADDISTCSPDAPPVAVFSFGGPRVGNKGFANRLESKNVKVLRIVNKQDVITKVPGMFVSEAIDKKLRDTGASGVLNLLDNSMPWAYSHVGTELRVDTTKSPFLKPDADVACCHDLEAYLHLVDGYLGSNESFRPNAKRSLEKLLSEQSANIKKLYTSKDDISSPEALPAAPSSRHSQLVPSSNVKFSRNGQFSYKKGHLEHCEIDDVVSSNKKITSFIRSGDLDSAFRVFESVKVKTVITWNSILAGFSRKYGFLEEARQLFDKIPEPNVVSYNTMLACYWRNADIQAAKSFFDQMPVKDVASWNTMISGFSQNGLMGEAEELFRVMPVRNEVTWNAMVAGYVESGELESALELFREAPVKGVIARTAIVTGYMRSGNVEMAEKMFQEMVEKSMVTWNTMISGYIENGRAEDGMKLVKKMVGSGIKVNDSTLSSLLLGCSNLSALKLGKQVHQHVVKSPLYLDMTVGTSLISMYSKCGVLEDAWKLFVEMPRKDVVTWNAMISGYAQHGESKKALSLFDEMRRKGMKPDWITFVGVLSACNHAGLVNLGIQYFEQMQNNYGVKPKPDHYTCMVDLLGRAGKLNEAVDLIRKMQFKPHIALFGSLLGSCRIHRNLEVAEFAAKNLLGLEPTNAAGYVQLANVYAAKNQWEGVSKVRKSMKENKVIKSPGYSWMEVGRVVHEFRSGDRLHPDLESIRMKLKDLEKKMKLAGYVPDLDSSLHDVGEEQKEQLLLWHSEKLAIAFGLMKLPPEMPIRIFKNLRICGDCHQATKVISAIETREIIVRDTTRFHHFKNGTCSCGDYW >Solyc03g063320.3.1 pep chromosome:SL3.0:3:36454546:36478489:-1 gene:Solyc03g063320.3 transcript:Solyc03g063320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQSFHHRKLEPDSPNPWSGDFDFDGSDRRFAFSRQSSFHQSAESHTPISIISNDSKKPLLSRTVSSINIPPNIYASHGSDIKFRDSSSLSSVFRGVMSGNKQMRRLFMLISLNVAYSTAELCIGLLSGRVGLVSDAFHLTFGCGLLTFSLFAMDASREKPDRVYTYGYRRLEVLSAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARINLVYRKAEDMNYHSVCLHVLADSIRSAGLILASWLLTLGVKNAEVLCLGLVSATVFFLVMPLFRTTGGILLQMAPPRIPSSAWSKCWRQVSSREDVSEVSQARFWELVPGHVIGSIALQVKEGVDDWPVLQYVHDLYHELGIQDLTVQIDYT >Solyc10g006030.3.1 pep chromosome:SL3.0:10:772997:776367:1 gene:Solyc10g006030.3 transcript:Solyc10g006030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSLSAALFPMCNSSSISAQPKFSLLSLHSNSVKLQVFNQSRVSTTKVFASPEVLDAQNVEADVEDILAASTLSIGGDSDKAAPKQKIRIKLRSYWVPLIEDSCKQIMDAARTTNAKTVGPVPLPTKKRIYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDKLMLLDLPAGVDVEVKL >Solyc06g061150.3.1 pep chromosome:SL3.0:6:39263265:39276192:1 gene:Solyc06g061150.3 transcript:Solyc06g061150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAASAVYFLNLRGDVLINRLYRDDVGGNMVDAFRMHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSTNANVACAFKFVVEAVALFKSYFGGSFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKQPLDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGSVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPTKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKVPVPKQTAKASFQVTSGRAKYNPSIDSLVWKIKKFPGQTESTMSAEVELISTIAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Solyc10g049470.1.1 pep chromosome:SL3.0:10:45831431:45831993:1 gene:Solyc10g049470.1 transcript:Solyc10g049470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQRRKTFISKLFQANVHSPLFVDRITPLRRFSFDISELIKSILKNWTDKEGEFKILESREEQTKREEKKEKDKKEDNKRARIAIEEAWDAISLAQIIRAKNIGRMLFLQLPEWSENLQEWNREMQNYLNYTSFIIIFSYFLYHLKNYQKSLLLFSIVSFFGYIN >Solyc12g009925.1.1 pep chromosome:SL3.0:12:3095513:3098717:-1 gene:Solyc12g009925.1 transcript:Solyc12g009925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILNTRIGGSPSRTSFSVGAEGLKRSSKWMFEAPGLPLRRSFKSRFISTNEMKCTGMLWDAMKRERSKRLLRWL >Solyc09g010630.3.1 pep chromosome:SL3.0:9:3965363:3968970:1 gene:Solyc09g010630.3 transcript:Solyc09g010630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDATVQSDIKHWPFKVIAGPGDKPMIVVNYKGEEKQFAAEEISSMVLIKMREIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKNSIHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEDHKKKVEAKNALENYAYNMRNTIKDEKIASKLPEADRKKIEEAIEQAIQWLDANQLAESDEFEDKMKELESICNPIIAKMYQGAGGDMGADMGDDGPAPSGGSGAGPKIEEVD >Solyc07g064210.2.1 pep chromosome:SL3.0:7:66580123:66581076:1 gene:Solyc07g064210.2 transcript:Solyc07g064210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNISLVAALLLCLLAFANANTFSVTVSVTENDNPQSCQEQIQSQRLNHCRMYISRSHQYFNDELSMVTDDDHEINQTQEHLQQCCQELRNMDTQCRCPALKKMVMQDCGRQGEEAQRMLGKARYIPRMCNIQPAQCSF >Solyc11g007470.2.1 pep chromosome:SL3.0:11:1752735:1757640:1 gene:Solyc11g007470.2 transcript:Solyc11g007470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSRIIEAKYIDYCTEFLNLKVIPVGPPVQDLITNDADNKELIDWLGTKDENSTVYVSFGSEYFLSKEDMEEVAFALELSNVNFIWITRFPKGEERNLEDALPKGFLERIGERGRVLDKFTPQPRILNHPSTGGFISHCGWNSVMESIDFGVPIIAMPMHLEQPMNARLIVELGVAVEIVRDDNGKIHREEIAEILKGVVTGETGRKLRAKVIEISNNLKSIRDEEMDAAAEELIQLCRNTYGHISPFLNVAKKLADRGFLIYLCSTPINLKSTIEKIPEKYADSIHLIELHLPELPELPPHYHTTNGLPLHLNHTLHKALEMSKPNFAKILQNLKPDLVIYDMLQQWAEHVASEQNIPAVKLITFGAAVFSYLFYLVKKPEAVFPFPAINLSKNEQEKMYANDFEDRLVDGNIQIMLISTSRTIEAKYIDHCTELINWKVVPVGPPVQDPITNNADDKELMQWLGTKDVNSTVFVSFGSEYFLSKEDMEEVAFGLELSNVNFIWVARFPKGEDQNLEDALPKGFLERIGERGRVLDKFAPQPRILNHLSTGGFISHCGWNSVMESVDFGVPIIAMPMQYDQPSNARLIVELGVAVEIVRDDDGKIHRGEIAETLKDVIIGKKGKILRGKVRDLSKKLKSIRGEEMDAAAEVLIQLCKKSNGVLMFPWLAYGHISPFLNIAKQLADRGFLIYLCSTRINLESIIKKIPEKYADSIHLIELQLPELPELPPHYHTTNGLPPHLNPTLHKALKMSKPNFSRILQNLKPDLLIYDVLQPWAEHVANEQNIPAGKLLTSCAAVFSYFFSFRKNPGVEFPFPAIHLPEVEKVKIREILAKEPEEGGRLDEGNKQMMLMCTSRTIEAKYIDYCTELCNWKVVPVGPPFQDLITNDADNKELIDWLGTKHENSTVFVSFGSEYFLSKEDMEEVAFALELSNVNFIWVARFPKGEERNLEDALPKGFLERIGERGRVLDKFAPQPRILNHPSTGGFISHCGWNSAMESIDFGVPIIAMPIHNDQPINAKLMVELGVAVEIVRDDDGKIHRGEIAETLKSVVTGETGEILRAKVREISKNLKSIRDEEMDAVAEELIQLCRNSNKSK >Solyc03g051620.2.1 pep chromosome:SL3.0:3:21647970:21652771:1 gene:Solyc03g051620.2 transcript:Solyc03g051620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGEWYIDCRAVNKIIVKYRHPIPRLDDMLDKLCGSIVFSKFDLQSWYNQIRMKSGDECKTAIKTKFEMYEWLVMTFGLTNAPNTFMRLMNHVLEPCINKFFVVYFDDILVYSKTMEEHWWFDGTLWGTKTLDILKEQFFWPKLKHDVERIQCLECRQAKSTTTPQDAKKRAKEMINFHAKVKESIEMNNSKVSIQKNKRRKEVIFKPDDWVWVHFRKERFPQVRKGKLSPRGDGPFLMIDLPSEYNVHNVFNVTDLVCCRYPLDWRTNHFQEGEDDNIGSRDESNMSDMYPSRSFTRSQAKDLQGLQEMFMKQEAFEGIEEIVSAWEVANRAGGDHKSLEERFDSRSNSAEINVNPSIGVCLLGHGTQHTKKSNRMVVDLVELSMFCGGDGGALIIIEDEGTQIDRENVGLAAVHKAGSSGNGGSSNTKNTGVGNVGLGAVGSSAKRDSGGIPNAGVVMQQPPYHPQPFPQQQTNSFPQFSNNFARHKNQPTPNIKGQKVKQAGVNTTTLQNVQQVSKQGSKRKTQKEKQNKKQEQVPKTTWVPKDMQKLEVVQEGKFPLKQIDI >Solyc09g005150.2.1 pep chromosome:SL3.0:9:145347:156159:1 gene:Solyc09g005150.2 transcript:Solyc09g005150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLPHDTSTKRKLNDHTGASTARMRHNQILFNSSLRSPIQFFVRMFSGKTLVIQADSSDTVASLHWKIHSITGIRPIQSTSSAVPSMQEGLIYRGKQLELSQTLSECGIQNDDTLQLVRRMRSMHHPQTWKLMSDLNLIIDDLCNCNDNPNIITYVTRLSNMLTDFLIKTPNYSADGSEEYLHIFIHSSVPSSLVKLYVSPSLITKQAAHGCICQFVQNCLNHFTNPTTVYRLIAPILFEFCTILRGAAGVDDDLYLFFRSRLAAMMVENVHDVKHVLTLHHVFPFVDELATTLSHSLELSAQSPDFKQLSDSDVLVFIQLMHSVKQVIRRQTAFGSPVSLPWLMQDEAANELKGVHTIICNLLDKMDLCLKELENQLALVNIGRREPIVGCWFQYLLILKELESISKLYKGLEEMFWEKMSQRGVGLCYLIGRLSKKSTDYQWILEHKEVTNFKTRQRFALQMLQEGRHMREELWEMLISRSRLFEDSFEYIGHASRRSLRGQLFIRFENEEATGPGVLREWFSLVCEAIFNPQNALFVSCPNDGRRFFPNPVSKVDPLHLEYFVFSGRIIALALLHRVQVSITFDRVFFRQLAGEDISFEDIIDADPYLYRGCKEILEMDAKVVDDDILGLTFVCEYEELGCRNVEELCPNGRSMIVNSRNRDNYVNLLVKHRFVTSIAHQVAHFARGFADIITDRELRESFYRILDHEDLNRMLHGSKTAVSVQDWKEHTNYNGYKKDDPQISWFWEIVGSMSAEQRNVLLFFWTSIKSLPVEGFGGLDSKLHIYRLSGSHDCLPTSHTCFYRLSFPPYPSMEVMQNRLNIITQNYLDDYAAADAWMRYDETLPISLSYPIQFFVRMFSGGKTLVLQADSSDSVASVHRKIQSITGIRSIQSTSGVPRMEQRLIYRGKQLQLQQRLSECGVQNDDTLQLVGRMRSTRHPHTWKLMNDLNSLINDLCNCDDNTDVNANVTCMMKMLTDFLIMTPKDGADGSEQYLQIFIHSSVPAALVKLYVSPSLTTKQAARECICQFIDSFKTLFASPVYKQFSPILFEFCKILRGAVSVDDALYIFCRSSLASMMEWYVCDVKCVPRLQDVFPFFRELATRLSRALELSVQSAEFIPLSDSDVCEFIKFMHPVKCAIWRPEAFGSPVSFRSLMQRETGNECFEIEGVRRVFCDLLEKLELCLKKLGLINEERGEAIVSCWSQYLLILKELESISKLYKGMEEMFWEKIRQRRVELCFLIVRLSKKSTDYQWILEHKEVTNFKIRQQFALKMLQEGRPKNEKLYEMLICRSRLFEQSFEYIGRASPKSLQGQLFIQFENEEATGPGVLREWFSLVCEAIFNPQNALFVSCPNDGRRFFPNPASKVDPLHLEYFTFSGRMIALALLHRVQISITFDRVFFLQLAGEDISFEDIRDADPYLYSGCKKILEMDTNMVDEDVLGLTFVCEDEELGSRKVVELCPDGKNTLVNSENRDNYVNLLVKHRFVTSIAQQVAHFAQGFADIITDQQLRKSFYQILDHEDLNRMLHGSKTVVSVKDWKEHTNYNGYKIDDPQISWFWEIVGSMSAEQRNVLLFFWTSIKSLPVEGFGGLDSKLHIYRTSVSHDCLPSSRTCFYYLCFPPYPSMDVMQNRLNIITQNYVGCSFGAE >Solyc02g055440.3.1 pep chromosome:SL3.0:2:2630912:2631990:-1 gene:Solyc02g055440.3 transcript:Solyc02g055440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSKQHAGFGLSVDGTIITSFTTKCSNCYSPYCREVHTSFKVWVLPSTRDNKGCAHQLPLLGWDDPSVIYVKPGFEADLDSLIQDTVRLATSVKETCSESCEKSVPKLQPLGKQNAASIDRRWSRLLELKKET >Solyc07g051845.1.1 pep chromosome:SL3.0:7:60507101:60509411:1 gene:Solyc07g051845.1 transcript:Solyc07g051845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVLTSLTLNRADIQLIPEFELRSRNWLAISISVLDFSGLMVVSQSYAGGIEKNSCLVNLRGDFNSKFQRFSKKVSISCLADNLRVWKKSDFGDFCEGLDLKLVSWRVGVEFDDF >Solyc04g039850.1.1 pep chromosome:SL3.0:4:12527451:12527932:-1 gene:Solyc04g039850.1 transcript:Solyc04g039850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAIDGLTRGMTVIEIGPVDNLGPVDTSRTSPIHRSAPAFIQLDTKLSIFEKGIKVVDILAPYRRGGKIGLFGRAEVGKTVLIMEFINNIAKAHGGVSVFGRVGERTRKGNDLYMEMKEYGVINKENIVESNVALVYGR >Solyc05g053470.3.1 pep chromosome:SL3.0:5:64408179:64414467:1 gene:Solyc05g053470.3 transcript:Solyc05g053470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFAAKLASKSRVARSSTQQVGSRLNWSRNYAAKDIKFGVEARGIMLQGVEQLADAVKVTMGPKGRNVVIEQSWGAPKVTKDGVTVAKSIEFKDKIKNVGASLVKQVANATNDAAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGITMAVDAVVTNLKSRARMISTSEEIAQVGTISANGEREIGEIIARAMEQVGKEGVITIQDGKTLLNDLQVVEGMKLDRGYISPYFITNEKNQKCELDDPLILIHEKKISSINAIVKVLELALKRQRPLLIVSEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKANLQDLAALTGGQVITEELGMNIENVELEMLGKCKKVTISKDDTVVLDGAGEKKAIEERCEQIRSAIELSTSDYDKEKLQERLARLSGGVAVLKVGGASEVEVGEKKDRVTDALNATKAAVEEGILPGGGVALLYASKELDSLPTANFDQKIGVQIIQNALKTPVYTIASNAGVEGSVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLLTTTEAVIVELPKDEKAAPAMPGGGMDY >Solyc10g045240.2.1 pep chromosome:SL3.0:10:32254704:32259933:1 gene:Solyc10g045240.2 transcript:Solyc10g045240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMRNVLVFLVIAVANLFALTSAAIPAKRFYAPFNRTSFPPDFVFGASSAAYQIEGEALKGGRGPSIWDTFTRQHPEKIFDASTADVAVDFYHRYKEDIQMIKQVGLRAFRMSISWSRILPYGKLSKGVNPEGIKFYNNVFNELIANGITPFVTLFHWDTPQALEDEYKGFLSSKIAKDYADFVDICFKEFGDRVMNWITLNEPLSYSMNGYTKGTFAPGRCSKYVGNCTEGNSATEPYIVAHNLLLAHATAVKIYREKYQKSQKGQIGVTLVTHMFVPKINTPQGLKAPLRALDFMLGWFLDPITYGDYPASMRAMVGRRLPKFTAEESKLVKGSMDFLGVNYYTTYYASPLLSVNRVNLSYTTDNHADLSPLKDGKPIGTPTALDWLFIYPKGIYGLMLHIKEKYNNPPIYITENGMAEANNSTMSLKESLNDDMRIKYYEGHLWFLSKAIKAGANVKGHFVWSFLDDYEWDAGFTVRFGLTFVDYKNGLKRYHKKSSYWYKKFLLYTGQ >Solyc11g018800.2.1 pep chromosome:SL3.0:11:9609003:9610343:-1 gene:Solyc11g018800.2 transcript:Solyc11g018800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTTSKNSFVAIFSLLLLSSMQCHAQLSSTFYDRTCPTALTTIRTSIRQAVSSERRMAASLIRLHFHDCFVQGCDASILLDETPTIVSEKTALPNLGSARGYGIIEDAKRELEKTCPGIVSCADILAVAARDASTLVGGPSWTVKLGRRDSATASHTLAETDLPGPFDPLDRLISGFANKGLSTRDMVALSGSHSIGQAQCFLFRDRIYSNGTDIDAGFASTRRRQCPQEDQNGNLAPLDLVTPNQLDNNYYKNLIQRKGLLQSDQVLLSGGSTDSIVTEYSNSPRVFAADFAAAMIKMGDISPLTGQNGIIRTVCGAIN >Solyc06g062910.2.1.1 pep chromosome:SL3.0:6:39821629:39822714:-1 gene:Solyc06g062910.2 transcript:Solyc06g062910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQNLLPAFNNCLLFISKNATTHTCNIPATPTNTNPSFFPKFGFFFFAG >Solyc03g116100.3.1 pep chromosome:SL3.0:3:67093755:67095619:-1 gene:Solyc03g116100.3 transcript:Solyc03g116100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPCCDKIGVKKGPWTPEEDIILVSYIQQHGPGNWRAVPSNTGLLRCSKSCRLRWTNYLRPGIKRGNFTEHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLRKKLKKLQGNDENSTQEGESSSSSQSNFSKGQWERRLQTDIHMARKALCEALSLDKSDSSANPIPAQQPVTGSSSYASSAENISRLLQNWMKNSPKSSELSRSNSETTQSSLNNPSIGSGSSPSEGTLSAATPEGFDSFFSEGNAFTPENSAIFQVESKPNFPNMNSENGYLFQAESKPSLEDSQVPFTLLEKWLFDDAINAPAQEDQFMAMGLGESADFF >Solyc10g006770.3.1.1 pep chromosome:SL3.0:10:1211501:1214683:1 gene:Solyc10g006770.3 transcript:Solyc10g006770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQERTGNGAPSNGNSAGGNAYTIDLNTFSKRLKALYSHWHKHKDDLWASSDVLAIATPPPSEDLRYLKSSALNIWLLGYEFPETIMVFGDKQIHFLCSQKKASLLSVVKSAAKEAVDVDVILHVKAKNEDGTTQMDNVLHNICMQPKSYGPDCSVVIGYIAREAPEGKLLEIWTDKMRNSSLTLSDISNGLADLFAVKEQNEIINVKKAAYLTASAMKNFVVPKLEKVIDEEKKVTHSLLMDDTEKAILEPAKIKVKLKAENVDICYPPIFQSGGNFDLRPSATSNDEQLYYDSASVIICAVGSRYNSYCSNVARTFLIDSTSTQNKAYEVLLKAHEAAIGALKPGNKLSSVYQTALEVVERDAPEFVSNLTKSAGTGIGLEFRESGLIINAKNDKVVRAGMVFNVSLGFHNLQAGTTTEKSKNFSLLLADTVIVTNDGHDVVTHLSSKALKDVAYSFNEDEEDEEDVKVKADSSRMEALYSKATLRSNNQEELRRQHQAELARQKNEETARRLAGGGALTGNNKGAAKASSDLVAYKSINDLPPPRDMTIQVDQKNEAILLPIYGTMVPFHVATVKTVSSQQDTNRNCYIRVIFNVPGTPFTPVDANALKNQSAIYLKEVSFRSKDPRHISEVVQQIKTLRRNVMARESERAERATLVTQEKLVLAGNKFKPVRLSDLSIRPSFGGRARKLPGTLEAHVNGFRYSTSRPDERVDIMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKFNMDFQNFVNRVNDVWSQPQLKGLDLEFDQPLRELGFHGVPYKSSAFIVPTSSCLVELIETPFLVITLSDIEIVNLERVGFGQKNFDMAIVFKDFKRDVMRIDSIPVSALDGIKEWLDTTDIKYYESKMNLNWREVLKTITEDPQRFIDEGGWEFLNIDASDSESENSEESDQGYEPSDAEPESDSEDEASDSESLVDSEEEEEDSDEDSEEEKGKTWEELEKEASNADREKGDEPDSEDERRRKKNFGKSRSGPSSAGSKRMKFR >Solyc09g065405.1.1 pep chromosome:SL3.0:9:63748980:63753963:-1 gene:Solyc09g065405.1 transcript:Solyc09g065405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQVNLVFDYSFLICNHSKKGASSFTSTCSRAAGFSFSVVDTCTIENKILPSNSLGNIPIWSVPNGSTFKQDLSVSSVLLG >Solyc08g061595.1.1.1 pep chromosome:SL3.0:8:49234224:49235018:-1 gene:Solyc08g061595.1 transcript:Solyc08g061595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLQIIHQVVWGLQQVHLVLYNHASGPKKERLAQLRGGPRQK >Solyc02g089550.3.1 pep chromosome:SL3.0:2:51970568:51974558:-1 gene:Solyc02g089550.3 transcript:Solyc02g089550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKREIKASFLYVATFLALWSSAAGLLSPKGVNFEVQALMAIKVALKDPHGVLDNWDSTSVDPCSWAMVTCSSESLVIGLGAPSQNLSGTFSPSIGNLTNLQIILLQNNNITGPIPKEIGRLSKLQTLDLSDNFFTGDIPVPLGHLSDLKYMRLNNNSLSGEIPVSLANMSQLTLVDLSFNNLSGPVPRFPPKKFNIVGNPLICETGSEPDCYGMQLLPMSMTLNGSESSPSGKRKGHKIALVFGSTLGCISLLVLGIGLFLWSRHRHNQQAFFDVKDRHHEEVSLGNLRRFQFKDLQVATNNFSNKNILGKGGFGNVYKGHLPDGTPVAVKRLNDGNAIGGEKQFQTEVEMISLAVHRNLLRLYGFCMTQSEKLLVYPYMSNGSVASRLRVKPVLDWGTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANILLDDFCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGMRAIEFGKAANQKGVMLDWVRKIHQEKKLDVLVDKDLRINYDRIELEEMVQVALLCTQYLPGHRPKMSEIVRMLEGDGLAERWEASQKFDGSNKYKTKELSSSERFSDLTDDSLLLVQAMELSGPR >Solyc03g113840.2.1 pep chromosome:SL3.0:3:65367030:65369145:-1 gene:Solyc03g113840.2 transcript:Solyc03g113840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKILQVYKILILVAWIDLYSRRRYGRFLQSMQDAWNILYHTIYNCTDGAYVSKTSLSSTVIGFLQGAAIVFGCFWCAAKVHRERSYIYITSLFSTCILILLRFDVSQWTLKVRKLCAYNVFTLCLKRNIYAYVLLALFMGYLVLYSQEILYNARFGEINFANCAFTIFFCLPAIVVHAVRLCLGANIEQHRQN >Solyc03g118720.3.1 pep chromosome:SL3.0:3:69045478:69048172:1 gene:Solyc03g118720.3 transcript:Solyc03g118720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRVRVKRGINLAVRDVRSSDPYVVVKMAKQKLKTRVVKKDVNPEWNEDLTLSVADPNLPVMLTVYDHDIFSKDDKMGDAEFDIRPFLEALKMNLSGLPGGTIITRIQPCRSNCLSEESNVVWQDGQVVQDMCLRLRNVECGEIELQLQWINLPGSRGL >Solyc02g062300.3.1 pep chromosome:SL3.0:2:34425613:34429669:-1 gene:Solyc02g062300.3 transcript:Solyc02g062300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKTNSMKLQLLHSTIFLLNFIASTHGAISPEVYWKIKLPNAQIPKVIKDLLPQTDDDILGTTKKVYYGLHQHGAWIFHAATDDEIREIKTENPSQSDHQDNFLYKPYFFEKELVKGKVINFPSLKNKNEAPFWPRKFVESIPFSSKKIPQILNYFSINNNSKDAKTIEETVKVCEEPAMKGEKRKCATSLESMVDFGIFMLGTNNVKVVTTEVQGENQMVQKYTIKEVELMADGLNMICHKLNYAYAVHFCHGGGGTKTYMVSMIGVDGTKVKAVSICHKDTSLWNPKGLPFVVLNAKPGAPSICHYLQDDQIVFLPSVS >Solyc11g012625.1.1 pep chromosome:SL3.0:11:5413970:5419883:-1 gene:Solyc11g012625.1 transcript:Solyc11g012625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLFAVFGESIMAENVITDKVVGEKHGTTSMLTHISKCPKMPYNIDITQSRLSFQPMIGGNKGDVVVVPWKFDQEECRKALCRMPADSDKNGQFSSTEVDTSDSRSVDSRSGGEFGNFFEELQKHTSEKRGASSKSELVKYLDEEIEVEKSDFDVLLWWKVNSPRFLILSEMARDVLSIPVSSVASECAFSTGGRILDSFRSSLTPKLVQALVCLQDWLRSELQPISIEEDLDFLEQLEEDFIMPQLHGSNARSPIWNHYEKLEEKEDGSMDTNSHVFISWEERVVSQGKDHRVVHYYLKDSSGELILVVVGTERSARHMVFVISEDYLDVIGHTSSINSETNRRAK >Solyc06g075160.3.1 pep chromosome:SL3.0:6:46778264:46788051:-1 gene:Solyc06g075160.3 transcript:Solyc06g075160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWKLTHLSCSPVEEVLDKENFTLEELLDEEEIIQECKALNSRLINFLRDRAQVEQLLRYIVEVPSEDADSKWKFKFPFIACEIFTCEIDVILKTLVDDEELMDLLFSFLETDRPHCALLAGYFSKVVVCLMLRKTIPLMNYVQTHHDVFRQMVDLIGITSIMEVLVRLVGADIYPNTKDVMQWLADSNLLEMIVDKLSPSSSPEVHANAAETLCTITLNAPSPLATKLSSPSFIARIFSHALEDSHSKSALVHSLSVCISLLDPKRSIPSPMMYSYRCQHVYESSVCVNPETISAMLPNFSNLLKLLNVSSDDKVLPTTYGELRPPLGKHRLKIVEFISVLLKTGNEVAEDELISSGTIERVLDLFFEYAFNNALHHHVESIINSCLESRNSVLVDHLFEDCNLLRKILQTDKQPTVSGSESQPTFPATGKQAPRLGNIGHLTRIANKLVQLGSNDNRIRGHLEKNMEWSDWHTTVLQERNTLENVYRWACGRPTALLDRTRDSDEEDVHNRDYDVAALANNLSQAFQYTIYDNNGAEEDHGALDRDDEDVYFDDESAEVVLRLGEEQGSNLFTNSDWFAFRDDRTGDDPKSTSPTEVMEDINLNGTTNGGNSNSDDEVVVGEQEELAERKSDVMPSSSSDAFNGADSANGGDFNQQKDKAGVSGDGDFFHFEKSDSDDPFGDRPIPEWVAWGNGSVGGSVNPFEDNGDCSKNLANSGEAVTLSISPTSCSGGESIPNSVSTSPDSNKSSSGSDSSQKAAAAPVPSLFEEDVEFVGVELEGTEKAMEHALKEGIVGEAAPLKRSLVPKMPEKDNTDEGGSGVKEFNDANFWRVDQEVTVLE >Solyc07g006480.3.1 pep chromosome:SL3.0:7:1253877:1261329:-1 gene:Solyc07g006480.3 transcript:Solyc07g006480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKNSLEDPLNFLNTTWKFDDQREGFICKFAGIQCWHPDETRVLSISLPGMRLKGEFPRGIQNCTSITSLDLSSNELYGTIPWDISKLIGFTVMLDLSSNNFSGAIPSDIANCSFLSSLRLDGNKLEGPIPPEIGLLGRLKNFNVANNMLTGPVPSFINATFPTESYANNPGLCGGPLRVCGFHHNMLSTLMNRRVQFACGFVTGWSLFTVLGIYLFFFGLPRVNKMFLFIKKRTKVMVIDENEWPRGEEVNNDPKVSKMEKIVTRMSFMELSTATMNFSQDNEIGNGMLGKVYKALVPNGWTVAIKRFHVSEDLEEEFVSEITTLGSLRHPNLVPLIGFCYERDERILVYKYMPNGNLHEWLHSTDDKARLLDFPLRVKIVLGIAKALTWLHDGGNFHVVHGNISTQCILLDENFDPKLSNFWEATLAKTNDIDSNLSLFPIVESIDFTTYKKDVYRFGVVLLELLTRKESYILSCSSLNLSSSSFACPLDVDKLLLGQGFDNMVMQLLELASNCMKFIPDQRPTMQQVYQTVAAIARVCDQTGDSEIQLHSD >Solyc10g080050.2.1.1 pep chromosome:SL3.0:10:61583240:61583785:1 gene:Solyc10g080050.2 transcript:Solyc10g080050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFINFPTHFTQNSCRKVTGTLFGHRRGHVSFAIQDHSMSSEPSLLIEFAISTSTLVKDMSSGLVRIALECEKMPRGSRQLRLLQEPKWAMYCNGRKCGHALARACTDSDRHVLRTVRNVSVGAGVIPVVGDGRKGGEPEGELMYMRANFERVVGNCDSEAFYMMNLDGNGGPELSIFLIRT >Solyc07g054725.1.1 pep chromosome:SL3.0:7:63077940:63078836:-1 gene:Solyc07g054725.1 transcript:Solyc07g054725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNGEENRVIDSVISSTINGQIKLQINHLNCLLIYFSIIIFVMIAARIETNSALICSKIYGANIGDTCFSIMQQFSVSAKDFTTFNPNLNCEKMFVGEWICLDGSSF >Solyc06g053250.2.1 pep chromosome:SL3.0:6:36058252:36059222:1 gene:Solyc06g053250.2 transcript:Solyc06g053250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFIEKMIVGVGECNKRVHLLIY >Solyc04g050170.3.1 pep chromosome:SL3.0:4:45683391:45686900:1 gene:Solyc04g050170.3 transcript:Solyc04g050170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHLKDWNFSNNGGSIHCKWNGVFCNSKSYVEKLDLSNMNLSGGVSDQIQGLHSLSLLNLCCNDFSTSLPKSLANLTSLKSIDVSQNNFVGKFPDGIGISNPGLKYVNVSSNNFEGFLPEDLGNATLLEVMDFRGSFFEGSIPGCYKNLKNLKFLGLSGNNLSGEIPRELGELKAMETMILGYNQFEGSIPAEFGNMSSLKYLDLAVGTLSGQIPAELGKLKNLTTVYLYHNSFEGKIPHEIGNMTSLVYLDLSDNKITGEIPNELADLKNLQLLNLMCNSLTGPVPTKLGELENLEILELWKNSLNGSLPMNLGKKSPLQWLDVSSNFLTGEIPPGLCDSGNLTKLILFNNSISGSIPLGLSNCSSLVRVRIQNNLLSGMIPVGFGTLPMLQRLELAKNNLTGEIPVDFTLSTTLSFIDVSSNHLESSLPSSILSIPSLQTFIVSNNNLKGNIPDQFQDCPSLSLLDLSTNHFSGKIPQSIASCEKLVKLNLRNNQFSGNIPDQFQDCPSLSLLDLSTNHFSGKIPQSIASCEKLVKLNLRNNQFSGEIPTHIATLPTLSILDLSNNSLVGKIPMDFGSSPALEMLNLSYNKLEGPVPRNGILMTINPNDLIGNAGLCGGILPPCSHSLTITSNVRKNRVNHIILGFIVGISVILAVGIMVLAGRWMYNRWYLCNSFFKKFRFNKNNSEWPWRLVAFQRLNFTSTDILACLKESNVIGIGGNGIVYKAEIQRPHSVVAVKKLWRSDGDIEAGDDLVAEVDLLGKLRHRNIVRLLGYLHNETDIMMLSEYMPNGNLGAALHGKEAGKMLIDWLSRYNVALGVAHGLSYLHHDCHPPVIHRDVKSNNILLDSDFEARIADFGLARMMHHKNETVSMIAGSYGYIAPEYGYTLKVDEKSDIYSYGVVLLELVTGKMPLDPLFGESIDIVEWVRRKVNNKASLEALDADVAGQCKHVHEEMLLVLKIALLCTAKLPKERPSMRDIITMLGEAKPRRKSICQNWGYGTSANKDKLIFAHSPVIGLL >Solyc01g110560.3.1.1 pep chromosome:SL3.0:1:97126618:97127073:1 gene:Solyc01g110560.3 transcript:Solyc01g110560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEIGKFNRIRCIVRISQMLRQWKKRAISSSSKRIASDVPAGHVAISVGSNCRRFVVRAKYLNHPIFRKLLTQAEEEYGFSNHGTLAIPCDEFLFEEILRFVSRSGSSNWTRSLNIEDFQKSCHARYRNRIENFGESRALLGGCTEKSVC >Solyc03g059120.1.1.1 pep chromosome:SL3.0:3:30037926:30038213:1 gene:Solyc03g059120.1 transcript:Solyc03g059120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGDSFLKDMTADEMSELNDMNGNEISDLTKVSALKGKMSNLELMDKIESSKMKKVSSLDEKVSKLELKVHKLISLFAVSWAVILGFAAAKMI >Solyc01g099660.3.1 pep chromosome:SL3.0:1:89714793:89719541:1 gene:Solyc01g099660.3 transcript:Solyc01g099660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRRSKNFKNLAFLILLLFASEEFCLGVTVAAEKKQKQNLGTVIGIDLGTTYSCVGVYKGENNVEIIANDQGNRITPSWVAFTDTERLIGEAAKNQAALNPERTIYDVKRLIGRKFDDPEVQKIIKMLPFNVVNKAGKPHVEVKIKEGDVKVFSPEEISAMILQKMKETAEAYLGKSIKHAVITVPAYFNDAQRQATKDAGTIAGLNVVRIINEPTAAAIAYGLDKKGKEQNILVYDLGGGTFDVSILSIDNGVFEVLATNGNTHLGGEDFDHKLMDYFIKLIKRKYSKDISSDKKALGKLRRECERAKRALSNQHQVRIEIESLFDGVDFSEPLTRARFEELNMDLFKKTMGPVKKALEDANLKKTDIDELVLVGGSTRIPKVQQLLKDFFDGKEPNKGVNPDEAVAYGAAIQGAILGGEGGEETKDLLLLDVTPLSLGIETVGGVMTKLIPRNSRIPTKKTQVFTTYQDQQTSVTIKVYEGERSLTKDCRELGSFDLSGIPPAPRGVPQIEVTFQVDENGILHVTAQDKAAKKSKSITITSDKSRLSQEEIDRMLKEAEEFAEEDRKVREKVDAQNKLETYIYNMKNTINDKLAEKIDSDDREKIESALKEATEWLDDNQNAEKQDYDEKMKDLEDVCNPVIKKVYEKSGGSTENSGDEEEEAYDEL >Solyc12g094585.1.1 pep chromosome:SL3.0:12:65743012:65745825:-1 gene:Solyc12g094585.1 transcript:Solyc12g094585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYKFTEAAAAAATTAAAGSNGLTGPLGGKLKRVDVGIGFVELQRKRRRVFGVKCCCSDSVVPIRGINGCVEKCDNWRFESKKSSHSYRVKVQASSSSSSTMPFASPKSRFASKQEQFFPRCTPRNSGPQSHDSPPKRDTGIANEKEWGIDMLNENVNESGTNEDGSTWYRKSGENIGENGYRCRWTKMGGKSHDGASEWKEMVHMLLRLYCYGCQKIVFKKHSQHPRWMHLIGFLDLLDSGGKKVTGLVTKN >Solyc07g047790.3.1 pep chromosome:SL3.0:7:59131627:59137800:-1 gene:Solyc07g047790.3 transcript:Solyc07g047790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLSKRSVKSLVRSSTAARYRDVAAPISSTHFFYQSADADSKGRWYSVLTSGRCDVIESAKPFKSRNEPFLGCRFESTAAASDTSDSPSEKFEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLGVTEPELLKDAVDLDIRIQTDKDNGIITITDSGIGMTRQELVDCLGTIAQSGTAKFLKALKDSKDAGADSNLIGQFGVGFYSAFLVSERVEVSTKSPKSDKQYVWVGEANSSTYTIREETDPAKQLPRGTRLTLYLKRDDKGYAHPERVEKLVKNYSQFVSFPIYTWQEKGFTKEVEVDEDPSEAKKEGEDETAEKKKKTKKVVEKYWDWELTNETQPIWLRSPKEVSKEDYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSVLFVPSVSGMGKDDMINPKTKNIRLYVKRVFISDDFDGELFPRYLSFIKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFEMIQGIALSENRDDYEKFWENFGKHLKLGCIEDRENHKRIAPLLRFFSSQSENEMISLDEYVENMKPDQNDIYYIASDSVTSARNTPFLEKLLEKDLEVLFLVDPIDEVAVQNLKAFKEKNFVDISKEDLDLGDKNEDKEKEIKQEFGQTCDWIKKRLGDKVASVQISSRLSSSPCVLVSGKFGWSANMERLMKAQTVGDTSNLDFMRSRRVFEINPEHPIIRTLTEACRSTPDDEEALRAIDLLYDAALVSSGFTPENPAQLGGKIYEMMNFALAGKWGTVPEYQQQAIQQPHIPETVEAEIVEPGEAGGQK >Solyc11g027775.1.1 pep chromosome:SL3.0:11:18896757:18911032:-1 gene:Solyc11g027775.1 transcript:Solyc11g027775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRNIKTIAECLADEFINAAKGSSNSYAIKKKNEIERMNINQNLPKIEMNEDPKEDPKVNPKKDHEEYPEKEPTEDSRELTEKMEKDSEEDSDHDPYNPRDGGIS >Solyc10g045560.2.1 pep chromosome:SL3.0:10:34544241:34546609:1 gene:Solyc10g045560.2 transcript:Solyc10g045560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKEYYRIDGMPLVMEIWIYERCSAVDSNIAVKKSNRISRIVNWMTRNNKIHYEFLMEGMFSDNRNPLKFKNIEPSLKEIAFYQLESKSDAITEITFQDVGDKDDDEDDYFTSKPPNHKPHSKKKGKLKAYVLRSTLIKTSNLYAGSRLKDKRPPVLNDSRKAKSTTLNSDSNLLEDNVSVQELHNCPDDFANRTPPRSSKEPQDNHIAYNVTDCGIYLLAFAEYLSEGEGIPVQYLDSKLHRIRYGALLWKYAMKKMKDGDDRDNEAPPRRMRIPARIDNSQLVLLISILY >Solyc09g015120.3.1 pep chromosome:SL3.0:9:8039943:8053019:1 gene:Solyc09g015120.3 transcript:Solyc09g015120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDPGAFKKLRRSDLGGVIFGCTNNTIKECLAKQLFGLPSLHFSYVQNVDSGLPLFLFNYSDRKLYGVYEAAGPGQMYIDQYAWSSDGSIRTPYPAQVQIRVRLLCQPLLENQFKPVIKDNYYGQKHFFFELDHVQAGKLISILSSSLSASSSIPSQNFAKQRSIFQGLPANDKREENGRFLSRDIYAYSSGLNGKLWTRDTSPLQSNGNQQPEVLLDKQAESDEKDLMYIKLKEMALQRKFSVGITDGPSNEATTAVVPASINQAISGQEILQEELPLEEEQNVDCSCDSTIIPQLRQELEKEKLKSNNLEQRLDVHYVWSHIILCISTGKDWDMAHVQQQIKQLTYKCMMLESSNTVCTHADEMIIDHTNDAHLKHEMIFLTGGYDGVSWLSALDSYLPSFDVLKSLKPMNSVRAYASVAKLNGEFYVFGGGTASVWYDTVESYNTTDDEWTVLPCMKEKKGSLAGAALKDKIFAVGGGNGIECFSHVEMYDPQVGRWINTQSMLQKRFALAAAELNGALYAVGGYDGSDYLETAERFDPREHSWSKIASMSTKRGCHSLVALSGKLYALGGYNGSTMVPSVEIYDPRVGTWIVGEPMNQSRGYSAAAVLKESIYVIGGVQSNEEIVDVVECYKEGEGWQMPSLSAIGKRCFSSAMESVSGNGELVAQTDSEDPLPLRPKRTPFISASSTTVSAPTRGKDPKNRVVITGINLTPPPPSIMSSAALERCKKRIELIANTLQLEGFSRIDAFVHADTGEVLIIEVNTVPGMTPSTVLIHQALSEQPPLYPQQFFRTLLDLASERST >Solyc01g068170.3.1 pep chromosome:SL3.0:1:77085971:77091152:1 gene:Solyc01g068170.3 transcript:Solyc01g068170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWNRRRWIPRKKYKQEDLPPSQPYQYNQSPYHAIQENSAPLWEIDFCRVAGIPWHKVVSAKTYMDCYENVVKWDDSAGQEAFNVAKRRYWAKISGFPPQNPPPNPDLYIDKVDWDSAIDPELILDLDREYFNPNEVKNSVKSENNLDPGCTLVWEDKTADNGENPWGSGNVQGSKTAANGENPWESGNVQDSKPVGNRENPWESASIEDTKQTWNEWYTPVNIKNDNPWERSSPKTQGILKGTAWGGCGNESWGWNSGMNYQNGYACVDNSFSNLWYRSGACVSGAKGNEWVDNSVGSWGQTCWNTGGHEQRNSDYGSRWNRNFSRGGGTTSKDRRRRGSEGTSWDYQQQPRQSNDRNVDFGRPSRGNSTFYSGSRKRESSSQHVPRYKSSRFQSDEQRTANNWREENTQKRVTFNSMYD >Solyc03g117670.3.1 pep chromosome:SL3.0:3:68234191:68234806:1 gene:Solyc03g117670.3 transcript:Solyc03g117670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLKMEPVTNAVDVVESMRRIALQEMNRKDREIDGLNEQLEVERYQDDDDIDGDGTDDS >Solyc01g066860.3.1.1 pep chromosome:SL3.0:1:74878208:74879014:-1 gene:Solyc01g066860.3 transcript:Solyc01g066860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNYVDREKQKQADNDIKDMISSLTKRLAGLQRVHKASGGDSGQNLQGDDEDDHGTRIITLAGTNVGASMRGEMDEKAGIEGVSPGENEALKTYVNSNFQSINNSIMMGGSYCTNDPGVHLDITDRVDEQLPKPQGYKHKKGKKSDHHYEHSE >Solyc05g053750.2.1 pep chromosome:SL3.0:5:64622868:64628709:1 gene:Solyc05g053750.2 transcript:Solyc05g053750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETQGYGCEEHKVTTDDGYILSLQRIPKGISGKEATNPPVLLQHGLLMDGITWLLNPTDESLAFILADNGYDVWIANTRGTKYSQGHTSLSPQDPAYWEWSWDELVDFDLPATFKYVHDQTGQKLHYVGHSLGTLIAFAAISENKLLDMLRSAALLSPIAYLGQIKSPLAKSASQNFIAEGLYWLGIHEFDPRGEAVVKLLEKICQHPGNNCSDLMNSFTGPNCCVNSSRTDIFLEHEPQATATKNMVHIAQMTRKGTIEKFDYGNDEENNKHYGQPNPPQYIISNIPNNIPLFLGYGGADYLSDVNDVKTLLDKLQNHNPDKLVLQYTEDYAHADFVFGTNAKEIVYNPIMAFFKLN >Solyc01g094290.3.1 pep chromosome:SL3.0:1:85695186:85705934:-1 gene:Solyc01g094290.3 transcript:Solyc01g094290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAYCLWQPNASHCGETLNYSKKSSCDVGLKHKLLGNARVICRNRPGRRLKRIVGCSNSSLAYSRIRLSCALWKSDSSGNLAGVKDSRGVKLLRCQENDSLAFIDGNGRNVESSESAEEGSVSVSANGIAEISSAKELEEDKGEEKEGDNLDELRELLQKALKDLEVAQLNSTMFEEKAQKISEAAIALKDEAANAWDDVNKQLESIEEMVVEEMIAKDAVQKATMALSLAEARLLVALDSIQSAKQGRMSSKTSDESKGEESTSLMEEETALSAAQEDMEECRDRLENCEAILRRLQNKKEELQKEADRLNDLAEEAQINALKAEEDVSNIMLLAEQAVAYELEATQRVNDAEIALQKAEKNLAVSPLDTAETSVVQNGSSALGQVSVDGTLCEDEVFPRNSVESVIDKDREVQLEDAWVASGPLSDESDDEDRKLVLDSSKDSDSDAEKPKSVQTVRQEVNKESARDSSPLSAPKTLLKKSSRFLPASFFSFPSDGEEFTPASVFQSLIESARNQLPKLVVGSLLMGAGIAFYVNRSERVFQQPDIITTSIDEVSTNARPLVRQIRKLPKKLKTLMEMLPHQEINEEEASLFDMLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLIANLVAGQAGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFRAIAEALGLAAVKAIVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLLSNFPVIMGSLGLLLGGKTILVALIGKLFGISIVSAVRVGLLLAPGGEFAFVAFGEAVNQGIMSPELSSLLFLVVGISMALTPYLAAGGQLIASRFELQDVRSLLPDESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSERVAVGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALNKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRSRHLSELTELCETSGSSLGYGFSRVVSKAKAQASDSSDENQIGEGTLAI >Solyc11g018610.2.1 pep chromosome:SL3.0:11:8773179:8778051:1 gene:Solyc11g018610.2 transcript:Solyc11g018610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPKSENSKPPTVSSSTKSCMNVLPYQTPRIGEHYTLGKKLGQGQFGTTYLCTENATGLEYACKTIPKRKLFCKEDYEDVWREIQIMHHLSEHPYVVRIKGTYEDNLFVHIVMEVCKGGELFDRIVQKGHFSEKKAAQLMKTIVKVVEACHSLGVMHRDLKPENFLFDSSDEDAKLKATDFGLSIFYKPGQYFSDVVGSPYYVAPEVLHKYYGPEIDVWSAGVILYILLCGVPPFWAETDNGIFKQILKGKIDFESEPWPQISDSAKDLVKKMLTRDPRARLTAHQVLCHPWIVDDNVAPDRPLGSAVLSRLKQFYDMNKLKKMALRVIAERLSEEEIGGLKQLFKMIDTDNSGTITYEELKHGLKRVGSDLTESEIKALMSAADFDNNGTIDYGEFIAATLHLNKMEREENLLAAFSYFDKDGSGYITIDELQQACQEFGLGDVKLEDIIKEIDIDNDGRIDYGEFATMMKKGNTGLAARTMRGNLTFNLADALGASDSDNGQ >Solyc07g006920.3.1 pep chromosome:SL3.0:7:1765651:1769441:-1 gene:Solyc07g006920.3 transcript:Solyc07g006920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYTCIFLVFFFFVSTIFFFSRKIVQPTQILSVYRETLSQTPIFLQNQTPILPKSSLDDSLVEPISLNTSTEIPILSKSDFDGSLVESETISSSNETSRFQANTEIPILSKSDFDGSLVESETISSSNETSRFQELEVNTQMPILSKTDFDDNLVKPNSPETSSSSSEKSKFDLDVTSETPKSSLVEKNSRPIGESCDLFKGRWVKDENHPIYKPGSCPYVDEAFDCQTNGRPDSQYLKWRWKPFGCHLPRFSPSDFLYRLKGKRLMLVGDSMNRNQFESLLCLLREGLTNKSKMYEIHGYKITKGRGYYVFKFEDYNCTVEFVRSHFLVREGVRINPQGSSNPTLSIDRIDKTSNRWKGADILIFNTGHWWTHGKTARGKNYYKEGDLIYPKFDAVEAYRRALKTWAKWIEENMSREKLIIYRGYSSAHYRGGDWDSGGSCNKESEPVSKGAILGNYPMKMKIVEEVIKEMQFPVVLLNVTQSTNFRKDGHPSVYGKVGKKGKQDCSHWCLPGVPDAWNELIYATLVLQQ >Solyc03g058857.1.1 pep chromosome:SL3.0:3:28415776:28416044:-1 gene:Solyc03g058857.1 transcript:Solyc03g058857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHYLQLQYSQENFFAPGAVEATNYWKFTSLDKWSTHRVLKNLSEKYGPIMYLQLGKVPTVIASFPHMEK >Solyc06g071050.3.1 pep chromosome:SL3.0:6:43795030:43797617:-1 gene:Solyc06g071050.3 transcript:Solyc06g071050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANPINLIFTKYLLLIIFDSITISTYFLLHQVSFLHRLIPKYINSPILTIEIPISILHSFASFVASSMGNLLGCVQVDQSTVAITEQFGKYQDVLQPGCHCLPWCFGFQLAGHLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALADKANDAFYKLSNTKGQIQAYVFDVIRASVPKLNLDDVFEQKNEIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDENVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTSAKDVMDMVLLTQYFDTMKEIGASSKSSAVFLPHGPGAVRDVASQIRDGLLQASCGYVWRV >Solyc03g013240.3.1 pep chromosome:SL3.0:3:47796220:47800098:-1 gene:Solyc03g013240.3 transcript:Solyc03g013240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLICKKERKKMAAKSPVLHCTSCAWEFGSNFSPIKGLNFQLKSRRTKAGNGVCLVVSATGKNSGISNESGVVNWSRFYLNFTGFPFPLGPFLNRQTIRTEAVKDTIWLFEQEQALGFSSVSTNIRMTVIKLKSGELWVHAPIAPTKECIQLVKELGCPVKYIVLPTFAYEHKIFVGPFSRKFPKAQVWVAPRQWSWPLNLPLEFFGIFRAKTLKDADMSTPWADDIEQKVLSSPEVGIGPYVEVAFYHKKSRTLLVTDAVIFVPTTPPDCISKESLLASAKNGLAVKLLSKGKEVPEEAVIDNKINRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDNIVRDWKFKRIIPAHFAAPINTSSSDLLAAFGFLDDLLGERYVTRPSLSLFFTSLLGKAASYFPPDDMRTLSSLDHFLVSVGAVKKTVSGRKR >Solyc02g090720.3.1 pep chromosome:SL3.0:2:52875123:52875668:-1 gene:Solyc02g090720.3 transcript:Solyc02g090720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLEMYNKNKSSTDPNHNKFYSMSPRISFSNDFIDSSTDSSQHNERRDSHFPVSSDFEFSVTNYTMLTADQLFSKGRLLPFKHTHKTTTIRDELQNDDAFTLTIPKTSTTWKGLLGIKKSKKKHEKTPHSTKQGYNESGNGTDMDFRFN >Solyc10g047500.2.1 pep chromosome:SL3.0:10:40923282:40925564:1 gene:Solyc10g047500.2 transcript:Solyc10g047500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNKPYHAKQHPKTSYSFSIYLILIITCPILFILFQIQFFQTPPSSSPLPSWALFNQRQKVIINITFNNLTKELMATKKLLRESVTFLPLKDLRYSSTAQQGHTWFMSSMYDTHEEGEVQYQRFPSNVSKGKVLCLKGNNTHDGAWNSYALAWPEYFPYNSTLKKGLTFISYNHYNYDNIWHGLSSMVPFVAWHIKNNQCSLTPTRWILYHWGEVRVKMGPWLKSLMGATFGEPLHIENISTNNATCFEDAVVMRHNEGGMSRQKRIQVYDFLRCKARIYCNVSKRETPAIGMTMFMRVNNGPRSFKNESAVIEIFDKECKKMTGCHFILAYSNNLTFCEQVRVMSLTDILISPHGAQLTNMFLMDKNSSVLEFFPKGWLKIAGVGQYVYHWIASWSGMKHQGAWRDPDGDSCPYSDDDKRCMSIYKNGKIGYSKTYFSEWARRVLNEVRIRKKEELTSKNSSHLTSTGCYCS >Solyc12g019090.2.1 pep chromosome:SL3.0:12:9107420:9111949:-1 gene:Solyc12g019090.2 transcript:Solyc12g019090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSTDCLLVQMLLHQHCSGDQRPHEHVEKILRRSGLYDVVCVGRMQYDRALVTAMVELWSPETHCFHLPFGEVTIRLQDVQVLFGCAIAPTDMDGASRVRIHSITVYLRDQLQVDPIRDSTPVERVEKIARLYMCWTRGIDRDTESHHVLIPIRDQLDRMTEDQFRWTSYNEILHTLPHCCMVNESLWMACVPMFCLEIVEMHAPNRVMHQFGHSQHVPVIPSWGTNHHVHDQRRRLGPEVLEMMDKYFCDWGNRHQSLAVEVNDDTSEARYRLLYIRYGRLPIDRTALEVDVSSGFVHSAGTSIAMSRGLFKLYSLALQWQRDTTLASHGEKEDPLVEHVRAIGPRRGRVDGREHARGWGHRGRARGRGAGGMPIPPDIEAEMTRDVEGIERLSYESAINVRDYIPDMAIHNTGPSSTVEESPTIIIEDVAPTVNENPDIHTTGHSSTVEESPTTIIEDVAPTVNKDPNVTLIHTTGPSSTVEENPTTIIEDVVPTVNKDPNTTLSPLSSPDVTFIMPVDDMPQTPGRKKLVKRIVKNVNIKNLPAKKRKMNNEDEDRYTRLRPRDVLRISRKGCGT >Solyc03g119430.3.1 pep chromosome:SL3.0:3:69526999:69530324:-1 gene:Solyc03g119430.3 transcript:Solyc03g119430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKIRIFFFFRQTLRGGNRMLLQLLFRPNTKSRRITGLRNWNIAMNPLTLVKRIQNINAKEASLGISDQASWHARYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDVNLVRDKGTGKSKGFAFVAYEDQRSTNLAVDNLNGAQILGRIIRVDHVTNYKKKEEEDEETERKKREERGVCRSFQRGECNRGAACKFSHDEKRAANTGWGAEEDRSLRWAHDKAEDSKKDGRSGQWGRPPRPDYKEEVKVSDKDPRNSKAKITDVERHEQRDSTARDHYKRDSTARDHYKRDVEQHRSERKEKGSNYKEDDLDRSHVERRSRRYDDVQDSGEAIGRKSRRHDDVISKEYDDRKDERRQKRYESESYHTEKRESREMEKRSRLHRDGRDEEDRRQRSHR >Solyc09g090740.3.1 pep chromosome:SL3.0:9:70649722:70658980:-1 gene:Solyc09g090740.3 transcript:Solyc09g090740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKCLLLNPVFELCVAMASQISDKKRTKKTKKRSRVESEEFERINSLNWNPTLTEKDDAFSFLIGSNELEGGFLCLEEIDESEYNLGIAKSSGGSEEKGKTKPKKQKVDTKNEELNGEAKGESKEEIEEVEKETKQKKKKKMKKKKKDKINKDAVNEAEGNEEPPAVTDGNDDQEQDSVDETEYYAWNELRLHPLLMKSIYALKFKEPTPIQQACIPAGSHQGKDVVGAAETGSGKTLAFGLPILQRLLEEREKAERQLPENGELDDKVASAGLLRALIITPTRELALQVTDHLKEAAKHSNFRVVPIVGGMSSEKQERLLKTRPEIVVGTPGRLWELMSGGETHLVELHSLSFFVLDEADRMIENGHFHELQSIVDMLPMANKSTDDDSQKSQNCETVSSVQRKKRQTFVFSATIALSADFRKKLKRGSQKSKANDELNSIETLSERAGMRADAAIIDLTNASILANKLEESFIDCRDEDKDGYLYYILSVHGQGRTIVFCTSIAALRHISSLLRILGVNVWTLHAQMQQRARLKAIDRFRGHEHGILIATDVAARGLDIPGVRTVIHYQLPHSAEVYVHRSGRTARAHSDGCSIALITPNDTSKFAALCRSFSKDNFQRFPLEMSYMPEVMKRLSLARQIDKISRKDSQDKAKKNWLERSAELMELDLEDNDSEEERVNNHKRKKATSAQLTNLQEELKSLLSRPLQPKTFSKRYLAGAGVSPLLQNQLEELARQKNSNNSGDVKRKKMIVIGQDCVEPLQALRSAGPETKLNLKDMAEKRRDITELRRKRRETKKRLREQRRKQKKKLQGKE >Solyc06g050510.3.1 pep chromosome:SL3.0:6:33277056:33284226:-1 gene:Solyc06g050510.3 transcript:Solyc06g050510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHIHYNAHPIDPHPFEAFWYGSWQAVERLRINMGTITTHVLVDGEVIEENIPVTNLRMRSRKATLSDCACFLRPGLEVCVLSIPYQGENSGDEKDVKPVWIDGKIRSIERKPHELTCTCKFHVSVYVTQGPPPILKKTLSKEIKMLPIDQIAVLQKLEPKPCENKRYRWSSSEDCNSLQTFKLFIGKFSSDLTWLMTASVLKEATFDVRSIHNQIVYEIVDDDLVRKETNSNQHSYSVNFKLEGGVQTTTVIQFNRDIPDINSTSDLSESGPLVLYDLMGPRRSKRRFVQPERYYGCDDDMAEFDVEMTRLVGGRRKVEYEELPLALSIQADHAYRTGEIEEISSSYKRELFGGNIRSHEKRSSESSSGWRNALKSDVNKLADKKSVTADRQHQLAIVPLHPPSGTGLTVHEQVPLDVDVPEHLSAEIGEIVSRYIHFNSSSTSHDRKASKMNFTKPEARRWGQVKISKLKFMGLDRRGGTLGSHKKYKRNTTKKDSIYDIRSFKKGSVAANVYKELIRRCMANIDATLNKEQPPIIDQWKEFQSTKSSQRESGDHLAMNRDEEVSEIDMLWKEMELALASCYLLDDSEDSHAQYASNVRIGAEIRGEVCRHDYRLNEEIGIICRLCGFVSTEIKDVPPPFMPSSNHNSSKEQRTEEATDHKQDDDGLDTLSIPVSSRAPSSSGGGEGNVWALIPDLGNKLRVHQKRAFEFLWKNIAGSIVPAEMQPESKERGGCVISHTPGAGKTLLIISFLVSYLKLFPGSRPLVLAPKTTLYTWYKEVLKWKIPVPVYQIHGGQTFKGEVLREKVKLCPGLPRNQDVMHVLDCLEKMQMWLSQPSVLLMGYTSFLTLTREDSPYAHRKYMAQVLRQCPGLLILDEGHNPRSTKSRLRKGLMKVNTRLRILLSGTLFQNNFGEYFNTLTLARPTFVDEVLKELDPKYKNKNKGASRFSLENRARKMFIDKISTVIDSDIPKKRKEGLNILKKLTGGFIDVHDGGTSDNLPGLQCYTLMMKSTTLQQEILVKLQNQRPIYKGFPLELELLITLGAIHPWLIRTTACSSQYFKEEELEALQKFKFDLKLGSKVKFVMSLIPRCLLRREKVLIFCHNIAPINLFLEIFERFYGWRKGIEVLVLQGDIELFQRGRIMDLFEEPGGPSKVMLASITTCAEGISLTAASRVILLDSEWNPSKSKQAIARAFRPGQDKVVYVYQLLATGTLEEEKYKRTTWKEWVSSMIFSEDLVEDPSHWQAPKIEDELLREIVEEDRATLFHAIMKNEKASNMGSLQE >Solyc01g017827.1.1 pep chromosome:SL3.0:1:25674031:25677772:1 gene:Solyc01g017827.1 transcript:Solyc01g017827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPTLYNNKQVITKDWIARKKNTLEKHGFQHYRYYFSTLKLDLINVIFILLLVEVALTSQIYIVLVHPRYYNNTMTSLEELENQCLPISKLKKYATEWVIKVLVIRRSLTKEYKNTNGEGIRWQLILVDEEQIMNNTEVVEDKSHFKTDQFSNGFITFDEAEKITNGSLFVKALTGEGRSIRREVIVTNERDFFLSTTPVSSLLINPQFEKANNLQKWNDNMKAEKIDISLMSSRLMQTARQVKIRNILNSSLSIVKDMYYNFNAAVTDIDSNTDLWYPGCNKCYKRVTVINSIATCTYCRAEDVDYEARYRLKIDVTAEDQFLSITMFDAAKYYFGCNKEQSPYYHKMVLSKGKESSILAKIDRKFPDVDTNMNVIAMEIHEVSKKLPPDQTKVKMTITKQRSKRTKILSDDEKMKGIVEGIPHVEKDIAAVETDIENPHKKNTCKRTNNIKQVIADDNPQKFRIHEVEK >Solyc04g082720.3.1 pep chromosome:SL3.0:4:66384351:66387771:-1 gene:Solyc04g082720.3 transcript:Solyc04g082720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPNESAKSHAKPDIILTGTAKTCPIGPPLGLVDIGSSDNAYIFRVALPGVRHKCNIQFDIQREGRVRIEGVITESEALKNSLKGYEMKVQQLPSPGPFTISFNLPGPVDPRLCSPQFRTDGILEVIVMKYQIPLVSAEGLPENWYNGSFPAP >Solyc09g008060.3.1 pep chromosome:SL3.0:9:1520686:1524616:1 gene:Solyc09g008060.3 transcript:Solyc09g008060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CQJ5] MANHHQKPHAIMIPYPYQGHITPFVYLAIKLASHGFTITFVNTHYIHSKISNSQSRENSQIKNKDDLFARARESGLDIRYATVNDGFPLGFDRSLNHDQFVEGLLHVFSAHFDELVGDLVKSDPPVTCLIADTFYVWPAMIAEKYNLVYVSFWTEPALVFNLYYHMELLKKNGHFASQDNRKDTIDYIPGVKAIEPRDLMSYLQATEIWTVVHRVIYKAFTDIKKADIIICNTVQELEFDTLSALNKNQPTYAIGPIFPSGNFTKAPFSISLWSESDCSQWLNNKPNSSVLYVSFGSYAHTSKEDLLEIAHGLQLSGVNFIWVLRPDIVSSDETDFLPVGFEESIKDRGLIVPWCRQIEVISHPATGGFLTHCGWNSTLESIWCGIPLICFPLLTDQFTNRKLVVNDWKIGINLCDKERVTHEEVSEKVSHFMCGSSAQELRKAVKEVRKTLENALGQDGSSERNFHQFMENIKVNARKRARLSNGHVSPLHQNGNGLVH >Solyc04g015490.3.1 pep chromosome:SL3.0:4:5692576:5709173:1 gene:Solyc04g015490.3 transcript:Solyc04g015490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mg-protoporphyrin IX chelatase [Source:UniProtKB/TrEMBL;Acc:K4BQ51] MTFSSSFCTSTVTYTSLSSSQSSTLFTYLKPCPILSSTCFPNRKRLKFRFSINATATIDSPNGAVVAEAEEPEKKPQNNSFGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHAILPPIEVVVGSMANADPNCSEEWEDGLADRVEYDSDGNIKTQIVKSPFVQIPLGVTEDRLIGSVDVEESVKSGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNTVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMSFEDRVAAVDIATRFQECSNEVFKMVDEETDNGKTQIILAREYLKDVTVSRDQLKYLVMEAIRGGCQGHRAELYAARVAKCLAAIDGRERVNVDDLKKSVELVILPRSSIMENPPDQQNQPPPPPPPPPQNQDSSEEQNDEEEKEEEEEDQEDEKDEENEQQPDQVPDEFIFDAEGGLVDEKLLFFAQQAQRRKGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRRAKDVQKSRKVYVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVCIIPFRGDAAEVLLPPSRSISMARNRLEKLPCGGGSPLAHGLTTAVRVGMNAEKSGDVGRIMIVAITDGRANISLKRSNDPEAEASDVPRPSAQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISAATKDALSTLKES >Solyc01g087070.3.1 pep chromosome:SL3.0:1:81887090:81890250:-1 gene:Solyc01g087070.3 transcript:Solyc01g087070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMDQPQYPSVTHKAAGNFLGRSTQNRDFMAYQRQYKYGSQTKPWLHRCEGMPVVSSYSSPVFVQAPSEKGFTSFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSKPYGGIAECFSRTMKEEGVMSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLFFVYSLDYARTRLANDAKAAKGGGDRQFNGMVDVYKKTLASDGVAGLYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGSLQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSMDAFTQILKNEGPKSLFKGAGANILRAIAGAGVLSGYDKLQLLVLGKKYGSGGA >Solyc09g010564.1.1 pep chromosome:SL3.0:9:3933375:3935838:-1 gene:Solyc09g010564.1 transcript:Solyc09g010564.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIYQTKPFTDTGTKLLDTIKFLEEGLQWEKPWLRYLNHNFTDPGLGLQNMDISMKGMELAITCCPIFPTRMIDKELFKVTHHVMMFLGQIMGQDRSFLPHNSVTEGEFEKEYSSRHSNEPILPTKQDQAALFFLSCFRMHSRMFTQAGGTAAVIGSLLILGRKSYGPPSVFAIYRLTEAFIGLACFVVVELILQPTSSATLVKKHLYLIQGTLKECSKHMVVDSRQKGLMEKQRNLKSQVQDLEKFIKDAVLEPRFWFIPFPISCYQKLQMSLSKMADVLFFMSCDIEFLSQSFDRYYPDKRELQQYINNNLQHFNDALSSSVSSFEKTISIRLLKTSQIQPEQNILNDLEEGTSSCPRGDVMCYRNDEEMEMILSSFLQNSNEVRGKVRDIAGIELRGTIVGCLCSLEFCMSFLEREVRNIDNAIKELVKWEDPLGEPICS >Solyc12g036900.1.1.1 pep chromosome:SL3.0:12:48892671:48893207:1 gene:Solyc12g036900.1 transcript:Solyc12g036900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECKTEKISAKRSREDPVSDPYSTESKRVYTVTESNRVNSDSTEVKQIREEILDILDEQEIITDRLQEVEDLDSVIKSFEEEIVHPSIQQTNLDSVDSQPDLGYLLEASDKELGLPPTVLSSDDNVNAENNLPENENVAGSKNVIEFEKELLPSHELGLVDYQESLELSQRAESMLA >Solyc01g097390.3.1 pep chromosome:SL3.0:1:88142786:88147023:1 gene:Solyc01g097390.3 transcript:Solyc01g097390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVEMPRVKLGSQGLEVSKLGFGCMGLTGVYNSPVHEAEGIAIIKEAFSKGVTFFDTSDVYGMDHANEYLVGKALKQLPRETIQLATKFGIYKIEPTKVTVKGTPEYVRSCCEASLKRLQVDYIDLYYVHRIDTKVPIEETVSDLVELKKLVEEGKIKYIGLSEAHPETIRRAHAVHPITAVQQEYSLWTRDIEDDIIPVCRELGIGIVPYSPVGRGLFAGKAVVESLPANSFLTTHPRFTGENFEKNKSIYFRMGGIAKKHGCSPAQLAISWVLHQGNDIVPIPGTTKIKNLHDNIGSVRVKLTEEDIKELSDAVPVSEVAGQRIGEALYKSSYKFSITPPQTK >Solyc01g112165.1.1 pep chromosome:SL3.0:1:98144917:98147901:-1 gene:Solyc01g112165.1 transcript:Solyc01g112165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLVLYEWFSRRESSKFEHFQVKTVLLKVGVTTAQLPVAECIFILYEKKKLNQVEKKGKGIKPWRKRRDPFHWECFCHASPPNICCAQT >Solyc08g068500.2.1 pep chromosome:SL3.0:8:57713315:57714621:1 gene:Solyc08g068500.2 transcript:Solyc08g068500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFKDLIAACPRIEKLRVLDTRELHTIVVSNPHLKFFGGNLSCSNGKIRIESAEFDSLEFSFTKYVCKVEITSATTVRELTVGNANNQEALMHLINKFPLLEKLIIHDCSRLQNLHISQPNLASLVLMDCTVVQLIRLTTPKLKSLEYKGQHTNFEGIEDLEELEFVLLYLEPVDMDTYWWYKWIRDILKSCARSKHLSVICNSQKVIIIPEYLKHLVSITDMEHLELEIKTLDATFKEVTDELISILPDLKTLSLTLGSTTKYFQIRIDEDGDLSAEEEVHNPKPNRRVSILRSMISKQIGE >Solyc11g069550.1.1 pep chromosome:SL3.0:11:54461789:54467289:-1 gene:Solyc11g069550.1 transcript:Solyc11g069550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPYRSGAYKRSNDSTTLVITTIMGMVFGYFVGISFPSVSLTKINLPSSLISTLDYAFNDEHRRAIERTFPENLGSGSTPLTPKIYVPSNPRGAESLPPGIVVSESDFYLRRLWGEPSEDLTKKPKYLVTFTVGLDQKYNIDAAVKKFSEDFQILLFHYDGRTTEWDQFEWSKRAVHISVKKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVEHFNAEKYMQLVKKHGLDISQPGLEPNNGLTWQMTKRRGDREVHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHLIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIVHQVIPSLGNQGQPVNGKAPWEGVRERCRNEWAMFQDRLSNADKAYFAQHGKTRV >Solyc06g009070.3.1 pep chromosome:SL3.0:6:3004588:3021030:1 gene:Solyc06g009070.3 transcript:Solyc06g009070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFPSSSSSLPEISLPSSPTSASSLEFPVLPVAALKSKIIQKIQENRVTLIIGETGCGKSSQVPQFLLEENMEPIVCTQPRRFAVVAVTRMVAKARKCEVGGEVGYHIGHSRVYSERSKIVFKTAGVMLEEMLEKGLNALKYKVIILDEVHERSVESDLLLVCVKQYLLKKTDLRVVLMSATADIARYREYFRDLARGERVELLAIPSSGQDTTYQRKVSYIEQVAELLNMESEETALKCCSGPSPWAVAADIEPEMYQLILNLIIYIHKNEMDIEKGILVFLPTYYALEQQWRLLKRFYGTFKVHILHRSIDTEQALNAMKICKSHRKVILATNIAESSVTIPMVGYVIDSCRSLQVFWDNNRKKDSAELVWVSKSQADQRRGRTGRTCDGHVYRLVKRSFYSQLKDYEPPAILRLSLRQQVLLLCCAKSKAINDPKVLLRKALDPPEPEVVEDALSLLVDNHALQKVSPRSRYEPTFYGRLLASFSLSFDASILIIKFGAIGMLREGIVLGILMDMEPQPILRPFGQENLFMKYIDDFLSGDSRTTGLSGRKEVICMANACAFQFWQRAFKDKHRLEHLRQLFKLDDRKDREIVLPNIEEEWCLFHNLLQSSLNQVAESYDEVLDSLHRYRPQFLATSSGIPSCYNTNEYQHKCHLDCEQYLDADALDMNYKLREQGSETRKCISVPFLGHNESFAHNVAQNLASVVKEMRSQCSSAVSGKNDLMVDGNWHSTREASLCKFFLKGTCNRGPHCSFSHSSTAKRPECRFFFSLQGCRNGDSCMFSHDSVPSAYSGVLSSLCLPENAAANMWSLLQWFPVPYHGCILILDDNDLYFSSHLARFYAPSSIISTTSLRDESTLDQLPTGVRILWGHSHPYNTILSKTAGSSVPWDEVKCVLWFPKFEAEHKEGDRSMVQTFFEYFAIRILGDALYEATVIITMNNIRFSHLQVEKLARECCFFLNESFLFDEQNLGELFDEVRARKPMVQSRPVSYVFSLHPPVVVQPGDFATLLNQNVNKVV >Solyc06g005865.1.1 pep chromosome:SL3.0:6:878728:882807:1 gene:Solyc06g005865.1 transcript:Solyc06g005865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHGSFEDQSKATFSLTDEDHTLANAVRFTLNQDPRVTFCGYSIPHPSDARVNIRVQTTGDPAREVFNDSCQDLMLICEHVRGTFDQAVLKFKTGKGLSAMDIKK >Solyc03g116970.2.1 pep chromosome:SL3.0:3:67725950:67731044:-1 gene:Solyc03g116970.2 transcript:Solyc03g116970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTPVSEEKCIKGLRKVGNELLQQPPSSKEEILKKLDNLEHLLTMVKQVPPASVRDAIQPAMEALVTDGVLRHPDIDVKDFFKLAVLAFGKLSCLEGRCYSKAVSIIEVLAKYQTCVLMLDLQLDALIVQMFQYFLTSIRPDHPDEVFMNIKEIMTMIIKESEDIPMQLLNTLVSILISSVKKENQNVSPRSYVLGEKVLQESAVKLYPYLQKAVTDLGISFNNYSEVVELIWREAIKTKATVESALQELSPHTAHKNDDVVLETVSSLKEPEAAKEAVDPEAAQTSKKRGWKPILLNKAEGGYADAWTSTERRSNIRAEGKAADDLAPLTTTKQDNLTPKGGRRNKGVKVDVHGYKVEVSSAPILTAIFAKYGDIAVNCHYKSLASRASLLDLVCDVVKRLKAGDVGSSSIKQMKSFVSTAVEVELDVAWLQQYLDEISKEENMEKRLHMDIDILLR >Solyc12g036453.1.1.1 pep chromosome:SL3.0:12:46783628:46783669:-1 gene:Solyc12g036453.1 transcript:Solyc12g036453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KPSQQGDLLLPLW >Solyc11g069570.2.1 pep chromosome:SL3.0:11:54483929:54488299:-1 gene:Solyc11g069570.2 transcript:Solyc11g069570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:K4DAB3] MEIENLEKEMKQSKFKKICVFCGSSPGKKSSYKEAAVELGEELVSRNIDLVYGGGSIGLMGLVSQAVYSGGRHVLGVIPRTLMPREITGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVIAWSQLGIHDKPVGLLNVDGYYNSLLAFIDKAVEEGFICPNARQIFVSAPTANELMNKLEEYSPSQENVASKLNWEKEELEEYIPTPNGRYHQASLTNYNPPT >Solyc12g005170.2.1 pep chromosome:SL3.0:12:119885:122421:-1 gene:Solyc12g005170.2 transcript:Solyc12g005170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIYNVLSTFSKSNNNTTLWKIIISTILSKWNSKLVRNNKSFPPCPKSWPIIGILPQIFTKNKSSFVYWIHKTMEEMNTEIACIYVGNVHVIPVTSPELACEFLKIQDSVFSSRPICMSAKLISNNYLTSVFLPIGDQWMKMRKILASHVLSPSSLQWLRPKRDEAIDDLVEFVYKQCINQQFINLRKVTRCYCGNAIRNMVYNKRSLFASREEDEQQVDALFTLLKYLHCFGISDYLPWLSMFDLDGHKAIIKKAYDIATKQIDIEVDHRIQIWKDGYKNLEQDILDVFIMLKDDNGNPLMNAKEIKAQVLELMLATVDNPSNAVEWTLAEMLNQPKLMQKAIKELDDVVGMNRLVQESDLPRLNYIKACIKEAFRLHPISPFNVPHVSVSDTIIGEKHFIPKGSIVLLSRLGLGRNPRVWKNPLKFKPERHLKKKKKDDGEVVLTDSKLRLLSFSIGRRGCPAVKLGSTITTMLLARLLQGFTWSLPPNSSSNDLLESSKVDHFCTLPLLAQAKPRLANNMYHF >Solyc07g043530.2.1.1 pep chromosome:SL3.0:7:57542273:57542821:1 gene:Solyc07g043530.2 transcript:Solyc07g043530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKATEAITNLAKTVAGCISPLDLNSLCACLTAIVCSSEQPPLRSLGCPAGDGASVMLKSVRERVTHLLTDPQAGGILSMPSPALWQTLFDAFFGLLTKYCLSKYESVMQSILAQTTSNTEVIGPKATRAVSREMPVKLLRMSLPLTRATKEVVVTFAEQSDPCLLLEFMLMVDVVDKQILNL >Solyc12g056570.1.1.1 pep chromosome:SL3.0:12:63465566:63466621:1 gene:Solyc12g056570.1 transcript:Solyc12g056570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREGRRSLNVNEEYQGALRTKSYGEFFMKAHDLLVNNNNNNINQPLSPNSQYSLFSDILLEPGQETITNILESTNIFPKKYNLKPLLLNYFNISAKASKFCSHILKTINQVQNDYDFVEQILESIDNNFSSHLIPELRYYIIHNNQFLDLKKQDFTRINDEYSSILQRLSLKRKKIARKIKFIECVNKVSGVFVTTTCGLVAVAAVVLAAHTLAALIMGPAILTIIPLKRSKINKFTNRLRFLKCGFLRKIGAQLDVAAKGTYILNRDFDTMSRLVDRLHDEIEHNKAMIKLCLDRREDSISLKVLKELKKSNVGFRKQVEELEEHVYLCLLTINRARNLVINEIGKSCE >Solyc08g048390.2.1 pep chromosome:SL3.0:8:13157798:13160119:-1 gene:Solyc08g048390.2 transcript:Solyc08g048390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMTLGLLFYTLFTFRLWLWSFQSCNIRKQRFGYESCSMEMEEIRTDECKFPRMSNKEDEQYQQYDVDDVGEVKKSSGLGGIVKFYGRPSSRIVRVSRASGGKDRHSKVLTSKGLRDRRVRLSVNTAIQFYDLQDRLGCDQPSKAVEWLLKAAAPSIAELPPLEAFPDTLQLSDEKKSSEQGFDSADVEMDDDLHYNQQQQPCCSNSETSKGSGLSLSRSDSRVGQHHQNMHPGSSFTELLTGGMSDNNTSPNGGSIHQNTQDY >Solyc03g097920.1.1.1 pep chromosome:SL3.0:3:61744299:61745306:1 gene:Solyc03g097920.1 transcript:Solyc03g097920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MAPKK [Source:UniProtKB/TrEMBL;Acc:Q66MH6] MALVRDRRHLNLRLPLPEPSERRPRFPLPLPPSSVPTVNSTASTTTATNTTTTTTASTTTISISELEKLKVLGHGNGGTVYKVRHKRTSAIYALKVVHGDSDPEIRRQILREISILRRTESPYVIKCHGVIDMPGGDIGILMEYMNAGTLENLLKSQLTFSELCLARIAKQVLGGLDYLHSHKIIHRDLKPSNLLVNREMEVKIADFGVSKIMGRTLDPCNSYVGTCAYMSPERFDPDTYGGNYNGYAADIWSLGLTLMELYMGHFPFLPPGQRPDWATLMCAICFGEPPSLPENTSEKFNDFMKCCLQKESSKRWSAHQLLQHPFIQSIDLKST >Solyc01g006925.1.1 pep chromosome:SL3.0:1:1491677:1492878:1 gene:Solyc01g006925.1 transcript:Solyc01g006925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKMTIRSFFFDLAVEFYPIRPGTKLKLWNNMTSCYKVFALDGRYASIRDVCPCAVWDICVNSYLLLAVLWIITTCMIIVTENIIGNPKFHLPEGNASFAPRHEVVVACRLNGYTQLCSNPISWCRPSKQVLNEKQEYER >Solyc06g008667.1.1 pep chromosome:SL3.0:6:2582311:2585301:1 gene:Solyc06g008667.1 transcript:Solyc06g008667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYIFTLGGSVVSWKATLQPTVTSSTTEAEYMALTEAAKEGIWLKGLVFWDIKIPQIKVEFPVDANPGHELGPTIH >Solyc01g106055.1.1 pep chromosome:SL3.0:1:93931431:93935538:1 gene:Solyc01g106055.1 transcript:Solyc01g106055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRRRSSIGPFKLLCGQEDCLVKEECLVKDDLFDLKSNEECPVENELLEFSSEELSHFTGQYSPENLIGVTDLGKLYRGKMPIVSNQGKVYKDVTVKILVEDERRFRMQMPASVKVKVLADDERAILIHDSKLLRLEDELKFLQAPKIRGNRSLMKVVGYCRKEIVGVVYDLNPLDTLHNLIFQDDFNWLQRVKTAIALARLLAYLHDRNQSYLIRNLAPSHIVVDQNFTPVLFEFGMLVGGVLGTTVDESDMRIGPCGYIDPFYSYNGPAAYSVKFDVYAFGVLLFNLTSKRALDKEKYTGRAIHNWAVNEYKSRHSYVDLCFQDNADFDRRDGRKITELTRQCMDEKPNKRPEMKEVFERLKGLRCSMAAAW >Solyc03g078360.2.1 pep chromosome:SL3.0:3:52147214:52153386:1 gene:Solyc03g078360.2 transcript:Solyc03g078360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDVLLKEEYLKILNICQIMAKRALLEFWKILHEVDSAKRIIQIGNVHLKLVYKRKLSKEYMHIPHPCVMGNITFTFMLLMLPFVFAAIQVGAQQQPSNITLGSFLYPNRNPSYWPSSSGLFSFGFYQKGDGFQVGIWLYDKTVVWTANRDDPTLHSDAYIEFTKEGNLILWTKQNKKVLVESPQPVTSASMLDSGNFVIYNKTNVVWQSFGYPTDTILAGQRLTLGQSLVSSVTEVDHSSGRFYLSMQADGNLVAYPTNYLNGPEASYWGFMLQTRGEFGEVSSVFIGLTPTGQLFRNASNGFRFQLNTLANTSNQSPTNRAAAIYRATLDPDGIFRLYTHKFNNSSLEINWSALENQCKARGFCGLNSYCTAKNGSSIGDCSCFPGFSYVNREMKFQGCYRGFIYEESCGSSRDSTMAYNVTTIQHLKVGGYPFSQVPMVEQDCRNSCLGDCTCWAAQYMNGVCSKFKLPFVDSTLEQNDESVKSFVKQSYNISQMAGHSTPYPGKTRNRNESSKEIILILSLVLGSVAFLFTVVAIFSYIFYRIRADEYQKLLENPYLGPNEEFTLRSFSYSELEKATEHFKEDKRHGSFGNVYKGILSEGNRTVSVKRLEKIGDEGEREFKAEMTAIGQARHKNLVHLLGFCLEGSKKLLVYEYMSNGSLADIIFSSETRPSWEQRMRLALDISRGILYLHEKCETCIIHCNIKPHNILVDDSWTAKISEFGLSKFLVPDQVGNQLQLKGTRGYSAPELQNSVLISDKVDVYSYGVMLLEIICCRSNMDVNVSTEDEIFLPSWVYKCFVENDIRKLVGDEEVDVKSMERMVKVGLLCIHDDPDLRPSMRNVVLMLEGTMDIPFLACLFKMYHIILYCIVFLYCTVLFCMNTTFGRLHCFSLLDNIIHQQFELYIKLENKLLLYGRKGVVANFFFVVLFSPCFQVLNSCTIYYELTYGSKHLTLLYYFLLRRRLDQVMFPASSWIFLEKMLTVRTCPSFSPIDIMALYFLLVLFIFGSARAQSNPPITITLGSSLHPTGQSTAWYSASGHFAFGFYPQGSGYKVGIWLVGGSNNTIVWTVFPDDPEISVDSTLAFIDGKVVLKTDNSEDKTVASSSESASYANLLDNGNFVLYNQDHEAIYESFRYPTDTILGGQKLTSGSKLVSSYSSTNHSSGRFRLLMQDDGNLVAYPRNLFRPVDAYWSSQIYCDGCRNLLLLNSTGILFLINDTDSSVLRQLYTPLVQNDRAIYRATLDYDGNFRLYSHEFDSNGNSKMVVEWEAIDDICLVKGFCGLNGYCVRSNHNAPSCMCLPGSYLRENDPNFGDCQRNFTRGKCINGKEDVSVYKITTTTNLTWEDPPYFVTSLLRKEDCGKSCLEDCDCDASLFNEIGQCMKHKLPLRYVKAAPEGSRTAYFKISNLAVQNPTTDSVKPPWVVILVLSISFVLYSGTSLAFSGFYVFKFRIIKLRQLVQTGTTGLTKDFILKTCSYRELKRATDGFKEELGKGAFGAVYKGSFDKGKNLVAVKRLEKVVEEGEREFRAEMRVIGRTRHKNLIRLLGYCAEGSKRALVYEYMSNGCLANLLFQGAIRPDWNLRVNIALGVASGILYLHEECEAPIIHCDIKPQNILLDELLTAKISDFGLAKLLMPDQTRTFTGVRGTRGYLAPEWQTNAPISVKVDVFSYGIVLLEIICCRRNIEVCATKIEEIQLSTWAYSCLVEGEVDKLVGSEEVDKKTLEGMISVAIWCIQDEPALRPSMKKVLHMLQGIIDIPTPPCPSPMLFSSIIFFSGNFNQLKALWVFRVSKYSTITLGDYQNYAFDHMLGVTKI >Solyc01g088130.2.1.1 pep chromosome:SL3.0:1:82864249:82864995:-1 gene:Solyc01g088130.2 transcript:Solyc01g088130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALQLVNTHVKLLAFDFLSVQSIPQEPTIFSRKGRRLSRAETVGIVVSRDLKANRFMKFDIDDGTGCIPCILWLNHESSRYFSRRCPSNVRLIAQMAADFASQVQLGVIARVRGKMSSYRGNLQITVSDVVIERDPNSQILHWLDCLRLARNCYDKVLVDPST >Solyc02g030580.1.1 pep chromosome:SL3.0:2:26904007:26904414:1 gene:Solyc02g030580.1 transcript:Solyc02g030580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPKAVKQMVAAVGKTAAPEASNPTIPSGIDVPEANYAEDEGDIVFDASRAAVCATTATNCFTAFGGDILEVFVGT >Solyc12g087850.2.1 pep chromosome:SL3.0:12:64329567:64331711:-1 gene:Solyc12g087850.2 transcript:Solyc12g087850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVVGLQCLWLTILHLNVTTIHNQIVLCSISAKLEEGCQLTTIEEISHAVNQLLGRIQEEEATSSSN >Solyc10g005940.1.1 pep chromosome:SL3.0:10:721039:721870:-1 gene:Solyc10g005940.1 transcript:Solyc10g005940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYFEFSLYRFNVFVLSLVVTFMIVSNIFVSSFQFRVGDEIGWITPIGNESETYNEWAARNRFHIGDTLYFKYKDDSVLEVTPANYLNCNTTSPISKFENGETVYKISHPGFYYFISGQKNNCKFGQRIIVRVMHPSEISSPASAPEISPSPAVDGGGGGGDGWSSDFLDPVINSTTVLSIFSCFVTALGGIMIFLYLLM >Solyc03g043920.3.1 pep chromosome:SL3.0:3:7653842:7657714:-1 gene:Solyc03g043920.3 transcript:Solyc03g043920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYKYKRHHFYTPNSTFINFKDSNNHTPYNSMTKVSSFFSNVFMFVGGTLVTLSIILALWSFISPKYPKFSTINLDFNLDQEGSTNFYDEPNLSYTIEKPIQNWDDKRRQWFNLHPSFKLEGEKDRVLIVSGSQSTPCKNPIGDHLLLRFFKNKVDYSRIHGYDIFYNNVLLEPKMFFFWAKLPAIRAAMVAHPEAEWIWWVDSDAAFTDMDFKLPLEKYKNHNFVVYGWPKLIYEKKSWTGINAGVFLIRNCQWSMDLIEAWAKMGPQSPEYNRWGEILRTTFKDKNFPQSDDQSGLSYLLLKEKDKWGDKIYIESEYYFQGYWVDIIDTLDNITNKYFEIEKKIPILRRRHAEKVVSEIKVWEEYLIKDSYSIRRPFVTHFTGCEPCSGDYNPIYSWETCYNAMQKALNFADNQVLRKYGFVHNNLLDSSSVRPLPFDYPSNS >Solyc06g010170.3.1 pep chromosome:SL3.0:6:5287642:5296249:-1 gene:Solyc06g010170.3 transcript:Solyc06g010170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLYRSGTFRRTNNRSRLVITTIMGMVLGYFIGISSPYVSLTKISIPSNLISNLAFGDDHRRPSIERFFPEHLITPQIYVPTNPRGAESLPPRIVVPESNFYLRRLWGDPSKDLLKKPKYLVTFTVGLNQKDNIDAAVQKFSEDFQILLFHYDGRTSEWEEFEWSKHAVHISVRKQTKWWYAKRFLHPDVVAAYDYIFIWDEDLGVENFNAEKYIRLVKRHGLEISQPGLEPNSGLTWQMTKRRDDREVHKNTDEKPGWCSNPRWPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWVVHQFVPSLGSQGETGNGKAPWEGVRERCTNEWAMFQDRLANADESYFVQHGKSRI >Solyc06g005030.1.1.1 pep chromosome:SL3.0:6:22105:22260:1 gene:Solyc06g005030.1 transcript:Solyc06g005030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFQLKNITFKELTSCFRTFWFSDIVSGVRVTSQSFLRLTKEIMWRELTP >Solyc11g017478.1.1 pep chromosome:SL3.0:11:8533927:8535375:-1 gene:Solyc11g017478.1 transcript:Solyc11g017478.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMGTLLSGFGDIVGKLFGHPLDFLSGKTCSFLVPLLALQVGNLSKLLLTPRHNRRRRRHQKDIEEALVDISSNSSESQGSIKFDDTKRGKSLREHRKDHMRKSLRPKSHHMQVQIVNDSIHHHKKKKFKNGPIDDHIR >Solyc02g062060.1.1.1 pep chromosome:SL3.0:2:34187563:34188183:1 gene:Solyc02g062060.1 transcript:Solyc02g062060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNIEDEQLIGYLINFVTGMPVGCDEIRTVELYSNKKPSQLFDTTTTDHHYVFTELKKKGKYFNRGIGGRGGSWRAYGASEDVVDKNRSVIGFKRRFRFDEENHIWIMKEYCLFDSKLKDLRLRGQIRHEGFVVCSIMRKDTCSSQCQENQDLGLIQSDDLKESTMTAVKQDECFVRQEVVDEETLRNAYTRPLLDSMFRNTPKP >Solyc07g052275.1.1 pep chromosome:SL3.0:7:60901568:60903464:-1 gene:Solyc07g052275.1 transcript:Solyc07g052275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFFYIYKWHKTSIHNKEFAIISGLNCSDNGADFYFDTDQPNRIIAEYFSGNSPVTKARLAEAFKAKVWGDNQEDAYKFGILYYIHEFFMSVEPTTTTIDRLDFDLNKIKPTRQYYRIQGFPLPMQVWFYECYSYVDDKIVVKVKFRNIEPTAMEIKILQLPPSTDQSISQAPQIECSDHNKVTDPDDDFLNPPIITSRKGKEKLIECSSPIRKKKEQSVTVYVCGFD >Solyc05g056570.3.1 pep chromosome:SL3.0:5:66666476:66679043:1 gene:Solyc05g056570.3 transcript:Solyc05g056570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSDLTKTKTSSLSTPSKHFWVLPYKTQSLESLYTLGKILGQGQFGTTYLCTEISTSNLYACKTIPKKKLICKEDYEDVWREIQIMHHLSEHPNVVRIKGTYENALYVHIVMELCAGGELFDRIVEKGQYSEKEAAKLIKTIVGVVEACHSLGVMHRDLKPENFLFLSSQEDAALKATDFGLSVFYKPGETFSDVVGSPYYVAPEVLCKHYGPESDVWSAGVILYILLSGVPPFWAETDMGIFRQILRGKLDLESEPWPGISDSAKDLIRKILDRNPKRRLTAHEVLCHPWIVDDSMTPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMLDTDNSGTITFEELKEGLRRVGSELMESEIKDLMDAADIDNSGTIDYGEFIAATVHLNKLEREENLLSAFSFFDKDGSGYITIEELQQACKEFGLSELNLDEIIKDIDQDNDGQIDYGEFSAMMRKGTGGGVGRRTIRNTLNLGEALGLVQSEENV >Solyc09g092430.3.1 pep chromosome:SL3.0:9:72003827:72007751:1 gene:Solyc09g092430.3 transcript:Solyc09g092430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDMEVQQNGKETVAVVNGCCKKGPGYASPLAAMDGPKESLIYVTCIYTGMGRGKPDYLATVDVDPNSPSYSKVIHRLPMPYEGDELHHSGWNSCSSCYGDPSAARRYLVLPSLISGRIYAVDTQKDPKAPSLYKVVQPDDVVKKTGLAFPHTAHCLASGEIMLSCLGDKDGNAEGNGFLLLDSDFNVKGRWEKPGHGPLFGYDFWYQPRHNTMISSTWGAPSAFTKGFNLQDVADGHYGRHLHVYTWPGGELKQTLDLGNTGLLPLEIRFLHNPSEAIGYVGCALTSNMVRFFKNPDDSWGHEVAISVKPVKVQNWILPEMPGLITDFLISLDDRFLYLANWLHGDIRQYNIEDPANPKLTGQVFVGGVFQKGNAVLAEAEDGSTYQVDVPEVQGHRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPEMIEKGGHMLQIDVDSEKGGLAINPRFFVDFGAEPDGPSLAHEMRYPGGDCTSDIWI >Solyc08g016723.1.1 pep chromosome:SL3.0:8:8825364:8841382:-1 gene:Solyc08g016723.1 transcript:Solyc08g016723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKSLLGMDQIIQLGKEFPMKGLGPLHFFLGVEMKYFEREIHLNQSKYVVELLDKIEMTLAKAVATPLAQKHGLHEVVGSLVDVSFYKMIVRSLQYLTFRRHDITHLLNIEHLQGVKRILKYIKGTLHFGLRIISQSPCRLYDTQVLIGEIVPPLRDQLQAITST >Solyc05g046290.3.1 pep chromosome:SL3.0:5:59336798:59339610:1 gene:Solyc05g046290.3 transcript:Solyc05g046290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4C0W2] MQFKNTYTMKTTFLLFLILSFFFSALAGNFNQDFDITWGDDRAKILENGQLMTLSLDKVSGSGFRSKNQYLFGKIDLKIKLVPGNSAGTVTTYYLSSIGSSHDEIDFEFLGNLSGDPYILHTNVFTQGKGDREQQFYLWFDPTKDFHTYSILWNPQSIIFSVDGTPIRQFKNLESSGIPYPKSQPMWIYSSLWNADDWATRGGLVKIDWTKAPFIASYTNFNAQACVWSSTSTSSSCNSTTQDSWLSENLDITGKSRIKWVQNNYMIYNYCNDIKRFPQGFPLECSLN >Solyc08g081030.1.1.1 pep chromosome:SL3.0:8:64280164:64281306:-1 gene:Solyc08g081030.1 transcript:Solyc08g081030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESIGAISLLGRVPVYGVNCSKDVSSKRKSIDVCRLPSADFLGRKLVCGSNLLRLRGDCVRFSLIRGQAMELTKEAFSFREDEKISKDFRYRIETDVDKKPGKWPPTNKADNPALHNPLLRQERMGCGWLAAIFEWEGVLTEDNPDLEKQAWLALSQEEGKSPPPGFILKRIEGMKNEQAISEVLCWSRDPVQVKRMATRKEDIYQALQGGIYSFRPGSQEFVNTLMHYKVPMALVSTRPRKYVENAIGTIGFEGIFSVIVAAEDVHRGKPDPEMFVYASQLLQFIPERCIVFGNSNQTVEAAHDAQMKCVAVASKHPVYELGAADLVVRHLDELSIVDLKNLAAVELTEFGSPEPELEMEEEDDPYPPSAVAVDDGFW >Solyc01g068410.3.1 pep chromosome:SL3.0:1:77478856:77481934:1 gene:Solyc01g068410.3 transcript:Solyc01g068410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSPYKNPKFTTKKKTFKIYKLKLNMIGWDDIYKVVVGMMPLYVALILGYGSVKWWHMFKPEQCDTINRFNCFFILPFFNFQFIAKINPYNLNYLFLSGDVIAKALVILILVLWANFYKKGSFCWGITTFSLSTLNNTLVVGVPLMKAMYGDLGVDLVVQAAVIQALLWLTSLLFALEFWKTKMTNNSNLIDDNNNNSLELGNISSTNTQMRNINNIELAFWPLMKAVSTKLAKNPNSYACFLGLFWALVASRWHFEMPSIIEGSILIMSKAGSGVSMFSMGLFMALRGKIIACGAALTIYSMILRFIVGPATMALGCVVLGLRGNVLRVAIIQAALPQAVTSFVYAQEYGLHADVLSTAVIVGTIISLPLLIAYYAVLDIIP >Solyc09g059200.1.1.1 pep chromosome:SL3.0:9:53983940:53984140:1 gene:Solyc09g059200.1 transcript:Solyc09g059200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLSFWSKNVSCSNFFFKISLMIFKSFVLINFFKISVIVFYFIFSYFVKFLIINFDGEGGISDRA >Solyc02g032730.1.1 pep chromosome:SL3.0:2:29544916:29546880:-1 gene:Solyc02g032730.1 transcript:Solyc02g032730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERANYQAKGKRIVEDMESEVEYLRQRNDPFSVTRMSSFPMDTEIEWRQRLKAEMDWRRRMETEIEWRMASLPTIKTEEEWMQWRKSEGSLSLSWYVKENEIDTISEVVNETNDMQSEALTRDPEPTHVFNPPNLDQAGSPAEEVQLVEEKDSDSLEDGRQVADEREIVVETE >Solyc01g096370.3.1 pep chromosome:SL3.0:1:87325358:87327207:1 gene:Solyc01g096370.3 transcript:Solyc01g096370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPLTFHESYSVQLLQEMEQLAVSSSPMAVAPPPVDVNQVPLGLQQMLQYVVKSQPEWWAYAIFWQTSNDDEGKNFLAWGDGYFQGDGVVINNKGGGGSSSSLKSQAQSERKKVIKGIQALMDGNGDTDLVDDGDVTDTEWFYVMSLARSFSAGDGSVTGKAFGSDDFLWITGPDQFQLHYSCERAKEAQIHGIQTLVSIPTSNGVFELGSTQLIKQNLSLVQQVKSLFLCCPPIQFLEKTISFADIGLVTGLQQDDNDYKLRENSRKPHPVVAKKRGRKPKGGEEDAHMAALNHVEAERQRREKLNHRFYALRSVVPNVSRMDKASLLSDAVSYINQLKAKVDELELQLIDHTKKPKIVTESSSADNQSATTSSDDQVIKAANPTAAPEVEVKIVGTDAMIRVQSENVDYPSAKLMIALQNLQMQVHHASISSVNHLVLHDVVVRVPQGLSTEDELRTALLTSYDL >Solyc01g100500.3.1 pep chromosome:SL3.0:1:90381594:90386495:-1 gene:Solyc01g100500.3 transcript:Solyc01g100500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTAGVSDAIIAIREKLRGKIGQTKVKRYWPGKAPEWADEPEKDEDMRMNREAALEKAFPSQGGPDIARKDDARLRRLAESKVDNREEIRADHRRIRQAEIVSTIEEENRRLERMEFEEDDEDALDERRRRIREKNLQRQQEEALPEEEEEEVEEEEEEESEYETESEEDTTGIAMVKPVFVPKSERDTIDEREKREAEERALEELVKKRLEERKLETKQIVVEKIREEEIIQKNLELEANIADVDTDDEVNEAEEYEAWKSREIARIKREREDREASKKEREEIERVRNMTEEERKEWERRNPKPAPPPKQKWKFMQKYYHKGAFFQSDADDVAGTSGADNIFHRDFSAPTGEDKLDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDSLRAKYNTKMAAVNAPIAKPKGKKLKDWETR >Solyc09g090370.3.1 pep chromosome:SL3.0:9:70369062:70375357:1 gene:Solyc09g090370.3 transcript:Solyc09g090370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLNGLVPDIPIQEQKDNATASENFGRSVDEMVGKVDQIEQRLNEVEHFYSNTSKKQSNTPRGGSILKDKEKQMSSFRRRQQDASRREAAGSRRMQELMRQFGTILRQITQHKWAEPFMEPVDVKGLGLHDYFEVIEKPMDFSTIKNKMEAKDGSGYKHVREICADVRLIFKNAMKYNEERDDVHVMAKTLLGKFEEKWLQLLPKVDEEEKRRKEEEAEAQQDMQLAQEAAHAKMAKDLTIELDEVDMQLEELRDLVLQKCRKISTEDRKQLGNALTKLSPDDLNKALLIVAQNDPTFQPTATEVELDMNAQSESTLWKLKFFVQDVLHTQGKSPVSVKTNNINTSNLLNNNNNNKRRREFYDALAKSSQKKSKKPS >Solyc02g092620.3.1 pep chromosome:SL3.0:2:54288522:54292097:-1 gene:Solyc02g092620.3 transcript:Solyc02g092620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKKELLFSATKRTSQWIFSQEIPSDVTVNAAGISFTLHKFPLVSKSGYIRKLVSESNDADVSTIEIPDIPGGGEAFEFAAKFCYGINFEISTRNIALLRCAAEYLEMTEDYAVANLVGRTEDYFSEVGIESLHSAVTILHSSENLIPISEKVKLVSRCIDTIAYIACKDNQFSTSG >Solyc07g042650.1.1 pep chromosome:SL3.0:7:56300752:56301619:1 gene:Solyc07g042650.1 transcript:Solyc07g042650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGKTIQGIALVLVQPMQWFREIERCTTRGSNNILFIMVPEWRNAHYIQSVDSNATKAVLDLESTYNVRISVSINFETKFIR >Solyc01g097270.3.1 pep chromosome:SL3.0:1:88055015:88059535:-1 gene:Solyc01g097270.3 transcript:Solyc01g097270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLISINILFVLIISAIANAQQCGRQKGGALCSGNLCCSQFGWCGSTPEFCSPSKGCQSRCTGGGSTPTPTPTPSGSAQNIRATYHIYNPQNVGWDLNAVSAYCSTWDANKPYSWRKKYGWTAFCGPVGPRGRDSCGKCLRVTNTRTRAQTTVRIVDQCSNGGLDLDVNVFRQIDTDGNGNHQGHLIVNYQFVDCALVLCISLTSVTNAQQCGRQRGGALCGGNLCCSQFGWCGSTPEYCSPSQGCQSQCRGGPTPTPTPGGGAQVRATYHIYNPQNVGWDLNAVSAYCSTWDANKPYSWRSKYGWTAFCGPVGPRGRDSCGKCLRVTNTRTGAQTTVRIVDQCSNGGLDLDINVFRQIDTDGVGNQQGHLIVNYQFVNCGDNVNVPLLSVVDRE >Solyc04g050880.1.1 pep chromosome:SL3.0:4:48809107:48809854:1 gene:Solyc04g050880.1 transcript:Solyc04g050880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRWLSLLFSNFVSCQPLTVFCILLRSKFRQRSSIVGSNGSKGIRNGFIDTPWLLVAASVKEDLFLSFQQIKLEMEEADMPQVKLSVVARFEQIIFYRNSSICEESLETTPLSEVTVGKMKRIFDANSPTYELHRTPSC >Solyc01g102490.3.1 pep chromosome:SL3.0:1:91154857:91167041:1 gene:Solyc01g102490.3 transcript:Solyc01g102490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSRALNFCRSFSTVSSEPLRVCIVGSGPAGFYTADKILKAHEGAEVDIVDRLPTPFGLVRSGVAPDHPETKVVTNQFSRVAQNRRCSFIGNVSLGASISLAELRELYDAVVLSYGAESDQALGIPREDLSGIYAAREFVWWYNGHPDCRNLAPDLKSSDTAVIIGQGNVALDVARILLRPTSELATTDISCHALAALSESSIRKVYLVGRRGAAQAAFTAKELREVLRIKDLSVGIRQADLSKTPVDEEELKNNRIKRRIHELLSKAATPATSECNPGQKELHFVFFRKPDRFLESDCRSGHVGGLRMERTILKEDVGSGKQIAVGTGLFEEMECGLVLKSVGYKSIPVAGLPFDHRKALIAAWSLTLANLDDQDLLFQVLFQMFRDVF >Solyc06g071430.3.1 pep chromosome:SL3.0:6:44087289:44096738:1 gene:Solyc06g071430.3 transcript:Solyc06g071430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMANAWAAAGHVTVRPSLSSSSPSSTYFVNFPNKSFLKNPKNSRLCCRCVTVNNTATPSADENCNNTCFASSSSSSTSLDWDWNRWTRYFSEIEQVESYASVLKFQLEEAIEKEDFEEAAKLKASIAEATSKDSVAEIMSMLKNAIDEERYHDASRLCRSTGSGLVGWWVGYSKDSDDPFGRLIRITPGVGRFIGRSFTPKQLVKASPGTPLFEIFVVKDGNNTYNMQVVLLQRAKGSAASSSPSSKLKPMKGPSSAEIENRAVIDVKVDEAEAEAEKSNEKSIDFEGAAEEGIRSVINFLKDKIPDLKVKVMKVNITEEMTDDGDSVKQFLEEEDDNTISSEETDETTTDLDNMNSDRVTVGGDSGTSEEGKTVDTKLFVGGVLHNKEDNPLKDEFVRFPVEIKDVAKDSFVLHIPKRPGEHDAEENTASSINEAAIAAQSISELMPPDVAKAFWSSDKASSKVSRDVKEMLKLAVTQAQKRYKLSEYTSFSRITSPGDLDPFEGLYVGAFGPYGTEVVQLRRKYGNWNVNDAEKSSNVEFFEYVEAVKLTGDINVPAGEVTFRAKISKGSRLSNRGMYPDELGVLAGYKGQGRIAEYGFKNPRWVEGELLQLNGKGMGPHLKGADLGFLYVIPEHSFLVLFNRLKLPE >Solyc09g009410.3.1 pep chromosome:SL3.0:9:2848680:2854488:1 gene:Solyc09g009410.3 transcript:Solyc09g009410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNFSYDDFELKEIQKLGKVLGIAWKVDTGVSGFLAVMASWSDDKTIRIWEENLSGFFVCKAVLEESSTVRSCSWSRELNLLAAGCSDATTAIWKNVGDHAYERIYTLKGHENEVRSVSWDMRGLLLATCGGDKSIWIWEALPGDEFDCASVLQGHTADVKIVQWNAVNELLSVSSDNNIKVWKETSYDSGDWPCIKTLGESDSDDLTVKIWDCDHDSIELWSQVCTLPGHHDTKIFAFDWLRESLRWVPEEEEQDPKIFASAGADDAIHIFIENKDNEVDGLPFKQLLKKEKAHETNINSVKWHSGDKRRLASASDDGTVKIWEFVCLWPASHNAIDDTSKKYMLLY >Solyc02g086260.1.1.1 pep chromosome:SL3.0:2:49613675:49614136:-1 gene:Solyc02g086260.1 transcript:Solyc02g086260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLQKFKLLATQCAVAGSPTRSPTTSPVIHLRRRKTLRMLLSRSIGGGSGRRLPRREVCSPDRFVGDRDSTEKGKELVVSHKLKDLFVSSPPSFAENTRQGLSPAASGAGGGFSSGSAVRRIGLRSLRPLSATFRQRLLRRAWRPVLVSIPE >Solyc02g055460.2.1 pep chromosome:SL3.0:2:2593558:2616347:1 gene:Solyc02g055460.2 transcript:Solyc02g055460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTNIRILLLLLILASAAFLLADGAEAGSTNSLVEWQIISKLNYSSQIRLHPHLLLLVTVPWSGESRSLMKELTGVVSHDHGRFASLKLMVLYRSSERMLADAVGADEGITIFYYHHSHSYKYMGRLRVQNILSSVHYVMSLLPEQLPFKILKTPEDLKIFLGSTDKALILSEFCGWTQKLLAEGGNNSSESDFGFHEHFNGTIAAKETENQGMENAKLDCGVDNLCSDMPWFSEFISANRSAFLGPDNTSLNSGDSCKIDEFQRFESFLPKFLTVSRDLFLPPERLKFGLVPDRALLSSLNLKDSGSWLVTLHFAGCPSCLKVLKEGDDLKAFAKIQAWPVAELEDDDDLENALPANMPSVVLFIDRSSDSLKIREKSRKALDSFREFALKVQMSNEMSEPKTFRSQMTSLKAFQASSSTSRHPTVGLLTASQKINSKDKMSIVVMNQGKQVILKDLVSGLEGSTLHKILTYALQQKKEVKLSSLANEAGFQLLSEDFDIKTAEALPGQTKFQSNKVSEIFVEGASEGIIDPDRKIMLLGDTILGKQYNEQSESNEAKSSHVCPKYSDTILVLTELQSDQHCPLEGIPEEPTDYRMLHVEDEKHIKQSNPINTELLQQNDEKNLLEYESSQISVKFGYDDLKKLANSPTVEETIKELNEQEKNKNFRGSFFYHDGHYRRLIALTSGSKIPSVVLIDPASPQHYVLSEQEDFSCTLLSEFLDSFLNGSLNPYKQSEHVVPTIREAPIPPFVNLDFHEADSIPRVTGHMFNELVLYNQSDSKNSGSSRDRDILVLFSNRWCGFSRGIIEP >Solyc07g063570.3.1 pep chromosome:SL3.0:7:66141714:66148331:-1 gene:Solyc07g063570.3 transcript:Solyc07g063570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVLLGAHSSVEQRKLLSRGISTSKEKSKDLHEDVFSLPMACTSAPIRFTMLSTAVIVPSLETINRVLTEQIFHIAQCPRSTSPLTKATNLVATHTANALTMDNMMDFSSAVYTLADGSIGDLFGGLLYSAGQQANEAVQGQLTALSFTSLATIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRVAVVGDSIAFALGLATTLALLGIAAAFAGKAYGQIGQGLPVAASFLAIVMGLNLLEVIELQLPSFFDNFDPRSAAASFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVATSRDPVIGGSLLLTYTTGYVTPLLLAASFAGALQSILSFRKFSAWINPISGALLLGGGVYTFLDKLFPVTMAM >Solyc11g051125.1.1 pep chromosome:SL3.0:11:17558303:17571496:-1 gene:Solyc11g051125.1 transcript:Solyc11g051125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEKIDSSCGGFSVAKIGVPAHFSKEVTSKGAQPSEDTLSDSMELNTGKSNIVEEESTTPVDKKNIPREWRHNGENGLVPVFQSRFRYTQK >Solyc01g067070.3.1 pep chromosome:SL3.0:1:75220270:75227029:1 gene:Solyc01g067070.3 transcript:Solyc01g067070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETGQLKRAFIDASAGAISGGISRTVTSPLDVIKIRFQVQLEPTTQWALLTRTVCGNSNSKYTGMLQASKDILREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKSFASGSSKSEDHVNLSPYLSYISGALAGCAATVGSYPFDLLRTILASQGEPKVYPNMRAASVDIFRTRGIRGLYSGLTPTLVEIVPYAGLQFGTYDTFKRWMMAWNHLRSSNAIHGDEQVSSFQLFICGLAAGTCAKAVCHPLDVVKKRFQIEGLQRHPRYGAQLKPHAYKNMYDGLRRILIEEGWAGLYKGIVPSVIKAAPAGAVTFVAYEYTSDWLESKLT >Solyc01g089903.1.1 pep chromosome:SL3.0:1:83479811:83485570:-1 gene:Solyc01g089903.1 transcript:Solyc01g089903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILLHLTEQASNHHVVAVLGTWGSTGQKGFLLHPSSSIICSNVLKASAQVYDNVVELRFIISSIPVFNFAAAVAAGRLYNNRKKSFWKFLYIAMLGLILGSLACTAVFFMASYENYPSGYALKALHRIGLHMIICTHDVLENGRNLGYICNFSRVPAVFGNSHPLYFPAYNVELNLCLGGVTKNSDELRVHIDTFSAMNGISRFCEYNYPWSCNLTSKIAPLGIDCKVLVIGNRSDIFSFVRLRHYGHFPDVERSRQRRVQHVLTVRENPMSRGSHVGSILEAKLPCLLPPCVDLLFMISSSSLCQSLRRCRCTALKLFPSMLDKFQCHVMEDNFDSIATVALAPQF >Solyc04g050895.1.1 pep chromosome:SL3.0:4:48813000:48824793:1 gene:Solyc04g050895.1 transcript:Solyc04g050895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVLFTRDGAMSKLKDNGIHLTVYSPFPFGNLLRFFRKGVDSNLRLEGALISMLPRLKQFKSYLRNEKRGDEFLREVELH >Solyc05g050935.1.1 pep chromosome:SL3.0:5:62015753:62017385:1 gene:Solyc05g050935.1 transcript:Solyc05g050935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLLLWLIAMVKFSAKLSLLREFLNALKSSNFEKLSKSYYFCVPTLCDLSSNHEVPFIIKAELLARYGGVAPKMAEEAHALVIDQVYAVNPEVLCFKNLETVNHWWKVLC >Solyc08g081700.1.1.1 pep chromosome:SL3.0:8:64805673:64806266:1 gene:Solyc08g081700.1 transcript:Solyc08g081700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDQKYPLAPSNIMPRSDAEFATTNFQSNNQRRKKKLRNIFLLTIFLTGIILLFSFTFLRIKSPKIRIENIRITNDGDGMINFSAQVFLRNRNFWRYDYDSTLGTINTAEGTTIGRFVIPDGEARRRSTQKVYVIANINLPSRINNTSGILPVISEAKMRGKLKVFRVFRWKKTVDLSCTMSINLTISAIQDLDCQ >Solyc05g016540.1.1.1 pep chromosome:SL3.0:5:17424194:17424535:-1 gene:Solyc05g016540.1 transcript:Solyc05g016540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESGKRCWPTTCNISNGLNAWVVASMDRLEDIDIVRYAPFAMVYVLWSINVGKQYAASSMQHRSWISPIVRVNSGVCASTHGHQTWPTHSVHATSSNGSKHYLWLASIIRGM >Solyc12g008720.2.1 pep chromosome:SL3.0:12:2087373:2089534:1 gene:Solyc12g008720.2 transcript:Solyc12g008720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKTKGRKEEVVSREYTINLHKRLHGCTFKKKAPTAIKEIRKFAQKAMGTKDVRVDVKLNKQIWSRGIRSVPRRIRVRIARKRNDDEDAKEELYSLVTVAEIPAEGLKGLGTKIIEDEE >Solyc03g046410.3.1 pep chromosome:SL3.0:3:12547700:12548145:-1 gene:Solyc03g046410.3 transcript:Solyc03g046410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQQIVTERDLPSLTDLLLQMDANLTHMRHHLDNLQNTLSACSQKFSNSQTRLDLTASLADKCDVVEQETISALNKLSLNNEDRHTMLEHPVTKSKLCIQGVR >Solyc09g013140.2.1.1 pep chromosome:SL3.0:9:5546805:5553101:-1 gene:Solyc09g013140.2 transcript:Solyc09g013140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRSMEEFWPYYMNQHSKPATRRWHFLGTLCSILCLAYTLLFNKWFVILVPILGYGLAWCSHFFVEGNVPATFGHPFWSLLCDFKMFGLMLTGQMDREIKRLGKRPVLQVY >Solyc06g009680.3.1 pep chromosome:SL3.0:6:3622296:3631073:-1 gene:Solyc06g009680.3 transcript:Solyc06g009680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYEDSSKIFVGVRFVLIGFDPHRKEQIQSKLVEGGGVDAGKYGPDCTHLIVDSIIYDDPICVSARRVGKIVVTSLWVAHSFDLGMPVDHHSVMYRPPRNLNGIPGAKSLIVCLTGYQRQDRDDIMTMVGLMGANFSKPLVANKVTHLICYKFEGEKYELAKKMKTIKLVNHQWLEDCLKSWEILSEAEYDKSGYELEMMEAEAKDSEDENEGIAANRSAETIAIMGPENPKSPNQFFVKQEASTNISGLNTSRGSSGLGNRKELSLSASKQSKSDQVPAFEESPNRHDEMLNSNFYRTKEEPPSSMQQNGSDLVSVSNSARKSPHSCLSREFPRKFSSPMTSEHMKSYSGSPAATEAGIGFDCFNLSSQKGLEKSELGVNTPKNLSFSGKGQSSKLPEKRNMGISDSSFNSPRAGNNFESIPDGYLTANRSEELINSSKLHSLSHKGSHLLSRNPAPHLDKGVALETMQHYDSTISNPATLSTGQGHDEDAPQSSTYMIVGSKETSHAAVDVSGPLDERHDVPSPVNERRDTLKSNVLAEFNKAGDDSVSGSKTLKKRSLSKKTLGSRQSLGKGDGRNQKGSLLINKTVLTNDSAASSSGGREETEHQNILSSTKGEVLPADNANSSKEIERNDFLNSEKESAKTNESLNDDTEAPEDQEDEELNVLREKSTGTEAQHSGLQSAEKKINANKVVNKNEREDIAKSLSTVSNEFETQKTSSGKVTKLSKSTSVGKASEEKVPEGPKCLKKKSKKTNLATKRAAVSVEGALSTESNEFETQKTSSGKETKLSKSTSVGKASEENVSEGPKCMKKNTKKTNLATKRAAASVEGAERKKCRTDRNKVEVKKGKGSPSETGKASVISTENQLKSSMDVEKENMPVNGLQNAIHNDHEADRSSPYDTKSRSLKVTEVQSEPRWFIVSGHRLQRKEFQQVIKRLKGKVCRDSHQWSYQATHFIVPAPVRRTEKFFAAASSGRFDQVDLEYRLFNCFC >Solyc09g090930.3.1 pep chromosome:SL3.0:9:70805490:70810669:1 gene:Solyc09g090930.3 transcript:Solyc09g090930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKEPSFCIYNTEDGVDEMKENQDLVRSVTIGDIVSDIGSSDFSFGKKGMGLIEEDENEDEEKRVFYEVNELGFEESEQVISSKYHGGVEFEPLDFDGNGDVEEYYKRVLKEDPCNPLFLRNYAQLLQSKGDLPGAEHYYFQATLADPKDGDTLSQYAKLVWELHQDKDRASDYFERAVRTAPENSHVLGAYASFLWDITDDESEDEMNTQSDKTKIEESGEATVSRNLDYEEADRPVSPPLHLAAGLGIGVNLVGGSSPVDYTAAVSDMDGNAEEEYMRMIKEYPHHSVLLRNYAQFLSQSKGDLLGAEEYYSHAILADPTDGETISQYAMLIWQLHQDKDKASTYFKRAVQASPQDSDVLAAYARFLWQTEEDEDGEEDETLGDHNGAPLLQGVA >Solyc03g111760.3.1 pep chromosome:SL3.0:3:63878123:63883757:-1 gene:Solyc03g111760.3 transcript:Solyc03g111760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNIVWRLITVLSLIKKQSMVSKELFPYLPNFNQMNRPRPGVKGKKIRLLTNYFRVCFQGGISQLYSYNVNILYENGDPVTGSNLIRKVIHKLWEIYSSELGEQVFASDGQQSLFTTSLLPQQKLDFTVVLDAATSKRWKTDGNLSGDGELSEGDQKRQKIISRFKTFRIQINFVSIIPFKTMPDGKHGVKSRNNEVLIALDTILQHSNAKRCILHTDPQKNSLLLRQSYFPNEMKNFMDLTGGILGCRGFHSSFQSVQGGLYFNLDTSTTTLIQPGPLVNFLMANQNVEIPFKIDWTKAKILKNLRIKLLHSNREHKITGLSNRTCKEEKFLLRLRGSNNQNDNGQTVEITVYEYFVRRCGIELGYSSNLPCINVGSQHKPQFIPVELCSLVPLQRFKKELSLHQRSLLVNNSSQKPIELMKHLNEELKANDYNTDPMLRACGISINKSYTEVEGRVLFPPQLRVGNKEYLASRFSRWSFNGKKFADPKSIEFWAVVNFSTGHDIRAFCIEMAKLGAMRGMHIHPPSFVFEENAKHKKKPGSVRVNKMFEQIIPKFRKDPPRFLLCFLPAKFSNLYGPWKKKCLMTFGIRNQCIAKNKVDETYLANVMLKINAKVWDTNRFFTYMLITHKNSKSLVVLQLGGLNSMLSAEVSQTIPLVSKVPTMILAMGLTHAPSSRSDLPSVAAVVGSRQWPMISYYRASTCIQPPKTETIHSLFRPVSDREDTGMIRELLMDFHASSGNRKPEQIIIFRQGLGESQFNHIFSEMEEIIKACKFLDETWSPKFTLIVAQRRHHTKLFQPNSSDNIPPGTVVDTKICHPLYNNNFYICAHAARVGTSRPIHYFVLLDEIGFSSDTVQELVHCLCYVSQRCTSAISEVAPIRYARLVSAQMLEIMKTEGPELPMLHKNVRDTMFFC >Solyc05g012350.3.1 pep chromosome:SL3.0:5:5613277:5622587:1 gene:Solyc05g012350.3 transcript:Solyc05g012350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKLRTGAGAGGALPPAFVLIGSNLKWSNSRRLTIWAGCEFPDFLPKQVEKIKDPYARNMASRIERIPVNLSKDRVMSSCVKPKEKKEANPVVLLHGFDSTCLEWRYTLPLLEEAGLETWAIDILGWGFSDLERLPSCDVASKRDHLYQLWSTYIKRPMVLVGPSLGSAVAIDFSVSYPEAVDRLVLINASVYAKGTGKLATLPKTVAYAGIGRLHCLLPWWEDATVNFMISGGYNVIDQIEHVKHKTLIILGEDDHIIDYKLGVRLHCELPNATLRQIPKCGHIPHVEKPEVVSRLIAEFVQSDQSQKAKPDSVSTISVPVMISRTCTSRYLAYPASPPSPQFRVLIYRQMSNPVV >Solyc05g016070.2.1 pep chromosome:SL3.0:5:14438843:14440944:-1 gene:Solyc05g016070.2 transcript:Solyc05g016070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNFENGPEMTDTRQGSIVPQLWQPASGTVITNDTKDVISYFASSKNDSYVMSASGGKISLFDMMTFKVKTKLKGQQKRINGLAFSNSLTVLISAGANSQVIEFDIDASRQIPYLKEKYIYCTLGEFVA >Solyc01g014840.3.1 pep chromosome:SL3.0:1:15504164:15510115:-1 gene:Solyc01g014840.3 transcript:Solyc01g014840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSICPQNWKNDVFLSFRGKDTRKTFVGHLNYALKQKGIHTFKDDERLERGKTISPELVKAIEESRFAIVVFSKNYASSTWCLDELVKIMECKKELGQTVIPIFYDVDPSHVTKQSETFAKSFAIHEENLKDDVEKVLCWRDAFRQAGKIAGYDLPNGYDGYESNCIQHVVEGILSKLCQVISTIENDLVGMESRVQEVSSLLRMETLDVRFIGIWGMGGIGKTTIARAVFGKYWGQFEGVCFLDNVVEMQKTHGLQYLQGVLLSKILKVSITIASVYEGMRIIKERLRSMKVLIILDDVIQKDQLEMLVGGRDWFGIGSRILITTTDKHLLHNHVVDEVYSVNLMTRDEAIELFSLHAFKQRIPDKDFEELLNQVVQTAGFLPLALKILGSSLCGLDKIVWKCTVDRLKDMPDDVLAKLKISFDGLSPSDQRLFLDIGCFYRGKLRSSVGEMLESCDIGSTIRVLIEKSLLFVSPYDTIEMHDLIQEMAWHIVRQGDTRRSRIWLPEDIEDLFTGNLEAEAVEGLSIPRDYVRKRDISCYNINETFRKMKRLRVLEVRATNFCSIDPITHLPSSIRWLDWEGCPLNSLPQSFEPSKLLRLDILESTTLQKLWSISKGLDKLKALYLSYCEKLEEVPSFDIMPNLERVKLEGCNSLREVSPSFGVLMKLTSMELIDCPSLKKIPSCVEMGSLKSLKLSCLPKLRELPETKGMHRLLTLELTNCQYLEKLPNFDQMESLETLKLSCLPKVTIFPPPDGMHCLQDIVIEYVPIVELPASIGNLRSLKQLRLSHCKDLVSIPNSFCCLKNLRALLIYNCKRLIYLPEKIGDLKLLKKLVVSGTSISQIPTSVTDLRELSFLSFSRWSGYRQGATFLFPPSSGVSLPLRVLKLKKNRLCSAEHFPDVGGLSSLAHLDLTRNDESTNQLFHYLDITFCEKLALPELPPFIKELYACDPLILKSIPDFLTKYSDLYSVSFTRHIENKGELTDLLLFVLRMISVASQCEKRPPLSLFFPGDIKWKWFTYYRKEQTKRFSTQLDPRWYESKFIKGFVLCFRVPSVIGQNQKCSNDKSQRGSSRRFGCTKVTAKLVQRYNRQEHDVLQKKCLIVARQTFCSHGSKYSICFNYIPFVACEKGKKLLNEYCFFEASLEPDIATKWGLLLVYEKKIQQIDQSTIAVQCDVDSPNSDLFRECNDDQGHRKEDVSVKRRRLDLRQVMKDSCSTSIVPDQQMETPSSSAAQSFQHRDESCSSGQPQTTQIPQADDPRVDEAIDEATSCVVVDQPDVFDVSPDEHKDNSMTTGSGCSVVSQQLETQCTSGKSQTLRLFPDHS >Solyc12g011060.2.1 pep chromosome:SL3.0:12:3931303:3933136:1 gene:Solyc12g011060.2 transcript:Solyc12g011060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGDWMCAACQHLNFKKRDACQRCSCPKYATTTDVYMYGLNKTEVLAGDWYCSAMNCGTHNYASRTSCYRCGALKNNYYGIGTGMTASTGYGYDASACPGWKSGDWICSRLGCGMHNYASRAECYKCKMPRDFGEPSPM >Solyc01g088600.3.1 pep chromosome:SL3.0:1:83237785:83243537:1 gene:Solyc01g088600.3 transcript:Solyc01g088600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMRTAMDAAFWDLNISTPRALDGTARSIPGEPIPLDRSTASKALRIQQLSLLGNGFPLGIIPSYSPTTRKELGSFALQSLLFKAATSNWWLGFTGQFRPKKLVSDIKAELSSVDEWELPILKDIGKHFLEKSLYAFGLCSQLSLTPSSSLLLSTEKHGEKKGRRLRAMLFHKLPEYDITLEAAWPELFLDHKGRYWEVPESISLDCLSLVSEDGLRYRFGLHKNGGHPRAVDNITDEPPLSLMQGICGKAAVSYEKSRDFWRIKEKKEDIIIETDKGRIYRPSYDIRLREPHAAVSGIIGGTLEAWLNNGSNSSSASKHRSPFAVDLFGSLCCTFQHGKFKESFGDLTRVDARLDVSSALALTKQVSKVFRKASSNNARDVLSSPRLELILQQQVAGPIVFRVDSKFSLNSPAGVQLEDFVCSLNYSLKLLKSGKVVAWYSPKRKEGMIELRLFEF >Solyc08g048560.2.1 pep chromosome:SL3.0:8:12187234:12189361:1 gene:Solyc08g048560.2 transcript:Solyc08g048560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AAGMIIKLGVSIRCPIEKVCHKLLPSEG >Solyc08g077410.3.1 pep chromosome:SL3.0:8:61394525:61396257:1 gene:Solyc08g077410.3 transcript:Solyc08g077410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQVDPHDKMRSRDVNKVAKGEQAPRPVHEFGTVSKPPPPSSTDHLFETEEKAKANAIADEIRRCYACFHSYLKCVEEKGNKDLECEKLGNAFKSSCPSEWIEKWHEERRGS >Solyc04g026320.1.1.1 pep chromosome:SL3.0:4:17096881:17097108:-1 gene:Solyc04g026320.1 transcript:Solyc04g026320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISTELEGFFKQQEVASTIQSNHIEHVSHLFSRNKWAISLQKCAHQYHMLQFRQDIFVSWGNNPPELDFLRNV >Solyc11g020990.2.1 pep chromosome:SL3.0:11:13187448:13190205:1 gene:Solyc11g020990.2 transcript:Solyc11g020990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFSWITSPAATELEMIALDWLGKALKLPDRFISTGEENTFPYSTKQIKVTLENMAIHKVALLLLLGIILLVSNVEHTNAKARTEQCDPRIAFGICPHLQTKRINQICINCCAGKKGCNYFSADGTFICEGESEYVSEVNDNLEKHCPRNCDPRIAYVICPRSEISNKIDLNGICTNCCAGKKRCNYFSADGTFICEGESKFVSEADNNHGKPCPRIAYVICPRSEISNEIDLNGICTNCCAGKKGCNYFSANGTFICEGESKFVSEADNNHGKPCPRNCDPRIAYAICPHSKISNKIDLSGICTNCCAGKKGCYYFSVDGTFICEGESDYVSKIKNDVGKACPFNCDPRITYGICPHSKISNKIDLNGICTNCCTGKKGCNYFSADGTSNCEGEFEYVSAGKNDLQKSKIFVS >Solyc07g006350.3.1 pep chromosome:SL3.0:7:1166576:1173513:-1 gene:Solyc07g006350.3 transcript:Solyc07g006350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERYPKTMRFLSLVGNSFGCSASGERLVSAARDGDIQEAKALLDYNPRLVRYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQACQYGHWEVVQILILFMANIHKADYLNGGTSLHLAALNGHSRCIRLLLADYIPSIPNFCNVMRKRSKNEDSIQEFDDFALHEVINRPADGGITALHMAALNGHVESLQLLLDLGASVTKVTVEDGTTIDLIGAGSTPLHYAACGGNAQCCQLLIARGASLNAENVNGWTSLMVARSWHRDGLEEILSTRPEKQPRPLPSPFLCLPLMSIVKIARECGWRTIDSPTSTCLDPCVVCLERKCTVAAEGCFHEFCTHCALYLCSTSNTSIAAHGPPGSIPCPLCRHGIVSFIKLADTTPIIKEAARTSLSLPFCSCTADGQEPTTLETPLCKPDLYCSRLSPLGSSFLSLSCQKFPALRFSPGLCMGTPDTSPSLVPRTGEREHLTRCSRPSFRRSTSNVEARRWLCSFSHSVETGSSF >Solyc06g065180.3.1 pep chromosome:SL3.0:6:40755616:40765369:1 gene:Solyc06g065180.3 transcript:Solyc06g065180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNNGLRSCASLISKTVKREFHSTGVKRMGGHGHDEPFYIHAKHMYNLDRMSNQKLKMTLGVWSAVAIGVIVPVYAVVFQQKKTASG >Solyc03g031920.3.1 pep chromosome:SL3.0:3:4403126:4410101:-1 gene:Solyc03g031920.3 transcript:Solyc03g031920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSNSVNRREIHTTNSTKRIQEEQEVQSVERIFESKQVSSWESQLTLRAFFVSLLLGILFTFIVMKLNLTTGIIPSLNVSAGLLGFFFVKTWTKFLDKSGVLKQPFTRQENTVIQTCVVATSGIAFSGGFGSYLFGMSEVIAKQSTEANAADNVKNPSLGWMITFLLVVSFLGLFSVVPLRKIMIIDFKLIYPSGTATAHLINSFHTPQGAKLAKKQVKTLGKFFTFSFLWGFFQWFFTGGDGCGFGSFPTLGLKAYENRFYFDFSATYVGVGMICPYLVNVSLLVGAILSWGIMWPLIEDRKGHWYPADQSPTSLHGIQGYRVFIAIAMILGDGLYNFIKVLGRTLYGIYCQFREKKAGLVLPVGAPRASPAEASLSYDDQIRTELFLKDQIPTWVAIVGYVSIAIISMITLPHIFHQLKWYYIVVIYIFTPALAFCNAYGTGLTDWSLASTYGKLAIFTIGAWAGASHGGVLAGLAACGVMMNVVTTASDLTQDFKTGYMTLASPRSMFISQMIGTAMGCVISPCVFWLFYKAFHDLGIPGSEYPAPYALVYRNMSILGVEGFSALPKNCLTLCYIFFIGAIAINALRDALGKNKAKYIPLPMAMAIPFYLGSYFAIDMCIGSLILFIWTKINKAKADAFGPAVASGLICGDGIWTLPSSILALSGVNPPICMKFLSRKDNIRVDKFMHPKAR >Solyc01g103530.3.1 pep chromosome:SL3.0:1:92000445:92005559:1 gene:Solyc01g103530.3 transcript:Solyc01g103530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLILVTLFTLVGTSLSSISTDVHALLSLKQGFDFSNSVLSSWDVSNPSSVCSWVGIKCLQDRVVSINLSNMELYGSVSPVISRLDKLVELSIDGNNFTGEIKIENMRSLKSLNISNNMFSGSLDWNYTSLANLEVLDAYNNNFSSFLPVGVVSLEKLKYLDLGGNYFYGRIPESYGDLIGLEYLQLAGNDLHGRIPRALGNLTNLKEIYLGYFNVFVGGIPKEFGKLENLVHMDISNCELDGPIPPELGNLKLLNTLFLHINLLSGQIPKELGNLTGLVNLDLSANALTGEIPFELINLQQLSLFNLFMNKLHGSIPDFIADYPDLKVLGLWMNNFTGIIPQKLGQNEKLQELDLSSNKLTGTIPKHLCASKQLRILILLKNFLFGSIPEDLGTCLSLVRLRLGQNYLNGSIPNGFIYMPELNLVELHNNYLSGNLSENSITSSKPAKLGQLNLSNNQLSGSLPFSLSNFSSLQILSLGGNQFSGPIPTSIGQLTQALKIDLSHNFLSGEIPPEIGNCVHLTYLDLSQNNFSGSIPPRVSEIRILNYLNLSRNHLNETIPKSIGTMRSLTTADFSFNDLSGKLPESGQFAYFNATSFAGNPQLCGSLLNNPCNFTLITDPPGKSHGDFKLIFALGLLICSLVFAAAAIIKAKSFKKTGADSWKMTAFQKVEFSVANVLECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGNNSHDHGFRAEIRTLGNIRHRNIVRLVAFCSNKETNLLVYEYMRNGSLGEALHGKKGGFLSWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSNFEAHVADFGLAKFLVDGGASECMSAVAGSYGYIAPEYAYTLRVDEKSDVYSFGVVLLELITGRRPVGEFGDGVDIVQWSKKVTNCKREQVTHIVDPRLTSVPQDEAMHLFFISMLCIQENSVERPTMREVIQMLSEFPRQSPEYHRPSSSKVVLQKLKSLENDQITCPKIRKENLV >Solyc01g013960.2.1.1 pep chromosome:SL3.0:1:10502223:10503204:-1 gene:Solyc01g013960.2 transcript:Solyc01g013960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELSVMDLSNNILSRIITITFSIGNQLGVINFTGKSKRGKFRNL >Solyc01g056380.1.1.1 pep chromosome:SL3.0:1:54070734:54070979:1 gene:Solyc01g056380.1 transcript:Solyc01g056380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFEELNRAVESVKRVDAHAHNIVALDSTLPFISCFSEFIGAKTASDSPDSVNFQVYCLSLSAISLLHNIFFHSHYYQVE >Solyc04g076590.2.1.1 pep chromosome:SL3.0:4:61580737:61580982:-1 gene:Solyc04g076590.2 transcript:Solyc04g076590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FITPSRVSLALGSTLTFSFSALSIVHSPNIVTETRKHSNPRVEKLQLCQHNSKSSTQNLVIITRHKNIFTFCMHSTQDIQI >Solyc05g048820.3.1 pep chromosome:SL3.0:5:60368101:60371042:1 gene:Solyc05g048820.3 transcript:Solyc05g048820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNNYKAVTTLPPQNTNPSTNKSSKGSAERDTALAQLSNDKKSAFVKAWEESEKSKVDNKAQKKLSKVAAWENKKKAHLDAKLKKLEVRLEQKKAEYAEKLKNKEALIHKEAEEKKAMVEAKRGEQILKTEDMAAKYRATGQNPKKLLGCVG >Solyc08g066635.1.1 pep chromosome:SL3.0:8:55460093:55461395:1 gene:Solyc08g066635.1 transcript:Solyc08g066635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQLNPSNVSGSSSLPSYSREKDLEELAKMICVMGLPFSFAENLEPTKDVLKWWRDRTKGFPKLVPMVRDILAMQASSVASEDVFSAARFQLGEHRHSLAADILEISVLFRDWINAERRNLGREPLPTKF >Solyc05g018560.1.1.1 pep chromosome:SL3.0:5:22242704:22242868:-1 gene:Solyc05g018560.1 transcript:Solyc05g018560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTSERIEKYNRRTLQFLPLVLKSGAHLCERVENKSDVEASSICVSNVTLSQM >Solyc11g072820.2.1 pep chromosome:SL3.0:11:56305063:56311180:-1 gene:Solyc11g072820.2 transcript:Solyc11g072820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSQSQLQRRGGGLVSMSPSHTPRSSDKVVRDLRSGEGNVNGKHDKEKGVNVQVILRCRPLNEDEIRLHTPVVISCNEGRREVSAIQNIANKQIDRTFAFDKVFGPTSQQKDLYDSAIWPIVFEVLEGYNCTIFAYGQTGTGKTYTMEGGGRKKNGEFPSDAGVIPRAVKQIFEILEAQNAEYSMKVTHLELYNEEITDLLAPEECIKYVDDKSKKPIALMEDGKGGVLVRGLEEEIVSTANEIYKILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSVHCLEETLSTLDYAHRAKNIKNKPEINQKMMKSALIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEADKKAMSEKIERMELDFESRDKQFMELKELYNSQQLLTAELGDKLEKTEKKLQETQHTLADLEEKHRQAITTIKEKEFLISNLLKSEKALVEQAFELRAELENAASDVSNLFAKIERKDKIEDGNKVLIQNFQSQLTQQLEVLHKAVASSTTQQEQQLKGMEEDMQSFVSTKTEAVEELRGHLENLKTMFGSGIKALDGLAGELDGNAQSTFDRLNCEVSKHSSALGELFKEIASEADTLVNDLQKSLHDQKEKLIAFALQQREAHCGSITMSRSISQITGNFFKTLDMHVSQLGEIVEEAQTVSDQKFSELEKKFEECAANEERQILEKVAELLAGSNARKKKLVQTAIDDLRESASNRTSRLKQEMSTMQDSTSSVKVKWTAYMEKAESHYLEDTAAVENGKKEMEEVLQNCVQKAKLGATQWTNAQRSLLDLEERNVAFVDEIVRGGMDANQALRVRFSSGVSSTLEDTDAASKHLLSSIDHSLQLDRDACANLDSTIVPCCGELRELNSGHYHKVVEITEYTGKSLSQEYMASVFYLKFYVDEPSCSTPTKRPFNLPSVESIEELKTPAFEELLNTFWDGKSSKLSNGDVNHSKEIEVDTSLLESRVSLTAVN >Solyc02g088930.3.1.1 pep chromosome:SL3.0:2:51488625:51493393:1 gene:Solyc02g088930.3 transcript:Solyc02g088930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGKSMIQTLKRFMKKPWEFTGPQTSPEYLDSIPKAAEYRIFCPATTQSQAIIPTSDPETVFDIKYYSRDQRRNRPPIKRTFLTKADVEKMRMEYKFDVNDFPKPYLTAKVEEDENAIGGGYQK >Solyc05g041730.1.1.1 pep chromosome:SL3.0:5:54345666:54345830:1 gene:Solyc05g041730.1 transcript:Solyc05g041730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSIVTSSSFAPAIHGSYAATPPPPESLAVKSGSVGTGESICSCAKMAGMVWG >Solyc09g075620.2.1 pep chromosome:SL3.0:9:67714717:67716794:-1 gene:Solyc09g075620.2 transcript:Solyc09g075620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHNVLRSTRSGFCIYDTRGFDYDRVDEALLELKEWMAPDGVHHKKLCSRQEDHLLLPMLNNELEDASSSMFIKRSVNCVMVVVNAYEIYKSLKLDDFKPLDALKQLYCSSSLNKSNGNPILILTHGDKLSTEDRIDCRLKICKHLGASETNGIYDIVCVTEYGLLADEYDPISAYSVTEAVYRSLLSSDRAQLVKKTFKDWALFALSCLMCFIATVFAFLAHIFNVLAQKHKGKLKW >Solyc01g103700.3.1 pep chromosome:SL3.0:1:92142267:92144237:1 gene:Solyc01g103700.3 transcript:Solyc01g103700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHSNVDSSRPFRSVKEAVAIFGERFLAKEIYSPKPFTFPTQESPWNTNNYSPSSANSQEIVTSWKSGSSPSPQELNDPIVVETLKKLETELEETKAELKLMKARESETEIALASLNAELHKNMSKLAQAEAAHAGAMAAAAKSLKMNNEDKKKERRSVTWESSSPTLAEMLTIGETDVGLIGKKKEKKKKPIIPLVADLFSRKKRSSTSVDNPLFASSHLF >Solyc05g051630.3.1 pep chromosome:SL3.0:5:62848730:62863365:1 gene:Solyc05g051630.3 transcript:Solyc05g051630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSEEGDRPANLSHTFKYLLATQFLSRGIPFIFNSWIVRHLTEEDYALYAVQFHLFITCVLFLSREGFRRACMRADIYSGGSSGRGNAAKLLKVAWMTLPLGIIITFAGCIFVLWWQELSYFSQFAQAIWINGFACILELLAEPFYILSQNLLLLKLRLVVESVATLSRCITTYILIVNLPGMEKAIAFALSQVAFGACVFFGYWGYFLLFHVYSCSDLFPFSVGSGGIDEQLSKMCMLFTFQSFRKLILQEGEKMVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGLVVMAFGPSYSYSLIRLLYGRKWSDGEASTALRYYCLYVILLAMNGTSEAFLHAVANEIQLKRSNDSLLVFSLIYLVLNVSLIHSAGAIGLILANSLRSLTQQYSSGTISRIHPHFPFADVCLPVGPFYFFLE >Solyc07g055695.1.1 pep chromosome:SL3.0:7:63764999:63772642:-1 gene:Solyc07g055695.1 transcript:Solyc07g055695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNLSNASLVFISVTFATMCKSAAPIFLLLFAFAFRLESPSLKLLGIMLIISIGVLLTVAKETEFEFWGFIFVMLAAVMSGFRWTMTQILLQKEIYGLKNPLTLMSYVTPVMALSTAMLSLIFDPWQEFGSSSYFDSSWHITRSCLLMLFGGTLAFFMVLTEYILVSVTSAVTVTIAGVVKEAVTIVVAVFYFHDKFTWMKGVGLITIMFGVSLFNLYKYDKLQKGNPNEDEISRSSMQNEAAKYVILEELEDQDDGP >Solyc11g012850.2.1 pep chromosome:SL3.0:11:5640474:5648520:-1 gene:Solyc11g012850.2 transcript:Solyc11g012850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAIATATAISLSLPFSLCRSNKSCTRKFVKGSFGVFAVYGEEGGIPDKKSSWLTLFNVEDPRTKVPQSKGKFLDANQALEVARYDLQYCDWRARQDVLTIMLLHEKVVEVLNPLARDYKSIGTMKKELAELQEELSQAHNQVHISEARVSAALDKLAYMEELVNDRLLQERSTVESECTSSSASTSTGLLDTPKSKQPRRTLNVSGPVQDYSSRLKNFWYPVAFSADLKNDTMLPIDCFEQSWVIFRGADGKPGCVRNTCAHRACPLDLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRLLNVKIKALPCFEQEGMIWIWPGNDPPAATLPSLLPPSGFQIHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTKQCSTHLHQLHVCLPASRQKTRLLYRMSLDFAPLLKHIPFMQYVWRHFAEQVLNEDLRLVLGQQDRMLNGANIWNLPVSYDKLGVRYRIWRDAVDSGEKELPFSK >Solyc02g085920.3.1 pep chromosome:SL3.0:2:49331651:49335846:-1 gene:Solyc02g085920.3 transcript:Solyc02g085920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSVITPEDVMGTLMNDGTIDSMRLKIITQLKANEELKNTTIKMVEQSRVLNTPGAERQTKRELFDALRQELETSVLEKASKSVWELILDNKGIGKEISETVEQVFCRLSGREAPLFVSDFGPQPDKGKEKKSGQKEENSETGKNNSESAAKKRKMNTEEEFDEAVCKSSEKSPSSDDSGKMLPPSVRSQSTS >Solyc05g052355.1.1 pep chromosome:SL3.0:5:63462175:63466488:-1 gene:Solyc05g052355.1 transcript:Solyc05g052355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPQFLERAPLGQLLSPSPTVKNRFSSISLGTSTLLGLLNFVVKAVAVAVSLYAGRDGKTGLVLTQLEEQEDVLYSRMAVVVI >Solyc06g063085.1.1 pep chromosome:SL3.0:6:39958057:39959931:-1 gene:Solyc06g063085.1 transcript:Solyc06g063085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTKLKEECTKTHHEVKSKQRDVVSSFSHQNYLKDPNFLKSRKIKSIIFLMNHIRDHYSCNALYYSNYFLKCCFFCHKPLDLRKEVYMYRGELGFCSVECRNRQIYLDELKKIETFTKKILASLIRRRRQVGRSSLITAPSEDYRRCDAKNQVTFIFS >Solyc10g050160.2.1 pep chromosome:SL3.0:10:47959265:47962818:-1 gene:Solyc10g050160.2 transcript:Solyc10g050160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKNGEVQEIKHQEVGHKSLLQSDALYQYILETSVYPREPESMKELREVTAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVYTGYSLLATALAIPEDGKILAMDINRENYEIGLPIIEKAGVSHKIDFREGPALPLLDQLLEDKNNHGTYDFIFVDADKDNYINYHKRIIELVKVGGVIGYDNTLWNGSVAAPADAPMRKYVRYYRDFVLELNKALAEDQRVEICMLPIGDGITLCRRII >Solyc00g007270.3.1 pep chromosome:SL3.0:2:32488066:32491723:1 gene:Solyc00g007270.3 transcript:Solyc00g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRLQFENSCEIGVFSKLTNAYCLVAIGGSENFYSTFESELSGIIPVIKTSIGGTRIIGRLCAGNKNGLLLPHTTTDQELQHLRNSLPDGVVVQRIDERLSALGNCIACNDHVALTHTDLDKETEEMIADVLGVEVFRQTIAGNILVGSYCAISNTGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIGAGLTVNDWTAFTGSDTTATELSVIESVFKLREAQPTAIVDEMRKSLIDSYV >Solyc01g099370.3.1.1 pep chromosome:SL3.0:1:89536647:89537483:1 gene:Solyc01g099370.3 transcript:Solyc01g099370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPLDIKVISADGIKYVNTFSKMDVYTEVYISSYASKASKQKTFVDKNSGSNPKWNHSMKFTLDESFLTKSGTYLVFRLKSDRTLGDKDIGEVSVPVHDLFNSNGTVERLVEYPVITESGKPKGTLKFSYKFGEKFTAPEPKRDVHHEPVTAYPAPMHSGMAYNQQNSGYGYPPPPHHQRGYAYPPQAGAPGYGYPPPQPGYGYPPPQPGYGYPPVQPPPPKRKNKMGGGLGLGLGAGLLGGLLVGDMVSDVGEMSAYGDGYGDAMDDMGGGDFDF >Solyc10g007020.1.1 pep chromosome:SL3.0:10:1416199:1416633:-1 gene:Solyc10g007020.1 transcript:Solyc10g007020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSLLSHTKILIYRISKNYQISNEKNKNKNLGKGHIGKPKISLKGICQQIYIAVCNQPNAGEVRRSKKDIHRRLQSTERQRRTTEQKRYAAYLHTISFEIF >Solyc12g007150.2.1 pep chromosome:SL3.0:12:1569709:1591328:1 gene:Solyc12g007150.2 transcript:Solyc12g007150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREIYKISKSRSFNIRKIFEGRHGHTHHHVVLENNGHGKDMKFKSQLGAKSSSTLELQQLSSIFNKSVDHVTRFAKEGERSRNIHKDKQSSEMEKMKEKFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRKLEPMSEERKSRWKKEINWLLSVSDYIVEFVPSQQKSKEGTNIEVMVTQQRRDLFMNIPALKKLDAMLIVSTFIALLLCHYLISSYVALALLPSSVLDPPKAMHIWLWTKLNKERLLDTYRDCLENFKDESEFWYVSKDADESEKGVQRDDKWWLPTIKVPPEGLSDTCRKWLQYQKDCVNQVHRASMAINAQILSEMEIPENYIESLPKNGRSSLGDSIYKSITIDFFEPEQFLSTMDLSTEHKVLDLKDRIEASIVIWQRKLHLKDGKSTWGLAVSAEKRELFEERAEAILLLLKQKFPGIPQSSLDISKIEYNNDIGHSVLESYSRVLESLANTVMSRIEDVLYADSLTQDPALAIEKLNLSSADSSPQFPLSGISSPRELEAEVLSSVAQTPAAETPTLSDFIGWSEDLGDTGVKKNRSTGNLEAYFKGENDYNCIGKLASITPKKLSYIEKIGSGLRSPTSRH >Solyc02g064940.1.1.1 pep chromosome:SL3.0:2:36614187:36615581:1 gene:Solyc02g064940.1 transcript:Solyc02g064940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLVVLIFFISSFFHVNALTSSVKNGREALQVIIGGGGDVAPAYPPQETLCPPPPPPEPLCPTPIPPPPPKPNPPKPPPPPKKPNPPKPTPNDLLTSAISVIQRFKKTITSDPSGITKTWVGKDICKDSTKYVGFICNKNKVVAVNFNGRNFKGNPLSLKDFINGIPTLEIFHSNSNNFTGEIPNNISTQSIPNLFELDLSNNKYVGLFPRAVLGATRLTYLDLRFNQIEGPVDPQVFTLDLDILFLNNNQFSGKIPENFGRTAALYLTLANNKFIGEIPKSIGYAKGNLLEVLFFNNQLTGCLPYEIGMLKLASVFDASKNKLTGPIPQSFGCLVQMEVMDLSYNQLYGEVPETLCKISSLEELTLKYNYFTQIGPECRKLVEENVLNIGMNCIIDLENQRKPEECEAFFLQKRNCRDMKSLSYIPCKLHEFSSRNSSARRAHTGDAKARSTTYAAVKKPHL >Solyc01g097395.1.1 pep chromosome:SL3.0:1:88149047:88150534:-1 gene:Solyc01g097395.1 transcript:Solyc01g097395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDSLDGAAPSRTRCDRLQPMHKILHKWCRRHTIRTQLVQSNEETGVKEGSKEEEEET >Solyc09g082790.3.1 pep chromosome:SL3.0:9:68884435:68888164:-1 gene:Solyc09g082790.3 transcript:Solyc09g082790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFKPEDQLQLVEREEIDDEEDLFEAIDKLITHGINAGDVKKLQDAGIYTCNGLMMHTKKNLTGIKGLSEAKVEKICEAAEKIVNFGYITGSDALLKRKAVVRITTGSQALDELLGGGIETSAITEAFGEFRSGKTQLAHTLCVSTQLPTSMKGGNGKVAYIDTEGTFRPDRVVPIAERFGMDAGAVLDNIIYARAYTYEHQYNLLLGLAAKMAEEPFRLLIVDSVIALFRVDFTGRGELADRQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGVFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLP >Solyc11g071890.2.1 pep chromosome:SL3.0:11:55512631:55521724:-1 gene:Solyc11g071890.2 transcript:Solyc11g071890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAQKSVRAGKAKIDVNVDFTHKLCAALMLQPFRNSGSPLELVIGSLCIKHPNLFGKSEKLDVLWDKGLYDSNILITYRKPRPEWLAQQSFVVQHSISPEIGVHGLPDDNFSRSGSGGVNLSRLSAGLDLSEPASSNWSSKTSIKFEHIRPVSDEGRSINRDTHGFPVTCSGGYNDSMVVVKQESRYAKANDRSFSQFSLQIEQGVPILSKWLIFNRFKFVASRGLKLGPAFLLSSLTGGSIVGDIAPYQAFAIGGLGSVRGYGEGAVGCGRSCLVANNELTFPLSQVLDGAVFLDCGSDLGSGRHVPGNPALRLGKPGNGIGFGYGLRFKSQLGHFQVDYAVNAFQQRTVYFGFSNLPS >Solyc03g116430.2.1 pep chromosome:SL3.0:3:67354407:67354892:-1 gene:Solyc03g116430.2 transcript:Solyc03g116430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YGSLSLCLAHAKRRKGEERGLLARFLGRIIVAAGLGTRLGEEEGKGVGSERVTRRMGLRIEVGLTRGKGNGPLILWAWGGWKGEGGKLEWAGRLEKGDWAWALGWKRGREMGWAENKQKGNGLEEGKP >Solyc01g068290.3.1 pep chromosome:SL3.0:1:77244054:77256556:-1 gene:Solyc01g068290.3 transcript:Solyc01g068290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVLSLNWTRFASQVPRSFSSPFLRKHSQFIRLYRSFQFSGSLCTLYSSSRRPFTVVASSSPSNGSSSYAEVGDERYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGDIGKIADRCYLQKRVLNISRGPAVWALRAQTDKREYALEMKKIVESTPNLFIREAMVTDILLGKNDNVEGVCTFFGMNFYAPSVILTTGTFMSGKIWIGRTSMPAGRAGESASVGLTENLQRLGFETDRLKTGTPARVDSRTVDFSGLEPQYGDEEVSWFSFDANYHIEREQMCCYLTRTTKSTHQLIRDNLHETPTYGGWVEAKGPRYCPSIEDKIVRFQDKESHQIFLEPEGRSVPELYVQGFSTGLPERLQLPLLRTLPGLENCTMLRPAYAVEYDYLPAYQCSRSLMTKKIEGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKQLIVLERESSFIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREICLIDDRRWMMYQEKQARIMEEKKRLKAVRISGGELAAEVTLLSAQPVRDSSTLESLLKKPHVQYGVFDKHGFGNCTLSRMEKQCVEIDIKYEGFIVRQQSQLQQMVHQQHKPIPEDIDYYAMTTLSLEAREKLSKVRPQTIGQASRVGGVNPADITALLIILESNRRKAKEQSREEAVLS >Solyc02g032230.1.1 pep chromosome:SL3.0:2:28504702:28505594:-1 gene:Solyc02g032230.1 transcript:Solyc02g032230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSVLSPRKSPGILLTTVSHTLVTLSLTGCGLSSDLIPEELGDFSMLRNLFLSENPIHSLPESIKRLTNLRKLDLENCEQLEYLPEIPASVKILSTWQCRSLQRMHNLPNLLTTMNFLGLSCSKLIEVQEMSQLICISSFHADLISVLGLQNLSDMKVDLYNSLTLTRWKGSIQGLSEFGIFSTSFHGSELPYWLSYNSTGSSSIRFDVPNHDIQGLNLLSMRMPEHPIVQGETNFEMNFMLE >Solyc02g077160.3.1 pep chromosome:SL3.0:2:42750301:42757042:-1 gene:Solyc02g077160.3 transcript:Solyc02g077160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINKGWEVVSRKISNCLKRKRGIENGENNIAERWEILSGKNNWEGLLDPLDYDLRRYLIHYGQMPQAIYDSFNNEKVSKYRGTSRYSKKNLFTRVGLHRNKYEITKYFYGASSKTEKVKVSNWIGFVAVATDEGKVALGRRDILIVWRGTITVSEWNDDFESSMVQPIEIFRENTDNILVHKGFYSIYTSLNHASNFNRTTSARDQVLEEVKRLMDQYKKEEVSISVTGHSLGSSLATLCAIDIAVSQVNKGFPVTAFLFASPRVGEINFKKACENLKNLHILRITNASDLITKLPDRGQVEGCETDWRVYEDVGFELSIDTTKSDYLKKEINGHILEVYLHGIAGTHGFEGEFKLEMNRDIALMNKADDVLKDEYGVPASWWIEKNKGMMQNGNKNIAERWRVLSGNNNWEGLLDPLDNDLRRYLIHYGEMVQAIRDAFNNDETSKYAGCSRYSKKNLFSKVGIEISNPFKYEVTKYVYATSSIQVPEAFIIKSLSGEAWSKESNWIGFVAVATDEGEVALGRRDIVIAWRSTVVPMEWFNDFEFIRVSAPTIFGENSDPKVHHGWYSMYTSNDPRSLFNKASARDQVLGEVERLMEQYKTEEVSITVTGHSMGASIATLNAVDMVFNGINKGFPVTAFLFASPRVGDENFNKTFSELENQLRALRVRNIPDIIPHYPFIGYSDVGVELIMDTRKSDYLKSGGDYWTWHNLECYLHGVAGTQGSKEGFKLEVERDISLVNKHMDTLKDEYGVPVSWWVVENKGMKKGIENRDNSIAERWEILSGKNNWEGLLDPLDYDLRRYLIHYGQMPQAICDSFNNEKVSKYRGTSRYSKKNLFTRVGLDKNPYEITKYVYAASSKTEETKESNWIGFVAVATDEGKVALGRRDILIAWRGTKTKSEMNEDDKWSLVQPSKIFGENRDNILVHKGFYSVYTCLNEASNFNRTTSARDQVLEEIKRLLKQYSKEEISISVTGQSMGSSLGTLCAIDIVVNEINKEFPVTAFLFSSPRVGEANFKKAYRNLKNLHILRITNVPDPIPKLMERGQVEGCAITEWRAYEDVGFELVIDTTKSEYLKKDIFSHFLEVYLHGIAGTHGVEGEFKLEINRDIALVNKQGDFLKEEYGVPSAWWIEKNKGMVQQEDGSWILIDHET >Solyc07g063030.2.1.1 pep chromosome:SL3.0:7:65761434:65761792:1 gene:Solyc07g063030.2 transcript:Solyc07g063030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAESSFSSSSSFFNDPVVNSLGHNTGGGLDEWMTTGRIGDTLFADEEMMMPTESARRALNNQDHISYRAMSKNAIPCDRHGASYYQCTRMQKIRPYRRGCSKITRCQRR >Solyc11g072760.1.1.1 pep chromosome:SL3.0:11:56257106:56258026:-1 gene:Solyc11g072760.1 transcript:Solyc11g072760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFKFCISLLVLQTLLTISRITATVSPSCPVVFREYIGALGKNVTFSDVPINPNVEFHFLLSFAIDYTNTISPEPTNGDFLIYWDTDNLTPSHISSIKAKHKNVRVGMSLGGDTVNGKNATFAPTSITSWVRNAIYSITKIAKEYNLDAIDIDYEHFNVDPDTFAECIGRLLYFLKQNNVISYTSIAPYADDSVQVHYLALWRKYGHLIDYINFQFYAYVKGTTIPQFLQYFETQRCNYKGGKILVSFGTNNIGGLSPEHGFFDACTILRSQGKLHGIFIWSADDSMKDHFRYEKLSQNLLASAT >Solyc12g055920.2.1 pep chromosome:SL3.0:12:62867022:62869333:1 gene:Solyc12g055920.2 transcript:Solyc12g055920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALKGCFRSLTSRRPPGFENHDLLASETSFSVNEVDALYVLYEKLSSSIIDDGLIHKEEFLLALFNCSLKQNLFAQRLFDLFDIKHNGVIEFGEFVRSLSIFHPRTPQADKIEFAFKLYDLRHTGFIERDELKEMVFAILKESKLILPDDAIEAIVDKTFVEADTKGDGRIDLEEWKELVIRYPSIIKNMTLPFLKEITQMFPSFVLTTEAQDSQLVFE >Solyc12g096240.2.1 pep chromosome:SL3.0:12:66234043:66237458:1 gene:Solyc12g096240.2 transcript:Solyc12g096240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DERKREVISLGIGDPTAHSCFHTTNEAKEAVVESLLIDKFNGYSPSAGLPTTRKAIASYLSRDLCYELSQEDVYITVGCTQAIEMALSFLAKPNSNILLPRPGFPTYALCASFRNIEVRYYDLLPQNNWQLNLEAIEDLVDQNTIAIVIINPGNPCGNVYSYDHLKKIAETAKKVKTLIIADEVYGHLAFGETPFTPMGLFSSITPILTLGSLSKRWLIPGWRLGWFVINDPNCIFKSPKIIERINNYCDLCASPTTFIQAAVPKIIENTKEDFFKNTLKMLKKNSDICYEKILEIPCLNCPYKPQGSMVVMVNLNIILLQNIIDDMDFCFKLAKEEYVILLPG >Solyc05g005640.3.1 pep chromosome:SL3.0:5:467347:475142:-1 gene:Solyc05g005640.3 transcript:Solyc05g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSPVIREATKQIFGSLNSGNIFVSVDEKESDAKGASAENGQVPEWAKALEPVRKLPTNVGARIRKCVNMALEKDPPEWARKALMHSISKEVYKGNASGPTKRAVISLLAEVNRGTTSPKPEKEEKVKSPSTVSDLIMKQCRIILRRAVKEDKNKVFCNLSGRTVLSPNDNDNEGLLGHPAMVSRPLDFRTIDVKLAAGSYGGSHESFIDEVWHNIRTAYCNKSNLLELAGSLLQKFEEDYEKEVLPLVQIIECSNDSNLSSEVAKDRDGLLAHVNESSLPKAPWEEGLCKVCSMDKDDVNVLLCDKCDSEYHTYCLDPPLVKVPIGPWYCPDCEAKISRSQNASSGSHTIRQCVKRRLRRKLTHKFMEKLSQLTRTMELKEYWEIPLEDRIFLLKFLCGEMLSSAILRDHIDRSASLSAELQQKLRSLGAELKLLKHKKEILTAKLKNDARSSGDAGSDTSLWSNDCKLKVQGPDSGSHNSSISGGCRQLDDGTQHNKCNDFNKQSCLYTSKIIQDKTCASGTNHIRNSPDPINHLQHQQLLKENARSLNTSSHAKCGTEETNLQNDLFMSTTVQQETDQIPGNRLESAQSSSKSIMLFATHIVSATTCLGSVSNPLEEALLFEMSAIKKEIRALEDSIAAKELDLQEVSVRKEYMGQDSEGRLYWTFGRSTSSRLVAYASTSTQPESSGHLWSYGVESSRRSGVLDSSAPWENMGLPNLEQWTSYQSDVDTEILIRWLKEHDPRERELKESILQWRDTRKMIYYYLESHGHDTVGLNTSIPSEDSGSCFNSDSLVTRAVTAIKKMVSGCSTEEETGICTNLGVKVRVSFDGELYRCECLEPLWPSRPHCLSCHQTFSDAKERQKHANEKCRIDSSIQRDGETSEQPVKCKRKANNEILQDNSLSTIDCRRDKHGNAPASAENQTKQECPFKLEEIKAQFITQSSLKELVNEIGLIGCNGTPSFVPGTSPYLCDSALGLLSQREDEVCGGNSTDLLSSEHQLRNGVKFSCINNSDKPNCTGNGLAGAGPVFGRLKSATKRGRDKFSSTKDKILEFGVNMYFVIPESSLHPVAGRASVILRCLKINLLDIDAALPEEALRVSRLQPERRRVWRAFVKSAATIYEMVQATIILEDAIKTEYLKNDWWYWSSPSAAARNSTLSALALRVYALDSAILYDKLSSQDASETDCKEEREPPRNSVPTNTASPSKKKPLDPEPAESQWLKFFKHKGRFATSYPINFREQTLHQLYLLFGESKDAVLLYDLVEVADFVPAYGLSF >Solyc06g074750.1.1.1 pep chromosome:SL3.0:6:46441261:46442112:1 gene:Solyc06g074750.1 transcript:Solyc06g074750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKRGGRVRATVVTARKVVEETVSVVVTPVAGETETESQTLAEENQSFEILTPAPSEEPTPKRTINVQDISEGKKAPRRKPDPAQQVDEDETQPADEPEEMPSPPKKESGQKKTQKRKPEPAQRGDEDETQPSEEPEEMPTPPKMEADQRKAQKRKPDPAQKAKGGGERKKKRAKVGGGVGPSEGYRRYVFRVMKQVHPDMGISSKAMTVLNNLMGDMFERIANEAAILTKYVGRTTLASVDIQDAVKLVLPGELGKHAIAEGTNAVANYVTNVEKSKSKP >Solyc07g053310.3.1 pep chromosome:SL3.0:7:61905988:61911764:1 gene:Solyc07g053310.3 transcript:Solyc07g053310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKLTEGTSEIEEPIEVPLFQVPECYVYLIPPRKSAASYRADEWNVNKWAWEGILKVLSKGEECIIKLEDKETGELYARAFLRDGEPHPVEAVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERPEAYDFQAALHDHQKYLNKKKTAEEMEQQYQKSSSVDYSLKEGETLKLQIKNKPGGTIRSKFFEQGLNNLSLEEKANRKDSTILLKPPPPPPAPLSPVAADARSPPVSPSKLSLEQSAETKDSATAKEEPDTSESAKEQGPQDVADDDFGDFQAAG >Solyc12g056840.2.1 pep chromosome:SL3.0:12:63861408:63869588:1 gene:Solyc12g056840.2 transcript:Solyc12g056840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKTDHAPETTTLKIKAFFESAPPLKDATEIETKLKEFVDRNSSSGNGKANRVVCVTSGGTTVPLEKRCVRYIDNFSSGHRGSASTEYFLKAGYSVIFLYRRGTCQPFCRSLPDDPLLECFTSTDDLSIQVDPSHAEVVKRAIAENRSAVNGGFLLKLPFTTIFEYLQILRMIAVSLRSLGPSAMFYLAAAVSDFYVPWESMTMHKIQSGSGPLDMRLAQVPKMLYVLRNEWAPVAFCISFKLETDTDILLGKADMALKKYKMHMVVANELQTRKEEVIVVTEQEKITVRRDTTQPGADVEDPLIKLVVDRHSAYINA >Solyc02g071720.3.1 pep chromosome:SL3.0:2:41650883:41660987:1 gene:Solyc02g071720.3 transcript:Solyc02g071720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGMKIWFVLLTTIFVINFRPFLVMVKGEPQVPCLFIFGDSLFDNGNNNDLLTTAKANYPPYGIDFPDGPTGRFTNGKNSADFLAELLGFNKFIEPFATVKGVDIVRGVNYASGAAGIRDESGIHLGDRISLNRQLRNHKVTISHMSTLLGNKTLTMDYLSKCIYIVGMGNNDYINNYLMPQFYPSSHLYNPEKFATILMQQYSKQLKTLYHYGARKVAVFGVGSLGCIPAELAMYGTKDTICVDSINSAVQKFADKFKPMIDDFNNNLPSANFIYINLTSIAIGDPAQIGLTNLAEPCCEISSVIAKGQCLKGGGACSDRASHYFWDGFHPTEIPNKVTAIRAYTALLPTDAYPFIVWFVRMTALLICMSSKPWFVKGEQQVPCLFVLGDSLFDNGNNNNLLTTAKANYPPYGIDFPDGPTGRFTNGRNVADFLAELLGFDKYIEPFATVKGVDMFKGVNYASGAAGILDETAIHMGDRISLNRQLHNHNETISHMSTLLGNKTLTMEYLSKCIYIVQMGNNDYINNYLLPQLYLSSHMYNPEQFASILIQQYSEQLKTLYLYGARKVVVFGLGGIGCVPSELDMYGTGDSVCINSIDSAVRKFSDKLKPMIDDLNSNLPNAKFIYINSSSIEVPDPSSIGITKLSEPCCEISGTIGEGLCKHGGGACSDRAAHYFWDGFHPSEAPNKVTAERAYTALQSTHVYPFDISQLAQL >Solyc03g013020.1.1.1 pep chromosome:SL3.0:3:49265067:49265270:-1 gene:Solyc03g013020.1 transcript:Solyc03g013020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSEPSELFNISTLLCQADRNRNPSPLGQTPGLAQMDNILILDGCMSFIQKSFVSPLIYVCHLSSVW >Solyc01g109950.3.1.1 pep chromosome:SL3.0:1:96688205:96690826:1 gene:Solyc01g109950.3 transcript:Solyc01g109950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGSPSPPSSTIMANILVAFVCLIFIGPSSVIPVSAQSDSTAPAKSFPASTPSDNYLINCGSTSPTTLPGNRAFQPDETTAKYLAYSGRDLHISAPDNKNVPSPMYLSAKIFDSEATYTFHVTSPGLHWIRLYFYPLQNNEFNLQTAKFSVSVDKLVLLRDYQMEKNEPVMKEFLVNVTTERYAIKFAPSKGSIAFVNAMEFVTAPGKLLDYSVPLLFPVSQKFDLSTSNFETTYRLNVGGAYLDATNDTLGRSWLSDEPFRNSATGQAVTVQPSVIQYPTAGGSPLIAPPTVYSSAVKMADSETTIPNFNISWTMDIDTAYTYLIRLHFCDIISKSLNELYFNVYINDKMAISGLDLSSLTQRLATAFYKDFVIDASSATNPLSVKVSPVNDVQGFKNAILNGLEVFRMNNSMGSLDGQYGVDGTKSSGPSKTVAYVGFAMMFGAFVGLGAIVFKWQKRPQDWQKRNSFSSWLLPLHAGDTSFMAGSKASLSRKSQFFSSNMGLGRYFSFAELSDATNNWESTAIIGVGGFGNVYYGEIDDGTKVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDENAEMILVYEFMQNGPFRDHLYGKNFPPLTWKQRLEICIGSARGLHYLHTGASTAIIHRDVKTTNILLDENLVAKMADFGLSKDCLANETHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEALCARPAINPALPREQVNLAEWGMQWKKKGLLDKIIDPTLVGQINPESMKKFGEAAEKCLSEYGADRPTMGDVLWNLEYALQLQEASLQGKTEEENKASPSPVSPAIVAPTPAPVPAPSTPDNRPVSTPEQTTNPAELQTIDDHSGTKMFDQFGALNGR >Solyc05g006000.2.1 pep chromosome:SL3.0:5:742227:744387:1 gene:Solyc05g006000.2 transcript:Solyc05g006000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTEQEEEFLLNHSQNSTKGGLKTIPFIIVNESCERLASFGLQPNMIIYITKFYNINAAHASVLINLWSAVSNVLSILGAFISDSYIGRFRAVAIGTISSLIGMLVLWLTTIFPQLRPLPCGQYQHDCNGTTAAQLAAILCSFGLISIGAGFVRPCSIALGADQLGNKENLDNERLLDSYFSWFYASVGVSIVLAVTVIVYIQDHFGWQVGFGVPALLMVLSVSVFLVGSPHYIKAKPEGSLFTGLFQVAVAAFRKRHINVQLNYNDDSYYKAPESKLLEPSTDFRCLNRACIIEDPNMELKPDGKASDPWSLCSVEQVKIMKCFLTVLPMWSTCIMLLVSFSQPLSIYQLLTVDRHITPRFEIPAGSFGMITVLSLTIWMAFYDRALVPLLSRYTGLPTGISPFSRMGIGLFLGIVATVLSAITETIRRNKAMNAGFEDDPNAVLNMSSMWFVPQLALYGVAEALNVVGQIEFIYSLFPKTMSSFAAALYTFGLALSNLISSLLVSVVDNITSARCNTSWLATNINRGHLDYYCWLMTFLCVINFLYFLAVCRFTDKQKHDGRSSLFPEAEEEHSENKFFHGV >Solyc08g076885.1.1 pep chromosome:SL3.0:8:60939575:60949351:1 gene:Solyc08g076885.1 transcript:Solyc08g076885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGIPLKGREGGMACEALEGICSAARTLIGLDVGVGAKVGVYAGGGGGGSGGGGGGAVAGEHGGYAGGSGSGEGGGSGYGAGGAPGGGYASGGGGGSGGGSGGAAAGGEHGAGEGGGSGGGYGAGGAPSGGYGGGGGHGGGGGGGSASGGASGGGYGGGEGAGGGAGGAHGGAGGYGGGGGSGGGAGGGGATAGGAHGSGEGGGSGGGYGAGGAQSGGGGHGGGGGGGSASSGGAGGASGGGYGGGEGAGGVSVTICYASSRALLNLEGGPGYNVGGNIGYGAGHGGGSGGGYGGGGSGGYGGGGGGGSGGGGGGYEGVVNHGGYGGGGGEGGGSGGGSGGYAPGGGYGGGGGGGHGGGAGYGGGGDHDIGYGGGGGTGSGGGYGGGAEHGGGYGGGGGGGTGSGGGYGGGVEHGGGTGSGGGYGGGVEHGGAYGGGGGGGSGSGGGYGGGAYGGGGGGGSGSGGGYGAGGVPGGGYGGGEGGGSGGGYGGAGGLPGSGYGGGGGHGGGSGGGYGSGEAGGGGYGGGGGAGGGAGGAYGGGHAGGGGGGGGSGGGGGHGGYIP >Solyc03g005650.1.1 pep chromosome:SL3.0:3:465280:467978:-1 gene:Solyc03g005650.1 transcript:Solyc03g005650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLAATMKLEQLALAKETQLIGSKAYEEIMETVHCIKNLETTDREQVNEIEEHVYRVENAIQTYGVRSKSNLFLNRHGAAQKKAMTDITSLCRVMKGIALLQKSNNNQQLMRQNNKFVYNHEDGAVVVGMEDEKEEIIQVLLLNSQVSSEVDFQAVPIWGRSGMGKATLVNEIYNDPRIVKEFGHPHLVNIRLSENINAHDALQHVFELFEGSRIDDKYVDMSTTSLEDCVRQHLEMSRTLIVLQGVGSIDDWRMLRSVLGAKGCIILITTRARQVAESITQAIHIHEKRPLTEENSWKLFKEMVWPGGAEIQSAILERMVKLCEGIPGAIIALAKQLAGKNASEWEITEQKNARRDVSQVMAPSYAELLDDQKLYFLYLGQFREDSQIEPEKLSHLWEIEGLISSSSKGHESGMTLLDITQERLKVLAQKGMIDVRRIIDVIKSCHLVGLMGDMCLSKAEETDFLKVMDLSNQLASFSFGRTRRVVIYLGKYDAEIKPELASNIWSLRIIRSVVGEFAWPSTLMSNIKEFKSLRILDFGRVDFPRGELPKGISDLQFLRYLSFEGCFLAKLHSSISNLSYLQVLDLRTQYKENGNNTIIPYNVFQKMARLQHLYLPLVFQLQNGKKLRLDGLAELQTLKNLNSKLCEIRHLFKLKKLRNLDAKVEVCVEDLNLITDFMKSRSDKGLRRCSLEVKNFDCYSDTKHSAFREILNSGVPPIVSFEGYIKTLPPYFDISQNFTEIVLRSTQLEEDPMPTLEKLNNLRLLVLEDDAFKVNQMVCSASGFQELKHLELSRLFSFEKWRVEISAMPMLSHLKIEYCNELVTLPDGSEFLDSLQEFKMIKMPESFTQKVTERYDHNHKRPPSFIVL >Solyc09g082680.3.1 pep chromosome:SL3.0:9:68825000:68838631:1 gene:Solyc09g082680.3 transcript:Solyc09g082680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVPSTPRFNGGSRPHETTEYLIGAFIGEKSFPLASDYWQKLLELPLNLHWSSDRVQQACLHFAQNNCYTRHLAKILIHLSWCLEECVSTADASSSAYVKALNAVYVSSVFLKHLIENAKTDNFEDLYMSLNESEEIPSDVPKEQSIEHLVVNSVLNFLGRVDVSSDTYLLHYELLNFMLVALSTQLLSGPSLGADDIHPFFDAAMSQPTSLVNLAIRKLLVNYITRPRFPLKASSYYIFSEGYRPGVLQRVGSVAANLVLLPLNFFASSSNEASRSPLADNSLNILLILVHYRKSLGIDHFKDKIDYSSTDSLPKEESFFENPYCKALENARDIEFDRVDVEGNAPGGPVVRLPFASLFDSLGMCLADETSGLLLYSLVHGNSDFLEYVLVRTDLDTLLMPLLETLYNAPRRTSNQIYMVLIILLILSQDSSFNASIHKLVLPSVPWYQERVLHQTSLGSLMVIVLTRTVKYNLSKLRDVYLHTNCLATLANMAPHVHRLSGYASQRLVSLFDMLARKYNKLAEMKNDKMHVLNGESKEENSLQEDTAAELHIYTDFLRIVLEILNAILTYSLPRNPEVVYAIMHRQEVFQPFRSHPRFNELLDNIFMVLDFFNSRMDAQKMDGEWSVEKVLQVIIVNCRSWRVDGLKMFTQLRFTYEQESRPEEFFIPYVWQLVLSRSGLNFSPSRIHLFPADLPLQDSIGKEVENPQKGDMKGNELKIEVPV >Solyc02g086990.3.1 pep chromosome:SL3.0:2:50182740:50187796:-1 gene:Solyc02g086990.3 transcript:Solyc02g086990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIFAKPASHLRHLQQSLKNKSFSNDVPWWRQILDPNSDIVNRWNYVFLLTCLISLFIDPLYFYVPFVSDRACMSTDDEAAREITIYRSLTDIFYFLHIAMKFRTAFVAPSSRVFGRGELVMDPREIAIRYLKTDFIVDFTAALPLPQIVIRYVIPAAKRNGSGHSKSTLALIVLIQYVPRLFVIFPLNQQIIKTTGFIAKTAWAGAAYNLLLFMLASHVAGASWYVASIGRQFSCWAIECNKERDAVPPCILEFLDCNNVDEDSYIRDYWRNSTRVLEKCDAVNDEDSGFKFGIFADAFTNEVASSSFLAKYLYCLWWGLRNLSSYGQNLNTSTYIGETLFSIFISIIGLVLFAQLIGNMQTYLQSMTVKIEEWRIRKRDIEEWMRHRQLPEDLQERVRRFDQCKWLATRGVNEEEILQSLPLDLRRQIQRHLCLNLVRRVPFFEQMDDQLLDAICERLVSTLSIMGTYVVLEDDPVSEMLFIIRGQLESSTTNGGRSGFFNSITLGPNDFCGEELLTWALLPNSTQLPASTRTVRTLTEVEAFALRAEDLKFFAVQFKRLHSMKLQHAFRYYSHQWRTWGACFIQAAWRRYKKKKMEYELALQESYYYNQDPNDEGNYNEQSFDDAGPEQPLVASGSRGSQQLGATILAKRFATNTRRGIEQKMADSSSSLKMPKLFKPDEPDFSAE >Solyc08g023580.3.1 pep chromosome:SL3.0:8:27236675:27245806:1 gene:Solyc08g023580.3 transcript:Solyc08g023580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIPAWFTVDTRLGCLSVHLNNPQCFSAEMYSADLNIPGKTEDDKVNLARETLKGLLVHWLIERRQKFGSQSSIIGEVPSGKDVSTRILTTSRVEVDGNTDNDSAVFHPFEFSSASPPSIMTEGSQSGSWRKKITDLDGTEDEKDMPWWVIDCVMNNRLPPRENTKCSFYFHPCEGSTVQILTQGKLSAPRILRIHKVINYVVEKLVLDKPLDSVNSDGTFAPGLHGTTGVDDSSRIGPKPSQKHKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLVLNYRLLPSK >Solyc08g062810.1.1.1 pep chromosome:SL3.0:8:52160203:52160526:1 gene:Solyc08g062810.1 transcript:Solyc08g062810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYRSKCYGDGIEQYREPQFSNGYGATSVGAPPLPPPPAHHNKDSKLKKGKSTSGSSWNFNDPEFQRKRRVASYKVYSVEGKVKGSLRRSLRWFKDKCTQVLYGWR >Solyc11g027773.1.1 pep chromosome:SL3.0:11:18879696:18896290:1 gene:Solyc11g027773.1 transcript:Solyc11g027773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPSFAEKAIVAAKAAAADVARLSQEMLPSKTEGLLGKSKKKWKVKESYCTHNQSYKAHRTGIKKPKSHRHSSTKGTQRTANKSIRQNGEKAVIYDPSASVGIQNGGALFRFV >Solyc11g067167.1.1 pep chromosome:SL3.0:11:53204488:53205015:-1 gene:Solyc11g067167.1 transcript:Solyc11g067167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHQTRNQRGENSLSKDDREILLAELFNVLREKIGANNLNSLVEEKVFPIAGDISIEDFGIENSEIKYEMLKEIDN >Solyc11g005050.2.1 pep chromosome:SL3.0:11:50285:52179:-1 gene:Solyc11g005050.2 transcript:Solyc11g005050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFDPWPVFFRREWSRNWPFLVGFAVTGAIITKMSLGLTEEDKKNSRFAQKHKN >Solyc01g065621.1.1.1 pep chromosome:SL3.0:1:71836509:71836877:-1 gene:Solyc01g065621.1 transcript:Solyc01g065621.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKYTLEIISEAGLGAAKPAFTPLDPYVQLTAREYDELNGTGKEDKLLTDLAVYRRLIGKLLYLNVTRPYISFSTKTLSEFLHQPKQSHLNASLKVVRYIKIQAGVGVLLSSTNNKELQV >Solyc09g083110.2.1 pep chromosome:SL3.0:9:69218483:69221445:-1 gene:Solyc09g083110.2 transcript:Solyc09g083110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKFQYVDTSNCFYILNSTKPTPSIGISIPPTKKYKDQNKLNDPNGRIEKSKNLGVKFRPGVGYGNDVKEKLDYQIGKSSHTRVWKNGDLKTQNGFLSKPVDRTECEEKIDGQWSSSNGVQTKCSKKWRNEVKETQNGVLKTQNDFLTKPVDRTESEEKIDGKLSLVNMVQPNCSTKWCNGVKEIQNGVSRKPVDRTENKKKVGGQLSLGNVVEKVQTKGSKKWARYGGCIPVMLEALETVSNLDEALKPWEKSLTKKERTIILKEQVQWQRAMEIFEWFKRRGCHELNVIHYNIVLRILGKSQRWDEIQRLWDEMRKRRVEPINSTYGTLIDVYSKGGRREQAMEWLKLMNERGMVPDEVTMGIVVQMYKMAGEFKKAEEFLKKWSLCKFQVEERVNGGPRSGIRVNGSSGSSVCLSSHTYNNLIDTYGKAGQVKEAYETFHQMLREGILPTTVTFNTMIHMCGNNGRMEEVASLMRKMEGLQCHPDTRTYNILISLHAKHDNIEMAATYFKIMKDASLEPDAVTYRTLLYAFSIRNMVSEAEKLILEMDKKDLQIDEFTQSALTRMYLEAGMVEMSWSWFQRFHFGGKMSSECYSANIDAFGERGHISEAERAFNCCSEGKRLTVLEFNVMIKAYGISKKYNEACYLFDSMEKHGLSPDKCSYSSLIQMLAGADLPLKAASYVREMQEAGLVNDCIPYCAVISSFVKVGQLEMAVSLFDEMIVFGIKPDVVLYGVLINAFADMGSVKDATKYLVEMRNSGLEANAVIYTSLIKLYTKVGYLREAQETYKMLQSFEAGLDVYSSNCMIDLYSERSMVKQAEEIFEHLKKKGNANEFSYAMMLCMYRRNGMFKEAIQNARKMKELGLLTDLLSYNNVLGLCATDGRYKEALATYKEMLSSAIQPDDSTFKSLGIVLLKCGVPKEAINKLESMRKKDPQSGVQEWTSALSSVIGVLDTDSPDSKDMYRLKKG >Solyc07g047910.1.1 pep chromosome:SL3.0:7:59237529:59240967:1 gene:Solyc07g047910.1 transcript:Solyc07g047910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRKNGLVQIGLIVVLVWEFEIGPHLSLQENPSKIGSRLNKVNSNDEWSFGFCEQGTRVFSCLAGKNPMYSYRENIVLENTNHSIFKVNQILRELSREWPGHSYEAREASDTGKQFMSWKKLKLLGRGSYGTVSLATPLTDYYTLFAAVKSAQDDRSSSLRAEAQILHALRGSDYVIHCFGEDVSIENGKKTYNLFLEYAAAGTLNDLIHKSNTVLGEADAAYYAFQILVGICHVHRKGFIHCDLKPANILVFPGGQHRLASVKLADFGASLRSETKSCWDTSVKKRSRCRGTLLYAPPESVVCGIQDKGVDIWAFGCILVEMLTGKRVWSECKNKKELKLKIEHEKPEIPTNISNDAKHFLSKCLDRDHNWRWNAEMLLHHPFITNYVNKKMISELIERPFGDIARLRPLVSMENLFTTTTFYYHHHNSSSDLRGSSSIITRSPETNNDNARGTTIDQMNFRNLHIYKIGKMHKYPLNLCPKF >Solyc03g065110.1.1 pep chromosome:SL3.0:3:41837671:41850198:-1 gene:Solyc03g065110.1 transcript:Solyc03g065110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLILTLMLMLTFVFTARLHCYSHSRSNVHICIDVDIDIQVHTDVNINVDADVYIVITVNIHLDVHNNDHIYVDINIYIDIHVDIDVHIDVYVHVDFYIHVYIDIVDHIFYNHVEIDIYVYVDVHIEVHIDVHVNDGVYIDVDLHIDVYIKVHVDGHMDIHVHVDVNIDVDVDVHININVYVHANIYIFIDANIDISIHVHVDIDVEIDVDIDVDINVHINFQVDVHVDVYIYIHVDVHIDVQSYINSDVYIHVHVDDDVDIYIDVHVENYVNIDVDVDVHIDVNIDIDFHINVQIDIYVGVHVYTVIDVDFDVGIGIDIDVYIDVVIVVNIYDDVDIDINDKHVEFYVHVDVHVDFDVHDDIDIFAEVDIDINVDIEVDVDFDGHMDIHVHVDVNIEVDVYIKIDVYVHPNIYNFVDADIDTSIPVHVDIEVEIDVYIDVDVHVHINFHVNIDVHVYIEIHVDDHIDVQCYIHSDVHIHGHLEVYVQFYVDVYVDVDVDVHDDIVIFAHIDIDINIDIDVDVDYELTLKVEVYGYNNVDVNIAIDIYVDIDVNIGVDVDFEVDVYIDIDVYIDVHVDVLVDDDVEVYIDIHVKIYVNIDVDVDVYIDVNIDIDFHINVQVDIYFDVHVDLVIDVYFGVGIDIDIDVDVDVDIVVNIYDDEDIDINNKVFIDIDVDINIDVNIDDDVHTNVKIYVNVHLDIHVYITVDVNIAIDIYVDIYVNVGVDVDFEVDVYIDVDVYIDLYIDVAIDVHVDGHMDINIHVDVNIDVDVNVHINIDVYVHANIYVFVDPYIDTSIHVHVDIDVEIDVDIDVDVYVHINFHVDVDVDVYIDIVYDVHIDVHSYIHSDVYIDVHVDAHDEIYVNIEVAINVYIDVNIDIDYHINVQVVIYFDVHVDVVIDVDFDVGIDIDIDVDIDVDIVVNIYDDVDIDINNKVFIDIDVDIHIDVNIDDDVHTNIDIYVNVHLDIYVYIDVDVHIDFYVDVHVDIDHVVFYVDVHVHVDVDVHDVIDIFADVDIYINVDIEVEVDIDIYFDIHVDVVIDVDFDVCIDIDIDFDIDVDTVVNIYDNVDIDINNKVDQLTLTFSFTLMYTFTFMLTFIMMLTFTLTFMMTFTFIMLSFILTLMFTLTLTFMMTLTFFADVDININVDIEVDVDYVDIDVHAYIYLYVEANIDFIIHVDIHVHVDINVYIHIYFDDKADVEFDVYFKVKVDFHIVINHDIDADVYVDVHINIDNDVDIYVDVDVEVHDDVHISFHVDVDVDVYVHVDIDFHVDIYIHVYVDVDNHVHVYQ >Solyc09g089505.1.1 pep chromosome:SL3.0:9:69694225:69695274:1 gene:Solyc09g089505.1 transcript:Solyc09g089505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKNMLKLSHVLAFLLLASLFQSLMARDLISDGIEVVKFPVEDDGEFVFCPGKLSWPELVGKSAGYAKEVIQKENPIVHDVRLLFPGMIRPLNYVCGRVFLVVNFKRIVRVTPSMG >Solyc10g062055.1.1 pep chromosome:SL3.0:10:23961426:23962606:1 gene:Solyc10g062055.1 transcript:Solyc10g062055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIYHHVFDGILLHCLFGIVPFEILLLDHYINQLYKDPEWVDFIRQELKNRDFHRRSTRSCYNGWDDPQFFVDPQDMDSILRIHLKILEFNHPSIRSVQVLIGLGLTCFIRYWFPKELISPLSKLILTLPLDLYFVRTQSTEAFTTYVATSPIACSYFVFPLICNQILCFLIPNIYGEQRMK >Solyc02g080050.2.1 pep chromosome:SL3.0:2:44908346:44957593:-1 gene:Solyc02g080050.2 transcript:Solyc02g080050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPSFFAYPSLSYSHPSAACGGNGNYTEGSACQSNLNTLLNSLSLNIDEYGFYNASIGQNSDKVRDIGLDLCRNCVDNIGQRLVEICPNQKEANAGYDECSLQYSNRSIINDTTSFPTLGNATGPDFQTIYALVQCTRNLSPQNCFNCLTGAYGNMPSCPCKGKTSGRIIGLSCYFRYETYRFFTDVVMLEAPPPEESLQYEFSTIRAAINNFSNDSKLGESGFGPVYKGTLQHGQEVASATSGQGELEFKNEVLLVARLQHRNLVRLKEFCLDGTERLLVYEFFPNASLDKFLFGNSYLHEDSRLRIIHHDLKASNVLLDAEMNPKISDFGMAKLFKLDETHGNTERFVGTYGYMAPDYGVLVLEIVSGQRNNCFRNGHSTESLLSYVRSSGPVCDIARYIHIALLCVQKDVANRPTMGALVLMLNSHSSSLPIIEESNSRMAETSKLAKTQLPQLQFGSCGENGNYTENSTYKNNLNTLLTSLPSKIDGYGFYYDSIGEVSGIVLCRGDVKLDDCRVCVYNAAQKLVQLCPTQKEVLGGYGECLLLYSNNQSIIETPSFSVRYFFWNAANASKPEEFNQELHKLLENLRGRAINGGPFRKYASGNVTGPDDQTIYALVQCTPDLTPRNCFNCLTDIYNNMPQCPCLGKIGGRIIGPRCNFRYEISRFFADVSVEAPPPTAPMGNGNETAPTGNDNTAPIVQDKKKARLVIIIIVPTVIVVILTVCISVILMKKRKRKLVDIILGTLGDDTSDVESLQYDFSIIREATENFSNANKLGQGGFGPVYKGKLQNGQEVAVKRLSADSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLDGTERLLVYEFVPNASLDQFLFDQVKRSQLDWERRSKIIGGIARGIVYLHEDSRLRIIHRDLKAGNVLLDAEMNPKIADFGMARLFTLDETQGNTNRIVGTYGYMAPEYAMQGQFSVKSDVYSFGVLVLEIISGQRNICSRKGDSVEDLLSVAWLNWCEETVSNLIDPILRGSSGLVGDIVRYIHIALLCVQENVADRPNMGEVLLMLSSRSLSLPVPHNPQILHFGDVYTTISESSKITETQLPQLRFGSCGENGNYTENSTYKNNLNTLLTSLPSKIDNYGFYNASIGQNTDRASVIVLCRGDVELDDCRVCVDNVVEKIGQLCPNQKEVFGGYDECMLQYSNQSIIETPSLSVRYFLWNAANASNPEEFNQELRQLLENLRDRAAQGGPLQKYATGNTAAGLQPIYALVQCTPDLSRQNCFNCLTDAYGSMPQCPCLGKIGGRIIGVRCNFRYEISRFFTADVPMEAPPPAGNDNRTVPTGTENITPPTGKDDKTSRTIIIIVVSTVTIVILMICIAVILIRRRKRKMVKEIQSKRTSVDDTSIAESFQYAFSAIRAATDDFSDANKLGEGGFGPVYKGKLQNGQEVAVKRLSADSGQGDLEFKNEVLLVAKLQHRNLVRLLGFCLDRTERLLVYEFVPNASLDHFLFDSVKRRQLDWERRSKIIGGIAKGILYLHEDSRLRIIHRDLKASNVLLDAEMNPKISDFGMARLFELDETQGSTNRIVGTYGYMAPEYAMHGQFSVKSDAWSSWRNGTTTTFIDPMLRESTGLIRDIMRNIHIALLCVQESVADRPTMAAVVLMLSSFSLSLPMPSGPAFYMYSNVTAETSLIQEYNTRMTNSSEVAKSNSIGSSRNEASISELYPPQLPDLRYGSCGENGNYTENSTYKNNLNTLLTSLPSKIDNYGFYKASIGQNIDRASVIVLCRGDVELQECRDCVYNVSQKLVQVCPNQKEAAGGYDKCMLVYSNESITDATTFSKLFYLNEIQNASKPGEFNKELGKLLVRLRVVAAHGDPRRKYASGNATGPDFQNIFALVQCTPDLPPQNCFDCLTKAYEDMINCPCMGKKGGRIIGARCNFRYGTSRFFRLDVVSVAPPPEGEIKEEAGGRNSETMLILTNLLYYVYMGMILALQNPYNMIFPRLEQRHDNFSDANVLGQGGFGPVYRVIKLTYRCMSLTSIVQIFFPSLVETRILGKLQNGKQTAVKRLSADSGQGDLEFKTRLRIIHRNLKASNVMRKCILKFPTLAWRGYLSRLKLMATQAELWEPNSNITEFSPNSAYDTNLNTILSSVSRNMDSFGFYNSSMGLNSDTVSVIAQCRGDVQLQACRDCISNATRKILEVCPYKRWALGYYDHCMLRYSNESIIGNLATQPERILFNIANASSPDEFMQDLRTMLENLRSEASQGGMQKYATNSTQGPDFQTIYALVQCTADLTAQDCFQFGFRSLPSCSCYRKQGLNFMMPSCNFRYEIYSFFDQRPTQAPPPLSPPSPLPPPLSGKDDKTTRTVIIIVVPTVIGVFLIVCTSFILMRRRRRKLVNDIQSIHGDDISTAESFQYDFSTIRAATDNFSSDNKLGQGGFGPVYKGKLSNGQEVAVKRLSADSGQGDLEFKNEVMLVAKLQHRNLVRLLGFCFDGTERLLIYEFVPNASLDQFLFDPVKRRQLDWERRSKIIGGVAKGILYLHEDSRLRIIHRDLKASNVLLDEEMNPKIADFGMARLFTLDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEILSGHKNTCFRNGESVEDLLSYAWTNWQEGTAANLIDPMFRGSSGLVRDIKRYVHIALLCVQENIGDRPTMAAVVLMLSSLSLSLPVPSGPAHNDISPEISLIKEYNSKSLEPRELAKTKSISSSRNEASITELHPPQPDFEFHVCADTEFSPNTSYDTNLNTTLSSVSRNMDSFEFYNTSIALNSDTISVIAQCRGDVQLQACRDCISNVTRKILEVCPYKKSAFGYYESCQLRYSNEPIIGTVSISPQDTLYSGVNASNPDDFMKDVTTMLESLRVKLHGARKYATNSTWRSGSETIYVLVQCTADLSAQSCINCLTLAIKLYLTHVHVMVGLVSDIECPAAKFNKTITRTVIIIVVSTVTVVILLVCISLIMRRRRRRKLVKNIQSIHEDDIFTAEYLQYDFSTIRSATDNFSSDNKLGQGGFGPVYKGKLSNGQEVAVKRLSADSGQGDLEFKNEVMLVAKLQHRNLVRLLGFCIDGTERLLIYEFVPNASLDQFLFEAQSIIIFFILFPDPVKRRQLDWERRSKIIGGVAKGILYLHEDSRLRIIHRDLKASNVLLDEEMNPKIADFGMARLFTLDETQGNTSRIVGTYGYMAPEYAMQGKMSVKSDVFSFGVLVLEILGGQRNTSIRNGEYVGNLLSYVLVNYFTVLVLKFLNLTAWRNWREGTTSNLVDPMLRGSSGLVSDITRYIHIALLCVQENVADRPTMAAVVLMLSSLSLALSVPSKPGYYMQIDVSPNISPIQGYKSRVISESNRPDKSKSICLSKNEMSITQPNFTFQSPCEGNVTEYPLNGTYHTNLNTLLSSLSRNIDSDGFYNATVGQDQNRVSAIAQCRADVELQTCRSCINNATRLILEKCPSKKSAFGIYDMCLIRYSNESFIGTMSTDPRYTYYYNRDFSDPKLFFNQYLTPVLTSLRTRASAGGKHKFAANVFDAPDFQEIHALVQCTSDLSAQGCYDCLSAVYSSLPDCECYAKRGNYHLMPSCVVRYEPYSFFNESLLTEAPPPLLSPPEPALLPPPPAVTSFCIRLQAGDMFKFNIFSIFVGKDDKTAQTVIIILVPIVTIVILIGCISVILMRRRKRKLVTRREIVEGLSMEDDSIAESLQYDFSAISAATDNFLDANKLGQGGFGPVYKGKLPNGQEVAVKRLSIDSSQGDLEFKNEVLLVARLEHRNLVRLLGFCFDGTERLLVYEFVPNASLDHFLFDPVKRRELDWERRSKIIGGVARGILYLHEDSRLRIIHRDLKASNVLLDAEMNPKIADFGMARLFAMDESQGITNNIAGTGYMAPEYAMQGKMSVKSDVFSFGVLVLEILGGQRNTCFRNGEYVGNLLSYAWRNWREGTTSNLVDPMLRGSSGLVSDITRCIHIALLCVQENVADRPTMAAVVLMLSSLSLALPVPSKPGYYMQIDVSPNISPIQGYKSRVISESNRPDKNKSICLSKNEMSIISIIIITILLCRYHLKLQIFLIFHIFHCLIIAQPDLLFSDCGLNGNYTQNSAYQSNLNTLLSSLSSNMDEYGFYNTSIGRNTDIVSAIVLCRGDVELQECRNCVNNTAHKLVRSCPEQKEAFGGYDDCMLQYTSRSIIGTSSNDPLLYMWDSGNASKPEEFNRELKKLVDRLRGEAANGDPLRKYATGSATDPNDQTIYALVQCTPDLSPQDCSDCLTNAYGAMATCPCNGNRGSRQIGPRCNFRYQSYRFFKQVAVQSHSPPPGDSYINLLLIRLMLGRSAFAGKEDKSARIVIIIVVSTVATIIFMLCTFVILMKMRKRKLMHKIQSKSGRHVDDIDITAESLQYDLSTIRAATDNFSSSNKLGEGGFGPVYKGVLSNGQEVAVKRLSVDSGQGDLEFKNEVLLVARLQHRNLVRLQGFCFDGTERLLVYEFVPNASLDQFLFDPDKRKQLNWEKRSKIIGGVAKGILYLHEDSRLRIIHRDLKASNVLLDAEMNPKISDFGMARLFTLDETQGSTTRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLVLEIISGQKNTCFKNGESVEDLLSNAWMNWREETTTNLIDPMLRESSGMARDIMRCIHIALLCVQENISDRPTMAAVVLMLSSLSLNLPLPSGPAYYTHNDITSGISLTQEYNSRSSGPRELAKSKSISSSHNEASITELSPG >Solyc06g066560.2.1.1 pep chromosome:SL3.0:6:41926044:41928297:-1 gene:Solyc06g066560.2 transcript:Solyc06g066560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFAGNWIYWVGPLIGGGLAGLIYSNVFMNYGDHVPLSSDF >Solyc04g064700.3.1 pep chromosome:SL3.0:4:55850834:55865407:1 gene:Solyc04g064700.3 transcript:Solyc04g064700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRHRDYDDDDDEPEEEEEDVYEEEDEEEEDDGGKAGRKRRRSDFIDDAAEEDDDEDEDDDDEDYGGGGGGGGGRRRPKRRTAFLVVSFSLHCLLSVVVLIVRFSFADFIVDSGADIPDEDGARREYRHRLLPHEDQEEDLEELTRSIKQRYARSPHVEYDEEATDVEQQALLPSVRDPKLWMVKCAIGREREVAVCLMQKAIDRGPELQIRSVVALDHLKNYIYIEADKEAHVREACKGMRNIYASAKIMLVPIKEMTDVLSVESKAVDLARDTWVRMKMGTYKGDLAKVMDVDNVRQKVVVKLIPRIDLQALANKLEGREAPKKKAFIPPPRFMNIDEAREMNLRVERRRDPMSGDYFENIGGMMFKDGFLYKTVSMKSIRTLNIQPTFDELEKFRQTGEGGDGDMASLSTLFANRKKGHFMKGDRVIVVKGDLRNLKGHVEKVEEDTVHIRPNQKDLPLTLAFSDKELCKYFDLGNHVKVVSGSSEGATGMVVSVQGHVVNLVSDTTKELLRVFADNVVESSEVTSGLTRIGEYELHDLVILDNKSFGVIIRVDSEAFQVLKGVPDRPEVALVRLREIKAKVEKKGNAQDRYKNHLAVKDVVKVLEGPCKGKQGPVEHIFRGVVFIYDRHHLEHAGYICAKTQSCVLIGGSRANGDRNGNPMSSRFAHMRPPPRAPQSPMRSSRGGPPMSYGGRHRGGRGHDALVGADVKIRLGPFKGCKGRVVDIKGTSVRVELEAQMKVVTGKCFIDRNHISDNVNVSVPFREPSRYGLGSETPSHPSRTPLHPFMTPMRDPGATPIHDGMRTPMRDRAWNPMSPTSDRGGDWEDGNPASWGSSPQYQPSSPRSRAYEAPTPGSGWTNTPSGNYSDAGTPRDNGSAYANAPSPYLPSTPGGQPPMTPSSAYIPGTPGGQPMTPGSGGLDMMSPIGGGDTEGPWLLPDILVNVRKSNDDTVIGVVHEVLAQDGSCSVGLGSSGNGDTIIAHPTEIDIIVPKKSDKIKIMGGPQRGATGKLIGVDGTDGIVKVDDTLDVKILDMVLLAKLAHA >Solyc12g009190.2.1 pep chromosome:SL3.0:12:2481576:2484822:-1 gene:Solyc12g009190.2 transcript:Solyc12g009190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPLTLHLLPRASSPKKHHLFLIHISIFFLISLCNPQFSFSISEDDALIKFKKSLKNTTSLDSSWVKGTSPCEKNKRWARVQCERNVVEGLLLGEAGLSGELDIDPLIALPGLRVLDIANNSFSGLIPEFFLLGALKSIYIDGNQFSGEIPKDFFAKMGSLKKIWLARNKFSGAIPESLASLKYLMELRLESNAFTGRVPSLSQGSLTSIDFTNNKLQGEIPQEMSKFGADPFKGNEELCGKQLGKECKEMNKTQSAPMSKLRWILLGIVVCILLVTILFRVKRKEDHFDKLGKENLDEGLHIASSIRKSMSIHSKGGDSIRGGSTRRGGGSQSGKAMGDLVLVNNENGTFGLPDLMKAAAEVLGNGVLGSAYKAKMGNGMSVVVKRLREMNKMNRDVFDAEMRKLGKLRHKNLLQLLAYHYRKEEKLMVSEYVPRGSLLYLLHGDRGVSHGELNWPTRLRIIQGVASGMSYLHLEFASYAVPHGNLKSSNILLNGKYEPLLSDYAFYPLINNTQIVQSLFAYKAPEATQHQHLTPKCDIYCLGIIILEILTGKFPSQYLNNQKGGTDVVQWVQSAIADQRESELIDPEIASATDSVEQMVKLLHVGAACTISDPDKRIDMKEALRRIEEISLI >Solyc10g047740.1.1.1 pep chromosome:SL3.0:10:42058771:42059220:-1 gene:Solyc10g047740.1 transcript:Solyc10g047740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLDYNLFKGQSHFTCTIRFKISQGLALVLFYQHEESEQLLYYVGFQFQCQTWGFLLARLVDHDKGSQTTVLVGTIGCRALECVTTGKASKETYTHSFSVEALKITYRRKPIDPKAEEHQVNIVDWVWKLYKMENISDAVDLRLSS >Solyc10g046830.2.1.1 pep chromosome:SL3.0:10:37422468:37423262:1 gene:Solyc10g046830.2 transcript:Solyc10g046830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLYTNVHYWLVDHPTISKFEWKQGHTFGSSLCFLTLSISIYLFITLLSFRFSSLLPTLPITILHRITAVHSLILCLVSLIMIVGCSLAVLNQMPRHDWRRWVFCFPVDNNSITLPSGPMFFWIHFYYLSKILEFIDTILIILSRSRSRRLSFLHVYHHTMVPLLCYLGIYTRQSLIHIIVIINASVHVVMYAYYFLCAIGKKPWWKKLVTDCQIIQFILGFICSPIMLYYHFTTEGCCGFGLWCVDIVFNTSLLLLFLDFYSN >Solyc03g033260.3.1 pep chromosome:SL3.0:3:4863982:4868829:1 gene:Solyc03g033260.3 transcript:Solyc03g033260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSLLPVGKAVLRSSEPSKCYMFNADLQHPKSIPILPPYKKRVKQNNTLNKSVLLSPLVCQYRLKPVSALDSDVPYPIEQSSEGLKSSESLQWDSLTAKFAGAANIPFLILQLSQIILNARNLLAGNQAALFAVPWLVWHSASIVATYSCGLINVER >Solyc01g097000.3.1 pep chromosome:SL3.0:1:87854584:87875269:1 gene:Solyc01g097000.3 transcript:Solyc01g097000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSWVWWFVTMMLWWSKIAMGEEYIKYKDPEQPVGVRVKDLIGRMTLQEKIGQMIQIDRTVATIQIMKDYYIGSVLSGGGSTPLPKATAADWVNMVNDYQNGSMSTRLGIPMIYGIDAVHGHNNVFNATIFPHNIGLGAARDPELMRRIGDATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKIVQEMTDIIIGLQGEIPNGSRKGIPYIAGKKKVAACAKHFVGDGGTTKGVNENNTVTDKHELLSIHMPAYDDSIIKGVATVMASYSSWNGRKMHADHDLVTGFLKGTLRFKGFVISDWQGIDRLTSPPHANYTYSVETSILAGVDMVMVPYNFTEFINDLTYLVKNNFIPTDRIDDAVERILSVKFTMGLFENPYTDFSLINEVGSQEHRNLAREAVRKSLVLLKNGKTANNPLLPLPKKVSRILVAGSHADNLGYQCGGWTITWQGFSGNDATSGTTILGAIKSAVDPRTEVIYFENPDSKYATSSGFDYAIVVVGEHPYAESAGDSPTLTVADPGPDVINNVCQSVKCVVIVISGRPLVLEPYLPSIDALVAAWLPGTEGQGITDLLFGDYGFTGKLPRTWFRTVNQLPMNVGDPHYDPLFPFGFGLTTSKSTIARSVSAGAADERPYVLGIMVSVIIGGGERWPGWPGESVFRILVPSQKVGGLIGRKGEYIKKIVEESKARIKVLDGPPGITERAVMISAREEPESSHPPAIDGLLRVHRRVVDGLENDSSHPPPAVAGKVSTKLLVPASQAGSLIGKQGTTVKSIQEASSCIVRVLGTEDLPMFALQDDRIVEVVGEPVGVHKAVELIASHLRKFLVDRGIIPVFEMQMQMPPNPPAEHMPPPQTWGPPPQAFPQSAAGGPGYGNSPHFMPPSRQHDNYYPPADMPPPMEKQPHQGISAYGREAPMSMHSSSNNPAAPSLITQLARFLAILMPDILSKPDMITQQMQIPLAFADAVIGTNGASISYIRRVSGATVTIQETKGVPGEMTVEINGTASQVQTAQQLIQNFMADAGAPQPQTGPPADQGYNPYGAPPPSMYSSAPSNAGVPGQSGGYGSMYGNNYGY >Solyc09g090810.2.1 pep chromosome:SL3.0:9:70700367:70710188:1 gene:Solyc09g090810.2 transcript:Solyc09g090810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFINKILKIYKISNFTCISTKKRKVKFIILILLLHLFTLSPYEHTCHFCKTSKLCSLFDFLKTQNPIFDLGSLVPFQDLNLQPESTNFTSSTTPNPRIIPKIEPKLEPLDEYTQADLQTPAFFSNPSPNFNTSSGSAFRRNPQLATHEADSQSPSSIIPEVPPGCDRNNVYVYSEYNRISEMFKEAFTEKMQRYGDVEVVGNQNQDSVDVVMEDADARAIVPVSNNDTQVAEVVVARRKYQQRSSELVRVTDLKVEDQLYFREAVRKTRMLYDSLRILAMVEDDGSQHLGPYRKPRGDLKACQILREHGLWMNRDKRIVGPIPGVLIGDVFFFRMELLVVGLHGQAQAGIDYVPASQSSNREPIATSVIVSGGYEDDQDGGDVIIYTGHGGQDKHSRQCVHQKLECGNLALERSMHYGIEVRVIRGFKYEGSGSASGKVYVYDGLYRIVECWFDVGKSGFGVYKYKLVRIENQEEMGSAILRFAQNLRIRPLEARPTGYVTLDISRKKENVPVFLFNDIDDNHDPAYFEYLVKPIYPPHVSLNVHSGNGCQCIDGCADNCFCAMRNGGQFAYDYNGILLRGKPLVFECGPHCRCPPTCRNRVTQKGLRNRFEVFRSRETGWGVRSLDLIQAGSFICEYTGVVLTREQAQIFTMNGDSLVYPSRFPDRWAEWGDLSQIYPNYERPAYPSIPPLDFAMDVSRMRNVACYISHSSSPNALVQPVLYDHNHVAFPHMMLFAMENIPPLKEISIDYGVADEWTGNLKMMRQSCFSIPVKLKIVMTFIVVFLLQLPITFVLNLFVDYLRPISLKYCASLKFIWLWRLLDL >Solyc02g064670.1.1.1 pep chromosome:SL3.0:2:36317955:36318395:1 gene:Solyc02g064670.1 transcript:Solyc02g064670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSARRILMSAPLSSELRVKYNVRSMPVRKDDEVQVVRGTYKGRDGKVVQVYRKKWVIHIERITREKVNGSTVNVGIHPSKVVVTKLRLDKDRRSLLDRKAKGRAAADKDKGTKFTSEDIMQKID >Solyc05g009110.1.1 pep chromosome:SL3.0:5:3242510:3243728:-1 gene:Solyc05g009110.1 transcript:Solyc05g009110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKNQKFRNLFTIPINMIYLLTFLFFFACGIICGITFNHYFNNYNVNLFQVINGQFLNCSSLNPQPLSPPPLPRSVLLLPQSLPSPRSVIPRLGLKEYIKPINVEHDMSDEELLWRSSMVPKVKELPFKIHYFFKGHEGFYSIYVHSHPSYNNSNIDESNIFHGRRIPSKEVDWGKVNMVEAEKRLLANALLDISNQRFVLLSEACIPLFNFSTIYTHLINSNQNFVETYDLPGPTGRGRYRSQMSPTITHPQWRKGSQWFQIDRDLALEVVSDKKYFSVFQKHCMRPCYADEHYLPTFVNMKFKNGNSGRTLTWVDWSKGGPHPARFNRLDITTELLNKLRNESSCKYNGKKTSICHLFARKFTPHALSRLLMFAPKIMQFNH >Solyc03g044180.1.1.1 pep chromosome:SL3.0:3:8430700:8430966:1 gene:Solyc03g044180.1 transcript:Solyc03g044180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFSYFILILLLVTSINFWASEARPLNILKIHGPKNMATFDWLTLGDIKDGPSPGVGHKFTNNQTLGGIKAGPSPGEGHKVVNGHHQ >Solyc09g055130.1.1.1 pep chromosome:SL3.0:9:37004357:37004533:-1 gene:Solyc09g055130.1 transcript:Solyc09g055130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTTLNMLFEMDAADYMISICGNDALRELCSPGKSGSLFYLTNDDSYMIKTKKKAET >Solyc06g061110.2.1 pep chromosome:SL3.0:6:39237390:39238425:1 gene:Solyc06g061110.2 transcript:Solyc06g061110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSVKEKVSNAAAAGKEHVDILKAKAEEKAEKAVARTREEKRIAEEVRKAKEAEAKMELHQAKARHAAETLQSKQSHLIGRHGHGHHHHAVGGQQNPVVGSTVPTTGTVAPTYPLGGYPPTSHGHI >Solyc05g013777.1.1 pep chromosome:SL3.0:5:7081028:7082485:-1 gene:Solyc05g013777.1 transcript:Solyc05g013777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDYVTEYPHSYMDRRPKKRPRLDWDPSHTPKAQSGIYYGQEVGNSSSYVHSRLLPDHDNLYVKGLAQKGSPPRREDDKDGHYLFELGENLTTRCNYFFFIAMLYMC >Solyc04g016350.2.1 pep chromosome:SL3.0:4:7143046:7143984:-1 gene:Solyc04g016350.2 transcript:Solyc04g016350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSKECLPLVIILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPAGFMDFKLCKVRLVQFGQKGIPYLNTYEGRTIRYPNPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNRGRWNSEE >Solyc04g009110.1.1.1 pep chromosome:SL3.0:4:2637223:2639754:1 gene:Solyc04g009110.1 transcript:Solyc04g009110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAFVSFAVQKLGDFLVQQVSLRKNLRKEVDSLRNELLFMQSFLREAEQKQSGDQRVQQWVFEINAIANDAVAILESFSFEAGKGDDDRFVSRLKACACICRKEKKLYNVAEEIQSLKQRIMDISRKRETYGITNINSGEGTSNQVRTMRRTTSYVDEQDNIFVGLQDVVEKLLAQLLKAEPRRSVISIHGMGGLGKTTLARNLYNNPNIVSSFPTRAWICVSQEYNTMDLLKNIIKSIQGRTKGTLDFLERMTESDLEIYLRDLLKEGKYLVVVDDVWQREAWESLKRAFPDSKKGSRVIITTRKHDVAERADNRGFVHNLRFLSQKESWDLFCRKQLDVRAMVPEMVRIAKDMVEKCRGLPLAIVVLSGLLSHKRGLDQWQKVKDHFWQNIQDDSIQISYILSLSYNDLSTTLKQCFLYFGVFPEDQEVDAEKIILLWMAEGLIPNGEERMEDVAEGFLNELIRRSLIQEVRSFWEKVTVCKVHDLLRDLAVQKAFDIKFFDIYDPKKHSISSLCIRHVIHGQGERYLSLDLSHLKLRSIMFFDPDFRNIHLTNFSSVFRHIYVLYLDIGGYVMSDVIGSLYYLKLLSLRGVCNIPSSISNLKNLQTLLVDDHGGFSRLSQKTVDLINLRHLVAPYSEPLKCINKLTSLQVLKGIRCDQWKDVDPVDLVNLRELSMHDITESYSLYNISSLKNLSTLRLLCYADESFPSLEFVNSCQKLQKLRLRGTIKKLPLFPNSITMMVLWKSKLRVDPMPILGMLPNLRNLELEEAYEGKEITCSDNSFSQLEFLRLHRLEMLETWHLATSAMPHIKGLDIKYCPHLYHIPKRMQDVGITHFWPVS >Solyc07g062440.1.1.1 pep chromosome:SL3.0:7:65312940:65314730:-1 gene:Solyc07g062440.1 transcript:Solyc07g062440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQNLSVAKTLNPTFTTLQSPSIAQFFNPLRRTLPTIPSTYNRTPKPLYIQAQNRELAISRRDDSHRRDIRSFAGRSKGKSGGTSPGRIEGSGEFRRQAKRNARRKSKKLAESLFYRLKNPHGNYPDNFSEEELQMIGLGYDRMVRFMERDDPNLKHPYDWYKYGQFGPYSWRGVVLGEPVRGRFSDPCVSMIGEVRDQEEWEKIEQHEMAQEFQNRLDAMDKNVGFRYFWVFVRHPKWRISDSPWQQWTLVSEVVVEAGNQRLDKWNLMGRLGNKARSLITQCAAWMRPDIVYVQRPVYQCRFEPQDDFFKALTPLLDPETEQDCLFELEDDNGRMEFCTYFGGLCKIVRVNPKAFVDDVVKAYEKLSDEKKSKCLGFLLDNHPVPLLHPYTKEWKVKLEEMEMGCDAPDDDDYGRNNTGETEIVDWIEDEEEDGEDEDEDEYDVDLDASGDDDDELGIKEDDDSSQEEDTRFWEDEFNKALGSNEAMEKFAKKYMETSTKFYEKHINAMEDKEKQAKGDGGDELAMRGVRAKISPKEWESHGYGTWRRKLKKGKMPPELFMRAAVRPFTYKNLVKEIVLTRHAILEGEIGKKK >Solyc04g076527.1.1 pep chromosome:SL3.0:4:61506632:61516647:1 gene:Solyc04g076527.1 transcript:Solyc04g076527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLNHHQYGGQSQQLCGGGVVEVSRTSELTLAFEGEVYVFPAVTPDKVQAVLLLLEGCDIPTAVPTVELPFDNKVEDLPKQANLSKRFASLVRFREKRKERCFDKKIRYSVRKEVAQSPGKCHHCGVSENCTPAMRRGPDGPRTLCNACGLKWANKGTLRDLSKAGRTISVELNELGTPNNPVKAFAEGSLDHCADVEKNLFSSGSNLANDIPAGIISSSNNLVEQETVVDFGHASKTELVIPANFDYFSVDEHDFDPANGKPGRSEDHYKLTLCIHGDSNSAETKLTIALRTFPMTSFRTDFVQWMTKGFSNCDLLITGLFMPRAD >Solyc10g075010.1.1.1 pep chromosome:SL3.0:10:58767151:58768218:-1 gene:Solyc10g075010.1 transcript:Solyc10g075010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFICFLYLLALTFFQIKSLRLFGRKFNGENSASSHQNRDLINPANRCMPTEILVEILSRVPVKSLLKFRCVSKSWLALISSPEFINSHLSLSASNNIQESNLDYLMKNLEVSFLFEGSVNGLICLVNRAKKIYIWNPTIRKYKKLRDYKFESWHFGRFIYGFGYDKLRDDYKVVLYNFHEVAIYSLKSDCWRRINHPPNGGRFINTGKFLNGKLYWASVVDDVVWSITSFDLNDEKWRMVEEPPCGNDIFVLGALESNLSIMICSRTSNVDVWAMKEYENKESWTKTFTIICSLDRAEYFLAQSFYLTKRGEFFIMSRPYHMMYEPSDNSIRYLDLKTFDYDLLADFYVQTLVCP >Solyc01g107660.3.1 pep chromosome:SL3.0:1:95026178:95028498:-1 gene:Solyc01g107660.3 transcript:Solyc01g107660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVQISSSLCTSIRDVVVSNPVSISSIKGSTRTQFGTTFATGSPLLIRNSFSQIKATPSRAASLSVRCEQSTKDGSNLDVWLGRSAMVGFAAAISVEIATGKGLLENFGVSGPIPTVALAVTALVGVLTAVFIFQSASKN >Solyc12g099190.1.1.1 pep chromosome:SL3.0:12:67409607:67410134:1 gene:Solyc12g099190.1 transcript:Solyc12g099190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLFPILMLLTNLSLNIDNNNNNNIIRATCRETPYYSLCLSVLESDPRSYKAEGSDDITTLGLIMVDAVKSKSIEIMKKLKELEKSNPEWRVPLNQCYMVYNTVLRADVTVAVEALKRGVPKFAEDGMDDVVVEAQTCEFSFNYYNKSDFPISNMSKDIVELSKVAKSIIRMLL >Solyc11g065845.1.1 pep chromosome:SL3.0:11:51730543:51731802:-1 gene:Solyc11g065845.1 transcript:Solyc11g065845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLSIPVSSVASECAFSTGGCIFDSFRSSLTLKLVEALVCLQDWLRSEPQPISIEEDLDFLEQLEEDFIMPQLHGSNARSPIWNHYEKLEEKEDGSWTVKCIHCGRVANYHSHYNGTASLRNHVKRCLETRNQNR >Solyc12g021360.2.1 pep chromosome:SL3.0:12:16029661:16030542:1 gene:Solyc12g021360.2 transcript:Solyc12g021360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSLYAGMKLSWPIGDVSRQQIQMGNVKIMACVSDGSTHSQSSFLSRSQTYALLKQQMDVAAKSEDYKEAARLRDSLKIFEDQEPVLRLHRLVKEAIAQERMQPGTAMS >Solyc04g072570.3.1 pep chromosome:SL3.0:4:59626719:59636368:-1 gene:Solyc04g072570.3 transcript:Solyc04g072570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDALHSLRVNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGLLVPQLGLLKNLQYLELYSNNISGLIPSDLGNLTNLVSLDLYLNNFVGPIPDSLGKLSKLRFLRLNNNSLTGNIPMSLTNISSLQVLDLSNNRLSGAVPDNGSFSLFTPISFANNLDLCGPVTGRPCPGSPPFSPPPPFVPPPPISAPGGNGATGAIAGGVAAGAALLFAAPAIAFAWWRRRKPQEYFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQSNPMDRPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPGSDWLVDSTENLHAVELSGPR >Solyc06g062320.2.1 pep chromosome:SL3.0:6:39428458:39430100:1 gene:Solyc06g062320.2 transcript:Solyc06g062320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKTMLISLYIAQATVDDNRVGPLYKHIFPPAFAPSLSFVGMPWKLIPFPRCELQSKWIAGVLSGRISLLSKEDMIADIDAFYSSLDASCIPKRHTHNMDFQLDYEDWLAAKCGSPPPEKWRKEMFFIAREKIKTQTERYRDQWDDDDLIIQAPQEFVQFIPELPQVQKLST >Solyc07g062045.1.1 pep chromosome:SL3.0:7:65006951:65008109:-1 gene:Solyc07g062045.1 transcript:Solyc07g062045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSNPLATDALSVGETGSPPRNTSKRADATFGDSIVASTEEGIPSKESETFKISIKKSNKLELRKLRRKQKPHLMPETPRNVERADLSSAVGDLILGEMASSKASQKVLPSTSLTSTIIPSNDKEVEQPAGSSVYSALPTESTKNAKSSALTDKNKDMIKCLPYFMKP >Solyc09g092690.3.1 pep chromosome:SL3.0:9:72130436:72136509:1 gene:Solyc09g092690.3 transcript:Solyc09g092690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4CWU0] MDEDFEFPVSSNNAAAEEMDMDMGMGMDDIDVPEPDPVMKVDEEKEIGKSGIKKKLLKEGEGWEHPSQGDDIEVHYVGTLLDGTQFDSSCDRGTPFKFKLGEGQVIKGWDEGIKTMKKGEKALFTIPPDMAYGESGSPPTIPPNATLQFEVELLSWISVKDICKDGGIFKKILIEGEGWQNPKDLDEVFVKYEARLEDGTVVSKSDGVEFTVEDGYCCPALSKAVKTMKKGEKVILTVKPQYAFGQTGKVGSGEDGGVPPNATLQINLELISWKIVSEVTNDKKVLKRILKEGEGYERPNDSALVKVKLIGKLQDGTVFVKKGHNEGEPFEFKIDEEQVVDGLDKAVKKMKKGEIALITIQPEYAFGAFDSPQDLAVVPGNSVVYYEVELVSFVKEKESWDMSTQEKIEAAGKKKEEGNVLFKAGKYVRASKRYEKAVSFIEYESSFSDDEKQQAKLLKVTSNLNNAACKLKLKEYKEAAKLCSKVLEIDSKNVKALYRRAQAYIQLVDLDLAELDIKKALEIDPDNRDVKLEYKVLKEKIKEYNKKDAQFYGNIFAKMNKLEQSGGAKNEAAPMTIDSKA >Solyc09g037060.2.1 pep chromosome:SL3.0:9:20808526:20808866:1 gene:Solyc09g037060.2 transcript:Solyc09g037060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKFCVKKFVNPKDQSKPGQEALISASPNGSFCERRKRQVTSKMTDCKFDLSVKCTGHIDGGEWLFLLV >Solyc07g052077.1.1 pep chromosome:SL3.0:7:60766284:60767523:1 gene:Solyc07g052077.1 transcript:Solyc07g052077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIEREVKATIKGIIDKRVKGMKAGEPNTDDLLGILLESNFKEIEQHGNKNFGTTIEEVIEESTTSVWIAGQEATSVWIVWTMILLGRHPDWQVRAREEVLQVFGDGMPEFDGLNRLKVTMILHKSLRLYSSASALRRTITTKTKLGELTLPAGVILYLPTILVYHVKEIWGEDAIEFKPERFSKCISTATKGQMAVFPFGAGSRICIGLNFAMIEAKMAMAMILQHFAFELSPLYTHAPQSVITMQP >Solyc03g083090.3.1 pep chromosome:SL3.0:3:54334127:54335366:-1 gene:Solyc03g083090.3 transcript:Solyc03g083090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYIIKLYAELLVVLATVIGRGMFVVLSDSERSKELEKEVEDDYKIGRRVMELKYLCAWGNDAASEVLTEARLLLVENYFQTPSSAWVLPWPSRIFERASLAGRAL >Solyc04g054860.1.1.1 pep chromosome:SL3.0:4:53270899:53271234:-1 gene:Solyc04g054860.1 transcript:Solyc04g054860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILRLVPVDSACSCADSCQAQLVSSFQEASNSQHSMGDHKNELITYPALECIGETDIEDYCASGIPTITFDIQAFMENLLSYIDENDFSTKDIGLSKALVIATQKVFCLN >Solyc03g078625.1.1 pep chromosome:SL3.0:3:52670264:52673738:1 gene:Solyc03g078625.1 transcript:Solyc03g078625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPTPNINKACAMLVERESHISMASSSLNGEGTDLAALMAGKGSFHKYNRGTVPQNYNKDSTSQPTYYNKGKKNWDLKCDYCNMQGHVESNCFRLHGYPPDWKLKKKGTGNTNNAYNVQTEGASARGKSMDSSINENLQRAPQLTTDQHGHIMNMLDGNVSTVNAMANMAASLHDEYFTDVSIQSPDLPPNSSPSLLHITPAPYPIDTNAPVSVPSGEQNDEPFEDRELYQRLVGKMLYLTMTRLDIAYSVQKLSQFLQNPKKSHWEAVLRVMRYIKREPGLGILLSSKSSNKLSVHCDADWASCPNTRRSVSGFIVKHGETLLSWKSKKQNVVSRSSAEAEYRSMKNAVSELV >Solyc06g073050.3.1 pep chromosome:SL3.0:6:45147585:45157309:1 gene:Solyc06g073050.3 transcript:Solyc06g073050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTPVGNQVVEVPPLNTLPIGYRFRPTDEELINHYLCLKINGCHDQVGVIREIDICKFEPWDLPDLSVVESNDNEWFYFCPIDRKYQNGQRLNRATERGYWKATGKDRNIATRKGAKIGMKKTLVYYNGRAPEGKRTNWVIHEYRATDKSLDGSRPGQGAFVLCRLFKKNELKQAENVESSHFDEVEHLVSSPAVGISPTDDGLSAVALSPIMESESNKSNPPKTSGCKTHDTRLSIDSCGNSCTAGDGEDLMVDITSGQPDLQIEELLGLFRDPSPEPIDWSPLHSQSQVEFGSSISHGTMSNEINCDQKDVQCQNVINDLNTNEFLNSILVSSDEFSYEDSEKELRSMWFASNSINTITTAPVTDSGTCSVLKSQVTQEPVRRDIFEPELLLGNCGETALMREVNSVATSVDEVFATPYFRNDHSMGNVGTDSAFGITLRTRRTQNQPDNSQPGSGMQGTTVRRIRYQVKLQTGRVERRMPTDSDQGGANHEGLSAVSESEKFSNEDSSSLSAAISSDVTQDTMCKELKGDRQMAEDLRSANVKDTNALKRAALSSFSLSFYISKVFAVASLLIVFLGVCGFFKLRQSASKFLLYSVQSSYFDQEFVKQILSSASKDKDWLVSIRRKIHEYPELRFEEYNTSALIRSELDKLGVYYEYPFAKTGIVARIGNGSPPVVALRADMDALPMQELVEWEHKSKINGKMHGCGHDAHTTMLLGAAKLLNERKDKLNGTVRLVFQPAEEGGAGANHMIKEGALGDAEVIFGMHVDFKRPTGGIGTSPGPLLAAVCFFEAKIEGKGGHAAQPHEAVDPILAASFAIVALQQLISREVDPLHSQVLSVTYVRGGSASNVIPSYVEFGGTLRSLTTEGLFQLQKRVKEVIEGQAAVHRCKAYVDMKEEDFPAYPACTNDENLHQHVERVGKLMLGSENVGDIEKVMAGEDFAFYQQVIPGVIFQIGIRNEKLGSTHAPHSPHFFLDEDVLPIGAAMHTAIAEMYLNDYQHSTAV >Solyc02g068280.3.1 pep chromosome:SL3.0:2:38829719:38836765:1 gene:Solyc02g068280.3 transcript:Solyc02g068280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDSDEHQDEILRQNHQMLTDIHGGNVEQAKSSHVYSYRHGFKGFAAKLTEKQASEISKMPGVVSVFPNTKRNLHTTHSWDFMGLSEDETMEIPGFSTKNQVNVIIGFIDTGIWPESPSFRDTHMPPVPAGWKGQCQSGEAFNASICNRKIIGARYYMSGYAAEEDEKIMFKSARDSSGHGSHTASTAAGRYVADMNYKGLASGGARGGAPMARIAVYKTCWSSGCYDVDLLAAFDDAIRDGVHVISISLGPDAPQGDYFSDAISVGSFHAVSRGILVVASVGNEGTSGSATNLAPWMITVAASSTDRDFTSDVLLGNRVQLTGESLSLSQMHTSAKIIPASEAYAGYFTPYQSSSLNRTKAKGKVLVCRHAGSSSESKLEKSNIVKQAGGVGMILIDEADKGVAIPFSIPAATVGQKIGKKILAYINNTRFTSFLPTARILSAKTVLGAQPAPRVTAFSSRGPNSLTPEILKPDITAPGLNILAAWSPAMSRLKFNILSGTSMACPHISGVVALLKAVHPSWSPSAIKSAIMTTAKLSDMHHKPIIVDPEGKKANPFDFGSGFVNPTKVLNPGLIYDAQPEDYRAFLCSIGYDEKSLHLITRDNRTCDQTFASPNELNYPSITVPNLRNNYSVSRTVTNVGKSRSTYKAVIFAPKGINVTVVPRRLAFTRYYQKMNFTVTFKVAAPTQGYVFGSLSWRNKRTWVTSPLVVRVAHSNMGTVV >Solyc11g018640.1.1.1 pep chromosome:SL3.0:11:8856169:8856447:-1 gene:Solyc11g018640.1 transcript:Solyc11g018640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHYVWADMMSTQRSESMHAFFDSYISGQNSLNKFIEQYKIALQFKYEKELQEEAESQKKHAWRCSGFEWDSQLQIRYTRPIYVAFVTEHMN >Solyc03g078670.2.1 pep chromosome:SL3.0:3:52752137:52756931:1 gene:Solyc03g078670.2 transcript:Solyc03g078670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKILESPLEYVQKEQSPTVTTIVNDSDYDFQDPPSPINNRGKEKVDTCSSPPKKKSRHTISHIQNKSPPRVISKQRDLTKSPRNVNIEKRTKAPHPKKQTKKSAKVPAITGIKKNVEIKENDGVEVPRKVPTVGIFVRVNITSSSPEVTFQQPPARSPVGQSDFSPLVQ >Solyc09g060125.1.1 pep chromosome:SL3.0:9:58021252:58021843:1 gene:Solyc09g060125.1 transcript:Solyc09g060125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLGVLPYFLGLEGKQVEDGIFLSQTKYAKDLLFKVLRYVVGTFYFGIWYSKDADFSLSDSDWAARAGSIDIRKSISGNVFNLGSVVIFWISKKQDVVALSSSKAEYVALQQPVKSYGYEEC >Solyc08g044355.1.1 pep chromosome:SL3.0:8:21450857:21454011:1 gene:Solyc08g044355.1 transcript:Solyc08g044355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQGLVTELHKYEANIQVLGSKATVYGKGKTSPFRSSQVNANDLHGGISLVLVSLSAEGITEISATSHVDRGYENLEMKLQGLTSKDQRASLKNNWGC >Solyc06g084090.3.1 pep chromosome:SL3.0:6:49381360:49383860:1 gene:Solyc06g084090.3 transcript:Solyc06g084090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLVAGKTTAAAAANKEKDKKKPISRSSRAGLQFPVGRIHRQLKTRTSAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >Solyc07g032310.1.1 pep chromosome:SL3.0:7:37356778:37357347:1 gene:Solyc07g032310.1 transcript:Solyc07g032310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQHDDQNSQHHLTIKYLLLSSEELPDVVHVIPNHLYKLQTRRIWEYLGLSKSSPPTNLLNQANMGDGIIITVLDTSFPLSFQVEGLQ >Solyc07g015873.1.1 pep chromosome:SL3.0:7:5637847:5640014:1 gene:Solyc07g015873.1 transcript:Solyc07g015873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQDSNVDSVSSRKSSRLTKPPIWMKDYMTTAIGQNSFIQSSYDYSLFTLKKPEGIVIVLIYVDDLLITGDNESLIREAKEVLHQKFKLKDL >Solyc11g011280.2.1.1 pep chromosome:SL3.0:11:4329410:4329746:1 gene:Solyc11g011280.2 transcript:Solyc11g011280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEVASLAL >Solyc11g005090.2.1 pep chromosome:SL3.0:11:66979:74099:-1 gene:Solyc11g005090.2 transcript:Solyc11g005090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin A1 [Source:UniProtKB/TrEMBL;Acc:Q9XGI5] MMTTQNRRSSAVAKRQAMAANSSGLEHNQTGKLNAKKRPALSNISNNTTVSARNSVSHSSKLAPCTSKIVNIKKNTSACNSNAVSSGTAVLPASSSVRPSSKPVSIQRSDAVVPKITVIPVPATCSMDISPSHSDGSLVSMDESMSNSDTVRSPEVEYIDDHELAAVDSIEKKACSTLYISEHVKAAAADICKRDVLVDLESGDKIMNIDNNLVDPQLCATMACDIYKHLRASEAKKRPSTDFMAKVQKDINPSMRAILIDWLVEVAEEYRLVPDTLHLTINYIDRYLSGNLMDRQRLQLLGVACMMIASKYEEICAPQVEEFCYITDNTYFKEEVLQMESAVLNYLKFEMTAPTAKCFLRRFVRAAQGLNEVLSLQLEHLASYIAELSLLEYNMLCYAPSLIAASAIFLAKYILLPSVKPWNSTLRHYTLYQPSDLRDCVLALHSLCCNNNNSSLPAVREKYSQHKYKFVAKKYCPPTVPVEFFQNISS >Solyc02g084140.2.1 pep chromosome:SL3.0:2:47886406:47886872:-1 gene:Solyc02g084140.2 transcript:Solyc02g084140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQVLKWKLKGIAQVYAVIQKKVNHFCHLFLTWIRAETQSLSNSIFAYVYLKFLIDSGTKWRDFHPEIIVRPEIIVKHLSYILDVRLLYILCSRTVLEQRILRIWILYSMSLLDSGVLDIVGDSLVHDTIV >Solyc08g075340.3.1 pep chromosome:SL3.0:8:59587142:59598190:1 gene:Solyc08g075340.3 transcript:Solyc08g075340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWENPFKEMANSKPLFLTIYATVVIGIVFSSVYVFSAIYSSPNSTFSLSIAPSASSDEKAEPSVQASNFSHRQVDDVSIPVKPQLQRKLLKPIWEVPPAGSKMPDLETFKLSKELVQERVIDNIVIVTFGNYAFMDFILTWVKHLTDMGVENLLVGAMDTKLLEALYWKGVPAFDMGSHMSTVDVGWGSPTFHKMGREKVVLIDSILPYGFELLMCDTDMVWLKNPLPYIARFPEADVLTSTDQVVPTVTDDRLDLWQQVGAAYNIGIFHWRATESAKKLAREWKEMILADDKIWDQNGFNELVRRQLGPSVDDDSGLVYAYDGNLKLGLLPASIFCSGHTYFVQAMFQHLRLEAYAVHTTFQYAGTEGKRHRLREAMVFYDPPEYYNPPGGLLTFKPSIPKNLLLDGEHTIDTHFTLVNYQMKQIRTALAVASVLNRTLVMPPLWCRLDRLWFGHPGILPGSLTRPPFVCPLDHVFEINVMLKEMANEEFGPGINIREYSLFENPSMPQEVKESWLDVHLCQEGSPGCQVNSTSQSGALKLPKHSTEETLKTVFSKFKDVKVIQFSTMQDAFDRFTDKTREEQFRNRVKRYVGIWCCVENHTPGHIYYDMYWDEKPGWKAAPPNSTVDDHPPW >Solyc11g006370.2.1 pep chromosome:SL3.0:11:1079615:1085796:1 gene:Solyc11g006370.2 transcript:Solyc11g006370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCVGPSISKNGIFQSVSAAMWRSRSPDDTASTVTNGESGRVETPTSAKETESPLPVQDKPPEPMTMPKLEEKEEEKPKKPKKPAEMKRVSSAGLRTDSVLQKKTGNLKEFFSIGKKLGQGQFGTTFLCTEKATGKRYACKSIAKRKLLTDDDVEDVRREVQIMHHLAGHPHVISIKGAYEDAVAVHLVMEYCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVDQKEESLLKTIDFGLSIFFKPGDKFTDVVGSPYYVAPEVLRKRYGPEADVWSAGVIIYILLSGVPPFWAENEQGIFEQVLHGDLDFTTDPWPSISEDAKDLMRRMLIRDPRKRLTAHEVLCHPWVQVDGVAPDKPLDSAVLSRMKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFRMIDTDNSGQITFEELKDGLKRFGSNLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKIERDDHLFAAFSYFDKDGSGYITADELQHACEEFGIGDVRMEEMIREADQDNDGRIDYNEFVAMMQKGNPVLGGGKKGLEQSFSIGFREALKL >Solyc02g088200.3.1 pep chromosome:SL3.0:2:51000880:51006448:-1 gene:Solyc02g088200.3 transcript:Solyc02g088200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSNTSRIKVAADVLRRVSPKVMDALEQRPVYIRLKGLECMKDRGTREKAYVVHAPLEVIGGIWYLYTLAFLGVIIDAFVEAGLVLEKDANRGLLVALTYYFVNCSSKTSGKTEPFDARTIFAQYGKEEWGECYIREAHLSQRFVYDDNGYRFAAMNYLRYMLPVSPLHSIYF >Solyc03g046440.2.1 pep chromosome:SL3.0:3:12792603:12793579:-1 gene:Solyc03g046440.2 transcript:Solyc03g046440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTNVTELYYNPAQYNYTPTFHDQSINRIPSPLYHLQIGPPIQQLNSQSTYFNTNNSTCDEIDELINERKKRRMISNRESARRSRMRKQKHLNELWAQVNCLRNENHLLLNKLNHVSKIHDQVLEENAQLKEETAGLRQIVTNMHLMDGPNYPLKEIQLDDDEPCSGSSQ >Solyc10g061960.2.1 pep chromosome:SL3.0:10:23891889:23897738:1 gene:Solyc10g061960.2 transcript:Solyc10g061960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQKKSEVQIGKESSCISSDFNPTPPLVSSSSLSVHQKQHTSISPTPPQHTAIQIYVENNDNDPIQPHTPTKTTPHKRPHLGSKSVFKSPPSSPLHKYPFSSLKPQNPYTNFHIYPCLCHLCRIFRLHIRLILLLSLPFFYFFVSHPTNSFFLDFLSAFAFSSALLFSLNLALPRLPSIRLFLSRSLPIKLSSSKQVSNNALPVFWSIGSRAKIDKTVNSGCYVQAYSNGDVYEGEFHKGKSSGSGVYYYYLSGRFEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFFTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDNYAGEYFADKMHGFGVYVFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGVLDIPSTQNNSYHVSPVAVYHSKVLNAVQEARRAAERAYDVAKVDERVNRAVSAANKAANAARVAAVKAVQKQMHHHRRNSDDLAMFN >Solyc09g008050.3.1 pep chromosome:SL3.0:9:1515856:1518448:1 gene:Solyc09g008050.3 transcript:Solyc09g008050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CQJ4] MAKKLHPHALVIPCPYQGHINPTIHLALKLASKGFIITFINTQFIHSQITKAHSPSSSEPSGLENIFSKPCESGLDIRYLTISDGFPLEFDRNMNSLPFLEGLINGFSNYVDKLVGDLAKEDCVDPINCLIADTFFVWPSIIAKKYEIVHVSFFTEPALVFALYYHLDLLEENGHSGSHENRKDIVDYIPGVKSIKSSDLPSLYQNSVVHQLIYKAFQDVRKADIIIANTVQELEPETITSIQEKHKFYAIGPIVSANFTELTISSSLWSEHDCTQWLDAKPRGSVLYVSFGSVALVNKEDILELAHGLMLSEVNFIWVLRFNVLGQDENDILPVGYKEKVKDRGLIVPWCNQPRVISHSAVGGFLSHCGWNSVVESIWCGVPLICSPLVTDQLANRKLVVYDWKVGINLRDEESITREEVRSKIKYLMNEETSNNLRKNVAQVKETFHNTLATNGSSNVYFNKFVEELKIKISLA >Solyc07g008790.2.1 pep chromosome:SL3.0:7:3766484:3767971:1 gene:Solyc07g008790.2 transcript:Solyc07g008790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSYGKSSTIRDQSVEGTISSDVVKTFVAVEKGEILHTRRWKLNLRLSRGVPKGIEGELVVAGWPIWLVAAAGNALNRWLPRSVHTFEELAKIGQGTYSNVYKASDCLLNKFVVLKKVRLDNLDPESVMFMVREIIILGRLGDHPNVIKLEGVVTLKTSCSLYLVFDCMEYDLRVVQEHNGVKFSEPEIKCYMNQLLKGIKTSNLLVNKEGILKIADFGLSTYFDPEQSIPLTSNIVTLWYRSPELLLGSNSYGAGVDLWGVGCVLGEQAYYAWRNLEATFHDVPAAAVRILDTLLSIEAEYRGTAALALKSDLFTTEPFACDASSLPQYSLCPAKKCSGPLHASSDKMQDLIREHAVMILKAVTRARHEKGSIAKGNDNDSKGKTNRSLDPSTSSIDQISTLLPAKWTNMQGLPREHKAQILQDAQ >Solyc08g077320.2.1 pep chromosome:SL3.0:8:61341080:61345607:1 gene:Solyc08g077320.2 transcript:Solyc08g077320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRFKHMKQYVSLSSEYVNKFIKTQINLSKPTPKLWSDHDDVQSFDPYNNGVHSTLTLSHPILRILDSCTPKLRQFNQVHAQLIVSGIFQHPLAAGRVMMKLCSSQSTFPHAVKIFENLEYPDAFICNTVMKCYVNFDDPEKGLVFYSDQMVKNGIFQNHYTFPILVKACADLGRVREGEMVHANVVKCGFELDLYTRNVLIHMYSVCCRIHDARKVFDLSSDSDLVTWNTMIDGYVKNGEVNLARYVFDVMPERDVFSWNSMLSGYVGIGDMEAAKLLFQEMPLRDTVSWNCLLDGYSRSGNVVAAHALFDQMENRNVVSWTTLMALYVRLKDYTGCLGLFDIMMQGRDIQPNEAILMSVLTACAHLGRLDRGKWIHSYIRYSGRIKPDMLLSTALLTMYAKCGEMDLAKEVFAGMPEKSVVSWNSMIMGYGTHGYGEEALETFLEMEKSGVRPNGATFICVLSACTHSGMVLEGWWYFDVMTRVYRIEPKVEHYGCMIDLLGRAGLMRDSEDLIKNMPMDSGPALWGALLSACKTHSNLELGEIVAKRLIERDPEDIGSYVLLSNIYAAQERWDDVEQVRKIMVVKGIRKEAGSSLVQFANSDMSCYPENISVHKRIMMSSMLSEMEAQIKCQSETLKKKPLVDNLHIAVKSQSWQERHLNKLSTLKISYFGFLFSIISQKILQFEIDAVQEDYGLKVKFKSNSNRVLCVYASACIPESYVSPAFQFSGSTHVSSSSSTLNSILICRGPLGGEVVVHTAPRVLSSSLHTKFCVCILKRRCMRPCSMEKLANCLLSSDAAETSPPLIVAPKVPNPQLPAACNQTEEWAARERVKQSGHV >Solyc05g049910.2.1 pep chromosome:SL3.0:5:60609761:60612201:1 gene:Solyc05g049910.2 transcript:Solyc05g049910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKDNMMTVSSDEFENNIMADAEELSPAIQQQDNGTHNEWNEVSKLPADYIRREDMKIKDVVMKHVLSFLPGKSLMKFRAVSNELNH >Solyc02g022932.1.1 pep chromosome:SL3.0:2:24914961:24917186:1 gene:Solyc02g022932.1 transcript:Solyc02g022932.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYETIQGGIHHFNNKQFNVEAWTRDIEFTRDELYRVPIWIKLPGLEFKCWSPKGLSILENLRWWTITLRRRKKKAPAVRKPILEAVEPLDNHRKNNHRDTPLDRKESLVRRISISVPSPKGNKAQNAQRWNVRGLNGSNKQKEVKLLCNEEQVGLIGQLETKMKSNKIDQPVEKLFGGWQYVTSLAQHYNGRIWITWRQDYYHVVPATTTAQEERRDLRGSLEDHRTCQKPWMILGDFNSILTPEDRICGNPVSQSEVVDFHNCVKDDQCPARVHCRKGGLHLGSNSSFAMCQEFQNLVRAGFQLRLQADPGNMDLQQEEHLEYQAYRESSYLAEVYLQQKSKVTCLRLGDDNSKYFHSVIKHTRLHQSTTQLRDNKGDWQNDPDLIAQVFVNYYNVNARENNRSPGLDGYGSGFFKEIWDIVGEDIAEAVME >Solyc01g107830.3.1 pep chromosome:SL3.0:1:95140226:95141744:-1 gene:Solyc01g107830.3 transcript:Solyc01g107830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B2Z9] MADDQLHIFFFPLMAHGHIIPTLDMAKLFVSHGAKATIITTPLNLPIFVQSSEKIKHLGLEIYVKAIRFPAVEAGLPEGCERMDQLTSDDLVPKFFKATTMLQQQLELLLHECQPNALVADMFFPWATESAAKFDIPRLVFHGMGFFALCALENLRHYKPQKNVCSDTEPFVVPNLPHKVKLTRMKLAPYDREETEMTKFIEQVKGSEFTSFGVIVNSFYELEPDYADYYRKVLGKRSWHVGPFLLCNRKNEEKIHKSDDKHHECLQWLDTKKQNSVIYVCFGSMSNFTDSQIEEIALGLEASEQEFIWVIRKGKIPEGFEERTKEKGFIIRGWAPQTLILDHEAIGAFLTHCGWNSTLEGVSAGVPMVTWPIFAEQFLNEQLITKVLQIGVAVGAEEWSIVVDNVKSEAITKAVKCVMAGEESMEMRRRAEEVKKMANKAVEEGGSSYSDLNALFQELRTINLHN >Solyc01g006390.2.1 pep chromosome:SL3.0:1:1016905:1018236:-1 gene:Solyc01g006390.2 transcript:Solyc01g006390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin-like protein [Source:UniProtKB/TrEMBL;Acc:Q9XG52] MKMFIMLMMATVLFCSLHQVVMAREVVVNVNNNINDLIPCWPIEWPWCSSPPPPPTPSPPPSPTSCLASDQEKIKTCMFNTTSIDECCPIFKSTLGTSCPCYNYAEDLDNQVLITLDTYCDIDSPCKSVQVIKLSKE >Solyc04g072540.3.1 pep chromosome:SL3.0:4:59612649:59617325:1 gene:Solyc04g072540.3 transcript:Solyc04g072540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRISRFRHTGSCALPSLLPVAVSGPHLSENFLFGSVGFSKDDEAISTPTKLFFISGSWSQFCYSTNASVHTQNPLLSNYLIKSLGFSRYEANVVAAKLNSVKVPKNPDLVIKFFQEMGLERTEIKKLVSITPRLLFSDVNRTLKPKFQCLREVRVSGSDLVDLFLADGKALYSAVGSHLRSNLDFLRKLVSNEETLVKLIKRSPYLLAFNGPKIFEPKILLLQKSGFSNAQIEKLILLKPRILQQKFEWLEKILHKAEKDLQISPTSGMFMYGVLAVIALGKSTVENKMGVFRSCGWCDQDIMTVFRKQPLCLAMSEARILRALDVFTRVIGCKPEYLISNPALLMLSLDKRVIPRNQVLKVLKEKRLVQKVSFSRAMYISEPMFRSKFVLPYKDEIPNLYESYVTCVRR >Solyc01g008472.1.1 pep chromosome:SL3.0:1:2493379:2493882:1 gene:Solyc01g008472.1 transcript:Solyc01g008472.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYAYGWPSRLVLPIRKVKRAPKRAYPSFRRFSCAIAHYFLGDLDSDVKNAKYFCGRPSRPCLCIRLAITVMSDPFGRSNEPRSEHTPHFDDCRVL >Solyc04g049480.2.1 pep chromosome:SL3.0:4:41981423:41981978:-1 gene:Solyc04g049480.2 transcript:Solyc04g049480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSVATTGNAATSDFTYATIGILSSVNIHSRAGPSAGCTPVAACTPSATPNASLISVRSPTNASSSGVRPTISLASDGRLTSTTSSDIRKLSTQQSTSSASGQKRNISTTLRGGETLAYKKPRPKKAKTGIHIVLHCQVQLLPILILVTNQMD >Solyc02g094030.3.1 pep chromosome:SL3.0:2:55319565:55322314:1 gene:Solyc02g094030.3 transcript:Solyc02g094030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSASVHKDPKSAMKLRFVFASKSDKLVSPSPIKDKPLDTAEIKLSLPQIKPQRSPVLPLNSFSDYGSKEEAFFDSQAWLESDCDDDFFSVKGDFTPSLGNTPSCGNTPVHRGLLVGNLLGNRASFGERTSASIPQSSPIHKRKNLLELFKESSRNRNPNEHGAEDNENIQAAGLQLPPKSTFSTPHVPVSSGKSTPVGKYKSEAKSLRSVQCCLPRLSGSFREKRKSMSPANTVG >Solyc11g073140.2.1.1 pep chromosome:SL3.0:11:56517140:56517430:1 gene:Solyc11g073140.2 transcript:Solyc11g073140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGHIIVVGLGIVMVMFIALAEATPPGIADHPSHSHCSDDEIKQCKNLPHVCPKFCPNGCITECRSCKPICVDGSVPPSPPKEETPPVPSPPPKKD >Solyc09g098070.3.1 pep chromosome:SL3.0:9:72474983:72479972:-1 gene:Solyc09g098070.3 transcript:Solyc09g098070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEFERKRIEVKAMEALGCGFDFASDFRLKFVKRCPNGGRLVILDESNRRNVVIPGGVTIPDVSENIRCDKGDHIRFKSDVLEFNQMSELLNQKSSIHGKVPSGYLNAIFDLSGAWLNDSADAKYLAFDGYFVSLYYLHLTASPLVLHDQVKKAVPPHWDPASLSRFIQAYGTHIIVGMGVGGQDLLCVKQKPSSTVPPAELKAHLDDLGDCLFSDEASPLPEWKAKEGKKKVPEVFNRMLQSHTMQFTSITETSSKDGLTIIWSKRGGDVFAQSHSKWLQTVAAYPDGILFKLVPITSLLTGIPGSGYLSHAINLYLRYKPALEDLQCFLEFQVPSQWAPLFCELPLSHQRKVASCPALQFCLFGPKLCVRPTQVTSGQKPVIGLRLYLEGKKCNHLAIHVQHLSSLPNIMASKSVNNLTLCKPCQWRGSDDYESSDQFLDPVRWPRYSNVCSSVVKHDPSWMQGETSGVFVVTGAHLVSKGKWPKTVLHLRLLFTYLPNCTIRKTEWAATPEGTHRSSFLTNLSTTFTFTQRTKTDAAPKQLPAALNSGVYPDGPPVPVRSTKLLKYVDTAEIARGPYDTPGHWLVTAAKLVTEGGKIGLHVKFALLDFLHES >Solyc07g056300.1.1.1 pep chromosome:SL3.0:7:64281436:64282191:-1 gene:Solyc07g056300.1 transcript:Solyc07g056300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4CGG3] MACITKETINQNQEIDNNNLGVDTSGLMLAAKLPKVRDGDSVRRPRGRPAGSKNKPKPPIIITRDSANALRAHAMEVNSGCDVNESLVNFARRKQRGICVLSATGCVTNVTLRQPATSGSIVTLHGRFEILSILGSVLPPPAPPGVTGLTIYLAGAQGQVIGGGVVGALIASGPVIIMAATFMNATFDRLPLDDDDLVASTAAQNQHYQKTQQRHQVDVPDIYGLPQSVITNGALHPEVYSWAPGRTLSKS >Solyc04g049260.1.1 pep chromosome:SL3.0:4:41197049:41198234:1 gene:Solyc04g049260.1 transcript:Solyc04g049260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQLEHENKTKFVLVTLPGGVEEGEDSRIVAVRVTFHSTFPLSPQKKANSALRKVARVRLTSGFEITAYIPGIGLNSQEQSVVFVRGGRMKDLRGVRYHIVQGTLDVVGVKDHQQGCSSLMSRRGTAEKKPAKSDPIYRNRLVNMLVNHILKHGKKSLAYQIIY >Solyc10g012310.2.1 pep chromosome:SL3.0:10:4895073:4912920:1 gene:Solyc10g012310.2 transcript:Solyc10g012310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLSTPPLIPLPTLALKLKTSLITPISSTRLLCKFNDSDMTSQLEILKPSCFPGKLVEKEKGNFRLWLSYILTGAGANLVSWLILPRNVVSVGAFAAVFGLFAISVLVKMSCNWTKILEELILEQFLEILKPEGKKPDKGINGIFWILLLNLGIYVVDHVFQVRAVRVLYLYHNRPAWYHFVTATFRKLVEEEEGNFGLWLSYILTGAGANLVSWLILPRNVVSVGASAAVFGLFAISVLVQMSWDWRKILEVNNGSTEVIPTRLP >Solyc10g085850.1.1.1 pep chromosome:SL3.0:10:65027135:65027311:1 gene:Solyc10g085850.1 transcript:Solyc10g085850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TPSI1 protein [Source:UniProtKB/TrEMBL;Acc:Q41336] MEEVALVVDSPEMVASFMELLSIDKFSWWCFFGWKGQLLSFGILMEEMVNEKEEQSQG >Solyc09g008910.2.1 pep chromosome:SL3.0:9:2294519:2305870:1 gene:Solyc09g008910.2 transcript:Solyc09g008910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEILGLLLLLLAWAAWAILTERRQRRLEEYGRLPPGPRWWQLLANIFQSGFAPHVSFAKLANKHGPMMTLWLGSMTTIVISSNEVAREMFKNHDIVLAGRKIYESMKGDIGNEGSLITNQYGPQWRMLRRLCTTEFFITSRLDAMRGVRTKCIDQMLEFMEDAANSSTTSIDVGRFFFLMSFNLIGNLMISKDLVDPTSDRGAKFFYHAGKVMEYAGKPNIADYFTILRCLDPQGIRRKTQFHVRRAFAIAGEYLRERMEDIENSDERKKDYLDVLLRYRGDGVEGPSRFSSQTINTILFEMFTAGTDTTTSTLEWAMAELLHNPTTLQKVQDELRRVINPSKKLEEEDLDKLSYLNAVIKETLRLHPPLPFLVPHMAMDSCNMLGYHIPKETQILVNVWAIGRDPKTWKDPLKFKPERFLEPNMVDYKGHHFEFIPFGSGRRMCPAVPLASRMLPLTLGSILHKFDWVLANGVKPQDLDMNERMGMTLKKAIPLKAIPCLNSSCYKLPPGPPGIPIFGNMFDLGALPYQTIAQYKQKYGPIVWFKIGSVKTMSILSAKTATEFFKNHDFVFAERKIMDTMLVHDYNLGSLAIARYGTYWRVLRRICTVEMFVHKRINETMDIRRKCVDDMIHWIENEVSSKEVKGSGIEVTRFVFLSTFNMLGNLMFSRDLVHPGSKKASEFFNAMMRIMVLSSIPNISDIFPCLRRFDLQGLKKKMHREIGIALGIASTFVKERMKEREHGEVKKKDFLDVLLEFEGSGKDEPSKLSEHQITIFILEMFLAGSETTSSSVEWTLTELLRHPEAMGRVKAEISRIVVQKKKFEESEIDNLPYMQAVVKEVLRLHPPLPFLVPRRAMHDTNFMGYDIPEDTQVFVNVWAIGRDPEYWDDPLDFKPERFLNSKTDFKGQCYEFLPFGAGRRMCVGLPLGNRMLHFVLGSLLHEFDWELPSNVTPVSMDMKERMGMTVRKFNPLTAVPTKTSG >Solyc04g045345.1.1 pep chromosome:SL3.0:4:32583979:32589024:1 gene:Solyc04g045345.1 transcript:Solyc04g045345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECGNSKRVYDSNDELWAENISKRFHEPLLMKNNNVLEPKKKTKEIKKKRPRKVTSTVSRPTLPKNTCSNIAPTQDEVESLDLPDSQEVNRNEVSTPPVGFEDFSTSPPDHLLRRSSRVSDTSSQPPSKRRENTDTHKTKGSKNLSKQSKPQLNQSFSMPAEEHTPSSNDMGGKSRPNMVEVSDEECNDGHQATSPIQMELDVDNQTEDTLKNHQVMKDVSELQSPNSDTHHTDETCEHNKFK >Solyc06g011375.1.1 pep chromosome:SL3.0:6:6878143:6883428:-1 gene:Solyc06g011375.1 transcript:Solyc06g011375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISAVTWLSSLPLNGKPDILESDRCLGYILYGHFEPPRMDSSRLLMFGANKAGPTSLWSDWRSLTRQLTALDNQESELQSRCILEHAKLQTKVDEFEELLQKGKEVKFSENLDHTFRDSTKKLDFAKRELAAKLRSTLSFKRQLDDVPSQAELIQYVPLLVLFHFVHDNPDTC >Solyc09g059500.3.1 pep chromosome:SL3.0:9:54804890:54815368:1 gene:Solyc09g059500.3 transcript:Solyc09g059500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSDVEAGFAKLQGEDFEYYMQTYSIVLGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEVLGKNGCFVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPIRSILGGPIGPRHHVNVNYPAGGSPMLPHHQPHMPLPPPVGYGGVGKKGFLRGREYYEEEYDDDDGGEAGSGTKKMRRGDGIEGGGYGYGSGGSSGKIAVPAHLDKKIDGISRVERDADNQQLMQLEEKDVVSSVANVLSDLCGPGEWMPMEKLHAELVEHYGNTWHHSRVRRYLTSEDYPSAEAKSKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLS >Solyc07g056090.1.1.1 pep chromosome:SL3.0:7:64096127:64096342:-1 gene:Solyc07g056090.1 transcript:Solyc07g056090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFYGVGSDGGTTLDDSSLIIIVGMVIMSVLMVSMVIFACGDSSNGEKDDDWYYHGSGGDGGAGCGGGCD >Solyc01g110550.3.1 pep chromosome:SL3.0:1:97118402:97123088:-1 gene:Solyc01g110550.3 transcript:Solyc01g110550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLVIQIPRLRPLPPSTQCLLPATMSLSPAKHINLRQFDSRELKTNSRSVNRRFIRCMANPRRVKMVAKQIRRELSDMLLTDKVLQYAVLPEAALGADRYLSSLTTISDVEVSTDLQVVKVYVSVFGDERGKEVALTGLKSKAKYVRSELGRRMKLRLTPEIRFIEDESLERGSRVLAILDRIKDENENKDSPNDGSSESDYDGDWEGDDPDDEGIIYVK >Solyc04g074180.3.1 pep chromosome:SL3.0:4:60263843:60282929:1 gene:Solyc04g074180.3 transcript:Solyc04g074180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVIAVFIYAPEEEGHYYPGRVSRWWLKQSLAHLDSSLKSLGTSLITKRSTDSISSLLEVVKSTGATQLFFNHLYDPISLVRDHRTKEILTAQGISVRSFNADLLYEPWEVNDDEGRPFTTFSAFWEKCLSMPYDPEAPLLPPKRIISGDASRCPSDNLVFEDESEKGSNALLARAWSPGWSNADKALTTFVNGPLLEYSQNRRKADSATTSFLSPHLHFGEVSVRKVFHFVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYMSFNHPYSHERPLLGHLRYFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYISGTLPDGRELDRIDNPQFVGYKCDPHGEYVRRWLPELARLPTEWIHHPWNAPESVLEAAGIELGSNYPLPIVEIDSAKVRLEQALSQMWQNDAAARAAIENGMEEGHGDSADSPIAFPQAMHMEMDHEPVRNNPVIVTVRRYEDQMVPSMTSSLFRAEDEENSVDIRNSVVESRAEVPTDINVAEVHRRDTRDQAVMQTARTNATPHFNFAVGRRNSEDSTAESSSSTRERDGGVVPTWSPSSSNYSDQYVGDDNGIGTSSSYLQRHPQSHQLMNWQRLSQTGLFRMVKLGCFGGQCVVGESSSSSKGRSHGGNIKYGFSLVKGKADHAMEDYHVAKFVRIEEHELGLFAIYDGHMGEEVPSYLQKHLFANIIEEEEFWVDTRRAITKAYEKTDQKILSNSSNLGRGGSTAVTAVLVNGQRLWVANVGDSRAVLSRGGQAIQMTIDHEPNTERSSIEDRGGFVSNMPGDVARVNGQLAVSRAFGDKSLKSHLRSDPDILDMHIDVNCEVLILASDGLWKVMSNQEAVDLARGFKDPQKAAKQLTAEALKRDSKDDISCVVVKFR >Solyc01g104500.2.1 pep chromosome:SL3.0:1:92860477:92861871:-1 gene:Solyc01g104500.2 transcript:Solyc01g104500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGTTGRRRNGSRRCRATPYPLPSADHSELVHQKKCSKIFEKKDWEDATCSVCMEYPHNAVLLLCSSHDKGCRPYMCGTSLRYSNCLDQYKKAYTKAILPDNNQPVQGVKGWTVVEPAREYLNLKKRSCMQDDCSFVGSYKEIRKHVKAEHPCARPREAFGSAGNIGSNRVMRRHEREISDTLDIGAGGVDRNLPIGGFEYSDDDSENSGGDNDDSGMSLVGRLRREGRVLLGRSGRRRRRREANAD >Solyc08g036530.1.1.1 pep chromosome:SL3.0:8:11152508:11152699:1 gene:Solyc08g036530.1 transcript:Solyc08g036530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQRATFLPILSLTVQPMHQSHSTDVYFFGTAFPIPSYSLPFFPFLVFAILWSGPLFLGLPRG >Solyc10g077090.2.1 pep chromosome:SL3.0:10:60085386:60088946:-1 gene:Solyc10g077090.2 transcript:Solyc10g077090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFTIMTPPPTRLSCHSSSTSSVFAHSFSRFCNDPIALQAKSHISYYIRAPFILKERCVLIAEAASDIDSGDSDNLESDEESLSFDNLPLESKLQLKLEQKMKMKLAKNIRLRRKKLVRKRRLRKKGRWPLSKLKKNKNV >Solyc12g027820.1.1.1 pep chromosome:SL3.0:12:27584051:27584221:1 gene:Solyc12g027820.1 transcript:Solyc12g027820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNQEITPHERNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDSLF >Solyc10g075000.2.1 pep chromosome:SL3.0:10:58760317:58761451:-1 gene:Solyc10g075000.2 transcript:Solyc10g075000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLSNNKFTGPLPNLAGMNALNYLDMSNNTFSSADFPQWFSSLQSLTTLVMENTQLQGEILPTLFSLFQLQTVNLRGNKINGTLNITSNYSGQLKLIDLQNNSIDSYIVRPGFSFQILLMHNPVCYEEGSEDYCGNSQTNFGYSIPQDNCLKTQCSFDQILSTFLFRLDKHKHL >Solyc03g112630.3.1 pep chromosome:SL3.0:3:64495133:64509858:1 gene:Solyc03g112630.3 transcript:Solyc03g112630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSSGRSTGQSYNGIVRRMVSLPRSIMGGFSRVMDQGLDLMRMGGRRDHQEQLPHPNFPYQHPYEFPFQDSFDFPPSVVQEEWAFLNNFEQEYGTQHPFFYACKFMDALKIAKDEHKFLFVYFHSPHHPFTPSFCSETLCCDLVVQFLDANYVCWGALADRGEGLQMATSLRASSFPFCALVVPAPGDSIAVLQQLEGPVSPAELVEILQRTMEEQGLAFGSGRVREQENLRADRRLREEQDVAYIASLQIDEEKEKLKNIMPSQRNSKPEHVPNKSNQEKPKPNPTQIQSSKQKEATSTIATTQNPPLSQSSKKKDSIFAKVTMQNPNQSHSSMKKESTNATAGKNTQMTQIAIRFPNGERREQSFSSTDKIQAIFRYVDSLGLPGVGNYRLISNFPRKVYEEEEGEFKSEVEKMSFQLVLRPPLLGRHLVKATPIVATGYSRLRIRNCLNMDPHKSQKLVLDVKERLKREYADLPVGRNGRDDEEMILWFLKDRKFSVDDAVSKLHKAIRWRHEFGVSDLSEESVKNSAETGKAYVHDSFDVNGRPVLIVDASKHFPQKQDPDEDEKLCVFLIEKALSRLPAGKQDILGIFDLRGFGTENADLKFLTFLHLALFIRILNSLKLPAEFLLFIPTQFDVFYYYYPRRVSQVLFVDAPFVFKPLWQLVKPMLKQYASLNKNSSIFSAADIILSYTCICNFKGEILLSKRAEFSKAIESPEYRIVHSESDFEIRHYRESVWMVAPVNEISFEKATRNGFHRLFQFIQGANLNFSRIYMTKPVLTSIVPGAGPLHSSAYFVKFYLPDKFQADPPLPLPELNLQPDPWTSHCIAVRKFSGFARDSNIVKEAEKLALSLSRSPWANSTASTSEYAYSIAQYNSPFRIIGRVNEVWVDVTGSRANGCESNPIAAY >Solyc06g083510.3.1 pep chromosome:SL3.0:6:48954869:48958817:-1 gene:Solyc06g083510.3 transcript:Solyc06g083510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFTYIAFSTTLMCASSQGFIEDFVGAFYFNRFINWFDLMAFRGRGRGRGGFNGQRLAKQVSFELFPELENLGNAAGVTEKINLAIWQASLQKFWNSSPYYLADESDVSKKTKMDIERFSDKKSERDKAKPPLDKFIRMEPDYVPAELAEGGRKRRDNTDGMRWTPDLDMGKLDLLEKLDQKSKVLPLSI >Solyc04g016360.3.1 pep chromosome:SL3.0:4:7158710:7165909:1 gene:Solyc04g016360.3 transcript:Solyc04g016360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-formylglutathione hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BQD6] MEFKPTEISISKMFGGYNKRYKHYSPTLGCSMNFHIFFPPSSSPSLKFPVLYWLSGLTCTDENFIAKSGAQRAASSEGVALIVPDTSPRGLNVEGESDSWDFGVGAGFYLNATQEKWKNWKMYDYVVKELPTLLHENFPELDTSRASIFGHSMGGHGALTIYLKNLDKYKSVSAFAPIANPVNCPWGLKAFTNYLGENKADWEEYDATCLVSKYNDVSATILIDQGEDDKFYKDQLLPQKFEEACKKVNVPLLLRLQPGYDHSYFFIATFIDDHIRHHAQALNL >Solyc03g025950.3.1 pep chromosome:SL3.0:3:3409927:3412727:1 gene:Solyc03g025950.3 transcript:Solyc03g025950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQKISTSSSIMAVELWETLKDSITAYTGLAPTTFFTLVALALAFYYVVSELFGSPDNRHQQRPRDFEEQKPLPPPVQLGEISGEELKQYDGSDSKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEEKDLTGDISGLGPFELEALQDWEYKFMSKYVKVGTVKQTVPVSDGAANDESVESTDPETKPAEAVASESAKPSEDGPSGSSVAEIVDKSDGDVDKKD >Solyc04g071715.1.1 pep chromosome:SL3.0:4:58778817:58780756:1 gene:Solyc04g071715.1 transcript:Solyc04g071715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIVKNRDDAMTPSISPALTRSSTLFRPGKGNRICSETSRHHDCDLATDAAPPETTLPSPLKQPKQLLNPPLDSTPHGPELYQNYRRGSICRQGFSVCFQLFRACLSNSESEGLF >Solyc11g005015.1.1 pep chromosome:SL3.0:11:22166:32136:-1 gene:Solyc11g005015.1 transcript:Solyc11g005015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLDADKRLAVHNDLYPLMKGSVGLVFIFMISVFWDLYMSQYTFEGQDFLTTTIILCRYMQAVVDDVILKNVNPQKHPSNWCLDKILEEFKDVAGEILNDSFAEIDEEALLNSLVQLQKFQSISIDNFSLPSLPPTPNSFRGIRGKTSSFRRWLVICSDDSTKYGKYREMVNFLRKYLGDFLIASYLDAIQESGYDAIYVKEIEGSTSEDIRLLLEGSSYKHEPTKFSGMVNVRSFGHRNPLEEYKIDGCKFFISMLSATRRLTVESLLRYWSSPMESQEILGFSLSSPSLRDDCSHLIPGCLARYHWQLLAVGKRRDSRRQSREIPLFPPCGLVRTVVVARLMRIAVGDELQTVSTKLLGKACGNLNGMEARAEYLSDHASFSSFSSKIVCTVGGCNLGEQALADRLPINRAETSFESSNGMVTMRSDREEDRNATVDSRFNQTLRNVQGLLKGRSFPGKVLITRRSDPLDNSTMRSPDNHGSLRDSETGPSQLADGSFEDELQSRSNLNVSSSASQTKSSISNSEHTSSEVQKSSIGSRATDSARLMKFTKELSATTVVQSLKSGSNMDLPIVTIINMDNSWVSTNCTSLNPELPSNRTKMAWFLALGRIGFVVVAYSYIPPAFINYNWLILWPVDHKGDAFLVIRICGVSLAKTFNVRLKDSYAYITTVSALLFHEIDRFSSYCWLILNVIYRSDCYIFLMCFPTSLAEKLRELSWNGIPSYLRPNIWRLLLPGCSRGNRKALLAELHWEWIQSTDSFSLTRSYPHALYEIRMDSVDQG >Solyc10g045650.2.1.1 pep chromosome:SL3.0:10:34933354:34935737:1 gene:Solyc10g045650.2 transcript:Solyc10g045650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFTCPDIAYTVNKVSQFMHCPMDTYWVAVKRILGYIKSTSSHGLFLSHTTTTLLHGYTNFYWGGDVDDRKSTTGFAIYLGNHLISWSSQKQHVVSRSSTEAEYRALAVATSELTRLSSFFRDIGSFNSSTPILWCDNLSATYLNVNPIFHSRTKHMVIDFHFVRDKVHAKSLSICYVVHMIK >Solyc02g062890.2.1 pep chromosome:SL3.0:2:35380590:35382942:-1 gene:Solyc02g062890.2 transcript:Solyc02g062890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATAGKNRTRINKYACACAIVASMISIIFGYDTGVMSGAMIFVKKEFKISDAKTEVLAGILNLCALVGSLSAGRTSDYIGRRYTIVIASVIFLLGSVVMGYGPSYAVLLIGRCVAGVGVGFALMIAPVYSAEVSSPSTRGFLSSLPEVGISIGILLGYLSNYIFSGLPLRLGWRIMLGIAAIPSLFLAIGILRMPESPRWLIMKGRLGEAKEIMYKVSNDPEEAEFRLKEIKKAMGIAENCDDEIVKIPDSVKAQGEGVWKELLLKPTKSLRWILIAGVGIHFFEHATGIEAVILYSHKIFDKAGVHDHKHQILATVGVGLTKLTFILLSTILIDRVGRRKLLLTSLSGMVVTLTGLGVFLTLAEHSGGKLIWALVLSIITTYGVVMFFNIGLGPVTWVYTAEIFPLKFRGLGVGIGVAVNRLMNATVSMSFLSISAAITTGGAFFMFAGISVIALIFFYFFLPETKGKSLEEMEALFTRGGTCSNHVSKQVEIAKY >Solyc01g081220.2.1 pep chromosome:SL3.0:1:80353907:80354221:-1 gene:Solyc01g081220.2 transcript:Solyc01g081220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEDYVERVKEIHESGGYQSRGYGYDWKREEANKNLLRIHTTAVSSRMLYALAQVQMIHPSFLYNS >Solyc02g082940.3.1 pep chromosome:SL3.0:2:47113463:47115763:1 gene:Solyc02g082940.3 transcript:Solyc02g082940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYFNKLKTEKMNGRPILFWSYWNNKLMGVQLTIQLMVALITAVLLITTLSLSRAIIRPKENGDKIQLNSLSRCNFFSGKWVFDNQSRPLYNGSNCSFISFLDDGMACQNYGRKDLDYLYWKWQPHDCDLPRFNATAMLEKLRNKRLVYVGDSLNRNQWVSLVCMLESSIHTHLKQVHFNGSLVTLKAIEYNATIDFYWAPLLVESNCDDAWHHRVKDRVLRVDSIEKHAKFWEDADVLVFNSYLWWRLDLTVLWGSFESADAKYEQLGMVRTYELGLQTWADWLDTHLNRTKTRVFFVSMSPTHSRGEEWGKAEGENCYNETEPISNAEYWGSESSAGMMRLVEAAMKKLNEKSGVKADLLNITQLSEYRKEAHPSIYRKHWDPLTKEQLENPSSYADCTHWCLPGVPDVWNHFLYVYLLYL >Solyc08g076910.3.1 pep chromosome:SL3.0:8:60950689:60957192:-1 gene:Solyc08g076910.3 transcript:Solyc08g076910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALCSETLETGSKPVDFANSDETLDMSSPISADQNLPNTENSAGFNESEELCMEEEELDASPVRTYSAPYYCSRWGQPWGKVTDSKPLDSQLTDAEPKDEPSPFDWTDGSSENTVETKKLVTVESQWNSYRDEEPKFIQGAGLANLGNTCFLNAVLQSFMHTVPLIQGLKSIDHATPCNGYLNGFCVICALRKLIDASLFSEAGVVSPWKFVNNLNYFSSTFHRFQQEDAHEFLQCFLDKLERCYDDSKSKDCQTLETDNIVKQAFGGRLVSKLRCCNCGHCSDTYETLIDLSLEIEDVDSVDTALESFTKVEKIEDSETKFTCEKCKEQVSIEKQLVLDKAPTVAALHLKRFKTDGSLVEKIDKYVSFPLELDLHAYADNSQTDNVEMKYDLYAVIVHIGFSYCSGHYYSFIRSAPNEWYKFDDSKVVRVREDYVLSQEAYVLFYAKRGTAWFSDFIEGQKPFIDPSILSTSPKSVLENTDAVCVPSPLLPNAQAFGVKESNGAANESSPSSLNKVHDSDGKENVQMMSTPTPPLGASNILVSKSREVGKVSSPSVLKDLSKPVSSVSVLKENSSTLTPGSTSRTLYITPDTPPRSPSPEIYREDPPDNDYHIPRGHLRMVGQVSCKKQLQKDDVEQDMERKQACFLIKKNMPGSRGQQLLAAMQGLRSEGSAKKRRRRMEVSPTRDDSNSTSRRRSSIGSTMRPVMAGSMR >Solyc07g053790.2.1 pep chromosome:SL3.0:7:62336275:62341853:1 gene:Solyc07g053790.2 transcript:Solyc07g053790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGKNDPNLLMIDEIYEFSAPRYYDFIDGETEDDMRNAELWFDITSSYAPSPCMPRIKINRSVQVEIPCDFTEGEKLESNTRPAAEVKEEDTPDENNEPAAEVLSFKVKEEVISDEKNKPAAKVLSYEVKEEATPDDAIGVELHSSLVNPESVKKQPTSQEICTPRPLPTMSKKIDPTKTDSTNQKTAKKIPSMLRNPSALKSKTLPAKSANPATVRKQAIMRSAVRTPNFGQENQAIKRQKLESGKAKQILDVKPQHLPHKSKPGANGSTFSLVAKTRKEERKMYVREPVPQFFSTAEMMKKFQCSTRGMSLSCMSSSTSHRKPNKLTLTAPKEPEFETAQRVRPTTVKSSAELEEEMMAKIPKFKARPLNKKILEAPKLPTLPKSTPQLPEFKEFHLETMARANQNVETSSVLSMESTQIHQWKTHLTAPKSPVLQTSLRARPPQIKSSEELEKEELEKVPKFKARPFNKKIFESKGDLGMFCNTKKHVTVPEEFHFATDERIPPPSNVTDLFDKLSLYSEPRNEKTIPRNTRPNPFHLYTEERGAEKERRLFTELLQKQIEEERSRVPKATPYPYTTDYPVIPPKPEPKHCTKPEPFQLESLVRHEREMQREMEERRRLEKEEAMMRTFIAQPILIEDPIPVPEKVRKPLTQVQEFNLHVDHRAPDRAEFDKKIKEKEMMYQKYREEAETARLMEEELALKQLRKTLVPHARPLPKFDHPFLPQKSSKQVTKPRSPKLLVLKRQERRRMVCPYAAVSTAASQMR >Solyc08g006210.2.1 pep chromosome:SL3.0:8:907702:911644:1 gene:Solyc08g006210.2 transcript:Solyc08g006210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPPKKPHFLKPILPGCKQQITIPIGFFKYLKGQENEYALLRRASKKWSVKVNGRRLEDGWEEFVKDHDLQLGNVLIFRHEGDMEFEVAVFDSSCCEREYEQGVHVHGGEEEEACIVEESSKKLKSKEKPKRKVKKSGKGFSNVKAAYKDKHLSRSHFICTIRPYCLSKYCLCIPKQFAQENSLRNRKCEIIVRDEQRSWTFGVHTNGKNTFIGSGWHEFSRTKCLKEGDILMFEIVSNGETPIFRFHDLRESPFLQDEVKKKDSDAERMSDEDATLETSDVTTPKSQEAADANPHFISTIKPYTLRFPVLYLPIAFAKSNGLLDKRELIIMDEKRRSWSMCLGQIDKYHFGIKKGWRKFIEANGVQVGDTYKFELINNGTIPLVHFHCKYDDVGDGKGN >Solyc03g059090.1.1.1 pep chromosome:SL3.0:3:29839230:29839430:1 gene:Solyc03g059090.1 transcript:Solyc03g059090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKWCAWRRKKGLFLFNFFKSFSFCLYLYFLFLVLINHNSSILTLLIFVKLTHFIQLGHQVATQA >Solyc02g069340.3.1 pep chromosome:SL3.0:2:39824343:39837025:1 gene:Solyc02g069340.3 transcript:Solyc02g069340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVSYMKLKGSQNLRIRLLLATLSSKSIIIEDIRADATWPGLRPHEVSFLRLLEKVCDDCVVEINETGTKLKYKPGIIMGGRHLVHDCGVSRSISYFLEPLIVLGITNDSKDPSIDTFRSTTLPILKQFGVPAEGLELKIESRGVAPKGGGEVVLSVPMVPNSLKASLFFIDFIVFYSEAVKWVDEGLVKRIRGVSFSTRVSVQFENTMIHAARGILNPLLSDVHIFTDHKAGAQAGMSPGYGISLVAETTSGCVVSVDTAVSYSRGEDDADLEDDRKDLIPAEEVGEQIASALLGEIKQGGVVDSTHQGLLFLLCALCPKDVSEVRVGKLSPYGIEALRHIRDFLGVKFVMKPDASTGTVNLMCLGSGFQNLSRKSEIKHNSVTQAGDFDVVASYKACNESAVGSKHRITCPHLPLGLKFWLVELEFLVDFSGMDSFSGYLRKAAIQKIDAKMVFKKRAKDMTTAIGRKAAPARNIVAFRSYQSQAETLVKTYLLADPFIPYTSVFAGIFACKMVYDLCQLISSFYFRTYNTLTKIQRTEWNNRGMSTVHAIFISAVSTYFAFWSNLFSDQNPDGLLIARSSPLSTFTLGPRGTPEEDVMLLSPEGKREEDVSAGYFLSDLGMICWFYPSLGGLEYVVHHSLSAVAVAYSMYTGEGQLYTFMVLISEVTTPEINMRWFLDTAGLKRSYAYLINGVVIFFAWLVSDVFNMNKLVCMVGFMDVGMGIIQGCKNIAVHLHVLPCLFAL >Solyc12g019840.1.1.1 pep chromosome:SL3.0:12:11943158:11943352:-1 gene:Solyc12g019840.1 transcript:Solyc12g019840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQIKRRWNPREDERLKRLVEKHGAKNWSFISQSFPSRTEKSCRERWCNHLNPQSNHHPLTTEE >Solyc06g053890.2.1 pep chromosome:SL3.0:6:36861873:36863244:1 gene:Solyc06g053890.2 transcript:Solyc06g053890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENAPNYAQPHQIKYVKLVYHYLISNTIYLLIVLILVTMLLHLSTLTIDDLMNHLDMLNCCSIISHERFMMSKQYLIEKMSDMFDEESLMFQKRILERSGLGDKTYIHNNDDDSSMPLEFSLTSNQYEAKNVIIGAIDDLLTKTIGVKIEDIGIIIVNYCTFNPPPSLCTMIVNHYKLGVNVITYNLTGMGCSAGLISVHLANQLLQVVKFQFDENIFFSFQSKLLKQHCLYITGGRQHVCTCSKHRNNLNDVLLREAKIKAFTKLSSSAVLLSNRSSDRRCSKYQLMRVVRTHKGADDRAYRCAYIDEDENGKKSVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFASLIARKVFKRKMKPYIPDFKMAFEHYYIQAGGRAVLDELQKNLDLPEFLMEPSRMTLYRFGNTSSSSIWPIPRRKAE >Solyc10g051270.2.1 pep chromosome:SL3.0:10:51769434:51772898:-1 gene:Solyc10g051270.2 transcript:Solyc10g051270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLSCVSFLSQLTFFSFRSLKIFILNFRFLYSRIVHMDHETGESLDSVPSDNVSCEHGDFECNICFEIAQDPIVTLCGHLYCWPCLCEWLQVHSHSHECPVCKALIEDQKLVPIYGRGKTTSDPRSHIRPRMNIPNRPVFGPRPQTAPTLDMNYFRPDELDQMGGFMPMASARFGNLTLSTLFGAIPSFFNLHVQGFHDATVYGATTGVPYLFSSSLHGGYAHGFHHSIHVDGTKFFIKMFLLIIGFLLVVSLIL >Solyc10g019105.1.1 pep chromosome:SL3.0:10:11719430:11721895:1 gene:Solyc10g019105.1 transcript:Solyc10g019105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRVEQLETNLTGAREDIIKLEGWFQEMIETLARIEAQGRTMMAEASPSQTKYFENQEEGSNVNWVGEKDGKKFHKLQLPVLEGEDPLGWIFKVERYFTMNEITEAERLQAVVVCLEGKALNWYYWMEYQKQMLTWEDFKRDSLNRFHHSQKINQYVVLMGLKQVSKVTVSREEFEKAQTLREITEMAQKVEDRNYVLQEVQGFKFDQSEEVQSTSFNGIAVAKSKGQFKRLSNLEFARKRELGLCFRCDEKFGPNHRCKNRKLNLLIISEAPNTDEDETEEFFESIGEDVVEGEMKGTMMVLNMNSVVGLTGGNHGFLVEMNQVTITNGVAVVFIKEVVKLHRFPKSIVSNRDRVFISHFWKELFKLESTTLRYSSSDHPKTDGQTEVLNRSLETYLRNMAGENPKEWSHWLSWAEYWLNTSFNRSAELELQVEPEAVRQIRKLINGKLEVLIKWKNLSDFENTWEEYAIIDQ >Solyc06g083440.3.1 pep chromosome:SL3.0:6:48889396:48891530:1 gene:Solyc06g083440.3 transcript:Solyc06g083440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVFNLAEVSQHNNAKDCWLIISGKVYDVTKFLEDHPGGDDVLLSATGKDATDDFEDVGHSSSARAMLDEYYVGEIDSSTIPTSVKYTPPKQPHYNQDKTTEFIIKILQFLVPLIILGGAVGLRFYTKQSTS >Solyc08g075013.1.1 pep chromosome:SL3.0:8:59272769:59277423:-1 gene:Solyc08g075013.1 transcript:Solyc08g075013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEFETSMKPQLLPCGRTIHFAKMSTTPAPLSPKFVPAEKSGITSVLSSVWYHITFWLRTIVHNSSDVISYLETCILR >Solyc06g074360.3.1 pep chromosome:SL3.0:6:46130399:46134814:1 gene:Solyc06g074360.3 transcript:Solyc06g074360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRNMNFHVHQDNMDVCFDQTPNFIEWLKPSSNNSSPSSSSSTIMNTFLKLPQLYNPQDQIQCLPLLSKLSDPKTEKEEEDYQVKEENMEKVRVSLHIGLPDVDETKPFSYNKKEENKEKYSTIINKGCNFNSESRFWIPTPAQILVGPMQFACNICNKTFNRYNNMQMHMWGHGSEYRKGPESLRGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGTKSFSCRKCGKTFAVKGDWRTHEKNCGKLWYCVCGSDFKHKRSLKDHIRSFGKGHSPHPSLDGFEEKYCINSSTGGSSDDDD >Solyc02g080680.2.1 pep chromosome:SL3.0:2:45412582:45415337:1 gene:Solyc02g080680.2 transcript:Solyc02g080680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQIMNVKGVTISHIKSHLQMYRSLKHQEMQEAANGRKRNRIDGADSMNNPRANLVHCYNHINGKAAVVDGSDQMNFPQGNLVNGYNHIKGKATMFDGSDQMNFPQGNLVHCYNHNNGKAPIFNGSNQMNFPQGNLVHCYNHNNGKAPIFNGSNQMNFPQENLVHRYNHNNGKSVFDGHLNPTVTTNYLEKIASSSTVFPPPWFVSFFFLPFFC >Solyc11g010120.2.1 pep chromosome:SL3.0:11:3242947:3265412:1 gene:Solyc11g010120.2 transcript:Solyc11g010120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4D5H3] MGYTLLFFFILLQSLNLFAISTTLKPYFYSRTCPKAEFIVKEVMKKAMKRETRSIASVMRLQFHDCFVNGCDASLLLDDTPNMLGEKLALSNINSLRSYEVVDEIKEALEQACPGVVSCADLLIIAARDAVVLSGGPYWEVKLGRLDSLTASQEDSNQIMPSPRSNATYLIDLFSRFNLSVQDLVSLSGSHSIGKGRCFSIVFRLYNQSGSGRPDPSIEPPFREKLDKLCPLGGDGNVTGDLDATPELFDNQYFKDLMNGRGFLNSDETLFTNSITREYVEEYSVDQERFFNDFAEGMVKMGDLQSGRPGEIRRDCRVVNSYRPGVAVLFETSNKKG >Solyc02g091690.3.1 pep chromosome:SL3.0:2:53564454:53566163:1 gene:Solyc02g091690.3 transcript:Solyc02g091690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTQEDFLEEIVSPRIENWNNTFANAWNIESPTFYQQNPEFIPSNSSLLDLIMSPSQSNYFPCPDFQESSYPFLHSFTTTTPPQLVIDSTTYNNNNIQERAIIEEGQIGHFSTDFHGHYEDSFSCYNINKVVKMEEATSRIVGEKKSKNYKVKKVEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYVKELLDKINRLHEENEIKDIKFLGNFKGLKTNEALVRNPPKFDVERRNEDETSIEICCGTKPGLLLSTVHTMEALGLEVQQCVVSCFSDFSMRASCSESVDHRTILSSEDVKQALFKTAGYGGRCV >Solyc11g040226.1.1 pep chromosome:SL3.0:11:38846411:38846682:-1 gene:Solyc11g040226.1 transcript:Solyc11g040226.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTGWRVCMDYWKLNSWTVKDHFPMPFIDQMFDRLAGKGYNKIFIAQEDQEKTTFTCSYGTITFKRMSFGLCNAPTTF >Solyc03g005510.2.1.1 pep chromosome:SL3.0:3:387850:388377:-1 gene:Solyc03g005510.2 transcript:Solyc03g005510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMWCVPPKKNLSHIIINMDSSSSSSSSKGKKVIQLEKENSKDSNDLIKYRGVRKRTWGKFAAEIRDPTRQGARQWLGTFDTAEDAARAYDKAAFNLRGHLATLNFPNEYYSKLNDPHYYNYRTSLNVNIPSRSLERGISSIGHQDKEIIEFEYLDDSVLEELLGAEDPKKIIRK >Solyc01g007110.3.1 pep chromosome:SL3.0:1:1658815:1666623:1 gene:Solyc01g007110.3 transcript:Solyc01g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSNRIDRSSLKPGDHIYSWRTAYIYAHHGIYVGDNKVIHFTRRGQEVGTGTILDHILVSSIPNRSTVPCTVCTPPSDGHGVVSSCLDCFLAGGILYRFEYAVTPAIFLAKARGGTCTLAVSDPDDIVVHRANYLLTNGFGLYNVFKNNCEDFAIYSKTGLLVVDDSTMGQSGQAVSIIGGPLAAVMSTPLRLVTTNVYGMVATAVGVYCASRYAADIGMRRDVMKVSAEDLTTRLTTGLLRVVEPSLAVLPPTTS >Solyc03g005860.3.1 pep chromosome:SL3.0:3:581462:589178:1 gene:Solyc03g005860.3 transcript:Solyc03g005860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGDEKIPFYKLFAFADRNDIILMLFGILGAIASGVSKPLMSLMFGDLIDSYGTSDQSNILDKVSRISLKFVYLGIGTGIASLLQVACWSITGERQVTRIKCLYLKTILRQDIEFFDTQSATGEVIERMSGDTILLQEAMGEKVGNFVMHMSTFIGGFVVAFIKEWQLTLVLLATIPAIAISFFCAALVLSKMSGSGQAAYANAGKVVEQTVGGIRTVVSFTGENLSIVDYNSKLENAYKPTVNQALASGIGLGTILMFSLFSYGLAIWYGAKLIIDKNYSGGDIVTVIFSAMLGGSSIGQASPSLSAFSAGQAAAYKIYETIKRTPKIDPYDPRGIQLEDIKGEIELKDVYFKYPARPDVQIFSGFSLYIPSGKTAALVGQSGSGKSTVISLLQRFYDPEAGEILIDGVDIKKFQLKWLRQQMGLVSQEPVLFATTIRENIMYGKENSSEEEIRNAIKLANAAKFIDKLPKGLDTMVGGHGTQISGGQKQRIAIARAILKDPRILLLDEATSALDVESERIIQDALSNIMINRTTVVVAHRLTTIRNADLIAVVNLGKLVEQGTHDELIKDIDGAYSQLVQMQQNNKHVENTKGKEIEDSNAQKRLSCSKNPSGRSQKFSISSWKSASKGSSSRYSLAYDLGVTAAIDFHESIRRDDGAESSEYIVDSNRNLSTQKLMSLAYLNKPEVPIMLVGTIAASINGAVYPVFGLLLSTSIKIFYESHHELRKDSRFWALMFVVIGVVVMIVAPLQNYAFGIAGAKLIQRIRSMTFAKLVYQEISWFDDPANSCGAIGARLSSDASTIRNLAGDALATIVQNISTVATGMVIALIANWILALIMLAILPLLVLQGIIQIKLLQESNAEAKFSANFRCRKTVPSALPEKLTSSFRLMKLRGRTRYDRSPIEPRGSVGQGSLTYYAGDSELQCKFHFPIYQVANEEASQVANDAIGSIRTVASFCAEEKVMEMYQRKSEAPLKQGAKTGLVGGVGLGFSSFVLFSLYALTFYLGAILVKHDKAKFSEVFKVFFALSMASIGLIALGNLPSDLSKSKGAAASIFEILDSKPRIDSSSSEGIMLDVIEGNIELQHISFKYPTRPDMQIFKDLSLSIPAGKTVALVGESGSGKSTVISLIERFYDPDQGYIYLDGVELKKLNLRWLRQQMGLVGQEPILFNETISSNIAYGRQGEVTEEEIISVAKASNAHNFISSLPNGYKTTVGERGVQLSGGQKQRIAIARAILKDPKILLLDEATSALDTESERIVQEALDRVMVNRTTVVVAHRLTTIKNADVIAVVKNGVVAEKGTHDVLMNNTQGVYASLVALQTGAT >Solyc09g056080.1.1.1 pep chromosome:SL3.0:9:47314270:47314515:-1 gene:Solyc09g056080.1 transcript:Solyc09g056080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILESICDLEFPDTSHFHLGRGFHSVLRQIKEEWGTSCRFLEFDIRKCFQTIDRHRLIPIFKEEIDDPKLFYPINKVFSAG >Solyc05g005510.3.1 pep chromosome:SL3.0:5:368210:371005:1 gene:Solyc05g005510.3 transcript:Solyc05g005510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPKKQQPVFTKVDQLRPTATGLNLTVKVVNTKMVVPRGNQGRQMRLAECLVGDETGMIIFTARNDQVDMMKEGATVILRNAKIDMFKGSMRLAVDRWGRIEVTEPASFSVKEDSNLSLIEFEQVTVVEQ >Solyc03g117820.1.1.1 pep chromosome:SL3.0:3:68323336:68324592:1 gene:Solyc03g117820.1 transcript:Solyc03g117820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPPHQHSRINLAELKSQIVRKLGPERSKQYFYYLNRLLSLKISKVEFNKLCLRILGRENITLHNQFIRSILRNACSAKVPPPTPETDVSRPGAAVGSNEPLSDAYEQNGSHVSSSQASSQPGLSNGDILPLSPRKVRTGYRDRRTGDRRSALGQNGKTNFSFQQPTATESIFDVMENGDINPPDSRRAVQHYQGLLQQTDDEREASGQETARFSVIKGSQEGPASIYNKVHVRDDGKELHARSQLQAPLGVPFCPVSVGGARKALPLATNSKCVTSSSYGALLDSVSLGERMEQIAAEQGLEGVAIGCANLLNNGLDSYLRGLIRSCVRLVGARSGHEPIRNNTKKQQTHVKLVNGLRPGLHSQISSGRPSEVMQEHAPNNLISLQDFRVAMELNSRQLGEDWPLLLEKICSRAFEE >Solyc10g009480.3.1 pep chromosome:SL3.0:10:3571449:3573793:1 gene:Solyc10g009480.3 transcript:Solyc10g009480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMISRLLMLSLFIMLISLAQRKGMVKGFDEDAKALCSVNLAQFLPPPYGGLENMICQPVWNSYLLRYSQTKDNVVTIVLSTVYTTGWVGMGFSRDGKMINSSCMVGWVNPEGQGKIRQYYVEGLTPSKIKPEKGELPLTSVPPLVYLQGATIYLAFQLKYPNRLKNQPILLAFATKYPHHHHLTVHDDKTTILFDFSSGNSFDVSAGSNDYTSSRKTHGVLGILGWGLFCPFGAIFARYLKNQRSWYYFHVSAQFIGFILGFAGVVLGLQLHNKLQVHIPAHEGIGILVLVLSILQVLAFFLRPDRDSKYRKCWNLYHGWVGRIALFFAAINIVLGMHYAGAGEGWKIGYGFLLGMIMLVCIVLETLLRLKKLDDPTHLPTYPMNSI >Solyc01g056945.1.1 pep chromosome:SL3.0:1:57621023:57625821:-1 gene:Solyc01g056945.1 transcript:Solyc01g056945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHIEKYCRQKKNRSCHSSHRVNFTNVLQVGKSKEEVSWPRTHHILTNVNGMLKECAQGTWLLMKILFVTLDISDETKVKLRNGALVEAHGKGSVKFPTKEEFKAMVERVSDCKLKYIRFDIGRMEISQSREGVFLSQKRYALNMLKRFNLDKCKVVETPLVVSEKIMKDDSEERVDPKVYRSLIGSLLHLFFEQQEARYCSTIFTRKSKPTMFYVDNKSPFAMAENPGQHRKTKHINIRFHALRDAEKNRAVKIPRMLRNFGPFLVELYSIKRWEGFKCHPRCANLNVTQLSFVNDLLLFNGGVNSKFKQFSLASKLHANKLRNVAILWSGDAKMTKIALITWDELCQPVSIGGLNFINVGLWNQPAMCKLLRSSCQRKEKMRTIWVHTYYTKVRSVWETSTMNASLMIKNVFKVKEYFEVVAYNMTGVQ >Solyc10g049473.1.1 pep chromosome:SL3.0:10:45844578:45846750:1 gene:Solyc10g049473.1 transcript:Solyc10g049473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLEELENQCVPISKLKKYATEWVIKVLVIRRSLTKEYKNTNGEGIRWLVDEELELAFMNNTEVVEDKSNFKTDKFSNGFITFDEAEKITNGSLFVKALTGEGRSIRREVIVTNERYDRKVMTLWGDFAEIEGQMLQSLESDKPVLAFCDVKLSIYQGDFVLSTTPVSSLLINTQFEKANNLQKWNDNMKAEKIDVSLMPSRLMQTARQVKISNILNGSLAIVKDMYYKFNATVTDIDSNTDPWYPGCNKCYKRVTVINSIATCTYCRAEDVDYEARYRLKIDVTAEDQFISITMFDAAKYYFGCNVKEYVLSTSEKKEQSPYYRKMVLSKGKEFSILVKIDRKFPDVYTNMNVIAMEIHEVSKKLPLDQTKVKMTITKRKSKRTKILSDDEKIKGIVAGIPYVEKDIAAVETDIENPPKKNMQED >Solyc09g092585.1.1 pep chromosome:SL3.0:9:72088724:72090388:-1 gene:Solyc09g092585.1 transcript:Solyc09g092585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLVMGDLIGRNKTKRILLHDQMDSMEQGTKVVSYAFCHTKCHSRAPSSAGSKWNQFKILALKINITIQKPLSMEFCIVSLAISNGPGGYNLNVSFITALKYGRFRISSSFTMDFLPTISRISA >Solyc01g103785.1.1 pep chromosome:SL3.0:1:92196789:92198260:1 gene:Solyc01g103785.1 transcript:Solyc01g103785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQEKNSYSLVFLSIYIYILEPQTHNTKNEFEYKELEKATENFSQSRLIGKGSHGCVYKGILEEGKNIVAIKKQSLGLQKIHDNSKLENEVSILSSLPENSFIVNFLGTSHHDSSSKNETFLIMEYLPNGTLYQMLHAKNNIIPNWPKRAQIAIQVAKAIQFLHQTKPNSIVHRDIKSANILFDSNWNAKLADLGLALRMKNDDQDESPSYSYSYNSVTRPAGTIGYLDPDYTKPSKLSTKNDVFSFGVLLFEIMSSRKAIDVSKSPVSIVDWATKLIKEGQSLEICDKRMHVPWYMEATIKNMLSIATRCVSPKKITRPTIEEIVMEMENVIIDTTNNVTKTCKVTE >Solyc10g081430.2.1 pep chromosome:SL3.0:10:62619296:62623038:-1 gene:Solyc10g081430.2 transcript:Solyc10g081430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERNVYLMGFFGRKSLFSMFSVTSILFLLSLLFVMRSTGRRHFFYLNVLPKSKVLALSEEGYSQSSSQSDYEVSNSNRAVFKKGTLEKYNVLKCNPSKQILKVFMYDLPPQFHFELLGWKSEGKRVWPDIRKLVPTYPGGLNVQHSIEYWLTLDLLLSEFDDNLIGRSASAIRVHNSSEADVIFVPFFSSVCYNRFSKLKQKKKTSPNTLLQQKLVTFLTAQEEWKRSGGKDHIILAHHPNSLLDARMKLWPAMFILSDFGRYPPTVANVQKDIIAPYKHVIRSYENDTSNFDSRPTLLYFQGAIYRKDGGSVRQELFYMLKDEKDVHFSFGSILKGGVKQATDGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSEFCIFVRTSDAVKPNFLINFIRSITKQEWTRMWERLREIENLFEYRYPSKDNDAVQMVWQAIARKVPTVNFKVHKSSRYYRSPVSKDGGLKSFPLPKNILQET >Solyc06g060420.3.1 pep chromosome:SL3.0:6:38544527:38551206:-1 gene:Solyc06g060420.3 transcript:Solyc06g060420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTKEQLLVRLQELQIDFTKHEHPVVLTVEAQAKHVGHLNGALSKNLFLKDKKHRFYVVSAMAKTNVDLKVLSQRLGLGKGGLRMAPEEALGEVLQVPLGCVTPFALVNESARCVSLLLDQGFKTQECCFFHPLSNDTTIALNARGLDKFLSSIGKQSVYVDLEANPPVGKDQPPDLASLVPSDAISIPGQPDKAAPSLVSDMSHAPVNNKSTGVSAKAVKPSTDVRKEKLSNGVSLSTSFADPEKYVEDFIERTSSLVLSEITEENVKQYGEKLGENISNTIRKRLAMELKSTATMFKNTAYSEGFSAGTRQQAKRL >Solyc05g009640.3.1 pep chromosome:SL3.0:5:3847240:3849824:1 gene:Solyc05g009640.3 transcript:Solyc05g009640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLALIFSHHNNDEANNTISFSNINNIIGAKFGERRSGEQALEHLLAERKRRKRISKLFVSLASLIPGLNKMDKASILEGAATLIRQLGERAKEDDHHQSTIGMMTKNNLLPEVEIKSLEKELLITILLYKNQQKRNIDEILSVIQRLHLTIKTTNFMPFGTTSMHITVIAQV >Solyc01g090420.3.1 pep chromosome:SL3.0:1:83963551:83977686:-1 gene:Solyc01g090420.3 transcript:Solyc01g090420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWQRVCSYAARALANLAAHGDSNSNNAAVGQEAGALEALVQLIRSPHDGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVTLAQSCSNASPGLQERAAGALWGLSVSEANSIAIGREGGVAPLIALARSDVEDVHETAAGALWNLAFNPGNAFRIVEEGGVPALVHLCSSSISKMARFMAALALAYMFDGRMDGVAVVGTSSESNSKSVNLDGARRMALKNIEAFILAFSDPQAFSAAAASSVPAALTQVTESARIHEAGHLRCSGAEIGRFVTMLRNSSSILKACAAFALLQFTIPGGRHAQHHVRLLQNTGASRILRAAAAAATAPIEAKIFARIVLRNLEHHQIESST >Solyc03g071750.3.1 pep chromosome:SL3.0:3:20715316:20722311:1 gene:Solyc03g071750.3 transcript:Solyc03g071750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQELDSKLRIENNLPNPQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRAKKKDTGNVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDTFSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVADSLEYIHSMGLIHRDIKPENLLLTSDGRIKIADFGSVKPMQDSRITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGFSPFKDTSEWLIFQRIIARDIRFPNYFSNEARDLIDQLLDIDPSRRPGAGPDGYASLKNHPFFSGVDWDNLRLQTPPRLAAEPKGHSTRTSGEDHDPSWNPSHIGDGSARPNDGNGGTPSSSEANSVTRLASIDSFDSKWKQFLEPGESVLMISNVKKIQKLTSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSNDLNIQVISPSQFKVCTPKKVMSFEDAKQRAMQWKKAIETLQNR >Solyc03g063250.1.1.1 pep chromosome:SL3.0:3:36158430:36158642:1 gene:Solyc03g063250.1 transcript:Solyc03g063250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENMRGKMGLVSDVVTYVILEAKDFGPPPFIDDGCVEEASIGISQAKPVYSGFGSLEFFLHLKEIFIVF >Solyc07g032640.2.1 pep chromosome:SL3.0:2:52336031:52337320:-1 gene:Solyc07g032640.2 transcript:Solyc07g032640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTKVGGVSARNNLPLRSAQSLSKAFGLEPSASKLSCSLQADLKDFAQKCTDAAKIAGFALATSALVVSGANAEGVPKRLTFDEIQSKTYMEVKGTGTANQCPTIDGGVDSFSFKPGKYNAKKFCLEPTSFTVKAEGVSKNSAPDFQKTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELQKENVKNTASLTGKITFTVTKSNPQTGEVIGVFESIQPSDTDLGAKTPKDVKIQGIWYAQLES >Solyc01g006840.3.1 pep chromosome:SL3.0:1:1409990:1415474:-1 gene:Solyc01g006840.3 transcript:Solyc01g006840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVHAKISKDGFFSSSWWSRSPEMIAYEKKESSFQEGVDVVQSNPPELAKIESRKSDVIGTEQVMIVVTDEKKDAWMIKSEEMITITVDLKQEKTSNAKTKKKPHNVKRMASAGLQVDSVLKTKTGHLKEHYNLGEKLGHGQFGTTFLCIEKGTGKKYACKSIAKRKLLTDEDVDDVRREIQIMHHLSGHQNVISIKGAYEDAVAVHVVMELCTGGELFDRIIKRGHYSERQAAELARTILGVVEACHSLGVMHRDLKPENFLFVNEEEDSPLKTIDFGLSMFFKPGQIFDDVVGSPYYVAPEVLRKRYGPEADIWSAGVIIYILLSGVPPFWGESEEEIFDEVLHGDIDFDLDPWPKISQGAKDLVRRMLIRDPKKRLTAHEVLCHPWVQIDGVAPDKPLDSAIFTRLTQFSAMNKLKKMAIRVIAERLSEEEIAGLKEMFKMIDTDNSGQITFDELKIGLKKFGTNLNESEIRDLMKAADIDNSGTIDYGEFVAAMLHANKIEKEDYLFAAFSYFDKDGSGYITADELQKACEEFGIEDVHLEEIIQEADQDNDGRIDYNEFVAMMHKGNADLGKKRLPNNFNIGYREPMLAC >Solyc10g078270.2.1 pep chromosome:SL3.0:10:60234965:60237869:-1 gene:Solyc10g078270.2 transcript:Solyc10g078270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D269] MENNKVGGGCLSNFYQRGKPYIAMISLQFGYAGMNVITKVSLNGGMSHYVLVVYRHAFATLAIAPFALLLERKLRPKMTFMMFFQIFILGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEKVHIKKLRCQAKVIGTIVTVAGAMLMTLYKGHVINLVWSNNIHTNNNVSQSNETSDKDWLKGSILLIGATFAWASFFILQAITMKKYTAPLSLTTLVCFMGTLQSIAVTLVMEHKPSAWAVGFDMNLLAAAYAGIVSSSLAYYVQGLVMEKRGPVFVTAFSPLMMIIVAIMGSFILAEKIYLGGVLGAVLIVAGLYSVLWGKYKEYQEKEIEGPLKGVMTNDIEMQTSEVVIGVPMQQPTILAKEVPKA >Solyc01g087415.1.1 pep chromosome:SL3.0:1:82239872:82241086:-1 gene:Solyc01g087415.1 transcript:Solyc01g087415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIAANTNVPVSAKCRIGLDEHDSYCELFERSASAEMGSQRVTMGS >Solyc12g057120.2.1 pep chromosome:SL3.0:12:64142644:64148469:1 gene:Solyc12g057120.2 transcript:Solyc12g057120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREENVYMAKLAEQAERYEEMVQFMEKVSTSLGSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEEHVKCIKEYRSKIESELSDICDGILKLLDSNLIPSASNGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLSAYKAAQDIANTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQQYLLKEKEEKSTTVANPVEETEVKASSTAPSEEDTPKTEETPVVESSEAVPATSEESSGASDSTTPEINEASPPVEAESENHESADETPEIKLETAPADFRFPTTNQTRHCFTRYVEYHRCIAAKGEGAPECDKFAKYYRSLCPGEWIDRWNEQRENGTFPGPL >Solyc04g053107.1.1 pep chromosome:SL3.0:4:51613579:51629347:1 gene:Solyc04g053107.1 transcript:Solyc04g053107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQSLLSFTQDIVPKTINLSKQGPMRICVHSATGGIRNVALQESAMGGGIVTYEVVLSSFIPEKEKPESKGDDDAPKDIRIVVVNSFSTKKEKPKSKGDDDDPKGI >Solyc03g044200.3.1 pep chromosome:SL3.0:3:8468021:8471944:1 gene:Solyc03g044200.3 transcript:Solyc03g044200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPDLTMESSNPKVITCKAAVVWKEGEMMKIEEIQVDPPKSNEVRIKMLFASLCHSDIIASNGFPIPLFPRVLGHEGVGMIESVGENVTNLRKGDIVMPLYLGECRECPNCKSGKSNLCHKYPLTLSGLMLDGTTRMSIHGAQVLYHSFSCATWSEYTVINVNYVIKVDPQKIPLQHASLLCCGFTAGYGATWREVHVEKGSTVAVLGLGAVGLGAIEGARSQGASKIIGVDINESKRGKGQVFGMTDFINPKESRTSVWEMIKDVTEGLGVDYVFECTGIPSMLNEAIEASKLGIGTIVVIGAGHGLTREFNLVPLLCGRTLKGSIYGGIRLHSDLPTILHRCANKEIQLNELITHQIPLTEINQSFELLKDHHCVKIIIKF >Solyc09g065040.1.1.1 pep chromosome:SL3.0:9:63070552:63070968:-1 gene:Solyc09g065040.1 transcript:Solyc09g065040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVKTPLTLVLILALSSELAKGIPNTNLTQVLCNGAQYFKDDPFAISLAYVLAELVSTTPSRQGYDYHNISPYPNAFAYGHAACNNNTTTTSLTKQDCKTCLDSAKDNLLTSCDAQIGGRVLLVDCTMRYEQYPFDD >Solyc05g054700.3.1 pep chromosome:SL3.0:5:65402133:65407294:-1 gene:Solyc05g054700.3 transcript:Solyc05g054700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGKEDASAGGADGSQEQCQLANGRRMYWRSASWSSSRTSLPPLNPDTEKDGLDPNGSNSSHGRRPLTPRSQHSFKARSCLPPLQPLAIARRSLDEWPRAGSDDIGEWPLPATPSGRDSHSNSERLKLDLSNIHKNPEANGGLVRREKIAFFDKECSKVAEHIYLGGDAVARDKDILKQNGITHVLNCVGFVCPEYFKSDFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQHGKVFVHCCQGVSRSTSLVIAYRMWREGQSFDDAFEYVKAARGIADPNMGFACQLLQCQKRVHASPLSPSSLLRMYRVAPHSPYDPLHLVPKMLNDPSPAALDSRGAFIIHIPSSVYVWIGKKCEAIMERDASGAVCQIVRYEKVQGPIITVLEGEEPLYFWDAFSNFLPLMDKLKNGRDVVESSSKICPGERKVDNYDVDFEIFQKATSGGFVPPFASCETEHETHLPVRESSWSMLRRKFVSGNNMRDFVFSTKSGISRVFPDSMFVKRDNCGTNQLDSSSMLSSSSLCSPSPTAISLSSTSSSSSASSPPYLSPDSISSDSSINSKCLSDSPVVSPSVISCADLTSSTPSDSSVSVLPSKISPQSISKTSKYIDVNFTSQTSSQTAPPLSKKFPLSIAERRGSLSKGLKLPSLTDDFDSKSGHLKSVANDQGDVGISEATNESFNEAGTAAEILHSPQEIIECKMDELHSSLDILGIGIPSDKESAVFSGFRELWEKIPCNERSTAVLNGVVDTGGASCNLVEHVVYRWPSLEKLAICSIDDLDSKNAYIFIIPTSGSGKDAGRAVYVWVGRSFICEISKVRKVSCKGLGGDPGEIDWKQAASDVLHRMVLPNDTNIEVVKEDEEPAELLAFLSSPVKLTCSRAHQAFQ >Solyc01g020345.1.1.1 pep chromosome:SL3.0:1:29260538:29260963:1 gene:Solyc01g020345.1 transcript:Solyc01g020345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRKYALELISKSGLGGAKPTCTPLEMNQKLTSIQYDEHINNGIPEGDTILADITKCQRLVGKLLYITMTRPDLAFSVQVLSQFMHCPKKSHMEAALRMVRYINEAPGLGLLMPANDTNKLTAYCDSNWGACVVTRRSVT >Solyc10g080510.1.1.1 pep chromosome:SL3.0:10:61887834:61889846:-1 gene:Solyc10g080510.1 transcript:Solyc10g080510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade XII lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4D2U1] MYYLGKISYLTNFVHLLIIFIFLVLRVDCLSFNYQNFTKQNENDFITTEHSYIEFGAIQVTGDARGTSISNLSGRIWYSQPMNLWNRRKNRTASFNSTFVINIKPESDPWGEGLAFILTKESGYESIPDESYGQWLGIVNETTNGSSLNNIFAVEFDTRKSYLDDLDDNHVGIDINSINSVNQVSLMDRGVNLSRAVDVIASVQYDGESNILKVYTFMSNETGVNERNPIISMPLDLSSYLPEDVFVGFSASTGIYNQLNCIKAWNFTSTDIGNSNEVSLLWLWILIPVISVLVVFLGGVFVYFSWWRKKKRTQVLDQSENIEIQIQNSATAPQRFQLKDLKRATGNFDPKNILGRGGCGVVLKGMLDQKEVAVKRFFNDSSQGAKDLIAEVTTIGNLHHKNLVKLIGWCYESNELLVVYEFMPNGSLEKLIFCEENGKGLSLNWEIRYGVICGIAQALDYLHNGCQKRVLHRDIKASNIMLDSELNARLGDFGLARTVQVNGKTHHSTKEIAGTIGYMAPESFHIGRATVETDVFAFGVLVLEVACGRKPGNRRYEENNYTNRVIEYVWDLYKIGRIIDAIDVRLDRDFNEEQAECVLILGLACCHPNPYERPSMKTALQILTGELVLPDIPTEKPAFVWPAKAPSSNEASSDSLQEGQLTPITVLSGR >Solyc10g005110.3.1 pep chromosome:SL3.0:10:95333:103569:-1 gene:Solyc10g005110.3 transcript:Solyc10g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAVLSSPSSSSTSTFPLRYSSLSSVANLSSLPLTIGCRSTSKKSTHNNCSIKVQVSSRMIEKETPETERPHTFLRESDEGSSGDESNSVRARFEKMIREVQDSVCAALEDVDGGGKFKEDVWSRPGGGGGISRVLQDGNVFEKAGVNVSVVYGVMPPEAYRAARPVDNGNTKPGPIPFFAAGVSSVIHPKNPFAPTVHFNYRYFETDAPKDTPGAPRQWWFGGGTDLTPAYIFEEDVKHFHSVQKGACDKFDASFYPRFKKWCDDYFYIKHRDERRGLGGIFFDDLNDYDQEMLLSFSTECANSVIPAYIPIIEKRKDTPFTDEHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHQPVEGSEEWKLLDACINPKEWIC >Solyc09g075445.1.1 pep chromosome:SL3.0:9:67564484:67566803:-1 gene:Solyc09g075445.1 transcript:Solyc09g075445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLLRDSLMEVENPELPSNRLIIRKQADTAKIVHYFDVEDSVDAVEIFWLNFEEHLYKRKSNN >Solyc03g094040.3.1 pep chromosome:SL3.0:3:57186494:57189076:-1 gene:Solyc03g094040.3 transcript:Solyc03g094040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEVRCFEPRRSLWAIPPPKNPTASATQAEKEEVDSRSIYVGNVDYACLPGEVKLHFQSCGTVNRVTILTDKLGQPKGFAYVEFFEREAVQNALLLNESELHGRKLKVSAKRTNVPGMKQFRGRRFNPYAGFRPRRAFVPGAPAIPPFG >Solyc06g072860.2.1 pep chromosome:SL3.0:6:45076579:45078239:-1 gene:Solyc06g072860.2 transcript:Solyc06g072860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPALAELNFVVIPLLSTSHLIPLADMAKLLAQQGVTVTLVTIALNAARFTAAIDRAIHSGLLIRVVELEFNGKEAGLPEGCENHNDVPGLNYRRQFFAAIDMLQEQAEKLLEEMKPKPSCIISDAYVAWTADTADKFQIPRIVFDGMSCFTQMCMHNMYILRDQNKIPESGTFVIPDLPDRIEVTKAQLPPHFNPAGAVFIQDIHDKIRRGNKASFDQEDSLKKWLDSWEPETFVYACFGSLGRCAEIQFVELALGLEASGISVGVPLITWPLFAEQFLNEKLLVQALKIAAGVES >Solyc12g062295.1.1 pep chromosome:SL3.0:12:31873537:31882674:1 gene:Solyc12g062295.1 transcript:Solyc12g062295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDVWDWEESIWTLETEEAMSTTTQAPILVQELAPFEVQVAAPRPQLTVYKTSSPIQYDTHAQIQAPVVDLEDQGIWKKVQAKEYSVIEQLTKTPSQMSILAILQSSETHRNVLLNILGEAYVPSNITYGEVFEAYKISFNNDELPLEGTTHNKALYISVQYQDKVINKALVDVVELVGNIELQPWFSQKIIDMMAWFGFELGKGLGAELQGIVEPIQPYTTEEWLDWQPPRDGYYYPLKKPIPPLHQSFRSTGFMGGIIDEISEDLKGLSLTKEEAKVCNVVINKEEKGGPSGSKEAKIRVSNWTSTPSRPCQASRYKSSCTDKFQTELQQQATTTLSNSGEA >Solyc01g103570.3.1 pep chromosome:SL3.0:1:92020099:92028798:-1 gene:Solyc01g103570.3 transcript:Solyc01g103570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRGSKKGKSKKLRTTGTSEGASNNGSMNSETSSGTEDIDNDGVNSGVEAETPSSTGTAQPEKPVIVYSGVPRDKPPGLAVYGRMKVKLKTKKTLDTQLNSSEVATHSDTDKSSQQAGPEKQVVSNEKMEDSANSLPEANVTTPGIVLKKPGGIKIKSSKGFSSSMSPCSNVEIVKEEKSKKQEPELLHRDLRLNKQELDTALEVIRKIMKMDAAEPFNTPVDPIALGIPDYFDVITTPMDFGTICSNLESGGKYMNSEDVYKDVQYIWENCYKYNNKGDYVMELMKRVKKNFAKYWTAAGLYTDHLQSAESSQIKETTPSSHGKEVTKSGSLTHKHNKRLQGLKKHKEGCLCAICVMIRRRQEREEITRSLDDQCEASDDYPDGMKPEETSPVESREYTSSNVENSPETDVDTIMQEKGAERKLSENQDALCEKLGEEMRSEMGIQSKRTGVTSEHLQSGYTSLDEHKAHDQKQNGEPGRELLNDGGKENLQHGNENAVTGLQRPKELQDKYKKAKMWENLRYLENTTAMDLSRVLFADDQRSVWNGPHSLVKRGGSTTRKSSIRSAISMLMK >Solyc08g083345.1.1 pep chromosome:SL3.0:8:65948558:65957790:-1 gene:Solyc08g083345.1 transcript:Solyc08g083345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSSPPPHSGASSQFSTNDIMDQVKTQLEQAYAEQFLETVRDKCFDKCITKPGSSLSGSEGSCISRCVDRYIEATGIISKALFSQR >Solyc01g007140.3.1 pep chromosome:SL3.0:1:1682725:1702095:1 gene:Solyc01g007140.3 transcript:Solyc01g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNKAQSKKQQKRGVDFKKIRRKIGRKLPPAQNATNTEIKSKAIILPEQSIASEKAGLAVSKKGLTLKELLQQTSHHNAKVRKDALIGIRDVLLKFPSELKLHKLAVIEKLRERISDDDKLVREALYQLLKSVIFPGCKEDNKGPINSLMMTYIFNAMTHMAIEVRLMAFKFFDLLIHYFPSCFLLYAEKILQNYEDILQKNKFYLQDKGRLKNALAGLVRCLSLLPCSNQGEGDSLSYNDATRASLHAFDLDLSDKSTDLSGVVNKLTDLLPVLVSCFQDLSPSIHSMAHVDVQSFDCMSLLLQSIDLVVRFFVHASGNNQHDFQNLAPAYKKKNLSISDQSISAVTLKKIWDEFPLSSNHCLSEKDGDRYFMLNIVITEIFLHLSYGSKLSPGLLERFLEFIESSLSEKIHDGREAGKVHHEKHLISLVAFIPKLIMQVSVAWKSRILQAFTKVFENCSAESSMKLACLSVVEEMLLPEQNCLYLDPKDLEILNHSTWIGELPKLLVLLGDKHPLHSKAVLRLQLRVGQTANLSMTPAKEYENMQYFIRAFYCTYSNETVSYGPFMRLPRDIQELSVCCLYYFPFLDKVILESLASCCICHELEPFILFRVMEVLHSAYKAGHIQIADYISFFITLLSRFQVYPEKIDPMEKHEGKSNRGTFKAVVRAVCSWLSQIGDDVLVLQMLEKIVLDEISQKQPVDNIYGFIRLLITLDSKPTRLSEETINRLSEVLPEYFLDVVNNIPEEDDESTKSLIRQTRVYYLLPCFFLFDRSNMLLNQILEVMGSFIRGNVPHSKGALAKDHSSRILSVVSVLLLVLGDIKMQKLLLSCKTQIRNILESMHRLESSEDITMTIEERHKIRSAYDILTAAVSTLEYD >Solyc02g068820.2.1 pep chromosome:SL3.0:2:39295563:39301619:-1 gene:Solyc02g068820.2 transcript:Solyc02g068820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHVSDFGIAKLLEYGQDGIVSTSCDVYSFGILIDGDVYKNQTSLGDERIDAKMQCLLSIIELALSCTLATPDARISMEDSLSTLQNIRLQFVNSRHRKKQLKDLVPKKATCLVMARKRRNLSDIVMGRSCDLLFALAVFVLIIFGHTSLSTVPNISTDEAALLALKSHISFSSNNILATNWSSSSPVCTWIGITCNSRHHRVTTLDISSMQLHGTIPPHLGNLSFLVSIIIDNNTFHGELPKELKLISVRRNNFTGAIPTFLSLLPELRIVHLSSNQFFGEIPSSLSNITQLQVLDMSKNFLKGEIPQELGDLHHMTLFNLENNQLTGSIPPSIFNITTMKKIGLTYNNLTGKLPATICDHLPNLEELHLSANYIHGVIPPNIGKCGKLQILSLSRNELTGTVPTEIGNLTELTSLYLGTLHLEGEIPASISNMSELQNLGFARNRLSGEIPMELGYLQKLLFLSLDTNELTGSIPASIFNMSALQILGIAENRLSGTLPSDLGRGMPDLDGFYCYQNTLSGLLPASISNASRLRVLELSYNSFTGPIPESVSDLENIEVLNLGANNFVSNLALSFLTSLTNCRKLKEITFAENPLDGFLPASIGNLSDSLQIFQGWYCKLKGFIPGEIGNLTGMIKMDLSQNELIGHIPKTIQGLKKLQELSLGGNKIKGTIPDVMCNLYDLGALDLSENLASGSIPPCLGNITSLRYLYLSNNRLNWTLPSSLWSLQDLIEFNISSNLLSGEIPLEIGNLKVVTLVDLSKNDFSGKIPNTLGGLDRMLSLSLAHNKLDGPIPDSFGKMLALEFLDLTNNNLSGEIPKSLEALVYVKYLNFSFNELSGAIPTGGPFANATGQSFLSNYGLCGDSKFRVSPCVIKSPKRSKRKKIILVLYILLGVGMLFLSLALTYVFLRWRKIKKNVDQADVFLLKGKHERISYYELEQATEGFDESNLLGSGSFSKVFKGILKDGTLLAAKVFNVQLEGAFKSFDTECEMLRNLRHRNLTKVITSCSNPDFKALVLEYMPNGTLDKWLYNHNFFLDMLQRLSIMIDVASAIDYLHNGYSTPVVHCDLKPSNVLLDNEMVGHVSDFGIAKLLGAGEDFVQTRTIATIGYIAPGIVIID >Solyc04g005015.1.1 pep chromosome:SL3.0:4:10895:22812:1 gene:Solyc04g005015.1 transcript:Solyc04g005015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLLGSLELGVGGGGGVRVGVGWGGLWGGWYWVVFYFSTVVTAVGGNPVLAPSKSLFLPNPSRRRPLVVFLFNDYLTMVKTTDPLDDLPTGLKLFIKNLHSLTPEKLNDSNFPSWFTTASANLSAHRLMAYVDGTMDVPPATITITATAVTINPDHEKWSVIDAQLRACLLAIINPSEQLHGIQKGSDSMQKYLDSVVTIVAALNRAKSEIPDQDVILCVLRGLSSDYASIKQNIRTNIAHVTFAEVSSWLLTEELNVQMEQKLKVREAGGPAEPHTSLYAQSWQSAGHRGGRGRGFHRGRGGTPGRGSSAGGRGGAVDSSQQRGGYSGGRGGSAGRGSGPPRSSIICQICGKYNHAAWDCCHSDQLLLHQTKYAGELIHRAGVDSCTTAHTPISPSQSTNGADVPFHNPRLFRSLVGGLQYLTVTRPDIQFAVNYVAQKMHSPTEQDFHTLKRILRYVKGTISCGITFFRGDLRLRGYSDSDWANDPSDSRSTTGYLIFFGPNLSPGTQKNRDGYQNPPRRLNIELYRLQPQKSCGSPTF >Solyc09g007190.3.1 pep chromosome:SL3.0:9:807053:812573:1 gene:Solyc09g007190.3 transcript:Solyc09g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLNSGLTVAVSRSIRQTPLHNVNLTTNPFSFNCNFSLTPNFLAISASHRSSSVIYSSRETETPVVSEDTINVLDDVQVFDLNGQGVPISDLWKDRKAVVAFARHFGCVLCRIRADYLAAEKDKMDAAGVALVLIGPGSVDQARKFYEQTKFKGEVYADPSYRSYEALRFVSGITTTFTPGAGLKIIQAYMEGYRQDWELSFEKDTRTRGGWRQGGIIVAGPGKNNITYIHKDKEAGDDPDIKEILNACCVQG >Solyc03g007010.3.1 pep chromosome:SL3.0:3:1555124:1560292:-1 gene:Solyc03g007010.3 transcript:Solyc03g007010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDLKKLKKSGNGSSADSHKKSKKTKIDYGSDSEEIKKSKKKDKKRKALSLDDQENGNSEVLESINLNEDEKKKKKKKKKKKEEDEAKLVEEDSEEKEEDPNALSNFRISVPLKEVLNAKGINALFPIQAMTFDTILDGSDLVGRARTGQGKTLAFVLPILESLINGPTKVSRKTGYGRAPSVLVLLPTRELALQVFADFEVYGGAVGLTSCCLYGNSPMGQQQVQLKRGVDIVVGTPGRIKDHIERGNIDFRSLKFRVLDEVDEMLKIGFVDDVEFILGKVEDASQVQTLLFSATLPSWVKHISSKFLKPDKKTADLVGDEKMKASKNVRHIIIPCSSSARSQLIPDIIRCYSSGGRTIIFTETRGYASELAGILPGARALHGDIQQSQREITLSGFRSGKFLTLVATNVAARGLDIDNVQLIIQCEPPRDVEAYIHRSGRTGRAGNTGIAVMLYDPKKSNISKIERESGVKFEHLSAPQPADVAKAAGKEAAEAIAEISDSVIPAFKAAAEELLHTSELSPAELLAKALAKAAGYSEIKSRSLLSSMENCVTLLLECGRPIFSPSFAYNVLRGFLTEDKVESIKGLTLTADGKGAVFDVSADDLDTFLAGKNAQGVSLEVVTELPRLQEKDQQRGGRFGGGRGDRRNGGRFSGGRGGGGRGYGNFGRRW >Solyc02g087640.3.1 pep chromosome:SL3.0:2:50644356:50649655:-1 gene:Solyc02g087640.3 transcript:Solyc02g087640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase NDUFAF7 [Source:UniProtKB/TrEMBL;Acc:K4BBS0] MLKRLLFQASTQRRSLFGASASLSWCRQYSSSPASTSQSLNGTSVEHLEDSEVSTTPPSAAISIDRSGLYNPPEHSHEPSSDTELVKHLKSIIKFRGGPISVAEYMEEVLTNPKAGFYINRDVFGAEGDFITSPEVSQMFGEMVGVWAMCLWEQMGQPKKINLVELGPGRGTLLADLLRGASKFRNFTDSLHIHMVECSPTLKKLQYQNLMCINKNDTDGDAEEHIISRLTGTSVAWHATLEQVPAGIPMIIIAHEFYDALPVHQFQRASRGWCEKMVDVAENSMFQFVLSKQPTPATLYLLKRFKWAEKEDIGKLEQVEVCPKAMELTQEIAKRIGSDGGGALIIDYGLNGIVSDSLQAIRKHGFVNILDNPGTADLSAYVDFAAIRHSAEEASDDVAVHGPMTQSQFLGSLGINFRVEALMENCTDEQADSLRTGYWRLVGEGEAPFWEGPEGQAPIGMGTRYLAMTIVNKKQGLPIPFQ >Solyc09g018280.1.1.1 pep chromosome:SL3.0:9:14024426:14025757:1 gene:Solyc09g018280.1 transcript:Solyc09g018280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CS94] MPEIILNNYGGSTSLLSTAAAIYAELTPAELPPPEDDVNSNLFDKYELGQLLGCGAFGKVYHARDFRTAQSVAIKVVSKQKILKGGLTGHVKREISIMRQLRHPHIVRQHEILATKKKIYFVLEFAKGGELFAKLAKGRFSEDLSRRYFQQLISAVGYCHSRGVYHRDLKPENLLLDENWDLKVTDFGLSAVRDQIRPDGLLHTLCGTPAYVAPEILGKKGYDGAKVDIWSCGIILFVFNAGYLPFNDTNLMTMYRKIYKGEFRCPKWTSPELKRLLTRLLDINPVTRITIEEIKNDPWFQTGYQEVISVNHPFEFKSWSGPGSNGEFLNAFDIISYSSGFNLSSLVKGNGGFIIKEQFVSRETPEKIIGKIEEVAEVEGMTVAERSGASVKVEGQNGNFVVMVVVNRLTEELVIVEIEKKENDGEIWKKKFKPEISRFVYQG >Solyc07g049450.3.1 pep chromosome:SL3.0:7:59844719:59852046:-1 gene:Solyc07g049450.3 transcript:Solyc07g049450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASVFPLFLIVLHFIATANALYGPTSPVVQLTESNFKSKVLNSKGIVLVEFFAPWCGHCQALTPAWEKAATILKGVATVAALDADAHKSLAQEYGIRGFPTIKVFAPGKPPVDYQGAREAKPIAEYALQQIKALLKERIHGKATGGSSESSEPSASVELNSRNFDENVLKSKDLWIVEFFAPWCGHCKKLAPEWKKAAKNLQGKVKLGHVDCDAEKSLMSRYNVQGFPTILVFGADKESPVTYEGARTASAIESFGLEQLETNVAPPEVVELTSPDVMEEKCNSAAICFVSFLPDILDSKAEGRNKYLEMLLAVAEKFKRNPYSFVWVGAGKQPDLEKHVGVGGYGYPAMVALNVKKGVYAPLKSAFQRQPIIDFVKEAGLGGKGNLPLAATPSIVKSEPWDGKDGEIIEEDEFSLEELMGDDTPNKDEL >Solyc04g071050.3.1 pep chromosome:SL3.0:4:58025986:58033262:1 gene:Solyc04g071050.3 transcript:Solyc04g071050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAYWNRQPQLPQSAGLLKRPRTEYVPDIPQSGMPSAHEVHHYLGRNDDREGPRVVDTQSFGSAYDRSVPRVVDTESIGSAYDRYLQSSQLSSLPVGEANNNYKGVGLVRAGGGGIPTLAVRDPLPSARGPELAPNGRAMVFSGQLPVEPMPRPRYKMDEHDHDSAYLRLQFSKFPGPSVSGNVQFAIGASYGYSSNILAIGFLSQWNFVSEMVQLDRQMQVVVLNL >Solyc01g091610.1.1 pep chromosome:SL3.0:1:85067155:85071831:1 gene:Solyc01g091610.1 transcript:Solyc01g091610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVQLHRTIQTFASSIIRKPKCSLIDKNKKLNELSKLGQTDEARKLFDKMPERDEFTWTTMVAAYANGGRLVEARQVFQEVPTKSSITWSSLICGYCKHGFEIEGFELFWQMQSEGHMPSQFTLGSILRMCAIKGLLSRGEQIHGYAIKTCFDINVFVMTGLIDMYAKSKRVLEAECIFQIMSHGKNHVTWTAMINGYSLNGDALRAIQCFSNMRAEGIEANQYTFPGVLSSCAALSDIRFGVQVHGCIVNGGFEANVFVQSSLIDMYCKCEDLHSAKKALKQMEVNHAVSWNSMILGYVRNGLPEEALSLFEKMYASDMEVDEFTYPSVLNSLACMQDTKNGICLHCLVVKTGYESYKLVSNALIDMYAKQEDLTCAINVFNSMVEKDVISWTSLVTGCAHNGFYEEALKLFYEMRMAETKPDQIIIASVLSSCSELALLELGQQVHGDFIKSGLEASLSVDNSLMTMYANCGCLEDAKKVFNSMQMHNVISWTALIVAYAQNGKGKESLRFYEEMIASGIEPDFITFIGLLFACSHTGLVDDGKKYFASMKKDYGIRPSPDHYACMIDLLGRAGKIQEAEKLVNEMDIEPDATVWKALLAACRVHGNTDLAEKASMALFQLEPQDAVPYVMLSNIYSAAGKWENAAKLRRKMNLKGLNKEPGYSWIEMNGVVHTFISEERSHTKSDEIYSKLEDVIALIKEAGYVADTNFSLHDINEEGRERSLSYHSEKLAISFGLLYVPKGVPIRIYKNLRVCGDCHNAMKFVSRVFDRHIILRDSNCFHHFKEEICSCGDYWHQLPLPGIREITGLILRNIFVSVKSGSDDPGESYVLHDHLMSFPTQELVAIPPPVCFSVASIWLYGPEEKHMLLNKMEAGISDQDLQQDYGTGLKGTFSFV >Solyc01g049890.3.1 pep chromosome:SL3.0:1:46750162:46758404:-1 gene:Solyc01g049890.3 transcript:Solyc01g049890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTVAVALLVAVIVVVEVPFGYTFPSAVPSFLWSPHHYGVASSEAVNYRTLSLKDLVKSVMAEGGWSDLLCSGKEGGQHLDLALVFVGKELQSVDISRPKNANSELVDLLKASVAKSNFSLAFPYIDASEERESVESSLISEFTNTCGHGLEASNIAFSQSCSVEGGSFEKLTDVHSVQNYLLSRITKQSKGQPTLIVLCDQDHRTLGRAEEKTSEGQVLSQLLSYVENLGAKYTALYVSDPFRSIQFPSHWEVERFLTEGTGNKSVDGCDGVCRIKSSFLEGIFVAIVLLIILISGLCCMMGIDTPTRFEAPTD >Solyc05g008930.3.1 pep chromosome:SL3.0:5:3139674:3186374:1 gene:Solyc05g008930.3 transcript:Solyc05g008930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEKRYFLIVSSNLCVLCFILQIDKCSAVDPQFVVCNKSVNCRYGPRISFPFYIEDVQESYCGYPGFGLNCSEQGFPVVHIAGNEYVVEDIRYQDHTFQLKNSVFNSSVRNACVSDIKNVSLDNRPFKFIKEPEFYLLSKCNGSIYQNLSKHRIGFGCGGENVNDWGLAMFANDESFDSALQVCEKHVMVPVEMLGDEGSNRDVDYRGLLRRGFRLKWTASNCSECAASRGHCGFDVINYQFKCYCTDRPHALSCKPSAPYPMIMLRALGSLRSQMAFKGIPLGITSDLSFIYDNSCLRSDLYDTYEGLGGAVLIILVVTSIVCCYKKEHRSCLYFTSRKKSCDRSLTHDFDGSTSYHGVSVFSYVELEEATSNFDSSNELGDGGFGAVYYGKLKDGREVAVKRLYEHNCKRMEQFKNEIEILSRLRHRNLVTLYGCTSKHSRELLLVYEYIPNGTVADHLNGDRAKDGFLPWPIRMNIAVETACALAYLHASGTIHRDVKTSNILLDSNCCVKVADFGLSRLFPNDATHVSTAPQGTAGYVDPEYHECYQLTDKSDVYSFGVVLVELISSMPAVDITRSRHEINLANLAINRIQRCAFDELIDPSLGFKSDAQVMRMTTSVAELAFQCLQLETDLRPKMDEVLETLKHIQGTYTDHIKDEKTNDSIVDNTECNGEKVPISPTSDKVVLLKKIHLPPSPISVTESWFECGGLGAMKFPFTNTTNPECGLCRFDCHTKPYPTIKLGGHKYDAVVKRGDLFLISDPKLQEYLEHKSCKSFDRNFSFPNSPLISFQIVPNLTLYKCKHSHKNNIQKTNDSFFKDFDQYTECEGFNVYYHHPKKTILGNTSAKISGKLPKHCSFVQLPIPLPTPAKPYAGGVGLILLSSTVAYSIWFRKRRKPGSTQFHRDLEVESKYFGFQVPVFTYAELKEATNNFDPLNELGDGGFGTVYYGKLYDGREVAVKCLYEHNCKRMQQFTTEIEILTRLRHQNLVSLYGCTSRRSRELLLVYEYIPHGTVADHLHGERAAEGSLTWPIRMNIAVETASALAYLHASDVIHRDVKTNNILLDNNFRVKVADFGLSRLFPNNVTHISTAPQGTLGYVDPEYHECYQLTDKSDVYSFGVVLIELVSSMPAVDLNRQKNEVNLANLAINRIQRCAFDELIDPSLGLNSDTLAKKMTISVAELAFRCLQLDKDIRPTMDEVLDILRKIQGGEFHQNEKTFDNLFKSKSVDIPPFSSESDEVALLKKVKFISSSDTNSESFSCGNLTDLSFPFSLSTQPDCGIMLLSGCNAKPYPRIQLLPRGDSYYALRNPYHYTVWLENPMFHAKLRQHKCQAFNEKFSLPGSPSISFEIVSAFLDFIKCNSTSSSTPNITNKMKDHFAGYRMYNGCKGFSIYYKLPGGDGKHVRAGNLPANCSLITLPIRPPSDDGGLFNMLIAGFLVEWKLSEDCYKCHYRGGQCQTNITNRFHCAYPNKPHDQGHQENNQHEQVFGVLGLVIICIAVYFIWCCKWRKFNPPHFLSTRKLSYISKNDVEGGSIYFGIPVFSYSELEDATNDFNSSRVLGDGGFGTVYYGKLKDGREVAVKRLYEHNCKRMQQFVNKIEILTRLRHNNLVTLYGCTSRRSRELLLVYEYIPNGTLADHLHGDRAKNRSLTWPVRLEIAIETAGALAYLHASDIIHCDVKTNNILLDQNFSVKVADFGISRLFPNDVSYISTAPRGTPGYIDPKYHECYQLTIKSDVYSFGVVLVELISSMPAVDLTRHSQEINLANFAINKIVKRAFNELIDPSLGFDSDTEILETTTSVAELAFLCLQTDRDMRPTMVEVLDTLKEIQISEFDNEKRADSNLNGDEAKIVTASPFAESEDKFMLKQVKSLPYPNSATNKWVTCSDITTTKFPLSLSTQPECGIMFLSGCDAKPYPRIRLLPEGDWYYALEMHNSSVWLGDTKLQTTLTKHKCQAFNKNFSLPYSPFMSFHMINIISFYKCISTSNNTRNITQKENDHFSGYNMYNGCEGFSIYYNLSRHDDEYIGADNLPTNCSLIRLPIQATHGDLFDVLGPEILVEWKLSEECNKCHYGGGECQANKTNKFSCHKDIDLLASLPVFGGVGSVMITSSAVYFIWRYKKRRFSSSRFFSTRKLSDIFNHDVEGGSIYFGIPVFSYSELEEATDDFNSSRVLGDGGFGTVYYGKLKDEREIAVKRLYEHNCKRMEQFVNEVDILTRLRHNNLVTLYGCTSRRSRELLLVYEYIPNGTLADHLHGNRAKGGLLTWPIRMNIAIETAGALAYLHASDVIHCDVKTNNILLDHNFSVKVADFGISRLFPNDVSHISTTPRGTPGYIDPKYHECYQLTSKSDVYSFGVVLVELISSMPAVDMNRHSQEINLANYAINKIVKSAVNKLIDPSLGYDSDTKTREMTTSVAELAFLCLQTDRNVRPTMVEVLDTLKEIQTNLNANEAKIVVAPPFPESKDIVLLKKVKSLPSSNSLLPGGDWYDAISVPFNYTVLIVDLKLQTILRKNKCQAFNENIFLPDSLSISFNILQIFMSHFYRCNSASSTRKNNEHFSGYKMYNGCKGFNMYYNLPGDDDEVLPAGNLTINCSLLQLPIHPTEDDSFFTSGILQRNSRVIFKHDVEGGSIYSGVLVFSYSELEKATNDFSSSRVLGHGGFGTVYYGEELPNTTELCFNCFFTYLILLKDGGEVAVKRLYEHNCKRMQQFVNEIDILTRLRHNNLVTLYGFTSRRSRELLLVYEYIPNGTLADHLHGDRVKDGSLTWPVRLKIAIETAGALAYLHASDIIHCDVKTNNILLDQNFSVKVADFGISQLFSNDVSHISTAARGTPGYIDPKYQECYQLTSKSDVYSFGVVLVELISSMPSVDMNRHSQEINLANFAINKIATCAFHELIDPSLGFNSDTKIREMTTSVAELAFLCLQTDRDIRPTMAKGRNDSTCPKSFSCGELTDLSFPFSLSTQPDCGIVPISHCDAKPYPRIQLVPGGQWYYAMGKEYTYTILLVDLRLRTTLTQHKCQAFNENISLSGSPSISYTAVDLQNFYKCNRPSSNNKDHFDGYHSYNGCDGFTIYYKFDGVDAEDILAGNHTANCSLIRLPYYQIEPGDDNLVNFLSSVFLVEWKLSDDCNECYYGGVFGGVLVMITCLAVYLIWCYKRRKSNPPHFLSTRKLSYVFKNDVEGGSIYFGIPVFSYSELEEATNDFKSSRVLGDGGFGTVYYGKLKDGREVAVKRLYEHNCKQMQQFVNEIEILTRLRHNNLVTLYGCTSRRSCELLLVYEYIPNGTLADHLHGDRAKNRSLTWPVRLNIAIETAGALAYLHASDIIHCDVKTNNILLDQNFNVKVADFGISRLFPNDVSYISTAPRGTPGYIDPKYHECYQLTIKSDVYSFGVVLVELISSMPAVDMKRHSQEINLANFAINKIVECSFNELIDPSLGFDSDTNIWEMTSSVAELAFLCLQTDRDMRPTMVEVLDTLKEIQTSEFDNEKRAKLLLNQVKSQPSPNSDKWITCSMLGGLFIIAIIIVINIICCCKKSHRSFTNVLPRSTPSDPSTQSYELDSGFSGVPVFSYAELQQATMNFDSSRELGDGGYGTVYYGKLQDGREVAVKRLYDHNARRKEQFATEIEILTRLRHKNLVTLYGCTSKLSDQLLLVYEYVPNGTIADHLHGHKMKDGSLTWPIRMKIAVEAATALAYLHASDIIHRDVKSNNILLDQNFCVKVGDFGLSRLHPTDISHISTAPQGTPGYVDPKYHECYQLTDKSDVYSFGVVLVELLSSMPAVDFSRHNEEINLSNYAINRILRCAFDELIDPSLGFQSDGEVRRMTISVAEISFRCLQHDKDMRPSMVEVLETLQEIQHGEYIHDKKIDCDGNTKESVQVPLSPESEVDVLLKKLNNKFPTSPISVTQVWISDSSTSITTLIGSLVGLCAIACGLWFYMRRRNDFSLFISRNTSGVSMIHHELEERCEYLGIPVFSYEELEEATNKFSSSRELGDGGYGTVYYGKLKDGKEVAVKSLYENNHRQMQQFINEIEILTRLRHPNLVTLYGCTSRRSRELFLVYEYIPNGTIADHLYGHRAKDKLLTWSIRLKIAIETASALAYLHASDIIHRDVKTNNILLDNKFCVKVADFGLSKSFPNDVTHISTAPQGTPGYVDPQYHECYQLTDKSDVYSFGVVLVELLSSKRAVDMNRHMHEINLANYAMNRILKSAFDEVIDPSLGFETDAEVRRMTISVAELAFQCLQVVKDMRPTMEEVHETLKLIKYSEWKNYQKKDINSCNTKTTTVKVHRYPSETDDAVLLKKNTPASPDTVIDTWASSSTTTSTGGLFQPVQEYKLLNGIKGVLLFDVLSDCCNFSSLSPCSSATLWDLRLGFGSESFSGSIAR >Solyc08g005800.3.1 pep chromosome:SL3.0:8:613993:628982:1 gene:Solyc08g005800.3 transcript:Solyc08g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKILQGLCLVIFCLIMLNDKVVIGEGETMVNLTILESAVSKGAVCLDGTPPAYYYEKGYGEGANNWIIYFRINKLHFRGARIFLALIENFLEKGMKNAKNAILSGGSAGGLPALIHCDRFKALLPNSARVKCLADGSYFLHRMVKGTMQLLLRAIFTLLIVLISEGHPVEITYLQSAVAKGAVCLDGSPPAYHFDKGFGAGVNNWFIQLEGGAWCNNATTCLERTKTRLGSSKLMVKTVSFSGILSNKAKFNPAADPRTKVFYRGARIFSAVMDDFLAKGMKNAQNAILAGCSAGSLAAILHCDRFKGLLPSGAKVKCLSDAGFFINTQTISGTSHIEKFYSEVVNTHGSAKNLPQSCTSRLKPGLCFFPQNVAQQIQTPLFLVNAAYDSWQIKNILAPGVADPHGTWRNCKLDILKCSSAQLQTMQAFRSEFLKALNSLGPSSTRGYYINSCYAHCQTGTQETWLRDDSPVLSGTTIAKAVGDWYYERKRFQEIDCPYPCNKTCKNRNFE >Solyc01g111455.1.1 pep chromosome:SL3.0:1:97603502:97605299:-1 gene:Solyc01g111455.1 transcript:Solyc01g111455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHLESVKLAIHALLEVVESFGENIDVAVMTKEHGL >Solyc02g085530.2.1 pep chromosome:SL3.0:2:49019026:49019528:-1 gene:Solyc02g085530.2 transcript:Solyc02g085530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNRGCGPDVGAYNVKIMNIQGGDLEGMKTLIEEMSDAGLNPDTISYSYSMTCYCKNELMDEAEMVYEDLEKNECNANSATFRTLIFICGRFETGYKVFKESVKVQKIPDFDTLTYLVEGLVKKSKLKDAKGMSRTVKKKFPPNLVKAWTKLEEELGLAEAPDIR >Solyc06g084760.2.1 pep chromosome:SL3.0:6:35667219:35670757:1 gene:Solyc06g084760.2 transcript:Solyc06g084760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIQMIVTICCCAIAISLLWKVLNWVWFNPKKLENLLRKQGLKGNSHRILYGDMKDLIMRHIYACFAGKKCFTWMGPRPQVLIMDPELIKEVLSKTYSYQKPRGNPLGTLFVQGLVSYEKDKWAKHRKIINPAFHLEKLKMLSKWEDIVPVEGSHEIDVWPHLQQLTSDVISRTAFGSSYEEGRKIFELQNEQAQHFIEAIRSVYIPGGRFLPTKRSRRVKEINKDVWSLIRGIINKRLKAMEAGYADNEDLLGILLESNFKEIEQQDRKEDFGMSIDEIIEECKLFYFAGARNYISVAPMDTGAVKQARAREEVLQVFGSRKPDFNGLNRLKVVTMILYESLRLYSPVTTLTRLAIEEITLGEVSLPAGVLISLPMVILHHDKEIWGEDANKFDPERFREGISGATKGQVTYFPFAWGPRICIGQNFAMFEAKMALSMILQTFSFELPQPSVTVQPQYGAPLIFHKL >Solyc07g007790.3.1 pep chromosome:SL3.0:7:2438889:2447778:1 gene:Solyc07g007790.3 transcript:Solyc07g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNDWINSYLEAILDVGPGLDDKKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWIRAQATRSPQERNTRLENMCWRIWNLARQKKQLEGEQARWMAKRRQERERGRREAVADMSEDLSEGEKGDIVTDMSSHGESTRGRLPRISSVETMEAWVSQQRGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLLTRQVSSPEVDWSYGEPTEMLTPISTDGLMSEMGESSGAYIIRIPFGPREKYIPKEQLWPYIPEFVDGALNHIIQMSKVLGEQIGNGHPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLRQGRLSKDEINSTYKIMRRIEAEELTLDASEIVITSTRQEIDEQWRLYDGFDPILERKLRARIKRNVSCYGRFMPRMAVIPPGMEFHHIVPHEGDMDGDTEGSEDGKIPDPPIWAEIMRFFSNPRKPMILALARPDPKKNLTTLVKAFGECRPLRELANLTLIMGNRDNIDEMSSTNSALLLSILKMIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQAIADALLKLVADKQLWAKCRANGLKNIHLFSWPEHCKTYLSRIASCKPRQPRWLRPDDDDDENSETDSPSDSLRDIHDISLNLRFSLDGEKNDNKENADSTLDPEVRKSKLENAVLSLSKGAPKSTSKSWSSDKADQNPGAGKFPAIRRRRHIFVIAVDCDASSGLSGSVKKIFEAVEKERSEGSIGFILASSFNISEVQSFLVSEGMSPTDFDAYICNSGGDLYYSSFHSEQNPFVVDLYYHSHIEYRWGGEGLRKTLVRWAASITDKNGENGEHIVVEDEDNSADYCYTFKVCKPGKVPPAKELRKVMRIQALRCHAVYCQNGSRINMIPVLASRSQALRYLYLRWGMDLSKLVVFVGESGDTDYEGLIGGLRKAVIMKGLCTNASSLIHGNRNYPLSDVLPFDSPNVIQADEECSSTEIRSLLEKLAVLKG >Solyc04g051340.3.1 pep chromosome:SL3.0:4:50332092:50337095:-1 gene:Solyc04g051340.3 transcript:Solyc04g051340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKDPTSIPLSQASEDPEDPIKSTPASPNSSTRKACCYFLQSWVSKKFMTGCVVLFPVAVTFFVTWWFIQFVDGFFSPLYEQLGIDIFGLGFVTSLVFVFLVGVFVSSWLGATVFWIGEWIIKRMPFVRHLYSASKQISSAVSPDQNTTAFKEVAIIRHPRVGEYAFGFITSSVTLQTEEGDEELYSVFVPTNHLYIGDVLLVNANDVIKPNMSIREGIEIIVSGGMTMPQRISHVARVARQSERIPLNRIK >Solyc04g074940.3.1 pep chromosome:SL3.0:4:60914225:60917705:-1 gene:Solyc04g074940.3 transcript:Solyc04g074940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSHRGSDFYGAASYRSRDGLSTRQVGSADEIQLRIDPMHGDLDDEITGLRKQVKQLRNVAQEIESEAKYQNDFISQLQMTLIKAQAGVKNNMRRLNRSIIQEGSNHVMHVVLFALFCFFIIYWLAKFSRR >Solyc09g042785.1.1 pep chromosome:SL3.0:9:25832810:25833368:1 gene:Solyc09g042785.1 transcript:Solyc09g042785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSRNRRWMDYSRVSKEYLDGVENIRDLEDDSNGWVRGGVEDILKISLLFASVIGHVFGRNNIGIMSGEHILKSLDETFPVPSEAEGI >Solyc02g093430.3.1 pep chromosome:SL3.0:2:54930572:54939926:-1 gene:Solyc02g093430.3 transcript:Solyc02g093430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPDIYYPSKKSDDFCEDVCGEQSTPGIVSMSRLRCMLRGLDLKAIVFLVVFVPLCIVGIYLHGQKITYFLRPIWQSPPKPFIELTHYYHENVSMENLCKQHGWGIREYPRRVYDAVLFSNEVDMLTIRWKELYPYITQFVLLESNSTFTGLPKPFTFAINRDQFKFVEPRLTYGTIGGRFRKGENPFVEEAYQRVALDQLLRIAGIEDDDLLIMSDVDEIPSRHTIDLLRWCDDIPPILHLHFRNYLYSFEFELKHRSWRASVHRYQSGKTRYVHYRQTDYLLADSGWHCSFCFRHISDFIFKMKAYSHTDRVRFLHYLNPRRIQDIICEGSDLYDMLPEEYTFKDIIGNMGPLPHSYSAVHLPAHLLDNPEKYKYLLPGNCKRESG >Solyc09g010190.3.1 pep chromosome:SL3.0:9:3581594:3586825:-1 gene:Solyc09g010190.3 transcript:Solyc09g010190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4CR47] MWPSAEGGPPEVTLETSMGSLTLEMYYKQAPRTCRNFIELARRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGESIYGQKFEDEINPQLKHTGAGIISMANAGPNTNGSQFFITLAPAQSLDGKHTIFGRVCRGMEIVKRLGSVQTDNTDRPIHDVRILRTTVKD >Solyc11g012580.2.1 pep chromosome:SL3.0:11:5379572:5380838:1 gene:Solyc11g012580.2 transcript:Solyc11g012580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSSSRYLHILIVLIFFSIIPFKSEGQFHDWCIADEQTPDDELVQALKWACENGANCTKIQENQPCYLPNTVRGHASYAFNSYYQNMKQKGASCYFNSAAILTAKDPSHDACKFEVIP >Solyc01g066525.1.1 pep chromosome:SL3.0:1:74409853:74425717:-1 gene:Solyc01g066525.1 transcript:Solyc01g066525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTVAVSSRTSLSFVKTLPHASLPMVVSMNPNVEVKNASPMAIPVAKRALAGRPAPSSFPTLLETEALRADGNVYMRDVVCITIADAASGTSGHNARRFLFTQSCPSSTI >Solyc08g005990.3.1 pep chromosome:SL3.0:8:755281:760750:-1 gene:Solyc08g005990.3 transcript:Solyc08g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSRPKPLNVYLYIPNIIGYLRILMNCVAFAVCFVDKKLFSLLYFVSSKALASCDVWLLYSSFAHHTAIGTITGHQLVQCCGMTEFDGICNPIGRKHTGGAAGKRFSSVQANIFLNNESGSGKTRVRELCIKGLALPACWLFFHRPGLVFLSLLALDISSHWLQMYSTFLVGKTSHKDVKDSSSWLFRLYYGNRMFMGYCCVSCEVLYITLFLLARKETESLIDVLVNTATASWIYLVLLALLLFGWAIKQFVNVIQMKTAADACVLYDMNKKQ >Solyc06g076050.3.1 pep chromosome:SL3.0:6:47365010:47367963:1 gene:Solyc06g076050.3 transcript:Solyc06g076050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI033 [Source:UniProtKB/TrEMBL;Acc:G8Z262] MGQGFSCGTSDEHGLFTAVQCGDLETVKALFERNPSLVHHSTVYDRQSALHIAAANGQIEVVSMLLDMSVKPDLLNRYKQTPLMLAAMHGKISCVQKLIEAGANILMFDSLNGRTCLHYAAYYGYSDCLKTILFAARTSHIASSWGYARFVNVNDGKGATPLHLAARQRRADCVHILLDNGALACASTDGYGFPGSTPLHLAARAGSLDCIRELLAWGAERLQRDDLGRIPYTIALRYKHGACAALLNPSSAEPLVWPSPLKFISELNKEAKHLLECALMEANKEREKNILKGTTYSPPSPTNSDNEMDDNISEVNETEICCICFDQVCTIQVQDCGHQMCAHCVLALCCHKKPNPTTTSPIVPVCPFCRSNIVQLDVIKLEKDDGTSHDIVSPSKLRKSRRSRNFSEGSSSFKGLSAVSSFGRMVGRGSGRIAAENEYIDKPIILD >Solyc09g082710.3.1 pep chromosome:SL3.0:9:68841938:68843008:-1 gene:Solyc09g082710.3 transcript:Solyc09g082710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4CVP7] MSSGAGAGKGGAGRGKPKASKSVSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGQVTIANGGVLPNIHQNLLPKKAGSGKGDIGSASQEF >Solyc08g065860.3.1 pep chromosome:SL3.0:8:54263495:54268191:-1 gene:Solyc08g065860.3 transcript:Solyc08g065860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRVVQMVEENKDPLVVPRTNGITRALPQRLLRLLMLFLFLCFTFSVASIYMIKYFGFHSIVPTIKPSLLPCIEEESKNLDSWINPPSNLLHTMSDKELLWRASMVPRVKKYPFKRVPKIAFMFLTKGPLPLAPIWERFFKGHQGFYSIYIHSLPSFEADFPASSVFYKRQIPSQATEWGKMSMCDAERRLLANALLDISNEWFVLLSESCIPLYNFNVIYKHISRSKHSFVGAFDDPGPYGRGRYDENMLPEVNVSQWRKGSQWFEMSRKLALFIVEDTIFYPKFAEFCRPACYVDEHYFPTMLTIEASNLLANRSITWVDWSRGGAHPATFGKSDITEEFMKRMLQGQNCTYNNRNTSICYLFARKFAPSALEPLFLLAPKYLGF >Solyc01g009320.2.1 pep chromosome:SL3.0:1:3434595:3437210:-1 gene:Solyc01g009320.2 transcript:Solyc01g009320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4ATC8] MDEVEIPQYFLCPISLMIMKDPVTTMTGITYDRESIEMWLLTKEEEAMTTTCPVTKQNLPRDIELLTPNHMLRRIIQAWCIANASKGIDTIPTPKYPLNKSHILRLVRQVNNDKLCVEALRKIDVLVIENNEKNKKSLEEVGAIKAMVSFIVKSYKERKLINGLEEALRIFHLVWSSTIENIQLVKENRDLIEAISWILKSDMTKTQVVIKTQAMMVLKNVIEVSSSNLLSGLNPEFFQDIVNTLRKESEHHISQQATKGALQVLINACPWGRNKHKIIESGAIFELIELELGNTEKRVSELVLCVLAHLCTLADGRAEFLKHAGGLSVVAKRTLRVSSSTDDCAIQIFGSISRCSATKEVLMEMLRVGVVSKLCMVIQASCGEYLKKKAMEILKTHSNVWSDSPCIQVYLLTRYPGQ >Solyc12g098440.2.1 pep chromosome:SL3.0:12:66920689:66925549:1 gene:Solyc12g098440.2 transcript:Solyc12g098440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSQVPKFGEWESDEDVQYTTYFENAAKGKKGSKMNPNDPQYLEAKVKGENGTDTVRQKPERIASRDDVELRKSTGSPMHPDTMGHKVPTYPSPQRHGAKYGGNKSESETMKSTEILTPRHERRPSREEGYLRKPTDSPLRNENMGRRTPMESPHHRYGGLSGGATPKRASQQSVGPDRSIEHSPLHPHSHGRPGGKGGVVSSPSWERKASSEGSHGLAPSTPGRSRLRPVAKGDDTPDDSPAVPKFGDWDENDPASAEGYTQIFNKVREEKQTGSAKVPSSSTDTSYSNSQKRYGNDSGKGCLCFPWGRS >Solyc06g009537.1.1 pep chromosome:SL3.0:6:3542307:3543377:1 gene:Solyc06g009537.1 transcript:Solyc06g009537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAEKIDTNANCPSSEISNILNGSLAIVKDMYYKFNATVTDIDSNTDPWYPGCNKCYKRVTVINSIVTCTYCRVEDVDYEARYRLKIDVTAEDQLLSITMFDATKYYFGCNVKEYVLSTSEKKEQSPYYRKMVLSKAKEFSILVKIDRKFPDVDTNMNVIAMEIHEVSKKLPPDQTKVKMPITKQRSKRAKILSDDEKMKEIVAGIPHVEKDIAAVETDIENPHKKIHARGLTTLSKSLQMIIHRI >Solyc02g085670.3.1 pep chromosome:SL3.0:2:49134307:49149922:1 gene:Solyc02g085670.3 transcript:Solyc02g085670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSARASRLPSSVFRPKSLLPSHLSTMRTRTIFGISISLIIINMAAIMERADENLLPAVYKEVSEAFTAGPSDLGFLTFIRNFVQGIASPVAGILVLNYDRPTVLAIGILFWALSTGAVGASKYFLQVGFWRAVNGFGLAIVIPALQSFIADSYKDEVRGTGFGFLNLIGTVGGIGGGAIATVMAGHEYWGIPGWRFSFIVMATLSCFIGFLVFSFVVDPRKKSSGQHDISKQSDRDELIEKGHSNINTVSIWMESWTAMRTVIKLQTFQFIVLQGLVGSLPWTAIVFFTLWFELIGFDHNSAATLVGLFAAGCALGSFFGGVVADKMSRIYPHTGRVMCAQFSSFMGIPFSWFLLRIISPSISSYSTFAVTLFIMGLTISWCATATNGPMFAEVVPSKHRTMIYAFDRAFEGSFSSFAAPIVGILAEKMYGYDAKSVDPILGSPREALALSKGLFSMMAVPFGLCCLFYTPLYWTFKQDRENARLAAAKETEMI >Solyc10g084033.1.1 pep chromosome:SL3.0:10:63827124:63830951:1 gene:Solyc10g084033.1 transcript:Solyc10g084033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLIMRKFKNNEVVPNDVKEGHFAVLSVNSEEEPKRFIVELHWLNNPLFLKLLKQAEDEYGFQQKGVLEVPLNAQEEPMRFIVELYWLNDPSFLKLLKQTEDEYGFGQKGVLEVHCLAAELQKFSKSSL >Solyc02g091950.3.1 pep chromosome:SL3.0:2:53799986:53806081:1 gene:Solyc02g091950.3 transcript:Solyc02g091950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLYHLLQRESMSCFNTIKESWDVINKVGQIDGGLAQLTKTFHICRELESIDNLSNWLESAYSYLAMVNYPYPTDFLMPLPGSPIKEVCRKIDSLPDGASVLQRIFEGISIYYNYTGKVDCFNLNDDPHGMSGWDWQACTEMIMPMASNMTTSMFPEFYYDPKSNEEQCLKDFRVKPRPTWITTEFGGHAFKSALKAFGSNIIFSNGLLDPWSGGSVLEDVSETIVALTTKKGWGKHITTILSATANMSYHCLFDIASCLTPLRMEYGQSYLANDEIFFA >Solyc07g065790.2.1 pep chromosome:SL3.0:7:67545671:67548926:1 gene:Solyc07g065790.2 transcript:Solyc07g065790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVPYSDPKAESSVVNPPWEDMFRSASMRKPEEPKPQNQVPSEAQPRKENEPNQDSVSADPQVRLALYIAMAHAGLVFTFFIIYGVGKLLEEYLRAMLWAVLCSIPLRGIQQTLVAFWSEPLKLGLTETILAVPVAVFSVFLGTLVDIKEKIFRVVLRRPKGNTTRRHTSGFFVLLRWLMSFGVFVIAYEQIGGMGSVALLALGFMFSANSVDSTMNAVTSLRSHSFRRFAISAFFTRGILKKLKTIVAIGLIVGLSVGSLAGMIFFSYKIGMEGKDAVIALKSHVEESNYAEKIGVKQWMDENDVPGMVDRYTSQVYETVFTQIDGYAMQYNMTEFVSGIKHFVIVPANNTFNQSTALASPSPYAEKLLSLKRRVKDREWAQIYTEVDVMFRELLITREDLVEKAKGFALQGVNVMQRILVSSTSVLGGSMKVMFLIGNSIVSGAAGLFNFVSQLMVFIWVLYYLITSDSGGVTEQVMSMLPMSHSARRRSVEVLDKAISGVLLATAEIALFQGCLTWLLFRLFSIHFLYMSTILAFLSPLFPIFPSLFSTIPAALQLVLEGQYVLAISLSIIHLVLMDYGTSEIMEDIPGYNAYLTGLSIIGGMTLFPSAFEGAIMGPLITTVVIAIKDLYVEFVLEEQKE >Solyc06g076570.2.1 pep chromosome:SL3.0:6:47701267:47706118:1 gene:Solyc06g076570.2 transcript:Solyc06g076570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPRIFGDRRSSSMFDPFSIDVFDPFRELGFPGTNSGESSAFANTRIDWKETPEAHVFKADLPGLKKEEVKVEVEEDRVLQISGERNVEKEDKNDKWHRVERSSGKFMRRFRLPENAKMDQVKASMENGVLTVTVPKEEVKKPEVKIFGDRRSTSVFDPFSIDVFDPFKELGFTVSNSGETSAFANTRIDWKETPEAHVFKADLPGLKKEEVKVEIEEDRVLQISGERNVEKEDKNDTWHRVERSSGKFMRRFRLPENAKMDQIKASMENGVLTVTVPKEEVKKPDVKSIEISG >Solyc10g083563.1.1 pep chromosome:SL3.0:10:63478749:63479168:1 gene:Solyc10g083563.1 transcript:Solyc10g083563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNQYGSTVSGGCALSAMETEGGNGRWPRQETLKLLEVRSQLDSKFKEAIQKGPLWDEVSR >Solyc07g009380.3.1 pep chromosome:SL3.0:7:4435708:4438017:1 gene:Solyc07g009380.3 transcript:Solyc07g009380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:Q9FZ05] MIKTSSCIFTFFLLICFFVVVAFGGTFDQEFDVTWGYGRVKILENGQLLTLSLDRSSGSGFKSKQQYMFAKIDMKIKLVPGNSAGTATTYYLSSVGSAHDEIDFEFLGNVSGEPYTLHTNVYAQGKGDREQQFHLWFDPTKDFHTYSILWNPRNIIFLVDGTPIRQYKNLEATNGIPYPKNQPMWLYSSLWNAEEWATRGGLVRTDWSKAPFIASYRNFNAQTSKNPTANSWLTQSLDNVGLTRMKWVQKNYMIYNYCTDTKRFPQGFPHECTLN >Solyc02g089990.1.1.1 pep chromosome:SL3.0:2:52314913:52315398:-1 gene:Solyc02g089990.1 transcript:Solyc02g089990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICSSCESTSIATAKLILQDGRLQEFPYPVKASYLLQKDPSIFICNSDEMDFGDVVSAISADEELQPGQLYFALPLSNLKRRLKAEEMAALAVKASSALNNCGTEKYGCRRKGSDFLVEKKGKRRDNNDSAAAAELRRARSSGGSGRRGKFTARLTAIPE >Solyc03g033760.2.1 pep chromosome:SL3.0:3:5410250:5414446:-1 gene:Solyc03g033760.2 transcript:Solyc03g033760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPWLASLHIFLVNYRLKSFALHEQMSKLYIMHLLHILFLKLLKTLDSQFGSGHGRGRSSFKSRDCGGKGCGFGGRGLQPQINSSNHNTCISAAGQPPLGNGGTYPVSYNSYFVGTNAPSRGVLGSLDSSNDPAVQSLDPSSSIGTSHGKLNPYTTASTSYSSTFTIMRLLVLFSMPELLSVLGFYVYSSLFIWNSYLLLYVDDIILTLSHVSLVDDPIECLSRQFSVKDLGDIYYFLGVHATRTSTDLHLSQQKYVSDLFLKFHMHTCKPIHTLFASRTSLSLVDGALQYLTLTRPNIAYALSVVSQFMHALRVPLIYSVKRIFRYLQGTITHGLFMSASSSTSTMVAYSDVDWVGCPDSQCSTTGSAVFLSSNLISWLAKKQSTVSRSSTEAEYRVIACTVDDESHLDSTCSF >Solyc04g071700.3.1 pep chromosome:SL3.0:4:58760882:58763818:1 gene:Solyc04g071700.3 transcript:Solyc04g071700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHVIRICSAFPFASIAKLSLHPSKSNFAISLSSLNFSDGSRLSFKVESQKRNDVVFDEAAYEAERHRLDAEARKSMAETSEIETQNQQDPKAWKWVIRKRVWDLMEAKNIAQFPRPVHHRIPNFVGASLAADKLSELEEFKVAKCVKVNPDTPQKQVRFLTLNGGKQLLTPQPRLRTGFFSVLEESMLSAGTIKEACTSAGVAKYGRPIGLEEKIKVDFIVLGSVAVNPMTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTSVHDEQLVDDIPIDKLLIHDVPVDIICTPTRVIFTNTSIPKPQGIYWEKLSPEKLSQIRILRQLKSKIEQETGQKLPTGPSEKLPPTAQRRR >Solyc01g079220.3.1 pep chromosome:SL3.0:1:78165275:78170610:-1 gene:Solyc01g079220.3 transcript:Solyc01g079220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLAAMGVSQISSSIYMPELPLKSQNPQPSSIFLKRIGVAHKRFNLVKATVDGSPVPAPSPGLYSAKQFELTPENVDMVLEDVRPYLISDGGNVEVVSVEDGVVSLQLQGACESCPSATTTMKMGIERVLKEKFGEAIKDIRQVYNEQVVETTAEAVNAHLDILRPAIKNFGGSVEVLSVEEGNCNVKYVGPESIGSGVKAAIKEKFPDILNVVFTD >Solyc07g017475.1.1 pep chromosome:SL3.0:7:7364475:7365304:1 gene:Solyc07g017475.1 transcript:Solyc07g017475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNILAHHEKNGSIKVDYIRSGWSVSHAFNECLSAILRLTPFLLVNPKPVLEDEIEDRWKWFEGCLGALDGTYIPIRVPIQHKPRYRTRKGEIATNVLGVCDRNLNFTYVLPGWESPAANSNYYLCDGGYTNGKGFLSPYRGYRYWLKDWRRDNPSPRCKEDLFNMRHARARNVIERAFGLLKGHWGILRSPS >Solyc01g005385.1.1 pep chromosome:SL3.0:1:261136:265411:1 gene:Solyc01g005385.1 transcript:Solyc01g005385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKMMLMANSLTHVRPLTCAAAAVYPSRLVAQPPDLIKWVKTEGGFVHKSIKVAQGDTFGLGLVASEDIPKGSDLIALPQHIPLKFDGSTSESENSHSALIKLAQHVPGKVVLSQYRLAFEYLIKGVNWKLGAFGRGAVGYEIGFEASARESEERFVLVAIHQQSPRDLLSADFLPWRGYKELAVNKRCRFLLDFEKILKHELENLKPDDHPFSGQDVDSSALGWAMSAVSSRAFRLYGGKRPDGTRSNVPMMLPLIDMCNHSFDPNAEIVQEEANTNRNMLVKMVAGREIKQNDPLLLNYGCLSSDLFLLDYGFVIPSNPYDCIELKYDAALLDAASMAAGFTSPNFSSPSPWQQQILSHLNLDGPNSDLKVTLGGGELVEGRLLAALRVVLSNDEEAVKQHDLETLKSLTVEAPLGISTEVSALRTVVALCVIALGHFPTKIMEDKSLLKQNVSPTTELALQFRIQKKSLIVDVMRDLSKRVKLLLAK >Solyc07g032798.1.1 pep chromosome:SL3.0:7:44305344:44308943:1 gene:Solyc07g032798.1 transcript:Solyc07g032798.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNLCTDSEKKQVGESSTTLKRQRHCHKYDVEKTQQLEDEECQRPSEDQQNQLGRKVGLDSKQIMEIPQAKDDKLDNYTLRQENELFRCEIMAMKEKKKNNMCPQCDGPSIGEEERMHNLENLKLESQQMREEVNL >Solyc10g006940.1.1.1 pep chromosome:SL3.0:10:1365810:1366250:-1 gene:Solyc10g006940.1 transcript:Solyc10g006940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQNIFLLCSLLLLLLLNLGYANNGPIPGSTKAQKQENMSSHAIVPGFSVWNQSKLIIFKKPLIIYRCFYGCLPPPKKHHHHHRHHRSPPPPSPSPSPSPFPFPPPFNQHHHHRPPHPRHRHHQPKPNTIMDQPKPMQKGKQDGH >Solyc03g044090.3.1 pep chromosome:SL3.0:3:8053964:8058566:1 gene:Solyc03g044090.3 transcript:Solyc03g044090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYMKLGSKPDQFQTQGDSIRYVAAELATDMIINVGDVKFYLHKSYRLQKLIACTNEENIDEINIHDIPGGPAAFEVCAKFCYNMTVTLNAYNVVAARCAAEYLEMYESVEKGNLIYKIEVFLTSSIFRSWKDSIIVLQTTKAFLPWSEELKIVSHCLESVASKASVDTSKVDWSYTYNHKKLPSENGSDLHCNAISCQQLVPIDWWVEDLCELHIDLYKRVIVTIKTKGRISSEAIGEALKAYANRRLPGFSKGSIRGSDPEKYRYMVDTITWLLPKEKNSVSCSFLIKLLQTSIACECGQTMRSELKRRISLHMEDATVGDLLIRAPDSETIIFDIDIVHDLVENFMLHQKRGQIDSSADNKFHDIWPPFASDDSEVKVARVIDGYLAEVARDPNLPVSKFINLAELVSSFSRPSHDGIYRAIDMYLKEHPGITKSERKRICRLMDCRKLSAEACMHAVQNERLPVRIVVQVLFFEQLRATASSGGGSTPDLTRPVKSLLPVGSQRCSTSATSNIEDNSDAVLTAKELKDLKGELATLRLRDRGDNNCSNLNDMIKNAATVDDSKVRSSVMSKKMFSKLWSNKDRQSENSSSDTSESPSSSNAGETKSTSCRVGGIPSLNIS >Solyc11g072530.2.1 pep chromosome:SL3.0:11:56012228:56022812:1 gene:Solyc11g072530.2 transcript:Solyc11g072530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:K4DAW0] MEYIDNLPPMDLMRSEKMTFVQLIIPVESAHPAITYLGQLGLLQFRDLNADKSPFQRTFVNQVKRCAEMSRKLRFFKDQIQKAGMLPSPRPASQPDIELEELEIQLAEHEHELIEMNGNSDKLRQSYNELLEFKMVLQKASDFLVSSRSHTTAQETELSENVYSNDNYTDTASLLEQEMQPELSNQSGVRFISGIICKSKVLQFERMLFRATRGNMLFHQGVADEEILDPSSNEMVEKIVFVVFFSGEQARSKILKICEAFGANCYPVPEDMTKRRQITREVVSRLSELETTLDVGLRHRDKALTSIGFHLTKWMNMVRREKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKIKIQEALQRATMDSNSQVGIIFHVMDTVDSPPTYFRTNCFTNAYQEIVDAYGVAKYQEVNPAVYTIVTFPFLFAVMFGDWGHGICLLLGALVLISKESKLSSQKLGSFMEMLFGGRYVLLLMSIFSIYCGLIYNEFFSVPFHIFGGSAYKCRDASCSDAQTVGLIKYSDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVVQMNLGIILSYFNARFFNSSLDIKYQFVPQVIFLNSLFGYLSLLVVVKWCTGSQADLYHVMIYMFLSPFEALGENQLFWGQSVLQVILLLLALVAVPWMLFPKPFILKRLHTERFQGGTYGLLGTSELDIYEEPDSARQHHHEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYDSLVIRLIGLSVFAFATTFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFNPFSFASLADDDD >Solyc07g054330.3.1 pep chromosome:SL3.0:7:62820106:62822534:1 gene:Solyc07g054330.3 transcript:Solyc07g054330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYAKQTSCVLNEDHLSGLPDDILIHILSLLPLKDAVKGICNASRRFHKLWPFIHTLNFDQCMFPEHDCNYYLEASRPDYDESFLHSVRHVLLRSKSPTILKFCLKFHFRLSYSFWQRISNSTDIRLYDFLRSEKRMANEIGTWIQFALNKNLEVLDLSFSEHGIVGPQALYDLPNCVLNSPHLVELRLTHCTINAKKKSKLKSLKTLYLNKVVLMGQSIDYILSGCPMLEELTLQLCYGHIRVVVLNSNLKTLKLDIGWSLRRIYVSCPTLLSLDVSGAVDVLDIANVASIAEVSVKRNLIFDFDVHKDYQGIRILLQTFTGTKTLNLCSWFALVFSAWQLKNLPSPTFSCKSLHLKSDFMIWNLPGILNLLKHCPCLENLTIEITSSHNEFTSHKQLSWYRLYKFDADEYWNMVDAPVQCLIHHLKTVEVAGFVEKHLVIQFLEYLLRHSMVLKKMKIFAEKQTAKYYEERLLNIPKASASAAVLFY >Solyc01g005110.3.1 pep chromosome:SL3.0:1:99356:103282:1 gene:Solyc01g005110.3 transcript:Solyc01g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIVKILALLLAVTAVWIGLLQTSTIPESYTWLLPLYLIVSLGCYGLLMVGVGLMNFPTCPQEALFLQQDIVEAREFLKKKGVDVGSD >Solyc02g022850.1.1.1 pep chromosome:SL3.0:2:24692534:24694159:-1 gene:Solyc02g022850.1 transcript:Solyc02g022850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIYLFLLLLKFNSLSSHAAAASVFDTFVDCLTKNSIPQSEISKIVYTPNNLSFNSILQAYIRNGRFFNSSTTSKPVIIVTPTVESQVAGVVVCTKQLNLQLKIRSGGHDYEGISYISDVPFIMLDMFNLRSISIDTNGKTAWVQAGAILGEVYYNIWRKSPVLGYPAGVCPTVGVGGHVSGGGYGNMLRKFGLTVDNLLDARLVDVNGRILDRKAMGEDLFWAIKGGGGASFGVILAFQIQLVTLPQTVTYFRVERFIQDTTTTDAVVQWQNVASKIDNDLYIRLLIQPITVKSKSKGAKSSKSIRATFVALFLGDSTRLMSLISKQFPLLGLKKQDCVEMSWIDSVLQWANFDNTTKPEALLNRKGDPLTHLKRKSDYVQTPIPKKELESIFAKMISLGKAGMVFNPYGGRMGEIAEGETPFPHRAGILFKIQYSMNWHEEGLTAEKEYLSQIRDLYSFMTPYVSKNPRQAYLNYRDLDIGINDQVSQHSLEKGRVYGTKYFNKNFDRLVKVKTMVDPQNFFRNEQSIPTQTKQRKIM >Solyc10g050190.2.1 pep chromosome:SL3.0:10:48008436:48022684:-1 gene:Solyc10g050190.2 transcript:Solyc10g050190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGDLEIVLHCVSNHKKGYLAKLVAGIHHNRPNKLRSPRKVEILKGTVSLSPQVPDATQGPVAIFWDIEICPVPSNVRTEDVAGNVRMALQVHPVIEGVITMFSAYGDFNAFPRRLREGCQRTGVKLIYVPNGRKDAADKAILFDMFVFALDNPQPSSIMLISGDVDFSPALHILGQRGYNVILFIPARVSVSSALCNAESFVWDWPSVARGEGLSPPVKALIPPRGGVADVSGMLMGCQMYENSDKQNEEEATVCRGLSRSNYNARNFSMISESLAEYNGILISLPCHPGGMPSHSLPSGLTEVPAVGPSLFGQSDVTSVPPADINGLKGQLVKLLELFGEYGACKFMDLLKKMADAISVEGKGQRKFAYLRNGRAGSSTSPLIVAKKDRKGKRMQLVNADIVTTPESSDEFSDDERLVIDMGGAIYKLRYKRPLDYESFGVTELEQLLKKVKDVVVMQEEPVSKRSFRHRLWIIDVCPVNNSSVESAIAGQFCNAIRLKSERMFTVRMKNKGTQIGVI >Solyc03g083460.3.1 pep chromosome:SL3.0:3:54782108:54785890:1 gene:Solyc03g083460.3 transcript:Solyc03g083460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKTIALFFLLCYLISTTVVVASTGCFTSACSKDEPLIRFPFRLRNYQSLDCGYPGFNLFCDALNRTIIRLPGSPGEFTIEAINYSTQELWLNDRNNCLPQLLLNLNLSSSPFLGVYYQDFTLFNCSFDHTTLKLNPIGCLSGSNYTVYATSSMRGFDLLSKSNCKSIGTLPVPVQWPFFEQVVSSDLSGDILLTWDNPDCRICASRGGRCSLKRTPFNREIICENSRGIAFPRGARYAIIVGAGVPAMLFLIGLLCFISGRIRSCRRRAQPVLEFSSAVTPQPIVFTGLDGPTIESYPKTILGESRRLPKPDDNICPICLAEYQPKETLRTIPECLHCFHADCIDEWLRLNASCPVCRNSPMCVHGTDF >Solyc04g050055.1.1 pep chromosome:SL3.0:4:45271296:45272473:1 gene:Solyc04g050055.1 transcript:Solyc04g050055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPKGAIPGTEDTLKRLLTLFYWKGMEKDVKVFVQKCDVCHRSKVDLAASSGLHNPYQFLRVRKYDHFIPLKNPYTTQSVAKVSMDVIVRLHGLPDNITSDRDVVFLRESSAVEVDNILVNRELKLQLLRNYLIHAQLRMKQQADKYRSDRSFSVGNWVYFEVRPNRQVIISETPHHKIAAKYYGPFLIIRQVDPEAVLHQRLVKKDNKAVMQVLVKWKDLSANAATWEYLNILKTRFQCFDP >Solyc03g090990.1.1.1 pep chromosome:SL3.0:3:55531004:55531333:1 gene:Solyc03g090990.1 transcript:Solyc03g090990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQASITLFLSLNLLFFALVSADCSTDILKFGACTNILNDLVGVIIGTTPTSSCCSLIGGLVDLEAAVCLCTAIKADILGIHLDIPISLNILLNVCGKNYPTGYTC >Solyc01g008220.3.1 pep chromosome:SL3.0:1:2285373:2289692:-1 gene:Solyc01g008220.3 transcript:Solyc01g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNPKLFVHGMPVPFIDELFVLASDGIEFQIDNIPSLGEVQAKGTIYLSNIRMVFVAKNPTNNFNAFDIPLLFVHGEKLNQPIFFCKNISGYVNPVIPANDNENSIIPHSFKIMFKECDCEAFIPLVFELIGKVRQRYRRSRAKHRVDRLHEATKTPVDEMTRYAYVDPNDSTSIFLQLQPTPESRLVSRMN >Solyc10g076320.2.1 pep chromosome:SL3.0:10:59335165:59339269:1 gene:Solyc10g076320.2 transcript:Solyc10g076320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSQQGKKGINQDAMTVWEGIDTIVTRYILLLQNFGGQKGMIFCGVFDGHGPSGHKVARYVRDTLPSKLSSSNVISANRWDAEKDDESNNPVFDAWKDKFIMSFKEMDEELEGDGSIESYCSGTTAVTLVKQGEHLIVGNLGDSRAIICTRDDKNELVAKQLTVDLKPNLPAEYERIKSCEGRVMAMEEEPNIYRVWMPDQDCPGLAMARAFGDFCLKDFGLISVPEVYYRKLTEKDEFIVLASDGLWDVLSNNEVIRIVASARKRSIAARLVVHHAVRAWKYKYPCAKVDDCAVVCLFLKRQKPLLTKSLSEVTELSLNYSEIATSKNYSPNSKIDDGLDTLLNYQVKEEKDPNVATGLYDDHKKSSSRHARYLSRRKSTVNF >Solyc01g100860.3.1 pep chromosome:SL3.0:1:90641404:90650565:-1 gene:Solyc01g100860.3 transcript:Solyc01g100860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSFGKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKG >Solyc10g005770.3.1 pep chromosome:SL3.0:10:609912:620817:-1 gene:Solyc10g005770.3 transcript:Solyc10g005770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIESTYEIHQNAYIKLVLHASKHKTSAVNGVLLGRISGDSAVVEIVESVPLFHSQIGVLPPLEIALIMDANPVLFGQIEEYYFDKGLSIVGYFHANERFDDSELGSVAKNIADHIYKYFPQAAVLLLDNKKFETLSKEGKDRSPVMQLYTKDTSRSWKLVGSDGSSRLKIKQPSANVILLDFISSGKWKDIIDFDDHLDDISNSAANPPLLKQRETLKSFDLCDTSDCIEDSLFNLMASTPSVKSSLEKLNKSAGIQAVERNPRRSAPSQVSKSINSGSTTSKELQHNDREVASKQLMAEATTKKKSNSYQQVGSADLLVDKFDSSLYLGNLKHAPSGAASALCEAKGSQCAVDQEKKASGNGDIKDNSAPAKVSDGAGSLAKTSGSAKVSDRADFVESGKSSICRGSTSTDVSDESTCSSFSTTINKPHKANDSRWEAIQAIRAKDGTLDLRHFRLLKKLGSGDIGSVYLSELCGTKCYFAMKVMDKASLAGRKKLLRAQTEREILQSLDHPFLPTLYTHFETEKFSCLVMEFCPGGDLHTLRQRQPGKHFSEQAVNIFAWFWCSVVCTINCFSVDKDSPHVFYVAEILLAMEYLHMLGIVYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKLSSIDVEPLRKNSGYCVQPTCIEPSCIQPSCAVTTSCFGPRFFSSKSKKEKKSKNDTGNQVSPLPELMAEPTGARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFSARDLIRGLLVKEPQNRLAYKRGATEIKQHPFFEGVNWALIRCASPPEIPRPVEFERFSAQQPSSTSEKPVAVTVQYQQKSDNYLEFDFF >Solyc03g113240.3.1 pep chromosome:SL3.0:3:64926623:64936307:1 gene:Solyc03g113240.3 transcript:Solyc03g113240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATTSYLSNLEHGFSSKPRFRRPLIPSNTAPRILAMAPKKKVNKFDDNWKKQWFGAGLFYEGSEQVEVDVFKKLEKRKVLSTVEKAGLLSKAEELGFTLSSIEKLGLFSKAEELGLLSLLEKSASFSPAALASAALPILVAAILTIVFIPDDTVGLVAAQAVLAGALGLTAVGLFVGSVVLDGLQEAD >Solyc06g073260.3.1 pep chromosome:SL3.0:6:45281639:45286328:-1 gene:Solyc06g073260.3 transcript:Solyc06g073260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVAIQPKQPSFSLLPSSNSDFNGARLISSFQYKRKPCLSKGALQVTASSEKKILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPISQQLPGESDQDYADFSSKLLHLKGDRMDFDFVKSSLSAEGYDVVYDINGREATEVEPILDALPNLEQYIYCSSAGVYLKTDYLPHFEVDAGDPKSRHKGKLETESLLVSRDVNWTSLRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGMQITQLGHVKDLAKAFVQVLGNEKASKQVFNISGEKYVTFDGLAKACAKAGGFPEPELVHYNPKEFDFGKKKAFPFRDQHFFTSIEKSKAELGWKPDFDLVEGLTDSYNLDFGRGTFRKAADFSTDDMILEKKLVPQS >Solyc02g043815.1.1 pep chromosome:SL3.0:2:1678888:1692893:1 gene:Solyc02g043815.1 transcript:Solyc02g043815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYISELTNTPSYNHKNDKRARYQGSYSESQTRGKDSYDRPRQRFQQGQTSRPVQAALPVSEGGQYQQSGPSTGQNSRGSDSFPPCHGRVTTGRSTSGCYDCGALDHWSRECPRRGRGVIVPAPPTSKPVSAVSSSARGGGQIQDRRESRQVTRGGARGGRSQHNLAIAALFHIFILINPMPPSLLGQHTFSHAIKAFFVTPPTPDHK >Solyc03g111270.2.1 pep chromosome:SL3.0:3:63366383:63371971:1 gene:Solyc03g111270.2 transcript:Solyc03g111270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSSSISPNNATTSGAAKYLAGLPSRGLFSSNVLSSTPGGMRVYVCDHETSPPEDQLIKTNQQNILIRSLMLKKQRGECSSKEGKGVSSNDNGRKRAAEKALDSRASAKKATTSNHAASAQGTSKNRAPEIQNMTVEKLRALLKEKGLSLRGRKDELIARLRGDT >Solyc01g097900.1.1 pep chromosome:SL3.0:1:88458866:88461520:-1 gene:Solyc01g097900.1 transcript:Solyc01g097900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYVWFVRKMKMIHHWSGRISGGGTGNRYGHLTGNGGRRLRVFITFHVHVNLIIEVVSVVGRRKTRILVTIHNNDNLDYDVDIDVEGDDNSNFSLSIVDKVTIAVASVSTGILHL >Solyc11g020490.2.1.1 pep chromosome:SL3.0:11:11316559:11317155:1 gene:Solyc11g020490.2 transcript:Solyc11g020490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKISNDTDSLHPRAEFEKKKHKIKRFVQSPNSTFIGVKCQGCFQITIIFSHSQTVVTCPICQQVLCQTTGGRAKTY >Solyc03g096302.1.1 pep chromosome:SL3.0:3:59778327:59783353:1 gene:Solyc03g096302.1 transcript:Solyc03g096302.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMDKAKGSLFFVVILIQTWLVMLILASLLQEAELIAVVEACKELLWMKRFLGELGCVQERRFTGSLRKSFGAHHCCSLLVLQAAKAKRKNGEEGKQGLGNELVEERRRMKKIRDSGSFV >Solyc09g020155.1.1 pep chromosome:SL3.0:9:18844619:18845858:1 gene:Solyc09g020155.1 transcript:Solyc09g020155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDYINGYKMLAYAPWHTVDDVFIPVNLEGRLHWILIVISFNDRCIKVYDSINNSLHHSFVVNHIKKYAQLIPMYLVKSDFYQKKGLDIAIHHRYQGHIVYYSFEIVYVEDLPQQPAASLDCGVYVASYAEFLSERKDIPAVLDPEEIRLRYGALLWNYGNQKIQAGAVSDIEAPLKPVMNRTQNNSSERITIQ >Solyc01g057220.3.1 pep chromosome:SL3.0:1:59833625:59835813:1 gene:Solyc01g057220.3 transcript:Solyc01g057220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4AVT5] MKGGKIFAFGISIILVVGVVIAIIASNNRTQDSSDNDEKDDKVLSTTSKSIASVCSQTDFKKSCVNSLSSMANNQSATPKEFLLKAIEVAIQEVRIGIDRSTYIGKAEAHDPLQKMATDDCKELLEYAIDELQASFSSVGDSSLHTIAERETELKNWLSAVFSYQQTCIDGFTQPEIQKTISTSLLNATQLTDNVLAMVDAMTEILSKFNIPIGKKTDNKSAETAASRRLLENEDNDEQQASNTKSGGYPAWLSASDRKLLAFSNNGNPAPNAVVAKDGSGQFNTIAAALAAYPKNLKGRYTIYVKAGIYDEYITVTKDQVNIFMYGDGPRKTIITGHKSALAGVSTYQSASFSAIGNGFIGKSMGFQNTAGPEGHQAVALRVQSDMSAFYNCRMDGYQDTLYVQTHRQFYRNCVVSGTVDFIFGDSSAILQNCLIIARKPMEKQQNTVTAQGRTNIRATTGLVIQNCRIVPEQLLEPLRFKIPTFLGRPWKMYARTVVMESTLADFIQPAVWMPWDGNFALDTCVYAEYANRGPGANTNNRVKWKGFKVITDRNEALQYTTTPFIQGNQWLQSTGAPHFLGLKN >Solyc03g111450.2.1 pep chromosome:SL3.0:3:63524935:63525834:-1 gene:Solyc03g111450.2 transcript:Solyc03g111450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNDQQPMEINQQQPMENNDQQAMEINQQQPMENNDQQPMEINQQQPREEIEEMDDFKHHHFPISRIKRIIKSENNAIKLSAETPILFSKACELFVLELTLRSWFHAQQNNRGSLKKTDFAAAIRRTEVFDFLADVVPEDEINEVATGFGPGMVGPTVGGGFPYFYPPMGLLAMPGVMPGGPAMLGVMPGGPAMLGPMPGGPAMPGPMIGGPSMPGPMIGGPAVAVVAPSVYVQPPLQAWQPAGDNPNAGGESDGQG >Solyc08g008620.3.1 pep chromosome:SL3.0:8:3008480:3012694:1 gene:Solyc08g008620.3 transcript:Solyc08g008620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVIIVIITYIETMYVHHNLATFTMTILIIFIFQMFYVLPFCSSTSIATFVFGDSLVDSGNNNFLFTLSKADSPPYGIDFKPSHGHPTGRFTNGRTISDIVGEALGDNSFPPPYLAPNVESNATNIGINYASGSSGILDATGTLFIGRVPLREQISNFEESRKYIVNSMGEENAKRFIKKAMYSITIGSNDIINYFQPSIPFISDEKKVSPTTFQDFLISNLTINLQRLHKLGARKFVVVGVGPLGCIPFIRAIGLISKGKCSVEVNTLIRNYNKKLKLELHRLNKYIKPKAIFIYANSYDVFREIILNHKQYGFENADAPCCGGYFPPFVCYKGKDANTSSVMCDDRGKYVFWDAYHPTEAANVIIAKKFLYGDASIISPINILHLHNYGS >Solyc06g048935.1.1 pep chromosome:SL3.0:6:32087499:32089249:1 gene:Solyc06g048935.1 transcript:Solyc06g048935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRLTVIPLGVVDRFKFKLRIQPYVCSAAFLDLTGETGNRGFYARGSGHTSNPLSILKLCRQKPLTLCIKLYIEFLGQNGLQRITPTFSIAYKGYPQYCAPSLNAHTLIQMANWLNSWMLD >Solyc03g113120.3.1 pep chromosome:SL3.0:3:64872973:64877322:-1 gene:Solyc03g113120.3 transcript:Solyc03g113120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMETVFRKSTHGGVVKQDIKIKASEEGFVEDINDLKVEKERKSIHNEDDNSKSSQQKDLTGDKKDDQLESAKADMEEVMEENQRLKKHLDKIMKDYRNLQMQFHEVAQRDAEKTNTDVKHDEAELVSLSLGRTSSDTKKELSKLILSKKENDEKEEDNLTLALDCKFQSSTKSSPSNLSPENSLGEVKDDEKGTDQTWPPHKVLKTIRNEEDDVTQQNPTKRAKVSVRVRCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPNCPVRKQVQRCIQDMSILITTYEGTHNHPLPHSATSMAFTTSAAASMLLSGSSSSGSGPTSSTASATTSALNYCFSDNSKPNPFYNLPHSSISSSSHSQYPTITLDLTSNSSTSSFPGQNYRTIANSNNYPPRYNNNNSSTNILNFSSFESNHLLPMSWSNRNNQDTHSQSYLQNNIKSAASTQTLLPQDTIAAATKAITSDPKFQSALAVALTSIIGSRSGNHHIDEKSGQNMKVTEPFPVLCSFPSTSPGDHKDYTL >Solyc12g036810.2.1 pep chromosome:SL3.0:12:48394214:48399726:1 gene:Solyc12g036810.2 transcript:Solyc12g036810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSILDRVAKDYGRRNNSSVYSSGDVFEPLSSGNAKQRAKLVEWFNSVLPHLSLPINASDEDLRALLVDGSVLCQLLNKLKPGSVPECGGTVHSPQLRSENIRRFLSAMDEMGLPRFYISDLEQGSMKIVLESLLTLRAEFKLNDGGYNSSTVLSSKYGADASRRWKVLDENSGCGDVSYIEEFSSRTHSTPSPRERMKTGSDSKFQRVLRSPVVTEPSAALIHHVGHRFHEVFQLKQGSHSEIPAARISEMMRSNSLNIAPTQSLLSVVNGILDESVERKNGEIPQRVACLLRKVVQEIERRISTQAEHLRAQSNLFKSREEKYQSRIRIMEDLATGTSEETQIVMNQLHQIKDVSILKEKDDQIAALKQELEMAMKSYELKENEDHGKRITALKKELEVVKKSYESKEKEDNKEITALKQEMGTLKKSYELKQKEDNSQEITALKQELEIVKKSYELKGKEANSQEITALKQELEIVKKSYESKEKEKHKQEITALKQELETVKNSYKSNEKEDHRREIADLKQEMEIAKRLYEQHTLEMKEKATKAQQELEEKLKEAMSLLTESRNRIKELETFIESQSRSWTKKEHIYQIFTEFHLGALRELKFSSQSIRQELVKTQQSYGEEFNQLGAKVTALGHAAANYSALLAENRKLHNEVQELKGNIRVYCRIRPFLRGQKEKQSVVEYIGENGELIIVNPSKQGKEGRRSFKFNKVYNPAATQADVYSDIQPLIQSVLDGYNVCIFAYGQTGSGKTYTMTGPDKATEENWGVNYRALNDIFRISQTRVNTFTYEITVQMMEIYNEQVRDLLSSDGSPRKYPFIFCMSEIFYSFDSSFLPLCCYCFVLHFQFISKMTISDLLITCIRLTVFLDLHTLGIVSAPQPNGLAVPEASMHTVNKTSDVLNLMDIGLRNRARGSTAMNERSSRSHRFRITTLQSSSTYFELQYVHSVVTIHVRGMDIKSGSSMRSSLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVIYSLAQKNAHVPYRNSKLTQVLQTSLGTLFYLSPT >Solyc08g062780.2.1 pep chromosome:SL3.0:8:51963076:51966835:1 gene:Solyc08g062780.2 transcript:Solyc08g062780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMHLMERLRPIMSLKGWDYCVLWKLSEDQRFLEWICCCCGGAEKNMHGCGQEIFFPDSSTSTCRDVMFQHPTTTACNLLAQVPPSLALDCGVYAQTLLSNQAKWMNFVPFSESNISNEIMGTRALIPSPLGLLELFSTQQLAEDEKVIEFVSAQCNIYLEQQAMMNSTFSNGVEENNTSKPFPTEGERDRDDHIKDSQNHYKQRVSPAATSDHLSFDFPLKRKQLDSCSMNFLPPFSTYSTPEVDNNTGGNMLFDQSTSDMTHFSENRYMSEMDAYLQKQMMRSSSTQAGIDDESIKHDNGRSNSGSDSDQNEEEDDPKYRRRNGKGPQSKNLMAERKRRKKLNERLYALRALVPKISKLDRASILGDAIEYVMELEKQVKDLQLEVEEHSDDDGTGGGRNSDQIHPVVLSHNGTKNRPKSDNGKLTNGSQREISTNSNGSTDPSRKNQDVEENDKLQQMEPQVEVAQLDGNEFFVKVFREHKAGGFVRTLEALNSLGLEVTNVNATRHTCLVSSIFKVEQKRDNEMVQADHVRDTLLELTRNPSRGWSEMGRASSDNINNNNANGTTDYHQHQLHDHHLDNNNQHKQTNSHHFHTHHHH >Solyc05g012940.3.1 pep chromosome:SL3.0:5:6050019:6059998:1 gene:Solyc05g012940.3 transcript:Solyc05g012940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKNLIFDCRNQLLCGRVTIAKSSDFAAKLQFGQRIAMDTIKQEKVRRFEEFIDRRLKPDLVHAIAERSDLRRNIENLEKNNVTNLKTLVNIGSEVYLQADVPDTTRIFVDVGLGFHVEFTWSEALNYISAREEKLARQIEEYTRLVASIKAQIKMNCSPPRGFSCVRKSSMAWWEGLDEARVLIAKEPSKDGNKVEQLLSLRHPKSGNATCYLCVDESLQELHWFKQSYGSWFLGDYVCEDGRLYTATPVDPVFVLLPIFDEARMKKNDDPGKFRQVDEIIYVVGYPGYQHLSSIAENCMQVVCDVKDVGMTKFFRLNDEKVLKWLCLKVIQLKKTLLTLDKNYAARDKKEILTDAVSIVGEYLKEEPWLKLLCSKLSIDLQEDTKASNSEMHSSPMDYSFESFNHEQEGKSEGQEKTTRNKRQTKKIKVETNSLNIKDMFSRATRRGK >Solyc06g065830.2.1.1 pep chromosome:SL3.0:6:41378900:41380867:-1 gene:Solyc06g065830.2 transcript:Solyc06g065830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLKKSLLLCTFFLEFASGFYLPGSFPHKYYVGDQLSVKVNSLTSIDTELPYGYYSLPFCKPLEGVKDSAENLGELLMGDRIENSPYRFKMHVNESELFLCQTNALSVDESKVLKERIDEMYQVNLNLDNLPAIRYMNKDGFFLRWTGYPVGIKVKDVYYVFNHLKFTVLVHKYEKTNTMPGVIGAGDGVELITTDDKSVIDTPAYMVVGFEVVPCSFQHNIDLLKNLKRYDKYAAPIKCEPATVAGVIQEGKPLVYSYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSMMVITFLAGIVLIIFLRTIRRDLARYEELDKEAQAQINEELSGWKLVVGDVFRVPENSELLSMMVADGCRILGMAVVTILFAALGFMSPASRGTLITGMLLFYMFLGIIAGYVAVWLMKTLNAGNTNGWLSISWRVSCFGPGIAFLILTVLNFLLWGSHSTGAIPFTTYIILLLLWFCISMPLTLIGGFIATKAPHLEYPCRSNQIPREIPSNRFPTWVLVIGAGTLPFGTLFIELFFIMSSIWLGRVYYVFGFLLVVLILLVVVCAEVSLVLTYMHLCMEDWRWWWKSFFASGSVAIYIFFYSVNYLVFDLKSLSGPVSAMLYLGYSLLMALAVMLATGAIGFLTSFFFVHRLFSSVKID >Solyc12g017240.2.1 pep chromosome:SL3.0:12:6426284:6427604:-1 gene:Solyc12g017240.2 transcript:Solyc12g017240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4DD58] MLLQQLSVLALLLLLCPVWADNFYQDATVTFGDQRAQIQDGGRLLALSLDKISGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGQPYTVHTNVYSQGKGNKEQQFRLWFDPTSSFHTYSIVWNSQRIIFLVDNIPIRVFNNHEKLGVAFPKNQAMRVYASLWNADDWATQGGRVKTDWSMAPFTASYRNFNTNACVWSAASSTSSCGGSKTDSVNNDQAWQTQELNGNDRNRLRWVQQKYMIYNYCADAKRFSQGLSPECKRSRF >Solyc09g091920.3.1 pep chromosome:SL3.0:9:71603333:71605978:-1 gene:Solyc09g091920.3 transcript:Solyc09g091920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPPPFHLLIIIIIFSILNHVKATWCVARSDASEESLQNALDYACFSGADCAPVLENGLCYLPNTIQAHASYAFNGFYQRMNRAPGSCDFAGTATIAKTDPSYGSCVYPASPSTAGGSTTTTPSTPGGGTGGATTTTPILFPPPPPGTALPLNSNGGTTPRGRGIPETSNGSYNKLSNLVHVAISMLLLLLVFQLL >Solyc09g065210.3.1 pep chromosome:SL3.0:9:63411722:63420618:-1 gene:Solyc09g065210.3 transcript:Solyc09g065210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PKVPPHTLHTLITLYHTFSPLPHFLSPMENQKLTERSSSSARRSLKRKLEEDLQDDRKVSPSISSEDGHQDLEREVRTQVEILESSFSSSESDRASSKRAIHVLSEFAKNEEIVNVIVDCGAVPALVQHLQAPPLVSEGEGSHIPYEHEVEKGSAFTLGLLAIKPEHQQLIVDAGALPHLVNLLKRHRDAQNSRAVNGVIRRAADAVTNLAHENSSIKTRVRVEGGIPPLVELLEFVDSKVQRAAAGSLRTLAFKNDENKNQIVECSALPTLILMLRSEDTAIHYEAVGVIGNLVHSSPNIKKEVLLAGALQPVIGLLSSSCSESQREAALLLGQFAATDSDCKIHIVQRGAVPPLIEMLQSPDAQLREMSAFALGRLAQDTHNQAGIAHCGGIIPLLKLLDSKNGSLQHNAAFALYGLADNEDNVADLIKVGGVQKLQDGEFIVQPTRDCVAKTLKRLEEKIHGRILGHLLYLMRIGEKVIQRRVALALAHLCAPDDQKIIFIDNSGLELLLELFDSTNLKHKRDGSAALCKLANKASSLSQVDAAPPSPVPQVYLGEQYVNNSTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERDAKDIEIPNIRWDVFELMMRYIYTGSVDVNLDVAQDLLRAADQYLLEGLKRLCEYAIAQDISVESVSLMFELSEAFNALTLRNACILFILEKFDQLSVMPWYSHLIQRVLPETRSYFERVLTRAIQNDMRV >Solyc07g047650.1.1 pep chromosome:SL3.0:7:59005959:59006416:-1 gene:Solyc07g047650.1 transcript:Solyc07g047650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTQDELDRKQLLYSFLIPVMNMYSQILCGLMREQVLRLVAIFSFGLLRAIYFL >Solyc04g025790.1.1 pep chromosome:SL3.0:4:21036083:21036616:-1 gene:Solyc04g025790.1 transcript:Solyc04g025790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITCLYTLFGTTQSALLALFMEKDSVWKLKLDMELLVIVLTEFQLPALVVVCSLQRLSTMEDIFKVKLLLKKCDVNKKLTWMNIMSSMLSACICGLGYYTTLRGQLKEDDEKQERQHDYF >Solyc03g116630.3.1 pep chromosome:SL3.0:3:67449923:67451194:1 gene:Solyc03g116630.3 transcript:Solyc03g116630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMLGSQGFVLATAMAVSAGTVILLDLFRVKYFPATHLSDQQQDYPHNEKQILKSCLSSAGKKKDKTRKKKKKVQFAADVKSSSGNGEEYRRKQMRKFTESRIKSCGNEIVGMPGNRMALYTGILKDRVQRMGFSH >Solyc01g020110.2.1 pep chromosome:SL3.0:1:27650397:27652361:-1 gene:Solyc01g020110.2 transcript:Solyc01g020110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMCLCQRDGAEEIKKKKIFLLLLIAVVVMLVVVEEILMWHTFKLLLRQQEGRPFSLTTNLYPLHKDQDVNKLSSYLKKYLAQKLSLQSEDEVELRMLEMLIRPELPLKHLEKLWLCVAPHSGKRPVKVGASGEEFVMILKYSRSHPKLN >Solyc08g068710.1.1.1 pep chromosome:SL3.0:8:57905614:57906318:-1 gene:Solyc08g068710.1 transcript:Solyc08g068710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPSETITTDVSSENNNVTITGKIYTRVRLATKSDLSHIYKLFYQIHEYHNFTHLYKATESSLANLLFKENPLPLFYGPSVLLLEVSPTPFDEPKNTTDEGFKPILTTFDLKFPVVEGEVEEFRSKYDDKSDAYIAGYAFFYANYSCFNDKPGFYFESLYFRESYRKLGMGKLLFGTVASIAANNGFVSVEGIIAVWNKKSYDFYINMGVEVFDEFRYGKLHGENLQKYAHN >Solyc09g098180.3.1 pep chromosome:SL3.0:9:72550079:72553419:-1 gene:Solyc09g098180.3 transcript:Solyc09g098180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISELFLVKIFPFVRNKKVSRKPIFFPIRNINMSESNQYDSCFDRPRLVIKKVLAKPQSEGNGAVVRRSIGSYFLSQEELIKYCFIVSVSAPAGFPDHPHRGFETVTYMLQGAFTHQDFAGHKGTINTGDVQWMTAGRGIIHSEMPAGEGSQKGLQLWINLSSKDKMIEPRYQELLKEDIPRAENDGVKVKVIAGEAMGVQSPVYTQTPTMYLDFTLQPTAYYHQAIPESWNAFVYIVEGEGVFGIPSSGPVSAHHCLVLGPGEGLSVWNKSSKPLRFVLLGGQPLNEPVVQHGPFVMNSQDEIDQTFEDYQYCKNGFENARYWRSGH >Solyc03g124040.3.1 pep chromosome:SL3.0:3:72252217:72261603:-1 gene:Solyc03g124040.3 transcript:Solyc03g124040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIQMFSHCLLSNLSFAVPNSKPQLFPIRFSCHCNTFFYCNLIRPEQVCHKLRSYAPLVPLAARSSSSKRTEDTEEVIAEAREAVSYYLQELGVSHKESIEVALNSPNYVSMLIDSVRELDEFSLWNSTDFEKAYDPVPAIPPFKSKVYLMAKQKGDKGMLPFLESIGLTLSSATHLARYLSSNSLFQTLPTLINKVKYVKKIFFANSDDGGHIARNARQMMMHLSISIDEDVQQTLSFFQKIQARRGGLHLLGSQDASFRHLIESFPRLLLLPKESHMKRLMVFLDDIGVVEGCKRQILLLFPPIIFYDIEKDVRPRLQAILKDGLEAKDFGQMLLKYPWILSRSILQNYENILIFFDDEKLGDFGIRNQKLGKVIATSPQLLLQKPQEFHQIVCFLRDLGLDDDIIGRILGRCPEIFASSIGRTLKRKLNFLMGIGVSRSHLPRIIRKYPEFFVCDIHRALRPRMMYLMHVGLSKREVALMVCRFSPLLGYSIDEVLKPKVEFLMNSMGKPISDVVEYPRYFSYSLEKKIKPRYWVLNGRNMECSLKSMLGKNDEEFAAEFGKGKMLVPPL >Solyc08g067830.3.1 pep chromosome:SL3.0:8:56926683:56932240:-1 gene:Solyc08g067830.3 transcript:Solyc08g067830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELSSALNSHMDQMADLVEKFSAEMRSSLRPAYDNFIGFFHAIDWTEPWLVGLLSFHAVFLLVCIVSRKNINFQMFLFLFALGGVYGAERLNRILAVNWKSFARQNYFDSHGIFLSTLWSGPLLVISIIILVNTLFSLCYLIVRWKKAELRHRARLASNKED >Solyc03g116920.2.1 pep chromosome:SL3.0:3:67669853:67680891:-1 gene:Solyc03g116920.2 transcript:Solyc03g116920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSPTQKEIEDGLKDCANSLINIPHSTEELITLLDELESLLIRVAQAPADSIKDALLPVMEAVVRSELLKHTDADVIVSVISCIYEISRISAPQQPYDDELMKEIFQLTVRTFEELSHSGPRYQKAVNVLETVAEVKACLIMLDLDCHALVVEIIRMFLRIIRADHPDIVFTSMEIIMVLLIEESDEINMELLQPLLDSLRKENQILSPISSKLGEKVLKKCASTVRPCLLKALKSRSMDLNDHAEIIAYICNEMPKGEQLMENENVTTEKVGPSAAVICETLLEDGPPSNNNGTSSKTLQPCSQMEQPKNIGVSNCKIKSGSKRKPRQSSRKRGSVPEGDVDTTSGLNIVKREENLTHAEESSVQQIDEQKQKKEIHDIEESGNEEIKPSFGDGNLSSQLFKTKFRRKLTARKNQDFKRRQFTKKYGEEIVGTRIRVWWPLDKMFYEGAVSGFDHVNKRHQIAYDDGETEILNLNKEQFEFLEDNPSDKKHEADLQCNAVSSVPSKSNPQKCDFGSMDIPIPDKMNAEVGCETSSGKKELVDKEDKDTTQNQRLETSKIALESSLTREDHPSDEKHETDLQSHDVSAILSMKKKAKGTSSIKKEPGVSSSKRSKRNPQKGDIGSMGIPIPDKMNDADDVGCETSSGKKELVQKEDNDITQKWRSKTSKVSVESSSAFEVHSSDKTHELDLQSNDASSVPSKTKPKESCVESLDTPTPGRLNDAADVGCETSSGIKELVDKEQVAFESSVAIEIQPSDKNHETGPQSNDASSVPSMRKRTKRTPSTKKEPGVSSSKRAKGKPKMICVESLDIPTLGKMNAAADVSCETSSGIKELVDKEQVAFESSLAFEIQPSDKSHETGPQSNDASSVPSMKKRTKRTPSTKKEPGVSSSKRAKGKPKKICVESLDIPTLGKMNAAADVGCETSSGVKELVDKEQVAFESSLALESQPSDKNPETGPQSNDASSVPSMKKRTKRTPSTKKEPGVSSSKRAKGKPKRICVESLDISTLGKMNAAADVGCETSSGVKELVDKEQVAFESSLGLESQPSDKNPETGPQSNDASSVPSKTKPKESCVESLDTPTPGRLNDAADVGCETSSGIKELVDKEQVAFESSVAFEIQPSDKNHDTGPQSNDVPSSLFKSKTQKSDGESLDISIPDKMEDASDVGGETITEKKQLVDNEGDMLAQAESKH >Solyc01g068120.3.1.1 pep chromosome:SL3.0:1:77043875:77045674:1 gene:Solyc01g068120.3 transcript:Solyc01g068120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKIVVSLVSLILLVGVIVGVVVVVNKNGDNKHDESTKVQMKKVHEFCQATEFKDQCAKSLESAAKNESATIQDYLTAAFRTTLDELKKGLDETGKTKVDKDADPYNHMAVDDCKLMLQWGVEKLEESVKLIGETDEESIHEYSVDLLNWIGGVYSFQTICIDAIEKPEYKSAIEKGLVNATQLTNNAISMVAKMSDVLKSFNIQIPQGLLDGNSSPHRRLLDVNKVDGGGYPTWFPAADRKLLEKSSKGKGKGKGKGKDGAPLGAGPALPPVGSGPLTPDAVVAKDGSGKFKSVVDAIKAYPANHQGRYIIYIKAGTYVEQVLIEKNQPNVFMYGDGAGKTIISCDKNVMNKVTTMNSATVGVNSEGFIAKGITFRNTAGPEGQQAVALRISGDRGAVFDCSIEGNQDTLYYQTYRQFYRNCVISGTVDFIFGCGTALIQNSEIILRRPRQQSKNTITADGKDQADKNTGVVLQNCKIVPEQSLFEDRFKYEHYLMRPWKAYSTNVYMESEIGDLIRPEGYLLWASDKPNLFEQTCEVYEYANRGPGANTNGRSKLFKKFKVLSPQEATKYTAATFLQANEWLPGTSAPFYPGLGGK >Solyc08g005380.1.1.1 pep chromosome:SL3.0:8:286501:286968:1 gene:Solyc08g005380.1 transcript:Solyc08g005380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKIVATFTSTTIFFMLMINIIHLNVAVGLPHKKIVVITNNHTNYLSVRCFSFEDDGNVKHLSTMSSFNITVKVKNFFSSSTMYNCSTNMGTFVAFKYDYGCVSRSTPCEWRFDENFTYRYSPKDQKWVAHEYNPNYESLTRGGVIKGYYVN >Solyc03g063530.1.1.1 pep chromosome:SL3.0:3:36880978:36881181:-1 gene:Solyc03g063530.1 transcript:Solyc03g063530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTMSITEFVKYYEQRTIEICDTEAIEDYESRGDPKIFIEDCGTLKHDARVYTWIIYTRFQHEFL >Solyc06g074850.3.1 pep chromosome:SL3.0:6:46515294:46528421:1 gene:Solyc06g074850.3 transcript:Solyc06g074850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVKNILKPKPNPQQLLRDWQRRLRQECRNIERQIRDIQREEKVVQKSIKEAAKRNDMGSAKSLAKELVRSKRTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSSEVMKLVNNLMKAPEVAITMQEFNKEMTKAGVIEEIVNDAVDSALDSEDIEDEIEEEVDRVLTELAGETAAQLPEAVRKEKLKQPAQAVGDAEDADDDEDLEELRARLAKKFPITMAEKLIRQLNLFPKHEINKATDDSATQQGLFEKKLNLSYIGDSGATVQNLGHHAGYYRLPHTKDARMFYFFFESRSRKNDPVVIWLTGGPGCSSELAVFYENGPFKIADNMSLVWNNFGWDKVSNLIYVDQPTGTGFSYSSSEDDIRHDERGVSNDLYDFLQVFFKAHPQYAKNDFYITGESYAGHYIPAFASRGFAIGNGLTDPEIQYKAYTDFALDMKLINKSDYNTIEKTYPQCQQAIKLCGKDRSGVACMAAYLVCTSIFNKIMNIVGDKNYYDLRKRCEGDLCYDFSKMETFLNDQKVRQALGVGDIEFVSCSSQVYQAMQLDWMKNLEVGIPSLLEDGIKLLVYAGEYDLICNWLGNSRWVHAMKWSGQNAFGKAPLVSFTVDGVEKGVEKNHGPLTFLKVHDAGHMVPMDQPNAALEMLQRWMQNKLTKEDHLAPI >Solyc11g050820.1.1.1 pep chromosome:SL3.0:11:16292187:16292552:-1 gene:Solyc11g050820.1 transcript:Solyc11g050820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPFAISSHFLAFPILRILAAHPFRSPPKISPRPILLNKLYNITIPNNVQTDSKTIPTDPTYEAQNDSPTLKTQPESRKPKMVPAPVTLHSHVSDDPNGKRELLFSSLDVPIIVQFQQPW >Solyc02g087550.3.1 pep chromosome:SL3.0:2:50593906:50598160:-1 gene:Solyc02g087550.3 transcript:Solyc02g087550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKTYYLHLRHPTTIERKWIFPLAIGSIVSLFLLFLTTLTSPDGTPLLPLYRYYSSYSAANVFVESKLKPLPVYTVPPPPRFAYLVSGSAGDGNMLKRTLQALYHPNNQYVVHLDAESSPEERLDLHNFVTNHPIFIQFKNVRMITKANLVTYRGPTMVANTLHAAAILLKQAGEWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSKIGWKEFQRAKPIIVDPGLYATKKADVFWITQRRSVPTAFKLFTGSAWMVLSRSFIDFCIWGWDNLPRTVLMYYANFISSPEGYFHTVICNAQEFQNTTVNSDLHYISWDNPPKQHPHYLTVEDMQKMVDSNAPFARKFHREDPVLDKIDSELLFRGKDRLVPGGWCIGSRKNGTDPCSVAGNITALKPTSGAKRLEKLIGSLLSNDNFRPRQCI >Solyc06g009080.2.1 pep chromosome:SL3.0:6:3021630:3022365:-1 gene:Solyc06g009080.2 transcript:Solyc06g009080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDISMLDKLVIYDNEKQQIGWAPANCNKLPSLSW >Solyc04g016080.2.1 pep chromosome:SL3.0:4:6780262:6791904:1 gene:Solyc04g016080.2 transcript:Solyc04g016080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQTRGRGHEYNLAWRKAEEAALRRYQATHWLECFVGPLGISSQPSEREFVSCLRSGLVLCNLINKVQTGSVPKVVENHTPSQSIMWDSQPLPAYQYFENIRNFLVAVDDLKLPAFEASVFERDNIEAGSSTKVVDCILELKAYHEWKQMTGGVGFYKPLRSPLLTPSRGRIQAQTHVTINSDSRRRLEMSASFPKQSPSEDEIQKLEGIIVNALAERMVDMKENIGNNFFASFQNGNTNQVEMFSRIFSSCFKEQLQNKSLKLNSDPLKEKSCSEDNSTCIPLQDLSNLRSRKCCRACIKKGNCNHWTVVTIQEKELSNLKALLSSTKKEFENLQSQLQSDLKQLGDQVLDMSNAALGYHKVMKENRSLHNMVQDLKGNIRVYCRIRPTFNAEAKTAIDFIGEDGSLVVIDPLKSWKEGRKIFQFNRVFGTSATQEDVFRDTKPLVRSVMDGYNVCIFAYGQTGSGKTYTMSGPGGGSTKEFGINQLALNDLFVLSDERKDIMSYKIHVQMVEIYNEQIHDLLAESDIIAPLTVHTLEIRSCMSGNGLPLPDASMHLVNCATDVIALMKLGDLNRAVGCTAMNNRSSRSHSVLTVHVHGEDTSGNIIRSCLHLVDLAGSERVDKSEVTGDSLKEAQHINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQNSLGGHAKTLMFAHVSPEGDSFGETISTLKFAQRVSSVELGAARLNKESIEVLELKAEIETLKRALANKEALTPQINKTKEAARTPFQKPKAIGERSTPRARRLSIENCTTTVRTEKANLDDEKGSKTPAVKTRSRRLSLEGPRLASKNFEHIKLLEPTSKRNQQEVVCLQQCTEFQEGDDVTKLYDQAGKDSFLNAPLSPPFAFRSQKAPQSPASGLQAPRSPTFGFEIQQAPRGLTSGFKSQQPPRSPTSTYKSHQAPRSPTSGFKSLQAPRSPTPTCKSQQPPRSPTSGFKSQQAPPNPTSGSKSQQAPRSPTPTYKSQQHPRSPTSGFKSQQTPLSPTSGFKSQQAPRSPTPTYKSQQPPRSPTSGFKSQQASLSPTSAFKSCNAPKGPTSAATKSQGVKTTDNRTRILSLQLPKTPEPLMTSIKENEAGMQSERTISSEVETPTLISRTHGKGSQIRRSLRTIGKLINGSERKNQQKKTEAAPLSPLNCLNEETSSMTSNSRTLRRQSLTGIPPPIMSRRSSLGGGSLPDYCANESKNLKTPGASAKLTKRWL >Solyc11g066070.2.1 pep chromosome:SL3.0:11:52034448:52038669:-1 gene:Solyc11g066070.2 transcript:Solyc11g066070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKAGTLYINPRNFGSLQKPCAKEMVSFLNCLTLNHNKDEKCGRQKSLLSTCMEAQSGKNRKPWGSINYHLQRLSRGRK >Solyc03g115880.1.1.1 pep chromosome:SL3.0:3:66963079:66963300:1 gene:Solyc03g115880.1 transcript:Solyc03g115880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCAIHNKYSERKKKAIKQINNGVLIVCLRGSDNCRSSFLFLITSANMNTSLAINENNPNSNQHSPKLGKRRK >Solyc06g008610.3.1 pep chromosome:SL3.0:6:2511400:2513578:1 gene:Solyc06g008610.3 transcript:Solyc06g008610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEEVNKAAVENCHRVISLLSSRTHDQNSYTNLVRETGEAVHKFKKVVTLLNSTLGHARVRKSNKFMTPLPHNILVENPNCKIDDQAKALRLLPIDTPENRVLEMGANVKCNLTLGSPSLELSSNSRNPLNFGQQTPFPSYNYLQQQQQQQQQRRFLLQQQQQLKQPAEMMYRRSNSGVSLNFDSSTCTPTMSSTRSFISSLSVDGSVANGNSFHLIGASHSADQSSFQHKRKCSGRGDEGSGKCGSSGRCHCSKKRKHRVKRSIKIPAVSNKLADIPSDEYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDASMLIVTYEGEHNHPRLPSQLANT >Solyc12g039060.1.1 pep chromosome:SL3.0:12:53131230:53131757:1 gene:Solyc12g039060.1 transcript:Solyc12g039060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCCISSLMQTLKQLLQAKSPLICESFIQQHVESSYQSLCTLQVFLEDTTNEANDIENLKILENKIKDVVYKAEDRVDSCLTNIILAQNEDDREKACKFFNEELQQIWLLFFSNMAHNEKTEHQFGSYIFKIKTQNRSNGETMYGIH >Solyc07g039650.1.1.1 pep chromosome:SL3.0:7:49207328:49207630:1 gene:Solyc07g039650.1 transcript:Solyc07g039650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNFGFEISTLEANAETTKWMLVDIEDILGHFIKSKPIIVQEDGKNVMILKLHKRTIKFIYGNISSYGINSVSLFRDKNNEKQFIICLVTLSTLIKGLK >Solyc03g114965.1.1 pep chromosome:SL3.0:3:66303234:66314943:1 gene:Solyc03g114965.1 transcript:Solyc03g114965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWERVSVHGGGGPGKKWGHTCSAVVGGRLLYVFGGFGDDNRHTNKVHVFDNVNRIWSEPVTKGTLPSPRDSHSCTAVGDNLFVFGGTNGTNSLNDLYILDTSSNTWIAPSLRGDPPNPREGHSAALIGKRLFIFGGCGNIDGTEIFYDDVYVLNTETLMWKRIMPSGIPPSKRESHSCSSWNNKIIIIGGQDTSSFYQSDVHILDTDTLSWSKLNTKGQILPPRAGHTTISLGRHLFVFGGFADNQSLFDDVYVLDVASGTWSEVELTGEGPSPRFSVAGDCLDPHVGGTLVLIGGCNNTLQPLEDMYYLQTGIVREDERDERRIAKLSLRKQLKLKCQKQQASASPGDNSFERFDNNANVHHQMPVNYTQPSRHNVYSNEYQTPLRTKTFQAMVTKSFPNGYTVETVIDGKPLRGLVFSSKPRPEQTPCNDPIRNMGNGETDREKQNGDHNSVREATRPSETNVSDFQQADILGGNSIRNEPPVGGATAQMESPLTSYAPPAHEVRNVSDVVNLGSGMLTDAVDGGTKVSKENSSATNDS >Solyc01g067440.3.1 pep chromosome:SL3.0:1:75930482:75931096:-1 gene:Solyc01g067440.3 transcript:Solyc01g067440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTNSLVSINNNRDMERVVKVASQKAVVIFSKSSCCMCHAIKRLFYEQGVSPLIYELDEDMNGRDMERSLLRLGCSPAVPAVFIGGRFVGSANTVMTLHINGSLKKMLKDAGALWL >Solyc07g039400.2.1 pep chromosome:SL3.0:7:47534785:47535431:-1 gene:Solyc07g039400.2 transcript:Solyc07g039400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVSFAVQKLGDFLIKKVYLRKSLRDEITYTLSSEMQNKRKVEIIEFNNGCLKSTLLLMMLLLYLRLKVLRLMKFYNVANDIQPLKQRIKDISCKRETYDITYINSNTSGEGTSNQVRTLRRTTSDIDDHDYIFVGLQDVVQTLLAQLLKPDPRRTVLSIYGMGGLGKTMLAKNIYNNPNIVSSFATLAWICVSQ >Solyc08g023285.1.1 pep chromosome:SL3.0:8:29124917:29125563:-1 gene:Solyc08g023285.1 transcript:Solyc08g023285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGKQPLFLIQQKMDKERSKKQYRRTQKIKFLGYTSFWRGSSYKLDTMVVESLKVDIVAPVLSSLLEKKV >Solyc06g005380.3.1 pep chromosome:SL3.0:6:387234:395078:1 gene:Solyc06g005380.3 transcript:Solyc06g005380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAETIWNKETVPKVMKLVTTRLQQRDLITLLLVSPSIHRTLLSHPSLWLVLDFHEMSDAGDRLVSALSLPRYRNVKHINLEFAQDIEDKQLENVKSKCGDSLLDLEILNLNGCQRISDKGIEVVTNTCPKLKVFSIYWNVRVTDVGITHLVKNCRYVVDLNLSGCKNITDKSLHLVADNYQELESLNITRCIKMTDSGLQRILLNCSSLQTLNLYALSTLTDEAYKMISRLPHLRFLDLCGAQNLTDDGLSCISMCKNLVSLNLTWCVQISDVGVIAIAQGCRSLELLSLFGILGVSDRCLEVLSSFCSNTLTTLDVNGCINIKNRSRDQLLKLFPNLICFKVHS >Solyc12g014440.2.1 pep chromosome:SL3.0:12:5413587:5418734:-1 gene:Solyc12g014440.2 transcript:Solyc12g014440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLRFLYVLEFHFMANCSKSSNFVLITLLFLFIFWVSFFNFSHLSGLKNVLKTLNKLVISSLYLRAREERRVLTSTTTIVEMKSSNQTWDPLVEEFTFAANSAPFSNCHASTIVEVNKDHFLVAYFGGTVEGAPDVKIWVQTCKDGHWTAPVIADEQFNVPMWNPVLFKLPSSEILLFYKIGQEVQKWSGCLKRSYDGGVTWTEREQLPPGILGPIKNKPIMLESGSLLCGSSVESWNSWGAWMEMTTDSGRTWRKYGPIYIENNPLSVIQPVPYQTANGTLRILLRSFAGINKICMSESRDGGYTWSYAKPTELPNPNSGIDGVKLKDGRLLLAYNTISRGVLKVALSEDDGDSWHDVVTLEEPVGEFSYPAVIQASDGLVHITYTYNRTQIKHVAFQPN >Solyc02g024000.3.1 pep chromosome:SL3.0:2:25198913:25201966:-1 gene:Solyc02g024000.3 transcript:Solyc02g024000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVEVLENGGVEYGITSCCDNANVGTMNGGSERTLNGGKRIEKLSDEILEDFELYWEDINERLTVSRMVSDSVIKGMVSAVEQEASERIMTKEMELTKFKEYLQFHDVGLSKTESLGTPVLQDALEGFNFQKHFTLSDVFREHEKTREILGGLRNLATDELKKLKKGIDRIRGSSSIRRICSGSELVGLGGILRERESESWVHVDKTVKHLKMIMDTIFTRMDGMVQLSKASVEWWQEEHLIEAEVEAMVMRNLIQSMQEGFEDKLWDQYSQSCDARIEKLTEISNLQNDLEVILKSLSSIETQSLTSHGSQDVDHFHRMMSSEHATSSKSILEGNGKWEDSKSDIPEKFEAATLKHMSREEMVDYFNNMMTKMKREHESVLEKKTDDYFSLRAEYLTLIGRGSVVQHKKDQGEFDFLRKKIPEVIMKLEDISVETEKCPEFTQRPTNLDSLKDRIDTILSENRQLRDLLRDKKNEVRFLLSEVSAAAEKSLQHTLDEENMQKQIGDINLVVEDSQIAASIREEVYKCFLRDLIREKGSKADESNMEFHIMNDIYSIILTEAYITAESTYDSELEDSELECLIMQDLYGVIFSEGIKDAQDKLKELYHNYSNENENRIFLEMKAIQKEYDLTLEVEEKEKLKQIIYRLERSVGEKEKLASDASTALAKEKEQFELVTQELNSVREHASTQQRLVSESNMELEVIKGQLEEALDKSL >Solyc11g064785.1.1 pep chromosome:SL3.0:11:50243322:50246751:-1 gene:Solyc11g064785.1 transcript:Solyc11g064785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTIERNEEQVLTIKVQNQGLDIEVSISLEIIALEEVIKVNETQFELDPSGTNREKLHRSQVELRKHLQREEEFWKQKAGMEWFKEGERNTKFFHTIVKGRRKRMRFTKQEDNEDFKLLKELPVVINDEMNEELQSMPTKEEVKRAVMGLNKKVTVNTFSYLRHISLSNFVNKIFSRIIHERLKIVLPGIISPEQTGFVQWRSIVENVLLVQEIITEIRKRGKSPNLVIKLDMMKAYERVEWLFMTKVLRRLGFGENLIDMLGDLYTITGVDFEWDDSYTKVEELTNQGEWNVEVLQDILPLQLEEYIIQHIHPLTGKEEKDTPCWMLDSGGSFTVKATWQSKHIRTPIKRSYNGMVGSRYKKTLRPFYRALPSFVIWEIYETQREENISVTNHIHFHLRHPRRKGPSDCPGMLRVLENYRPMMKVIKVLWECPPEGWLKHNTGAVSRGNLGLSSYAFCLRNHRGDIKYAEGGSMENTTNMWRKKKLS >Solyc09g098440.3.1 pep chromosome:SL3.0:9:72760849:72761974:1 gene:Solyc09g098440.3 transcript:Solyc09g098440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFVVFPFSLGCVSESSVPVVNTNKSHNTDNISQLNDHLPTMYKEEIEEMEIGYPTDVKHVTHIGWDGPTKINPMIKNWDNSKESDLPSISIQQFELALAGGSSRF >Solyc05g052840.1.1.1 pep chromosome:SL3.0:5:63886776:63887801:-1 gene:Solyc05g052840.1 transcript:Solyc05g052840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDDNLPAADSALQRKHAAMIERLSNSHQSRLARKPNSESNSTFISTSSFLSRFSESKNSIESTLSRIRQTPDPHTNPTLKSELDNVSISIADLEKLVAENSFSLPSYEVRTCLKTITDLKQLVEHVTSEVIPRKKFSFKNKSTKKITTQNDTVSEVPNVESKDSVLRVLDSPGFRGKENEVLVKEFGRGNDEEIREFVLSELKGCDVRLMGSVRALFVHKLIDCKVYVGPVFGSVLIEEVTNCVFVMASHQIRIHQAKRCDFYLRVRSRPIIEDSDGVRFAPYCLKYEGIEKDLEEANLGEETGNWSNVDDFKWLRAVQSPNWSILPENERVGTVDISS >Solyc12g056520.2.1 pep chromosome:SL3.0:12:63413097:63416167:-1 gene:Solyc12g056520.2 transcript:Solyc12g056520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTWNDSMIFYALQGVRDAAVDLLHTLVAVHAEVFAGCKPLLDKTLGILVEGLIDTFLSLFHENQETDFTVLDVNGFCQLMLELDYFETILNTYFTHEARESLKTLQGVLLEKATESVPETVETPTNSRRQTRGNDDGLQDERQQGGTISPDDLINIVYHHKALAQQYSSELLQSELERTRINTACFVESISPDSVPDSAKAAYASFRGSMDSPGRGSQSVGPPSYSKQRRR >Solyc06g068600.3.1 pep chromosome:SL3.0:6:42629853:42639302:-1 gene:Solyc06g068600.3 transcript:Solyc06g068600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKGTVFLDGTDICDLDVLCLRRKVGMLFQLPVLFEGTVADNIRYGPKLKGKKLSDNEVYKLLTLADLDSSFFNKSGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVKLKKDQKMTIVIVSHSIKQIQRIADIVCLLVDGEIVEIIKPDQLSEAKHPMALRFLQLSS >Solyc12g021280.2.1 pep chromosome:SL3.0:12:15123464:15137437:-1 gene:Solyc12g021280.2 transcript:Solyc12g021280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGIGGVVLHSSFLGKKLGILKPLCLSIRLKKQCNFSICSSSVHDLFVGVGVGLPCTVMECGDIIYRSTLPKSNGITVTVPGVILALGTLSYLWATPGVAPGFFDMFVLAFIERFFRPIYKKDDIVLGKKLGEGSFGSVYRVSLARKPSSKPADLVLKKATEYGAVEIWMNERVRRACANSCADFLYGFRETSSKKGAEYWLLWRFEGEATLADLMQSRDFPYNVESLILREVQDLPKGLDRENRIILTIMRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRAFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQLNLPDRFDIYSVGLIFLQMAFPGLRSDNSLIQFNRQLKRCDYDLVSWRQSVEPRAGPELRKGFELLDLDGGIGWELLTSMVRFKARQRISAKTALAHPYFDREGLLALSFIQNLKLQFLRATQQDYSEAANWVVQLMAKSGTEQDGGFTEAQLQQLREIEPKKKSNAQRNALASALRLQRKIIKTLNESMDDLSNKRKSLWWSRWIPREE >Solyc11g040221.1.1 pep chromosome:SL3.0:11:38461914:38465787:1 gene:Solyc11g040221.1 transcript:Solyc11g040221.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKSSLAEVSISIIELTRGGYSCFISQRDLKKRFVIAVGSNIEKATIGYLLTRENRSLSFSACVKAQIRALVGLFLYLCLRDNILGKQLLSQPKISDQGAEITSSPKGKTKIYGAKVVSKEGKNLYKKHTEASYFSNVCIDLDSLDRESPKILRQMRELRMDFAFAEPNATST >Solyc09g063020.3.1 pep chromosome:SL3.0:9:61179314:61183432:-1 gene:Solyc09g063020.3 transcript:Solyc09g063020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDNLGAQPGGSSANTQANPFGNAFSGASSGFIRGGLGAYGEKILGSSSHYVQSNGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLQGRFSPEALSWLFIKGLVGWFLQVSLLKMTLLSLGSGEAPLLDIVSYAGYAFAGLSIALLGTIISNYSYYFLMPWTCLCMGIFLVKTMKRVLFAEVRTYDSSSHHYLLLFIALAQFPLLFWLGKISLSWFF >Solyc09g007347.1.1 pep chromosome:SL3.0:9:942179:949416:1 gene:Solyc09g007347.1 transcript:Solyc09g007347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEVNEFSFIDFSLENDNFIGNLFFPFPFWGFEEYPFSANQTEARILVFSGAINIQEGMDMAGKGNNDEQFPQLHESKEPEKARRKGKVNLRKSLAWDSAFFTDAGVLDADELSCMIKGGDKQTLPMIEEDVRQSLDSISTLESDNLTLEHIESELFGDIRASIQKVAIPTSGDLSAKTDNVANSSAKKVDLASKDRMKPKIAPKRTSGAQAGIPKSQPKQITGTQRLGKGLNQDKAQSISRTTSVASLKPPKVNTKLQPVYASKRASLDITRVKSDNDSMKISTASVRGAQTPKASALNKTSRVLPKPATSMKPSSVGSSPAMKMHAIRSSSDSSGSTSSDKTAKSSIPVVRRKIDSKPIAQPSASAKLKTPSKAAVKSKLPSGNSAVSAYLMSSKINANISPASSISEWSSVSSSSSAIQRSSKLRTSFDTSSCRSFDSDTSALDINNQLIDQKSDKPEIRGTTLPRESSKQAGSVSRPASMMKPTGLRMPSPKMGYFDGVKAVRTPNGTHSNPSTALYKKEATICSPKVNSNNKAKSVKVPLRANREPETLKHLSPLSSSDKSNNSDNHSGTQSSSASPVPKTETIVCSPKGNSNTIAKSVRVPLRANKKPETLKHRSPASSSDKSNVSDNHIGGPSDITLIPELSLEVQHEISGANIDVLAEEPDPFEHIQSAGWVATQGENQGIASALNSEEDKAEGRDTVEHVADASLVATKSENQGTASILNNEEVVSLVSSMSEIQGIASKLNNEEVVAEGPDTVEHVADASLLAAILNNGEVVAKGSDTVEHVADASLVAAILNNEEVLAEGPGTVEHVADDSLVAAILNNEEVVAKGFDTVEHVADVSLVTAILNNEEVVAEGSGTVDHVADASLVSTILNNEEVVPEGPVTVEHVADYSLVAAILNNEEVVAEGSDTVEHAADASLVAAILNNEEVVAEGPDTVEHVADDGLVAIKSEQQVIDNNDIHEIKSENQIIANTLNNEVVAEVPEAVEHIAGDALFAIESENEVIVKNDTNVIKSEYLRLEEVVADEPDTVEHVACDGLVAIKTENKVTVNNDTNVINSEHQRIEEVVADEPDTVEHVAGNGLVAIKSENQVIVTNDTDVIMSEHQRIEEVVADEPVTVEHVAGDGLVVMKSENQVTLNNDTVVIKSESQRVASTLNNKDFVAEGPDTVEDVPGDGFAAIESENHSVLNNDIPAGLAAIKGQKQGVMENEMNLDTIEKIDIKPVEVSITENIRRCQTEDEDGVGVQCNGNYNLDVPSQMNEMNLEDNENGYNLDMPSQMDEMNLEDDVNGDLKHTRTDHVEREYDSTFRGDEGRVDVKDDLNTPEKTNAKKYVCANQADQSNSQVEEVSMTPFSNKVESLMNKLAYLSLNTPQTAVRRIPFAVKNSSGDCLDFCEGAGHVVGKTDLDLPSLQIDHKENNNL >Solyc03g007950.3.1 pep chromosome:SL3.0:3:2439225:2442210:-1 gene:Solyc03g007950.3 transcript:Solyc03g007950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYHKSTCHFLGKLIIALTWGILCLRAAECIEYEALSCRKHTALLTDFGGKGDGKTSNTEAFRKAIHELSKFATDGGAQLIVPPGKWLTGPFNLTSKFTLYIHQDAVLLVSQDEAEWDLIPPLPSYGIGRDNPGPRFISFIFGTNLTDVVITGGNGTIDGQGQLWWTKFRQGQMKNTRPYMIEIMFSDHVQISNLTLINSPSWNVHPIYSSFVIINGLTILAPFHAPNTDGIDPDSCSNTRIEDCYIVSGDDCIAVKSGYDEYGIAFGMPTKHLIIRNLTCISPSSAVIALGSEMSGGIQDVRAENIGAIGSESGLRIKTAIGRGAYVKDVFVRGMTLQTMKYTFWITGDYGAHPDDHYDRKAIPVIQGINIKDTVAKNVTIAGKLAGIDGDTFNGICLSNVAIEMSQQANQLPWNCSNIKGVSSQVTPQPCALLPHKKIDCPFPTDTLPVDNIQFQTCAAATTMH >Solyc01g017620.1.1.1 pep chromosome:SL3.0:1:24361838:24362071:-1 gene:Solyc01g017620.1 transcript:Solyc01g017620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPFCYNLLKCSILCWNTNLVFHILFIYSGDPRIYELRLTKIGKKCEITFNGDDSLSYFANDKSLWGFFESKLYIH >Solyc06g066390.2.1 pep chromosome:SL3.0:6:41795926:41797670:1 gene:Solyc06g066390.2 transcript:Solyc06g066390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNKDSYLVKSEMSSPGKRSMSMVDYGIPETTKRVKRRRRSPPAVGVVNDNIGQQAEQQKMDSSKVDQNIAHTPTVKRSSRFRGVSRHRWTGRYEAHLWDKGSWNVTQKKKGKQVYLGAYDEEESAARAYDLAAIKYWGTSTFINFPISDYEKEIEIMQTVTKEEYLASLRRRSSGFARGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWLKPGVNTQMAFQELRSENQAIQTNTNDIINPINDPQFTFGSNYFSTTRETLPPIPQSQEPIERKMPLSPCNKPPSPTALSLLLRSSMYRELVEKNSTTIANEKDDPNMKNKQQVNTEDEFVFYRSDKLPALESMDEGTTSSHLGDRAGQSFWDVMP >Solyc09g020010.2.1 pep chromosome:SL3.0:9:18317295:18324445:-1 gene:Solyc09g020010.2 transcript:Solyc09g020010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDNKRFGRGPRELTGAVDLISHFKLLPHQEFFCKRSLPLSISDTHYLHNVVGDTEIRKGEGMQLDQLIQDTSLSRETSSCIQPFDLDVLGEAFRLREAAPVDLPPSEKGIPTVAGKSKSESKDKEKKHKKHKDKDKEKDKEHKKHKHRHKDRSKDKDKDKKRDKSVHHDSGADLSKKHHEKGENVKEIKVYLS >Solyc11g065000.2.1 pep chromosome:SL3.0:11:50617681:50622689:1 gene:Solyc11g065000.2 transcript:Solyc11g065000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLLITCFTFITFLCKSLSLKPLPLWSSSEVRLLSIWFWNDFLILNPFKKGFLESLMSSNVMTLRRKSFSYRVENVEANSEMSVLDLPELVLECILERLPPEGLCSMASVCSSLREKCTSKYLWEKHMKEKWGRVLGPAAHREWLWHISSRKDSSFFNQAKERGLMTYLSQFWPISIVRSNPSTSFKKKDPPVIDSIMSMYLALESGKFWFPAQVYNRENGHVGFMLSCYDAELSYSRRTDTFQARYPPHGRRAMAIETGVTWDRLRVPPLDTSPHDLYISDCLTELCPGDHIEIQWRRNKEFPYGWWYGVVGHLETCDGNEYYCRCHENDTVVLEFNQYTHGSRWRTTHIDRKDHREEGNEADGFYGGIRKLHSNEEISMWRRLWPSDVLE >Solyc12g010330.2.1 pep chromosome:SL3.0:12:3391080:3396913:-1 gene:Solyc12g010330.2 transcript:Solyc12g010330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEIRGNMGGERENYDNFPVGMRVLAVDDDPICLKLLDGLLRKCQYHVTTTSQARSALKMLRENKDRFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANSDSKLVMKGITHGACDYLVKPVRLEELKNIWQHVIRRKKVEPKKQNKSDDQDKAHQGGGEGERGSQVSGNADQNGKVNKKRKDEEYESDENGNDDEDPGTQKKPRVVWAIELHKKFVTAVHQLGLEKAVPKRILDLMNVEGLTRENVASHLQKYRLFLKRINAADAQQANLAAAALGGKDSAYMRMGSLDGLGGFRTLAGSGRFGQASLSSSYASGGMLGRLNSPSGVSLRNLASTPILQPNHGQNMSNNSLNALMKFNANVPPASQNANFFQGIPASLELDQLQQSKCATHIGELNPLDESGLHTVASTFTNSRLVGSANSSMPNVSNNPILLQVNSQQPLTGMGFGNQTSLNVASFSSEAFNTGVSGSSNFLDHDRYSNENWQTSLQPLKFQSTSFPLNEPFSNSHLPQDRVRDNDTSTGPHLQNNPVDFSTSTIVSVPFEASRGETQCRESLGAAVQIMNQATCQRWPDQNQHYSHNSNNIFGNMSSQVSSNGGMASLTHPVDQNNDMFCTRVETSLNCISNGSSSMHTHNRESEKLTHDSRTKTNEDYLFQTTKQQVGFLPQGYGSLDDLMGEMKQDQDGAMLDGGEFGFDAYSLGSGL >Solyc07g023980.1.1 pep chromosome:SL3.0:7:23716473:23719250:-1 gene:Solyc07g023980.1 transcript:Solyc07g023980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSNHPSQPSWSANTLDNSIHIEFVGIHVRRFQIDLNDDFSVCALSTIFDYKMVLSSVVDEGPIIKGVPLADPVGYINHATDERVQVLAGRSHVVLECGGVWALEEYMVHVFDFVVAVVSYGSIWRPLWFRTWLAVSVEKNPLLVLLTFHKGTISTLAYRSIVGNQFISNEVVPISFVYSSFHTFLNVLPPILDVFPEHVKDVSNAIGVLVVCFVKNAKVICKEKIMWYIPSGRVAFVDFEGVEICMDLDFSKRGRGRHTLEGASTQGLAKPD >Solyc02g093193.1.1 pep chromosome:SL3.0:2:54774169:54777923:1 gene:Solyc02g093193.1 transcript:Solyc02g093193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAGKIDLTLILSYSCMIARNLLNGLKTCWEVFQYMNNFENKLSLVSDGMNGIFQGSFKGDGHTIVGNQPQRKSRFLHRRGRVRRLKYTGKSAGYNALRKRISERKDKLRRHYNPCNCQVPCGKECPCIVNGTLCEKYCGECDPDVCRNCWISCGDGTLDIPPQRSDSNDCENMKLLLKQRQRVLLGRSDVSGWGAFLKCVLDAYRKGDKLKFANHSPDPNCYPKVIAEICVGTL >Solyc11g012120.2.1 pep chromosome:SL3.0:11:5041097:5044104:-1 gene:Solyc11g012120.2 transcript:Solyc11g012120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIVPVKFKRVAEAFDEVVKTRICESSGSEHSPPPESLANLSQLVNSFLEGEVITVNEKLEEIDGNVETNCFDESEIKENLRNLLDPDGNSGDDLKKNVINAVENALLAEEASSPEFKRWLMTRLRDQGFDAGLCKLKWEKAVNRTSGSYEYIDVNIGVTRYIIEVSLVEEFEIARATPCYTSLLENFPHVFVGKVEELKQVVRIMSRAMKRSMKKMNIYVAPWRRLAYMEAKWFGSYKRTTNEQKDYQKNSFDSSSKKRNVGFVPKQAISFYCRENVVASNSGIKIGNLAAALNG >Solyc03g120800.3.1.1 pep chromosome:SL3.0:3:70548926:70549198:-1 gene:Solyc03g120800.3 transcript:Solyc03g120800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPAIPMDFEGPGESFGTLYYRSLLQGRGILYVDQQLTAGEETKTWVQAYASDVSLFHKDFGTTMMKLSNLGVLTAPMGQIRKDCRKVS >Solyc08g008277.1.1 pep chromosome:SL3.0:8:2697631:2699618:-1 gene:Solyc08g008277.1 transcript:Solyc08g008277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQDETLVYYREKLPQMHISYMQNCWAAASAKSPTVFEITYRGFHNCHQATYSAINPTSPEKQELKKQADYQAGQYSYQVLMNLRSNLRGNTNDLDKNETACSFSLPSTFSGSSYSPSFVSPTTPEWQNRTTSQSHAAARCMVLECSSFAPFRIRPRPYILSQHFHNKFSDTWLRFP >Solyc09g005330.3.1 pep chromosome:SL3.0:9:245468:276804:1 gene:Solyc09g005330.3 transcript:Solyc09g005330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESDWNQSFSSEIRDEQFTGDVSISRHEEEVPVIDDDDRRPPLPFPERNNVFHNAPYFPATDNEEVVRLDRDDTCSYIVVILTFWLFVYMTLILGVYGPGNLQLGPNSSILIKPNSLFVKNIKVEEMDDANNRPTVYGFYENPSLDVLATSSEAYITSLPANTNKARRSEGLAQWLEDPSYPNTTLSWNVIHGNGTISQDIGKSSSYYVAVGNLNSGVVQVQLNIRSKVLLYNTTDAYYECNLRQKPCGISFFLDGGNVALLTSPPQRPGIAAGVWSVKLSYGPRWITYLLGVGGMSFLIWLVFRYLNNMQSIHQEGSRDPVGLMESDQTPLLSRKDNDIGSWGSSYDGLSQNDEYNEDVLDLTAAQGRQLKDVAGTNLPVEENPAMVGMMSPISRERIASLLNAAKFASDVPSKLHSLRRLKDELSGAGGPLLKEFLPTLIDLVSDRFSPVRKLTIQMVGCIGFEHGELLPDIIPVLISALKDDTPAVARQAITCGIGIFRCTLVKVAIQGLFSSQLDGSLESAWALMLKFREEIYTMAFLFVESVVLLYTPDPNVGSEPPPALDIKGKFEQFNVSWLRGGHPVLDIGDLSVKASQSLGLLLDQLRSPAVKSITNLMIIVVIKCLSEIATKRPAFYGRILPVLLSLSPARSDGNKLHVSGVYRALKTAFISCLHCKHPGAAPWRDRLEVALREKRAGVQAGPVDSKPSIKSSSGTKRSGVEHNAELIDDNLSKKRMRSTPIVSKAPKQEPSGIQERVSAGGSTTTRSDGDNVNLQPLVAMFGTLVAQGEKAAASLDILISSISADLLADVVMANMRNLPSNQPKAVDDEEPPLKPENVSDFRRLLLLLIDAISQSTMLAEQDERADQNLVSIEPELQKTKVAEEHLDPATTNGTFDALNCASEEAPEYVTEPLSSTKGTPQLIENDVSSLQCDVADIEKTEDSIPGLDSVALKDEESDLVAVSAFGTTEVEDGTQDQGSSVVRSSLEVVPSNSTDRSEELSPKAAVTDVTSMNSSTATSIGLSPQLLLPKISAPVINLSEEEKDNLQKSAFTRVIDAYKQIAIAGGSQVRFSLLAYLGVEFPSELNPWKFLQTHILSDYMNHEGHELTLRVLYRLYGHAEEDQDFFSSTAAASVYETFLLAVAETLRDSFPASDKSLSRLLCEAPHLPNSTLKLLESFCCPGSCEKDEKELHSGDRVTQGLSTVWNLIMLRPLMREACLKIALQSAVHHLEEVRMKAIRLVANKLYPLTSISQQIELFANEMLMSVSTVDHKADSNGDESDPILQKDSASEKPSEEVPSFSASSNPLQSSTSGSKSPFSIAEGQRRISLYFALCTKKHSLFGQIFVVYSGASEAVQQAIHQQIHMLVRTIGSSSELLDIISDPHNGSEKLLIQVLQTLTEGIVPSLQLITTIRKLYETKVKDVQLLIMILPFLSKDEVLLLFPHVVNAPLDKFQGALLRTLQGSSHSGPVLTPTEALIAIHRIDPEREGIPLKRVTDACNACFEQREIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPSLVDFIMEILSRLVSKQIWKYPKQWVGFVKCALLTRPQSFGVLLQLPPAQLENALGRTPALRAPLVAHASQAHIKSSLPRSVLMVLGIESDAQVSSQAPPNQSQTGDIDNSDKEEGTEKSKDSSVGVLFPTLDKALDSIPSSIWQADARAGSLMGISFVVLFWPWNEVIRIPLPCCGCSAVLQLLFHVEGSLGLSQPQKLPTGLISRYSSRVKAKAPSGASSERERDKVRENLEIVVGGRKKRSMGVDYYKVLQVDRNAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDPQKRVVYDQYGEEGLKGQVPPPGAGGFSGPSDGGGHGSFRFNPRSADDIFSEFFGFSTPYGGMGDMGGRAGGPSFSRGMFGEDIFTSFRNAAGEGASGNAPRKAAPIERALPCSLEDLYKGTTKKMKISREVTDATGRPSTTEEILTIDIKPGWKKGTKITFPEKGNEQRGIIPSDLVFIIDEKPHSVFKRDGNDLVVTQKIPLVEALTGYTAQITTLDGRNLTVPINSIISPNYEEVIKGEGMPIPKEPSRKGNLRVKFNIKFPSKLTSEQKAGIKRYLT >Solyc12g049600.2.1.1 pep chromosome:SL3.0:12:62202609:62203472:-1 gene:Solyc12g049600.2 transcript:Solyc12g049600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTSHACKKLPKNAPHIHYIVNHDIKYKLTDKLTPEQYNYFCESMYFGQYMKIRKRVGQGQIHRCCMSLEVEASTNQALVIKVNAVILKFTIRTFAIITGLNYVGVVENFKFNTEEPNRLIVQYFGGNEIICRSDLFDRFNGKVWVDNDVDAIKFAILYFIHMFVYSGEKRSLRIPRIHFDLVESGRYMHYPWDRKAFEWLLQSINKVLTTDGQYYRICGMPVVLQIWIYECMGKRQTNFAQKISDCIPRILNWQTVGAKPLFKTLMKDTFNDGNTELLYIIHDIVPL >Solyc08g041930.1.1.1 pep chromosome:SL3.0:8:25140635:25140796:1 gene:Solyc08g041930.1 transcript:Solyc08g041930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLAGDCWSPATSAGATGWIVVLAVALCSELLCWCPAAAGCWNSPLGAVTRGR >Solyc03g116410.2.1 pep chromosome:SL3.0:3:67343350:67351348:1 gene:Solyc03g116410.2 transcript:Solyc03g116410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQGSDNFHSSIQVPGQAQMRREDLLNQPSDIHNQSQSTNLLQETGTQVKNMAQGAAGSAVNMARGAATGAANMAHNAADAKPIAKDSGMAQEGNRPICTRFQRWGNCSYGERCRYVHTAGGSTYGPLQGGGYDQSYVEEGKSAYRESAAITIVSGGNEVKNKSYSDNVEPKSSVDFRTRMKTRLCNSWERDGSCFFGARCQFAHGRAELQGYGSSNPLVSSSNAGISAPAKKVAPNEVGSSAPAERRFKWNDVRKISQVYGDWIE >Solyc01g108920.3.1 pep chromosome:SL3.0:1:95961976:95976254:1 gene:Solyc01g108920.3 transcript:Solyc01g108920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLAVNVDDAVDDIVRQFKGVSDGLMRKVVGSPSSSSYEPTTSTSDRNLSWNVEEIHKLALTQSNSESVNSFSDNDDGDKDGSHGHEEVGPSSEDNGWHSDNELNSKGFPPRVVKHDEEMVNSVADLKNGSGLQRKSVSSGGFSETSLAVVPSQQEDLVGVPPEWTPPNLSVPILNLVDKIFQLNRRGWLRRQVFWISKEIMQLMMEDAIDDWLLRQIHWLRRDDIIALGIKWIQDVLWPNGIFFIKLRNIVESSNEPNQGSVHSTKQSGGSKVSKAGSFEEQLEATRRASDVKKMLYDGAPATLVSLIGHKQYRRCARDLYYFLQSTICLKQLTYGVLELVLISIFPELRDLVKDIHEKAHTQPV >Solyc01g111040.3.1 pep chromosome:SL3.0:1:97361989:97364915:1 gene:Solyc01g111040.3 transcript:Solyc01g111040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNELMKLKSSESQVMDGSDIMKLVGNEAVFSNFVDHKFEELDIDKDGKLSVKELQPAVADIGVALGLPPQGSSPESDHIYSEIVLRGSIHTFYGQVLQEFTHGKQEKVSKTEFKEVLSDILLGMAAGLKRDPIVLLRMDGEDLLEFVKSPAFEPEMISLYSELELPDGSLKDYIIKAFEKLTVDQGMPPASDSWVMSNVVEPVVESCIGATNEQPVTQETFLAEFKKVAESAAQRLKEQPVIVAHSENTFDGSGIKRLLSNKFELDKTLDSALKTIPRDRNGKMSKEYLRVALDVLAPSAGLPPIGAVDQMDKVIQEVCKMLDADDGKMVKEEEFKKLLTEILGSMMLQLEGKPVSVSTNSVVHEPLASSSTLLQPPSISEM >Solyc02g076910.3.1 pep chromosome:SL3.0:2:42586147:42587902:1 gene:Solyc02g076910.3 transcript:Solyc02g076910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFTNRSKYICLALFFIVFGLWSSQLASSRPINNETTMRVRHEQWIAHHDKIYNDLKEKEIRFKIFKENVERIETFNAGEDKGYKLGVNKFADLTNEEFRVLHTGYKSSSHPKIMSSSKPKTHFRYANITDVPPIMDWRRKGAVTPIKDQMECGCCWAFSAVAAMEGLHQLKTGKLIPLSEQELVDCDVEGEDLGCTGGLLDTAFQFIIKNKGLTTEANYPYQAADGVCNKKKSALSVAKITGYEDVPANNEKALLQAVANQPVSVAIDGSSFDFQFYSSGVFSGSCSTWLNHAVTAVGYGAASDGTKYWIIKNSWGSKWGENGYAHMKRDIDDKKGLCGLAMKASYPTA >Solyc07g064675.1.1 pep chromosome:SL3.0:7:66849877:66850333:1 gene:Solyc07g064675.1 transcript:Solyc07g064675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRTLEKTRCIREFSETLYKLYQWEREERLPLYLLQILLAKERDSIVATNMSHSANICKTYEVVGKMSVLCLVKLPKEERVGVSPSFQLRVM >Solyc06g063220.3.1 pep chromosome:SL3.0:6:40047558:40049369:-1 gene:Solyc06g063220.3 transcript:Solyc06g063220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGIEVKFAHFPLVSTFDRILCAGTHLIRDNRTQPRESKSSRKMASNAAAPFWRSAGMTYITYSNLCANLVRNCLKEPYKSEALSREKVHFTISKWADGKPQKPTIRSDSPEE >Solyc01g108390.3.1 pep chromosome:SL3.0:1:95600041:95600581:-1 gene:Solyc01g108390.3 transcript:Solyc01g108390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVQGKTAWPELLGQNVDKALSVIEKENPTLRPVVLNISQNVPDPVDCTRVLVFINDNNQVALVPIVR >Solyc01g067945.1.1.1 pep chromosome:SL3.0:1:76834604:76837162:1 gene:Solyc01g067945.1 transcript:Solyc01g067945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHWLWSVQDVSNGGTYLQMKSMKK >Solyc08g008080.1.1.1 pep chromosome:SL3.0:8:2554169:2554645:-1 gene:Solyc08g008080.1 transcript:Solyc08g008080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPLGYTDLNFPKLLLHLLSILFFIRKLICNLFTILGLPDFLEPDFPYPTRPGEENTRLCSVSAAIIREILPVVKFSEIVEPPEKCVVCLYEFDSGDEIRMLMNCRHVFHRSCVDRWMDHDQKTCPLCRKEFVPEGMMGIFNEKLWLALGVNDFCEE >Solyc11g012450.2.1 pep chromosome:SL3.0:11:5285091:5289186:-1 gene:Solyc11g012450.2 transcript:Solyc11g012450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositiol transporter 4 [Source:UniProtKB/TrEMBL;Acc:K4D649] MEGAPHKAAKTEFTECWRTSWKTPYIMRLAFSAGIGGLLFGYDTGVISGALLYIRDDFKSVGKRTWLQETIVSMAVAGAIFGAAFGGWFNDKYGRRKSILLADILFFIGAIVMAVAPAPWVIIIGRVLVGLGVGMASMTSPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTRTKGTWRWMLGVASIPALVQFILMLSLPESPRWLYRADKKDEARAILEKIYPAHEVEDEMKALQTSIEVEKADKEFLGDGVFSKVKSAWSNTIVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQLAGFASNKTALALSLITSGLNAVGSIISMCFVDRYGRRRLMIVSMFGIITCLVVLSVLFMQASIHSPRISAFESNHFGSNSTCSAFLNAPGASSWNCMSCLQASTDCAFCSNGNNKYHTGACLSLNDNVKGLCRSEKREWFTKGCPSKFGFFAVMLLGLYIIAYSPGMGTAPWIVNSEIYPLRYRGIGGGIAAVSNWVSNLIVSETFLTLTEAIGSSGTFLLFAGFSTIGLIAIYFLVPETKGLPFEQVEKMLVKGYKPKYFRKKTGEKADTS >Solyc02g078060.1.1 pep chromosome:SL3.0:2:43432687:43433313:-1 gene:Solyc02g078060.1 transcript:Solyc02g078060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTVNPAIAMEFPISSTLSQSKVMLINTPLLAGASARLVCYNGKNAMVQSAITDKNGEFRITPKSLMGADIGKCKVYLVKSPNPTCNVPTNFNGGKTGALLQHVVPPKPPIVTAAVVQPPMSDLYGVGPFIFEASSKIPCAMHRKL >Solyc03g114150.3.1 pep chromosome:SL3.0:3:65653816:65658520:1 gene:Solyc03g114150.3 transcript:Solyc03g114150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARRISSLLSRSLNLPSVSASLGRSHGVARHINRFSTAAAVEEIITPPVQINHTKLLINGQFVDSASGKTFPTLDPRTGEVIANVAEGDLEDVNRAVAAARKAFDEGPWPKMSAYERSRIMLKFADLVEKHNDEIAALETWDNGKPYLQAAQAEVPSFVRLFRYYAGWADKIHGLTVPADGPHHVQILHEPIGVAGQIIPWNFPLLMMAWKVGPALACGNTIVLKTAEQTPLTALYVANLLHEAGLPPGVLNIVSGFGPTAGAALASHMDVDKLAFTGSTETGQTVLQLAAKSNLKPVTLELGGKSPFIICEDADIDHAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFVEKAKARAMRRVVGDPFKKGVEQGPQIDSEQFQKILRYIREGRDSSATLECGGDRIGSKGYFIQPTVFSNVKEDMSIAQDEIFGPVQCVFKFKDIGEVIKRANNTRYGLAAGVFTKNIDTANTLTRGLRAGTVWVNCYDIFDAGIPFGGYKMSGMGREKGIYSLNNYLQVKAVVTPLKNPAWI >Solyc04g009780.1.1.1 pep chromosome:SL3.0:4:3087743:3088303:1 gene:Solyc04g009780.1 transcript:Solyc04g009780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTILEDSEGPNDVELSEETFGKNYGYGIGFSLGVLVLFSVMAYASYLCIQSRSRSRNNSNMPNNNNNSSSSSSSSHGSRSTIVGDELVFVQQGIDEEILRNYPKLLYSQAKVHYYYHKEDDNNNNIDDDIIASGCSICLGDYKDNDMLRLLSNCGHIFHVKCIDPWLRLHPTCPICRNSPLPMK >Solyc05g012370.3.1 pep chromosome:SL3.0:5:5634499:5640255:1 gene:Solyc05g012370.3 transcript:Solyc05g012370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFSAGTGGAPPPAFLLTGTNLKCSYSRRLTIRAGEFPDFLPIQIENIKDPYARKLASRIERIPVNLSKGCIMSSCVKPKEQTEANPVVLLHGFDSSCLEWRYTLPMLEDAGLETWAVDILGWGFSDLGRLPSCDVASKRDHLYQLWSTHIKKPMVLVGPSLGSAVAIDFSVKYPEAVDRLVLIDASVYAEGTGNLATLPKAVAYAGVYLLKSLPLRLYATSLAFNGLPFSTCIDWTNIGRLHCSLPWWEDALVNFMISGGYNVISQIEHVKQKTLIIWGEDDQIIDNKLAVRLHCELPNAILRQIPKCGHIPHVEKPDAVSRLIADFVRPDQSQRANPDSVSTISVPS >Solyc07g049170.1.1 pep chromosome:SL3.0:7:59553424:59556302:-1 gene:Solyc07g049170.1 transcript:Solyc07g049170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSTFGSYVRWSSVARGKFTFLSYVASLILIYYTPPRRVMCCSLSQDKCCSPSRFIPFLCSLLKVATRICAFLANLYVVITFACSVTALLLLFLTIASYNGTFPSESFLFGCAPKSSSLRIHSTLPFEAAKRKTVRPLLSDSLASMPFFSNWLNRRSSPLFAAAESIPFSGQDLSYVTICHVVGLWMLLSNKRNKPTIIRITYENSYLKNKLFFYIYIFLKKI >Solyc09g064245.1.1 pep chromosome:SL3.0:9:61629190:61630565:-1 gene:Solyc09g064245.1 transcript:Solyc09g064245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLIYVDDLLITGNDLQLIKESQNILQQNFKIKDLGELRYFLGIKFLRSNKGILMTQRKYILELILEWGLAGAKPAITPLEQRMNGQKCLSKTSGKASLCGSNKTRYFICSTLSQFMHDPKQSHLEGALHVVRYLNGRPGSGMSLSSKNDHTLRGFCDFDRASCVVTRKSVTGYCMKLGSSLISWKSNKQETVSKSTAEAEYRSMTSAVAEIIWFVGLLDEMNMKSLLSLYIDPISSLQVFACTWRRKEKKEFEQMRCW >Solyc02g078960.3.1 pep chromosome:SL3.0:2:44125778:44130379:1 gene:Solyc02g078960.3 transcript:Solyc02g078960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASMDRAQLTMVGSGFSALLSMHFTIQLLSQHLFFWKNPKEQKAIIMIICMAPLYAIDSFVGLLDIRGSKTFFMFLDSVKECYEAVAIAKFLALMYSYLNISISKNIVPDEIKGREIHHSFPMTLFQIQSKCRYKLLALSYPDDLEAWLANTYISFLPRTAHLDHRTLKLLKHWTWQFVIIRPACSILMITLQILGLYPNWLSWTFTIILNISFSVAMYSLVVFYHVFSKELQPHKPLSKFICIKGIVFFSFWQGLLVKILVSWGIIKSHHFWLDVEHLQEAIQNVLICVEMVFFSVMQQYAYHVAPYSGDVEAKLKLKKDD >Solyc10g078870.2.1 pep chromosome:SL3.0:10:60667406:60668033:-1 gene:Solyc10g078870.2 transcript:Solyc10g078870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSCKPINDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPYWSRSFRGKRNFYDEKDELQETDSGFLQSGTMQYQTRDRSFRAFCK >Solyc02g082090.3.1 pep chromosome:SL3.0:2:46368361:46370634:-1 gene:Solyc02g082090.3 transcript:Solyc02g082090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BA79] MKSFVFLTAALFLVAAVCASAYDEDDLPYDYYSKTCPKLECIVHKKMEEWIKKDYSLAPALMRLHFHDCFVRGCDASILLDYEGSEKDANVSKTLRGFEVIEDIKRELEKECPKTVSCADILTVAARDATLAVGGPYWMVPYGRKDGTVSNAKEADQLVPMGHEVVTDLLELFQSKGLNVLDLVVLSGAHTIGRTTCESLQYRLYNYNGTKKSDTRLDHLYLNYLERKCRWASEYVDLDAVTPKKFDVQYYKNLQKGMGLLLTDQLLYKDSRTAPIVTALATQPDEFGSLFSASMVKMGNIQDYLSNDGEVRLTCSRVNAPTKY >Solyc10g084110.2.1 pep chromosome:SL3.0:10:63892479:63896199:1 gene:Solyc10g084110.2 transcript:Solyc10g084110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSFAFSNCFNPTSEIMEINQIIPYEKDGQRVQKFSIFSYKELKVATHGFGASNRIGEGGFGSVYKGRLEDGSFVAVKVLLVDLESMRGEREFISEIAALSNIRHENLVTLRGYCVDGTKRLLVYDYMENNCLSQTLLGEEQNRSKFTWELRRKISKGIAKGLSYLHEEVNPHVVHRDIKASNIVLDHNFTPKIGDFGLSRLFSKNISHITTRVAGTLGYLSPEYAISGHLTRKSDVYSFGVLLLEIISGCPVIAFDIERGEHFLVNKAWEMYNSGKLLELVDPILNGEFRDDEAVRFLKIGLLCVQEIASLRPKMSSVFEMLNSANYMELDDINIIQPGILADLGDVKIGQKQSSNSFLSNVVTLVKKMLVQSVYFSHTKLA >Solyc09g007490.3.1 pep chromosome:SL3.0:9:1044294:1047553:1 gene:Solyc09g007490.3 transcript:Solyc09g007490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTDESNPLLPNQQSEVKDEKNPNKPISSTTPVPPFPADPVKPLSAVVPMGWTVEGVPMGHGVVVDPIMNRAQWDSGLCACFGRTDEFCSSDIEVCLLGSMAPCVLYGSNAERLGSAPGTFANHCLPYTGLFLIGQSFFGSNCVAPCFTYPSRTAIRRKFNLEGSCEAFNRSSGCCGSFIEDEVQREQCESVCDFATHFFCHPCALCQEGRELRRRLPHPGFKAQQVLVMIPPNEQTMGR >Solyc01g107675.1.1 pep chromosome:SL3.0:1:95038780:95039960:1 gene:Solyc01g107675.1 transcript:Solyc01g107675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLILRFYNVSSLAKNVRLIITVCCIRLKYGVLEGLCSFEEACSNITVVFEGFRNEYFTSSIFRKIDYGWLRLCLRIGNTFEFTIFITLRNQDNVYFLLFLITSLHCVGKSMSCNGVMEDEEI >Solyc09g059813.1.1 pep chromosome:SL3.0:9:56285481:56285928:1 gene:Solyc09g059813.1 transcript:Solyc09g059813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVELIFLLKIGKYIHITIDTKKMIIKYRGLVRYSYFVTIVDGMSAEKKKNTSFLLDFNKGSTFRGTLESCEHLPFAQTCLYLLRVSPYFNRVMMQTRPNMITQEHIGWLQHW >Solyc02g083280.3.1 pep chromosome:SL3.0:2:47283089:47285753:1 gene:Solyc02g083280.3 transcript:Solyc02g083280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTISLHSTSFSLVEFHGTKNLAQSRINRSVSLIPMARSQFQPKIRRDIGTSNRNPSFSWMATVGEKVHNSTVPTSVPVRVALELLQAGHRYLDVRTTEEYNDGHAAGAINIPYMLRIGSGMIKNPNFLEEVLEQFGKDDEIIVGCQLGKRSFMAATELLAAGFTGVTDIAGGYAAWTESGLPIES >Solyc12g095770.2.1 pep chromosome:SL3.0:12:65879867:65887135:1 gene:Solyc12g095770.2 transcript:Solyc12g095770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLSSSSLVSFGGIAKPFSAPVRLKCQYTPLRTTINNPSDSMASPKWAQKTVTLPPQRRGCHLVTSKIMKEINQEIAGFKCGLAHLFLQHTSASLTINENYDSDVREDTETFLSRVVPEGTSAPWKHTLEGPDDMPAHIKSSMFGCNLTIPITDGKLNMGTWQGIWLCEHRDSATPRRIVITLNGM >Solyc10g077040.2.1 pep chromosome:SL3.0:10:60050603:60054183:-1 gene:Solyc10g077040.2 transcript:Solyc10g077040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEMALVKPITKFNTINTTTARLSSRRLPFTVRMSAATTTPPTSKPSKKPQKQGIKESLLTPRFYTTDFDEMETLFNTEINKNLNEAEFEALLQEFKTDYNQTHFVRNKEFKEAADKIQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKTNPKFICYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTDFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINTKLIAVSESDEIPLVKNFKKIPLIAALASELLAAYLMKPIESGSVDFAEFEPQLTY >Solyc12g070270.2.1 pep chromosome:SL3.0:12:30063047:30097432:1 gene:Solyc12g070270.2 transcript:Solyc12g070270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIVSSVQFQPRARIVYCVGKRQNREGIIVWKRDSNPNPKLKTQLCLCKQQQQRRQRHRLRCVYSVEYSENEVDSLVEFIKSVFPGGNWWKLSSEEDVDVGGTAKPVTVVRALKRMWELIAEDRFLIFAAFTALIVTALSEISIPHFLTASIFTAQTSTAPLFHRNVRILVVLCIISAICSGVRGCLFGLANMILVKRMREKLYSTLLHQDISFFDSETVGDLTSRLGADCQQVSRVIGNDLNLILRNVLQGTGALVYLLILSWPLGFCTFAICCALFTIMLLYGQYQKKAAKLIQEYTACANEVAQETFSLMRTVRVYGTEEQELQRYARWMGKLADITLRQSAAYGYWNFCFNTLYHSTQVIAVLVGGISILAGHITAEQLTKFVLYSEWLIYSTWWVGDNFSSLMQSIGASEKVFQLMDLGSRDKFIDKGAKLEGLAGRIEFVNISFYYPSRVEVPVLQHINFVVHPGEVVALVGLSGSGKSTLVNLLLRLYEPISGQISIDGYPIRDLNIKWLRERIGYVGQEPRLFRMDISSNIRYGCSRDVNQQDVEWAAKEAAAHDFISSLPNGFHTIVDNDLLSGGQKQRIAIARAILRDPDILVLDEATSALDAESEHNIKGVLCAVRRELKSKRTVIVIAHRLSTIQAADRIVVMESGKVVEMGSHKELLLNDGLYARLTRRQADAVA >Solyc10g084553.1.1 pep chromosome:SL3.0:10:64149606:64150787:-1 gene:Solyc10g084553.1 transcript:Solyc10g084553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPRDLPMPFSFINFRTFETSSHLPALAYMLDIIARFIFHTKFNAVLLCPFIEDPMIIALQATTSRSDILLKTSAALPKSPHLLYISTRAVPITAFNSEHLLSIYECNHFPVSRAPACAQAESKLARKNLIASLILPSRTSPPITVFQDTKSLQGISRNNLKRHSTSPFLARQPKIVLYMSKSLTGASSKYFFASSKSPALTYPRIKLDNIAYHVISSFSGNSSKTLFVMFKSPIAV >Solyc01g091585.1.1 pep chromosome:SL3.0:1:85047501:85049491:-1 gene:Solyc01g091585.1 transcript:Solyc01g091585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDCVVFQSVFSLSFYGDFARMDAVDLETAASEFRERFISGRKLVPVELHAAEETIRQESGFLR >Solyc07g041460.1.1 pep chromosome:SL3.0:7:53302041:53306283:1 gene:Solyc07g041460.1 transcript:Solyc07g041460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLAQREVGQAISYSTLREEIRFMIVRDGSAKTIVFSQFTSFLGLIHYYLEKSGISCVQLD >Solyc01g080200.3.1 pep chromosome:SL3.0:1:79257278:79271541:-1 gene:Solyc01g080200.3 transcript:Solyc01g080200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSEEGNLWDSVLEITKVEQEKGGDPLVWAVQVSSCLSSSGVSLPSFELANFLVSHICWENNLPIAWKFLEKALVLKIVSPIIVFPLLSSRVIQNRRLRPAAFRLYMELMRRHIFTLKNHVNMLSYKKVVNFLDSILHLTEIFGVHADEPGVLVVEIIFSLVWQLLDASLDDEGLLQLTPEKKSRWPIKPEDVEIDGCIADMERNEQRERLKNLNTLLAIELIGQFLQNKVTAKILYLARQNMPVHWGAFVQRIQLLAGNSSALQSSSIISPKALLQLASDAHNLSKANSLQEHYVRSTSRSLATCAGLCFGSSRSSLWLPLDLFLEDAMDGSQVNATSAIEIITDLVKSLQAINATTWHETFLGLWMAALRLVQRERDPIEGPVPRLDTRLCMLFSIITLVIADLIEEEESEACDEIESSIGRHMKKQVEGTRREDVISCLQNLGDYQSLLTPPQAVTNAANQAAAKAMMFRSGANTSYFECINMKDMPTNCSGNLHHLIVEACIARNLLDTSAYFWPGYVNGRLNQLAHSMPTQVPGWSSFMKGAPLTPAIINALVSAPASSLAELEKIFEMAVKGEDDEKIAAATILCGASLIRGWNIQEHTVNFITRLLSPPVPTDYSGKDSHLIGYAPMLNVLLVGIAPVDCVQIFSLHGMVPQLAASSMTICEVFGSCAPNISWTLTTGEDISVHAVFSNAFALLLKLWRFNHPPIEYRVGDVPPVGCQLTPEYLLLVRNSHLVSSENMLKDPNRRRLATVARSSFPNPIFVDSFPKLRVWYRQHLACIASTLCGLVNGTLVCQTVDVLLSMMFKKINGGSQSLISITSGSSSSSGTGSEDTSMRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELCTGLKDLADFLPASLATIVSYFSAEVTRGVWKPVFMNGTDWPSPAANLSNVEEQIKKILAATGVDVPSLVAGGSSPAILPLPLAAFVSLTITYKLDKASQRFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWSDFLVFSASRTVFLNNHHAVIQLLKSCFNATLGLNSSSISSNGGIGALLGHGFGSHFYGGISPVAPGILYLRVYRSIRDIMFLREEIVSLLMQSISDIARSELPRQRLNKLKILKNGKKFGNVSLAATMTRVKLAALLGASLLWLSGGSGLVQSLIKETLPSWFLSVNSSNQEGDKGDLVPMLKGYALAYFAVLCGAFASGVDSLSMASKRRPKIIGRHVEFIASVLDGKISLGCDPSTWHAYVSGFVSLMVGCTPTWVYEVDAELLKRLSKGLRQWHEQDLALALLSIGGVGTMGSAAELIVEAST >Solyc02g085060.2.1 pep chromosome:SL3.0:2:48715429:48719865:-1 gene:Solyc02g085060.2 transcript:Solyc02g085060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGASKYNKALQLKLSSTMRQRRSGYEPSDTETDWTLEKSPHREVNGKNEKEIDLEEHEPEEPNVGFERAKDNSSFNLSWRTNNVPSSARRRSTKSPYKLRRDIDDGEFPSSPKALPRSVSTFPRRPDHSYSHRNVSPFQKSENRRHMSPYKYAGDDHDRIFADSNRKQNQTQGNNNVLSRLGEKSNYNRRYASAPRPDRQHKFDASKERRKANNKTQTPSQLPVRSLSRKERETPYKHGPTGGELNEMIAEAKISGSTTGANHMFESTETVSPGDIFFSRDYEALNMQKITERKFDKKPQVLTDRNVESVKTPGNFNQSGRGNSSSNTQRTISTSTFVSRQSSNLSDTSGRTTKSMKKFTANRQKSQSEPWFSCLKKGTCSTSRRESPEKGRPIDEAVVIAKASVVQSLRPFWADKHQPDLLEGFTCHKQEALLLKDLVSSSEIIPQILFKGPPGSGRRTLTMAFLREIYGDAICNISHDLRYFQIKETRPLQVVVPVSSSPHHIELNVQLEPNARYVIMALVKQITSEYALTPEISRVNKKADYKVIVLYNVDKAAENIQHLVKWIMDCYSDACKLILCCEDDVAILDSVKSRSKVFEVAAPVTHEIMEVLIQIARKEDFELPMGFAAKIAAKSKQNLRRAIMALEACKAHNYPFAEDQPISIGWEEVVTELAAEILADPNQTRLFSVRGKFQKLLVEFVHPKLILLVKYINLNEDFFKELLISKEDHFMFMLNAMQKLVEEFVKKVDAGIRREIHYWHSYYLKVHQVTTRRSAGEKAPSWNDCFVKIRRICGQIYEHIQEEFEQSSAVFVIHDYTWTRASLIERRLKFLVLHIKSSSFIKHSAFHTSITQPFLADKFCVYMLMQECMHLLLT >Solyc03g043950.3.1 pep chromosome:SL3.0:3:7757685:7769217:1 gene:Solyc03g043950.3 transcript:Solyc03g043950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLKLNCGWNLGMGKKFDFGNRGFLRFGGMRIRRDDEKQGIWLNCCSSVEKGTTITVSGTDYYYSSIASMTDSTTKIDNDDGEEFVRMMREAQPYFLAHRDRTFVVLLSAEIIDSPYLSSIIKDISLLHGLGIKFVLVPGTHVQIDRFLAERGSEPKYVGRYRVTDPDSLMAAMDAAGRIRLMIEAKLSPGPSLTGVRRHGENSRWHDGVSVASGNFLAMKRRGVVEGTDYAATGEVKKIDVSRIRERLDQDSIVLLSNLGYSSSGEVLNCNTYEVATACALALGAEKLICIIDGPILDESGRLIRFLTLQDADMLVRKRAEQSEAAANYVKAVSQEDFNCLGHNGSNGSISSYNMNGFSQKYSVFQNGVGFDNGNGLWSSEQGFAIGGQERLSRLNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGTRMARLSDIPEIKQLLQPLEESGTLIRRSEEELVEALHSFIVVEREGHVIACAALFPYFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASSLGLQMLFLLTTRTADWFVRRGFSECSIDRIPAQKRKKINLSRRSKYYMKKLLPDRSGIRFDNPFS >Solyc12g055990.2.1 pep chromosome:SL3.0:12:62929760:62934394:1 gene:Solyc12g055990.2 transcript:Solyc12g055990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNMEDEYIRRHHRHVLDHNQCSSSLVKRIRAPVNLVWSLVRRFDQPQRYKPFVSRCVVQGDLEIGSVREVNVRSGLPATTSKERLELLDDEEHIFGVKIVGGDHRLQNYSSIITVHPEVIDGRPGTIVIESFVVDIPDGNTKDETCFFVEALIRCNLKSLADVSERLAVQGHTDPIDRI >Solyc10g017780.1.1 pep chromosome:SL3.0:10:5990940:5993359:-1 gene:Solyc10g017780.1 transcript:Solyc10g017780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIGVIVPFMQAGVIVPCKEKMIGVIVIGVIVPYMQPGVIVPCEDMKIKIGVIVPYMKPGFIVPCEDMKNRIGVIVPYMQPGVIVSCEDMKNRIGVIVPYMQPRVIVPCEEMKIKIGVIVPYMQPGFIVPCEDMKNKIGVNVPCEDMKNRIEVIVPICNQGLLCPVKT >Solyc12g099160.2.1 pep chromosome:SL3.0:12:67391884:67396674:1 gene:Solyc12g099160.2 transcript:Solyc12g099160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4DHT7] MELSNPKNTKNILLVVFVFLICWSNVFSRTQEDDRVIKLPGQPNSPQVSQFSGYITVNKTHGSALFYWFFQSQFDSSKKPLLLWLNGGPGCSSIGFGAAVELGPLLVQNNGAGFDFNKHSWNNEVNLLFLESPVGVGFSYTNTSSDLTNLDDTFAAEDAYNFLVNWLERFPDFKNREFFISGESYAGHYVPQLAELVYNRNKDSKKYPYINLKGFIVGNPETNDYYDYKGILEYAWSHAILYDSEYQKAKQVCNFKVSYWSDACNDAMQVLWDKYNEIDIYNIYGPRCPVNTSSSSASDIVDTNDSSQIKAPNYGFRRMKRIPGGYDPCYTSFTEKYFNRLDVQIALHANTEGSQARKQWKSCSDPIFRTYNYNVFSILPVYERLIKGGLKIWIFSGDVDGRVPVIGTRDCVEALNLTLKSPWRSWFLNKQVAGRVVEYEGLTFVTVRGAGHLVPLYKPSEGLALIHSFLTGEELPSHR >Solyc03g115440.2.1 pep chromosome:SL3.0:3:66630511:66632672:1 gene:Solyc03g115440.2 transcript:Solyc03g115440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLIMVQKRPFGEEDLYEVSSKQPRHEPSCQLDSVLELSRESVAVDAYASGGDEDNSSKIFPDANKKFDSHVVAEVLFSSEKETEMGTHGSASNSSWPTSSTSEEDNRPEAPFHRLTSPEYYYFDHLFRAAAHHREIYSSLSSNPQKMVPIGPDFQAELPEWTPYSNKDKPCIEGIHETVSSPSQANENKLAGRTIIPMPKMELLADQVENIGERRVECSCEDKDSIRCVQLDVIEAREKLKLALGEETFVREELVSYYFNVFILRKRAEQNRFDPLNIDSDNDEWHDAVDDADEAAKMTDEDEDSVVESPAHHNGLSYNMIHEERDRRTYDEDAHEAICEDYKPVNFGSRKVFTDVQESCPSKFFDSNNSFKVGMQPQDQGLSSKVVESCTTDAAGVTSESSLGKTNNDNHWASDIAGMGSGTKHDSLLESCNGKEWDGGYLNCARNEVGLVPTPTMIEEVFGDGAWIYKSRD >Solyc08g028700.2.1 pep chromosome:SL3.0:8:42075995:42079508:1 gene:Solyc08g028700.2 transcript:Solyc08g028700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKNSSELIAAMFSLVFYFLPCLQAHIFSLLCVPVALFVKKSGGLAFFKG >Solyc09g074470.3.1 pep chromosome:SL3.0:9:66686417:66688692:-1 gene:Solyc09g074470.3 transcript:Solyc09g074470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAKDKLSSHWWWLDSQKKGTLNRSPWLQSTLSELDEKTESMLRIVEQDADSFAQRAEMYYKKRPQLINMVEDFYKTHRLLAEKYDQIKSESGTRLMTQPWMSPLSFTKYHPQKTLMSATEISYDSYSEIFDPESELSDNMSEVEDPDLEEEEEEIQTPKSEKETMEVSSGFSVKNDEVVKLMEEIEKLKEENRVQQELLSQKDEEKREVIRQLSLAMDLLREENIMLRKKSVATPKSSPKKENIFEYKTLKEGFRKASSSPKKESVIELKTFKDGFWKRLFN >Solyc10g080560.2.1 pep chromosome:SL3.0:10:61927265:61931631:1 gene:Solyc10g080560.2 transcript:Solyc10g080560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCYGSCQSCTLICHFNANINPMKKTHRLKNDSNHNITVQNFATKTKVSSSKRFKNKQKSKEYTKPISESEENLPIPQINTTLIAFLDKNPILSRNFYQIDALDLAPRLLGKFLRRDDVVLQITEVEAYRPNDSACHGRFGKTARTAPVFGPGGHAYVYLCYGLHMMLNVVADKEEAGAAVLIRSCAPIYGLGTIQQRRGLKTEKPVLLAGPGKVGQALGLSTEWSSHALYTAGGLELLDGPEPEHILVGPRVGIEYALPEHVNALWRFAIAGSSWISAPKKTLRPL >Solyc10g081840.2.1 pep chromosome:SL3.0:10:62933605:62935022:1 gene:Solyc10g081840.2 transcript:Solyc10g081840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPMSFDSQSYNMDYQGHFDLGLFGQPLGRIMLPLNFTSHDETPIFVNAKQYHGILRRRKFRTKEIEKNLLKPRKPFLHLSRHLHAKRRPRGGGGRFLNTRKTDGSINNDANGTTKTSNKKCHHTRSQNSEQQLITAAATTSEFDDVFTLTTTKLRAMDSVPTAIWLLE >Solyc02g037490.1.1.1 pep chromosome:SL3.0:2:31387749:31389407:-1 gene:Solyc02g037490.1 transcript:Solyc02g037490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLKPSSVNSSPLTPLTFLERAAVAYGDSVSIVCNSTTYTWSQTFTRCLLLASSISTLIGIKKGQVVSVLAPNIPATLELQFAVPMAGAVLNNINTRLDAKTVSVLLQHSESKLLFVDYQLYPLVLQSISLFPSDVDTPILVLIEDENSVPFSTNLDFDHCDTYDAMLAKGDLNFNWIRPENDRDAMTLNYTSGTTSSPKGVVHSHRSLFIITVDSLIDWSVPSQPVYLWTLPMFHSNGWSYTWGMAVVGGTNICLRKFDANVVFHAINKYNVTHMCGAPVVLTMLANSPCAKPLQNPVHFRTGGAPPPATIVLRVESLGFIVNHGYGMTEVAGVVVSCIWKPKWNKLSANVKAKLKSRQGIKSLGMTEVDVVDPESGVSVKRDGVTMGEIVLRGGCIMLGYLKNEEATSKCMKNNWLYTGDVAVVHPDGYLEIKDRSKDIIISGGENVSSVEVESVLYSNNFVNEAAVVARPDEFWGETPCAFVSLKMELKLKPKEKEIIDFCRERLPHYMIPKTVIFMDELPKTATGKIQKFSLRQIANGMGSLPASRM >Solyc05g009810.1.1.1 pep chromosome:SL3.0:5:4028900:4029196:1 gene:Solyc05g009810.1 transcript:Solyc05g009810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINCSYFKSQLILNRKNLLLFCLTKTKYSYELLYELKQHNKIQKILLISSDCDYIIKPKNGRKIQFPKLVVMDIRYLVLPCLPKMYGADGCDRFFFN >Solyc01g068280.3.1 pep chromosome:SL3.0:1:77224059:77238224:-1 gene:Solyc01g068280.3 transcript:Solyc01g068280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSQQQPRSLNQRHNQILISLSASHSKPPPDDMTKLEARRGLNQNDDFPTFSFTIDSDSSPSPVRFSSNGIGNDAVPSFSGIADFSPDPEPSGEVEEINDQSTFENDVVDFSPDSAKSSPLGGNEIDESKHEIQEELSDQPKDYSDYQSPGNGLKEIDETENGIQEELSNPPENSFDSLDSLSFSGKKEIDQPTSGTKEDPLLSKIVDNSSSLSVDMERSEHVRENCELSQEGQEDYEKTSNEGEEKITKVKIKGRRRLCKISEDNNDSEEMKLKDDEESGLLGITDFDSPPCQVKSAVQNEHGGSGNEIRDILNDLSSRLEILSIEKKRAPKPHDLTKKNEIPEYQSAGSSFSLSSGSSSDSTKESRIGGEIPKEYLKKIDSGTASNNNCVVHKFNDTRSSVGAPKRKEVKQMTGKSQPMKNTISAYKFLEEGDANDSDDDCVVVGDESAVTQVGRHNRKTRHEHKHSDDFDSHDFVSEEDHTYTLSGPKFNYGLPGKITKMLYPHQREGLKWLWSLHCLGKGGILGDDMGLGKTMQICGYLAGLFYSKLIKRVLIVAPKTLLPHWIKELTAVGLSQKIREYFATSAKLRNYELQYVLQDKGILLTTYDIVRTNVKSLCGDQYYEDRDEELTWDYMILDEGHLIKNPSTQRAKSLHEIPCAHRIIISGTPLQNHLKELWALFNFCCPGLLGDKQWFKEKYEHLILRGNDKNAYDRDKRIGSAVAKELREHIQPYFLRRLKSEVFSDDSSTSAKLSKKNEIIVWLKLTNCQRQLYTAFLKSEIVLSSFDRSPLAALTILKKICDHPLLLTKRAAEEVLEEMDSTSNHDDRAVAERLVMQMANVSEKLEEEVVSHDVSCKITFILALLDNLIPGGHNVLIFSQTRKMLNHLQDALISNGFQFMRIDGTTKATDRLKIVNEFQEGHGAPIFLLTSQVGGLGLTLTRADRVIVVDPAWNPSMDNQSVDRAYRIGQTKDVVVYRLMTTGTVEEKIYRKQVYKGGLFKTATEHKEQIRYFSQQDLRELFSLPKDGGFDISNTQKQLNEEHDHEHKMEETLKAHVKFLETLGIAGVSSHSLLFSKTAPVSAVQDEDEVKIARERMTYIGNSSSYTSVERPVDASQYAFKPKDVNLQRKSVPTARVGRTESQIQDDLRRCYRMLADKEKISKLFDNGQKLQGRIAHLREELETIRMKKDNKNEIIDLDDDISEQFDRAVNV >Solyc05g053060.1.1.1 pep chromosome:SL3.0:5:64057988:64058284:1 gene:Solyc05g053060.1 transcript:Solyc05g053060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFHHQLCTKSLSSSVSILIIRFQFIEILLSVSSMASVSIIKAFVLAVFLAAVVVSAQEPTLAPAPAPDAGAAFSLPVSGALIGTSLLVSLFAALRQ >Solyc06g035920.3.1 pep chromosome:SL3.0:6:25361455:25368483:-1 gene:Solyc06g035920.3 transcript:Solyc06g035920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEAKKVETAEHAAEEKAIVLSTVPPSEESKDKPDDSKALVIVEPETKALVPVEKKGSIDRDATLARLTTEKRLSLIKAWEESEKAKAENKAQKKIAEILAWENSKKASLEAELKRTEEQLLKKKAEYIEKLKNKIALVHKSAEEKRAITEAKRGEDLLTAEEMAAKCRATGSSPKKPLLGCF >Solyc01g009845.1.1 pep chromosome:SL3.0:1:4303093:4309896:1 gene:Solyc01g009845.1 transcript:Solyc01g009845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWGAFFGTRVMEIVKKHDSGGLVWKRIKLTSTRKANAKKRLRRVWQNEAVLRACSEPPPSVTSQVDAGQVTGPSAVAKASVTLTFLTLVLSFKITSIKEYLDRL >Solyc03g096290.3.1 pep chromosome:SL3.0:3:59693233:59694696:1 gene:Solyc03g096290.3 transcript:Solyc03g096290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHREEDVRLGANKYSERQAIGIAAQSEDKDYKEPPPAPLFEPGELMSWSFYRAGIAEFVATFLFLYITVLTVMGVSKSDSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAALVKAFGKTLYQTKGGGANVVNVGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPVTGTGINPARSLGAAVIYNNEQAWKDHWIFWVGPFIGAALAALYHQVVIRAIPFKSK >Solyc02g072050.1.1 pep chromosome:SL3.0:2:41907586:41909381:1 gene:Solyc02g072050.1 transcript:Solyc02g072050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFRISFSWFNLLSLLLINVYVLGVFSLSLDGNDDYISAVGDSGMRRDGLRVAIEALNQCNEVGEETPKMGSPRAADCFDVQNKASAQQQNPNRLLQHKVTEEDNKLGIGKSFPGLTKRALNNVDLYAAEKEVYLGSKCQVDDKPNPWQFWTIRLKSGNMDTRAGKCPKNGHKVGPFDPSGEFECFGKGCMNQPLMYHNYTTLQGTTLKGEFHGTWDLNAGSSANMNTSFYSIRWQKELGKGWIFYHVLRTSTKYPWLMLYLRSDATSGFSGGYHYQTRGMSKIIPESPNFKVKFRLAVLQGGGPHSQFYLMDIGSCWKNNGKPCDGDVTSDVTRYSEMILNPETPSWCNPDDFSLCPPYHTFPNGTKVHRSDKSRYPYEAYHMYCTPGNGEHVEQPSIPCDPYSNPQPQEILQILPHPVWGEYGYPTEKGQGWIGDPTTWELDVGRLSQSLYFYQDPGTAPAKRKWSSIGLGAEIFRDANQVAEWTVSDFDILVRLYKN >Solyc10g044520.2.1.1 pep chromosome:SL3.0:10:26614470:26622558:-1 gene:Solyc10g044520.2 transcript:Solyc10g044520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSFLPRKPAVTSLKAISNVGEALFGLKSGRNGRITCMASYKVKLITPEGPIEFECPDDVYILDQAEEEGHDLPYSCRAGSCSSCAGKVTAGSVDQSDGNFLDEDQEAAGFVLTCVAYPKGDVTIETHKEEELTA >Solyc03g114260.1.1.1 pep chromosome:SL3.0:3:65775690:65776487:1 gene:Solyc03g114260.1 transcript:Solyc03g114260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFHPTDTELINYLKRFFKGELSLNQQCPIQFADIYGDQPPWEIFGANFEEKFRYFITPLKKRRIKDARFSRTCAKGTWKGQTGEELIRRNGMGPVVGFKRKFRFETSDQCGHNKTWLMIEYQVADSFFKENNHILKEDFVVCRIKKKKIMDKEKNVDHVIEAQDGDVAGIIDPMLLDPNHNNVYSTREDQVRVCDEVEATTTEFDVRNTMEKRETALEVQEGNGVDDIRSNEFQEGMYKVLQDFSFDIPDEWLQNSHILQDI >Solyc06g072670.3.1 pep chromosome:SL3.0:6:44957007:44959350:-1 gene:Solyc06g072670.3 transcript:Solyc06g072670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVELIHKFLNLVAPPVSFFSLLLFLPPFQFFKCVLSILGTLFSEDVAGKVVIITGASSGIGEYLAYEYAKRGACLTLAARRDRSLNEVAEGARELGSPDVITIQADVSKAEDCRRIVDQTMSHFGRLDHLVNNAGVHAIALFEDTEDVTDFKSVMDINFWGSVYMTRFAIPYLRYSGGRIIVLSSSASWLPAPRSSFYNASKAAVSQFFETLRIELGQDIKITLVTPGFVESELTQGKYIGKGGDVEVDQGMRDVFIGATPVAKVESCAKTIVNSACRGERYVTIPSWFRVSYLWKVFAPEVLEWMYRLMHLTGTSPEDALSKKVADYTGAQNVLYPETIRTGETKTD >Solyc10g086520.2.1 pep chromosome:SL3.0:10:65433198:65435216:-1 gene:Solyc10g086520.2 transcript:Solyc10g086520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNWALCIATLLCFLTVVNAKIAGVYTGGPWTSAHATFYGGADASGTMGGACGYGNLYSQGYGVNNAALSTALFNNGLSCGACFEIKCTDSKKEYCNPGNPSILVTGTNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKIAVYRAGIVAVNYRRVPCRKQGGIRFTVNGFQYFNLVLVTNVAGAGDIQKVYVKGTNTPWISMTRNWGQNWQTNAKLVGQALSFRVTASDRRSSASYNIAPANWQFGQTFQGKNFRV >Solyc09g065920.3.1 pep chromosome:SL3.0:9:64440097:64444982:1 gene:Solyc09g065920.3 transcript:Solyc09g065920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTGVSRRWILVDSKGQSSILDLDKYAIMKRVSIHARDLRILDPLLSYPSAIFGREKAIVLNLEHIKAIITTEEVLIRDPMDDNVLPVVEELQRRLPLPAISLGEGEDDDQSVVNGTRNEMQTIEPTEFPFEFRALEVALEGVCSYLDTQTRELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTSRVQKVRDDLEQLLEDDGDMADLYLSRKLVAGVSSPLSGQVAPYWSPDTFSIRSKLLSKASRISGITHEETDVEELEMLLEAYFVQIESTMNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLTVYSLVAAIFGMNIPVPWKKDHGYLFKWVVILSGIASASVFLSIIAYARHKGLVGS >Solyc06g019190.1.1 pep chromosome:SL3.0:6:18168147:18169647:1 gene:Solyc06g019190.1 transcript:Solyc06g019190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFNCYILKIVSPYNEILHTLPHYCMVDEPLWMACVPMFCLEIVKVHSPDRVMRQFGHSQHVPVIVGEPTTMCMISVGGRPALEVDVSSGFVHSADTSIAMSRGLFKLYSLALQWQRDTTSVSHGEEVSQIVKDTLVEAEIQFREPFFEGDPLFEHVRARGPRRGRMGHKSRARGRALGRGAGGMSIPPDIEAETDSRCGRIGRMSYDSTIDVGDYIPDMMGTLVTVHCDNEDTTIYNTLNFIEGLLDDPIESQITLSPLSSSDVTFNMPVDDML >Solyc12g006230.2.1.1 pep chromosome:SL3.0:12:745272:747708:-1 gene:Solyc12g006230.2 transcript:Solyc12g006230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQYPTPADAGVLGVILVNTAISISIIKEILRSILRVIGIRIASWEDYSIEGPLDSLECRGSPPESYMEEFRSRTPAFRYDSLRISNHPEQECSVCLTKFEPDAGVNSLSCGHVFHKLCLEKWLRYWHVTCPLCRNYLMPQQEEDDTCPM >Solyc03g095510.3.1 pep chromosome:SL3.0:3:58048434:58055145:-1 gene:Solyc03g095510.3 transcript:Solyc03g095510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BIX5] MVSATQPTGKPFNNHMLLPLQHPKVVSLDDVDMDFSDIFGPAPAQVLSSENVAMSETNGFIYEEPAVIYSRSHSFVGPTNYVSQLLKLSKLKLRESEHLLELVEGDSGETNDHLEEATSDSAVTDKSDVHADANTPRSVGLNDFEVLKVVGQGAFGKVYQVRKIGTSEILAMKVMRKDKILEKDHAEYTNTERDVLTKIDHPFVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLRRQGLFREDLARIYTAEIVSAVSHLHSNGIMHRDLKPENILLDADGHVVLTDFGLAKQFDENTRSNSLCGTIEYMAPEIVLGKGHDKAADWWSVGVLLYEMLTGKPPFVGNRQKVQQKIIKDKIKLPAFLSSDAHSLLKGLLHKDASKRLGCGSIGSDEIKSHKWFKSIYWKKLDAREIQPSFCPQVSGKLCIANFEEQWTSMPLLDSPAASPKSGDNPFKGFSYVRPAE >Solyc03g097040.2.1 pep chromosome:SL3.0:3:60830520:60832016:1 gene:Solyc03g097040.2 transcript:Solyc03g097040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYKSYSCLLLVFLSIIGLAAARDFGEKKVSCFAQCTKAFFNNECLAFCISRSFNNGNCVLDSSGIFYCCCQT >Solyc01g095180.2.1.1 pep chromosome:SL3.0:1:86414837:86416026:1 gene:Solyc01g095180.2 transcript:Solyc01g095180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSVFQTTPLNAVAATALNLPTHSLATPKPSFSSHGPSQPFFSPFSMSTSDIRRQTPPPPVTIAPPDSTYTLSQNLSTIGLGYAIAIALGFLVLLSTVLLASYICCRSAAARRRRRQARANSRNPNNNIENGIYLPRIIFVAEDDEENDDGLSQNATLGLDQVVINSYPKLIYSKRNGGSGNGNDVVCPICLCEYKDGEMLRMMPDCKHYFHVMCLDAWLKLNASCPVCRNSPLPTPLSTPLSEVVPLSQYSDGRRRV >Solyc01g014647.1.1 pep chromosome:SL3.0:1:14414648:14414914:1 gene:Solyc01g014647.1 transcript:Solyc01g014647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKALIKIRKDNSTVWESPNALVYIFKFEGKKRKGTEPNSVVPGVNLSSFSYKELEQATNGFKEELGTGA >Solyc09g015360.3.1 pep chromosome:SL3.0:9:8605795:8612427:-1 gene:Solyc09g015360.3 transcript:Solyc09g015360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFASVLSQKPVNNFHFPQNLRELTSRFVCRIEYGSFAIPRRMCFSNSLGSYYWKKRKKYKHILVKASRKESPYEVLGVSSSATADEIKKAYRKLALKYHPDVNKEQNAQEKFMRIKHAYNTLLNSKTRRRYDSRSDTSSYSYYNGAERNRSAADEEDFYSFADFFKDLQEEFRNWEANVASTGKPKSLWEELAEIGEEFVEFLEKELNITDADVEDENNNERPQKGNAQSGTSNEKQMRTDKDNSIEENIDEIEAALAQLKKELGL >Solyc08g068880.1.1.1 pep chromosome:SL3.0:8:58032695:58033642:-1 gene:Solyc08g068880.1 transcript:Solyc08g068880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTKQKQKQNLHNQRSVVPTSTSASGGDGGGGGDWREESITGGSLKHVDLDKGKNGWASPPGDLFNLRGPHYLTKKAKVPSGAWLLQPAGVDWLRSNSKLDHVLARHDNRVMNALKKSHSEGKSLKTFIVAVNLQVPGRDQHSAVFYFATNDDEPLEPGSLLYRFVNGDDAYRNSRFKIVNRIVKGPWIVKAAVGNYSACILGKALNCYYHRGPNYLEIDVDIGSSAIASAILHLALGSVTSVTIDMGFLVESQTEEELPEKLFGAVRICQMEMSSATFIDTTSSRKVLPTANLHNPCNSSKVQAEENGENKDD >Solyc04g074290.3.1 pep chromosome:SL3.0:4:60362352:60369310:-1 gene:Solyc04g074290.3 transcript:Solyc04g074290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLHRGVSGGGRLSVNGPDFWDDSQMKDKIEKEDLERNRSPGDLTYSPTKFLCRILFPDNSPSKHNLGENGLLSDPFSPGTGRNRLKYALVLIRLSLVVIILLALTGSFWWTISITSSSRGHIYHGYRQLQEQVVSDLRDIGQLSLGATNVKDIEYCPPESENFIPCFNVSENLDLGLSKGEEVDRHCGPGSRQNCLVLPPVNYKIPLRWPTGRDVIWHANVNITAQEVLSSGSMTKRLMMLEEEQISFRSDSAMFDSIEDYSHQIAEMIGLRNESNFVRAGVRTILDIGCGYGSFGAHLFSSQLLTMCVANYEASGSQVQLTLERGLPAMIGSFNSKQLPYPSLSFDMIHCAECHVDWDQKDGIFLVEVDRLLRPGGYFVWTSTITNTQRSVRNKVSLKKWNFVQNFAESMCWKLLAQQDETAVWKKTSLKKCYFARRPGVGPSICSKGQDIESPYYRPLQACIAGTQSRRWIPIEERTKWPSRAKLNSSELKIHGLLSEALAEDSLNWNSAVNNYWSLLSPLIFSDHPKRPGDDDPSPPYNMLRNVLDMNAHFGGLNAALLDARKSVWVMNVIPTSGPNYLPLILDRGFVGVLHDWCEAFPTYPRTYDLVHANGLLSMEFGQHIRCPMFDLFIEMDRVLRPEGWVILRDTVPLIELARSHAARLKWDARVIEVESSDEKLLICQKPFSRRQAS >Solyc03g096197.1.1 pep chromosome:SL3.0:3:59559757:59560178:1 gene:Solyc03g096197.1 transcript:Solyc03g096197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILYPECSKFNSGKIYNTSRVIEGKKKQWTLGPFNPITLSPTQQRHCSLIWLDKQVPKSVIFVSFGTTTSFSYE >Solyc11g020825.1.1.1 pep chromosome:SL3.0:11:12260084:12260410:-1 gene:Solyc11g020825.1 transcript:Solyc11g020825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIRELKSVGHNISDEQQVQVVIRSLPASWEYMKIHMIHKENIKSFEDIEHHLVLEDDRRDTSKTTDKAFLAESAKTSNPKKKIKNNKEWKKKGFDKSNQNDKSQF >Solyc11g065625.1.1 pep chromosome:SL3.0:11:51433966:51437544:-1 gene:Solyc11g065625.1 transcript:Solyc11g065625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGIPRSASVIARGQSGKAGHAIAVRLRGSTNLGSVPQKSCQSTVKTTKQTTVSLTELFEDMGKSQKEHNLMAQPDEEK >Solyc12g005040.2.1 pep chromosome:SL3.0:12:20361:21387:1 gene:Solyc12g005040.2 transcript:Solyc12g005040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHNIDTDNSGTITYEELNSGLARLGSKLTEAEVKQLMEAADVDGNGSIDYIEFITATMHKHRLERDENLYKAFQYFDKDGSGFITRDELETSMEEHGIGDPASIREIISEVDADNDGRINYKEFCTMMTSGAKQPGKLF >Solyc05g016077.1.1.1 pep chromosome:SL3.0:5:14468776:14469090:-1 gene:Solyc05g016077.1 transcript:Solyc05g016077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACITNYLLEWGLDNVFTITVDNVSSNDVIVKEMSKNLSNWGTITMDGDHRHVRCMAHIHNLIVKDGLKEIGMSIKLVRQAVKYIKQSPARLRKFKECCESEL >Solyc07g005595.1.1 pep chromosome:SL3.0:7:486646:487226:-1 gene:Solyc07g005595.1 transcript:Solyc07g005595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTLHGYCKAKASTSVRAFTVRVEDGRLEPDFGSSAQVRSSSPQKSLSFNKLRLKKPLLPPSVVTLSRRPRMMKSISSTGSPSRTMCVFSIYKHGFRRSQIASSNLSSIFSNRGT >Solyc07g041513.1.1 pep chromosome:SL3.0:7:53638372:53638571:1 gene:Solyc07g041513.1 transcript:Solyc07g041513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDRSVTFIAFGSYSEISNRVDWSRIVEMWEAIFVGDKETKNQRKGWRKTSVAKTNLKGRERH >Solyc02g076700.1.1.1 pep chromosome:SL3.0:2:42406310:42408538:-1 gene:Solyc02g076700.1 transcript:Solyc02g076700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRGRTIIQQNLKITQLGKRGQIDEAIRVFNGITHPNTVTYNSMISAYAKNGRIINARKLFEKMQSKNLISWNTMINGYLFNGQVDKACELFDKMPQRDHFTYALMITCYTRSGELEKARDVFESLPDRSNIACWNAMITGYAKAGRLDDARKMFGGMPAKNLVSWNSMLSGYTQNGEMQFGLKFFEDMEEKDVVSWNLLLGGFIEVGDLDSAKEVFAKIPSPNVVSWVTMLSGFARYGMILEAEMIFDQIPEKNEVAWNAMLAAYVQNEKIDMAASLFNRMSQRSAVAYTTMIDGYCRVGKLKEARDLLDQMPYKNVGARTAMISGYIQNNIMDKARWVFDRTATRDVVCWNTMIVGYAQCGRIDEAFGLFEKMEPKSIVVWNTMIAGYAQVGQMEKALEIFKNMGERNVISWNSLISGYTQNGFYVDALKYFITMTRDGKKPDHSTFASTLSSCSNLAAEHIGKQLHQAAIKTGYVKNLSVCNALIIMYAKCGKIFDAEKMFEDVDNADVISWNSLLAGYALNGCGQEAVKLFQEMEDKEVVPDEVTFVSVLSACKHAGLSDAGANLFEHMTRKYSITPSCERYACMVDLLGRAGRLEEAFLLIKGMKENVTVEMWGSLFEACRMHNNIKIAGCAIEKLLELEPHTSTNLVVLSNMYAELGRWGDVERVRETMKKSGAGRLPGCSWVEDRNQLLVFLCGDTSVQSVENSNMLFTLTTQMMDMGHMPAMTSFCLDSDN >Solyc07g019505.1.1 pep chromosome:SL3.0:7:11842228:11844832:-1 gene:Solyc07g019505.1 transcript:Solyc07g019505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRVPDNPDAYAGWNALSSFGSYISVVGICRFFVVVTITSSSGKNKRCAPSPWAVEQNPTTPEWMVQSPPTFHTFGELPTIKKTKSYVKRKLILSTTLASTGTRRRHDSEDQSISIYKKHCLGHATQKDRNTARSKKTLLETGTSQAPSPYSQVAERPLDLATRVAINLFQSSLVISLSRTTRSLRKLIVGFYRSGGCCEEILVPSSKEEWAYAGSISQGKTTTAWLGFDTQATRPAKEDRCKEVAGWDRGTVAREVRS >Solyc02g070080.3.1 pep chromosome:SL3.0:2:40488839:40493920:1 gene:Solyc02g070080.3 transcript:Solyc02g070080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKLVSSWWKNVQSLPENYKFPLDQRPGEPIDPLKHSTPIIDLTTHEDGGYAQPAILEQIIKASQDFGYFQVINHGISEKLLEETIGVLKEFFNMPAEEKAKYYYTGDPNSKCKLYTSSYNYQNEDKHCWRDTLAHHCHPLQDNLPFWPEKPTHYREVISAYSIETRKLITKILDVISEGLGLEKGYFGGELSKVQMLSANYYPPCPDPNLALGMHSHCDPNLLTILLQDSHVYGLQIFKNGKWIAVEPIPNAFVVIIGCQLQIISNDRLKSVIHRAVTNSKETRICVGNFVIPSSDCHIEPASELVKKTTNIAAYKSYQYKEFLHAYEIKHGDFEALLQSYKL >Solyc03g082350.2.1 pep chromosome:SL3.0:3:53713463:53715192:-1 gene:Solyc03g082350.2 transcript:Solyc03g082350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSIFSSFDALSAEILGQKVNHSWAPPTSDNKQPRGAGVGPIVSDRNIAVSVSPPSTSVTSKLNKTEEAAPPSSSSKSPSRPRRPKFAPELDGVYCFETIPPRTSRGPLPPSSHRGARPEDPSLPESFSSHQGAQPEDPSPTPNHPRVLEAYAPRTLPPSPRGAHPEDPSPLRVREAHTPRTPPPPPSPRGARSEDPTPPSIPRGARPEDPTPPL >Solyc09g092050.3.1 pep chromosome:SL3.0:9:71691464:71695801:1 gene:Solyc09g092050.3 transcript:Solyc09g092050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLFLSLVVNLFIFFFAINLNNLDLFKMKVIDKLKFPKESLKIINPTPHYENFNESHFISLIHSSRNILQLQQIHGQIIRKNFSSNSRIVTQLISSASLHKSINYGLSIFSCFLDKNVFLFNVLIRGLKENSLFEKSILYFRKMVKMGVRPDKLTYPFVLKSVTALGDKRVGGVVHCGILKMGLEYDTFVRVCLVEMYVKAELVDFALQLFDESSERNKVESVILWNVVINGCCKIGRVSKALALFEEMPERNVGSWNTLISGLLRNGEVDKAMELFDEMTNEKNVVSWTCMIHGLMLNELHQKALDLFFKMVEEGVKPNGLTVVSALSACAKTGALEAGKKIHDNIVNNGLHLNAAVGNALLDMYAKCGYIESASLVFSGLKEKDIRTWSIMIWGWAIHGHVDKALRCFEQMRLTGIKPDGVSVLAVLTGCSHAGRVDQGLQIFDGMQRQFSIEPTMKHYAAVVDLLGRAGRFDEALKFIASMPLEPDYVIWGALFSACRAHKNIEMAKVASEKLLQLEPKHAGGYVFLSNVYAGAGRWDDVERVRSSMKNKNVEKDPGWSSMEVAGQLHTFVAGDSAHTRKQEIYLKLEEIITGAKQQGYMPETEWVLHNIDEEEKEGALGSHSEKLALAFGLISTGPGVIIMIVKNLRVCGDCHSLMKYVSRMSQRVIVLRDIKRFHHFKDGVCSCKDYW >Solyc06g074270.1.1.1 pep chromosome:SL3.0:6:46055738:46056568:-1 gene:Solyc06g074270.1 transcript:Solyc06g074270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCGGLKHFFDKPFPENSTILDSTSSWNQIKSRKPNKDSSFMEIFDELNSVSSFSFNYLPPLSTATTSSSSSSSTCSSYNNSSLSFEAIHQSGVEGKNRDPIYPPSSQNKHYKHSDSFSSRNSDCLSICTESLGFESCDDVEDIMNALSIGNDQEHEEIRSTNIHNQGIISHEYTKIKSRTNKGALLPPPISCIGRNGKPCVCFESFRENGRFILKEVKIPEWEFLHACREDGRLKLQIVQSDDEFFDEDEEYDDDSEDVEEDESSDDDDNDYEK >Solyc11g067310.2.1 pep chromosome:SL3.0:11:53341844:53348183:-1 gene:Solyc11g067310.2 transcript:Solyc11g067310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSNEIKKTKTISLYKLFSFADNIDKILMFLGTIGAFGNGLCHIIAPLMFGQLVDAFGLNLTSIVLQQVSKVSLKLVYLAIGSGVSATLQVGCWTLTAERQAARLRVLYLKSVLRQEVSFFDKEVNTGEVIGKMSGDIFIIQDAMGDKVGKMIRCITMFVGAFSIAFIKGWLLALVMISPIVPLIIVIGVMFLFMSRQASQSHKAYSKAANVVEQTLGSIRTVASFTGEKQAFEKYNKSLKKAYKSGIHEGLVNGLGFGLSQFILFCNYALAFWFGGKMILEKGYTGGSVLTITLAVLNASMSIGEASPCFAAFTAGKAAAYEMFETINRHSEIDVYNNSGIILDDIRGDIEIKHVCFSYPSRPTERILNEFSLLIPSGKSTALVGGSGSGKSTIISLIERFYDPQSGEIFIDGRNLKEFQVKWIRQKIALVSQEPTLFSTSIKENVAYGKDGATKEEIEAAIEIANASKFVNRLPEGIETNVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESESLVQEALDKIMVDRTTIIVAHRLSTVRNADNIAVIHRGTIVEEGKHFELLKDPEGAYSQLIRLQEVNQEKEQLCLDDSQLLSTESRPESSENHDTTEVKGIPETILTKSSDANLEVSKNLEKGHIIRLAHLNKPEFPILLMGAVVATFSGSVLPAFGLMFSNILKAFYEPPDELKKDTQFWSLMIVVLGAILLISSPLETFIFTVAGCKLIQRIRSMCFQKAVHMEIGWFDEPENSVGVIATKLSSDAAIVRVLVGDVLAKITKDLAAAIIGIMIAFQASWLLSLIILAMVPFMMVNIYVQNKFAKGFGTDAKKYEKASRVVNDAVSNIRTVVSFCVEEKVLELYEKGSNVPIMSATGKEMISGISYGITSSFIFLVYAASGYAGATLVDNGTISNSATFRVFLAVFFTSIAISRSTFMNDFTKAKTAAASIFSILDRNSKIDSSKQDGLTLDQSKGDIEFKQVCFAYPTRPNIQVLNGFSLTISSGQTVALVGESGCGKSTVISLLQRYYNFGSGQIMLDGIDIQNFNLKWLRHQMGLVSQEPILFNETIRANIMYGKEAGDASEAELIAAAKLANAHKFISSLQQGYDTMVGERGAQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERVVQMALEKIMVDRTAIIIAHRLSTIKEAEVVCVIKNGVVAEEGNHDTLLGNENGHYASLIKHHIGYR >Solyc11g072270.2.1.1 pep chromosome:SL3.0:11:55768306:55768749:-1 gene:Solyc11g072270.2 transcript:Solyc11g072270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDLSNDQISSMKEAFTLFDTDGDGKISPSELGILMRSLGGNPTQAQLKSIIAEEKLTSPFDFNRFMELMSKHLKPEPFDRQLRDAFKVLDKDGTGFVVVSDLKHILTSIGEKLEPSEFDEWIREVDAGSDGKIRYEDFIARMVAK >Solyc03g096200.1.1.1 pep chromosome:SL3.0:3:59565077:59565346:1 gene:Solyc03g096200.1 transcript:Solyc03g096200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISMEVPLAAWPMHSDHPRDTVLITKILEVGLVVKDLAQQDELVTLDRMEKVVRILMESKEGEEMRKNKRVEFWCEKCCGRKWCHKK >Solyc05g051515.1.1 pep chromosome:SL3.0:5:62716538:62717932:1 gene:Solyc05g051515.1 transcript:Solyc05g051515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:Q6LB28] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc02g072300.3.1 pep chromosome:SL3.0:2:42154403:42159245:1 gene:Solyc02g072300.3 transcript:Solyc02g072300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDKEMSAPVMDGNDAVTGHIISTTIGGKNGEPKQTVSYMAERVVGTGSFGIVFQAKCLENGETVAIKKVLQDRRYKNRELQLMRTMDHTNVVCLKHCFYSTTSTNELFLNLVMEYVPETMYRVLKHYSNMNQRMPLIYVKLYTYQVFRGLAYMHTVAGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPPLFNFKQEVRLSCVYS >Solyc03g005890.1.1.1 pep chromosome:SL3.0:3:603681:604097:-1 gene:Solyc03g005890.1 transcript:Solyc03g005890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIQESEVIFQENIEIEENDRDYYEFQNRDSITSNNSQSRKRLKKISNSIPISIPDNLSRNNLWFKHVENSESIFFEDEEYCDDGEMIPPHVITGRRIAGKMMSFSICSGYGRTLKGRDLSQVRDSILRMTGFLET >Solyc02g062970.3.1 pep chromosome:SL3.0:2:35503254:35513566:1 gene:Solyc02g062970.3 transcript:Solyc02g062970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xaa-Pro aminopeptidase 2 [Source:UniProtKB/TrEMBL;Acc:Q93X45] MADTLAALRSLMASHSPPLNALIVPSEDYHQSEYVSARDKRRDFVSGFTGSAGIALISMNEALLWTDGRYFLQAAQQLSEQWKLMRMGEDPALDIWMADNLPKDAAIGVDPWCISVDTAQKWERAFAKKQQKLVPTARNLVDEVWKNQPPAETNPLIVHPLEFAGRSVADKLKDLRAKLVKEKARAIIITALDEVAWLYNVRGTDVSYSPVVHAFAIVTLTSAFLYVDKRKLSSEANSYMKENGIFVREYGDVSSDAVLLASDQLTPSSADKTPSGLNTETNCGKDTENGEIQTAELVNDLIWVDTGACCFALYLKLNADKVLLKQSPLALAKALKNPVEMKGLKNAHIRDGAAVVQYLAWLDRQMQEIYGASGYFAEAESMSMNKLKDLKRLTEVSASDKLEEFRASKEHFRGLSFPTISSVGSNGAIIHYSPEAETCAELDPDQMYLCDSGAQYLDGTTDITRTVHFGKPTAHEKTCYTAVLKGHISLGNARFPNGTNGYALDVLARTPLWKYGLDYRHGTGHGIGSYLNVHEGPHQISFRPSAQNVPLQVSMTVTDEPGYYEDGKFGIRLENVLIVKEGNTKFNFGDKGYLTFEHITWAPYQRKLIDVSLLVPEEIQWLNEYHCKCSEILAPYLNQSEMEWLKNATAPIAA >Solyc10g049280.2.1 pep chromosome:SL3.0:10:44782658:44787386:-1 gene:Solyc10g049280.2 transcript:Solyc10g049280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQVKADELVPHPVKDQLPGVDYCVNSNPSWPEAIILGFQHYIVMLGTTVIIPTIIVPQMGGGNEEKAQVIQTLLFVAGLNTLLQSLFGTRLPVVIGGSFTFIIPATFVASSSRYNTYLDPRERFIHSMRGMQGALMIASILPILIGFLGLWRIVIRVLSPLSAAPPVFLVGLGLYTQGFPLLAECVEIGLPGLIILLLLSQYIPHMWKLKHPIFERFAVLLSVAIVWAYAALLTVTGAYNNRPPQTQFSCRVDRSGLISGASWIKVPYPWQWGAPKVDAGDVFVMMAAALVSLVESTGAFIAAARYGSVTHTPGSVISRGAGWLGLGLLLSGLWGTASGFTVSVENVGLVAMTRVGSRRVIQMSAIFMLFFSVLGKFGAVLASIPLPIVGALYSIMFAFMSSAGLGLLQFCNLNSFRTKFILGLSIYLGFSVPQYFNGYVITTGDGPVRSGSAWFNKIMQVIFTSPATVAGIVALFLDLTLAREHVNTKKDSGRHWWAKFKHFDNDPRSEEFYSLPYGLSKYFPSV >Solyc08g005200.3.1 pep chromosome:SL3.0:8:129230:136278:-1 gene:Solyc08g005200.3 transcript:Solyc08g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRPLGSRRFQTNGKQDPTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELRDKLHKTRVHIGQLVKDTSAKLKQASETDHHADVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFIPQAVLPSSYTASEVDVASDKSQEQRALLVESRRQDVLYLDNEIAFNEAIIEERDLGIQEVQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATALGRSQLAKAAKTQRSNSSLTCLLLVIFGIILLIVIIVLAV >Solyc06g068970.3.1 pep chromosome:SL3.0:6:42903972:42906362:-1 gene:Solyc06g068970.3 transcript:Solyc06g068970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVIDSHFLGLTAIVTVVYQLIFFIITALLKFDKVTDFAGGTNFIVLAVLTLILKGSWNFRQVVLSVFVVIWGLRLGLFLLMRILQWGEDRRFDDKRDNLGKLAIFWLFQAIWVWTVSLPVTVVNASDHQPSVQTVDIIGWIMWSIGISVEIASDQQKLTFKNSPENRGKWCNVGLWKYSRHPNYFGEIFLWWGIFVASTPVLEGAEWLVVLGPVFLTLLLLFVSGIPLLEESADKKYGNVAEYIIYKDTTSPLILLPPGLYGKLPSWFKTIFLLEFPLYSRNLSQ >Solyc04g071940.3.1 pep chromosome:SL3.0:4:59033638:59038553:-1 gene:Solyc04g071940.3 transcript:Solyc04g071940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDTSLPIQRLLGKVALVTGGATGIGESIVRLFHKHGAKVCIADIQDEVGQHVCETLGNDQNVCFIHCDVTVEADISNAVDFTVQKFGTLDIMVNNAGLSGPPIGDIREYELSVFENVLDVNLKGTFLGMKHAARIMIPLKKGAIVSLCSVASAIGGVGPHGYTASKYAVLGLTQNVAAELGKHGVHVNCVSPYAVPTGLALAHLPEDEKTDDAMEGFRDFAARNANLQGVELTVDDVANAVLFLASDESRYISGHNLMVDGGFSCVNHSLRVFR >Solyc11g027986.1.1 pep chromosome:SL3.0:11:20004097:20008501:-1 gene:Solyc11g027986.1 transcript:Solyc11g027986.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEKIQQNLEEIVQQMLLSLVLGLRLKHLNMLFKNVWSKLKIKSAFTLVKGVIQESTEKVENQERFYFG >Solyc01g007950.3.1 pep chromosome:SL3.0:1:2070321:2072713:-1 gene:Solyc01g007950.3 transcript:Solyc01g007950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVGIFAAILLVCAILSPLLASSFPNHRPEFLEHDSGKTDVTIHGDNNNVVHANVNDIAIPCSNYETRNERSLKEKKKKKNKNKKNKKEMNHHEHDFEAFNFEHPQIEGVRHGYPQVFPEHNVDPITKEHEEPEILEGLREGFYQNTCPQAEEIIRNGLIRAFQNDSTIAAAIPRLFLHDCFVKGCDGSILLDTTPTGGKIEKLSPSNGITVKGFELIDEIKAELEENCPGIVSCSDVLAYLSRDAFVASGLPHYEVSGGRRDGMESRVENVVGNLPLPDDTVDQMIQLFNRKGLNAEDLVVLIGAHSIGVAHCFNFLYRMDTPEKAQMVDPRLGNVMKFTCTGQMSTIAFDATTQYKMDSVFYKQLLMKKGLLESDQALTQDIRTRGLVQRFSNDEVGWFNKFGVAMNKLGAVEVLTGNQGQIRKQCRAVNL >Solyc02g067890.3.1 pep chromosome:SL3.0:2:38547182:38551720:-1 gene:Solyc02g067890.3 transcript:Solyc02g067890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKKELSHRPKLLKYVLFGMIVFLGLVCLYNCSFMGPGLPIARDHLAIDDGSDPVTGISYHGRMDMDMEDQELEVPKSIPVCDMSYSELIPCLDRNLIYQLRLRLNLTVMEHYERHCPPPERRFNCLIPPPAGYKIPIRWPASRDQVWKANIPHTHLAQEKSDQNWMIVDGDKIKFPGGGTHFHYGADIYIAAIAGMLKLPGEKLSNGGNIRNVLDVGCGVASFGAYLLSHDIIAMSLAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFVYSSPEAYAHDAENRRIWNAMYDLLRRMCWRVVSRRDQTVIWAKPLSNSCYMKRSRGTQPPLCISGDEPDESWNVPMKACITPYSAKVHKEKGTGLEPWPRRLMAPPPRLEEIGVTLDEFHKDTNVWHERVVEYWKQMKSVVLKNSVRNVMDMNSNLGGFAAALKDKDIWVMNVAPVNMSSRLKIIYDRGLIGTVHDWCESFSTYPRTYDLLHSWMILSETEDRGCSIEDLLIEMDRMLRPEGFIIIRDKPHIINSVRKFLPALKWDGWSSEVEPRTDALSLSEERILIIRKNFWREKLTV >Solyc08g023420.2.1 pep chromosome:SL3.0:8:27999793:28001295:1 gene:Solyc08g023420.2 transcript:Solyc08g023420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAYQDVKTSKKSNILGEKLSNLLNIQKPYQKNDPPPSTHSNLNNIHEDKANTPTMSPKDDISDRWRDIHGVQEWEGLLDPLHLLLHQEIVKYGEFAQATYDTLDIDSFSEYCGSCMYNCHKLFDKLGLNKNGYRVTKYIYAMSQIDFKDSNWIGFVIVVASRGIVKPSERYENMQRKLESIGHMDSKVGHGFLSIYTSKKELKTLVEFYKTKGEQVSLTITGHSLGGALALINAYESATNFPKLPSSVISFAGSQNLRVTVKQDLVPRMPGIVLNESLQKFDDLIGTLEWIYTHVGAKLNLDVRSSPYLKKGFNFIGIHISSTFRSNAKRDVALVNKACDMLVDELIIPTSWPKRDPEDIPSPTREEHL >Solyc06g010160.2.1 pep chromosome:SL3.0:6:5250679:5255061:-1 gene:Solyc06g010160.2 transcript:Solyc06g010160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLYSYFCRPETKKKHHGDHSSISQVKEKDTSTPLLGGKDGHQDKEDHESKKLSKDSLV >Solyc07g032590.1.1 pep chromosome:SL3.0:7:40500856:40502326:1 gene:Solyc07g032590.1 transcript:Solyc07g032590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRKAAALLVVSPTYHEAHGAHLGFHLELPSSSLSQGTDLAVQSTHNVTCSLTQSSMLHMQGNLVDRERISKSLQMLQSSSPSYFLLASLDASRAQLIENREAVVDKAMDLALEARILIPDEIITEEALNYVLEMRSNGVIITGAAYYSISSFFAAVLGIFWVQKQLHSELSMGNVKQEVGAYSSKGKQDDPIQAGEARETPASYYSLDVISNGNSS >Solyc04g008490.2.1.1 pep chromosome:SL3.0:4:2113394:2118508:-1 gene:Solyc04g008490.2 transcript:Solyc04g008490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPGSSKKMIATQEEMVENKVPLAYRDQCAHLLIPLNKCRQSEFYLPWKCEDERHTYEKCAYELVMERMLQMQKIREEEARMKQKGHQSIPLIPKTANA >Solyc01g107600.3.1 pep chromosome:SL3.0:1:94988957:94997150:-1 gene:Solyc01g107600.3 transcript:Solyc01g107600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTTSKGLGRTSSHVRPNSVLPQYLRKIIKWQQMDIEYTFWQMFHLCTSPKVVYQHTKYHKQTKNQWARDDPAFIVICTLLLVIATLAYCAAYDHSAGHAVFVVISVLLFHFILTGATLATCCWFLTNNYLREEAPSSHAVEQRVEWLYAFDVHCNSFFPMFVLLYVIHYFLSPLLVAHGFIPVLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGIVIVLSPIFILIGFNPSRHFMNIYFSRVL >Solyc12g089380.2.1 pep chromosome:SL3.0:12:65472886:65475356:-1 gene:Solyc12g089380.2 transcript:Solyc12g089380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:Q9FVH0] MANNVNLALGFIIGLCTFFSSANGFSADSGWTSAHATFYGGADASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGGSCGQCYKIICDYKLDPQWCKKGVSVTITSTNFCPPNYNLPSNNGGWCNPPRPHFDMAQPAWEKIGIYKGGIVPVLYKRVPCKKHGGVRFTINGRDYFELVLVSNVGGAGSVESVQIKGSNTNWLTMSRNWGASWQSNAYLDGQSISFKVTTSDGVTKTFLNIVPSSWKFGQTFSSKTQF >Solyc10g076920.2.1 pep chromosome:SL3.0:10:59986092:59992349:1 gene:Solyc10g076920.2 transcript:Solyc10g076920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQEMGSRGGGEAKANALATQGSLYSLTLDEVRNQLGNCGKPLNSMNLDEFVKTVWTIESNQEVVGGNDYGPVQQGASQHHPSSITMSRDLSKKTVDEVWQDIQQGVKIDNVDKRSQERQLTLGEITLEDFLVKAGVIAESTQGKRISGLVFGVDSMSLTQQAQWTHYQIPAMQQVPEQQHQQQQQNIPPVFMPGHPIQQPLPVVANPIMDATYPETQVTMSPAHIIGTLSDTQTSGRKRVAPRDVAENSIERRQKRMIKNRESAARSRARKQAYTHELENKVSFLEEENERLKRQKEIEDILPSVPPPEPKYQLRRTSSGPI >Solyc03g093070.2.1 pep chromosome:SL3.0:3:55643883:55656570:1 gene:Solyc03g093070.2 transcript:Solyc03g093070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNQASITLFLSLNLLFFALVSADCSTDILKFGACANILNDLVGVIIGTTPTSSCCSLIDGLVDLDVAVCLCTAIKADVLGINLDIPISLNILLNVCGKKYPTDCSTDILKFGACTNILNDLVGVIIGTTPTSSCCSLIGGLVDLEAAVCLCTAIKADILGIHLDIPISLNILLNASITLFLSLNLLFFALVSAKYSTDKSAKCSTNILKFGACTNLVNDLLGVIIGTTPTSSCCSLIGGLVDLEAAVCLCTAIKADIVGIHLDIPIYVNILLNVCGKKYPTGYTC >Solyc04g077960.1.1 pep chromosome:SL3.0:4:62907210:62908650:-1 gene:Solyc04g077960.1 transcript:Solyc04g077960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVGAFFDEEWESLSKLFSSTETADFMLQLQGDHGSMFSMNGSDNAGSSYRTDNPQVAFSQLSDEVNNNFQYLSQESSITSCGSDHGMFFTNPSHDHLQHSNNIDDVNNKLLQVAINNNLPDEYQSIDFFDMDSKNLENLCINQDFQAEMVCDQLDNAGISPVPHKEMQLKRKCDKIAHENPKKKSRGSQDAQKSTKKKMQPKKGKKNQKMTQINNEEGEEETNNNADNQIAQSSSCCSSEDDSNASQELNGGTVSSNPKGKSRASRGAATDPQSLYARRRRERINERLRILQNLVPNGTKVDISTMLEEAVTYVKFLQLQIKLLSSDELWMYAPLAYHGMDIGIYQKMLPNMQ >Solyc11g020496.1.1 pep chromosome:SL3.0:11:11366292:11368680:1 gene:Solyc11g020496.1 transcript:Solyc11g020496.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMVLQASISSIKRNTRMLICNQLDKIKRLISEKMWSMHHIIATDVFKEDREEDIDEAWRNTVLQPCLDIVKRFLKSDHHNIIIEREIEPDSDFTAEDFCLQAIVYIEKILKTQQLVDEVRQIFIPDAYYTKGIRRSIGVPEMDRYLRKKQI >Solyc08g079730.1.1.1 pep chromosome:SL3.0:8:63339112:63340554:1 gene:Solyc08g079730.1 transcript:Solyc08g079730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4CNX3] MPSSPPNVSQKWPKYSILIQNTLSELKLQRGILLPLIAMNFTWFAKTAITTAFLGRLGDVYLAGGTLGFTFANVTGFSILNGLCGAMEPICGQAFGAKNYKLLHKTLVMTTLFLLLTSLPISFLWLNVDRILIHFGQQEDISIIAKSYLIYLLPDLVVTSFLCPLKAYLSTQNVTIPIMLSSTLAIACHVPITMLLSRSKGIIGVSMSNWITNFLIMLLLAIYVVIAENSKGGKWKEGGWCEQGYRDWIRLLKLCGPCCLTTCLEWWCYEILVLLTGHLPNAKQAIGVIAIVLNFDYLLFSVMLSLSTSASIRVSNELGADSPGLAYRAAYVSLAMSIVSGFVGGSVMAGARGVWGPLFSHDKGIISGVKKIMLIMALLEVVNFPLAVCGGIVRGTARPWLGTYANIFGFYLLALPLGVVLAFKIHVGLAGLLTGFVVGVACCLALLLVFIARIDWVQEAKKAQLLSCNLEEIANDDETS >Solyc04g057787.1.1 pep chromosome:SL3.0:4:54858999:54860327:-1 gene:Solyc04g057787.1 transcript:Solyc04g057787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTAGTGAEMIIDSPPVVSIPQKKDHKGETIDKGIETCLLDWEIENLFIVTLDNAFANDAVINHLKTRINDWKGDTLRNELLHVRCNAHTLNIIVKELKKD >Solyc07g020790.3.1 pep chromosome:SL3.0:7:13474044:13480574:-1 gene:Solyc07g020790.3 transcript:Solyc07g020790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAIWDEKTILIPCFTLTDQFWYVGNSSSSMYKRMTSRDSMSADVEAVSVLLQNGVQKEMTNPSWKYSCPHVLVATIVALLFGYHLGVVNEPLEIISVDLGFSGDTLAEGLVVSTCLAAAFAGSLISGWIADGVGRRRAFQLCSLPMLLGASICATAKTLAGMLAGRFLVGLGLGVGPPVASLYVAEVSPAHVRGTYGSLIQIATCLGLMAALVLGIPVKNIVGWWRVCFWLSTIPAAILALAMMFCVESPHWLYKRGKLAEAEFEFERLLGSSHVKSAMLELSKSNREDETESVKISELLHGRHSRVVFIGATLFALQQLSGINAVFYFSSTVFRRAGVSSNLANVFIGIANLTGSIVALVLMDKLGRKVLLHWSFFGMALAMALQVFASSGIASNYGAFYFSVGGMLLFVLTFAVGAGPVPGLLLPEIFPSRIRAKAMAFCMSVHWVVNFFVGLMFLRLLDQLGPHLLYSIFGTFCLMAVVFVKRSVMETKGKSLQEIEIALLPQEYREFL >Solyc12g037930.2.1 pep chromosome:SL3.0:12:49075232:49077554:1 gene:Solyc12g037930.2 transcript:Solyc12g037930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKNNYERQGLKGIGKIMHVGLLLKSY >Solyc01g056440.1.1 pep chromosome:SL3.0:1:54151311:54154439:1 gene:Solyc01g056440.1 transcript:Solyc01g056440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKCNIFFTIFNIFWSNHSLYLVLSVGGKKAREVVLNFLLDACFHDDLSITEAIAIVKAIFAENAKKFYKIDASSIYSDVEPQSLSNPFKKEDLNGPLTDVTAVRIIWDGKETWTRMDKTSYCSTTTINVASLVLQDIHASLHSFNILVEQVSFHFLQLIPNLYCISFFVACLLIRGDLVPEI >Solyc01g073830.1.1.1 pep chromosome:SL3.0:1:81065372:81066304:-1 gene:Solyc01g073830.1 transcript:Solyc01g073830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKRTCQGKQHFSHPHILKPIVNPTETLTCNACEHPNITSNFYGCNTCQYFLHENCLNAPRFLNHSSHPSHHLTLLPTPTYSNRSYTCKACGSAGKGCSFSCACCEFDTHMHCALLPQTVVLPQHHHHELELIFESPFYDDGNTVFVCDLCRDNVDLNNWFYYCADCDFGTHLECGISKTVSQERPELLGNKPRENPVVIRKTEEVPVKNEKEINQEEEAEEEEAEEEEEEEEELNYPSSILIDKHPHELELCFGSPYEDKDTLFACDMCNIVMDSDESLYYCADCDFVSHLHCASPDADVLPSSHPR >Solyc07g045030.3.1 pep chromosome:SL3.0:7:58239403:58244760:1 gene:Solyc07g045030.3 transcript:Solyc07g045030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINFKKMSEKRVEKMENTIVCESKIKNSDDDEENDLLLPGFRFHPTDEELVGFYLKRKVENKRIKLDLIKEVDIYKHDPWDLPKVMGRVGDNKEWYFFSMRGRKYKNSVRPNRVTGSGFWKATGIDKPVHSQSNELCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPIWKTNTSNGQHLPNLKNIAAEAEVWTLCRIFKRISNYKRFTPDWKQQQPVVKQSFVDTSSKACSVQSEISDDQSNNVINFKKMAFPQKSIMNASCGGNLNYQVDQRNSYYNNSQLITTMPDQSPFTSSNSIFWNTRAEDQEYLFSHGNWDELKSVVDLAIDPRSLFGFK >Solyc11g045340.2.1 pep chromosome:SL3.0:11:31036725:31037445:-1 gene:Solyc11g045340.2 transcript:Solyc11g045340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSKQELLSEIKKAGKEVDFKRKKIFLEYDLQSELMERTTSSSFIKECHELHKKFLANCDQLTAECQKWLNLIEILKTIKA >Solyc10g038140.1.1.1 pep chromosome:SL3.0:10:20007876:20008232:1 gene:Solyc10g038140.1 transcript:Solyc10g038140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDISLLNILLEFFFKLAFSYDQARSALAYKAGEFKKSESYLKANEHLNFILHEKNEKYEELSVACQSLKEAKKKVKELQVLRDAAKKEDEVVDSKVLEAEQKFKKCTNVSFVTTKA >Solyc07g043200.2.1 pep chromosome:SL3.0:7:56928787:56931297:-1 gene:Solyc07g043200.2 transcript:Solyc07g043200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIFWYILLVLPTIVLGECTCDPEDEDRNKSEAFKYKMAALASILVASAVGVCIPVLGKVIPALSPERNFFFIIKAFAAGVILSTGFIHVLPDAFESLTSPCLPEHPWGDFPFCGFVAMVSAMATLMVDTYATSYYNKKNLKNGVVGTEVGDEGGVGHTHSHAHAHAHGSTTMMVDSNSELLRYRVISQVLELGIIVHSVIIGIALGASESPKTIKPLVAALTFHQFFEGMGLGGCIAQAKLKCREITIMALFFSLTTPVGIGIGLGITNVYDENSPTALIVQGIFDSASAGILIYMALVDFLAADFMNPRMQGNGKLQLGANVSLLLGAGLMSMLAKWA >Solyc01g079640.3.1 pep chromosome:SL3.0:1:78613266:78621044:-1 gene:Solyc01g079640.3 transcript:Solyc01g079640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYFFTLTLNSREKGFDFFILFYNMGSETGSSPSSAPAIATAAAASSSSATSSPTGTGKRNRDPEDEVYVDNLHSHKRYLSEIMASSLNGLTVGDTLPDNIVDSPSRSESMLYIRDELSFQYSPMSEDSDDSRCYEALTNTSSPQRESAPTSPVSPYRYHRPMNGFSSCPPSTSYPSHSCNFPPATSSQPRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPPGPSSFELHFTPGQEPNHMETEDRPCSYMKSLVDEREYKIEQCSSMSVSAPENGEDPCSILNMGPKEDESVD >Solyc02g078050.3.1 pep chromosome:SL3.0:2:43427997:43430285:-1 gene:Solyc02g078050.3 transcript:Solyc02g078050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSSKAMVLIQVLSLVVASFSELSFGEVAENSSLDNDREDNEIISTKGLGIGRVPKKSPSTPAPAKRPSPPAKSSPPPSPPAKSPPPPPPTPTKSPSPSPPPPTKSPSQSSPPPPTKSPPPPTKSPSPPTQPPINRPPQPSPPATQVPIRRTPPPSPPTSKPPIRSSPPPPTDYDEPPDIEPPVDQEPPPVSHEPPPINEPPIIPFPPTLSPPVKLPPPTIHPTGKPLIVVGRVNCKSCSNRGLPSLFKAFPLHGASVKLVCHNNGRKAHVQTALTDKNGDFSITPISLTRADVHKCRVYLVKSPKSICNVPTNFNNGKSGAQLKPILPPGNHGPGHGLMFDFFGVGPFIFEAPNKFPCRK >Solyc02g065550.3.1 pep chromosome:SL3.0:2:37275896:37276329:-1 gene:Solyc02g065550.3 transcript:Solyc02g065550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTFWELSWDVMEPICFYVTSFYCMAGYTFFLRTAKEPSFEGFYQSRFVAKQKRLMKVRNFDLERYNELKRACYPQSTMVHPVKTLTICYNF >Solyc04g080580.3.1 pep chromosome:SL3.0:4:64783469:64787274:1 gene:Solyc04g080580.3 transcript:Solyc04g080580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEIRPATINGENQSESVNIGAPVVLGLQPFALVDHIAKVDWSLLSQIPGERGGSFPVAVEELKYILNELNAHILPSTDNESILKTIAGGSVANTIRGLAAGFGISSGIIGACGEDEEGKLFMSNMGFYKVDLSRLRLKNGTTAQCVCLVDEVGNRTMRPCLSGSVKVQADELKREDFKGSKWLVLRYAILNVEVIKVAIKIAKEEGLFVSLDLASFEMVRKFKSPLIELLESGNIDLCFANEDEATELLRGEEKADPDAALEYLAKYCKWAVVTLAQNGCIAKHEKEFVRVPAIGEAKVTDATGAGDLFASGFLYGLVRGLSLEECCRVGSCSGGSVIRSLGGEVTPENWQWMYKQMQTNGLQLPEPSKSSVVT >Solyc06g030658.1.1 pep chromosome:SL3.0:6:20550224:20558600:1 gene:Solyc06g030658.1 transcript:Solyc06g030658.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNVEVDNINTVEEADAYKEYLVSAMERVQRSNETVVLDDRGYYMHVIEKQEQEVIEQQKLEVTNSFNQEIENVVPRIVTDKADHVVKFAVPGPTKMSTINTQTPTWEGSECSIIHAMMINACILRSEFVAVDVT >Solyc09g015520.3.1 pep chromosome:SL3.0:9:9621240:9636332:1 gene:Solyc09g015520.3 transcript:Solyc09g015520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWHILTVLSLAPFLVYSLDPAFNDDVMGLIVFKAGLTDPKSKLASWTEDDPTPCNWVGINCYPQSNRVSEILLDNFSLSGHIGRSLLRLQFLKVLSLSHNNFTGNINPILSQIPSLRVIDLSHNTLSGSIPDEFLQQCTSLQSVSFANNNLTGQIPQSLTSCSTLQRVNFSSNHLSGSLPSALWSLTSLQSLDVSDNLLEGEIPKAIEGLYSLRSINLHKNKFAGWLPENIGNCVQLMSIDLSDNLLTGGLPQSMRRLGFCTNLELRSNLFNGEIPDWIAEMKSLKVLDLSANNLSGRIPTSMGDLSLLKELNLSNNYFVGSLPRSLMKCSNLVILDIGNNFLTGNLPSWTFELGVERISLSGNRFTGHINYPSISIGASYRSLQVLDLSSNELSGEIPAAIWNISGLQVLNISRNFLSGAIPEAVGKLNATRILDLSHNQLNGSIPNEIGSAVSLLELKLRENHLSGTIPADIANCSSLSSLDLSHNNLTGPIPPEIAKLTILEVVDFSFNQFSGSLPKELTNLSHLATFNVSHNHLKGELPVGGFFNTISPSSVVGNPSLCGSVLNHSCPAVHPKPLVLNPNSSDPNHASVTSLGHKRIMLSISSLIAIGAAVFIALGVVVVSILNLHVRSTMALSAATFTLSGGDDFSHSHGTEANLGKLVMFSGDADFVVGTQALLNKDNELGRGGFGAVYKTELGDGRSVAIKKLNITSLIKSQEDFEREMKSLGSIRHENLVALEGYYWTPSLQLLINEYVSGGSLYKLLHDGSSERSLSWQQRFNIILDTAKGLAYLHQLNIIHYNMKSTNVLIDDGSTSTKIGDFGLARLLPILDRYILSSKIQSALGYMAPEFACQTVKITEKCDVYGFGIMILEVVTGKRPVEYMEDDVIVLCDMVRGALEEGRIEECIDGRLQGNFPVEEAIPVVKLGLICASQVPSNRPDMEEVIKILELIRCPSESQEEID >Solyc12g008550.2.1 pep chromosome:SL3.0:12:1951128:1953092:1 gene:Solyc12g008550.2 transcript:Solyc12g008550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERAFTDRDGLSSRTWYKHLIYAPAKHNDYGFNSFPGISDAIENAKSLNSSDSWYSVQHEVWRVARAITQASLVLSGRLT >Solyc04g071520.3.1 pep chromosome:SL3.0:4:58584450:58587641:-1 gene:Solyc04g071520.3 transcript:Solyc04g071520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDELRLILKNSSVDLWGLIDTAISVAILDHGNELRSRRDAIVEKLYVPLLSNNSNSDGNYEIMKRIERNIDDDKLKTEENSNEEDLEISKILRIKNHFEIPNQSEKCLVDQLQSLAEMDINFKVLEKTNIGRHVNKLRKHSSNDVRRLVKLLIRRWKDIVDEWVRLNTLMEDTDEANISNDSSSYCDHKHSVEKRNNISPSSKRLREDYLEEHYAKIGRSREVDLEDVGKPKNSVVVNSKYW >Solyc06g050303.1.1 pep chromosome:SL3.0:6:32973515:32977656:-1 gene:Solyc06g050303.1 transcript:Solyc06g050303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDETNEASNASKYIYENQWDYSYQAADELPQASTGTNLQNTDNTLYVDSGASSHMTHKSSILTDLKHYSGLDKIMNGNRSKLDITHVGSISRSGKIFLLLYVDDITVTGSNPSHVSELEFAMKDLGNLHIFLGVEYVVELPDKSDMTFARAIATPLAQKHG >Solyc11g067030.2.1 pep chromosome:SL3.0:11:53090943:53097316:-1 gene:Solyc11g067030.2 transcript:Solyc11g067030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGFLISTQTKNSSHFLLNSISETSRLTKLPYYPSSSSLRFSLSPPPSPPRFLCSCCSHFQENGTIDMSVHSEAFAKRMAMVGLKPHHRIVLGVSGGPDSMALCVLTAAWKTNNLGNAAQKNEFVDGLLAVVVDHGLRAESKDEAHLVHRRVTSMGIKCEIVCCEWSEGKPKQGHLQEAARQKRYEILQSACIRHQIGVLMTAHHADDQAELFILRLSRSSGVLGLAGMASVSELFFTCPDLSAEVSSNGLLLVRPLLELPKKDMYKICLAANQEWVEDPTNRSALFARNRIRMILTDLASPIFRSELQALIAACRRTRLHVDKICSNLMHQAVTIMPEGYAVIDLGILCPSELKDIVLSKFIALLLQFVSQKQRPVRGSASKLLLDYIRTSPCKTAVTASSCYLCPAPGSKGTKVLICCSTEAEMTLELLNPYSSEGYNSSISKEVEQIVANGRSYSDQCPQSMLGVQFFNLTSSDSVLAEAKREGILSESTYKSIISLQREESNNFKSKTNIALNNKVEHKVEYTASAPSKVLHPEKVGYFMNRFIVKWYLCKQKTYTSYFMNNCNQLLDFGEEICNFCNSCVLGHDQMVRVRYMIDADWLYLATLSKREDRRTVHEERSLSVESQVINDINLCSACTKKSAERALVLLKSIPVAARRALPVLVNVDGVLLSIPSVGFEHCPCLVASAIFKPKIPLGGGYNSFL >Solyc12g087910.1.1 pep chromosome:SL3.0:12:64402933:64403655:-1 gene:Solyc12g087910.1 transcript:Solyc12g087910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVAVDLSGILTAESVQYDFSTIQFATNYFSIENKIGVGGFDITVKRLSRRSSQGVKEFKNEVVLVAKLQHRNLVRLLDLCLEREEKILIYEFVSNKSLDYFLFKQTTLDWSVRDKIIKGIVRGLIYLHEDSRPRIIHRDLKASNILLDKDMNPKISDFGMANIIVGT >Solyc01g102920.3.1 pep chromosome:SL3.0:1:91455637:91459205:1 gene:Solyc01g102920.3 transcript:Solyc01g102920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILIWTYTGKNFTNQLSRALNQAGFRTFEGGDDNNESRREAEINSELFKAIQDSKMCIIVFSQNYASPSWCLDQLVSILEYKMKFACMILPIFYHVDPSNLRKHKGSFGEALNRHEEKFKCERVDEKEYWEDKLKKWKDALSQAADLAGMVLENQHESTFIKKVINVISTRLSRPALYIASCSIGIHRRARPINSWVQDDGSNNSNIGILLVCGIGGIGKTTLAKFVYNLNFGYFEISCFLPNIRETSKLPNGLIALQKQLLSILLKNEKAKISSVDEGIIKIRNALCYRKVLLVLDDVDEPDLVEAIFDMKDWFGFGSKIIVTTRHKSLLRPQLGHEVHEVGILYTIEANELYNFHAFGNVNNQISKDYYKEYLEEVIEWCRGLPLALQVIGKLREIPTNEIVEKLRLSYELLEDDHDQNLFLHLCCFFVGMKKDFVVRILDKCDFYTLVGIQNLIDRSLVTIEFVNEITIHQYGKRYCSEATVDPGKRTRLWHHTDSYNVLTGKTGTERVQGMVLDMRMIKKYKCSGSLIPLKQSPIGFFTAWSSKVGNFSLQDHVRTDAFEKMHKLKFLQFNKVKVNGSYKNFPKGLRWLCWSGFPEECIPNEFPMGNVVSIDMRYSCLKQLWNGYKFLRYLEILDLSHSTELITTPDFSGLTNLEKLILEQCTKLINVHNTVGCLQKLMILNLKDCHKLKILPDSICELKCLETLNISGCSNIEYLPTELDKLTSLKELYADGISMINLEAQTWYSSLWAWAWKGRGPLLSPKIHFPKSLHVLNIAKCNLSPDA >Solyc05g053880.3.1 pep chromosome:SL3.0:5:64760657:64764916:1 gene:Solyc05g053880.3 transcript:Solyc05g053880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGKEKQHKKKRFPLQPKKVVNSKRKKEKVKKSRSSSSNNGESIENLKSKIKVKDTKLIDIVRFPTAAQQLEFFHEQYQSANRIQLSSLELDSFSETCMLELNPDHAQISTALADHMKVAFGSSWKESLCEKELDEKIDPGQPAVLVISLSALRSCDFLRELRPLTGECRAAKLFSKHMKIEEQASALKNRVNIASGTPSRIKRLIDVEALGLSRLAVIVLDMKTDAKGYSLLTLPQVRDEFWDLYRTYFHQRVLEGALRICLYDEIPVNVKKEKSNQDE >Solyc07g054370.3.1 pep chromosome:SL3.0:7:62832859:62845737:1 gene:Solyc07g054370.3 transcript:Solyc07g054370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMSAKQRSTVFDEDLFSGLPDAILIHILSLLPSKDVVNIMLIRRFHNLWPFIHTLNFEQCMWPRHICGCYARGSRPIYDEKYVNFVRHVLLLHKGPTIHKFCLKLHFRSFYEFWQRTSGRTNIWQYDILRSEKRMANEIGTWIQFALNKNLKVLDLSFYEHGTDHPHAFYELPNCVLSCPHLVELRLTYCKINLKRNSELKSLKTLYLDNVLLMEQSINYILSGCPMLEELTLQLCYSRKGMVLLNSNLKTLKLHIRWFQQRIRISCPTLLSFDMSGAVELLDISNVASIAEVSVKRNLIFDFNEDNNYQNMSKFLQIFSGAKTLKLCSWLALAFSMWQLNNLPSPTFSCKSLHLQLDFVIWHLPGILNLLKCCPCLENLIIEITSYDEFTPYNALSWIHLYEFDAGEYRNMVDVPVQCLIDHLKMVKVAGIVMEKQMIQFLEYLLGHSMVLQKMKIFAKKKAPGKAYETSNPNSLISGKAHETSHPNSLTSDKASTSNPNSLTSDKAHEYKERLLNAPKASAAAAVFFY >Solyc05g043231.1.1 pep chromosome:SL3.0:5:56480479:56490898:-1 gene:Solyc05g043231.1 transcript:Solyc05g043231.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTITIIQLPQEQEDVFLTSRIMDIEIRGIEMEELVTLQQSLNSTVNFVIIKGVSMFTQEQYYEILQMLRKGKSKEVDTMANVATAGVSGTSCNFTALISDMSHINWIIDTGASNHMVQNFGLMSQSTNLDVQGGMRVNLPTGDQVSISHIGESLVLKDKVLGLAGCKPSSTPLEFNHKLTSAVFDEFIGKNANVEDLLLDDFGKYQRLIGKLLYLTMTRPDIAFVVQVLSQYMHSPKSSHMEAALRVVRYIKGTAETRRLVTGYMIKLGGALVSWKSNKQSTVSRSSAEAEFRSMATTVAEIVWLKGLFRELGMNIKLPDSGEY >Solyc04g054680.2.1 pep chromosome:SL3.0:4:52925116:52927243:1 gene:Solyc04g054680.2 transcript:Solyc04g054680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSLVNKEQREQLAAKFTEKEKFRQSSGTGIDVSMFGEAELCNADIYELAVKAEALEDGNVSTNFQITQAVFEKEKGKFKIRVVKQVNGMQYELQEIYGNGNSVDKDFDGNDNGKECVVCLSEPRDTTVLPCRHMPVDRFLEIKVSEAAEE >Solyc11g007270.2.1 pep chromosome:SL3.0:11:1651666:1658383:-1 gene:Solyc11g007270.2 transcript:Solyc11g007270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEAFLQVLGGSLPSLFGSDDSFRKLGSSHTSRSFIRIRKKRGPICVNFLNCSHISYRAIRVDCFQSTRQCVHGDIGHSNLRSVNCKCQQADSASSFASEKGNGSWTIDNDQSFDTVHGNTPSVMQFETVRELKVGEENFQSNGSLPPNGLVEDTLNRIAGNSIEDEAWELLRESMVYYCGSPVGTIAAKDPTSSTADVLNYDQVFIRDFIPSGIAFLLKGEYEIVRNFILHTLQLQLGMDSWGNFMFITGYSWEKTMDCHSPGQGLMPASFKVRTVPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKSSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFHSALLCAREMLTPEDGSADLIRALNNRLVALSFHIREYYWIDMKKLNEIYRYQTEEYSYDAVNKFNIYPDQISPWLVDWMPSKGGYLIGNLQPAHMDFRFFSLGNLWSIVCSLTTDDQSHAILDLIEAKWTDLVADMPFKICYPALEGQEWKIITGCDPKNTPWSYHNGGSWPTLLWQLAVASIKMNRPEIAAKAVEVAEKRISQDKWPEYYDTKKARFIGKQARLFQTWSIAGYLVAKLLLANPSSAKILISQEDSELLNAFSCAISSNPRRKKRGPKSPQKTYIV >Solyc05g041715.1.1 pep chromosome:SL3.0:5:54283685:54287669:-1 gene:Solyc05g041715.1 transcript:Solyc05g041715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFEQRPNSAKRKIKAQKKKIYKYLCQKKLGKLSTPSFYSYVLRCRLLEVFGEEASSSSSSRLLQYDEVHMNKVTGQIKHVYDEIHKLIARTRDLWLIVKGISMNPSHPLLVNFSYYSASSPFHKEFVGQEANSQRFQKFITQDLYESDNFRMYMYKVQKCSNFYSHDWTSFPFTHEGEKARQRDPRKYNYLPIPCPGYKFASCIKEDNCELYHGVFEYWLHPAKYRTSPCQAGTSCNRPVCFFAHTLNELRREMKYNWSFVYQYPIYIQSYPDIIIENGPYGNWMIVSCNPQLQPPPHNHYYNTTCFGHENSPNPQQIRSKNTSNFGLFNVQNESYFSLFSANHAKLIEEMKNHKLGSTSHAKMNKIYEIYDDTDKRPVDNELRDQKFTNIN >Solyc03g112690.1.1.1 pep chromosome:SL3.0:3:64544185:64546119:1 gene:Solyc03g112690.1 transcript:Solyc03g112690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BKC2] MVVKRKTNEKEIVDEIYRENWFFTSKKQKFDEVFGAGFKDFSFQFKVEECKSPNFQPQVQDYCFSAESPRSHLDREVKVELRKINICCSACKKVCSDLNENSLCPDCGVNSDFIGVICNGMEGIYFPELHMVECRCGSCRAKKLTVGEWERHAGSRAKKWKVSIKVMMTMQPLGEWVANNNGHGIITPLKIDRRQQLMSVLQEKYNPVYAKWTVERCAICSWIEDWDFNKIIICSRCQIAVHQECYGAREVQDLASWVCRACETPEVERECCLCPVKGGALKPTDVDPFWIHVTCGWFRPEIAFVDYEKMEPATGLLAIPSKSFHQACSICQQTHGSCIQCSKCTISYHSTCASRAGYYMEMQCSEKNGTQTTKWLSYCASHKAPSEDNILVMRTPGGVYSNQKLLQRRNGGRVLKGLRLMPSDTSSAEANQPNAFSAGRCRVFRPSTDKKAKPEPIIHRVTMPHHHSLTVIQSLTSEQPQEDKNFPTLRERLHHLSKTINHRVCFGKSGIHGWGLFAKRKLQEGEMVAEYVGEKIRGSVADLRERKYKSQGKNCYFFRITEEVVIDATMKGSIARLINHSCMPNCFARIMSLGENEERIVLFAKKDVSAGNELTFDYRFEPDQNDEVKVPCHCGAPNCSKFMN >Solyc09g072660.3.1 pep chromosome:SL3.0:9:65696913:65699608:-1 gene:Solyc09g072660.3 transcript:Solyc09g072660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNFSVMLSIFLIVSCSSSYALSDVSTSSSNGKLYECICQNSDYCVPFSTAFVTPTNDSFNTILQSTAQNLRCLLPSVTKPQLIFTPMTESHVQAAVICSKQLGLQLRVRSGGHDYEGLSYMSDMESPFIILDLSKLRDIVVNTVDNYAWAQAGATVGEVYYRISEKSKTHGFPAGLCTSLGIGGHITGGAYGTMMRKYGLGVDNVVDARIVDANGRILDRQSMGEDLFWAIRGGGGASFGIILSWKLKLVPVPSIVTVFTVPKTLDQNATNILYKWQQVAADKLDEDLFIRVIINVAADKKGEKTIQTAYNSMFLGRADRLLQIMNDVFPELGLTHKDCTEMSWIKSIMYIAGYPSNTPPEVLLQGKSLFKNYFKAKSDFVKEPIPIDGLEGLWKRLMEEDSPLVIWNPYGGMMAKISESETPFPHRKGIIYMIQYLTLWNDPSKESATKHYDWIRRLYNYMTPYVSMFPREAYVNYRDLDIGMNKNESSSFIEASVWGNKYYKDNFNRLVKVKTKVDPENFFLHEQSIPTLPITMKGKSVNHFEM >Solyc07g005550.3.1 pep chromosome:SL3.0:7:440656:444902:-1 gene:Solyc07g005550.3 transcript:Solyc07g005550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLPWHPLNPTKTLSFANRTVKVNLPIRQVSYVHAFRRSDFDGFAKRVRSGEAWKDVWRNANDGFEQFLYESKKTAERIDRRYDVSRKVSDVAQSAADRAREIDRDFEITRKWRTFSLDFRSNLPRYRKQLNDFMDTPLGRSAVTIFFLWFALSGWLFRILIIATWVLPFAGPLLIGVVANNLVIKGQCPSCRRQFIGNKNSTVRCANCGNVVWQPKGGDFFSRGSRGGTTSKSQPDIIDVEFEEK >Solyc05g017950.3.1 pep chromosome:SL3.0:5:19281216:19288316:-1 gene:Solyc05g017950.3 transcript:Solyc05g017950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSRFIGKQCKLQCSDTLQRPSYGFCVRRSPTHLSMGMRNGDEIGRYNLFINQNQSKTSLVQSPCNRKIVCCEAASNVSGESSSTGMTQYEKIIETLTTLFPLWVILGTIIGIYKPSAVTWLETDLFTLGLGFLMLSMGLTLTFDDFRRCLRNPWTVGVGFLAQYFIKPLLGFTIAMALKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTVGAIVMTPLLTKLLAGQLVPVDAAGLAISTFQVVLVPTVIGVLSNEFFPKFTSKIVTITPLIGVILTTLLCASPIGQVADVLKTQGAQLLLPVAALHAAAFFLGYQISKFSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVYWRNQPIPVDDKDDFKE >Solyc05g051570.3.1 pep chromosome:SL3.0:5:62788623:62792592:-1 gene:Solyc05g051570.3 transcript:Solyc05g051570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVANRQSFLNTSKWIEEVRTERGTDVIIVLVGNKTDLVEKRQVSIEEGESKAREFNVMFIETSAKAGFNIKPLFRKIAAALPGMEALSSTKQEDMVDVNLKPTTSSSNAEQQGGGCAC >Solyc12g010480.2.1 pep chromosome:SL3.0:12:3488300:3494350:-1 gene:Solyc12g010480.2 transcript:Solyc12g010480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMMNNGTKKSSKIGKTEISESGILKEKKMNSYKEEEKKFKRRLMKFEELPVYLQDNEFIRDYYRCEWPLKEVALSVFSWHNETLNIWTHLVGFVVFVTLTVTSLMEKTAMETLLAGFSRPAGMGPWPTMKSNGSADSFPDSYTSHISNPSFLGAYGDGYEVAIWPWFVFLGGAMICLVFSSVSHLFACHSHKFTLFFWRLDYSGISIMIICSFFAPVYYTFCCQPYWCLLYLSSITIVGILVIFTLFAPALTSGKFRSFRAVLFLAMGFSGVIPAAHAVSLYFHHPQVLVALVYEITMGLLYAAGAVFYVTRFPERWKPGTFDLVGQSHQIFHVLVVAAALSHSIATLVIMDWRRGLPPCNAGILG >Solyc02g081660.3.1 pep chromosome:SL3.0:2:46075975:46091440:1 gene:Solyc02g081660.3 transcript:Solyc02g081660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLSFRPRPLDIHKKLPILKSIKDFEDDDSQTNTRNLILRLAAEATENEVPQTSSKKLAPEIPTPEYVIVDTYERDYSPTFGQPTSYIHARGARAEIGEFVEYDLDNEDEDWLQEFNRERKVLAAEKLEAILYKLEVLDHKARERAGVIANTLGSPVPVLLSFDAAVEALQSLSIKYGVFQSIYNYWKDKRERLQKPSLRRLQMQRRENNAQSFEKLRQVRRNLDQAKIILEALIKREEKKREVIDSEISLQRLQMKHKNETELFVDAFTLPEFPSFPSKVGSSEDEFVDSDDPSTSRRHIAQNITFPDPKLDPNEPTLLFTKPLDPAKLSAAGIIPPGSPTPNGLPAHTFSFRGRMGRGGRIVFDRWNPLSHTPMDCAQPQEDHPTSTGVSSSEEEDTSSSVFDSSQYDVGVGLNNDVNSERSVSSTWDEKYRERVKTKVFGEDPSEIKSSRILIKEEEKRRRAALLARTLLEAALDRPDEEGDEDKEDELVKEEDQMSLSVGIIGAPNAGKSSLTNYMVGTKVSAVSRKTNTTTHEVLGVMTKGKTQICFFDTPGLMLKKSGFPYKDIKARIESAWRPDSRVVRLIERMGSEANPNQKRLLCINKVDLIEKKKDLLKVVEEFKDLPGYERCFTVSGLKGAGVKDLTKYLMEQEIPYGIDHRLMDWKELRDGSLRIEHHLITHKISQRKILVGKNGSKIGRIGIEANEELRTIFKRNVHLMLMVRVKS >Solyc07g062580.3.1 pep chromosome:SL3.0:7:65390306:65394318:-1 gene:Solyc07g062580.3 transcript:Solyc07g062580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESGRPMSEIGLDGVTDRLKNSLTCAEDGNGVEINKPDFRELDLGSPSESSHSGELSSSVESSPKARGFKPGHARSYSSGGIAVNSPPLNVLPTGNICPSGKILKTGMASKSSKTDVLGSGTGYYGHGSIMRGGTGVKPGGGAVGGVDAGATQTANLRGVMAGDTMKRGMLNTDPEELKRLGNENYKKGYFVEALNLYDKAIAISPGNAAYHCNRAAALIGLKRLPEAVRECEEAIRLDPSYVRAHHRLGSLLLSFGQVEKARSHICFQGHQPDQAELQKLQAVEKYIVKFTDARRVGDWTRTLREVNAAIASGADASPQLFACRAEALLKLHQVEDAELSLSITRKHEPSTGGSPESKIFGMLSEAYVFFVQAQIDLAMGRFESAISSVERAGHIDPRNIEVSVFLNNTRSVGRARTRGNDLFKSERYTEACAAYGEGLRRDSSNSVLYCNRAACWYKLGQWEKAVDDCNRALTFQPHYTKALLRRAASNTKLERWAEAVRDYEVLRKALPYDTEVAESLFHAQVALKKSRGEDIHNMKFGGEVELVSGLEQFQAAISSPCASVVHFKAASNLQCKQISPFLDTLTTKYPSINFLKVDVEESPSIANAENVRIVPTFKIYKKGSRVKEMICPSQEVLESSVRHYSI >Solyc09g064270.3.1 pep chromosome:SL3.0:9:61667070:61677918:-1 gene:Solyc09g064270.3 transcript:Solyc09g064270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLMLLFLLSLLTLLTTTTLGITLPHIYLSPTLPPNKPYFASKLFLGDARLVSTHVSFAPISAPHRHHFKPHMIPSRAPAPSPASQGLAAAPIASRVVGHHRHRHNRPRARVSPSPAVGSGCGEVCAEPFASVPFVTPCTCVFPMKVRLLLDKSLYSIFPVVRDLGIEVAKGTYLRPSQVVVVGASADNQNQERTIVDINLVPLEDKFDNTTAMLIYERFWKKKMPLNRTMFGDYDVMHIMYPGLPSSPPSGIGSGNGPTGSAIDQQFPITADFGNKSQKMNPRVIFLIASSALVLLVVCCGALVVLLNCRRTSRPSNAVGPVFTSSMHKRSGKGIGSTISSSTASSTSVSLISAMPASILSVKTFTLAELEKATDKFSLKRVLGEGGFGRVYHGILEDRTEVAVKVLTRDNNQNGDREFIAEVEMLSRLHHRNLVKLIGICSEERTRSLIYEIVRNGSVESHLHGKDRIKGPLDWDVRLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSDHVSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGQENLVTWARPLLTTREGLEQLVDPSLAGTYDFDDMAKVAAIASMCVHPEVTQRPFMGEVVQALKLIYNDNDETCADGCSQKESSLPDSDFKGVPSDSSWWNAGGLTPRLTYGQASNFMTMDYSSGPLEEFENRPFSASSFNLGGEAGLLSHGNRSGPLRTVRSKPALYRLRGSMSEHGALLPRHAWKDGTNYDASF >Solyc11g067300.2.1 pep chromosome:SL3.0:11:53327053:53334573:1 gene:Solyc11g067300.2 transcript:Solyc11g067300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSNEIKKERNIDTKTISLYKLFSFADNTDKILMFLGAIGAFGNGLSLVILPVFFGDLVDSFGQNQSSGVLQQVSKVSLKMVYLGMAAGVASLLQVSCWTLTAERQVSRLKVLYLRSTVRQDVSFFDTEVNTGEVIAKMSGDIFVIQDAMGEKVGRLIRSMAMVIGGFVTAFIKGWLLAIVMLSPIVPLAIVIGTMYLFMSRKASLSQKAYSKAANVVEQTISSIRTVRLQPYRMLIYIRFKHTFSNFYFVQVASFTGEKEACEKYDKSLEKAYRSGVHEGLANGLGMGSAYFILFCNYSLAFWYGGKMILEKGYTGGSVLSVALAVLTASFSIGEASPCLASFTAGTAAAYKMFEIIKRNPEIDVYNNSGIVLDDIRGEIEIKHVCFSYPSRPTDRILNDFSLLIPSGKSTALVGGSGSGKSTIISLIERFYDPQSGEIFIDGHNLKEFQVKWIRQKIALVSQEPTLFSTSIKENIAYGKEGATKEEIEAAIEKANAAKFINRLPEGLETNVGERGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERVVQEALDRIMVDRTTIIVAHRLSTVRNADNIAVVHQGKVVEEGEHFELLKDPEGAYSQLIRSQDVSQAKEQLCLDDAQHFSTELRPESRNNDDITAIEEIPETRLAKSSDINSEESTKLEKNPVTRLAYLNKSEFPMILVGAIIAIISGCVFPVFGIVLTNTVKSFYEPPEDLKKDSQFWSLMIMVLATVLLITTPLETLFFTVAGCKLIRRIRSMCFQKVVHMEIGWFDETENSVGRLATKLSTDAAVVQVLVGDVLAKITKDLAAAIVAAMIAFQASWLLSLFLISMIPFMVGNAYLHSKLLQGFGSESKKLYEQASQIANDAVGSIRTIASFSAEEKVVELYTKASDIKGKTKKGMISGISYGVTTTFLFLVYAASGYVGARLMEDGKITFTDYFRVFFAVFLAAISVSQSSFIVNDLKRAKGAAASIFCILDRKSKIDSSKEDGLTLNQCKGVIEFKQVCFAYATRPDIQVLNGLSLTIPSGQSVALVGESGCGKSTVISLLQRYYNFSSGQIMLDGIDIQNFNLKWLRHQMGLVSQEPVLFNDTIRANIMYGKEAGEATEAEIIAATKLANAHKFISGLQQGYDTIVGERAVKLSGGQKQRIAIARAIMKNPKILLLDEATSALDAESERVVQMALDQIMVNRTTIIVAHRLSTIKEADIICVVKNGVIVEQGNHDTLISDENGQYTSLVRHHMGSI >Solyc10g046773.1.1 pep chromosome:SL3.0:10:36958113:36959450:-1 gene:Solyc10g046773.1 transcript:Solyc10g046773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGVDANLLSSAHRNMDKLFNIPFYERKMLKTKSVNIFVMPGASLEGVFQRTLGGYFKNQMNDYQDYLNSMRKLSLRIMKLLGMSLCVPKSHLKGSSNGRYKLITTRLLEKHLLYFFVQNKDNVVSPQTQLVDYNNR >Solyc08g068140.3.1 pep chromosome:SL3.0:8:57283855:57284443:-1 gene:Solyc08g068140.3 transcript:Solyc08g068140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYHNQYYVFTIFSAANLSPEVYWRVKFPNTPMPTPIKDALHINGKILLKIFQYVSY >Solyc02g083980.3.1 pep chromosome:SL3.0:2:47766094:47770710:1 gene:Solyc02g083980.3 transcript:Solyc02g083980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4BAR6] MEKEPHKDKRWVWRGLILVITALVLAAVLISFRKYFHPSNSSEADSHQVVEKYANALSIAMQFFDVQKSGKLVNNKIAWRGDSAMGDGSEVNLDLSRGMYDAGDHVKFGFPMAFTATVLSWAILEYGNHMNMVNELDNAHESLKWITDFLTNAHPSENVLYIQVGDPKLDHTCWERPEAMTGKRPLTQVNTSYPGTDVAAESAAAMAAASLVFKSIDSAYSGTLLEHAKQLFKFADSYRGSYSISIPGVQDFYNSTGFVDELLWAAAWLYHATGDKSYLTYVSTNGNTFANWGNPSWFSWENKLAGVQVLLSRVNFFGSNEDISTEESLSLQSYRQTAETFLCGLLPESPMATSQRTADGLVWVNQWNPFQYSVASSFLAVVYSDYMLSSQTSNLYCSGKLYEPTELRNFAVSQLDYILGNNSMEMSYLVGYGSRYPQQVHHRGASIPVNANSNCSDGFKWLHTRHSNPNVAVGALVGGPSLSDTYMDSRNNISQSEPTTYNSALIVGLISGLITSSSQVESFVKN >Solyc02g080910.3.1 pep chromosome:SL3.0:2:45565642:45570963:-1 gene:Solyc02g080910.3 transcript:Solyc02g080910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALVLALLFLCISTLQAEYYAPSKNYYAPSTTKKTMNVIDSCWRAKSNWAKNRYALADCAVGYGKAAIGGKNGAIYVVTNPSDDPVNPKPGTLRYGVIQSKPLWIIFNKDMVITLKNELMINSYKTIDGRGAKVEIAYGPCITIQRVSHVIIHGISIHDCKPGKRGIVRDSPVHAGHRNGADGDGIDIFQSTHVWIDHCYLARCTDGLIDVIHASTGVTISNNYFTQHDKVSLFGHNDNNKEDKIMKVTVAFNYFGPGLIERIYTCRVRLGYAHVANNRYEKWLMYAIGGSANPTIFSEGNYFLASKSTQVSLQVSKNGWQNWKWRSSKDKFLNGAYFIPSGYGTTNPYYSKAQSFPVADGSMVPSLTADAGPFCSFFTIVASKSSDKDETKSLHDFFPSYDPQPHKNGLLNVIDSCWRWKGDWSSNRKALADCAIGFGSSTIGGKYGDIYIVNDSSDDPINPKPGTLRYGAIQSEPLWIIFKRDMVLTLENELMVNSYKTIDGRGAKVEISNGPCITLDYVTNVIIHGISIHDCKPGKKGMVRSSPEHVGERSGSDGDAISVFTSSNVWIDHCYLARATDGLLDVIHASTAVTISNNYFTEHDKVSHFQVMLLGHNDEYTADRNMKVTVVYNHFGRELVQRMVRHGYAHVANNYYDQWLMYAIGGSADPTIFSEGNYFIAPDKVIAIVMLQVTKRETEEKGWKSWKWRSSNDMFMNGAYFLPSGYGSIAPKYTRGQSFIVAHGAFTPSLTSNAGPLQCVVNEPSSV >Solyc04g025850.1.1 pep chromosome:SL3.0:4:20753926:20754600:-1 gene:Solyc04g025850.1 transcript:Solyc04g025850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGDLEIYLRALLKERKYPVVVDDVWKTEAWESLKREFTVRKNGSRFIIATCKEDVAERADDREMESLAKDMVENCRDLPLAIIVLSGLLSHKKEDQVVNVDNIIRLWMDEGFIPRGEERMDDVAEGFLNESIRRSLLQVANTF >Solyc07g048110.3.1 pep chromosome:SL3.0:7:59424434:59435360:-1 gene:Solyc07g048110.3 transcript:Solyc07g048110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVAAAINILSACIFLIAFAFLRIQPVNDRVYFPKWYLKGLRGSPLHSGTIVNKFVNLDFRAYLKFLNWMPAALQMPEPELIEHAGLDSAVYLRIYLIGLKIFVPIAFIAFSVMVPVNWTNHTLERLDLAYSDLDKLSISNIPSGSQRFWTHLVMAYIFTFWTCYVLKREYEIIASMRLHFLASERRRPDQFTVLVKNVPPDPDESVSELVEHFFMVNHQDHYLTHQVVYNANRLTALVNEKKKKQNWLDYYQLKYTRNHSKRPTSKTGFLGLCGKTVDAIDFNSSEIERLSKEISDERMNIIGSTKYIMPAAFVSFRTRWAAAVCAQTQQARNPTLWLTEWAPEPRDVYWDNLAIPYVSLSIRRLIVAVAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKSLIETNAVKSFIQGFLPGIALKIFLIFLPSLLMQMSKFEGFCSISALERRSATRYYIFQFVNVFLGSIITGAAFNQLNNLLHQSANEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIFFHLKNFFLVKTEKDREEAMDPGSLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFALAYVVYRHQIINVYNQEYESAAAFWPDVHGRIITALIVSQLLLMGLLSTKEASKSTPLLITLPILTIWFHIFCKGRFEPAFVRYPLQETVRKDTLERTKEPNFNLKEFLQNAYIHPVFKGEVDSEIDAASEDGDLEPSLVQTKRQSRFNTPLPSKRGSSPPLLSDFDATTQV >Solyc08g076120.3.1 pep chromosome:SL3.0:8:60270218:60276593:1 gene:Solyc08g076120.3 transcript:Solyc08g076120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPVVYKKKERRDRGARTVLDEYAAEPIDQLEIFDHIRDIKDPEHPYSLEELKVITEDAIDIDDQRSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPSRYKVDIRVAPGSHATETAVNKQLNDKERVAAALENPNLVDMVDECLAPSYA >Solyc06g071100.3.1 pep chromosome:SL3.0:6:43806873:43818339:-1 gene:Solyc06g071100.3 transcript:Solyc06g071100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPEVLDAVLKETVDLENIPIEEVFENLRCTKEGLTGTAAQERLAIFGYNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWNEEDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLVEVFAKGVDADTVVLMAARASRTENQDAIDTAIVGMLSDPKEARAGIREIHFLPFNPTDKRTALTYLDGEGKMHRVSKGAPEQILNLAHNKSDIERRVHAVIDKFAERGLRSLGVAYQEVPEGRKESSGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQTKDESIASLPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYETDFFPRVFGVSTLQRTATDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVVAFLIAQLVATLIAVYASWSFAAIEGIGWGWAGVIWLYNLVFYFPLDIIKFLIRYALSGRAWDLVLEQRIAFTRKKDFGKEQRELQWAHAQRTLHGLQVPDTKLFSEATNFNELNQLAEEAKRRAEIARQRELHTLKGHVESVVKLKGLDIETIQQSYTV >Solyc05g041697.1.1.1 pep chromosome:SL3.0:5:54185746:54185781:-1 gene:Solyc05g041697.1 transcript:Solyc05g041697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTTSEEVIIKQ >Solyc11g066800.2.1 pep chromosome:SL3.0:11:52890005:52897123:-1 gene:Solyc11g066800.2 transcript:Solyc11g066800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEFIDNDSSSHNEYHDHEKELDAGALFVLKSKGSWMHCGYHLSTSIVAPPLLSLPFAFASLGWWGGILCLVIGAIVTFYSYNLLSMVLEHHAHLGRRHLRFRDMANDILGPRWGRYYVGPIQFMVCYGAVIGSTLLGGQCMKAIYLLLNPNGAMKLYQFVVIFGGLMLILAQMPSFHSLRHINLISLLLCLAYSACATIGSIYIGNSSKGPIKDYSISNDKETRIFGVFNAIAIIATTFGNGIIPEIQATIAPPVKGKMFKGLCICYAVLSTTFFSVAISGYWAFGNKAEGLILSNFTQNGHNLVPKSFIFITNIFTILQLSAVAVVYLQPTNEVLERTFADAKSSEFSTRNVIPRLISRSISVIISTTIAAMLPFFGDINAVIGAFGFLPLDFVLPVIFFNLTFKPSKKNPIYWLNMSIAVFFSVLGVIAAVAAVRQISLDAKTYQLFANV >Solyc06g082750.3.1 pep chromosome:SL3.0:6:48495993:48497790:-1 gene:Solyc06g082750.3 transcript:Solyc06g082750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATWSMSCLKSALPTVQPISNSSLRFSSGSSPSRLRICKPKSSSTIIQSFVGLAPLHPLLSLSSQDSKSFEDSFTIIDSGGRVFAMRHGRKVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTKARARAVRKYVDKMVTMAKDGSLHKRRQALGFIYEKQIVHALFAEVPERYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Solyc02g080380.3.1 pep chromosome:SL3.0:2:45170284:45177770:-1 gene:Solyc02g080380.3 transcript:Solyc02g080380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Derlin [Source:UniProtKB/TrEMBL;Acc:K4B9Q9] MAQAVEEWYKQMPIITRSYLTAAILTTIGCSLEIISPYNLYLNPKLVVKQYQVWRLVTNFLYFRKMDLDFLFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTGIVLVGGMIPYVSESFARIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLKTPAVIKALFADEPVVVARPANVRFAAPPVDEVPPNQ >Solyc11g065190.2.1 pep chromosome:SL3.0:11:50812199:50814519:-1 gene:Solyc11g065190.2 transcript:Solyc11g065190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMNSGNNSNTPATAAPVIPSPKQTQTTVKTVDTQSVLKRLQSELMALMMSGDSGISAFPEEDNIFCWKGTITGSKDTVFEGTEYKLSLSFPADYPFKPPKVKFETGCFHPNVDVYGNICLDILQLVWFNILSDVWNTMSLQDKWSSAYDVRTILISIQSLLGEPNISSPLNTQAAALWCNQEGAFLIQEDG >Solyc07g049700.1.1.1 pep chromosome:SL3.0:7:60180692:60183268:-1 gene:Solyc07g049700.1 transcript:Solyc07g049700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAALSSLMHTLQQLLQSKSPLICTGNTSIQHVESLYQDLCDLQVFLENTTIEVKDIEDVKALEEKIKDVVYKAEDRIDSSLRSIILLDNGDDDREMACNVFNEELQQVEKEVCFLKQEVMVIKFDKHIGFSKLPESTTFPSSRKSTIEEKTVVGMKDDLNSILNCINAHTKELIVISVVGMGGIGKTTLASKVFDDSMIRSQFDKHAWVTISQDYNKRQMLLEIVSSITGIYQENMSNDKLLDTVYKGLKGRRFLIVIDDLWSTEALDLMRRIFPNDHNKSRIILTTRLKTVADYASSPDFPPHDMSFLSLDDSWNLFTERLFKKDPCPPQLEVIGKHIIQQCQGLPLSIVVIAGLLGNIGRTPDNWKKIEENLNSFFGKASEKCQAILSLSYNCLPQYLKACFLYIGSFPEDMEIHVSKLISSWIAEHFIKARSNTRLEVVAEEYLQELTDRSLILVRGRRANGRIKICKIHDLLRQMCIREAQIENVVHFTNNDISDGINDHRRVIIPYLIQDYFRDHPNHRNGKITTRSLIFLGRQSYEVTGIYYWPYSISDFKLLKVLDAHEIGFDFSRIIPQLVHLRYVDARVNDPSSLAKLFNLQSIIIYSQKKNVQLPTEIWTMSEIERVDITAVDMPNPPIGEQPLFMNNLHTLAVKSSAVVLKILRRIPNLNKLKINDVRRTEWYAFLDCLIVLQGLVTLNIQAAASLNSPFFLSRDIFLPNLTKLSLNSTFFPWEDMAVLAKLPNLEVLKADNAFWGTDWKLDEDVVFPKLNCLAVRHGNLERWEATSDNFPMLEELYLSWLHLLEEIPESIGEIMTLKSIEIRGCNSAVITSAKQIRENQEIYGNYEFQLRFVRY >Solyc03g078207.1.1 pep chromosome:SL3.0:3:51601107:51602956:-1 gene:Solyc03g078207.1 transcript:Solyc03g078207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGEDKKIYLVLLEGDPIAFQHVKTISQEGKKLDPNSLCYLFSEASKAYANELTKSHDEFLQSYLERGSYDKIYSFKHIINGVAVHTTPSQVFCHLQTITYLTPHDLHLFANYILLWQRDVLGGVLIQYRISLIFKKLKNAARVKLLEEDRRVKQMTTYTPQFLGIPAVWTQQGGDRNAGEGIVIGFIDSGIDPDHPSFAYDPTTNKTFTGSFSGACEEGPLFPQTSCNGKIVSARFFSAGAQTTTTLNDSMDILSPFDAVGHGSFLYSHVASTATGNFGVPVVVNGLYYGRASGMAPRAR >Solyc02g081030.3.1 pep chromosome:SL3.0:2:45639309:45651748:-1 gene:Solyc02g081030.3 transcript:Solyc02g081030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGDIGLAAAINIISALIFLVAFAILRLQPFNDRVYFPKWYLKGLRHSPTHSGAFVAKFVNVDWRAYIRFLNWIPDALKMPEPELIDHAGLDSAVYLRIYLLGLKIFVPITLLAWAILVPVNWTNSTLTKSDFTYSDIDKLSISNVPLGSLRFWTHIVMAYAFSFWTCYVLKTEYAKVAAMRLQFVASEKRRPDQYTVLVRNVPPDADESVSECVEHFFLVNHQDHYLMHQGVYNANKLAKLVKEKKSKQNWLDYYQLKYSRDQSKRPMMKTGFLGCFGAKVDAIEHQIAEIERLTKEIAEEKQRVEKDPKSTMPASFVSFKSRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVFWDNLAIPYVSLTIRKLIIAVAFFFLTFFFMIPIAFVQTLASLEGIRKKAPFLKVIIDEPFIKAFIQGFLPGIALKIFLIFLPTILMMMSKFEGWLSISALERKSASKYYIFTIVNVFLGNIIAGAAFEQLSTFLNQSANQIPKTIGVAVPMKASFFITYIMVDGWAGIAGEILRLKPLIFYHLKNFFLVKTEKDREEAMDPGSVGFNTGEPQIQLYFLLGLVYAVVTPFLLPFILVFFGLAYVVYRHQIINVYNQEYESAAAFWPDVHGRIIFALCFSQLSLLGLLSTKHATQSAPFLIALPVLTISFHLYCKGRYEPAFTKYPIQEARMRDTLEQAREPNLNLKGYLQNAYVHPVFKDDDEDEDEDFMMKLENDSVLVPTKRQSRMNTPVPSKVSAGSSPSLPDAVTHEH >Solyc01g057610.1.1.1 pep chromosome:SL3.0:1:62841879:62842043:1 gene:Solyc01g057610.1 transcript:Solyc01g057610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSKPYSVLLALHADVVVFYSSRFNFSYFMSVSIILMLVNSFGSSWAFISFDH >Solyc06g042940.1.1 pep chromosome:SL3.0:6:30785027:30785637:-1 gene:Solyc06g042940.1 transcript:Solyc06g042940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQNGVLSLIKLSLMLKLMRRLVVGEKDDEGTIKYLRIGAEKSDSEDQNLVPRNSVQHLAALDDYSSPNQQSCNSADHVKFEEKLVVDTSKSNDAKFAQKINKIKEKGVVAENSSMRQGDKHTLEKLHDAIQKPKSDVQLENLAIDGQKFDGSKIGDLQPFEPVDLQFEISGCD >Solyc06g051130.1.1.1 pep chromosome:SL3.0:6:34334294:34335598:-1 gene:Solyc06g051130.1 transcript:Solyc06g051130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVHVKEATLITPSDPTPIQVLPLSALDSQLFLRFTIEYLLVYKPSRHVLDKLATVSRIKAALGRALVPYYPLAGRVRARTNGSAGLEVVCRAQGAAFIQAASDLTAEEFEGAPRHNTQWRKLLSLQVTDVLKGAPPLVVQLTWLSDGSATLGVGFNHCLCDGIGSAEFLNLFAELATGKQKFTQLNRQVWNRYLMDPNIYNKRIYQQNHPEFNKVADLCNFNSRFSQLAPTSVTFNRSRVNELKKLLTYTQSSCTSFEVLSAHIWKSWATSLNLPPNQTVKLLFTINIRNRVKPSLPIGYYGNGFVLGCAQSCARDLVEKGLGYAVGLVKRAKDRVDDEYVREVVESVSSNGTSPDSVGVLIMSQWSKLGLEKIDIGMGRPVEVGPVCCDRYCILLPVYDQKDSVKVNVAVPTSAVDKYLYLLNNTTTIGT >Solyc10g050117.1.1.1 pep chromosome:SL3.0:10:47912298:47912495:1 gene:Solyc10g050117.1 transcript:Solyc10g050117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRTYNIILERVYSNKSGVFFIDGPGGTGKTFLYRALLAAIRTKGFISLATASSGMAASILPGG >Solyc08g075640.3.1 pep chromosome:SL3.0:8:59897385:59901538:1 gene:Solyc08g075640.3 transcript:Solyc08g075640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFLQILLKNLTSFIQEELGLFFGFKNEFENLKSTFTTIQAVLEDAQEKQLKDKPLENWLQKLNIAAYEVDDILDECQTEAARLNQTKYGSYHPKAIAFRYKIGKRMKEIMERLDAIAAERSKFHLEKRTTEREAARRETGFVLTEPEPYGRDKEEDEIVKILINNAQQLSVLPILGMGGLGKSTLAQMVFNDQRVTDHFHPKIWICVSEDFDEKKLIKAIVESIEGNPLGDHMDLAPLQKKLQDRLNGKRYFLVLDDVWNENQEKWDKIKAVLEVGARGASVLTTTRLKKVGSIMGTLQPYELSNLSQEDCWLLFMKRAFENQEKINPNLVAIGKEIVKKSGGVPLAAKTLGGLLRFVDQEREWEHVRDNEIWNLPQDESSILPALRLSYHHLPVDLTQSFAYCAVFPKDTVMEKGNLISLWMAHGFLLSKENLELEDVGNQVRNELYLRSFFQEIEFKDGKTYFKMHDLIHDLATSLFSARASSNNIREINVKRNPHMMLIGFAKLVSSYSPSHLQKFVSLRVLNLSNLSLKRLPSSIGDLVHLRYLNLSLNNMRSLPEQLCKLQNLQTLNLQNCWSLCCLPNQTSQLSSLRNLLLDVCFELDSMPPRIGSLTCLKTLSRFAVGRRKSCPLGELRNLNLYGSIEITHLERVKNDRDAKEANLSAEENLHSLSMEWKGPHRYESEEVEVLEALKPHSNMTCLTITGFRGIRLPEWMNHSVLKNVVSIAIRGCENCSCLPPFGDLPCLESLELWSGSAEVEYVEDSGFPTRRRFPSLRKLTIDDFDNLKGLLQFPVLEELTIRCCPVFVIPTLSSVKKLVVYGDKSDATVLRSIYNLRALTSLTISLNSIATSLPEEMFKSLANSQILGNLFLRQSQRAAKQPG >Solyc07g007680.3.1 pep chromosome:SL3.0:7:2323472:2335467:-1 gene:Solyc07g007680.3 transcript:Solyc07g007680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLGVVIGVLVGVGLIVGFVKSENYRSKCRSELATTIAAFARMTVEDSRKIFTPEQYPPWVVFSNQQKLNWLNSHLEKIWPFVDEAASELVRSSVEPILEQYRPMILASLKFSKFTLGTVAPQFTGISILEGGSEGITMELEMNWDGNPSIILDIMTYLGVGLPVQVKNIGFTGIFRLIFRPLVDEFPCFGAVCYSLRKKKKLDFTLKVVGGDMTAIPGISDAIEGTIRDAIEDSIIWPVRKIIPILPGDYSDLELKPTGVLEVKLVQAKELTNKDIIGKSDPFAELYVRPVRDRMKKSKTINNELNPIWNEHFEFVVEDPLTQHLVIKIYDDEGLQAAELIGCAHVRLNELEPGKVKDVWLKLVKDLEIQRDQKNRGQVHLELLYCPYGMTNGFSNPFANNVPLTSLEKVLKSGVEAAQNGGEINRRKDVIVRGVLSVTVISAEDLAPTDLLGKADPYVVVTMKKTETKNKTRVVPESLNPVWNQTFDFVVEDGLHDMLILEVWDHDTFGKDYMGRCILTLTRVLMEGEYKETFELDGAKSGKLNLHLKWAPQPIYRDS >Solyc03g078203.1.1 pep chromosome:SL3.0:3:51599559:51601039:-1 gene:Solyc03g078203.1 transcript:Solyc03g078203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYRIAVYKAIYPSIGTLSDVLAAIDQVSITCNLLRFIYTTWTNKNVVETRSQFIQLEWHLCPIVTSPSFVTGNYLKGKVSVVQRYTDVEKATYPKFHNLRDGNVLQAVLDGVDILTLSVGPEEPPEDKLTFLSLFEIFMLAAHKAGTLVIQAAGNEGPSPYSVISYSPWAVGVASCDTDRTYPATLILGNGLKIAGVGLSG >Solyc01g096840.3.1.1 pep chromosome:SL3.0:1:87714461:87717808:-1 gene:Solyc01g096840.3 transcript:Solyc01g096840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVQRRQQPVDVPKENGGARVQQQQHLDIMQSRGGKMSSRPDTPSVTGTARIIPSRYRQTPQSVLRSSSTSNPGYASVSAAAKLLQEVTGTPINPCAVSCGDGAIVHKKLSKVSTSSAVDGCAAATESTSCPNSPVCTQRKQQHIKVPKDNGGTRAHQQQLADALQSKGSKISSRLDTPTVTGASRVVPSRYKFTPQSLNRHCPTSTPGGTISAADKLLREITATPINPCSVSQDDGALVSRKLSKVSTSPYVDSCATGTATTKGSSCPSSPLCPQNNKTRTLSAMRSSTSEIDRCLTERNRDSSVDECSSYKSAFSTCARSLHLPTANNENSSSWLSLKQNDMFASRSSFKMGGLCLPPHPTSNKLGADAARKGRKGFSDQGEVHSLKLLYNHHLQWRFANAKAEASMHSQRHESQSKLYSFAQQLSDLRKSVSQKRAELGVLRRIKTLSTIVESQLPCLGEWANLEEDYSTSLSGTTDALRNCSLRLPIGTEVHVDIKELGDALSSSTKVMEMIGLQIQNFMQKAEETESLVSELARVSGGEKALVEECGDLLMKTYISQVTEWSLRGQMIQMHQNNLYQVQKE >Solyc01g088440.2.1.1 pep chromosome:SL3.0:1:83116604:83117253:-1 gene:Solyc01g088440.2 transcript:Solyc01g088440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFHFEPLATFTGTAIAIFLISTIKFRWFSPDNYLPPPFNVVVEEIGYCYCAVCLDEVNGGDKCRQLPKCGHAFHAMCVDAWLEWNWTCPICRRQVTDELPKRQGQNTLFSFVLSRCEEFIAKINTRAEEFMLVLFDSGVLGHL >Solyc10g083635.1.1 pep chromosome:SL3.0:10:63541213:63541857:-1 gene:Solyc10g083635.1 transcript:Solyc10g083635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFPIFSHPPTGISLLPFCEISFKDPFSEQNGIFEVRFDVEFNVLSDDFIKQIWYFRINKTLSKPCIFG >Solyc05g005200.3.1 pep chromosome:SL3.0:5:173332:177351:-1 gene:Solyc05g005200.3 transcript:Solyc05g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGPKSVTIHVTGFKKFHGVAQNPTETAVSNLKYYVEKKGLPAGVTLGSCTVLETAGEGGLPTLLKVIEASSASNSSNNGQVIWLHLGVNSGATKFAIERLAVNEATFRCADELGWQPQRVPIVPEDGGINQIRKTCCPTESILELLKKKGFDVTLSDDAGRFVCNYVYYHSLRFAEEKGHKCLFVHVPTFHRVHQEKQMEFVAALLEAIASTC >Solyc08g005240.2.1 pep chromosome:SL3.0:8:161492:166496:1 gene:Solyc08g005240.2 transcript:Solyc08g005240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREAMLEFLKRKRLQRMKAESMNDLTSVSSTMSRSGGDALRSTASCGVRIRVNADMHSGSGTSLNERNVFPKHKVAKFDTSDLEWTDKIPECPVYYPSKEEFEDPIVYLQKITPEASKYGICKIVSPIMASVPAGVVLMKEKVGFKFTTRVQPLRLAEWDRDDRVTFFMSGRNYTFRDFEKMANKVYARRYCSAGCLPPTYMEKEFWHEIASGKTESVEYACDVDGSAFSSSPNDELGKCKWNMKRFSCLPKSVLRLLEKAIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYHHCGAAKTWYGIPGHAALDFEKVVRENVYNNDILTADGEDGAFDVLLQKTTFFPPNILSEHDVPVYKAVQKPGEFIVTFPRAYHAGFSHGFNCGEAVNFATGDWFPIGSIASRRYALLNRVPLLPNEELLCKEAMLLLTDLELEYSAISSADLITHHTIKVSFINLMRFHHRARWCFLKLKAFSGISSFSHSTILCSICKRDSYVAYLNCSCYSHAACLRHDPRSLHFPCGSSRTLCLREDILDIETTARKFELDDNVLHDVAHYQEGDDLALLLNMFPQAEEEGYVPYCEINFEWTVKAEDRVEQTFDEQASNAPASSIELVPNTGSTMERNDCLPTSINVQENAYNSQEGNNISVKPLRDISRCRSERLACSPSADYLKVHEKIAHVSDVRTVIDQDDDESDSEIFRVKRRFRAENGSRRDSTSVNIEHQVCGYWGLSLGAIFCSFWVFKLKFLVKQFYDKGKRCWFLFGFSMCIFCIHSCSPFRVLHKLAL >Solyc03g045123.1.1 pep chromosome:SL3.0:3:11605559:11607106:1 gene:Solyc03g045123.1 transcript:Solyc03g045123.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDEGMSCSTSIPIDSSNLGFKVRFFQTEYQLRFLFLLDIYLPHDSSLQLLKKHGWKEGTGLGIAQQRFPLAAMVLRVKLDTTSPSTIKAKENDLMQSATLCCLPRVFPKVLLQLEVAEIV >Solyc01g094760.3.1 pep chromosome:SL3.0:1:86052793:86056710:-1 gene:Solyc01g094760.3 transcript:Solyc01g094760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEGNPQTPRRTTRASLSSTPNPKSSKGKLKSCNLLPLTIHDLAYGDESISFNDLISSLPGRRAQIIELLHLLGPLDSPMFPVFVYGGASTGKTSSILQTFKYLKRPFVYCSCITCYNPRILFESVLNQLLLHRRNEGNRYSSTKRCERPSDFVNLLQEALHNVVDSLKGNVEKSSSKKSVGRASGKMVYLVFDNLELAREWDKSSNTLPFLFKLYDILKMPEVGLIFLSNASPDTYYSDTGYVEPVPVYFPDYTEDELRQILMKNQKNPKLYSSFLEVVLRPFCRVTRQVDELLTAFSSLYQIYCEPLDDLGIVPNEDMKRKLFSHLQPHIGPSLNETFKVESRLSSEASANTNKCKGIAKKVGVSESSNEIDFHMSACAKYLLICAFLASRNPATLDASMFDSTGGSNNKKRKRSSEKSKEKKEIAEQELLLKGPGTFPLERLLAIFQCIVSVSECLPDEEAQGDGGLEGESWTNGLLSDVLLELSSLCNANFISKGGSCPLEGANRYRSMVSEAMALKVAKSLKFPLAKYLYRGG >Solyc04g017740.1.1.1 pep chromosome:SL3.0:4:7960622:7960897:-1 gene:Solyc04g017740.1 transcript:Solyc04g017740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQYYFFPTDFYYPRPPKISTDNVKVTETVVHGGVLENPASGVAVDRRNMLLQDKILKVSTPLSMKLVRYQPVLVSNNEETNWWKTTNY >Solyc08g081265.1.1 pep chromosome:SL3.0:8:64444430:64446208:-1 gene:Solyc08g081265.1 transcript:Solyc08g081265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRGRLTAGETYYKYRADSKRRAEMNWHSYNSKSSLLDKKTKNTMQGKKGKDLINSKRKQKTSYEPSTMNRKQD >Solyc08g067260.3.1 pep chromosome:SL3.0:8:56374235:56378328:1 gene:Solyc08g067260.3 transcript:Solyc08g067260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREEHLLSTEIVNRGISDEGSQTFSVRVRRRLPDFLQSVNLKYVKLGYHYLIKHGIYLAILPVLVLVFSAEIGSLSREELWRRVWDSTTRYDLATVLSFVALFVFTISLYIMSKPKPIYLLDFACYKPSDDLKVTKEQFIELARNSGKFDESSLEFKKRILECSGIGDESYVPRSIGSSENTATMKEGRYEASTVMFGALDELFEKAKIRPKDVGVLVVNCSIFNPTPSLSAMIINHYKMRGNILSFNLGGMGCSAGIIALDLARDMLQANPNNYAIVVSGEMVGYNWYPGKQRSMLIPNCFFRMGCSALLLSNRRRDYHRAKYSLEHIVRTHKASNDRAFRCIYQEEDSEHYKGLKISKDLVEVGGDALKTNITTLGPLVLPLSEQLFFFGNLVWRHLFGNKNAKTNNSQQANNIKPYIPDYKLAFEHFCVYAASKTVLDELQRNLELSEENMEASRATLHRFGNTSSSSIWYELAYLEAKEKIRRGDRVWQIAFGSGFKCNSAVWKAIRRVKKPSTNPWLGCVDRYPESLTQ >Solyc04g072150.2.1 pep chromosome:SL3.0:4:59261022:59266620:1 gene:Solyc04g072150.2 transcript:Solyc04g072150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICASGPSGKIDKTLPEINFRTAVVPPANLRSYLSCFQICKVDRISLLKILHQLQHVTAMVSDLSYILVANFVLRLLHYFPSSFFGISGFVCADSSIMFYYFQDTIFMARSLRKAITVCSVFRKSYSSILAVASNAIRLTYNSTYVPLYLGMESSISYENYKPGGVMFSRQFSSRRESETLSWGVSSDVVLLGKLESALRNHNLEEAWETYKDFKRLYGFPDPFLVDKLLTKLSYSSDSRWLKKACNIVGSILKEKREMLRTELMTKLCLSLARTQMPIQASSILRLMLEKGNLPPIDMLGMIIFHMVKSDTGMIVSSNILIEIYGSSHQLTTKKSTELNKHNTLLFNLVLDACARFGSSSKGHQIIELMAQVGVTADAHTISIISLIHEMNGMRDELKKFKKHIDQVSVPLFSCYQQFYESLLCLHFKFNDIDAASNLVQDIYGFQVSHHQQGNETQPPKPCLVSIGSDNLRTGLKLRIFPHSLSRDSVFNVGRNQVLVMYKNGKLALSNRALAKLIIQYKRCGRINDLSKLLCSIQKKGSVESSRMCSDVVSACICMGWLEIAHDILDDLDSEGNPLDASSYMSLLTAYCNRNKLREAEALLKQLKRSGVILASDPLLAPASMCELESKNKLKELDTSAKGELAYHIVEEMRAEENEASFMMHDLNFSIYFFMKAHMVEDAVRAYRKMQAMKIHPTVSTFMNLLNGYSSLGMYREITILWGDIKRNMESRKNLNTRDLYEFLLLNFLRGGYFHRVMEVIGLMKENGMYLDKWMYRREFLKYHKGLYLRIKVSDAKNDVQTQRIDLIGIKNILLACWERVKTILSHPLPNFDRLLSIFIYLRLYISLEDKKLQERTSFSSVVPLGIVSNRSVLFSFCGRLLNLQLLHPLYKI >Solyc04g077760.1.1 pep chromosome:SL3.0:4:62754569:62757182:1 gene:Solyc04g077760.1 transcript:Solyc04g077760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4BUL3] MSMEELKQDDQIIFKLKQTCSDLNNLLQLSFNVETSLSEIEERFVVMQENLTIASRRIAPLQSLSIANKALDTKINRAISPALSLLESFKLSESLQRKLLELSSKLANEKSFNKRVEKLIKYVDTVDDLNEAINSISKECEPAIQKLQEVVEFLSRTKATDQFRTHRLKETLITLKALCETEVDAMRFDGLLDDALLNLQDEYESLLNKMRHRNFNEAKSDRDDDDDDHDDVVAAADMVSTDLGSELEIEVLTRISETLAANDCLDICIDIFVKVRYKRAAKALMRLNPEYLKTYSPEEIDEMEWVSLETAISLWIQHFELAIKNVFVSEKKLCCQVLGTVMDGVIWPECFVKIADKIMAVFFRFGEGVARSKKEPQKLFKLLDMFESLEKLKPESSEIFAGEAGADICSRFRELEKLLVHSSTKVFFELGLQIEANQDVLPPQDGSVPKLVRYAINYLKYLLTDAYSATMIRVLRTEQIWKAGVLSTPEADENLLKDAMFNIVDAIRRNVESKKLRYKDKVLPHVFVMNTYWYIYMRTRSTELGKLMGDQYMKKTYKIVAEESAYSYQKQAWGPLVKMLDKEELKKVDKDGLTAMIRGKMDAFTKGFDDITQRHKSFYHIPDTDLREQMREATMKLVIPAYTNFLNNFASSLHVKSYPSPEYVEDTLNQMFEVTDHHKSSGKSSLRPRQMRDPSDGSKSLSGEQSRRSKDFRRSKTSAIDT >Solyc12g097030.2.1 pep chromosome:SL3.0:12:66673340:66682169:1 gene:Solyc12g097030.2 transcript:Solyc12g097030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLGSSNSPSFTIIRRNPITSFKVLSWNSLYSGSNKSRVYHPILCLKRSGNDNSGSCSPSCYIEQMYRNRMFSQPIRRCRKIFIDKQSLLVIQNGLAFIPRKFKSSLRKTLKHSELCKSIVPEIFVRSCIGLMLVMAVNAAVVKAPSFALTEENLLFLEAWRTIDRAYIDKTFNGQSWFRYREDALRNEPMNTRQETYAAIKKMLATLNDPFTRFLEPEKFKSLRSGTQNALTGVGLSIGYPLGKNESASGLVVISASPGGPANRAGISSGDIILQIDNTSTENMGIYDAAERLQGPEGSGVELTVLHGSERRQLPLIREKVSLNPVKSRICKLPTGGDDAPLIGYIKLSTFNQNASGAVREAIETLRKNNVKAFVLDLRDNSGGLFPEGVEIAKIWLDKGVIVYICDSRGVRDIYDTDGSNVVAASEPLAVLVNKGTASASEILAGALKDNKRAQLFGEPTYGKGKIQSVFQLSDGSGVAVTVARYETPAHNDIDKVGVTPDHPLPASFPKDDESFCNCLQNPAAACHIDRVELFSK >Solyc12g007060.1.1 pep chromosome:SL3.0:12:1498729:1499475:-1 gene:Solyc12g007060.1 transcript:Solyc12g007060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKVITIITTSSDNSAGDSHPPANCPKGHCLCSPTTHRGSFRCRYHRTSGETTSAAWFKRSKSMPVNNNNNNNNNNNNNNNNNLSSISPKSVEST >Solyc05g018810.3.1 pep chromosome:SL3.0:5:23882751:23887487:1 gene:Solyc05g018810.3 transcript:Solyc05g018810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGKDNFSVADLSAALNAGDRADLVNVLKTQHDDLEAKFFEERAALEAKYQKLYQPLYTKRFEIVNGVIEVEGATTEAAVADQQVDKDAVEKGVPDFWLTAMKNNDVLSEEITERDEEALKFLRDIKWSKIDDPKGFKLEFFFENNPYFKNTLLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPITKTEQCESFFNFFCPPQVPEDEEDIDEDAAEELQNLMELDYDVGFDSNAVGTNGYDKQK >Solyc04g007380.2.1 pep chromosome:SL3.0:4:1074259:1078159:1 gene:Solyc04g007380.2 transcript:Solyc04g007380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVSCKTSATSKIDNYLPICVLTAVVTSSIGGIAMLVLLFLYLRKKCSFIFWKRKGEDYRNVKAFLKSRGSLALRKYSYSEVKKMTEYFKNKLGQGGYGSVYKGKLHTGSLVAVKVLKESKGGGEEFINEVASISRTSHINIVSLVGFCFEGQHRALIYDFMPNGSLEKFIYDAKCGTNHQLGWHTLYNISLGIARGLEYLHRGCNTRILHFDIKPHNILLDEDFCPKISDFGLAKLCNNKESVVSLLGARGTIGYIAPEIVCKNIGGVSHKSDVYSYGVMVLEMVGGRKNVDEVIDCTSEIYFPHWIYKQIEQKKELGLTGIVEEEDKKLAEKMILVSLWCIQTDPSSRPSISMVIEMLQGELESLQMPPKPFLYSSSVSDSDMPTTTYMISRLERSIRYHINYIWQISSLLLKL >Solyc12g042850.2.1 pep chromosome:SL3.0:12:59213015:59214864:-1 gene:Solyc12g042850.2 transcript:Solyc12g042850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDFYSSGKVPGQAQIRRDESTDQGQATNLIQETGTQVKNMAQGATQGAANVAHVAANMAQGAAHGAVNVAHGAAQGVANVAHGAANMAQGVAQGATQGASNVAHGAANMAQNTAEAVKNTLGINHPIGTASTNNITEGGSRI >Solyc02g068370.3.1 pep chromosome:SL3.0:2:38892072:38897266:1 gene:Solyc02g068370.3 transcript:Solyc02g068370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVKLPFPLFLLSCFLLHLCASTSVSNKTQVVSSAGNQSHDDGRCDPGWFNGPKGKKCFKYYATSLQWNESETYCNGFGGNLAAITSSEELNFVQKLCGNDSNGCWVGGRSINITAGLGWKWSDNASSWNESIAPKTTLDSSCKNFSCYGFKSVDLCTSIISGAIIAERCNVTHASICTLDAGSKCRHMRCHREYLIILAVVSGLILCTTLAIVIWLLVFRRSKRRKRSLRASLALVPPSWKIFSRDEVKSMTKNFSEANRLLGDAKTGGTYSGLLPDGSRVAVKRLKRCGIQRKKEFYSEIGRVARLHHSNLVSIKGCCYHHGDRYILYEFIINGPLDRWLHHIPRGGRSLDWSMRMKVATTLAQGIAFLHDKMKPQVVHRDIRASNVLLDEEFGAHLMGVGLSKFVPWEIMHERRVMAGGTHGYLAPEFVYRNELTTKSDVYSFGVLLLEIISGRRPAQAVDSVGWQSIFEWATPLVQAHRYVELLDPLISSSSSSQIPEVGVIQKVVDLVYSCTQHVPSMRPRMSHVVHQLQQLAQPPIVK >Solyc04g005730.3.1 pep chromosome:SL3.0:4:469519:475017:1 gene:Solyc04g005730.3 transcript:Solyc04g005730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNKRPKKLVDNGPDEASKVMTPNKRQKQLVDNGPNEASKVMTPNKRQKQLVDNGPDEASKVMAPNNRQKQLVDNGPDEAYLIGMQKFLDYAFGRTEELYEIRCPCVKCCYTTVGTRETIESHLKVYGIIQKYTLGVSGNDSPGAISEGRGKEQDVRSMRSLGASGNDVGSFHQNSLYSGQNMATPSNSTSSDATISDYSGESMPSHSTGTSSDPTTSTGQEMQRTNKHPLVHDKEQMQIEITSSPSTDQIIQDTLNVETSSCTTGKVRRGRGQNKCQEVASLQAGQKLKVTFYNNRTVGRNSNLFSRHLGKLVRDHSMCPLGVSSWDEIEEEKLNHMWAAVEDKFESDDMDSHRGHILGWMKELWNKWRGQLHFKYVKGKPILEALKNTPKGVDKKQWEWLIKEHFSSETFQARSNRNSANRAKLKMPHHTGSKPIREIIYQKGGKNGNPPDLATIFFETRKKDNKLVESEAVEKHAQLQELVQSKPSLPSIEIVEQCFGPQIRSHVFGFGGGVKAKDLKGPPTSKAELLSELRSIREENQSLKGSASSKVELLPQLHSTKDDNRSMMDRLRALENEVKELKLFFAQHLNIQFTTSSISGER >Solyc07g032783.1.1 pep chromosome:SL3.0:7:41125836:41129378:1 gene:Solyc07g032783.1 transcript:Solyc07g032783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTPSTYRWRLRGYLVYSLYTNLDYLKYRWQICRFDGKAFLEKFKGKKIMYIGDSLSLNIYEYRLCLLHAAVPEAKFNQVKKMSLLHLCIYGVEIVLFHSNLLSTKDGQIWKNFDILIFDTWLWYTRRPPGQQYFVEYNGQILKDINWIEAFRTGLKTWARWVETDVDTTKTKVFFQGTSLPRGEPTINSCLNETTPINGSI >Solyc02g067060.3.1 pep chromosome:SL3.0:2:37860143:37867961:-1 gene:Solyc02g067060.3 transcript:Solyc02g067060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B738] MQLHFSPSMRSITISNSNGGLGDLMKIKVASRQFSYRKLFHTILFLAFLLPFIFILTALVTLEGVNKCSSFDCLGRRLGPKLLGRSDGSGKLVKDFVKILNQVNSEEVPAGLKLPESYSQLVSEVKSNKHSTKEFALMLKGMMERSEREIRESKFAELTNKHFAASAVPKGIHCLSLRLTDEYSTNAHARKQLPSPELLPLLSDNSLHHFVLSTDNILAAAVVVSSGVQSALKPEKIVFHVITDKKTYAGMHSWFALNPVSPAIVEVKGIHQFDWLTRENVPVLEAVESHYGIRKYYHGNHIAGANLSDITPRSFASKLQARSPKYISLLNHIRVYLPELFPNLDKVVFLDDDIVIQRDLSPLWDIDLNGKVNGAVETCKGEDRWVMSKRFRNYFNFSHPLIAKNLNPDECAWAYGMNIFDLRAWRKTSIRDSYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNNTNVENVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNSTNDFIKNCHILE >Solyc05g048800.2.1 pep chromosome:SL3.0:5:60310642:60313385:-1 gene:Solyc05g048800.2 transcript:Solyc05g048800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTDDRPVIPERKFRRPDSGPPPLFRYCSDWQSLDIVFPDWSFWGWGETNIRPWRSMLKNIKEGNKRSKWKDRIPFAYWRGNPLVSHVRKDLTKCNVTDKQNWDTLLYTQVYFLDFLDHNFPLIFDIYFEIST >Solyc06g036238.1.1 pep chromosome:SL3.0:6:25807217:25808343:1 gene:Solyc06g036238.1 transcript:Solyc06g036238.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLRQKKVIILRHGCWRSLLQHKKGTSWNRLCRTVQELRVVQEKQSINQGTKCASPRVKRFILPYKVFSVFLHTMHNLPLETALICDHTGEILHTQQSCLMFCLHQISVGRQQDLFNAIGSMYAAVMFLSVRNSSTVQPIISIDRTVFYRKREAGMYSALPSCFWEVIVGYEWTVTKFFCYLFFMYFTLLYFTFSG >Solyc04g025940.3.1 pep chromosome:SL3.0:4:20269830:20278544:-1 gene:Solyc04g025940.3 transcript:Solyc04g025940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSANFFDLASEDILDIHQTPRALPRMMTVPGIISDGCRSNDGDSDSMSSACHERKIIVANMLPLHAQRDTTAEKWCFSLDEDSLLLQLKDGFSPETEVIYVGSLKVDVEPSEQEEVTQRLLEEYKCVPTFLPCEIQEKFYHGFCKQQLWPLFHYMLPMCPDHADRFDRQLWQSYVSANKLFADKVMEVVNPEDDYIWVQDYHLMVLPTFLRKRYHRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVLNRSSTFAKAKEVQEQFKGKKVILGVDDMDIFKGISLKLLAFEHLLQQQEDLQGKLVLVQIVNPARSSGKDVQEAKRETYSTAERINEIYGTSNYEPVILIDRPVARYEKTAYYAVAECCIVNAVRDGMNLVPYKYIVCRQGSPGMDEAMGIKVDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIEAVAEALNVAITMTNSEKELRHEKHHRYVSSHDVAYWARSFMQDLERACQDHYSKRCWGIGLGLGFRVIALSPSFRKLSLDHIVSSYRRTQRRAIFLDYDGTVVPQSCMVKAPSAEVISLLNALINDPKNTVYIVSGRGRTSLCEWLAPCERLGIAAEHGYFIRDCKTSEWDHLDSDLEWKEIAEPVMQLYTEATDGSYIESKESALVWHHYDADPDFGSCQAKELLDHLESVLANEPAVVKRGQHIVEVKPQGVTKGLVAAKVLSMMIDGGKPPDFVMCIGDDRSDEDMFESILSTISSSSVNAAPDIFACTVGQKPSKAKYYLDDTADVLKLLGGLANASNPKPMDTAQFQLAFGSVI >Solyc07g040890.1.1.1 pep chromosome:SL3.0:7:51094878:51095876:-1 gene:Solyc07g040890.1 transcript:Solyc07g040890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQNNETMPIDPNVDPYGYLGMVHNSDGSITRLHEPPVPVDTSNDLSHLVFTKDISISSSKNTWARIILPRELLHSTTSTPKLPLVIYFHGGGFIVATVDSPSFQRFYASIASEIPAVVVSIEYRQAPEHRLPAAYDDCMEALHWIKTKPDELLSNHADFSKCFLMGTSAGGNVVYHVGLRAAESWDNLKPLVIKGLILNQPFFGGNKRTQSEVRLANDKVLPPIISDIMWDLGLPEGADRDHEYSNPMVGIESKPNLLDQVKLLGWKMLVTGCDGDPLIDRQVDLVKVLKELDVQVEGSFTQGFYHGSEIIDPLKTKEFSLLVKELISNF >Solyc04g077130.1.1.1 pep chromosome:SL3.0:4:62163629:62163964:-1 gene:Solyc04g077130.1 transcript:Solyc04g077130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASAIFGLQMAVLPRSSTVSATGFTGGATRLASAPTSIGGLVIECSSRPQKKATAHHKKTRPRKTQAWDIRRGPAVYAPLPPLPSEWSFASDESGQPAAAGASESDAKSE >Solyc04g016230.3.1 pep chromosome:SL3.0:4:7013341:7015431:-1 gene:Solyc04g016230.3 transcript:Solyc04g016230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMNLEMLKKKQENEVSIIMVPFPAQSHLNQQLQLACIFSSSYHLPVHFISSAAHNHQARVRANGLKQSDIDKIHFHDIPTPDFASPAPDPNAFNKFPSQLVPSYNACTLLRQPISTLLHDISSKSRRVVVVHDVLMSYTVQDVASLHNAESYIFNCVSVFCMYSSFICLPNGMPIPLDEHLLQKLPILEPDAPEEINKLVEFQLKYTDIRAGDLYNSNKILEGTCIDLMERFASKQNKKQWAIGPIFLAAKVDHVSDKRNKCLDWLDKQAPRSVLYVSFGSSTTFSDKEVMELAMGLERSKQKFVWVLRDGDRGNIFSEEARRFELPDGFEERVEGVGLVVREWAPQLEILGHSSTGGFMSHCGWNSCIECVTMGVPMAAWAMHSEQPLNAFFVTEILKTGLVVRDWKKHEEIVTASAIENVVRKLMASEEGDEIRKRAEELGAAVRESIEKGGASQVELDSFIAHITR >Solyc12g005290.2.1 pep chromosome:SL3.0:12:173193:180706:-1 gene:Solyc12g005290.2 transcript:Solyc12g005290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4DB67] MKLLQTTIHFLFVFYLFSIFQVSLGDTITTSQFLKDGEPNITSSGGSFQMGFFSPGNSKNRYLGIWYSNISVTTVVWVANREAPLATNSGTLKVIKPGILVIVNDSNHIIWSTNTSRSVQNPVAKLLDSGNLVVIDAVGDDIEIGDFLWQSFDYPTDTLLPGMKIGWNFVTGKELYLSSWKNEEDPAPGDYTYHCDPSGYLQNVLKKGSKEVYRSGPWNGLRFSGATNSRQSPFYTFGVISTKNEVYFSYHLLASVITRFCLNPNGALQRWTWGDRNKGWALYLSLPTDNCDTYKLCGGYGSCNSLNSPVCGCLDKFEPKHVEDWGKADWSSGCVRRIDLNCIKGDGFLKYTKLKLPDTRNSWFNVTMNLEECRKVCLRNCSCMAYSNLDIRNGGTGCLLWFEDLLDIRQLAKEGQDIYIRMAASELASQVKSNGHKGKSLSWIIPLSAGVILVILSLVVWIRRRKIASEKKKGCFGRNGNYKMDYLNGNLSEEYELPLFDLSTIAKSTNNFSGTSKIGEGGYGPVYKGVLEHGQEIAVKRLSRTSTQGQDEFMNEVMYIVKLQHRNLVKILGCCIEGEERMLIYEYMPSGSLDSFIFDDTRSTVLDWSKRFHIINGIARGLVYLHQDSQLRIIHRDLKANNILLDNDMNPKISDFGIARSCEDDKFGAKTHRVVGTYGYLSPEYAVHGVYSVKSDVFSFGVLVLEIVSGKGNRKFSHPDHNLNLLGHAWTLYKEGRSMELLGDFPIGVCSTPEVIRSIHVGLLCVQHRPEDRPSMSSVVMMLNNEGVLPPAKQPAFFVETNTPDSEFSSSQHAHSTVNEITITTLDPR >Solyc05g052520.3.1 pep chromosome:SL3.0:5:63597744:63599919:-1 gene:Solyc05g052520.3 transcript:Solyc05g052520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCAIAITNSQIFSPSQQKSKVINSPFFCKPSSIQSPVNLSSFYASSSLSPVPAPAVLCQSPLSPLSNCYNRNLRKEEEPTECSTSSSSTKAEAVAISKRKRPARISIPIVSLGLENVSETPREESRVDEVEVEGEGYSVFCKRGEKRGDMEDRYSAVVNDDAKNGSKQAFFGVFDGHGGAKAAEFAAKNLGRNIINEVALRSEEGLEEAVREGYLSTDAEFLKLNANGGSCCVTALVHNGELIVSNAGDCRAVMSRGGVAEAITVDHCPSRQDEMERIQRLGGYVDCCRGVWRIQGSLAVSRGIGDSQLKKWVIAEPETKILTIRPECEFLILASDGLWKKVSNQEAVDLLRPFCVGVDNPQPLSACKKLVDLAVTRGSSDDISAMIIQLGQFIQ >Solyc07g032700.1.1 pep chromosome:SL3.0:7:40946746:40947551:-1 gene:Solyc07g032700.1 transcript:Solyc07g032700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEKTPEKPWVNLFATNRMAARGMNLTYIPPIIVEGEKLVEILAEDIAQDEVKWKPSMVVYVVGTAPSIGSMERFILGVPPPMKGQAQGPRKEWKPAVGKELVKDSDQQKIGKLTPDQEE >Solyc03g120040.3.1 pep chromosome:SL3.0:3:70029959:70033560:1 gene:Solyc03g120040.3 transcript:Solyc03g120040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKMGILNIVLLFATINVALSVQDGLLPNGNFEQGPKASQMKGTKVTDPHAIPHWEISGYVEYIRSGQTQGDMLLPVPEGAFAVRLGEDASIKTRVTNVTSGSFYSLSFNFARTCAQEEKLNVSVSPNTEPNDWGMLPMQTMYSSDGWDSYSWGFLAQANEIEITLHNPAVEKDPACGPLIDFIALKALKNPHRQRGNMLKNGNFEEGPYIFPNTSWGVLIPPNIEDDHSPLPGWMIESLKAVKYIDAEHFTVPEGKRAIELVAGRESAIAQIVRTQKGKVYDLMFSVGDASNSCQGSMLIEAFAGKITLRVPYESAGKGGFKRAKLRFTAVTERTRVRFLSTYYHMKNDHSGSLCGPVVDDMRLVGVRHP >Solyc06g061170.3.1 pep chromosome:SL3.0:6:39291655:39297319:1 gene:Solyc06g061170.3 transcript:Solyc06g061170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVKDLTAGTVGGAAQLIVGHPFDTIKVKLQSQPTPLPGQPPKYAGAIDAVRKTVASEGPRGLYKGMGAPLATVAAFNALLFTVRGQTEALLRSEPGAPLTVKQQILCGAVAGTAASFLACPTELIKCRLQAHSALASVGSASAAIKYTGPMDVARHVLRSEGGVRGLFKGMCPTLAREVPGNAVMFGVYEALKQYFAGGMDTSGLGRGSLIVAGGLAGGSVWFAVYPTDVIKSVIQVDDYRNAKYSGSFDALKKILASEGVKGLYKGFGPAITRSIPANAACFLAYEMTRSSLG >Solyc06g060550.3.1 pep chromosome:SL3.0:6:38674878:38679215:-1 gene:Solyc06g060550.3 transcript:Solyc06g060550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVWAWLLFFFILIALLVMVVFQLMCLSDLEFDYINPYDSASRINAVVLPEFITQGVLCLLYLITGHWVMALLCVPYMYYNYRLYTRRQHLVDVTEIFNLLNWEKKQRLFKLGYIVLLLFISLFWLIYSALEDDEESL >Solyc09g082300.3.1 pep chromosome:SL3.0:9:68495704:68497290:1 gene:Solyc09g082300.3 transcript:Solyc09g082300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYKFFKDFRRFVPYLAIVLMIFGIQVSGQITTACSSAMLSSFSPCINFMSNNGSGSPTSACCQSLKELTASGKDCLCLIVTGNVPFKIPNRNVAISLPKACNKDSVPIECKGSSTPLPAQGPAALSPSGSPRFRNPRPPQAPSPDGDDVPEPFDPPSGPGSDTTPGLTPPSPTGGGLGNPYNGFSPPSLTDDGSGNTDTGSGFRPNLTPSSAPDSPRFSPFVVLVACGAIVLKL >Solyc06g035970.3.1 pep chromosome:SL3.0:6:25416538:25420946:-1 gene:Solyc06g035970.3 transcript:Solyc06g035970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:K4C4W6] MREILHIQGGQCGNQIGAKFWEVICAEHGIDSTGRYQGDSDLQLERLNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVAHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEEEGYDYEDEEDEQVES >Solyc04g071615.1.1 pep chromosome:SL3.0:4:58671444:58672355:1 gene:Solyc04g071615.1 transcript:Solyc04g071615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKKHHFGGLFNHHKNKEEDTPIEKTTYEETTYEDSEKTSTYGDNTYGEKTSYGDDTYGKKTTTYGDDNKYSEKTSYGDDTYDEKTNTYGDENKYGEKTSYSEGDDNKYGEKTSYGGDTYGEKPTSYGGDNTYGEKTSYGGGDENKYGEKTSYGEKASYGGGDDNKYGEKTSYGNEEGGYGGGVGAYSSETTTNYEENDDSGTKTSEDYKEEKKHHKHLEELGGLGAHEKHKAEKDPEHAHKHKIEEEIAAVAAVGA >Solyc11g071800.2.1 pep chromosome:SL3.0:11:55451909:55456166:-1 gene:Solyc11g071800.2 transcript:Solyc11g071800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPLLKPHYHKVSIFIKKMRAVSVFGGVFLVLAVYCGLDPFKHSAISEFPNFESFKVEMPAWSEIPVEKDSQNLLQKSEVKFLDQIQGPESIVFDPQGRGPYTGIADGRVVFWDGEKWNDFAYTSANRSGLCDPKPSALSYRKYEHICGRPLGLRFDKRTGDLYIADAYLGLMKVGPEGGLAESLTTEAEGVPIGFANDLDVDDEGNVYFTDSSTKYQRRNFIQLVFSAEDSGKVLKYNPRTKETTILIRNLQFPNGLSLSKDGSFFIFCEGSKGRLRKYWLKGEKAGTSEVIAILPGYPDNVRANERNEFWVAIHCRRTIYSYINGIYPRLRQFLLKLPISAKLQYLIHIGGRFTAVVVKYSPEGKLLQILEDRQGKVVRAVSEVEERDGKLWMGSVLMSFISVYQLE >Solyc11g008420.2.1 pep chromosome:SL3.0:11:2606748:2611631:-1 gene:Solyc11g008420.2 transcript:Solyc11g008420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSSEPESNILTLKSADNNEFQVEASIAVQSTAIKNMVEDGYTDIPLVNISSEVLIKILDYLKKHAENSGCSEEELNEFDNEFVKMSIKKMSLFVYAASFLHIPGLTSLLCQTIADRIKNKSVNAVRRIFEIINDYTPEEEAEVRAEHDWAHDGELDDTVEEDDEVRDENDGENDDNGTPEDETVWGLVVNENV >Solyc03g113270.3.1 pep chromosome:SL3.0:3:64941909:64944213:1 gene:Solyc03g113270.3 transcript:Solyc03g113270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPGILYGGSSNFDGVFTQKQRDVFSSSTAPKGHLGSLFAPASSSSNFLGSSSMVSFRGVNGGKRSFFDSFDQDDNEADELGEYLHQAEKKRRLTDNQVQFLEKSFGEENKLEPERKVQLAKELGLQPRQIAIWFQNRRARWKTKQLEKDYDELRNRYDTLKSNYNNLLKEKEDLRTEVFRLTGKLFIKEKGNGQLDLRDEHKHSNALAKETVVDPMSNVPALVVKHQQEDLSSAKSDVFDSESPRYTSRMHSSVVDQDDSARAFETDQSDSSQDDDENFSKNMLSTANLLGKDADDDYPATSSNLSYFGFPVEDQGFGFWTY >Solyc05g005830.3.1 pep chromosome:SL3.0:5:653024:657209:1 gene:Solyc05g005830.3 transcript:Solyc05g005830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4BWB0] MWSSNVVIITILVIIECIEVGLNTLNKAATTRGMSNFVFIFYANALALFFLVPSTFIYHRMRPCRKLNFSIFSRMILIAFLSCSVQILWYFGIGYSNPILASAMTDVIPAFTFLIAVIAGMEKLGLKLKSSLAKFIGTIILIIGALLMTFYIGPPIFSNQSITPLNNFHQLQISTKSNWILGGFLLATANLLLAILYIVQAWTINDYPEEFVVTTVTCGLVTIISGVVGLIAEQNLSSWRLKPDLELITVCYAAILMIVLRSLVFIWALKKKGPVFVVMIKPLGMIVAVIMGVIFLGDVLHVGNIIGGMIIALGFYSVMWGKSKEEMCVEDITKDSTRVSLLHRQSDNNA >Solyc08g065413.1.1 pep chromosome:SL3.0:8:53480113:53483050:-1 gene:Solyc08g065413.1 transcript:Solyc08g065413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSEAPESMIQVSELKTKKQVPKYFKIVPATALALISGPVFFDADSGSYLYRLLRRLCFCTSPLEMKVSLKSQRQQPQTLFIVHVLDIIYYIRLEYVNDDFFKELRFSLLEVQLLMQLQGQEGYEFHYLVAF >Solyc05g042070.3.1 pep chromosome:SL3.0:5:55480202:55496943:-1 gene:Solyc05g042070.3 transcript:Solyc05g042070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKGSGIQSRFRHLGSLFVSEFDVHEIIQWVLKFAISLLVAVTICCPSKDYVHFVF >Solyc05g054680.1.1.1 pep chromosome:SL3.0:5:65390079:65392607:-1 gene:Solyc05g054680.1 transcript:Solyc05g054680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKGWLLFSASIVCFLSFSSLGFASFTPIDCFLISCGGNKSIQVEDGRVFESDFGDSDVVLSTDSLITVSNNVNGLLSELHNSARVFTESSVYTISTKQIGRHWLRLHFYPVKNNKHNLKSAVFSVVANGITLLHEFSFSRLGKKEPLLKEYVIEIGGSSSSGNLVLTLSPASGSVAFINGIEVVSMPEGQFDFSVEPIPRGPGFVVPSSVALETAYRVNMGGPRLTPRNDTLWRMWNSDHTFLVNPATARKVSMDPKSVKYPAGESVDIAPNWVYATAQEMADAKVIDQKFNMTWTFPVEHGFIYFIRMHFCDIVSLSLNNLIFDVYINNQTAVESLDISTKTMALSAAYFVDFVVNMSMGSNKIFVQVGPSNLRITPANAILNGLEIMKMSNPSSSLDGKFVGYFSNSERSNTKRHVMLIVFAILGSLAGLLLIAVSCFLCFVCFRKPKMVKQKSLSWLSFPNHIGISETKISAGSFASTTPSRTLGRIFAFSEIREATKDFDESLVIGVGGFGKVYKGVLENGVMVAVKRGNSKSQQGLVEFRTEIEMLSKLRHRHLVSLIGYCEELNEMILVYEFMAGGPLRKHLYGSDFPHLSWKQRLEICIGAAKGLHYLHTGAAECIIHRDVKTTNILLDENLTSKVADFGLSKFGPALDQTHVSTAVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLMEVLCARSAINPSLPREQVNIAEWAMHWQKKGQLEHIIDPYLAGKVSLDSLRKYGETAEKCLAEYGVERPSMGDVLWNLEYVLNLQAAARQSLEDENSNGIPDIPYSIPCVESGEADEIDIVSHGESDVTTSSGVFSQIMNPKGR >Solyc02g090650.3.1 pep chromosome:SL3.0:2:52825193:52833159:-1 gene:Solyc02g090650.3 transcript:Solyc02g090650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTHKLFCFRQGVPSPISDLTQRLLLEDRDPPATPLESSYEAPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLYQAFQNELCRIRLDDPMFDELVHEYCVYRGFVNFSVVDSPGMQLSINDDQSESGQLSKNCSTEVGDGNTKLSGSDTSASQVIMEGSPESTNLASIQSTDTEERYPSETSYGDCSTSGTPQFEKVLQKNKCLRVGETNKRKRWRGRHENTEFVSGPTSERSREDLNADTTMLKDQQACILVSPKSCFLNMMKNREDKHELVLGLKELASRGMTEEVVEEINEMDSNFFVQNPSLLFQLKQVEFLKLVGSGDHTQALRVACSFLGPLASSHPDLLKPLKETLLALLKPNEEAFNDRLPLCVLANSLQDNKEELDLFSFPAFHFSFLSIDSLGGHLLQFKESCQINLEKSKRKVAIGRRLGIEEPQLMKIIRATLYTHSEWFKLQMCKDRFEGLLRIDALKEVGAKLIIDASRLDVDMSTDGSSQVTGSSNNRKQEDGSPTQSSARDVGCDETAILKVMEFLALPRADAIHLLAQHVATAVLPDLDDFPKPDPKYAETIHAVVRVTSGKDFAAKERKAGRVHLEAGSDAPRNVTFKRAPSSALLKVGVPLIFRGSGLKKGLRSDVLKLSLSYVTLASVLYPKV >Solyc07g026960.3.1 pep chromosome:SL3.0:7:32760972:32801063:1 gene:Solyc07g026960.3 transcript:Solyc07g026960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPSSMSPPMIRMELHSLNREKLFNSLRNHLTSCARPLQGFVLLQGGEEQTRHCTDHLELFRQESYFAYLFGVEEPGFYGTIDIASGKSMLFIPRLPADYAVWMGEIQPPSHFQEKYKVTQVFYTDEIKEVLVDQYQATGAALLFLLHGLNTDSNKYFKPAEFQGIEKFQTDLSTLHPILTECRVIKSDLELALIQYANDISSEAHVEVMRKTKVGMKEYQLESMFLHHTYMYGGCRHCSYTCICATGDNSAVLHYGHAAAPNDKTLQEGDMALLDMGAEYHFYGSDITCSFPVNGKFTRDQSLLYTAVLDAHDAVISAMKPGVSWVDMHKLAERVILESLKKGNLLVGDVDKMMAERLGAVFMPHGLGHLLGIDTHDPGGYLKGAKRPKEPGLSSLRTSRKLLKGMVITVEPGCYFIDALLLPALKSPAVSEFFNRVEINRFRPFGGVRIESDVCVTSIGCINMSKCPRKIEEIEAVMAGAPWPIEKTTFLSGNGQV >Solyc10g005910.2.1 pep chromosome:SL3.0:10:698393:702819:-1 gene:Solyc10g005910.2 transcript:Solyc10g005910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVKGLHKETNWGKGSQTLYLPPFLNSSAVNHRVTPPLSPQLHLSSSSEQIFVQFSGLLPRRDNGGLLSREALLFLIMLLLRNLKPFFRRKSHYSFGILSRETTLPDQITSEFHETCCRRTFWSSTSSCNEMIGLSSSNSQNPYFFHNVAEFCTLLGQEKADELLDNAAANSSDRGKETKYTTREMVDFTQIHINKLPRVLIMGRPNVGKSALFNRLIRRREALVYNTPTDHVTRDIREGVAKLGNLRFKVLDSAGIEAEASSGSVLSRTAEMTGNVLSKTQLALLLVDARDGVLPMDLDVGKWLRKNAPGMKTIVVMNKAESLDDCDGTLASAAGEAYRLGFGDPIALSAETGLGMAELHETLRPLLEEYVLQNNLCADEEVQDNDSSSEDMECKLPLQLAIVGRPNVGKSTLLNTILQEDRVLVGPEAGLTRDSIRAEFQYEGRTIYLVDTAGWLERTKQQEKGPASLSIMQSRKHLMRAHVIVLVLDAEEIANTRRSMKHVEVVIARRAVEEGRGLVVIVNKMDLLRGKENYKLYKSVTEAVPQEIQTVIPQVTGIPVVFVSALEGKSQIAVMNQVIETYEKWCLRLPTARLNRWLCKVMSRHSWKDQAAQPKIKYFTQVKARPPTFIAFMSGKTQLSDTDLRFLTRSLKEDFDLGGIPVRILQRTVEGNSRTSTSSKNKQSANRMMERVVSDKRTILAVENNSTT >Solyc08g008510.3.1 pep chromosome:SL3.0:8:2903998:2914067:1 gene:Solyc08g008510.3 transcript:Solyc08g008510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVADKVAYFQAITGLDDPDLCAEILTAHNWDLELALSNFTSNPRAAADADASEATTSSTNPEQVETGLVGAAGGPPGLAWKIVTLPFSIISGSLGLVSGAVGFGVWAVSGVLSYGLGMIGLNSGRNGESSSSTRLLSVSAAVSEAMDFVACFERDFGSIRPNFVAEGFMDALQRSRNEYKVLFVYLHSPDHPDTPVFCERTLCNEDLVAFINENFVAWGGSIRASEGFKMSNSLKASRFPFCAVVMAATNQRIALLQQAEGPKSPEELLTVLQRVIEESAPVLVTARVEAEERRNNIRLREEQDAAYRAALEADQARERQRIEEQERLEREAAEAERKRKEEEEARERAAHEAAEREAALVKIRQEKLLSLGPEPEKGPDVTQVLVRFPTGERKERRFHCTTTLQSLYDYVDSLGCLAVENYSLVSNFPRTVYGSEKLSLSLKDAGLHPQASLFIELS >Solyc04g055060.2.1 pep chromosome:SL3.0:4:53569656:53575154:1 gene:Solyc04g055060.2 transcript:Solyc04g055060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPYARRWTRGIDRDTESHHVLIPIRDQLDCMTEDQHVPVIPSWGTNHHVHDHRRRLGPEVLEMMDKYFRDWGNRHQSLAVEVNDGTSGAGYRLWYMRHGRLLIGRPTLEVDWQRDTTSASHGEEVSQIVKDTLLEAGIQFREPFFEGDPLFEHVGARGPRRGRMGHRGRARGRTRGRSAGGIPIPPDIEAHVRVDADNLHVHQFGTSDIMNLLHMSFDSYSRSTRDVEGIGHMSYESTIDVGDYIPDIAGISGTVRCDTEDTTIYNTQDFIEGLFDDPIESQGLSGQYFSPSSGLNCPK >Solyc01g058290.1.1 pep chromosome:SL3.0:1:65975208:65975577:-1 gene:Solyc01g058290.1 transcript:Solyc01g058290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAYLKIKVYLNDFYLKPLYILLLSVYMPPMHKVKRVPSGSTLISKI >Solyc01g087080.3.1 pep chromosome:SL3.0:1:81891288:81895536:1 gene:Solyc01g087080.3 transcript:Solyc01g087080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAVIDWKTIDSRFVKDDLYEHFKAPQWVDFFAPDAPVDDDAWFCRPECNHPKTVEDFYKVATPSSSSKLQRSASVSDIPLGERNRRDATLKKRGLIQPLVSLNKDYKCDKIVEDGENQNPNFATPPRFKAKLMKQTIKSSAEKKPVDEKEEHIPKLKSTLSARNLFAGGDLLNKVSEFCNELKRLVVTRTKEREKCADENLETSPLIFDDKERERKPLLEMNKETNELVAKSNTKDKQRRKLRNDNAENTPILVDVKNIKRRDEEILSQIRTNPPTPQCFSASRGVTKAAPSKPLKSRPLETRGILQELEQSSNEVKRKEDPGKMMTSNNNQQGQRGGGVIVAEKEAARALDVFWFLKPCTLAS >Solyc02g071350.3.1 pep chromosome:SL3.0:2:41398879:41406530:1 gene:Solyc02g071350.3 transcript:Solyc02g071350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNNNGEKKRDEDQKVSFYKLFSFADKFDIALMIIGTIGAIGNGLTQPLMTLIFGQLVNSFGSSNSDEVVHEISKVSIYYVYLAIGAGVASLLQMSCWMVTGERQATRIRGLYLKTILRQDIAFFDTETTTGEVIGRMSGDTILIQDALGEKVGKFIQFISTFVGGFIVAFFKGWLLSIVLVSCIPALVIAGGAMALIMSKMSSRGQVAYAQAGNVVEQTIGAIRTVSAFTGEKLAIDKYDSKLKIACASTVQQGLVSGIGLGTVLLIVFSTYGLAVWYGSKLIIERGYNGGDVINVIMAIMTGGMSLGQTTPSLNAFAAGQAAAYKMFETINRKPLIDTSDTSGVVLENIKGEIELKDVYFKYPARPDVQIFSGFSLVVPSGKTVALVGQSGSGKSTVISLLERFYDPEAGEVLIDGVNLKKFQLKWLRQQMGLVSQEPILFATTIKENISYGKENATEDEIKTAIELANAAKFLDKLPQGLDTMVGEHGTQLSGGQKQRLAIARAILKNPRILLLDEATSALDAESERIVQEALEKVMANRTTVVVAHRLTTIRNADLIAVVNAGKLLEKGTHTELIQDPNGAYSQLVRMQGGNREEENMKNIDLEKVDLTTDFDNNLSRSSSQRLSAMRRSTSQGSSRHSFTLNYTVPGLIGIHEAEIGNENKGKEDKGSSKKRKKVSIRRLAGLNKPELPYLLLGSLAAIIHGLIFPLFGLLLSTAIKIFFYPPQKLRIESRFWALMYFGLGVVTLLVVPFQNYLFGVAGGKLIERIRSLTFKKVVHQEISWFDDPAHSSGAIGARLSTDASTVRTLMGDALALIVQNIATVVAGLVIAFTANWILALIILLVMPLIGVQGFLQTKMYKGFSADAKVMYEEASQIANDAVGSIRTVASFCAEEKVMDMYQKKCEGPMKQGVKIGIVSGASLGFGSFILYCTNAFCFYIGSVLIQHGLASFGQVFKVFFALTLSAVGVTQSTGMAPDANKAKDSIASIFDILDRKPEIDSSSDVGTTLAAVRGDIEFKHVSYRYATRPDVQIFKDLCLTIPSGKTVALVGESGSGKSTVISLIERFYNPESGSIYLDGVEIRQFKISWLRQQMGLVSQEPVLFNETIRDNIAYSRQGHATEEEIIEAAKSANAHNFISSLPQGYDTSVGERGIQLSGGQKQRIAIARAILKDPKILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLTTIKGADVIAVVKNGVIAEEGRHDALMNIKDGVYASLVALHMTSA >Solyc03g071540.1.1.1 pep chromosome:SL3.0:3:20097637:20097795:1 gene:Solyc03g071540.1 transcript:Solyc03g071540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETLGKKTRKHTGYYCTHEERCSGCLSRRGRRKQLIMVDVHALEVLGFFSL >Solyc10g049990.1.1.1 pep chromosome:SL3.0:10:47337552:47338010:1 gene:Solyc10g049990.1 transcript:Solyc10g049990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVDAADSFIQPKIDTSDDFINDGIYSDLLLPPGTYIDVELDAREDTITPNKGDVPYEGNLSFVPRSKLKIRRKIAPIHHVNLDRPLWLSQDWKFETKVRTIGATVGRVDKLYIEPIFKSKFRSKLEVEEFLKTGCKRTRKKYCHNHDGAT >Solyc07g008270.3.1 pep chromosome:SL3.0:7:3030542:3034356:-1 gene:Solyc07g008270.3 transcript:Solyc07g008270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRASVKKMCEFCRTIKRRGRVYVLCTSNPKHKQRQGYSTFASEGLISTTPVMTRVKQDTSVTEGIHSLVPGKIEATVTPWWKRGIASILFKDGQ >Solyc08g062050.1.1 pep chromosome:SL3.0:8:50417756:50418754:1 gene:Solyc08g062050.1 transcript:Solyc08g062050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWLKSKSVSKISYVLFRILTEEDGEGYNKELGDILLKFPSLLVFKEGHTARNENNLVWKALEKVETVKRNARALEEVRDILSPLVHKCSKKLNKVSLPGIRDTIIHLKPIELQKELLKRVPENSGSFYEQNLMSLISVHPSLVNDVKLLLTSTKACSEDISLIGASRVVLLDVLWNPSVEQQPISQAYRNGQKYIYL >Solyc10g081380.2.1 pep chromosome:SL3.0:10:62590955:62593238:-1 gene:Solyc10g081380.2 transcript:Solyc10g081380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTLRISCLSVSLPKFTQISRIGSFSSIPNLRNLSNNVILGSPLSRRAMPNAVGDAHKHISSLESLFCYDKSVPEERIEKPTGLSLAKKNIGDKPHCPECEAKGAVLCATCSGSGLYVDSIMESQGIIVKVRCLGCGGSGNIMCSDCGGRGHLGLA >Solyc06g065840.3.1 pep chromosome:SL3.0:6:41382185:41396423:1 gene:Solyc06g065840.3 transcript:Solyc06g065840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDVSIETSCMIRVAVLPIGSIAIPLFRDYTSMLVRHYTVSLSSISSFYTEHQKSPFAHQPWDSGSLRFKYMVGGSPASPWEDFQSNRKIFAVIGICHCPSSPDLHSVMDQFVTACKSYSSSVVQRCFAFCPGDSQLEDESFKGSNLILFPPADRQTQEFHLQTMMQDIAASLLMKFEKSVLQAESGGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYTTSLELARLTGDFFWYAGAMEGSVCALLIDQMGQRDQFLDDEVKHRYNNVILHYRKSFIQDNAQRVSPLSFELEATLKLARYLCRKELAKEVVDLLTTAADGAKSLIDASDRLILFIEIARLFGTLGYHRKAAFFSRQVAQLYLQQENRLAAISSMQVLAMTTQAYRVQSRASTDHALYQESGQNHVDGGKAHHNWIVSLFESQWSSIQMVVLREILLSAVRGGDPLTAWSAAARLLRSYYPLITPAGQNGLASALSNASERLPSGTRCADPALPFIRLHSFPLHSSQQDIVKRNHGRDDWWAGSAPSGPFIYTPFSKGEPSQSSKQELIWVVGEAVQVFVELANPCGFDLKVDSIYLSVNSGNFDAFPISVSLPPNSSKVIALSGIPTEVGSLKIPGCIVHCFGVITEHYFKDVDNLLVGAAQGLVLSDPFRCCGSPKLKNVTIPNISVVPPLPLLISRVVGSDGAIILYEGEIREVQISVANAGTVPIEQAHISLSGKNQDSIQLIVYETLKSSLPLKPGAEVRIPVTLKTWQLGLLDPDAAPSKNISGSTGRQVKDGCSPVLLIHYAGPLTYAGDASINGSIPPGRRLVVPLNICVSQGLSLMKARLLSMEIPAHVGEDHSNVQVETSSAEESPRTDRFMKIDPYRGSWGLRFLELELSNPTDVVFEIGVSVNMEDSNNEENPEYDYPKTRIDRDYTARVLIPLEHFKLPVLDGTYLVKESQMDRTSTRKSSFSEKSSKAELNASIKNLISKIKVRWQSGRNNSGELNIKDAIQAALQSSMMDVLLPDPLTFGFRCGNNTSQNSSDLNMDEGSNIQGARKGSVKAHDTTPVEVLVRNNTKEMIRVSLSITCRDIAGENCVEGDKATVLWAGVLNGITMEVPPLKEYRHSFSLYFLVPGEYTLLAAAVIDDANEMLRARARANSCDESIFCRGPPFHIRVNGTM >Solyc09g074820.3.1 pep chromosome:SL3.0:9:67072440:67077899:-1 gene:Solyc09g074820.3 transcript:Solyc09g074820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNIEDNQEDVPMELQLKGKKPSSQKLKRHDSLDVEASKLPDAKKVVGMSVLLKLAFQSIGVVYGDIGTSPLYVFSTIFLEGVKHEEDILGALSLILYTITLIPVVKYVFIVLQANDNGDGGTFALYSLICRYSKVGLIPSTMAEDSDVSTFKLDMPDRRTRRASQLKSMLENSQFAKFFLLIATMLGTSMVIGDGVLTPFLSAIGGVKAAAPDAMTEDRIVWLAVAILILLFMFQRFGTEKVGYTFAPILCLWFVLIAGIGVYNFVIYDIAIFRALNPMYIVTYFQRNGKDAWVSLGGVVMCITGAEALFADVGHFSVRSVQISMCFVTYPALILAYFGQGAYLMKNSGDVANTFYASIPKPIYWPMFVIAVFAAIIASQALISGTFAIIQQSLALGCFPRVKIVHTSKKHHGQIYIPEINNLLMIACVLTTIGFKTTEKLSNAYGIAVVFVMFLTSCFLILVMILIWKTNILLIIVYILIIVSVELVYLSAVLYKFEQGGYLPVALALFLMFIMYVWNYVYRKKYHYELEHKISPEKVKETLDATSSHRLPGLAIFYSELVHGIPPIFKHYVENVPALHSVLVFASVKSLPISKVPLEERFLFRRVKPYDLYVFRCVIRYGYNEMRNEEEPIEKLLVERLKNYIKEDYMFSVAANGDNQGETASLIEKDVEVLERASNMGVVHLVGEQDVVACKGSGVTKRMVINYAYNFLKRNLRQSSNKVFDIPTKRMLKVGMTCEL >Solyc09g082510.3.1 pep chromosome:SL3.0:9:68660394:68669009:1 gene:Solyc09g082510.3 transcript:Solyc09g082510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLPHSNSRRKYSWWWDSHIPKNSKWLQENLTEMDSKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHVSGELKQAQKTMSEAFPDQVPFLLEDSPVKSSAHAGEPHSPEVSRGAHDFPDTGDLHQHAVGLLLSRMHAVQRSGDDKGASEWGLKQLYEMLGAGEEMLKNSKFLEGTLKKGLSGNTEEKERSLHSQVSELSIENENLKAKVLAESERAGQAEGEVQMLKKALAGVEVEKENTFLQYQQCLEKLSAVERDLSAAHVDSLKFNERASEAGNEAQKLKESLIKLEAERDAALSKHKEYLERISSLEDKASQAHENTKGVNERAIKAESEVQHLRNEICKLESEKDCCFHQYKQCLEQISELEKKLLLSQEESRLLSEKADRAESEIKKLRDLVMELTEKKEVSVLEYKNCLEKISKLENELSRAQEDVKRLNGELSVGATKLRNAEEKCFLLETSNQSLHSEADNLAKQITMKDQELSQKQRELEKLQSDLQNEHLRHAQIEASLLALQNLHSQSQEEQKELALELKNGLQLLKDMETSKHSLEDELRRMKDENQSLSELKLSSTFSQENLENEILSLRKMKTRLEEEVAEQVELNNKLQKDISCLKEEIKDLNRSYQALVEQVKSAGLNPECIESSMKNLQEESSELRIISEKDRKEKEVLHKKLEDMDELLRKKAVLESSLSDVNGELQGSQEKVRALQESCQILNGEKLTLVAEKGSLLSQLQIITDSMQKLLEKNAVLENSLFGAKIELEGLREKSKGLEEICQLLKNEKSNLLAERGSLELQLENVERRLEYLESRFSGLEEKYSCLEKDKKATSLEVEELRVAVGMEKQERAKLTHQSETRFLSMENHIHLLKEESKWRKKEFEEELDRAVKAQCEIFILQKFIQDMEEKNYTLLVDCQKHVEASKLADRLITELENESLEQQVEAEVLLDEIERLRLGIYRVFKALDNESDFVCEDRVENEQTFLHHILGNIEDLKCSLRECEDDKQQVFIENSVLVTLLTQLKSEAFELESVKKSVEKEFNIMAEKLVTVQKDNHELLEMNKKLGLEVSKGSQLTAVLDAEVGSLCVKHDQLQTVYVGLKKKYSQVLEENRTLLQKITEIREEKLMVRQENDTLLLDTLALSNLSTVWSSFGSEKSAELKSISEDMHNLHGIISDFDKEIGILKEKLEMKETENLLLKESVQRLEEDLYEARESNNHLKLELSTGKEIIDKQEAGLLEAKQKLIASENLNSELCTTLDVLKTDRQESILTNEILEKKMLEISSTNTTQNQEIEVLREVNMNLVAEMGKLHEEIEEQRMREEYLSSELQEKNCEFELWEAEAATFYFDLQISSVREVLLENKMNELNEVCERLEDKNASKGLEIQRMKGKMISMEGEIGELKSQLHSYAPVIASLRDDIVSLEHNALLLMKFNLARSQEAKCVEIEVQSGQVSSNKLTDGHSIMPKGVLDLQELRTRVKAVKKVVEGMNRPVLHQPLHIKPGRDSTASEIESIKSRPSLDREKHEVAGRRSHQKEHEDDRNRRKAKPKSFEAKNGTLMKDIPLDHVSDSSPERIKRAHSAAERVDDQMLELWETAEGGSLSRSVNDLKKRANHPTMGVPIMHNQFRNLEWRGKHPPTESEVEKELGVDKLELSMNSSEANQEMNKKILKRLASDAEKLMSLQLTVDSLRRNLEANKKAKKPKNFDFETVKEQLQEVEETVVHLVNLNSQLMKNTEESTSYSPSSGSADSIEVMSTRQKRVSEQARKGSEKIGRLQLEVQKIQYILLKLDDEKKSKVRSKFSRSSTGIILKNFIHIGRRNSEKKKKSPMCCFRPSSSSSSNNGSIRYRV >Solyc03g116550.3.1 pep chromosome:SL3.0:3:67406665:67414654:1 gene:Solyc03g116550.3 transcript:Solyc03g116550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTVRKPLFGVTLFTLSLFLILFFFAPFSFFSDPSVTFNSSRRARPEIWSIRRLAEWRPCNWWLQPPTNALPAKANGYIRVDCYGGLNQMRRDLCDGVGIARLLNATLVLPKFEVAAYWNESSGFEDVFDVDFFIQQMKGFVNVVKELPIEIATKDPVRVDCSKRKGQFDYVESVLPSLLKHGYISITPAMSQRRDRYPLYAKAALCQGCYSALRLTSTLEKKALELLEAIPKPFLSLHLRFEPDMVAYSQCEYSGLSLASAKAIDAARVDRKPWTGETARIWRNRGKCPLTPNETAFVLEALAIPTNTTIYLAAGDGLMELEGLTSVYTHVVTKSSLLSGEDFTTMHGNTKAALDYYVSINSDSYMATYFGNMDKMVAAMRAFRGLYKTIFLNRKAFALLTSQGFKGKELTEALWKVHRDDFIMGRGSALPDCFCEFNV >Solyc12g039030.1.1.1 pep chromosome:SL3.0:12:53083443:53083604:1 gene:Solyc12g039030.1 transcript:Solyc12g039030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHEWELSFRLGMRPWIAVAYSAPVVAATAVFLIYPIGQGSFSDGMPLGISGT >Solyc10g048130.2.1 pep chromosome:SL3.0:10:44224229:44224768:1 gene:Solyc10g048130.2 transcript:Solyc10g048130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SDLLLDYWYVAVNPLLIDRHSLFIILCHMQYVFSFSRTTGAPSSQKSKARSSF >Solyc06g009060.3.1 pep chromosome:SL3.0:6:2982503:2988752:-1 gene:Solyc06g009060.3 transcript:Solyc06g009060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRTIYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYEFDGHRLRVELAHGGRGNSSSDRYNSGNNSGHNGGRNNHKFGAPKLLVTGLPHSASWQDLKDHMRRAGDVCFSQVFREGSGTTGIVDYTNYDDMKYAIKKLDESEFRNAFSRSTIRMLDLYEDLGMHIRILSGYGLAYNKCCCGGMLFSRWQLRNLLWCLSSNEHHEMSIDAKIGNKKIMDFDGQAGVAY >Solyc01g073920.3.1 pep chromosome:SL3.0:1:81141545:81151771:-1 gene:Solyc01g073920.3 transcript:Solyc01g073920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSNLFLLPLIKIPKSFPRQHQSMALSTPPAPPDPPPTAATNTATADAAITTGIPTRPIFSNPVRPPTPQPHPPFSLQSSHFPSTQRLPPSSNPGYSQLVLKPPNPDSQPHLHSILYPVASSGRGFLSKPSNYPNRPVVSHLGSRPVFGVNQMDPGSGQSAGVRPSHLQHALLGSSPTVNSAGPAASSGVLPGAVKGFPVVSSSHNKIASTQPSLSDCNGFRDKRDRSKDETFAIIRDRKVRICDNASLYTLCRSWLRNGLPDDTQSQYMDGVRSLPRPLALAPQDAESPVKKEGDKEEEEEAGESVEHLSPKELLQRHVKRAKRIRSRLREERLRRIARYKTRLALLLPPMVEQQFRNDPASGN >Solyc06g009030.1.1.1 pep chromosome:SL3.0:6:2968142:2968300:-1 gene:Solyc06g009030.1 transcript:Solyc06g009030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHINYTISIFQHTPFAPNHLTLPTIAITYIVYSYKCSYMKNIVCVNFILNF >Solyc05g006660.3.1 pep chromosome:SL3.0:5:1331180:1339230:1 gene:Solyc05g006660.3 transcript:Solyc05g006660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPKDADSKMRLDGPHSEVQPVFLDEISSSANGSSRREDGLLDNCGILSNNCLPCLASTVAPVEKRHSLSASSPSAKKKAAIKLPFKWKEENPVAALLSSKALLQRPIAGAQVPVCRLEKKMADSWSHIEPGTFRVRGENYFRDKKKVFAPNYAAYYPFGVDVFLSQRKIFHIARLVELPFIEQSGTLPPILVVNVQIPLYPTAIFQSETDGEGMSFVLYFKLSESYAKELPLHFQESIKRLIDDEVEKVKAFPVEHTAPFRERLKILGRVANMEELPLSAAERKLMHAYNEKPVLSRPQHEFYKGENYFEIDIDMHRFSYISRKGFETFLDRLKLCCLDVGLTIQGNKVEELPEQVLCCVRLNEIDYANYQQLGLE >Solyc02g084890.2.1 pep chromosome:SL3.0:2:48588065:48590733:-1 gene:Solyc02g084890.2 transcript:Solyc02g084890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRNQLYILESASQFPWAAFQKSEAEEMVDAVVTVFLEKLLNVLTEESRFLSQHRQQFEKLKNELLFMQSFLKDVERLKRKHTTLKTVMASLRDLIFEAEEILEDCQNQSADSDGSTRFSTRLHPKRLSHRHQTGKRLSEINDKITEIKQNISTYLGVPLMKEGSIEAHDNLMTRWTSSLYNHTQVVGLEGDTEKIKDWLFEASDGLLAVAFVGMGGLGKTTLAQKVFNERSMENHFERRIWVSVSQTFTDEQVMRSILKSLGDACIGDDQGELLRKINQYLLGKRFLIVMDDVWSLDNAWWQKIYSGLPKGNGSSVIVTTRNELVARKMGVTEARTHWPKFLNEHYSWLLFRKIAFAATAGECNFPELEDMGKEIVEKCKGLPLAIKAVGGVMLCKPPYYHEWRRIADHFRDELKENDNSVMASLQLSYDELPPYLKSCFLCFSLFPEDCVILKDKLIRWWIGESFIPLRSGRLSTEVGEDCFSQLSNRCLIEVVDKAYNGVIHTCKMHDMVRDLVIKIADDDSFSTPSDANCRHLGINSAMNGKQLLSNRKLRALLTTTKSGEVNKIPSDIARKFCNSRHLQVLDLSKSIFDVPLSSLLEGIGSARQLAYLSLSNTHPLIGVPDSISNLEKLQILDFSYCQNMKMLPSCVLTFVELAILDLNHCGSLEYLPKGLSKLSNLQVLLGFKPAKLSQRGGCRISELRSLTRLRRLSLRLTQDEEIGDDEGNALIGLQELQLLTISCFDSQDDGLVTKLGKLYPPRQLHELILKFYPGKISPEWLNPTSLPMLRYMSINSGDMKEMHDNFWGDHTTVWKIEGLMLEALTDLRLEWSAVNRVMPSLRILKASWCPEVEAFPIEDAGFRGGLWKKEEYSHRC >Solyc08g082430.3.1 pep chromosome:SL3.0:8:65359104:65362022:1 gene:Solyc08g082430.3 transcript:Solyc08g082430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQICRSATRAAKSLLSASSKQTSRAFSVSLRGVVPSLASYGRNESGNASRAWISGVLALPAAAYMLQEQEAHAAEMERTFIAIKPDGVQRGLISEIVSRFERKGFKLVAIKVVIPSKEFAKKHYHDLSERPFFNGLCDFLSSGPVLAMVWEGEGVIRYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEINLWFKPEELVNYTSNSEKWLYGDN >Solyc10g054667.1.1 pep chromosome:SL3.0:10:55725501:55726897:-1 gene:Solyc10g054667.1 transcript:Solyc10g054667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIVKFCFTLLLLTLLTKGIWSCGLNNITIGTIRSGVVIKGMPEWNVVVVNNCDCPMQNLVLSCNDFQTTEPVDPTLFKPLGNNQCSVNNGNAIKGKDTVKFSYAWDPPFFLRPTSVKASC >Solyc03g095440.1.1.1 pep chromosome:SL3.0:3:57991769:57991933:-1 gene:Solyc03g095440.1 transcript:Solyc03g095440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFPHDRIEAFVLEVTVKDGDSVVGRRMFDLCEIPKPVAQKRVAFGSLNGYSR >Solyc07g053290.3.1 pep chromosome:SL3.0:7:61893788:61895971:1 gene:Solyc07g053290.3 transcript:Solyc07g053290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSSFNGLNEVYGGFQGIIGNGLSSSSSLVLDNESGELVKAMVKPGGKGVNPEKALIALKNHSEAERRRRERINGHLGTLRNLIPGTNKMDKAALLAKVIGHIKELRVNAAEATKGVLVPTDIDEVKVEQQAEGSDGATYSVKASLCCDYKHELISDLRQALDTLPLKTLRAEIATLGSRMVSVFVITEGNEGNTEGTERCQLLITSVRQALRSVLDKFYASEEFSSRSTLSSKRRRVSLLNSSSSSSLGDFW >Solyc03g019880.3.1 pep chromosome:SL3.0:3:62779960:62782852:-1 gene:Solyc03g019880.3 transcript:Solyc03g019880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVLNCVAASPSCATRKWKPAVLGKQLPSGTSPFSVSAKTYGKFRVNAFFFNPIQEPILKEALKEPVAFAGGMFAGLLRLDLNDDPLKEWVSRTVEASGVTAKEIEAGDDQAEDTPQQIEIE >Solyc09g059732.1.1 pep chromosome:SL3.0:9:55496857:55503010:-1 gene:Solyc09g059732.1 transcript:Solyc09g059732.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENSSSEADGDNINGSGHVNTSRSISSFDSFNPFFLQNSDIPGVNLAHFAQSSHSRPHYEEGESSALAAAAVSRYGSDSKQKQRSIVTSRYEEGESSNSAGTNDINSRDNNNMRNGAPQFHEQGFTADQFQKLLTLIDKQESPENVDNMADSNPPIVTSQSPVPLLSPPSTSSPSLPQPPVSQTPTAHMKFTTSDYDKHLRKHDDNESDDPQLIDKHVYQRLVGKLLYVSLTRPDISYAVQTLSQFMHDPKQSHLEGALHFVRYLKGRPSLGILLSSKKDCTLRGFCDSDWASCAVTRKSVTGYCMKLGSSLISWKPKKQETISRSTAEAEYRSMASAVAEIIWLVGLLEEMNMKVKIPPLLSDSPIRVITCKAGNRICSSLTTANSFLSRSCDIYCSDPSFCRDCCCILCCKTISSDYDVNNYIRCESIVDGYICGHVSHLDCVLRAYMAGTVGGSINLDAQYLCRYCYSRMDLVPHVLKLLNI >Solyc06g063030.3.1 pep chromosome:SL3.0:6:39910136:39915305:-1 gene:Solyc06g063030.3 transcript:Solyc06g063030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLTMIARVTDGLPLAEGLDDSRDVPDADYYKQQVKSLFKNLSMGHNEASRMSIESGPYIFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFEHVNGSQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNVAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYADKARDLNRQALIRKWAPVAIVIGVVSLLFWAKSKIW >Solyc10g018670.1.1.1 pep chromosome:SL3.0:10:9136537:9136686:1 gene:Solyc10g018670.1 transcript:Solyc10g018670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDMVKKGFRYVGPTIIHSFMQAVGLTNDHIITSLRHAQCGTQKPIAI >Solyc06g069805.1.1 pep chromosome:SL3.0:6:43580984:43581681:-1 gene:Solyc06g069805.1 transcript:Solyc06g069805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSENKLSMKLLIDTKAKKVVFAEVEKDFVDFLFHILSLPVGRVNANPGVTCGFVKEMVMDDLVVKPMSAAHKLLKLSFESKAILTSIYMSSVIMNRVKMEKN >Solyc01g096520.3.1 pep chromosome:SL3.0:1:87475562:87480462:1 gene:Solyc01g096520.3 transcript:Solyc01g096520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4B040] MASGGVGGGVVEWHLRPQVPKNPVVFFDVTIGNIPAGRIKMELFADITPKTAENFRQLCTGEFRKAGVPQGFKNCQFHRVIKDFMIQGGDFLKGDGSGCVSIYGSKFEDENFIAKHTGPGLLSMANSGPNTNGCQFFVSCAKCEWLDNKHVVFGRVLGDGLLVVRKIENVAVGANNKPKLACVIAECGEM >Solyc08g042067.1.1.1 pep chromosome:SL3.0:8:24022152:24022160:-1 gene:Solyc08g042067.1 transcript:Solyc08g042067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KN >Solyc03g083190.2.1 pep chromosome:SL3.0:3:54458765:54461259:1 gene:Solyc03g083190.2 transcript:Solyc03g083190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLETPWKMNYEVNGTNLAEIEGGEVATTNLLSCNGPEFVMQLSFHVKDSNGLKSEINFLRNKILLRGTCSPEGKECIEVILEKLEADGYGITENFETLSHVSVRRLGRLLPDAQTDAGFNRTLSKVDPPIPFVLATFSFTYLYISRTTLRTTIVWENQILKHQERTTPSSIDILDAEQCLELDIEGALPKDVDAGHEPPEEITAVVCPASFTSANASKNLDQEIHHERKFCDDSRDQI >Solyc02g072530.2.1 pep chromosome:SL3.0:2:42287311:42291726:1 gene:Solyc02g072530.2 transcript:Solyc02g072530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIATAGDTSTSTSSNLAPTRSLSKKENQGLLLGRYEIGKLLGHGTFAKVYHARNVKTNESVAIKVIDKEKILKVGLIDHIKREISILKRVRHPNIVELYEVMATKAKIFFVMEYVKGGELFNKVAKGRLKEEVARKYFQQLISAVAFCHARGVYHRDLKPENILLDEDGNVKVSDFGLSAISEQIKQDGLFHTFCGTPAYVAPEVLGRKGYDAAKVDIWSCGVILFVLMAGYLPFHDQNIMAMYKKIYRGEFRCPRWFSPELTRFLKRLLDINPETRITVQEIMNNRWFKKGFKHVKFYIEDDKLCSINDDEYGGIDYSSDRSESESEIEIRRRSASLPRPASLNAFDIISFSRGFDLSGLFEEGGDGARFVSGAPVPKIINKLEEIAKVVSFAVRKKDCKVSMEGSKEGAKGPLTVAAEIFELTPSLRVVEVKKKGGDSLEYEEFYNRELKPGLQNLAHEVGYPILNKEREGERIFFNEKEVQTSLAIKWLSLTLTGLGTSEDRGQLRGKHLSEVTADILGTSWRLLALKRRSPEYQKEGTHAKLD >Solyc05g056160.3.1 pep chromosome:SL3.0:5:66400334:66404473:-1 gene:Solyc05g056160.3 transcript:Solyc05g056160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:K4C2U0] MMKIDFSGLEPSAPLRGESSELFDGISNSPSFHLPNTANFDGFQKEAIQMVKPAKGTTTLAFIFKGGVMVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDEKGPGLYYVDSEGGRLKGNRFSVGSGSPYAYGVLDNGYRYDLSVEEAAELARRAIYHATFRDGASGGVASVYHVGPNGWKKLSGDDVGELHYHYYPVEVAAVEQEMAEVPVA >Solyc03g058888.1.1 pep chromosome:SL3.0:3:28782874:28783418:1 gene:Solyc03g058888.1 transcript:Solyc03g058888.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNLLGTQCRRCFGNPNRVKSRTLTDFKNRLLLPPTRIKKIIKKDKDLRMVAAESPILMVKAYVVHGDDATDPFTPSYVSFYAIVGNNGHDDNLIIKSLVIQNLARGN >Solyc07g045380.3.1 pep chromosome:SL3.0:7:58616362:58624101:1 gene:Solyc07g045380.3 transcript:Solyc07g045380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRWPRILTPKQLSQIILSQKNPLKALQCFDEAKCKYPTYRHNGPVYSTMINILGRSGRITEMKRTINQMKEDSCECHDSIFVNAIRSYAQAGLTNEAIFLFKSLPEFNCIEWTRSLSTLLEILVEESKLESVYQLFLENSCGWEVKSRAHFLNLLMNALCRMKRSDLALHIFQEMSYQNCYPNKESYRILMRGLCEEKRLNEATHLLYSMFWRISQKGSGEDVVVYRALLEALCENEEGEEALQILGKVLRKGLKAPRSYYKQIDLTQCRNGSDTENMKVLINEALIKGIVPSSDSYRAMAVDFYAEGKIDEGDKVLKEMHERGFKPSVAIYEAKVAALFRDGQVDEAIMVIDCEMVQKNCVPNIRLYNVVIKGLCHERKSTCAIKYLERMSRQVGCVPNYETYGTLVDGLCEDGKYVEASKVMEQMSINSFWPRVGTLNSLIRGLCQVGDLHSAIMCLEDMISLALTPDINVWQSLLGAICCENGLNERNMIREATTFLLDVPKPNLPENSFLQTKVLEINLVTSPNVDDAILANGMFTRYDQPRIAQLCEKHYSELSDINRVIF >Solyc12g017570.2.1 pep chromosome:SL3.0:12:6863553:6870915:1 gene:Solyc12g017570.2 transcript:Solyc12g017570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Guanosine nucleotide diphosphate dissociation inhibitor [Source:UniProtKB/TrEMBL;Acc:K4DD89] MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLVQLWKRFRGSDKPPAQLGSSRDYNVDMIPKFIMANGALVRVLIHTDVTKYLYFKAVDGSFVYNKGKVHKVPATDMEALKSPLMGIFEKRRARKFFIYVQDYKESDPKTHEGMDLTRVTARELIAKYGLDDNTVDFIGHALALHRDDRYLDKPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVCGVTSEGETAKCKKVVCDPSYLNNKVRKVGKVARAIAIMSHPIPNTNDSHSVQIILPQKQLGRKSDLYLFCCSYTHNVAPKGKFIAFVSTEAETDNPESELKPGIDLLGQVDEIFFEAYDRFEPVNEPSLDNCFISTSYDATTHFESTVDDVLNMYTLITGKVLDLNVDLSAASAAEE >Solyc08g006460.3.1 pep chromosome:SL3.0:8:1059620:1064862:1 gene:Solyc08g006460.3 transcript:Solyc08g006460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYSSKRAVNGLYVPRRGLRDIADSRDENVQLCSRYGCSSRLSSMKSPQVRSTEKPRPLRPSFTSSNGKEVVGSSCRTSSVMANARKSFKDRKAYSHVGNDQSETSCSHGEPEASEASEHMKLSKVHQPQFNSVIRDTGSSKITLKEVGCSSGASSSKPRRLFTPKYSNQNSPVGSSVSSSSKAIGAGTRGTASGAGYMPRNLKCNSRSDISPQSCSTADSRFSRRDMVKRRNAEGESTSSSKGKKISGALPKEGDVIRPTRGISISDSRNSKSFDNREDSRALSVRTRKSMNVPRLRDSVRDSSSGFFQNSPQLESPNFSLQSSSQFFSDASSSDSSAFSFPGNDVEDLPAGASGTSAQLGINQLMNRDVLQRYNMDGVAQVLVALERMEQDEELTYEQLLVLETNLFLGGLNSYDQHRGMRLDIDNMSYEELLALEERMGSVSTALSEEALSKCIRKSIYQSMPSEIGEFGSGENEDEVKCSICQEEYVIGDEIGRLECDHGYHMECVKHWLSLKNWCPICKASAAPS >Solyc12g005230.2.1 pep chromosome:SL3.0:12:140737:156927:1 gene:Solyc12g005230.2 transcript:Solyc12g005230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNYGASADSFYQVRPECADDVPETKFRIKVGKTLSSRRWHAAFTPEGYLDIGKILGRVCRGGIHPSIRGEVWEFLLGCYDSKSTFEEREQLRQRRRVQYAALKEECRGMFPLIGSGRFISAPVITEDGDPILDPIVLQELNAAKEPTSAGQVGPSDGFELVKEHDKKVIQWKLSLHQIGLDVIRTDRTLVFYEKQENLSKLWDILAVYAWFDKEVSYCQGMSDLCSPMIILLDDEADAFWCFERMMKRLMMWSLEYDPELFSMYEEDPDLTAENSRRAKVKSIRQYGKYERENMRSGGKDTEAPLPISVFLVASVLKDKSAKLTEARGLDEVVKILNNITGHLDARKACSSAMKLHKKYLKKLNLNNKMKDKGVRYDEIILSFFYYGTNTSIPIGNSTINGFYQGHDKKAKKKGKLEIQKMAWDAAFKNVTNTSKVIFRVDLATRISYKTIFWFSKKHNFTVENRTMEVDSTEQRSKSGRSGNGFIPSSFRLLSRIVSSGASTVASTVRSAALAIVDRDNDHDQVLWAGFDKLECEGGMSRQILLLGCQYGFQIWDVEDSDNIRNLVSRPDGPVPFMQILPKPIASKKHEDKFSGSRPVLILYTDGCFSGGSNIREGIRKLHDQESTSFDPSIVWFYSLTCHSYVHQLKFKSVIHLVRCSSRVIAILQATQIHFFDAATLDKVYTVVTNPVITGFSGFGSKGVGPLALGARWMAYSGTPVSISNSGHVNPQNLTPSASFPSLAPNGSLISHYAKESSKQLAAGIVTLGDIGYKKLARYYSDLSPDSNCSQSGSACGKISGTANVHLPGADNVGMVIVRDIVSKALIAQFRAHKSPISALCFDPSSTRLVTASVHGHNINVFQIMPILSENKSPNPGSSYLHLYRLQRGLTNAVIEDISFSGDSQWIMITSSRGTSHLFTIPPSGTVNFQSSDAFVTGRSNGSSVLEKPAVHCTSNSKIPLLNQHNICESDPLVTLSAIGRIRSGSNGWRNTVTGAAAAATGRTISFSGSIASAFHHCNSTRQYADSGLLKANHCLLVFSSPGCMTQYALRMCSELDSIATFPAMGSTYEEDLETKLVVEAIQKWNIFQKQNYKERVDNADIYGEFGCSDSSKVFPEGITKGNSLSSETRDTFIKEKIRSELGHHIYISEAELQMHKPHNQVWAKPEVSFRSFVMDRIHLDDEGRGEAEVEVIPTRMVEAKSKRLFPALNFTMAEEPRRIMLERHAKRVVPL >Solyc12g056620.2.1 pep chromosome:SL3.0:12:63542352:63544097:-1 gene:Solyc12g056620.2 transcript:Solyc12g056620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSYTFPCIKFQNYPSYSTNPTLLTIRNSQAEGPIRRPIAPSPPKPSNISPPIITTTAPTKPVAVTTFEGKNVITLEFQRQKAKELQGYFRQKKLEEANQGPFFGFIAKNEISNGRWAMFGFAVGMLTEYATGSDFVDQLKILLSNFGILDLE >Solyc02g036140.1.1 pep chromosome:SL3.0:2:30587859:30592240:-1 gene:Solyc02g036140.1 transcript:Solyc02g036140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDSSPFETNEQGELKPSRSMPTWLGPLLKKTFFGECLVHDGLQKNQRSKYCITCDSDLCRYCIATNKHNDHDQLKIYRHVYKDVVPVEQMKKYIDCKLIQTYKCNKKWIIALNPLPHSGSGSLIVGDPTCLTCKRRLHDPERFQFCSIACQVLIPPSISNLVVICQ >Solyc03g044797.1.1 pep chromosome:SL3.0:3:10561618:10565842:1 gene:Solyc03g044797.1 transcript:Solyc03g044797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNDKTEHVGASMRSHTVVSNTVAIDQHHPLFLQPNDTPVLPQTVDTTPTNIGTVFIPSNECDNGPSLSCEVTPGTSIPELAGESARPLKGRKSTRTIKSLNWLRFCYQGKGMVMCQKKYALEFIAKMRLSGSKPASSPLHSSIKLTSVEMDIAFVVQVLSQFMHKPKHSHMEDPLRVVRYIKGAPGLGLLTPAKSSSTLEAFCDSYWAGCLQTRKSITGSLVKI >Solyc11g020472.1.1 pep chromosome:SL3.0:11:11210331:11210671:1 gene:Solyc11g020472.1 transcript:Solyc11g020472.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTLSLIHEENTLPTLTVENMEIRRLVLWIAEQFIKARSNKSLEVVAEEYLQELIDRSLILAGKQRANGRMRSCKIHDLLRQQCLSESHTENVVHVMNGNVVNVE >Solyc03g097700.3.1 pep chromosome:SL3.0:3:61460305:61465504:-1 gene:Solyc03g097700.3 transcript:Solyc03g097700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYNFPTGKFWSKCEGYTKITFHILKKKALNTNDFLIDKSKEIDNYHNTNHILRNQNLCTVNRLNSYVIYLISLIKNIDFLVTTLHISKEKMALPNNIGDETNEVLAAQAHIANHVFNYINSMSLKCAIQLGIPDIIHNHGRAMTQSDLVNALPINKSKGHDCIYRLMRILIHAGFFTQGEEGYLLTPTSRLLLKNEPLSLAPFVQAQLDPVLMDPWHSLGEWFANEDSTPFATAHGKPLFEYAGDEQRLNNLFNEAMGCDARLIMSVLIKNGKGVFEGLKSLVDVGGGIGTVAKAISNAFPELKCSVFDLPHVVEGLEGGKNLTYIAGDMFKFVPSADAILLKWILHDWSDKDCVKILKKCKEAIPSKENGGKVIVIDIVIDNQKRDNKSFETQLFSDVLMMVHVSGKERNEQEWAKLFSNAGFSDYKISPILGLRSVIEVYP >Solyc03g096750.1.1 pep chromosome:SL3.0:3:60530521:60533709:-1 gene:Solyc03g096750.1 transcript:Solyc03g096750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSTKSRRITTESQVNGSNLSPHSLFNEERDHYTGNSRENKETSLQCEQFFSIMLAEEVQNGSVLSLRDNVIGTVQDTMIGEVDDINFNDPDYNLEEDDEDYHDVVVERRGMKAKGRTKKNTNGRSDGVGPSTTGILIPQVHQNAESNYDYSDELLEGGTDSEDEGLIGAVKELFPGKALQDLVWNAARASNEVKFKICMERLEQEDKEARKWFDHPERPFQTWTRALFKTHSRCDMLLNNLCESFNRYILDARDKSNIALLEMIKNKLMKRLYKKKEWINKYQGIICPKIEKKLNQIRLEAALFRPNFSGGSSVSVEGPGGPYIADMQKKSCMCRRWDLTGLPCPHALIVKKKRGRKPKLRRKETEELEKQKQAEAQRKTERRSAKKDEESAPKKLSKKGTINIKCSICKEDGHNARGHYKYVNTVVPEARQPFETQDLVSDYVSSGYSDQFNYDMWYNSQLNVDFTNQSIITKEPRQQSTGVECIQDEVVRVIDIINMNYKGADYVRGVQSAQDECIDCARGAQCALERSDDCMQGAQCDQEESTDCLQGAQDESAQAGLSKRGKLYKKNVMNDYVYEASMKWYRKC >Solyc12g013780.2.1 pep chromosome:SL3.0:12:4585859:4587270:-1 gene:Solyc12g013780.2 transcript:Solyc12g013780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHAIEEEHVKTLSMNENNKMQVKNFIWSKEEWPTLKHDDFGDVEDDIPVISLNNRVRDNDDQQVYDNLCNVMVKASENWGFFKLVDHGVSSEIVENYITRLHELFDLPMEKKLKGGKTSSLPLGYYASNPEYGENLPWAEVLQLLQSPEMVVEFAKKVYGDQYHTFSNPMIEYMKEMDKLGMVIFKMLAHGLGLEDDFFFSKNFEEKEATYFRVSRYPPCPLPEKIVGIGIHSDPQTLTILHQDQVGGLQVLKDDKQWIGVRPLPNSFVINIGDTLEVIISLLCLLKQ >Solyc03g096385.1.1 pep chromosome:SL3.0:3:59875852:59876403:-1 gene:Solyc03g096385.1 transcript:Solyc03g096385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISREDGVVHLSQKRYSEKFLERFNMHMSKPVITTLALHFKLSELQMPHSMDEVEHMLKVPYASAVGSIMYAIVCTHPDIAQSATPDVGITFRKSEGISILGYIDSDSAVDLDQRGPQLDTSLLSLAVPLVGNRLYNLVSLCLQPRQNILQQRRQ >Solyc01g067370.3.1 pep chromosome:SL3.0:1:75651793:75653357:1 gene:Solyc01g067370.3 transcript:Solyc01g067370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TSB [Source:UniProtKB/TrEMBL;Acc:Q8LKG9] MGCGESKHAVATANATIPKNKRSLSSKSESRKGENIVKTENGEKMEEEKELIAPKMVAVEKEKEEEVVEPKNETIPVAVVETKKNENDEATTPVSVVEKENTTPVAVVEKKNENEEMAPVSVVEKKNAIDETIPVPAVEKKNENEETASPVSVVAVVEKKESVEEIKAEEKTEIIKPIEEVKEKAEEKEEVIAVSEATDAAKPETVKDDDKPETEEKPKEENATETSATTDSKTD >Solyc10g081580.2.1 pep chromosome:SL3.0:10:62739540:62748525:1 gene:Solyc10g081580.2 transcript:Solyc10g081580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQIPMNSGELGLDDFFKKVQQIEKQYGRLNELLQKLQDAHEESKAVTKASAMKAIKQRMEKDVDEVGKIARVIKSKIEELDKENLANRNKPGCGKGSAVDRSRTATTVSLKKKFKDKMAEFQTLRENIHHEYREVVERRVFTVTGNRADEETIDRLIETGDSEQIFQKAIQQQGRGQIMGTLAEIQERHDAVRELERKLLELQQIFLDIAVLVDAQGDMLDNIESQVSTAVDHVQSGTTALQKAKKLQKNSRKWMCFAIMILLIIVAIIVVGVLKPWQSNKGA >Solyc05g018615.1.1 pep chromosome:SL3.0:5:22628867:22650214:-1 gene:Solyc05g018615.1 transcript:Solyc05g018615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGRGGDCNSDTAVRPIRKVLLISAGASHSVALLLDVINVIAAGNVVCSWGRGEDGQLGLGDAEDRFSPTQVSALDGQEIVSLTCGADHTTAYSEALKQVYSWGCWGRNQNGQLGLGTTEDSLVPRKIEAFKGIPVKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLVPEKVSADVGEKMFIVACGWRHTICVSSSGALYTYGWSKYGQLGHGDFEDHLSPHKVQALHGSFTSQFGQVGVDDNCDHCSPVQVKFPHDQVLKVILISCGWRHTLAATERQNVFSWGRGTNGQLGHGESVDRSVPRIIEVLSVDGSSGQQIRSSTVDPSAAEKSWVSPTERYAVVPDENLPRQSVIPERGTGNDVNVPENDVKRIRL >Solyc08g083500.2.1 pep chromosome:SL3.0:8:63062251:63064730:1 gene:Solyc08g083500.2 transcript:Solyc08g083500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFFKPLFDSFPWPLQQLNVEQQAMFFVCFLGFLALLWFFISNSNKGLPPGPKALPLIGNLHSLDPELHTYFASLSQIYGPICRIWLGQKLGIIITSPELARDVLKDKDIIFANRDVPAAAVEISYGCNDILWNSYGPQWRMMRKLCVRDMLSCSTLDSVCALRRRELRQSMNYFYSKKGLPVNVGEQMFLTVFNVITSMLWGSTVKGEERANLGAEFRYVVSEMAALCSIPNLSDFYPGLAWFDFQGVTKKMKLLLKRFEKIFDSMIDERKKLDRNGVGQEIKDFLQVLLKLKDEADPKMPMTMTEIKALLMDMIVGGTDSTSNAIEFAMAEIMIKPDILRKLQEEIETVVGKDNIVEESHIKQLPYLYAVFKEVLRLHPPAPLLAPHSPSETCTVGGYTVPKGCSIFINVWAIQRDPSIWKNPTEFRPERFLDDKCDCSGNDFNYLPFGSGRRLCAGIGMAERMFMYSLASLIHSFDWKLPEGETLDLTEKFGIVLKKKMPLVAIPTPRLSDPILYE >Solyc01g034170.1.1.1 pep chromosome:SL3.0:1:36431345:36431512:-1 gene:Solyc01g034170.1 transcript:Solyc01g034170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSRLNISNFNPTLPFDTSTDDSHAPLLIFIIHLPSAVEFQVTLSKCFYNFQVS >Solyc09g083330.3.1 pep chromosome:SL3.0:9:69421885:69429540:-1 gene:Solyc09g083330.3 transcript:Solyc09g083330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CVV9] MQKDSNSGAPGTHSPRLRRRRGSNEVPGEVVKANGAHMLVDDRNKYKSMLIRLYSTLWMIGGFAFIIYMGHLYIWAMIVVIQIFMAKELFNLLRKAHEDKQLPGFRLLNWHFFFTALLYVYGRILSQRLVNTVTSDKFLYKLVSKLIKYHMVTCYFLYIAGFMWFILTLKKRRYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPASLIVINDIAAYIFGFFFGKTPLIKLSPKKTWEGFIGASITTIISAFLLANIFARFGWLTCPRKDLSTGWLDCDPGPLFKPDYFTVPEWFPSWFPWREISVLPVQCHAVWLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFVAPQNLSVEMILDQIIMNLNFEEQQLLYSKLGQIIQDKMFGES >Solyc02g066955.1.1 pep chromosome:SL3.0:2:37770121:37780615:1 gene:Solyc02g066955.1 transcript:Solyc02g066955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCCMQNQGRGLPLGHLFLHWHYITIISIALFLFTCGSLVFQSLVSIKLSLILKFQGFNWESWRRQWYLELANKAADLSRCGITSVWFPPPTESVAPQGYMPSDLYNLNSAYGSLEELKGCIEEMHNQDLLALGDVVLNHRCAHKQSPNGVWNIFGGKLAWGPEAIVCDDPNFQGRGNPSSGDIFHAAPNIDHSQEFVRQDIKKWLNWLRNDVGFDGWRLDFVRGFSGAYVKEYIEASNPAFSIGEYWDSLAYEGGNLCYNQDAHRQRIINWINATGGSSSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPVIFYDHFYEFGIRDVINELIEARRRAGIHCRSPLKIYHANGDGYVAQIGDTLVMKLGHLDWNPSKEVHLDGTWQKFVDKGPEYQIWLRQ >Solyc04g078110.1.1.1 pep chromosome:SL3.0:4:63032830:63035130:1 gene:Solyc04g078110.1 transcript:Solyc04g078110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLRLMFLLILMVVLFHVFVDARQNQKKTYIIHMDKFNMPADFDDHTQWYDSSLKSVSKSANMLYTYNSVIHGYSTQLTADEAKALAQQPGILLVHEEVIYELHTTRSPTFLGLEGRESRSFFPQTEARSEVIIGVLDTGVWPESKSFDDTGLGPVPASWKGKCQTGKNFDASSCNRKLIGARFFSQGYEAAFGAIDETIESKSPRDDEGHGTHTATTAAGSVVTGASLLGYATGTARGMASHARVAAYKVCWTGGCFSSDILAGMDQAVIDGVNVLSLSLGGTISDYHRDIVAIGAFSAASQGIFVSCSAGNGGPSSGTLSNVAPWITTVGAGTMDREFPAYIGIGNGKKLNGVSLYSGKALPSSVMPLVYAGNVSQSSNGNLCTSGSLIPEKVAGKIVVCDRGMNARAQKGLVVKDAGGIGMILANTDTYGDELVADAHLIPTAAVGQTAGNLIKQYIASNSNPTATIAFGGTKLGVQPSPVVAAFSSRGPNPITPDVLKPDLIAPGVNILAGWTGKVGPTGLQEDTRNVGFNIISGTSMSCPHVSGLAALLKATHPEWSPAAIRSALMTTSYSTYKNGKTIEDVATGMSSTPFDYGAGHVNPTAAVSPGLVYDLTVDDYINFLCALDYSPSMIKVIAKRDISCDENKEYRVADLNYPSFSIPMETAWGEHADSSTPTVTRYTRTLTNVGNPATYKASVSSETQDVKILVEPQTLTFSRKNEKKTYTVTFTATSKPSGTTSFARLEWSDGQHVVASPIAFSWT >Solyc06g066710.1.1.1 pep chromosome:SL3.0:6:42027693:42028007:1 gene:Solyc06g066710.1 transcript:Solyc06g066710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGAWCDSDDGNFCWRRCYSGREYDRLVSYKLPANRSNRAPIWKLIWRKMKKEKKRIYDCSNSMRFSYDPHSYSQNFDQGDADELSRSFSARFAVPSRIFTHD >Solyc09g015190.2.1 pep chromosome:SL3.0:9:8167149:8168491:1 gene:Solyc09g015190.2 transcript:Solyc09g015190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRRRRNGSASVKDILSMWKNHKHKRNATLDSVLKKIRKRVPVKGYNRGCMKDVEAVRAYDEAAKAMYGHDAVLNFPDYCVQNARLTNVSLRQMTSSSASGKSKGNLSHSEGDLSYLSRSTLGIIR >Solyc06g036490.2.1 pep chromosome:SL3.0:6:26193917:26198992:-1 gene:Solyc06g036490.2 transcript:Solyc06g036490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKHIRSTNHAVLIKSANLSWEENPSRPTLRNINLEVKPGEKIAICGEVGLGKSYLLSAILGEVPSIQGTVQVYGTTAYVSQSAWIQTGTIQENILFGSPLDSQRYQQTLEKCSLFKDFEILPYGDLTEIGERGVNLSGGQKQRIQLARALYHDADIYLLDDPFSAVDAHTSTSLFNEYIMGALSGKTILLVTHQVDFLPAFNLVLLMSDGEILRSASYDQLLASSKEFQNLVNAHKETVGSERISEAFYSPRSDTCSREIKNKDSGEQPKTSGGDQLIKQEEREVGDTGFKSYVQYLNQNKGYLFFAIAVVSQLAFVASQILQNSWMAANVENPEVSTLRLISVYLLIGFVSTLFLLSRSLSTVLLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVSSDLSIVDLDVPFYLIFAVASTTNFYSNFTVLGVVTWQVLLVSIPVVYVAILLQRYYFASAKELMRINGTTKSFVANHLAEAIAGVVTIRAFKEEERFFVKTFELIDINASPFFHNFVANEWLIQRLETISAIVLASSALCMVLLPPGTFSSGFIGMALSYGLSLNITLVSSIQYQCTLVNYIISVERLNQYMHIPSEAPEILKENRPPVNWPSRGKVEIHDLQIRYWKDSRLVLRGINCTFEGGHKVGIVGRTASGKSTLISALFRLVEPAGGRIVVDGVDICKIGLHDLRSRFGVIPQDPTLFNGTVRYNLDPLCQHTDQEIWQVLGKCQLQEAVKEKD >Solyc08g066660.1.1.1 pep chromosome:SL3.0:8:55540865:55541521:-1 gene:Solyc08g066660.1 transcript:Solyc08g066660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSVAAQDNKNAEIISNKSIKRNRDSSKHPVFRGVRMRNWGKWVSEIREPRKKSRIWLGTFPNPEMAARAHDVAALSIKGNSAILNFPELAGLLPRPATLSPRDIQAAAAKAAAMDKFDDDNNNNNNNNDNTSPNNSTSSTSSNMSTSSSLSSLVSAIDLATSEELTQIIELPKLGTSFELKNDFVFADCSAMETGWLYPEDGGMISTCFDPSLLWNY >Solyc05g053150.2.1 pep chromosome:SL3.0:5:64129789:64134445:-1 gene:Solyc05g053150.2 transcript:Solyc05g053150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRWGIIEEEWRKGPWTVEEDKLLIDYVNLHGEGRWNCVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPYEERIILELHAIWGNRWSTIARNLPGRTDNEIKNYWRTHFKKKVKKTSTDNSEKTKRICLLKRHQFQQQQLSNSQIDLKRMMLLFEENENKVVSHVPKQDMTILYHNNTFHEQDQQGGLFGSMINGYANYVQVPEASSNEDIMWDIGLWNLDDYNVNL >Solyc01g009220.3.1 pep chromosome:SL3.0:1:3179923:3181620:-1 gene:Solyc01g009220.3 transcript:Solyc01g009220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNKDNCSCCSNSRIHEDFMPTSELVHGKDYDTLLLNLPGFKKEEVKIQLCKRTGILKINGQRPVNKFLSFQKDIPVSKDCDKSKINARLVNGILYVRHPKLIISSQKYENDLPTSTNIEPKQDKKKTKLDEPSGQDNAGKPNNTSPKSNEQTQVVQRNGSMKDDATTTRTSSDIFAKLKVSRQVMNIALAALVVLGIGGYVMRFPKKAKE >Solyc10g045490.1.1.1 pep chromosome:SL3.0:10:34099431:34100849:1 gene:Solyc10g045490.1 transcript:Solyc10g045490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQMSLLFTLPVKIQFQRNDPDFLRRSKISIICSNPGPHKLVPDRKLDKHVVKNNNIRFVYKLKTLLLSKPKHFMPIDVLYKCRGYLTLPKPRSILSMIQRYPTIFELFTIPTPPTPFNATKPLSQLCVRLTPPAAALLGKEFQLKLARSDFLAAKLQKLLMLTTHHSLLLSKLLHIAPDLGLPVNFRSRLCSDYPHKFQIVDTSLELISCDLALSNALPSHEVDHVSLGLIVDRPLKFKHLKLRRGLNLKRRHEEYLIKFKELPDVCPYKTRVNDFYKESINAEKRACAVVREVLGMMVEKRTLVDHLTHFRKEFGLPNRLRAMLIRHPELFYVSKKGQRDSVFLVEGYDDRGKLLERDEMLVMKDHLMELVRKGKQMRRETRNVFANLDDENEYFNQMGGDDYFEHYDGLDKLFEVEDFKSGNGTDDDGDFDFDDGSNSDESTKLWAIQDETQFWTTEAHTGGDLAPW >Solyc12g100040.1.1.1 pep chromosome:SL3.0:12:67920990:67922372:-1 gene:Solyc12g100040.1 transcript:Solyc12g100040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSGMGGGFLSGYNGGFLGMNMLQQPESTSNNAVLGHQMNHNNVQSSVSAKMGLEHEKTIGLMDAQGCMAYGKDKAVGPSNVVYNTSNNPNSNTSDEDEPSFNEDGNGENNGGAPGKKGSPWQRMKWTDNVVRLLIQVVACVGDDGSLEGPGVGLKRKSACLQKKGKWKTVSRIMMSNGCHVSPQQCEDKFNDLNKRYKKLNDILGRGTSCAVVENPVLMDSMPQLSAKAKDTVKKILNSKHLFYREMCAYHNGQKIPDCNDLEFPAHSSPVAAPCAKDHNGSQGDEAEENDESDDDDDESDDNHGDGDARKIGDFDERMRRVEENGYFLPQINGNDNFLAEINEFFHDPTKSQWDKKMWIKKRMLQLEEEKIGIQAEAFELEKRQVKWQRFCRKKDREFEIERLENKKLMLENEHMALQLKHKQHELDSTKPNISFNSAPLSLDRPMGRDQLDAARYH >Solyc01g014970.1.1 pep chromosome:SL3.0:1:16264135:16265500:-1 gene:Solyc01g014970.1 transcript:Solyc01g014970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMLRANQGARAICKPKDLIVYRDCNHSVLPSVSVVDSCQAFASGGPIGSMESFQCQFCHCHQNVHRWLDVNNHQIAPPPAQQQTVTQRDVKPEVSSNTTNANRLGRINAQIFDSLAVSIELAESIMARATENWVNCNNKDVGNKSKKSWDEHVAEEYEKEQPQILANQLELTLNMRSQNGKNKKIKKDEDEKPDGFELYIKTKSKAIKKLQIAQAENNVFGQSYYPN >Solyc05g041447.1.1 pep chromosome:SL3.0:5:52664863:52665789:1 gene:Solyc05g041447.1 transcript:Solyc05g041447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGNPIAYISKSLAPRHQAMHGRKIRLLMICQEIKVLKLLAISLLTPNDTLLERSSLTWTADAELQAVIVKLQARPINSIYLDWFSAKVGRQGSSRKCDICQSHKYDAAAYPGLLQPLPIPNGVWIDISLDFIEGLSKSKGKDVILVVVDRLCKSGHFIAIAHPYTADSVAQCFLDNVFKLHGMTTPIISDMDLTF >Solyc00g007260.3.1 pep chromosome:SL3.0:2:32492241:32496785:-1 gene:Solyc00g007260.3 transcript:Solyc00g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRERGVKMKSTNNPLLHNPSESSAPGIVNHQQVDIHVPANQKLKGIHVPGETQNLYQNCSLSKNVPRLQKSKEGLQNSVTDQERNHTELPSWSQFRSRSRPSTQKSKVTADTTHSEVIPEQASCFSQFKPQSHSQKRTKSKITANTTDSEVITQQASCFLQSKPRPHPRKRKKNKITANTTDSEAIQQQASCCSQIKPRPHSRKRSKSRITADSTDSEVIPLRASRCHGQSRRNNSQKGLGSSKFELYLESIWKLHPEDRRNTFTYLDSLWFSLYSERSHKAKVLNWIAKKKIFSKEYVFVPIVLWGHWSLLIFCHLGESLQSKERSPCMLLLDSLHMANPERFDPGIRKFVIDLFKAEQRPETKDQIMKIPLMIPKVPQQQNDEDCGNFVLYYINLFLESAPENFSISKGYPYFMTEDWFTPERLECFLQEVQSASGSTSDSDECFLDDGDVVCIDP >Solyc11g021080.2.1 pep chromosome:SL3.0:11:13384949:13386676:1 gene:Solyc11g021080.2 transcript:Solyc11g021080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHTNGFGSITMGSNARDLVALTNEVLSISITQKKSIKHVRSVQDHEILFYLIGRAVAQNVLLSNCPIDSISIYMKKKSCNEGDSYLYKWYFDLGMSMKRLTILLYLLSCSAGSVAQDLWSLSVLDEKKGITSYGLVENDSDLFHGLLEFDNDRVTLLLRPEPMNPLDMIQKGSWSILDQRFLYEKYESEFEEGREKETLTHQPPGSVFSHRELFADEEMSKGLLTSQTDPPTSLYRRVVETLVSSIFWILAPWKNMLLLKHGTIEILDQNTMYGWYKLPKQEFLNSKQPVQIFTTKKYWILFQIGPEMRRKAGMTIGVDYIEFTR >Solyc03g019780.3.1 pep chromosome:SL3.0:3:62843255:62844998:1 gene:Solyc03g019780.3 transcript:Solyc03g019780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAITQQPRTLTTKEADIQLMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINAGKTWDKLHMAARVIVSIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSYSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGVLFWILARMVLQMRGTISPGPKWDVMVDLFFYREPEEAKEQQDEEVPAIADYAEYGGAALGGDWTSSQIPDAQWAADGATSVPAATGDWSGDAG >Solyc07g009170.3.1 pep chromosome:SL3.0:7:4229768:4233539:-1 gene:Solyc07g009170.3 transcript:Solyc07g009170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNYLYRSVSHRLPNFICYTPIAPTILSLKSPFCPSRRRRQLRSFSISAGNSFTWDDVFRVPESPQNDDSALSGFFDKIKLCNRDLEKQCEFMPFVIEDRIIGYVHHGFADFLKPFQNVFIFPLDNTFGSHFGCYCTLHPNLSTPNDRTKAVANVVKSLGELIPGIRNELFPVASAFGEQIFFSLERAAAPYFGIKAYGVHMNGYLEKDGQEFLWLGKRSEQKATYPGMLDHLVAGGLPHDISCGENLIKECEEEAGIPRSISHTARPVGAVSYIDIEGYRMKRDVLFCYDLKLPDSFIPHNEDGEVESFQLVPVTKVANIIRNTSFFKANCNLVITDFLFRHGHIKPEVFGYLKLLQSLRSGHCS >Solyc05g051090.1.1.1 pep chromosome:SL3.0:5:62138032:62138412:-1 gene:Solyc05g051090.1 transcript:Solyc05g051090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNPLLSLKKTFFYNFFPSKAGEETCKLNNTPHVVTKELIEIRDIYPPPKIDLENPWQIKKKITGNEIIVGKLVIPFVETFEYILRYWTLDATKSLENGCDVHVDVWDVTEENIPKKYEGGSAF >Solyc09g059020.3.1 pep chromosome:SL3.0:9:53234681:53237570:-1 gene:Solyc09g059020.3 transcript:Solyc09g059020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAVQYDSYGSGSAGLKHVEVPVPTPKKDEVLVKVEATSINPLTGKFRRACFVHFFLPSFLLFLIAVALCFMFFTSPISTKCLKTIDVGGEVVEVGSNVKRFKAGDKVVAMLNALNGGGSAEYAVANESLTVPRLAEVSAAEGAGLIVAGLTALQALVNHSEVKLNGTGPRKNILFTAASGGVGHYAVQLAKLGNTHVTATCGVRNIDLVKSLGADEVLDYNTPEGAALKSPSGQKYDAVIHCTTGIPWSTFEPNLSSSGNVIDITPAVCAMWTFAVKKLTFSKKQLVPLVLILKKENLELIVGLVKEGKLKTVIDSKFPLSKAEDAWSKSIDGHATGKIIVEP >Solyc11g051090.1.1.1 pep chromosome:SL3.0:11:17407745:17408731:1 gene:Solyc11g051090.1 transcript:Solyc11g051090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4D8S0] MTTSLPEYLEEDNLSEECKKLISILPKEKGWVRSVYNYQGFWTSTKFLQGVIACQQQFQARDSDIILVTSPKSGSTWLKSLLFALVNRVKHPIFVPNHPLLVENPHVLVPFLEHTLYVDGQVIDFSTNTSPRLLATHVPFASLPESVHDSKTKLIYLCRNPRDTFISMWHFANNLLLHHKDTNSIEEMFDLFCKGVSLYGPFWNHVLDYWKQSIQNPNKILFLMYEEIKKKPKIQLKRLAKFLECPFSIEEENSRVVDEILKMCSFENLRNLEVNTNGKFSTGEPYKVFFRRGEIGDWKNYFTVEMIDKLNHIIEEKFQGSGLKFLYV >Solyc04g078195.1.1 pep chromosome:SL3.0:4:63090984:63102298:1 gene:Solyc04g078195.1 transcript:Solyc04g078195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFCDSKCKLRCSKAGLADRCLKYCGICCEECKCVPSGTYGNKHECPCYRDKKNSKGKSKCP >Solyc11g072200.2.1 pep chromosome:SL3.0:11:55718620:55721755:-1 gene:Solyc11g072200.2 transcript:Solyc11g072200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVSSWSSEVKSIPEKYVVPLEKRVNADVPIGKHIPVIDLSQSSTHCIQQIIKASADFGLFQVINHGVSETLMVDALSVCKEFFNLPIEDKAKFVEKDEGLSDFEPSIDQRPKLYIEKEYTPNKDGSNTNNVKDTVFWKDTFGHGCHPLTQDVINSWPEKPQKYREVIGEYALELRKLSLRILDLMCEGLGLEVGYFSQEHSQTQLMVTHHYPQCPDPNSTIGIGEHCDGALINLVQQELSGLHVRDKDGKWFGVEPIPGALVVINGLILKVVTNGKLSAGVHRVVTNSTSDRTSLGSLISPIECTIEPAKTLINEKNPPLFKSFSYTEYLGYYFSDTTEIEAALKPYKL >Solyc08g015638.1.1.1 pep chromosome:SL3.0:8:5165350:5174552:-1 gene:Solyc08g015638.1 transcript:Solyc08g015638.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGKHPRT >Solyc08g016673.1.1 pep chromosome:SL3.0:8:8713073:8714861:-1 gene:Solyc08g016673.1 transcript:Solyc08g016673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQKIVEDVKTKLQRSGLPIDAIVIAKLLDVHRSSTCSNKEGEQNDEKAGMEAVKILLK >Solyc10g076590.2.1 pep chromosome:SL3.0:10:59645749:59658219:1 gene:Solyc10g076590.2 transcript:Solyc10g076590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPNSIDHFKLYSQLELQEFADKFVFRSPESSTEGFSVSRYDGNIEKLNGDMSFGKPSKVSTIYGVAGTIRLLAGSHILLITSRKEVGNYLGFPIFRVMSMKFLSCNEASRHLTRQEKRDEVYFMNLLTIVESTPGLYYSYETDITVNLQRRCNLAKGWMSKPVWKQADPRFVWNKNLLEELIENKLDGFIVPLLQGNILKFFPYSQSPSLPSALSSLPKDIGHLKLKDSPATIALISRRCTRRLGTRMWRRGANLEGDVANFIETEQLLEFEGFRSSFLQIRGSIPLLWEQIVDLSYKPRLNIIDHEETPKVVERHFHDLLQRYGHVVAVDLTNKQGDEGLLSAAYATEIQKLSSVRYVSFDFHHCCGGSNFDNIQLLYDQIAEDFEKNGYFLIDTDEKIILEQRGVIRSNCIDCLDRTNVTQSYLARKSLDSQLQRLGALSSNECISMFTEDFEIFKTMWVEQGDEISLEYSGTHALKRDLVRYGKQTMTGLIQDGMSAISRYFLNNFQDGIRQDATDLISGRYSVNRDSPSPFQNNGFDSLSYLPVASALLIGGLTVTTITIHQGRNTNTILSSALCAGVTAGIMALVKSNGRQICSRPRLCGLL >Solyc04g008640.3.1 pep chromosome:SL3.0:4:2253599:2275942:1 gene:Solyc04g008640.3 transcript:Solyc04g008640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATRPGVPKSAAISKGYNFASTWEQNAPLTEQQQAAIQALSHAVAERPFPSNLDQVSGHDNSFSVSTKLNSMEDSGAIEAVLVNTNQFYKWFADLEAAMKSETEEKYQHYVNTLTEQIQTCDSILHQVDETLDLFNELQLQHQTVATKTRTLHDACDRLLLEKQKLIEFAESLHKKLNYFDELENVATTFYSPSMSVGSTNFLPLLKRLDECISYVESNPQYAECSIYLVKFRQLQSRALGMIRSHVLSVLRSTSSQVQAAIRSSGGSKTSFAEGIESSIIYVRFKAAANELKPILEEIESRTPRKEYIQLLEECHKLYCEQRLSLIRGIVQQRISEFSRKEALSSLTRSGCAYLMQVCQLEHQLFNHFFPSSSEDISSLTPLVDPLCTFLYDTLRPKLIHETNLDVLCELVDILKVEVLGEQLSRRGESLAGLRPTLDRILADVHERLTFRSRTYIRDEIANYLPSEEDLDYPKKLEQSVSAELDSPSTELNQDVSGTWYPPLEKTISCLSKLYCSLETAVFTGLAQEAVEFCSLSIQKASKLIGKRSSSMDAQLFLIKHLLILREQIAPFDIEFSVTHKELDFSHLLEHLRRILRGQASIFDWSRSTSLARTLSPRVLESQIDAKKELEKSLKTTCEEFIMSVTKLVVEPLLSFVTKVTAVKVALSSSQNQKLESGIAKPLKDHAFASPEKIAELLQKVNTAIDEDLPRVLVKMRLYLQNSSTRAILFKPIKTNILEAHIQVLSLLKKEYTPEDRQDLVKMVSMQDLEAKLDKLL >Solyc05g023630.1.1.1 pep chromosome:SL3.0:5:28431519:28431767:-1 gene:Solyc05g023630.1 transcript:Solyc05g023630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLHNFINLLIRHSTFLADEPANSGLFGALIDGLRDTQEKGRRFSIAALGELLFYISTQNEHARGNKPMESPLKGSQPSSC >Solyc03g113930.2.1.1 pep chromosome:SL3.0:3:65462736:65463278:1 gene:Solyc03g113930.2 transcript:Solyc03g113930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVLAMVVVLFPSQIKALMPYTRPFWDIAFPPEDPFRILEQTPLTIPKGVESIALTRSDWKETATEHVITLDIPGMKKEDVKIEVEENRVLRVSGERKTEEEIEGEKWHRAERTCGKFWRQFRLPGNADLEHIKAHLENGVLKITVPKLAEEKKKQSKVISIAEAVGGEDIKANKAEM >Solyc01g091145.1.1 pep chromosome:SL3.0:1:84720462:84723895:-1 gene:Solyc01g091145.1 transcript:Solyc01g091145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQSLLEKLLDVNDSMSRCAASAASITSVTQKLARHRDILHEFTQEFRRIKGNISSMREHDFLVL >Solyc02g061800.2.1 pep chromosome:SL3.0:2:33855815:33857275:1 gene:Solyc02g061800.2 transcript:Solyc02g061800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4B670] MSVMIVTSLRDITVDLFTDECPLTCKNFLKLCKIKYYHNCIFHTIRKNFTIQTGDPTGTGSAGDSIYKFLYGSQARFFSDEIHPNLKHSQMGTVAMASRTGTSEKNLNASQFYITLRDDLDSLDGEHTVFGEITEGFDTLNRINEAYVDDKGKPYQNIRIKHTYILYDPSQLDDLIPDASPERNLKDEIDDDVRLEDDWMPKDEELGVREEKEEALYIIFSRFGTVTSAEIIRDHKTGNSHCYAFIEFEDKESCEQAYFTMDNTKIDDRRIRVDFSQSVAKLWPQLIDDLETK >Solyc07g025520.3.1 pep chromosome:SL3.0:7:28767123:28785368:-1 gene:Solyc07g025520.3 transcript:Solyc07g025520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGFGVKLQSLLPKLAGIYPFSAPVQCCSKAQNSISVGKRRAIRCRNIHRRLVLGIGISLWSQFMSMADNIGAKSFVASARQKGAIEQVLKNVEWPEQFPFKDEDFQRFDESSDTLFYEMPRFVTHIDDQAIAALTKYYSEVLPSSNTPGVAVLDMCSSWVSHYPAGFKQERIVGMGMNEEELKRNAVLTEYVVQDLNDNPKLPFGDNTFDVITNVVCTSFSHSYLYLTKPLDVFKEMSRVLKHGGLAIMSFSNRCFWTKAISIWTSTGDADHVMIVGSYFHYAGGFEPPQAVDISPNTGRSDPMYIVYSRKIATA >Solyc02g014240.3.1 pep chromosome:SL3.0:2:16126664:16128161:-1 gene:Solyc02g014240.3 transcript:Solyc02g014240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLIHDFATSLGFTEVVSSYFPSLLKEFVSLRVIKENFYSLYCLPKQTSKLGSLQNLVLDGCPLTSTPQRIGLLTGLKTLGCFVVGSKKGYQPGELKNLNLYGSISITYLDRVKNDKDAKEANLSVKANLHSLSMSWDIDRPHRYGSKEVKVLEALKPYPSLKNLEIIAFGGFCFPSWINRSVLEKVVSIRITSSKNCLYGSAEVEYFEEEDDHSRFPTRRRFPSLKRLCIWFFDNLKGLVKKEGGEKFPMLEEMEILYCPMFVFPTLSSVKKSEVHGGTHATGLSTISNFSSLTSLRVGANSQARSLPEEIFKSLANLKYLTISYYKNLKELPTILASLNALQSLTIYYCDALESHPEEGVKGLPEELRHLTALTNLGVIDCADVGKHCDAEIGE >Solyc04g026070.1.1.1 pep chromosome:SL3.0:4:19030214:19030579:1 gene:Solyc04g026070.1 transcript:Solyc04g026070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDLHQQVSTSLITLGVEFRKLSVIEEQAHSPTDEQNLSTQSRTRITLERGDAMTVQVSLKHFFKSLQCHAAKPNCTFYGIAQLGVCLTVIFQFFIPGTHQTDKPISLHSLIHVLDSGAS >Solyc07g041260.2.1 pep chromosome:SL3.0:7:52298787:52300059:1 gene:Solyc07g041260.2 transcript:Solyc07g041260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTMFKGAIDDVDVILETLKECGYSQDRFYIHCDAALCGLMTPFVNNMISFNNPIGSVTISSHKLLGCPMPCGVQITRKSPNSRETISSCTMRRYLPGDGGVVLLLRRCNWRRLASWRRQRERKREAVDDEKERGGRRSTTRKREAVDDRRRERERRAVDEVSEKEREAL >Solyc04g015960.3.1 pep chromosome:SL3.0:4:6507249:6510526:1 gene:Solyc04g015960.3 transcript:Solyc04g015960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFDLSSMEKSGSSKVTDNGGRSKALDKRMMNYLVKYVIGKSFPYEHTVIEDVDEVYGIRLYSPLQILGTTQGTKKNKRFIFTFQNKRNCKVFISKDTPGFWKPNPKIKSIFDSNKKHIGNIKISWYYYYDTNNGRRKSSKRLKKSEWHIREYYLSSKYLPPNKVERKDVILTMMMKTKEQKGGNSNNNNQEKSDKAMQIIQSQEDGHVQLDHNNGDAVEMSVENQLIMQSLQSLQLSDKAMQIIQSPQGGQVQLDHKNGDTVGMSVENQLIMQPLQRLQL >Solyc01g017290.1.1.1 pep chromosome:SL3.0:1:23820346:23820696:-1 gene:Solyc01g017290.1 transcript:Solyc01g017290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVALKVLLSNCPIDPISIYMNKISCNEGNSYLYRWYFELRMSMKRLTILLHLFSCSAGSVSQDLWSLFVSNEKNGIISYGLVENDYDLVHGLLEVEGALVGSSRTEKIAISLIMI >Solyc12g006400.2.1 pep chromosome:SL3.0:12:895757:896640:1 gene:Solyc12g006400.2 transcript:Solyc12g006400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMVKPADQKFSQHDQNMEDGHINKIQDGQINHDQLCDYLHDQQLQPQDGAQLEGKIVALTLSPRHAQNQAMHK >Solyc07g021156.1.1 pep chromosome:SL3.0:7:16570196:16592438:-1 gene:Solyc07g021156.1 transcript:Solyc07g021156.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCIHIFSSIMNCELQAYDERGIIQGRLRRMINGEGSLMNQRNEYSFPPKTRIDHMMGFHSSNKETIPIVQTK >Solyc03g117810.3.1 pep chromosome:SL3.0:3:68317822:68321266:-1 gene:Solyc03g117810.3 transcript:Solyc03g117810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILPGAREHLLGSNWNENGFEENPEIKIQVRGLTKVSEKGVSILNNVSVDIPKGIIMGVIGPSGSGKSTFLRSLNRLWEPSSNSVFLDGHDICDLDVLALRRKIGMLFQLPVLFEGTVADNVRYGPQLKGKKLSDNEVYKLLTLADLDSSFFNKSGGELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVKLKREQNMTIVMVSHSIKQIKRIADIVCLLVNGEIVEILKPDQLFESKHPMAQRFLELSS >Solyc03g007695.1.1.1 pep chromosome:SL3.0:3:2222198:2222812:1 gene:Solyc03g007695.1 transcript:Solyc03g007695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEVEQQLQTNGHAKPTEETLNSTQSNELRRRKRNKFIVYVALFIVFQIAVLLFFSLYIMKIRTPEFSVRFATFDYTAAENASFNITMNAELSVKNDNFGPYNYKNSTIYFYYNDVSIGEAFIYQGKAGFKSTKKFNVIVNFSSKESKLRNDFNSGTLILTSKSKLEGKVKLIFFMKKKKSTEMNCSIIIGSDGKVVRDIRCD >Solyc10g047570.2.1 pep chromosome:SL3.0:10:41350899:41354151:1 gene:Solyc10g047570.2 transcript:Solyc10g047570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKCCFTIGVILGLVFVCTNAQEKVFNVLSYGAKADGMTDDSEAFLNGWRDACIWNGNGTLLIPSGVYMINGAVFKGPCNGSVTTFEMKGVIKAPTDPNIFCNTGSWIAFQYIHGLDIKGHGTFDGQGDCAWGKHQCETLPHTLALNFVHNFVVHDIHSINSKGVHVKVFQSNNARFRHVQIAAPENSPNTDGIHISYSTNMHIADSNIGTGDDCISIIDGSQSINITGITCGPGHGISIGSLGKLSKPKIVKDIHVKNCTLINTQNGVRIKSWASTITGMATNIIFDDITIIKASNPIIIDQHYCPERNCSGSTQESCVQIKDVTFNNIRGSSSSKAAVSLDCSATYPCKGIMLNDINLEYDAPDGPAISTCAHANGKATGTELPPSCLN >Solyc03g113900.3.1 pep chromosome:SL3.0:3:65422232:65428378:1 gene:Solyc03g113900.3 transcript:Solyc03g113900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVRKMEYIFFCCCCDRFYHAYDNYMMYAFPHDELKPLTKTFTDSLSELGNLKLEHLPQQYNGSALTLIESLSSLVILGNYTEFEKAIIWLSENLSFDVDARVNLFECNIRVLGGLVSAHILATDSTNRLVQLIYKNQLLDLAEDLGRRFLPAFKTPTGLPYAWINLKHGVIVDETTETSTSGCGSLILEMGALSRLTGDTRFETAALRALRKLWSMRSSLNLLGTTLDVATGDWIEYSSGIGAGVDSFYEYLMKAYVLFGRDEIWKMFQSAYIGVQKYFRHGPWYHEADMRTGTATYWQLTSLQAFWPGLQVLVGDIEAANSSHREFFKVWKKYGVLPERYLLDHQVLHPTEKYYPLRPELAESTFYLYQATKDPWYMEVGESIMNSLNAHTRVKGGFASIRDVTTMQLEDHQHSFFLAETCKYLYLLFDDSFLRNQNYIFTTEGHPLPVRINWHEKLPRVYNLSDGSSIKTGKQRKRSSAMSLQICPASMIHHEPNARRLESACHIPDTGADHRCFSDDDCGVDANTCRRRSCSLAGYCGLWSLI >Solyc09g082280.3.1 pep chromosome:SL3.0:9:68485453:68487961:-1 gene:Solyc09g082280.3 transcript:Solyc09g082280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGIAIICMAFVPIIIAMISVQVAMAQSDCTSTLITMASCLSFVTGSAKTPSASCCSALSGVLQSKPRCLCVIVNGGGSSLGVQINQTQALALPSACNLQTPPVSKCYAGNGPVMSPEGAPTEGAPDSSTGIAVSGSKASGSSIMSDGSSLKVPVRAAAGIVLFMASYVFMI >Solyc09g031930.3.1 pep chromosome:SL3.0:9:27634888:27643059:-1 gene:Solyc09g031930.3 transcript:Solyc09g031930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLYNPNFSPARAASPQIRSTPDVDSNHYLSELLAEHQKLGPFMQVLPICSRLLNQEILRVSGMLPNQGFGELDRLRHRSPSPMGSANLMSNVTGAGLSGWNGLPQERLSGPPGMSMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVFIRGKGSIKDPDKEEKLRGRPGYEHLNEPLHILIEADLPASVVDIRLRQAQEIIEELLKPVDESEDYIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRPKTGR >Solyc01g099510.2.1.1 pep chromosome:SL3.0:1:89617884:89618357:1 gene:Solyc01g099510.2 transcript:Solyc01g099510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVEEEEKIENLAPATSNSTASTSVGICLSSRFAVVVGSS >Solyc08g067390.3.1 pep chromosome:SL3.0:8:56485690:56486723:1 gene:Solyc08g067390.3 transcript:Solyc08g067390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLSLLLQCLSSFLIFCQIFYASQADLGTASQYSPPYTPSACFGSDSTQFPSSNFFAAASEGIWDDGAACGRQYLISCISSVLPKACKPGETIQIKIIDRAQNLTSTPTRQGTTMVLSNAALAAIADSNAPSLNIDFRQ >Solyc03g096540.3.1 pep chromosome:SL3.0:3:60134470:60136060:-1 gene:Solyc03g096540.3 transcript:Solyc03g096540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAQANQMWLHLMIILFSFSISISSISGAESNCIYTAYVRTGPFVEDATDSKIILTLYDASGSGIRINNLVAWGGLMGNGYNYFERDSRDMFSGKGPCLSGPICKMVLTSDGTGRNHEWYCNYVEVTSTGDHKQCSQQLFNVDQWLSTNRSPYQLSATRNNCRRLSDEQQSLYLTESNNVVDV >Solyc12g035368.1.1 pep chromosome:SL3.0:12:40130407:40132888:1 gene:Solyc12g035368.1 transcript:Solyc12g035368.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVELQGARRRDVWFVDSGCSNHMCGERGTFSSLDTSFTHNVKLGNNHKLMVGGKGVVKIMLKGINYVINDVYYIPELKNNLVSVGQLQERGLDVLFKGGDRNTCNIFHPSRGKIAESVMSANRMFILLDEAELWHHRYGHLCYKGLHTLCSKEMVVGLPEIGDVKTTCEACVKGKHHQSIELEWENNYESVEEAEETEEYTDDVPSPNNPSTGETITTTSRVRKPPIWSADYTTGEGLSDIEEENYNFVCNPIVPVQKVGQDEAGVKVDSTLYK >Solyc05g009860.2.1 pep chromosome:SL3.0:5:4073299:4073832:1 gene:Solyc05g009860.2 transcript:Solyc05g009860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLLISNGSAGKGGRILIVGATGFIGQFITQASLDANRRTYILVRSFPSNFHPKIKIIKEFEDKGAHNIARCYK >Solyc02g043868.1.1 pep chromosome:SL3.0:2:1530734:1531179:-1 gene:Solyc02g043868.1 transcript:Solyc02g043868.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLTNAPATFCTLMNKILHPYLDQFVGVNTLEEHVEHLTKVFKILRKNQLYFKREKCEFAQPKVHFLDHIISQVKLHLDEAKIRVIQEWEAPTMVTELRPFLGLTNNYHRFISGYSAKETSLTELLKKNKLWVWSKE >Solyc02g081520.3.1 pep chromosome:SL3.0:2:45998885:46011464:-1 gene:Solyc02g081520.3 transcript:Solyc02g081520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHQRHSTFLKYFDFLLYTEKKSSGAGEEIGGKLPSTARPWCTFLNKKMVWGLFPIDPLPGEDSYYFFNEGTYKVGRKGCDVIVNKDKGVSRIHAEILVDEMISLDQSKKPSNILSKVRVRDCSKYGTFLNKNLDSKEKVHEFPNKETMLKDGDLVSFGTGNATYRFSYVSFIFFICSPKHSKTNQLITKKVSSIGASITKKWSLDCSHVLVDDSFPLSDDLIDAIVARKPLVQYSWVELIAGKNICTDIPSHSSHAPKLMLEGVSVQVVDPQSRECCLKGYAFLLEPENKYKFEGRLQSLLEVGGANISSSEMVSEKNGNDRVVCVVPAGSNNFKSFSNQSSLPWVSEIDLVSAVLSGYLDPILITSPPVLITSSCSTDETVVADSDAETESTKSDHVAAAFCSIESSEHDSKGTTSIHKLESVEYHNEDKCTVQIEMPRDKVSMRDTSPSSTKHIKHDGSHITHDDATIHDGEGGYIRRLGVKSDNTVVKEDITDQRENGKLDIIYSQDLIVRDSIMSLPVSSSSNGGVANFKRFRKANAPSQNSFAIFIPFSKHPYQESECKNEDVAESIREEKKRKQMEAIAEDLFKSEKPVLLFLYHREKKVVLLVPCMGCLLVDNMIPKIQRCTGSTIQDILLVFLVS >Solyc04g080020.3.1 pep chromosome:SL3.0:4:64400076:64407257:1 gene:Solyc04g080020.3 transcript:Solyc04g080020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESELQKLPQPETTRPSQPESIDEGSTKDDRPLLKPDPTNPQLQVQSQSSSPSIEELEKKYAPYVRHDVYGIMGQGELPWTEKVLLGIALVTLVPMRVIGATTVLVVYYLICKICTAFWAPNREDEQEDFAHTGGWRRTVMMQSGMFLSRVMLFVFGFYWIQETYQPINLNGNSNNEDGSKQQAEELERPGAIVSNHISYLDILYHMSCSFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSPDFKGVSGVVNERIREAHQNKSAPIMLLFPEGTTTNGDFLLPFKSGAFLSGAPVQPVILRYPYQRLSPAWDSISGARHVILLLCQFVNYVEATWLPVYYPSQQEKDDPRLYAENVRRLMAHEGNLLLSDIGLAEKRVYHAALNGNNSMPTVFHQKDD >Solyc10g079755.1.1.1 pep chromosome:SL3.0:10:61397023:61397652:-1 gene:Solyc10g079755.1 transcript:Solyc10g079755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLKNFPKKLFNYKKTRSISRSQTSSFGSSSDSDSLPHRGFRKPTGSSTPTSVLPNEISAAEVVYAELIHAFHMMDTDGDGKIRKEELEAILSRVGTEPPSKEELMLLLEEVDVDGDGCISLQEFGAISSAFGPPACDSELRDAFDFFDADHDGKITADELFSVFRQIGDSRCTLEECRRMIRGVDKNGDGFVCFEDFCIMMEQQHRC >Solyc01g096330.2.1.1 pep chromosome:SL3.0:1:87281072:87281679:1 gene:Solyc01g096330.2 transcript:Solyc01g096330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVVISEKPPQLVESDCAPYFCPINGTLFFQLLFILPNKSFSSSSHILISIPFIFFSVFPHKLSRYFWGGKIPCNGRESFCIAGNVVWLEESKIVSYR >Solyc12g006580.2.1 pep chromosome:SL3.0:12:1076509:1077846:-1 gene:Solyc12g006580.2 transcript:Solyc12g006580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHIPIVLSEEADAAFGQRDHLDLIFSTRSTNIYAKNHYNP >Solyc01g066310.3.1 pep chromosome:SL3.0:1:73765251:73773834:1 gene:Solyc01g066310.3 transcript:Solyc01g066310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRESMRSCILNFSYDQVLHALSTHSVVPVPKVFSLCTDSSVIGTPFYIMEYLEGRIFVDPTLPDVLPERRRVIFRAVAQALAGLHSADVDLVGLGNYGKRMNYCKRQVERWAKQYLLSTGEGKSRRNPKMLELADWLRQHIPLEDSSGATAGLVHGDFRIDNVVFHPIEDRVIGILDWELSTLGNQMCDVAYSCLGFIVNIASESIEENNGFELTSFPDGVPSLSNYLADYCSAAGRPWPIEQWKFYVAFSLFRGASIYAGVHCRWIMGNASGGDRARCAGEKADAFVRTAWSFIQRKSVLPQHPPTETSLEDHVRQLGHDSSNQGLPMGGKFVPSEKVQKLRNRLTKFMEDHIYPTENEFYKLAESSMRWTAHPNEEKLKELAKKEGLWNLFIPFDSATRARELIFGSRNGLLNNDFGSLLGAGLSNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGNEVQMKEWLVPLLEGNIRSGFAMTEPQVASSDATNIECSIKRHGNSYIINGTKWWTSGAMDPRCKILIVMGKTDLAAPKHKQQSMILVDINSPGITIKRPLTVFGFDDAPHGHAEIIFENVCVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAADRGMQMMVQRALQRRAFGKLIAQHGSFLSDVARCRIDLEKTRLLVLEAADQLDRLGNKRARGKIAMAKVASPNMALKVLDTAMQVHGGAGLSGDTVLAHLWATARTLRIADGPDEVHLGTIAKMELQRARL >Solyc06g060840.1.1.1 pep chromosome:SL3.0:6:38985680:38986084:1 gene:Solyc06g060840.1 transcript:Solyc06g060840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:K4C6R4] MQTQPRHEQHLSRQVAKTTTAVTVGGSLMVLSGLMLAATVIGLAIATPLLVIFSPVLVPAVITIGLILGGFLASGGFGATASFVFYWMYRYVTGKHPIGATKIDYARDKIAHAANDVKEKAEQLGHQAQQQIKS >Solyc10g038005.1.1 pep chromosome:SL3.0:10:18564908:18569526:1 gene:Solyc10g038005.1 transcript:Solyc10g038005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRNCSKIFNGRIRLCRSRDAYYGHCDLLLQHQHRDGRVEEVVLRHLGSEDGSTNCNGCQ >Solyc11g005080.1.1.1 pep chromosome:SL3.0:11:67044:69071:1 gene:Solyc11g005080.1 transcript:Solyc11g005080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTQYFIFFFFILSFVSSHDTSIIFTTLGRSSYAFDIFALPTTHPQTEFQLTDGNSVNFNGHFPATLPPSLLSRLPDDDSVNSGFHLIYVTERNGTHHVFYDAVFHDILEFPSQTRLQVPLVGFEQSVSMKDKPSLSGEFLIYVSTHEDSGVPRTSWAAVYSTHLVSGFTQRLTPKGVADFSPAVSPSGVWTAVASYGGEKGWSGEVEELDTDIYIFMTRDGSGRVKVVEHGGWPSWADEYTLYFHRRCDDGWWSVFKVLLPKSGVDFLVSVSTPQRVTPSGLHVFTPASSPVNKNLIAVATRRAGSEYRHIELFDVVSKKFTEITRSFSSYAHHLNPFFSPDSSWVGYHKCRGTGDILLLENLLNPIPGISLFRIDGSFPSFSPNGDRIAYVRLPGLYVVNYDGSGLRQISSRTAFSTAWDPKRKGVIYTSFGPTFASESTQVDIISINVDDEDLSYKQLTIGGKNNAFPSPSPDGKWIVFRSGRSGHKNLYIMDALEGEVGGLRPLTEGPWTDTMCNWSPDDEWIAFASDRENPGSGSFEMYMIHPNGTGLKKVIQSGIGGRTNHPYFSPDGKYIVFTSDYAAVSAEPISNPHHYQPYGDIYVIKSDGSDIRRLTHNSYEDGTPAWGPTFIEPMDVEWPNGGQPCSFEDCHWLNVRTNASFGLDSAKIQCAQ >Solyc04g045590.3.1 pep chromosome:SL3.0:4:34202402:34209471:1 gene:Solyc04g045590.3 transcript:Solyc04g045590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGPTEDAERTAFRMAEKKYKLYYDNTRKKKQPRPVDLSDVTDFKSISEAYHRNAELPSGIFPIHCDLHTPIFCLESHPGFYFIPGALPVEEQCRWIKESLTSFPQPPNRTNHNAIYGPLQDLFAAAKDNKVLIQEEQYCGTNNSEVEIIENDINVPTWNFFDQSGALSKGVTCKSVLASVLLRKLRWSTLGLQFDWSKRSYNISLPHNKIPDALCLLAERMAAPTLPLGEVFQAEAAIVNYFGLGDTLGGHLDDMEKDWSKPIVSMSLGCKGIFLIGGKSREVPPLAMFVRSGDVILMAGQARECFHGVPRIFTDKENAEISSLELLFSDEEDSALLEYIKTSRININIRQVF >Solyc07g040800.1.1.1 pep chromosome:SL3.0:7:49920223:49920525:-1 gene:Solyc07g040800.1 transcript:Solyc07g040800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHTPMQFMTEHFFYMMQAWLPSETTVKLHWYRKEELLNLRGNGIGKLEEWDRVYDYAYYNDLGEPKKGSTYVRPILGGSTKYPYPRYIVGYAKLKAIK >Solyc05g008330.2.1.1 pep chromosome:SL3.0:5:2696730:2698193:-1 gene:Solyc05g008330.2 transcript:Solyc05g008330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGNTSTTTSLLLNRSIHHDSVKNTHLNSNPQNPEIIQEAERICKILLKNTNVADALSSTSVNVSPLLVNEVIKKLSNSGILALSFFRWAEKQNGFVHTAESYHGLIEALGKIKQFKMVWILVNELKTKGLLCREAFALVSRRFARARKVKEAIEAFERMEKYGLIHELQDFNRLLDTLSKSRHVGNAQEVFDKWKNRKFKPNIKSYTILLEGWGQEKNLLRLNEVYREMMADGIEPDVVSYGIMIHAHCKVKKYDEAIELLHEMERKKIKVTPHVYCTLINGLGSEKRLVLALKYFKLYKGSGFDLEVFTYNAMVGAYCWSLCMDDAYRLVDEMRRCKIGPNTRTYDIILHHLIKARRMSEAYTVFQKMSNDPGCEPTVSTYEIMVRMFCNEERTDMALKVWDKMKANGVLPGMHSFSTLINSFCRESKLDDACRYFQEMLDMGMRPPIPLFDNLKRALLDEGKEDIVKALWRKLEKLRKSPLVG >Solyc07g047920.2.1 pep chromosome:SL3.0:7:59255804:59264895:-1 gene:Solyc07g047920.2 transcript:Solyc07g047920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEISAVWSLDKPISTSKWSLNPVKLIGFFIVGLMVFTAASIILKDLPSHTRLRLLTDPDATEDVSHLSITVQKDKLLDGLLTSGFDERSCLSRQESVLYHKELRQKPSSYLISKLRNYEALHKQCGPHTVLYNRSVELIKSGQYRDSADCSYLVWISYSGLGNRMLTLASAFLYALLTNRVLLVDPGVNMPDLFCEPFPGVSWLLPPDFPIIDQFSMFNQESPHCYGYMVKHDIIGNSTGSILPPFIYLHLAHDYDDQDKLFFCDQDQSILHKIPWLVMRTDNYFVPYLFLMPAFEQELSNLFPEKETIFHFLSRYLFHPTNSVWGLVMRYYQAYLVQGDEKLGIQIRVFDTGVGPFKYVVDQITACLMNENLLPQINREEPILNPSGKQKTIAVLITSLSLGYFEEFRNMYWEHPTVTGEIVGVYQPSQEEHQQTEKLWHERKALAEMYLLSLTDKLVTSAWSTFGYVAHGLGGLKPWILYKPENRTAHNPPCVRAVSLEPCFHAPPYYDCKKKAGTDTSKIVPHVRHCEDVSWGLKLFDQNNEL >Solyc01g034020.3.1 pep chromosome:SL3.0:1:35366773:35378752:-1 gene:Solyc01g034020.3 transcript:Solyc01g034020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4AV84] MDSVGNLDEKIGLLMQCKPLSEQAVRGLCEKAKEILVQESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVSLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPTIETLDDIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLNLIARAHQLVMEGFNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDAKDRTFIQFEPAPRRGEPDVTRRTPDYFL >Solyc07g062903.1.1 pep chromosome:SL3.0:7:65665971:65667355:1 gene:Solyc07g062903.1 transcript:Solyc07g062903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFLVHPDGKTYNCKFCDAKLGHVGSLSEVDYSRKRLSSQDVSDGSPPLKKRERSNRKILDYGTKVLKKKRKRHSISSKGRDFESFSTYFCKALSK >Solyc07g041810.1.1 pep chromosome:SL3.0:7:54284017:54284415:-1 gene:Solyc07g041810.1 transcript:Solyc07g041810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGDGSDDAEDTHIPYDVTYVEDIPQQGSDFLDCGIYLLAFTEYLSEGKGIPVKYLDSKLHRIRYGALLWEYAMEKMKDGAVSDNEAPPRRMRTPARIDNSQLVVID >Solyc11g032225.1.1.1 pep chromosome:SL3.0:11:26137270:26137644:1 gene:Solyc11g032225.1 transcript:Solyc11g032225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDSNTSALGLYMAESLNKHGIAYFHKVEPRMRTLKEKDDCPEILIPMRKVFKGTFIVVGGYDREDGNKVVDEDRADLVSYGRMFIANPDLPRRFELDAPLNKYNRETFYTDDPVAFLETTI >Solyc04g024710.3.1 pep chromosome:SL3.0:4:29338561:29349780:-1 gene:Solyc04g024710.3 transcript:Solyc04g024710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYELDPDVVRWNLNLIDVCGINNGGSLRTVTQYDTDFSQLGYATEGYAQPMHINVENDEIIAHALQEELSRLSLDESIGSSHTDEEQHRKASVLAQDWAAPSTTFSNFAVDGIQEDADSTGFCPLTGTSSNWESPEIEDESLLDGEVGKRLNQLDAIPHVPKINGDIPSVDEAKSDHQRLMDRLEVYDLVELKVSGDGNCQFRSLSDQIYRSTEHHKFVREQVVKQLKSHRELYEGYVPMVYDEYLKKMSKAGEWGDHVTLQAAADSYGVKMFVITSFKDTCYIEILPQSLKSNRIIILSFWAEVHYNSIYPQGEYPAGEIKTRKKWWQLG >Solyc12g044660.2.1 pep chromosome:SL3.0:12:60768281:60771013:-1 gene:Solyc12g044660.2 transcript:Solyc12g044660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIEQCQVVSPPRYASEVTFQLTCFDHTWLAFGCTQQILFYNHHFVQIIVPSLKHSFSLALKHYTILSGNLVSPLINSSGYPELRYKTGDFVFVTFSETTATDFNYLISNHPRYAKDFYPFIPQLAEPKNAPGVQLLIPFYDRSILKDPHEQEMAIWDVMKTFKVEMRDIIVIPDVDKVRGTFIIGHNEITKLKNFILLAHLTSFTITCAYVRTCLIKSEATRIEIIDENVTEFFLNAISAQINPPLPPSYFGKCIMGYVTQTRRIDLIGGEGEFTIEVKLIGEVIQKGTKGQEWILNGNWFKEFGTIDTKWLDILLVCLYVDDLILTGNNPVLFKAFKKTMSLKFEMTDIGLMSYYLGLRVKKMEEGLFISQESFTKEILKKFYMFDCNPVNTPMESGT >Solyc02g078010.3.1 pep chromosome:SL3.0:2:43364239:43382092:-1 gene:Solyc02g078010.3 transcript:Solyc02g078010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGFHRTGEAFSNEIRANQNLVAINSPHEAFLQAWWSKFYEAYSSRFPDFPVFDVESFDKVAQSVDNVVGDYCPSNQSYASDLTGANISAMMPESSSPDLMDPSLSALLSSFDASYLSLDLSPPRDMTSGLHLPEMSEIGDMLPLASNAGYQMQQMPTVPAEWNARVDILGANWGGPMRTEPHLHAATSALPDLPELSDAGNNRSLQQASHRGWPSIDVGSVPRVISHQVVHPSVIVPTRKEQFPISTFFAQQNVLPSMAVQTWDKLRLPAPACSGDLFPMLTLADSSVKDAGMLGETNLRIPENSPADQQIGGIMRRFGKQPVVQEHRNQLGLQYPNKSGTKRKTPLNSLEPVGKEKTPVASFAAPARTQAEREGNSLKAISNLHTNTSKLLCCHFDSEGELLAAAGHDKKLRTNNVYSGEGHAHHVTDVRFRPHSTVFATSSFDRTVKIWDAAKPSNPFQNLVGHVEHVMSTDFHPTKLGLLSSCDTSNDIRLWDVSRGECDLIFKGGSRHVRFQPQRGDFLASSSGNVGHVKDIRSICWHVTGRYLASVSEDSARIWSVSDGKCLYELCSGGNKFQSCTFHPGHVQVLVIGSFEFLELWNPFYQSSTTQSYSAHTDIITSLAGSPLGGTIASSPFHLLLNPTKFDPIKPNSTTAETTFFDNTDPEEISTYDPPERPEDFIEPASFDDGPMESEEEIAKAYEELYGAAYSGETFLGNDIYAMDSKVKKTTSFGKTKKEKAKDGFDERVVQVRRVTKVVKGGKQLHFRAVVVVGDKKGQVGVGVGKAKEVIAAVQKSAVNARRNLITVPMTKYLTFPHRSEGDFGAARVMLRPAAPGTGVIAGGAVRIVLEMAGVENALGKQLGSNNALNNARATVVAVQQMRQFSEVAQERGIPMEELWK >Solyc01g100800.2.1 pep chromosome:SL3.0:1:90611537:90614869:-1 gene:Solyc01g100800.2 transcript:Solyc01g100800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARKLVSQTHCTQIPQTIKNSLLCAAIDPPHSNPPFLPKPPSILATGLLKSYFERGLIREARTLFDEMPERDVVAWTTMISGYTSCNLHGRAWVVFCDMMRFTDVRPNEFTLSCTLKACKGINSYSHGALLHGLVMKQGMGGSIYVSNALLDVYATCCVNMDEASAVFQEIRERNDVSWTTFIAGYTHRGDGYMGLSVFRRMLSEGGESNPFSFSIAVRACASVHSCTYGKQLHAAIAKHGLDFNLPVMNSILDMYCRCNSLNDAKQCFNEMTQRDLITWNTLIAGYEKSDPYVSISTYSCMELEGLSPNCFTFSSIVAAVANLAILSCGEQIHGRILKRGLGGNLELDNALIDMYAKSGNIGSARRIFDKMPTKNLVSWTSMMIGYGSHGYGNEAVDFFEEMVKFRVRPDRIAFEAVLNACSHAGLVDKGVRYFMSMVDDYNIAPDPEIFGCLVDLLGRAGRVEEAFKLIESMPFDPDESVWGTLLRACKAHNHPDLGTLAIRKVLALKPKIAATYVILSNIYAADGKWGDSAKMRKLMRRMATKKEAGRSSVEIKNQNYSFVAGDKMGPHMDCVDEVVKILVEHMRHARYIPDLDFFIHDLEDGI >Solyc09g015617.1.1 pep chromosome:SL3.0:9:10504452:10506925:-1 gene:Solyc09g015617.1 transcript:Solyc09g015617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRPTCMVYPPLASQSQANWKLEGQSTKQCSTSSNECLTTFIMTEDTVIISNVTGFFSPAETHPLDAICFEAFAEQKDRLLNATNIWNLSVSYNKLCFEAVNSGAKDLPFSR >Solyc11g008840.2.1 pep chromosome:SL3.0:11:3028797:3032321:-1 gene:Solyc11g008840.2 transcript:Solyc11g008840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDEDLLLFTNMYKREKNDLASLLLPVPDEFEANGRNYALYRMTSSKKNQAGLDYMMNIEVGKNDYDWLKTPPATPLFPSLDMEANAPEFVIQKEIPIIQPVVTLSRFAGGAKTRSALVRSSSPNQKPKIDTKKSTQIGKQNVSSIEEKKNTRFATTTMIKSNTSNDHKERSNTLSSIATRTTKQKDSGFNFLASNLSKTLRTESSLSNTKPKSRGASPAGRLKSRGESPAGRPRILGAQFPGFSDETPPNLKTDRSLVTRGRKQEISTSSTKIQHGNVTTQVLGSKMVDKFMNARKSIHEEKAKLNSSMNESSGFGRHISRISANMAHKHMEIHQDTGNSGKNGTITGRKSSNIRGSKIPSA >Solyc04g014993.1.1 pep chromosome:SL3.0:4:5193647:5195047:1 gene:Solyc04g014993.1 transcript:Solyc04g014993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENELLVNSYRSRLQKSIEAFLPRYPETYSATLIKRTRCFAERNYLQLVYEYSSIQACNVSRINIEYFEPYLRTKQIQQYWLGYRSGCLVHSNKTAQLIKRKINLVFCGIIQGTQNSILLTNRQLRLDGVIL >Solyc12g077541.1.1 pep chromosome:SL3.0:12:19697830:19698506:1 gene:Solyc12g077541.1 transcript:Solyc12g077541.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWTNNMGIIDSGLTIKICMYDEADHLPVHTEDKTFYSEDDFRNFLSRRGWSCLREYNGYRNVDSMDELCPGAVYRGVN >Solyc02g064540.2.1 pep chromosome:SL3.0:2:36227454:36232810:-1 gene:Solyc02g064540.2 transcript:Solyc02g064540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCVQGKIFVGGISSETSEEVLTQHFSRYGEVVKSQVIKYRDTNCGKGFGFVTFADASLIEQLIHQQHIILGRTVDVKLAIPKGASGQCQLGSECPNFQRQDSNNNRRKIFVGGLPLNLSEEEFKTYFEKFGTISQVNLISNKEIKTPRGFGFVTYDSEESVTHALQEKLHWLINKYVEVKKAEPRERRVINSNYHNFYPGYGMWQIYDCNNEIFAPQYCHNHTMVPTNWTSSFNHLGTNQFVHPQPIVPYFTPTYPVNYYGSTHRYPINCYCSTQNQVDHNRGSYSTFTQNNVINEENGQECNNIEVQLVGDGSLPANESAKSLEKETDNGGEKDDLKEILYDNTKEDNGEIDHLVKILQVNSEDDT >Solyc05g013570.3.1 pep chromosome:SL3.0:5:6847356:6850314:-1 gene:Solyc05g013570.3 transcript:Solyc05g013570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSRPDTFITTEAVRSVNSEVISDLIVQVKGSRYLLHKFPLLSKCSRLQRLCSEIPETSQHQIVQLPDFPGGIEAFEICAKFCYGITITLSAYNIVAARCAAEYLQMTEDVEKGNLIYKLEVFFNSCILSGWKDSIVTLQTTKSFPLWSEDLVITSRCIEAIASKVLANPNLSRRGPRDDISCVESDSRRHNKVSSKVWWAEDLAELSIDLYWRSMIAIKSGGKVAAAVIGDALRVYASRWLPNISKYANVEKQIVHDPSESDSIGKHRLLLESIISLLPAEKGAVSCSFLLKLVKATNLLKGSCSSKMELARRVGLQLEDASVNDLLIPCVSHTCDTIYDVDIVITILQQFMLQVQSPPTSPVTRKVDFERRRSRSAENIDLEFEESRRSSSASHSSKLKVAKLVDGYLQVIASDTSLPLSKFISIAEAIPEFARLDHDDLYKAIDIYLKGHPGLNKSERKRLCRILDCKKLSMDICMHAAQNELLPLRVVVQVLFFEQARSAMSGGHVTELPSNIKALLANHHPQTANTSASFNKDKMTPLNDDQWSNASALKSPKSSNLSTLKMKLAEEDDLHEIGKSSRIKALCLLPNRPKKMLSKLWSNK >Solyc10g018320.1.1.1 pep chromosome:SL3.0:10:7390526:7391146:1 gene:Solyc10g018320.1 transcript:Solyc10g018320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKISISLLLLFISIVVAVESTSTGRLHPRLPTFVETQCRKTRYPEPCVTFLSNYVNPTSQDPQEIVHAALKVSLVRAIHTKTYIKNVLKEHNKQMKAKDHQAIKECLDEIYDGISQLTNSVIELQNLNLNGQEEFVWHQSNVQTWLSTVLTDAYTCLNGLNSGHSKGGKVKATIKAKVLNVAQVTSNALALFNGFASKYKSSHHG >Solyc03g025500.3.1 pep chromosome:SL3.0:3:2918940:2922654:-1 gene:Solyc03g025500.3 transcript:Solyc03g025500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFLWVFIFVALGICSELLFAEKGRKELRAEEVNGDAIIQSGHPVRSNRFDPSRVVQLSWRPRVFLYRDFMSAEETDHLISSVHGMRNGSTIDNASVDAVNFPTMGIPVDAKDPTSSRIEERISAWTFLPKGNSKPLHVLHSGRESSKGNYSYFEMNSTLKSSEPLMATVILYLSNVTQGGQILFPESENKILSDCTKSSDSLRPTKGNAIVFFNVHLDASPDRSSSHARCPVIDGEMWYAIKFFYLRSITVQKDPLQSDGDTYCTDEDENCTRWAATGECERNPVFMVGSPDYYGTCRKSCNAC >Solyc10g036710.2.1.1 pep chromosome:SL3.0:10:16893265:16893414:1 gene:Solyc10g036710.2 transcript:Solyc10g036710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSCRTIKRLFRERNFRERKHLRNEKAIGILGLGFRVNGLGLGLQLRLG >Solyc05g018744.1.1 pep chromosome:SL3.0:5:23293357:23294250:1 gene:Solyc05g018744.1 transcript:Solyc05g018744.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFDEKEYTTSTTCICCVSPSGVSDIDNIMIRFMSNKKMNQVVMMIIYEICLKNHATNFGEYLVDECHDFTKKGDDGTKEKYICADCGRFRSFHRTNSKSLYIHPILRSHFFYPDVNPHGVVGDTCWCYYNG >Solyc01g100230.3.1 pep chromosome:SL3.0:1:90158401:90160614:-1 gene:Solyc01g100230.3 transcript:Solyc01g100230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGGSAGSCYYSVLGVRNDASCSDIRSAYRKLALKWHPDRWAKNPALAGEAKLRFQKIQEAYSVLSDQDKRSMYDAGFLDMFEEDEGMGDFLHDLINRMDQNVGAAEESLEDLQKTFVDMFGRDLAKMMGDETPTAKKRARDSGCSTMAASKRNNVNFNGTC >Solyc04g078680.3.1 pep chromosome:SL3.0:4:63463419:63469091:1 gene:Solyc04g078680.3 transcript:Solyc04g078680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQRSAIGSLPETLGFTHGSTSSDGGIDQQICWNNLRNPAQNRLPDYMVPSNETTIPFLSHANQERQSVIGWNLGESSSSNTQNSVSRSENTTMSARPGPSHFSAEQHYGSSNILSLGDVEINLNNQLANNTLFSQASTSSTVPNELSRSAGHEGRDGDEDDDDDCEVMECTPTFKSNGPGKERMSTASTSSDPLAGTSATNGFLRDESDGRPGCTLDGRRMACKRKAVEGHLGQSSGSGSPDYLLNSLWRSIPAPNNLTAGANSSASTESRRNINLPAQINPRLGLTMGGTTMEGPVALPASRRAESYRRNFRLRINGSHQQVPIPGNTFPTVGNDRNVTMSDWDALRLPSNQSLDSRSVSAADNVSPRSQPVVGPVPSLRRNAQRWDSSSSRAGSPSSYSVFLERNSAAYEQPSSRSVPRNISQHPMFIPASDLRNLNQNPVNWGLAGGNISIAGNVASSSRSGPSSVAPSSSPGWVEQRNPQQYPRRLSEYVRRSMLSSAVSEPGSHNGNTPPHLSSATSQEMGLSGHPGHRPSSSRSALLLERQLDGAMGVPYSWRTLAAAGEGRGRLVSEQIRNVLDLMRRGESLRFEDVMILDQSGFFGMVDIQDRHRDMRLDVDNMSYEELLALEERIGNVCTGLTEETILNRLKQRKHVSIRTEETNDAEPCCICQEEYKDGEDLGKLDCGHDFHADCVKQWLMQKNLCPICKTTGLNTSGKQ >Solyc04g017650.3.1 pep chromosome:SL3.0:4:7717993:7726323:-1 gene:Solyc04g017650.3 transcript:Solyc04g017650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKPSSSPIPIGNCEVVVEAGKFNSELNENSLNISLSKNVKVKISVVDGGNHSEECRNPIEYDENGNYCFVLINPKDDDGKTKSLLQEVLSIYSKELPAMNYAANTGKESQFLERCVSNGKYCTLVLKTKDDIDPGEVIAAITYQIIPADMQFAEVPIAAVKSVYQLKGIGYSIYLELRKRLRHVGVRTVLCWGDKESEGFWLKQGFSVIGQVDTKGRARKLPIKADIRKALCFPGGSNLMISHFNKDNLHSSAVYLNLKFPLKPLREDCQSPILQEQRDTLSEGNNHSPGRSQATKTMGSNYYDCQDFQPGDGAVGSKHGHIGFNEMESQTLLKKCSCSASESNKRTWETSHTCVKSKKIKGGHQTDCDLHSKDISLESVSGNSFSAISKSKCQVGITPKDHLTSHFLEKNAVEATADNLTYEGNSSRVISSRGTNFRIMLMNIADDSKKANLTKIIGDLGGDVTADGSSSTHVVTGKVRKTLNFCSALCSGAWILSPNWLKESFRNGKFLDEMPFILRDEDYELKYRSALKRAVLRAKAYPQALLKGYDICLATHVHPPVGTLSAIVKSAGGNVIRGLNQVKDECKTIFVACEEDMDEALSAVKKGIWTFSSDWFMNCIMKQELDLGAPQFAECL >Solyc01g097980.3.1 pep chromosome:SL3.0:1:88501347:88511942:1 gene:Solyc01g097980.3 transcript:Solyc01g097980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFKKLHHSNRSNDAQSTSSISSSSSPASSLSSASCTTDHRNSNSVSQSPLSPSTISTASTTTTPAAPVGAGGGGGGGNLSTINRQQDYYTSEEEYQVQLALALSVSSSQSQDPFPSDVNSSNGHGVGRTAVDLARDREDAAADLLSRQYWDYGVMDYEEKVVDGFYDVYNLFTDPASRGKMPSLSELETNPGTSNFEGVIINQRIDPSLEELMQIAHCITLDCPASEISLLVLRLSELVTGHLGGPVKDANIILAKWMEISTELRTSLHTSVLPIGSLKIGLSRHRALLFKVLADHVGIPCRLVKGSHYTGVEDDAVNIVKLPNDSEFLVDLMGAPGTLIPADVLSAKDASFNSPKLNKIPSLPSNSHSGVSYPRRNLLSGQNSVLGDDFSGRSKPEKIESVHSISDAGGSSTAGSSGINKRPSSNQVDWTSPLAIGTSLYKGGRGPNAAGDGLRLNVNVVPYDQNNPEDPKNLFADLNPFQIKGSGNTLLQKNPARNKVSELQQPINTLIPGRPPAPMMWKNRYAPNEVPRKNESDSEGLFPKKNGGSSGYNISSIASTSSNIPQKSSTDTSRLHGNSRPAYRGNDEVASTRNNSSILSAELEFRRLSVQNSQNNNRETSQWEGHSLQSDDLNRTQAYGDDIIVESDHTRNLQAQSIGTNIKLKEPENPTSSGNLGPSQVDPVFDDVGDCEIPWEDLVIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALAEFKREVRIMRRLRHPNVVRFMGAITRPPHLSIITEFLPRGSLYRIIHRPHFQIDERQRIKMALDVAKGMDCLHTSNPTIVHRDLKSPNLLVDTDWNVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDIYSFGVILWELATLRLPWSGMNPMQVVGAVGFQNKRLEIPKELDPIVARIIWECWQTDPNLRPSFAQLTVALTPLQRLVIPAYVDQLNSRLPQEISVNSTP >Solyc12g005875.1.1 pep chromosome:SL3.0:12:509648:511812:1 gene:Solyc12g005875.1 transcript:Solyc12g005875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKDCNYADGASVCHFLIFAMASCTEDKLLSDVSLDSLSISRSSGLTAAGLYILLRAADRFAANYNKFPGQFDGEMDEDISRLKTTAVGLLNDLGCNGSSVSEDLINEMCRYGASELHVVAAFVGGVTSQEVIKMSPTAACEKEICNLI >Solyc01g006260.3.1 pep chromosome:SL3.0:1:866282:869756:1 gene:Solyc01g006260.3 transcript:Solyc01g006260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFKNRYLVMEVYLDPNKGTASDEPIVITQFNLTKAIRDVILTNFGECGLASSANSFQVKYVNPITKLCIIRASREEYQKVWASITMVRSVGSCPVVFNLLDLSGSIRACRAAALKCDEFKFEQYKSMAGARLTPEVQQQMQNYLDKIKALEH >Solyc06g051520.3.1 pep chromosome:SL3.0:6:35083340:35099644:1 gene:Solyc06g051520.3 transcript:Solyc06g051520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELAQAAGVYAPRTLPAWRSLLNWLLFFFQIFVQIVRGTPSLTQLLSYVGLRNTSFLSSTPHFKPLPIVELPESHQTPLTVSTLQIAAGTNGEQRLTVVLDLDETLVCAYETSSLPNIVRTQATEAGLKWFELECTSSDKECEGKPKINYVTVFERPGLHEFLKELSEFANLVLFTAGLEGYARPLVDKIDVGNRFSLRLYRPSTISTEYREHVKDLVCISKDLSRIVIVDNNPFSFLLQPLNGIPCIPFSAGQPHDIQLLEVILPLLKHLSKQKDVRPVLYDRFHMPEWFQKHGIPASALTSEE >Solyc01g066325.1.1 pep chromosome:SL3.0:1:73918754:73921845:1 gene:Solyc01g066325.1 transcript:Solyc01g066325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNEAYTNTSTSMKSNKAEAPRSGSLSHIYFPGGYFTKDQYEQVVKMMESSSPSRTCNANAATSIYPLPPHTQDPSLEPIESSVEMSSNPCAEFPVFDDLEGLQDQQPRHSSVQTVQTSPPSSRKTTRICKPPVWIKHYVVPKKSSPHSMTNHKQKTHCTNISKRRTWEVLNILLGIEVLKSKEGLLLNQRKYALQLIWETRLSGAKIVSTPLEFNHKLTSVVSKTWDNAEKRDCVTKLNGYYDSDWSSCPNTRRPVTSYMIGNQLYR >Solyc01g014025.1.1 pep chromosome:SL3.0:1:11034254:11035040:1 gene:Solyc01g014025.1 transcript:Solyc01g014025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEGSFDCELGPPPKPPIVEAPKLKLNILQAHLRDQCRVCMDYQKLNDATWKDHYPLPFIDQSLTVVQLLLRWVFREKITFAFPYGKYDFKHMPFGLCNAPVTFQRCMVAIFYDMVESFVE >Solyc09g063030.3.1 pep chromosome:SL3.0:9:61212303:61228177:-1 gene:Solyc09g063030.3 transcript:Solyc09g063030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEALELARAKDTKERMAGVERLHELLEASRKSLSSSEVTSLVDVCIDLLKDNNFRVCQGALQSLDSAAVLSGEHFKLHFNALVPAVVERLGDAKQPVRDAARRLLLTLMQVSSPTIIVERAGSYAWMHRSFRVREEFARTVTSAIGLFASTELPLQRTILPPILQMLSDPNPGVRDAAISCIEEMYSQAGPQFRDELQRHHLPTMMLKDINARLEKIEPKNPLADGVSRNYAATEVRSTGLNPKKSSPKAKNSTREVSLFGGDADITEKPVEPIKVYSEKELVREFEKIASTLVPEKDWSIRISAMQRIEALVIGGATDFPCFRGLLKQLVVPLSTQLSDRRSTIVKQACHLLNFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADTCIKTMLRNCKVARALPRIADCAKNDRNAVLRARCCEYALLILEHWPDASEIHRSAELYEDLIKCCVGDAMSEVRSTARTLYRMFARTWPERSRRLFMSFDPVIQRGTLLQIINEEDGGTHRRHASPSVRERSSHFSLGSQTSASSQISGYGTSAIVAMDRSSSLPSGTSLSTGLLLSQTKPVGTGTERSLESVLHASKQKVSAIESLLKGLDMSERSRSSSLDLGVDPPSSRDPPFPLAVPASNSLANALVDAPSGFSKGKNRNGGLGLSDIITQIQASKDSTKSSYRGSAVHESFSGLNSYSARRASEKLPDRGFVEDNAELREGRRLMNSHVHRQYIESPYKDANFRDSHYNHVPNFQRPLSRKNTAGRMSSSKRRSFDDSQLPLGEMSSYVEGPASLSDALSEGLSSSSDWNARVAAFNYVKSLLQQGPRGFPEIMQSFEKVMKLFFQHLDDPHHKVAQAALSTLADLIPACRKPFESYMERILPHVFSRLIDPKESVRQPCSTTLEIVSKTYGIDSLLPALLRSLDEQRSPKAKLAVIEFSIGSFNKHPSNSEGAGNSGILKLWLAKLTPLVYDKNTKLKEAAISCIISVYTHFDGTGVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFLQNKKERQRSKYDPYDVTGTSSEEGYVGASKKNNLFGRYSAASVDSDGARKWNSVPDPTYMTSSVGHSLSDDTQDFYHGVEAGANSDFPVSKAKDSKLSASGSDGIWANSQKSNDDSLNMEHTSTTRLEVNGLVDSEHLAAADNESDLGLNHLKLSALKINLTPATEPSIPQILHSICNGNDGSPAANKHDALQQLVEAVTKDQSIWSKYFNQILTAVLEVLDDSASSIRELALSLIVEMLKNQRDAMEDSVEVVIEKLLNVTKDVSPKVSNEAEHCLTTVLSQYDSFRCLSVVVPLLVTEDEKTLVTCINCLTKLVGRFSQEELMSQLSSFLPALFDAFGNQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQLRLVTIYANRISQARTGTPIDANRS >Solyc10g080855.1.1 pep chromosome:SL3.0:10:62174009:62174660:1 gene:Solyc10g080855.1 transcript:Solyc10g080855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVGYSIITLIILCFTYWYLKNKFTKSSVPTNWPIVGMLPGFVHNAHRIHSFFTDILLETTSNFEFRGPVFANMDMLFTIDPANIHHILSRNFSNYPKGPEFREIFDRLGNGIFNGTPVDLQDIFQRFSFDTNSKLLLDHDPKSLSVNLPHVPCEIAFSDMGDALAYRHILPKNYWKLQKWLRVGFRSVYVSCHCGETEEVDE >Solyc10g039210.3.1 pep chromosome:SL3.0:10:20814829:20819304:-1 gene:Solyc10g039210.3 transcript:Solyc10g039210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYHLEAILGILAFVFFAIIIWRRSNTLTTEKLAPQVPGAWPIIGHLHQLSGIDKNVPFAHTLAALADKYGPIFTLRMGMYPYLIINNWEGAKDCLTTHDKDFAARPTSMAGQSIGYKYARFTYSNFGLYYNHVRKLALTQVLSSTKLEKMRHIRVVELENSIKDLYSLTQVANKNNEVINITQWFHQLTLNTIVKTICGKRYNNIEKDEEAKRFRKAFKGIMYVVGQIVLYDVVPFPLFKYVDFQGHIKLMNNIYKDLDSILQGWLEDHMKKKDLNNDDDEDAIDAMLKATYVDEFKAYGYSQATVIKSTILSLILDGSDTTAVHMIWIMSLLLNNPHAMKQAQEEIDTKVGKSRWVGESDVKNLVYLQAIVKETSRLYPPVPLLLPHEAVQDCKVAGYDIPKGTRTYINAWKIHRDPKIWSESEKFMPERFLTSKSSVDARGQHFEFIPFGSGRRSCPGINFATLVTHLTFARLLQGFAFSTSSNTPIDMTEGVGITLPKVNQVEILVTPRLSSNFYVF >Solyc03g006713.1.1 pep chromosome:SL3.0:3:1266957:1268145:1 gene:Solyc03g006713.1 transcript:Solyc03g006713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFFVDVRQDLVYVYGWPSRFVRSIWKVKRAPKRANPSFRRISCAIPHHFLGDPDSDVKNAKFFRGRPSRPCLCIRLAITACPTHLEDLFDAYDWPSRLTRPIWKVKRAPKRAYPSFQRFPCAIAHHFLGDQDSDVKNAKFFRGRPSRPSLCIRLAVTACPTHLEGQTSPEASIPLISTDFVCYSTPFFG >Solyc01g102650.2.1 pep chromosome:SL3.0:1:91278250:91278910:1 gene:Solyc01g102650.2 transcript:Solyc01g102650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKIGVALLLRYATLAAFVLWSSAARNDFQQPMLLTHQQHLFFKKKLVAQKSDNEVSQVSVTNSLTFR >Solyc04g049355.1.1 pep chromosome:SL3.0:4:41558438:41559046:-1 gene:Solyc04g049355.1 transcript:Solyc04g049355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEIVCFHTRGRSFGRSHNSYFDDEYEEMILKSRAPIKTLLEHQSSIFSSNAHKSEVT >Solyc03g121970.1.1.1 pep chromosome:SL3.0:3:71456754:71457980:1 gene:Solyc03g121970.1 transcript:Solyc03g121970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYSYQREREISHSSNPSFSSTLLDAIYRSIDQGEEEEEIVLYKETMRKKQSNNMESFQKACMIEKWMEHKASEKVVVRRKSIADFERNLMNSSSSSSDSSCGGVFSSSETESSYNNVVINSAGSSSSCYGLNRPKPIRTSISGSNPEKHSKNQAKQLNNYGDFRHNHPPMERDFAPKTKSETGFVKTKSKALKIYGDLKKVKQPISPGGRLASFLNSLFTAGNTKKPKVVSSNSANASSTCSSASSFSRSCLSKSTPKSSSNGTKRSVRFYPVSIIVDEDCQPCGHKNLYEEKIPKPESLKNNIRNTVNEELKFHSMEKNRRVEEATKELLRNYQRNSNSQIKKMEIFDDEDDDDDDGASCASSDLFELDNLSAIGIDSNRYLEELPVYETTHLDTNRAIASGLIL >Solyc03g070457.1.1 pep chromosome:SL3.0:3:18504872:18509113:1 gene:Solyc03g070457.1 transcript:Solyc03g070457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEGDENSHEYMTKIKRKELGISCTLNTEVGAVLAVIRRSPEGNLHFYHPPEENYDSQISLSLKSLRSLIFNPQQEWLTIDPMIYLSPFLDVVQSDDVPSAATAVALSSILKILKLEIFYHKSPGAREAINSAVTAVTGCRLEKTDPVSEDAVMMKILQALTAIMNHPSSVLLTDQSVCTVVNTCFQVVQQSANRSDLLQRSARYTMHELIHVVYQRLPEIEVKDWEDSESDTEDGILDSGYGILSAVDIFHFLCSLLNVVEVMETDGSTPQTSDENVQLFALVLINSAIELSGDSIGKHQKLLRMIQDDLFHHLVHYGTSSNPIVASMICSIVLNIYHFLRRSVRLQLEAFFSFVLLKVASLANSLQLQEVAIEGMINFCRQPSFIVEVYINYDCHPMFKNVFEEIGKSLCRHAFPTGGCLTSLQVQAFEGLAVIIHNIADNVDKEDDLTPSGPYPVEISEYRQFWEEKSKEDEEDLENWIDFIRVRMAQKRKILIAGNHFSRDEKKGLEYLKLSLLIPDPPDPKAYAMFFRYTPGLNKVAIGDFLGDPDDFYLQVLKEFTETFEFMGMVLDTALRTYLETFRLPGESQKIQRILEAFAERFFDQQSSEIFASKDAVLILCYSVIMLNTDQHNPQVKKKMTEDEFIRNNRGINGGQDLPREYLSEFFHSISVNAITLFGSSGAPVEMNPSRWIQLINKSRKMKPFIFTNFDRRLGRDMFASIAGPTVSTLATIFEQSDEEEILHECVEALFSIARITKYGLEDTLDELLCSFCKFTTLLNPYASSEETLYAFSNDMKPRMATLAVFTIANDFKKSIRGAWRTIVDCLLKLRKLKLLPQSVVEPENASNSSSNPPGIHERCASGVVFPTQDVKFGSKAQNSGIIGRFSHFLSMETVEESLNLGVSEFEQNLKVIQQCRIGSIFSNSSSLPDEPLLNLGRCLIFAAAGKGQKFSTPIEEEETVGFCWDLIVSIASSNTNRLLVFWPHYNEYLLDVAQFPLFSPIPFAEKGIIALMKICLKLLSSFHSDKSPEELMFKSINLMWKLEKEILDTCSDFIVQSVTTILTEYPANLQSQLGWKTVMHLLSVTGRHPETYEQGVEALINLMSDGFHISRLNYPYCIDCAFGFVALKNSPLEKNMKIMDLMSDTVNLLVQWYKSGYTDPGSSTSINSSASSCSLEESSKALSSSNLTVTYFAKLGEAFRKTSLARREEIRNHAVMSLQKSFALGEELYFSPANILSCFNLILFAMVDDLHEKMLEYSKRGNAEREARSMEGTLKLSMEVLTEVYLQFLKPLSESPSFRAFWMGILRRMDTCMKADLGDCGESKLPHTIPVLLKKMVITMKQKEILVPGDDEDLWEMTHVQIQWIAPSLTEELFSDV >Solyc03g033680.1.1.1 pep chromosome:SL3.0:3:5300860:5301210:1 gene:Solyc03g033680.1 transcript:Solyc03g033680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIEENEDQIAYQAAVNINGHIFKGILYDQGDNHEYNYMNGGDYDSSSGGDPVPRQYNLNITRTATSAANDVAVGGGGVASAMAAEGSSHFLETSLYSSVNTFVAGTQFFPPSRS >Solyc05g007850.2.1 pep chromosome:SL3.0:5:2291564:2295742:1 gene:Solyc05g007850.2 transcript:Solyc05g007850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bacterial spot disease resistance protein 4 [Source:UniProtKB/TrEMBL;Acc:Q6T3R3] MASSSSSSASNSKYYPRWKYVVFLSFRGEDTRKTFTGHLYEGLRNRGINTFQDDKRLEHGDSIPKELLRAIEDSQVALIIFSKNYATSRWCLNELVKIMECKEEENGQTVIPIFYNVDPSHVRYQTESFGAAFAKHESKYKDDVEGMQKVQRWRTALTAAANLKGYDIRNGIESENIQQIVDCISSKFCTNAYSLSFLQDIVGINAHLEKLKSKLQIEINDVRILGIWGIGGVGKTRIAKAIFDTLSYQFEASCFLADVKEFAKKNKLHSLQNILLSELLRKKNDYVYNKYDGKCMIPNRLCSLKVLIVLDDIDHGDQMEYLAGDICWFGNGSRVIVTTRNKHLIEKDDAIYEVSTLPDHEAMQLFNMHAFKKEVPNEDFKELALEIVNHAKGLPLALKVWGCLLHKKNLSLWKITVEQIKKDSNSEIVEQLKISYDGLESEEQEIFLDIACFFRGEKRKEVMQILKSCDFGAEYGLDVLINKSLVFISENDRIEMHDLIRDMGRYVVKMQKLQKKRSRIWDVEDFKEVMIDYTGTMTVEAIWFSCFEEVRFNKEAMKKMKRLRILHIFDGFVKFFSSPPSSNSNDSEEEDDSYDLVVDHHDDSIEYLSNNLRWLVWNHYSWKSLPENFKPEKLVHLELRWSSLHYLWKKTEHLPSLRKLDLSLSKSLVQTPDFTGMPNLEYLNLEYCSKLEEVHYSLAYCEKLIELNLSWCTKLRRFPYINMESLESLDLQYCYGIMVFPEIIGTMKPELMILSANTMITELPSSLQYPTHLTELDLSGMENLEALPSSIVKLKDLVKLNVSYCLTLKSLPEEIGDLENLEELDASRTLISQPPSSIVRLNKLKSLKLMKRNTLTDDVCFVFPPVNNGLLSLEILELGSSNFEDGRIPEDIGCLSSLKELRLEGDNFNHLPQSIAQLGALRFLYIKDCRSLTSLPEFPPQLDTIFADWSNDLICKSLFLNISSFQHNISASDSLSLRVFTSLGSSIPIWFHHQGTDTSVSVNLPENWYVSDNFLGFAVCYYGNLTENTAELIMSSAGMPCITWKLLLSNHSECTYIRIHFFLVPFAGLWDTSNANGKTPNDYKHIMLSFPQELKECGVRLFYEDESVLETTNDELTIGVRRIRYDDDDSEHYEEAGCSSSKKQRS >Solyc12g089250.1.1.1 pep chromosome:SL3.0:12:65341920:65343632:1 gene:Solyc12g089250.1 transcript:Solyc12g089250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTSTSNNILFFIHPHIFLLLLLLLLCQWWSFASAAAAAPSSQGGSWNVLLSDIGISAMHMQLLNNDRVVMFDRTDFGMSNISLPDGKCRYDQNDTTLKVDCTAHSVEYNVASNSIRPLMVQTDVWCSSGSVFPDGSLVQTGGFNDGDKVVRVYKPCSNSTTNSTCDWEEIQGGLIQRRWYSTNHILPDGRQIIIGGRRAFNYEFYPKSASANNSYSLPFLVKTNDPNKIENNLYPFVFLNVDGNLFIFANNRAILFDYMKNVVLKNYPEIPGGDPRNYPSTGSAILLPLKNLQAQEIQAEVLVCGGAPKGSYTSALNGNFSGALSTCGRITITDPNPQWIMETMPLARTMGDMVILPNGNVLIINGAATGTAGWECGRDPVLKPVIYRPDNPFDSRFEIQNPSTIPRMYHSTAVLLRDGRVLVGGSNPHIYYNFTGVFFPTELSLEAFSPPYLDSVSEYLRPQITSPASQHAIGYGQRVPIRFKVAGRVDINLVTVTMVAPGFNTHSFTMNQRLLVLVSENVKLIGKNAYQINVVTPNNAKLAPPGYYLLFVVHQDIPSEGIWVKIQ >Solyc06g034330.3.1.1 pep chromosome:SL3.0:6:24151732:24170548:1 gene:Solyc06g034330.3 transcript:Solyc06g034330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLNCSDESLGCC >Solyc08g041800.3.1 pep chromosome:SL3.0:8:25789960:25791513:-1 gene:Solyc08g041800.3 transcript:Solyc08g041800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKITVENVGYFLGSQLGSDADSREHESVKDHICQLTHGASCANARLIVWTTSLSTKKGNKKKGLFDCLSHRWDSTKKGVFNFLDRRWGGVLTARNLDPYFPANAQTRFAAYCNSLEGPSNKEEPRFGMEATAKTEGRTGPMNVPLLGWIQMAM >Solyc06g063430.2.1 pep chromosome:SL3.0:6:40224105:40227524:-1 gene:Solyc06g063430.2 transcript:Solyc06g063430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNGGVPPGFRFHPTEEELLHYYLKKKLSFHKFDMEVIREIDLNKIEPWELQDRCNIGSTPQNEWYFFSHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSFKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDADQNQNEDGWVICRVFKKKNLFKITGNEAASSSHHHQQPTNNFTHKDNNHDHSPYLLHQLPQHHDQHHDLNYSQIPVATTLAPHEYYSHNNNNIEVAQNLIKSSSLGYHQHHHPHEFSSSGADQSAPCESGLEVASSNDHQWGINIDSSKVALGMGFESNQINHQLPMRGEMDFWSYGK >Solyc09g074320.3.1 pep chromosome:SL3.0:9:66520997:66535766:1 gene:Solyc09g074320.3 transcript:Solyc09g074320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CV09] MGSKPWLHPAPTYRLLETFWDTDDDAPGPRCGHTLTAVAATKTHGPRLILFGGATAIEGGNGAAPGIRLAGVTNCIHSYDVLTRKWTRLRPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDMTNDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYAWQRLNPEGDRPSARMYATASARTDGMFLLCGGRDASGTPLVDAYGLLMHRNGQWEWTLAPGVAPSSRYQHAAVFVGARLHVTGGALRGGRGVEGEAAIAVLDTAAGVWLDRHGLVTASRGSKGNNEQDPSLELMRRCRHAAASVGVRIYIYGGLRGDTLLDECLVAENSPLHSDINSPVLASERAIGIPMSNNSNLFIDPDGKPEIHPSGGLSMDKDSMEKLTKDFAAEAEAANAVWQLAQAASAIPEVTSVSDESSQVPDTASEGSDNESAVRLHPRAVVVAKETVGNLGGMVRQLSLDQFENESRRMVPSYNDISNPTKKFARQKSPQGLHKKIISTLLRPRNWKAPVNRKFFLDSYEVGELCYAAEQIFMHEPTVLQLKAPVKVFGDLHGQFGDLMRLFDEYGFPSTAGDMTYIDYLFLGDYVDRGQHSLETITLLLALKIEYPDNVHLIRGNHEAADINALFGFRIECIERMGESDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSINSVEQIEKIERGPGLVTFGPDRVTEFCKRNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLLSPETSPERVMDDTWMQELNIQRPPTPTRGRPQPDHDRSSLAYI >Solyc05g054730.3.1 pep chromosome:SL3.0:5:65432915:65438409:1 gene:Solyc05g054730.3 transcript:Solyc05g054730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLELVPPDGDHGGECGGEEQLLLMETNNVDRSWRLNFDELRLSSENKEKPLPHGLHDCLGVLCQEDNIAVYYQQQVEMLEGFNEMDALADRGFVPGMSKEEREKLARSETTAIRISNIANMVLFAAKVYASVKSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESMRTLISDESNFSLTKEQERWVIGIMVFVTLVKLVLVLYCRSFTNEIVKAYAQDHFFDVITNVIGLVAALLANYFSGWIDPVGAMILALYTIRTWSMTVLENVNSLVGKAAAPEYLQKLTYLCWNHHKAIKHIDTVRAYTFGSHYFVEVDIVLPADMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYSHKPEHAQAYL >Solyc12g014397.1.1 pep chromosome:SL3.0:12:5305504:5310772:1 gene:Solyc12g014397.1 transcript:Solyc12g014397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNWIMSDSSVEYRTGLPSYWWERRGTEKMEIVSIYPVLSPPNLTPAQSNRVCNALALLQLIPCAVFLTHVSSTICKVMSTVSCHCVASHPDTRMLFLNGLRREVLNPYVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVGALAEQPSSRLLKHIIRCYLRLSDNPSFVMYKISGLMPRLVDAFELMLMCIVYVRACDALRNGLPDMLRDNTFSTCLRDDPTTRRWLQQLINNVNGARVALQAGGFDHMLMN >Solyc08g078270.3.1 pep chromosome:SL3.0:8:62207269:62211732:-1 gene:Solyc08g078270.3 transcript:Solyc08g078270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYIEEEKGGGLETEKEEVVVGGVIEKAEKSSKVLCSSGISGKNAIVVLDVRRVMVGVGARALFYPTLLYNVVRNKIQLEFRWWDWIDEFVLLGAVPFQSDVKRLKELGVSGVVTLNEPYETLVPTSLYEAHGIRHLVLPTRDYLFAPSLNNICQAVEFIHENASNGQSTYVHCKAGRGRSTTIVLCYLVKYKQMTPNDAYNYVKSIRPRVLLASTQRQAVQDFYHLMVKKSYSSTPLTSLIPRSSIFSRRNLLAFDDGAVVVITETDLDGYNSSLDSRVAGSELWADLNLIYRVRVAGGAALARLSCMWLHCHTDQKIPNQKLTPESKQLESFTVDIHVFS >Solyc06g065655.1.1 pep chromosome:SL3.0:6:41184453:41187522:-1 gene:Solyc06g065655.1 transcript:Solyc06g065655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCHTWSANDAFACLNWITLLSLQVTIEFPYVFIQTLIYSAIFYFMASFEWNIWKFVWYIYFMYFTLLYFTLFGMMTTSVSPNHNIAAILAAPFYMMWNLFSGFMISRMRIPIYWRWYYWANPVAWSLYGLLTSQYGEVNEHLTLADGVHTVSIKRFIKEQFGYRQEFLGTAGVAVIGFCIIFAVTFAFAIKFFNFQRR >Solyc07g005490.3.1 pep chromosome:SL3.0:7:392167:394018:1 gene:Solyc07g005490.3 transcript:Solyc07g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKVQVETKFFILQGCNWRTLGFGWSSRRCIRYSSSD >Solyc07g045320.3.1 pep chromosome:SL3.0:7:58547047:58552003:1 gene:Solyc07g045320.3 transcript:Solyc07g045320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSRKRKRYNLEYRASDDDSWYSVRLVMKGQTMTVKYEGYTQEYDEVFHAGDFKSKEEVDELVKRFRSVSPQMQDSECGSLKEGMIVCVGCNAFGGEDMLFYDAVIEAIHNVDHSFCNGEEECLCTFVISWFHGPKKGYLTETGIEGMCILKGVAQVGPKIASFLKLVNRNLGKSSCMLIAASRYEVSASEGSSCVNGGSNLSMISSSEGINNVKSIVAVTTGATNSKYSRLLEDDKDLGGQCPSSHYMFIENFERNLLPSSFRDFIYEHTSVPSQAYILPCPFMPYARGIIVVDSEDKRQKILQFLDNPAHLIVSSTGRPLVITERNLRHGMIKMWSGSYEPQDMCLVMDKDLMVVHSGSEAYERAKKLKDLFLEFTSHQRLLYKKFSVEEMMLLQTQQQFNQIIHV >Solyc06g007940.2.1 pep chromosome:SL3.0:6:1805282:1809534:-1 gene:Solyc06g007940.2 transcript:Solyc06g007940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDKHHHHQMPLTKSASRQRYNEWVFRDVPSDITIEVDGAIFSLHKFPLVSRSGRIRRLVAEHRDSDISRIELVSLPGGSESFELAAKFCYGVNFEITSSNVAQLCCVSDYLEMSEDHSKNNLGSRAEEYLDSIVCKNLEMCVEVLRQSENLLPLADELKIITRCIDAVASKACVEQIASSFSRLEYSSSGRLHMSKQANCEGDWWIEDLSVLRIDLYQRVITAMKFRGVRPESIAASLVSYAQKELIQKSISGSKEKLVVETIVSLMPVEKFVVPLSFLFGLLRSAVMLDCNAACRLDLERRIGSQLDIATLDDILIPSFRHAGDTMFDVDTVHRILVNFSQQEGDSDDEMEDVSVFESDSPTATPSQTALFKVSKLVDNYLAEIAPDANLKLNKFIAIAETLPAHARTVHDGLYRAIDVYLKAHLTLSDPDKRRLCKSIDFQKLSQDAGAHAAQNERLPLQSIVQVLYFEQLRLRNALFCSYPDDDIKPMHQSWRINSGALSAAMSPKDNYASLRRENRELKLELARMRMRLNDLEKDHVCMKRDMQKSSSRRFMKSFSKRIGKKFNIFGHSSSRESTNSPSRHSERTDSKITDRT >Solyc07g014707.1.1 pep chromosome:SL3.0:7:5156138:5174330:1 gene:Solyc07g014707.1 transcript:Solyc07g014707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSWNPRLDKRGNRIILKWSVYRVEIIVLIVPFTTIDDCTGISSLKKFLHAKFHIKDLSKNEIFLSQRKYVFYLLAETRYRRLVGKLNYLTMTCPDITYAVSIISQFMSIQTVKYWAALEHIFIGQDQEFIENRLLDIAPFWIGKMHQSTNTDGSLFVYEWSRYPIYDITHFRSLIGALQYLAITHPDIQFAINRVAQRMHQPSEHNYHCLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLGPNLISWCTKKQPKVSRSSTEAEYRALALLAAET >Solyc08g062500.3.1 pep chromosome:SL3.0:8:51220772:51229510:-1 gene:Solyc08g062500.3 transcript:Solyc08g062500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWKNNSGDLNGGRFLRDIEEISKALYVHKSPQKALTFQADNGHDSVGDIHVSKYSSSIADYMLHKQKKSSIWSWKPLKVLTHILHRRFSCCFFLHVHSIKGLPVNFKDLSLCVNWKRKGEVMSTRPAQICQGTAEFEETLMHSCSVYGSRTGHQHSAKYEPKYFMLYVSVIGAPALDIGKHCVDLTRLLPITMEELEEGRRNSGKWTTSFKLSGKAKGAILNVSFGFTVSGSNSIEPSPFVRGIKPAAIDHLSERDGAGANRSLRRVGSVPCEPAGMAHSSSRSLDARSFNEVLSDQKSELSRSISFLYTKLEDGKLGKLDDTDFLFEYLAPLKPNSGALSQFSAENTIDDQDIEFSVSELGIESSTKEQVNPEVCSYENCDDTQIETADVAYILEERSNEKSEYKQKCESNDVYEGEHTMKSSNYEENDVCKDEIFEELESVFLDLLTAESAELDSPVEMYESIDQESYMNLKSSYKSSRRVKSLSLDDLTESVANDFLEMLNIEQTSVDLSSDSCLGSPRECLLRQFEKETLSSRNSSFDFDTTDNQVEFSGIASSVHGKVACSDDFDLSSVIKDFEKEHKRGTQSLRSKRNAKMIENLETEALMQDWGLNEKAFQNSPRISFGGFGSPIYLSPERPLKFPPIGEGLGSKMCTRNGGFLCSMSPQLFRSARNGARLIMQFASPVVLPATMGTCSVMEILSCWASGGISKMSAQADKLMPLEDITGRNIQEIAWEAGSRLEQDERFTFWHGLLGMKKGSEDLLFHQSSGHLNSTSMIDNVDLGFVFMEDLAPLAMGKIESLIIEGLRIQSNLSDNEAPSSIRPQFSEVLSSYTASASKHWCGKESDDDEGALVELSVSLDEWLRLDAGDFSNNPDETKERITKILAAHSAKSVDLDSSGLETGEERPELCNNLTLALRVQLRDPLRDYEMVGISMLILIQLERSYAPVEQNTSGRASERNSSSENDPKEQSIQEEIIFRESEAGIHRQAVSQFKITEIHVAGFNNGLNDDQIWGTKSQQQAGSRWLLSSGMGRTSKHPFSNSNAIIRSSSQLRRNMLPRDVLWSISSDFHTRDSKLAASNTHIRNADIIFPSEGRPNISQLGIKL >Solyc03g006210.2.1 pep chromosome:SL3.0:3:837264:839389:-1 gene:Solyc03g006210.2 transcript:Solyc03g006210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLEWKTQFTLLFMIVGMYASQVTCSQDSTSMVEKHESWMARHGRTYKNDIEKAKRLNIFTKNVKFIESFNNNDSKSYKLGINKFTDLTSEEFMRYYTTNHGLNSKFSSIKSQKLSPTTISSFKYENISDVPSEMDWRKSGVVTSIKDQGQCGCCWAFSAVAALEGANKLSTGKLISLSEQQLLDCSTENNGCNGGLITMAYDYIVKNNGIAEESSYPYEENQDSCKIQDSIVKMSSYETLPPSNEPMLLTAVARQPISVGIAVNEEFKLYKSGVYDGNCGDEVNHAVTIIGYGTSNENGTKYWLIKNSWGSSWGENGYMKIARDIGNNDGLCRIATMASYPIV >Solyc01g010000.3.1 pep chromosome:SL3.0:1:4548281:4551904:-1 gene:Solyc01g010000.3 transcript:Solyc01g010000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYWSPSFSDDEFKKLVLRMNPPRVTVDNTSDQKTTLIKVDSANKRGSLLEVVQVLSDLNLLIKRAYISSDGEWFMDVFHVTDRYGKKLYEDNVADRIQQSLGQRGGRSFRSLERSVGVQSASEHTTIELTGRDRPGLLSEIFAVLADHKCNVVAAEVWTHNSRMASVVYITDEESRLAITDPERLANIRQLMLYVLKGDRDMWGANTAVSVGSTHTGRRLHQMMYADRDYDKDDTDCVSVDNKKPLVTVERCADKGYTVVTLRCPDRPKLLFDAVCTLTDMQFVVYHATIIAEGPDAYQEYYIRHMDGYPISSEAERQRVIHCLEAAIKRRTSAGIRLELCGDDRIGLLSDVTRIFREYGLSVSRAEVMTRGTQAVNAFYVTDTSGGPVKNETIEAVRKEIGVTLLQVTDDLYSGAPPQQTSRFSLGNILRSRSEKFLYNLGLTKSYS >Solyc06g007457.1.1 pep chromosome:SL3.0:6:1461609:1464639:-1 gene:Solyc06g007457.1 transcript:Solyc06g007457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDMVPTAMFTSNSGPGGHKPRRSYNPNALCDYCNIKGHMRSDCNKLLKCDFCHKTGHLKSNCYKLIGYPAEYKGKRDTIVARNSIYNAGHVSQQYQCDKTETVQSSYNSQMPQMMQSSFSNKMQLQPPSVSSSHNNESQMPSSHYNSQMPLFTPLQHQKLLKMLNQTKLEDISGTSNMTDSTSSTVCQDSGSPSSQNCEDCVNDLSQVSCDITPPPLRKSSRNSRPPIWHKDYVVTAGSKKCNYSLASVLDYEGLSPTYQSFVSKFSVETEPSRNDSNMIHETKAALQHVFKIKDLGELRYFLGLEFARSDSGILIHQRKYTLELLADMGLSGAKPSINTYGNEFKTHFHRI >Solyc08g016215.1.1 pep chromosome:SL3.0:8:7097259:7098283:-1 gene:Solyc08g016215.1 transcript:Solyc08g016215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVKFLLFVFFLILIMANGCWEEERSALLDLQASIISSNSELLVDWAGYNNSNGFTDCCFWKRVKCSLETGRVIKLNLKTKFGRGDGWRFNASLFLPFKSLQVLVLSSRNIIGWTKNEGFSKLRQLPNLKEVDLQYNSIHPQVLLSSLCSISSLEVLKLGVDVDTSFSIPTPYNSTSMKSKKCGGGLSNLRELWFEG >Solyc12g010170.2.1 pep chromosome:SL3.0:12:3285768:3293132:-1 gene:Solyc12g010170.2 transcript:Solyc12g010170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMNSFQSTGENGASSGEHMSHSHFDPSSSHDDFLQQILSSVPSSSPWPEISGDGHPYNFDDHQSTLLASKLRQHQINGGTSAAAAAKALMLQQQLLLSRGIAGNGGSGINGDQNDDGLNSGNDISVQALYNGFAGSLGQTSNQSQHFHHSQAQSFGAPAASLSMNQTPAASGSAGGAQPKQQKVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNANKTDKASMLDEIIDYVRFLQLQVKVLSMSRLGGAAAVAPLVADRSSEGGGDCVQGNVGRGGSNGTTSSANNDSSMTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTSTCHSMKPNNPLLLAGGSAINGVGETGGGPSSPTLSASTVQSATMGNGGT >Solyc08g047960.1.1.1 pep chromosome:SL3.0:8:15395022:15395252:-1 gene:Solyc08g047960.1 transcript:Solyc08g047960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFYSQFLYSSYFILEYSDNRLPIQPDNLCSSVDESDTNKSIYIMNKVIVMSHNIVDYLFENMMGEQLPQISNVL >Solyc02g069860.3.1 pep chromosome:SL3.0:2:40245542:40249147:-1 gene:Solyc02g069860.3 transcript:Solyc02g069860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNVSDLISQVCYFGDSVASSIMTSSLHYHSDGSENEQSENQSEAHSESSSPAAGMSVPGTVTTNMHYVMPNQLGNGNPMIALLNTLGSFRLFEKAIGEYEPKKRILMHQVYWPRVSYKAQTAYPYPDPYYRSIFAPYDPQPYPPQPYPAQPMVHIQLMGIQQAGVPLPSDAIDEPVFVNAKQYHGILRRRQSRAKAESEKKLLKARKYPILQFTGNLDSLFVVHLLIYHFLLLSAK >Solyc07g009230.3.1 pep chromosome:SL3.0:7:4263182:4264706:1 gene:Solyc07g009230.3 transcript:Solyc07g009230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKFNIITLSAILLCFLLMFASETQITEAKHCGKHSKSWNGKCFHKKCNHWCMEKEDAKYGSCSHGDCYCYYHC >Solyc10g012350.2.1 pep chromosome:SL3.0:10:4961817:4963429:-1 gene:Solyc10g012350.2 transcript:Solyc10g012350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIVATIQVLLEKLLSLTIEKVRPDCNKHLRRMTQNVSIIQDFIHDAQRRQVDDEDQANVLDKFLYESLQEQVQNSPMRKLSKDLGLQSLIVPSQQIVPMIRETDFLVGSLDVVGRDNNVAEIKEKMLNMREEVVLCAIPIVGILESLTHRKLEVHTRDIIVKKFRDELGGKIYLLVLDDLWSFDLPVWDEFIDSLRGVNTSRGNCILVMTRMKLEASTVATVGLHMFEKLANDHCLPFGASVLGGLLRNKEKHEWWTILDGNPIVAEGFLHPYQETTVIEDVGHNFLQILLQNSLLQYVELEEHNNIKYYKMHDFDGDILKSKLFDSKGNDEEKLSQVGYIGWVSPSDKMDMINESGRLCTLF >Solyc08g082340.3.1 pep chromosome:SL3.0:8:65279515:65286555:1 gene:Solyc08g082340.3 transcript:Solyc08g082340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKSSSSELDFDRPNLEDYLPTGSIQEPHGKLRLRDLLDISPTLTEAAGAIIDDSFTRCFKSNPPEPWNWNIYLFPLWCLGVVVRYGVLFPIRVIVLTIGWIIFLSCYIPVHLLLKGHDKFRKKLERCLVELICSFFVASWTGVVKYHGPRPSIRPKQVFVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILEGVGCIWFNRSEAKDREIVAKKLRQHVEGADNNPLLIFPEGTCVNNHYTVMFKKGAFELGCTVCPVAIKYNKIFVDAFWNSKKQSFTTHLLQLMTSWAVVCDVWYLEPQNIRPGETPIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRRLEEK >Solyc07g008470.3.1 pep chromosome:SL3.0:7:3375465:3379332:-1 gene:Solyc07g008470.3 transcript:Solyc07g008470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLNLFTNLPVDAVIASDILKDATKAVAKIIGKPESYVMILLNGGVPIAFAGTEAPAAYGELISIGGLGPSVNGKLSSTIAEILQTKLSIDSDRFYIKFYDSPRPFFGFNGSTF >Solyc01g016413.1.1 pep chromosome:SL3.0:1:19555249:19561276:1 gene:Solyc01g016413.1 transcript:Solyc01g016413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPKRAKTDVIEGHGGRIIRATGRKDRHSKVSTAKGPKDRRVRLSPNTAIQFYDVQDRLGYDRPSKAIDWLIKEAKAAIDALATTLARLSIIVKLGFNTMYNATRNQQQKQESSCRNKIHQQQKQESSCRNKIGQQQKQDSSCKNKIVQQQKQESRLQAVETTLSCYKTHSNLKNTTKNNELVVFPDELQQHLHNRASTTSFSNNFTYELHQQLHRQLFTNELHQQLHQQASPTSFTNSFTNKFHFSHQNLQKFLSHAPSPTKISLTLL >Solyc10g018903.1.1 pep chromosome:SL3.0:10:10830033:10832646:1 gene:Solyc10g018903.1 transcript:Solyc10g018903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLFLPLWATTGLHIHFHLNPKYYSSNFSLIPSLGLDGGCDESTSLRIRFEVSLIGYLSGDSDYEMAIQRLLCNLALLDDIIKLKRFEPLILISLIEILMHINTNGPMGARVPRTFGIFGLLICRLHKLKKIIQHQFSCYKIARPIVILSKDHVTKLTEQTTPNFNSNFTSLPWEELNSIIASDETSLYVSMQQQSTMSSTEDKVQQELHYRSPAFRLAVIPFPKVLTKPPGSRILRYRRKRFLATIKIIVGRA >Solyc12g035905.1.1 pep chromosome:SL3.0:12:44541552:44541872:-1 gene:Solyc12g035905.1 transcript:Solyc12g035905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGIVELHSSAMRKDAPKKLNTVSLYWMHPALLLRSNRSLLVLLWWSFFTLSLIWTGALVDRGRE >Solyc01g101140.3.1 pep chromosome:SL3.0:1:90865085:90870706:1 gene:Solyc01g101140.3 transcript:Solyc01g101140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAGGNGNGGGGGPQPSGAAEALYSAARISVWWDIENCQVPRGSDPHAIAQNISSALVNMNYCGPVSISAYGDTTKIASSVQQALNSTGIGLNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASVPLVAAAKTVWLWTSLSSGGPPLTNSESSQLVNSSYGSLPTLDTLSTSSSDSSLINQLDTFHENPQMKFNNLGRGTDIKQKGKPVRRSVNQPNISRTSSGTQENHSAANFHQPGYGYPKQFNDSVELPGAHNSRTPFSGPVPSGVPGNPEASWTNGNNSQNNYQNNYPPGRPNNLPVSPIIPPGNFLPPNSHVHHSHSMPPRSDAIGYTSGPQISMPDMGKLKVNENSTNGHNRPFSQTWNGESRQPPNIENSYTNTNGPHKGHNLQKKTPFYVEKEVNRHPHSSPEIPPPSSIANSSNGPLNGVWGAPGCPKPSDYVQGLIGVVLLALNTLKTEKIMPTEANISDCIKYGDPKHRNTDVKKALASAVEQQLVVTRNLGALQLYVGKNENLWRCVNPIGGNMKQYPKEAWDDLQKFLSSSAGRAAISATQCRFEAATVIKKMCLKELALGEILQILHMVVNMKKWLVQPQSGWQPIKFTLAEIVPDSGVVAAT >Solyc07g006970.3.1 pep chromosome:SL3.0:7:1783293:1787487:-1 gene:Solyc07g006970.3 transcript:Solyc07g006970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IFVLNDFSIVKYLLFKSIKVKKKKKEGNVHNINKKIFNKISLFLIQSFIIIIIIFKKLKMAGGGIGSTGVNKGRAENYNGKLTLYVIIACIVAAVGGSLFGYDIGISGGVTSMDEFLRRFFYSVYLKKQHVHEDNYCKYNNQVLAAFTSSLYMAGLVASLVASPITRNYGRRASIICGGISFFIGAVLNAAAVNLGMLLSGRIMLGVGIGFGNQAVPLYLSEMAPAHLRGCLNMMFQLATTLGIFTANMINYGTSKLHPWGWRLSLGLAAAPAFVMTVGGMLLPETPNSLIEQGNKTKGRHVLERIRGTENVDAEFEDMVDASELARSVKHPFRNILKRRNRPQLIMAILMPTFQILTGINIILFYAPVLFQSMGFKRAASLYSSALTGAVLASSTLLSMATVDRWGRRVLLITGGIQMIICQVIVAIILGLKFGSDKELSRGYSIIVVVFICLFVAAFGYSWGPLGWTVPSEIFPLETRSAGQSITVTVNLFFTFAIAQSFLSLLCAMRFGIFLFFSCWIAVMTIFIYLFLPETKGVPIEEMMRLWEKHWFWKKIVSEDQQVKNTNGLNHA >Solyc04g009790.3.1 pep chromosome:SL3.0:4:3089330:3094277:-1 gene:Solyc04g009790.3 transcript:Solyc04g009790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQDIECPLIIPKSRNEESKNERKCDDFLEEVKKILALAGPLMSVNFLLYCLQVISVMFVGHLGELALSGASMATSFATVTGFSLLMGMGSALDTICGQAYGAKRYHMLGIHMQRAMIVLLFVSIPLAFIWANAGHILEILGQSSEIAAEAGDYARFMIPSIFAYALLQCQMRFLQAQNDVLPMMLTAGATTLLHLFTCWFLVLKTGLGNKGAALANAASYWINVFLLAAYIGISPSCRSTWTGFSMVAFTDIPRYLRLAIPSAVMVCLESWSFEMMVLLSGLLSNPKLETSVLSISLNTSSMIYMLPFGLSGATSIRVSNELGAGRPKAARVAAYTALFLATTEGVLVAMFIISVRNFWGKCYSNEEEVVTYVSEMLMFIAGSHFIDANQSVLSGIARGCGWQKIGAIVNLGAYYLWGIPAGIVLAFFYHVGGKAKKAADRVIPELA >Solyc04g079880.3.1 pep chromosome:SL3.0:4:64283081:64286957:1 gene:Solyc04g079880.3 transcript:Solyc04g079880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQETPASAMKSKHFPLTPFRIIRGVICLSVLLSTAFVFLIYFVPVAAVLLRLFSTLYSRKVVALLFGLWLGLWPFLFEKVNETKVVFSGDRVPPRERVLLIANHRTEVDWMYVWNLAYRKGCLGHIKYLLKKSLMKLPVFGWGFYVLEFIPLERDWIVDEPVIKETLSTFTNPQDPLWLTVFPEGTDYRQLLSDEKCKASQKFASQNGLPVLKNVLLPKTKGFYACLEILRSSLDAVYDVTIAYKNQCPTFLDNAFGVDPSEVHIHVRRIPLDKIPEFEKEVSKWLMETFDFKDRLLFDFIANGHFPNEGTEEELSTAKCLTNLVLVMAITGIFIFFTFYSSLWGKFYVLFSCIYIASAAYFNYRPYPIFGSVNEKLNKILRMKSH >Solyc11g018857.1.1 pep chromosome:SL3.0:11:9715291:9725635:1 gene:Solyc11g018857.1 transcript:Solyc11g018857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSREIEPDSNFTAEDFCLQAVVYIEKIQKTQRVPIIVGGSNSYIEKLVEDPLFLFKYKYDSCFIWIDIEQSILNRRVDMRVDQMVEADYTKGIRRSIGEIEPDSDFTAEDFCLQAVVYIEKIQKTERVPIIIGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLNRKVDMRVDQMVKADAYYTKGIRRSISVPEMDKYFREQTNIDGDDDSKNMILQASISSIKRNTRMLICNQLDKIQRLISEKMWSVHHIIATDVFKEDKEEDTDEAWTNTVLQPCLDIVKLNQIQTSQLKIFKTQRFPIIIGGSNSYIEKLVEDPVFMFKYKYDCCFIWIDVEQSVLNRRVDMRVDQMVKADYTKGIRRSIGVPKRDKYLREETNIDGDDESKNMILQASISSIKRNTRMLICNQLDKIQRLISEKIWSVHHIIATDVFKEDKEEDTDEAWTNTVLQPCLDIGSNSYIEKFVEDPVFMFKYKYDSCFIWIDVEKSILNRRVDMRVDQMVKADYTKGIRRSIGVPKRDKYLREETNIDGDDKSKKMILQASISSIKRNTRMLICNQFIKIQQLISEKMWSVHHIIATDVFEEDKEEDIDEAWTNTLLQPCLDIVKRFLKSDHREIEPHSEFTAEVFCLQAVVYIEKIQKTQRVPFIVGGSNSYIEKLVKDPVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKVDYTKGIRRSIGVPEMDKYLREETNIDGDDKSKKMILQALISSIKRNSRMLICNQLDKIQ >Solyc02g091700.3.1 pep chromosome:SL3.0:2:53573900:53576603:-1 gene:Solyc02g091700.3 transcript:Solyc02g091700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNYAPAHSDPSRPSLGFPLGTALLLLIIFSLSGIFSCCYHWDKLRSLRRSFANSTDLEAGGDPSYLKSKRAYMNCKQNQISDMPAVLMPGDQVPKFIALPCPCQPPRPDKVLAEVQQQPSSPMKPPPHTPVRAVAEAEAEDVTFTQIRSTFYL >Solyc04g009680.1.1 pep chromosome:SL3.0:4:3035580:3036579:-1 gene:Solyc04g009680.1 transcript:Solyc04g009680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPIISKKIIKPSSPTPSTQRWHNLSLIDQVVDNLYMPFVFFYSNHQVATIPKHQFSEFLTNSLSKTLASYYPWAGSLINNATIECDDHGAEFFEVEINSSMNEVIHNPDLTFPKGLSWGYLSSSTSGVLIVVQLSHFECGGIALSLCMSHKVGDACSAYFFLRDWARLTREPKLALSPPYFVQDSLMPSIPFDVPLFPLLLSQKRKDVFKRGSFSLNPR >Solyc06g071710.1.1.1 pep chromosome:SL3.0:6:44324982:44325164:1 gene:Solyc06g071710.1 transcript:Solyc06g071710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWTQHPSFKLSTTSMFYSNRCKTHSYQNKEPQKKGIPLNPTLLALVDSIPITCHSIKDN >Solyc02g092140.1.1.1 pep chromosome:SL3.0:2:53969189:53970442:-1 gene:Solyc02g092140.1 transcript:Solyc02g092140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNYADLKLLRKGLDYTRRNRNWVVALGALGVTGYGAYRAYYSPSMVRKRNRFFKLISAFVSLAEMVADSADVIGIVSKDLKEFISSDSDQIPRSLKQISKIGKSDEFSQSIVKVTSALTVGVVRGYQQVTAENDVSGAANSGLFDQVLDKLFTDAGSGFASVIVGSFARNLVLAYCSDKKGNASYSDIEHSVPGWVDVLCQDKCRELIGNCIQLFVSTAVAVYLDRTMNINTYDEIFSGLTNPKHEMKMRDMLVAICSGAIGTFVKTSHQVLTNSDMDTTSEMYSSLPLSFKAKQFLDEDENMHSGWTNKVSSTLAFPRNRRFILDLTGRVTFASVKSFLEFLLEKLYECMRKSIDVVQEEVVTLRTSVDVIQEEVVDKSTEACRYVSGKSSTAVSVCLALCLHILNGPWILVPN >Solyc08g078890.2.1 pep chromosome:SL3.0:8:62692578:62712613:1 gene:Solyc08g078890.2 transcript:Solyc08g078890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKISAMLFICMIFMSMCLLPPTYADQCPCTPPYQRPSPPGGAPHPPHHHPHPPKVLPPIVFPPPVVSPPITHPPGISPPITRPPPGILPPIVNPPGIIPPITRPPGIIPPIINPPGIFPPITNPPGDALKLGLCLDVLGGLVHIGIGNPVEHICCPVLQGLLELEAAICLCTTIRLKLLNLNIFLPLALSVLATCGLTPPPGVCANVLNGPVGAVIGTPPDPHCCMVLGGLLDLEAAVCLCTALKANILGININIPIALSLLINTCGKTLPSDFICA >Solyc11g012455.1.1 pep chromosome:SL3.0:11:5294292:5297588:1 gene:Solyc11g012455.1 transcript:Solyc11g012455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGLKSRSKLLTTHTNHDIERNGQRLIEKASLVTPPPTPTTYLLVFIARSEVKTVIDKAHALSIIEPKLGQSTSSDKVLILGREEMENYNITVRYLSKTSNTVFAFKRSAKEAREVRGGKDGALDERQLISLVLFYKLSVRGLNKIGKSYPVVQRLEEV >Solyc02g065260.3.1 pep chromosome:SL3.0:2:37005005:37007296:1 gene:Solyc02g065260.3 transcript:Solyc02g065260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDSTAKIRPIHDPSSVYYLHPSEGPSNSLTKYLLKGDNFDVWERAVCNALEGRSKIGFLYEKGFPKPTNELELDAWKANNSIICSWIFNSADETIQPSIVSHKIAHELWTDITARYGGTNAPKSWQLKSDLQMLRQKGQFVVSYYNQFITIWNQLYGSIDPTYGCICPAAAKMRLRFEEEKTNAFLLGLDDTQFGSTRSQIFGTRPLPVLNEAYYLVSQEERHKSIVRNRDEQTDGLAFAVETQPTPPPKYKCTHCGKNGHSTESGGMAAHADSPNSPAVTTCSSQGGNFPGLSTEQMTRLLHMLDTPTQSGNNTGTVHALSPDWLIDSGASHHMTGNFSSLYDIIPVPECSIGLPDGTRVVANYCGSVQISVNLILKNVLFVPNLKCNLISVGCLIKSNKCCVIFDDCCCVLQDRVSTMEIGRGTARNGVYVFQSQAFVSASRVDQVELLHKRLGTPQQNGRVERKHRHILNVARSLMFQASLPVEFWGECVRTAVYLINRTPSRVLGGKSPFEMLNTTPPNIPLACFRVYLFYPQYPASTQQIRPSQYKMYVPRLSFRDERLAGIRFGNSSLLSYA >Solyc03g121745.1.1 pep chromosome:SL3.0:3:71297413:71297738:-1 gene:Solyc03g121745.1 transcript:Solyc03g121745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVYFYKKKMGHHTCCNKQKVKRGLWSPEEDEKLINYITTYGHACWSSVPKLAGFILFLFLFFFWVSLYHNVE >Solyc01g111130.3.1 pep chromosome:SL3.0:1:97412807:97418621:-1 gene:Solyc01g111130.3 transcript:Solyc01g111130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFNHGGLYQSNQLPNHCLTELNQLPSDVSTPPNGLLSESSSKQKPEAELKDSIAARKVQKADREKLRRDRLNEQFMELGKTLDPDRPKNDKASILSDTVQILKDLTAQVSRLKSEYAALTDESRELTQEKNDLREEKASLKSDIESLNAQYQQRMRTMYPWAGMDHSMVMHPPSYPYPMPVPIPTGPVPMHPPLQPYPFFGNHNPAVVPNPSSFVQYMTPNTLIEQQPTQYMSPIIQPGSMTRQESRNKSSDQGESRIEKSEDSNEVATDLELKTPGSTSEQDLSSGQKKSRKLPRKDNSFTDGSSSSKCSSSHSVHAVSSNSVVRGTKTGD >Solyc07g026620.3.1.1 pep chromosome:SL3.0:7:29722594:29727015:1 gene:Solyc07g026620.3 transcript:Solyc07g026620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLQFVGKEMFNFSLNGMSSEIYNFSFNKSIHLYMLNRIAMCLFMMFRNVSFSLWHDLPSCVPHNLNTTLSQK >Solyc05g051300.1.1.1 pep chromosome:SL3.0:5:62441760:62442188:1 gene:Solyc05g051300.1 transcript:Solyc05g051300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSAEEDPDSFYSDTDAIIRGGGYDDSGSDESMISEPNMSSSSSSDDDDDFQLQKQILTDVDGSGDGIDDLTTSSCASSNWFMNDNDNNNNDTNNQGEVRIFNVDFRALSSKVNSEIEELNLKFGGKRVRDERMKMKMKKF >Solyc08g076310.3.1 pep chromosome:SL3.0:8:60392117:60400374:-1 gene:Solyc08g076310.3 transcript:Solyc08g076310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSDIGVSAIINILGAFAFLLAFALLRLQPINDRVYFPKWYINGKRSAPRHVGNFVGKFVNLNFKTYLTFLNWMPQAMQMSEAQIIEHAGLDSAVFLRIYTLGLKIFLPTALVALLVLIPVNVSDGILFFLSKDLVVSDIDKLSISNIKPKSLKFFVHIAMEYFFTFWTCFMLYKEYGRVATMRLKFLASQDRHAEQFTVLVRNVPYESKRTITDSVENFFKKNHPDHYLCHQAVYNANKFAKLVRRRGRLQNWLDYYQLKFERNPEKRPLTKKGFLGLWGERVDSIEYYKQQLKEFDRRLTMERESILKDSKSIMPAAFVSFNSRCGAAVCAQTQQSKNPTLWLTNWAPEPRDIYWRNLSISFFSLTLRKLLISVAVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPLIEWKVIKSFLQGFLPGLALKVFLFVLPAILMFMSKIEGHVALSVLERRTAAKYYYFMLVNVFLGSIVAGTAFQQLHAFLHESATQIPRNIGVSIPMKATFFMTYIMVDGWAGIAGEILRLKPLVIFHLKNMFLVKTERDVERAMDPGSIDFPETLPSLQLYFLLGIVYAVVTPILLPFILIFFAFAYLVYRHQVINVYNQRYESCAAFWPHVHGRIIASLVISQLLLMGLLSTKKAAKSTPFLVVLPVLTLTFHKYCKSRFEPAFRKYPIEEAMEKDLQDRSSESDANLKAYLADAYLHPIFHSFEEIELEDVKIDKKPPPHSPSPPLSELSSPSPTHDAKDLKEVEPSGTSQTSHNVQHYEVGQPGDLFHYEYEQTSHVYHYDYQSQYHHDSQYQHSGYHY >Solyc02g066810.1.1.1 pep chromosome:SL3.0:2:37538884:37539057:-1 gene:Solyc02g066810.1 transcript:Solyc02g066810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAKNDVFELLELKFNRSKEEIRNGNMKVVEDDARGEDDVYQLTAETVTFSNAID >Solyc03g007990.2.1.1 pep chromosome:SL3.0:3:2469194:2479077:1 gene:Solyc03g007990.2 transcript:Solyc03g007990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCKACGKLGRMVSDGPMSAYQSSLLQSPVVSVWDCIVRKIRYSFRPEFV >Solyc04g008410.2.1 pep chromosome:SL3.0:4:2031722:2036206:-1 gene:Solyc04g008410.2 transcript:Solyc04g008410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLQKLNFRMTAFPYSLLRLFAVNGDYIVFRHTSQSDRSSKNVNRSVGFFWGNIEIQDVVNRTVFAQTAPFSTIAGTILVQAQDLGKMSEEFENDIDENKLDNAWAVYERHMQMEGFPRKSIVNKLLAASAESSDLGQLDRAYGLVEQAFEKNKHDLLERNTLIYLSLAFAKCGSPIPASTLLRKLVEAEKYPPVSAWSAILAYMSQTSTGSYLAVELVLEIGYLFQDGRVDSRKKSNEFLLFMKPNATCFNIALAGCLLFGTTRKAEQLLDMMPRINLKADATLLIIMAHIYEKNGRKEELKKLKRDMEEAPNVTEMQFRQFYNCLLSCFLKFGDLESTSRMVLEMLRKAEKAKNSLGIASLLIEVSRSGDTLCSNGLPEDAHLRKPDESGSLVSYEDICRDRKFSKLQTIAKNLLDVLVTKLQKQIEFITTEHGILQPTEKLYVKLVKAFLEAGRTKDLAEFLIKAEKQDSPVSVDDSALVHVINSCISLGWLDQAHDLLDEMRLAGVRTGSSVYASLLKAYCKENRAGEVASLLRDARKAGIQIDASCYEVLIQSRVIQKDSQGALDLFKEMKEAKIPRAGHQEFEKLVKGSAEGGEASLMMTLLHEIKEGQKVDYGVHDWNNVIHFFCKKRLMQDAEKAFKKMRSLGHFPNVQTFHSLVTGYAAIGGKYVEVTELWGEMKSLAFASGMKFDQELLDAVLYTFVRGGFFVRAIEVVQMMEKGNMFIDKYKYRTLFLKYHKTLYKGKAPKFQSETQMKKREAALNFKRWAGLYWDLLLADQDISMVHSY >Solyc01g017670.1.1 pep chromosome:SL3.0:1:24642738:24644451:-1 gene:Solyc01g017670.1 transcript:Solyc01g017670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKIKGRSSWTQKREVHRVTWIRSLT >Solyc03g118090.3.1 pep chromosome:SL3.0:3:68544603:68549895:-1 gene:Solyc03g118090.3 transcript:Solyc03g118090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGAVAEHVNHPVMAAKDPSGCCNPVKKPGPVSVDHVLSALRETKEERDLRMRGLFSFFDSDNVGYLDSVKIGKGLFAMQIPADYKFARELLTECDKNKDGRVDYPEFRKYMDDKELELYRIFQAIDVEHNGCILPEELWDALIKAGIQIDDDELARFVEHVDKDNNGIITFEEWRNFLLLYPHEATLENIYKYWERVCLVDIGEQAVIPEGINKHVHTVKYFLAGGVAGATSRTFTAPLDRLKVVLQVQTNRASIGSTIREIWKDGGFLSFFRGNGLNVMKVAPESAIRFYAYEILKNVIAHTQGEEQGDLGASGRFVAGGMAGAVAQTAIYPMDLVKTRLQTHASEGGKVPNLGKLSKDIWIQEGPRAFYKGLIPSLLGIIPYSGIDLAVYETLKDLSRTYILQDREPGALVQLGCGTISGALGATCVYPLQVIRTRMQAQPTNTEAAYSGMSDVFRRTLQHEGPRGFYKGLFPNLLKVVPAASITYIVYEYMKKNLDLK >Solyc07g018383.1.1 pep chromosome:SL3.0:7:10467635:10475518:1 gene:Solyc07g018383.1 transcript:Solyc07g018383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVRDAERCHHTRIGGGLVKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSSSARKERVGSPFRLSVA >Solyc04g009640.3.1 pep chromosome:SL3.0:4:3013086:3016648:-1 gene:Solyc04g009640.3 transcript:Solyc04g009640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNIMEKHIFLLILAILVQFYFVSSISATISSNETDQEALLAFRNLVTSDSSHFLANNWTKNTSFCSWFGVTCSPKRQRVVALTLPNLQLQGTISPSLANLSFLIELNLANNNLHSEIPDGIGRLPRLRVIDIQNNQLHGSIPTSLFQHGSVQIISLAFNKLGGEMWNGTWYVPELRVLNLRNNTITGVIPPSIGNATKLMNFSLNGNRINGNIPMEIGNLSQLVELSLSRNQLTGSIPSTLFNISSLLVVSLAYNSLSGPLFPDDRRNVLSSNLEHIGVSYNQITGHIPSNICQFTALRVLSISYNNITGEIPRNIGCLAKLEEFYIGYNAINGTIPASLGNISTLQNLHCGSNHMEGELPPELGKLSNLRQINFEENYNLIGEIPNTIFNISSLEFIAFTFNYLSGRIPNLLHLPNLIQLLLANNQLEGEIPRYITNATNLELLELSDNLLTGTIPNDLGNLRELRDLFLHHNQLTELGFFDSLVKCRMLRYVQVGSNPLNDVLPSSIGNLSSTVEYFHIGDAQINGFIPTSTGNMTGLTTLVFQDNSLTGNIPREIRKLKQLQGLFLVNNGLQGDIAEVVCDLSNLVRLALSENELSGVIPECLGNLTMLQQLFLGSNKFESKLPLSFWKMSSLLYLNMSRNSIKGEVPSDIGELKAIVAIDISGNHFSGSIPSNLGELQTLKLLSLSNNSFSGPIPFSFSNLKSLEFLDLSLNNLSGTIPKSFEKLLYLTSINVSFNVLEGEIPSGGVFANSTLQSFSGNKGLCGRQILEVPACAITTPEQQQSKSKKLVLKIVTPMVISFFLIFLLVVSIWIMKRKKKGKSKDVEKVPEMRTYQLISYHEIQRATNNFDESNLIGVGGSGSVYKATLASGIVVAIKVLDLENEEVCKRFDTECEVMRNVRHKNLVSVITTCSSEHIRAFVLQYMPNGSLDNWLYKEDRHLKLRQRVTIMLDVAMAIEYLHHGNDTPIVHCDLKPANVLLDEDMVARVGDFGISKILAVSKSMAHTKTLGTLGYIAPEYGSEGIVSTRGDVYSYGIMLMEVLAKRRPTGEEIFNENLGLREWITRAFPRTMMEVVDADMFHDGEKITSESEICILSMIELALDCTKATPESRITMKDVVKRLNKIKNTFGNIEVN >Solyc01g067143.1.1 pep chromosome:SL3.0:1:75361572:75362735:1 gene:Solyc01g067143.1 transcript:Solyc01g067143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGGANVVNKRSQVADLDDMGAATESNHMDMPRKSSRRVIPPKKLGDYVWKSERSRDKGQNLLQQKDIADMRGLFQEMVGKLVPMGAPQRDPFMDAPAPTLHHKPASVELGRFGGQNPEAWLFQAERYLDFYGIAAAHRLTLASFYLDGEALDWYRWLFRNK >Solyc05g010750.3.1 pep chromosome:SL3.0:5:4945001:4948395:-1 gene:Solyc05g010750.3 transcript:Solyc05g010750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHYKSPLEKHWEEHGKPAMNTMIQKAVEKKAQAEVWAAPHVETVKTKWMPAVKEQWVVMTTNLKPQMELVRTKGFEIYETSKSAVTPHIVKVQELAEPHFQELASHTSIKLPLLQNLMLKKFVLL >Solyc10g019260.2.1 pep chromosome:SL3.0:10:12720308:12722837:1 gene:Solyc10g019260.2 transcript:Solyc10g019260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGEYGVSRGVCGVVMQGRRSSCSYHRYDFDDDFDEIKKGSWKEEEDEVLINHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKNGVKFSGEEERTVIELQGQFGNKWARIATYLSGRTDNDVKNFWSSRQKRLARILRTSQNNTTRTCASPLILEAPKLSSSKEHEESLSKSQSCSSSYIDNSDHMMNQVHVPLEDLVMTPNSIAFEPNMLMHHQLHIRSATTNPNDEKKLGIIESSHIPTHDDDFALPLENQEFSIMPNFIDVLGHGFDNVEIPYVNRQIEKPLTPDSFIDDFPLDMFEHIEPLQSPSQW >Solyc05g014275.1.1 pep chromosome:SL3.0:5:8073680:8075187:1 gene:Solyc05g014275.1 transcript:Solyc05g014275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDDEWKDYKGDKGIEAKIREIKSLIMNDEKWDSDYFLKFTEPIVDMLRSADIDGPKLHLIYDMWDSMIEKVKKVIFENEGKDFISGQSNFDTIHNILMARWNKSNTSLHCMAHYLVPKYYHESWLEGENGIRKLAPNEDSEISLNRVKCFQRYFKNLNEMKQASLEYGFFCSGNGYFSEPHVINTMMYEDSLSWWANHGVSAPLLQQLAYKLLTQPTSSSCCERNWSGDRFDIDEITNDLTELSIDDPQIEGVIFEEEFEDLEEDVEDVEEIANLIK >Solyc04g040130.1.1.1 pep chromosome:SL3.0:4:12036164:12037291:1 gene:Solyc04g040130.1 transcript:Solyc04g040130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNMTKIEQKIKVPLSKPPFILSELKKAIPPHCFQRSLVRSFSYVVRDITLVFVLGYIAANYIYVLPSPYNYLGWPIYWFSLGSVFTAMWVIAHECGHHGFSNYQWIDDTVGFIFYTSICVPYFSWKYSHRRHHSNAGSLEYDEVYVPRLKSELRWFSKYFDNLPGRIIAFTTTLTVGWPSYMAINASGRPYDRFASHYNPYSPMYNNRERLLIYFSDLGLIAFIYMWYRIAMVKGLAWVVCMYVAPLEIMNILVVVLTFLNHTHALVPHYDSSEWDWLRGALATVDRDFGPLNNICHNVTNTHVLHHLFTTIPHYHAVEATKAIKPILGDYYNFDSTPVYKAIWKNINECIYVEKNEETQDRGVFWYKNKL >Solyc01g079870.3.1 pep chromosome:SL3.0:1:78863400:78866653:-1 gene:Solyc01g079870.3 transcript:Solyc01g079870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHGNGQPPVSAGAIQSPQAAGLAASSAQMAQHQLAYQHIHQQQQQQLQQQLQTFWANQYQEIEHVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVVFARACEMFILELTLRAWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLATIPRGTLPVGGPTEGLPFYYGMPPQSAQPIGAPGMYMGKPVDQALYAQQPRPYMAQPIWPQQQQPPSDS >Solyc07g009445.1.1 pep chromosome:SL3.0:7:4518095:4520336:1 gene:Solyc07g009445.1 transcript:Solyc07g009445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNGRVKLNVGGRIFETTATTLEFAGENSFFRAMLDDNWNSAITEHFIDRNPDCFGSRAFKIIELGAATDLRVGMNYIPKEFLLDPRYYNNTMTSLEEPENQCLPIRKLKKYATEWVIKVLVIRLHCFKSQV >Solyc10g047745.1.1 pep chromosome:SL3.0:10:42061461:42062314:1 gene:Solyc10g047745.1 transcript:Solyc10g047745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRSVTRPSKAPYGTPELFQKKKDRLLHLCVDYRALNKEGYYQVCVEEGERAKYNAHDLRCIIRGKHIGREGGQFEESLPSRMGELALCLAGEVRVLPARGVLVRPCYQPGQTTMDEAKSHAIQEFINGYSAKDTVLTKVLKKNKSWVWSEDCQREFECLKAAVTKELVLTLPDISNSFKIHTDASEFAI >Solyc05g009700.3.1 pep chromosome:SL3.0:5:3895451:3900040:-1 gene:Solyc05g009700.3 transcript:Solyc05g009700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMNILILQEVPSDEKWAEDGPPREAKWWYSTFHTVTAMVGAGVLSLPYAMAYLGWGPGTVVMILSWCITLNTMWQMIQLHECVPGVRFDRYKDLGKHVFGPKLGAWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEIACTNCTRIRQSYWICIFGAIHFFLSQLPNFNAVSGVSLAAAIMSLSYSTIAWVGCVGKGRVPNMSYAYKTTSSVDYMFRVFNALGQVSFAYAGHAVVLEIQATIPSTPEKPSKVPMWKGAVWAYFVNALCYFPVAFIGYWAFGQDVDDNVLVGLERPSWLIAAANLMVVVHVIGSYQVYAMPVFDLMEQKLVKTWNFPPGVLLRFIVRTTYVAFTLFLGVTFPFFGDLLGFFGGFGFAPTSYFLPSIMWLKVKKPRRFSTSWWINWACIFIGVFIMIASTVGGLRNIVADSSSYEFYS >Solyc09g074095.1.1 pep chromosome:SL3.0:9:66199701:66201550:-1 gene:Solyc09g074095.1 transcript:Solyc09g074095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLPPSEVKWLSPVGATGPDDIDSGVSVVRYVVEKDIKNNVVYVSRNYFSVDKKRRLFRVGSLKWLSGLFPKQIDELQCKVRHGPGFYNCSLVMEVDQHGQEVAVVRLSGDDQGLAAGQFAAFYDGRTCIGSGIILESWDDQGYPICERALEIARMEDKSKLGKPVKIMCWILALELFVRSR >Solyc01g096190.3.1 pep chromosome:SL3.0:1:87159841:87165677:1 gene:Solyc01g096190.3 transcript:Solyc01g096190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LCA1 description:Calcium-transporting ATPase, endoplasmic reticulum-type [Source:UniProtKB/Swiss-Prot;Acc:Q42883] MEEKPFPAWSWSVDQCLKEYQVKLEKGLSTYEVDKRRERYGLNELEKEKGKPLWRLVLEQFDDTLVKILLGAAFISFVLAYVNQDETGESGFEAYVEPLVILWILVLNAIVGVWQESNAEKALEALKEMQGESAKVLRDGYLVPDFPAKELVPGDIVELRVGDKVPADMRVATLKSSTLRVEQSSLTGESMPVTKSTDFLATDDCELQAKENMVFAGTTVVNGSCICIVVNTGMCTEIGKIQRQIHDASMEESDTPLKKKLDEFGNRLTFAIGVVCLVVWAINYKYFLSWEVVDDWPSDFRFSFEKCAYYFKIAVALAVAAIPEGLPSVITTCLALGTRKMAQKNAIVRKLQSVETLGCTTVICSDKTGTLTTNQMSVSEFFTLGRKTTACRVFGVEGTTYDPKDGGIMNWNCCKMDANLLLMAEICAICNDAGVFCDGRLFKATGLPTEAALKVLVEKMGVPDSKARCKIRDAQIVSSYLIDRNTVKLGCCDWWMKRSKRVATLEFDRVRKSMGVIVREPNGSNRLLVKGAFESLLERSTYVQLADGSTVPLDESCRQLLLLKQLEMSSKGLRCLGLAYKDDLGELSGYYAATHPAHKKLLDPSCYSSIESDLVFVGVVGLRDPPREEVHRAVNDCRRAGIKIMVITGDNKSTAEAVCREIQLFSNGENLRGSSFTGKEFMAFSSQQQIEILSQDGGKVFSRAEPRHKQEIVRMLKEMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSTIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAVLGIPECLIPVQLLWVNLVTDGPPATALGFNPADVDIMQKPPRKNTDALINSWVFFRYMVIGSYVGIATVGIFIVWYTQASFLGINIVSDGHTLVELSQLRNWGECSTWTNFTVSPFKAGNRLITFSDPCEYFTVGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLIKMPPWRNPWLLVAMSLSFALHSVILYVPFLADIFGIVPLSLYEWLLVILLSAPVILIDEVLKFVGRRRRRTKLKAA >Solyc02g088730.2.1.1 pep chromosome:SL3.0:2:51350740:51353290:-1 gene:Solyc02g088730.2 transcript:Solyc02g088730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACEEILKAVFPFLEGIELATCMIVCKQWRDIARDDYFWKCLCSKKWPSICKRSSPPTVTYYNLFQNFHKRPYRRTVLPPKLSFSDLEFYIDIWTEEKIIFSDVVPGPVLQKGIWIPPPGICDVLRFHLEGPEYKMTLPVEPRFTIPLCQTVSVSVLVGRKDTNKVACIIKKSLFDYIDRTAYRALAYDYLDFSSPMCLFVSGVRAWISLLFMDHGNEGALDVFGIEMDFCDAANSEDEVLWLLDMLEWK >Solyc04g071363.1.1 pep chromosome:SL3.0:4:58446286:58453254:1 gene:Solyc04g071363.1 transcript:Solyc04g071363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIRSNSNNDPISFVNGSLKNTVDVVTGSKKKRKHKSDVHTSHNDKNEGVGSESIEHKDVKYLGQREPTRIPHMQCYTNIDVMNVQSSKLTESQYRQFCGNICFAQLCSIRRCHVQAQLIRCMFFREIEGSSKNAILIYVNGTTLRFTIRDFALITGLKCSDNENDFVSNTNEPNRIIHQYFEVGKPVTKSQLIDKFDKKIWVDNDDDAVKFAILFYIHSFIFSEEPIGRYIDYPWGKKAFDIMIMHLHSKIKHDGKYFRLYGFPLALQVWFYECCSKFDDEIAVKVSDHIPRILNLETKKDFPRLSYFAKGIFRDDNNPDPPSPINNRGKEKIDTYSSPPKKKSRRTISHIQNKSPPRVISKQRGLTKPPRNVSIAKITKAPHPKKQTKKSAKGDDVEVTRKVSTVGVDQSEEPSLNLPSKLNYQSVLNDLNDQENFVRVNVTSSSPEVTFQQSSSRSPAVQSDFSPLLQQFNTPEPQKCEDVPVEVDKERSQFMDDQAEFNNPLFQELLNVIYDQTEKMEQEELGDTNKAGSSNADELVVEEDFIFSKPLQIVNDDQTKINIERSIVLHPLLAMDKHTPLPIPRERRPGPFNTSPYVTTFSSESGSSSRFNYVFELKHPFVAMSDVDLTTLYLHFWKWLNEWLLMWFHFGIVTVQNKNWFYRLAYKDQLFDDSHIDVILYYIRKRAKYSDSDNNEISLITVDCNFNKLIANVWDAYYNLDSTVNKESTEESIIEKKDINISSHPKYKSHSEVDSFEIIHVNDITQQHEGSLDCGLYFAAYVDHISKGNLVPTFDPEFTRIQYASLLWNYGMQKIQADATSDSEAPERPVRIHRDVDISDMITID >Solyc02g088427.1.1 pep chromosome:SL3.0:2:51177482:51181157:1 gene:Solyc02g088427.1 transcript:Solyc02g088427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ICTNKYSQGCDDEKRSCIELGQEMELPLLDEETQQGIFVLQSSSAVMAVALSTFAWFYNKSEPQEKELAERHLKSLASKYKSFNDMHAFPANFGLEGEALSYSLFGDVFYHQPFRMNQMHSKLCSLSCNEDDLLCTRASPSPLPLLSSGFGAYLSSLNKLNANDGSFKLLGYISGPDVYIVKVYRCKTQSKALQRSIFLSGDSEKSSLTKDILDEDCILLDNVKQTEKFCSGLLPFSPKPTPLPPDTLLGPWFQDANLCIAENGIKTSVKHEVVTTVLEKEDCLFPNPAKFGLNFYALSRENMASIGGPDPWDVCNSGPSEYHKLCCLLGSMGTRINRLMLGVRGKTDEFIRNSRGSHSAPPLYQSKKFFATSESSRTAAGKGNNNIKTIRSTLNSLPNHIMQVVKLPSSVVQAMECYERNFLWGTTPIKKRLHLVCWSTVTNPKDQGGLGIQDLRTKNNALLASTAWRLHNSQKKLWAMILRNKYSRYLPNSTAALRTWKTLQRGWKYCESGILWRPSTVTTSTSGTSPVLSLAWLSVHSSEVRFFAMNWPIQLANIDRAIVGISQLYPLTCLTMSLGYFSRSTFLNILLPLIDSLGA >Solyc01g073750.3.1 pep chromosome:SL3.0:1:81002721:81032266:1 gene:Solyc01g073750.3 transcript:Solyc01g073750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQRKGSDLQPQRRILRTQTAGNLGESMMDSEVVPSSLSEIAPILRVANEVEPSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTSLLQRLEKENETTLAGRTKSDAREMQSFYQHYYRKYIQALQNAADKADRARLTKAYQTAAVLFEVLKAVNLTEAVEVSDEILEAHTKVAEKTEILVPYNILPLDPDSSNQAIMRYPEIQATVTALRNTRGLPWPKNHKKKVDEDILDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKLDQQPKLDDLALTDVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGETIKPAYGGSDEAFLRKVVTPIYNTIAKEAKRSKEKSKHSQWRNYDDLNEYFWSVNCFRLGWPMRADADFFHLPPEEQRADANEAIKRNHWMGKINFVETRSFWHIFRSFDRMWGFFILCLQAMIIIAWNGSGNLGSIFEGDVFKSVMSIFITAAILKLAQAVLDIIMSWKSRHSMSFYVKLRYVFKAVAAAAWVVVLPVTYAYSWKNPPEFAQTIKNWFGNGSSSPSLFIIAVLFYLSPNMLSALLFVFPFIRRFLERSDYKIVSLVMWWSQPRLYVGRGMHEDAFSLFKYTLLWVLLLAAKLAFSFYVEIQPLVGPTKDIMRVRIGVYKWHEFFPRAKNNIGVVIALWAPVILVYFMDTQIWYAIFSTIFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPVEKDEKRKKGLKATLSKKFDEVTSSRGKEAARFAQMWNKIIESFREEDLINNRERNLLLVPYWADPDLDLIQWPPFLLASKLPIALDMAKDCNGRDRELNKRLNADSYMHSAIRECYASCKSIINVLVLGEREQLVIQEIFSKVDEHIADGNLIKEFNMSALPTLYEQFVRLIDFLKENKKEDKDHVVILLLDMLEVVTRDIMEDSVPSLLDSTHGGSYGMHDGMIPNAKYQLFGTLNFPVTETEAWKEKIRRLHMLLTDKESAMDVPTNLEARRRISFFSNSLFMDMPHAPKVRNMLSFSILTPYFNEEVLFSINSLERPNEDGVSILFYLQKIYPDEWENFLERVDCLTEDGLRGNTRLEEELRLWASYRGQTLTKTVRGMMYYRQALELQAFLDMAKDEELMKGYKAAESNTDEQPKNERSLMSQCQAVADMKFTYVVSCQQYGVQKRSADHRAQDILRLMTKYPSLRVAYIDEIDETGKDKSNRTGDNKVYYSALVKAVPRSVDSAEPDQKLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKNGVRNPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLRGGNVTHHEYIQVGKGRDVGLNQISLFEAKIANGNGEQTMSRDGIQAWTSI >Solyc05g051690.3.1 pep chromosome:SL3.0:5:62949477:62954921:-1 gene:Solyc05g051690.3 transcript:Solyc05g051690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGIQSKYVKLTKDQAPLEEDIKPGELNQPIHVPQLVVHKCNECGQVLPESFEPPADEPWTSGIFGCAEDKDSCWTGLFCPCVLFGRNVERLRDDTPWTTPCVCHAIFVEGGIALAAATAVCHGIDPGTSFLIGEGLLFGWWMCGIYTGLFRQSLQKKYHLKNSPCDPCLAHCCLHWCALCQEHREMKNRLSDNSALPMTIVNPPPIQEMNAAASDNREYVPSSANNSEQTNLEMQAL >Solyc04g056730.3.1 pep chromosome:SL3.0:4:54632412:54742153:-1 gene:Solyc04g056730.3 transcript:Solyc04g056730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNVCVHGRSFLFMDDTRSTVDYLTVDLNFSSKSSIRSTIEYLTADLNFSSNSSIRSSIEYFTADLKFSSKSSIRKEITCVICKLHIQLF >Solyc10g050490.1.1 pep chromosome:SL3.0:10:49717873:49718427:1 gene:Solyc10g050490.1 transcript:Solyc10g050490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGTYESCDDVEGVEGPYSVYHCENEGSHAMHHGYDGDMRYNSLSHKSYECFEQNLEGNGSHEVEFSSSSCVTSYSKKEGINVWEGPFQGCRVDHRKSDKVGGRGGGTLSHEVVDLRESFTTLREYFDDLLRMYVKVKFMVQKRQVIHHEDMPKELPLE >Solyc11g063695.1.1 pep chromosome:SL3.0:11:50070428:50071246:1 gene:Solyc11g063695.1 transcript:Solyc11g063695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSDFTAEDICLQSVIYIEKILKTQRVPIIVEGSNSNIEKLVEDPVFMFKYKYDSCFIWIDVEQLVLNRRVDMRVDQMVKSGLVDEVRQIFIPDADYTKGIR >Solyc12g005330.2.1 pep chromosome:SL3.0:12:208774:210723:1 gene:Solyc12g005330.2 transcript:Solyc12g005330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARMTFRHPFRYKHQKELFVAAEGMYTGQFIYCGKKANLMVGNVLALRSIPEGAVVCNVEHKVGDRGVFARCSGDYAIVISHNPDNGTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >Solyc07g061860.2.1 pep chromosome:SL3.0:7:64895083:64896673:1 gene:Solyc07g061860.2 transcript:Solyc07g061860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMPMIVGMLSVFLVIILTILCTICVYAHKQIKGKRVGDVEIGCGTDGFRTALVICLTGTGGAAVAGGAVAETVIGGADTVREGCCAEGILIPPILYSC >Solyc11g051005.1.1 pep chromosome:SL3.0:11:17215516:17215779:-1 gene:Solyc11g051005.1 transcript:Solyc11g051005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSYIDNLIDPRGWVEWIESANKSARPGAVTKGRVTWASVSTNPNIASTFTVRNFISGDQWIFVNIPHYLDLS >Solyc04g079120.3.1 pep chromosome:SL3.0:4:63790772:63796642:-1 gene:Solyc04g079120.3 transcript:Solyc04g079120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGEHQTVPLSVLLKRELANEKIESPELSHGQASQSKKGEDFTFVKTECQRVLGDGVATYSVFGLFDGHNGSAAAIYSKENLLQNVLSAIPPDLSRDEWVAALPRALVAGFVKTDKDFQEKAKTSGTTVTFVIIEGWVVTVASVGDSRCILESAEGGIYYLSADHRLDCNEEERERITACGGEVGRLNAGGGTEIGPLRCWPGGLCLSRSIGDMDVGEYIVPVPYVKQVKLTSAGGRLVIASDGVWDALSAESAIECSRGMPADAAASQIVKDAVQPKGIRDDTTCIVVDIQLPEKSNPPPPPPPKRSGKGVFKSMFRKKTSESSSNIQKDFCEPDVVEELFEEGSASLSDRLDAKYPVCNMFKLFICAVCQVEIKPGQGVSIHAGSSNTRSSRPWDGPFLCSSCQEKKEAMEGRRPFGDGRYSSE >Solyc10g049710.2.1 pep chromosome:SL3.0:10:46342423:46347985:1 gene:Solyc10g049710.2 transcript:Solyc10g049710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4D0C8] MSMASSLLLSPLSCPTLANNPSHIVLNKSTFLPTPNFFFSDSLHRPNAGVRGYSYSSPVAQSFNHIPKQFRQDYLKDGLLNNYKNAPQYLYGLSPSQMDMFMTEDNPARRQSGSVTEENISSSHNYLKNGGMWSMSGMNKQGPSTCSMSVSMYGGGGARSDRSPTAPPDLPSLLLDARIVYLGMPIVEAVTELIVAQFMWLDFDNPTKPVYLYINSSGTQNDEMETVGSETEAYAIADMIRYCKSDVYTVNCGMAFGQAAMLLSQGKKGFRAVQPNSSTKLYLPKVSKSSGSPTELWIKAKELESNSEYYLELLSEGIGKPKEEIKKDILRPKYFRAQEAIDYGLADKIISSSDDAFDKRNYEEMLIQSRMSRPGAQAAPSGFR >Solyc10g009507.1.1 pep chromosome:SL3.0:10:3652606:3654868:1 gene:Solyc10g009507.1 transcript:Solyc10g009507.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNTCKVCVTGGAGYIGSSLVKELLDKGYIVHATLRNLAASPLKEDGITFKELIDETCWTPLNFSNPYTKQWLWDYTESKMLAEKEILKFEKEGLEVVALCCGLVGGHTFLPYIPTSGGMFLSVLTQEKELYNKLKFLEDLNGKVPIVHIEDECEAHMFFMNNVGSLSGRFLCASSFVSTAEIGNYYEHNYPEFKVNQE >Solyc07g054897.1.1 pep chromosome:SL3.0:7:63194564:63196496:-1 gene:Solyc07g054897.1 transcript:Solyc07g054897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLEFVLPIPEGESPLMAIDGSIPVIDMSGLNGSDEQRLSTIHVAHWGFFRVLSNGKYKSVEHRAIVNVEEARISIAVGHGPKMDAIVQPEIPLIKEKSESKY >Solyc06g062840.3.1 pep chromosome:SL3.0:6:39758995:39759649:-1 gene:Solyc06g062840.3 transcript:Solyc06g062840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKFRSRQKDRREVGDEKRSNKQETHENHPIPQPKQGRGGNIVRCRYTSQQCNSSLKLKERAKT >Solyc05g052690.3.1 pep chromosome:SL3.0:5:63749077:63765119:-1 gene:Solyc05g052690.3 transcript:Solyc05g052690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSTMIMIAGNKIMYEEQWITTMASSDQTALQFSASSASLSAKVHPLVIFNICDCFVRRPDQAERIIGTLLGSVLPDGTVDVRNCYAVPHSESQDQVALDIDYHHNMLSSHQKVNPKEVIVGWFSTGFGVSGGSALIQEFYSRESSNPIHLTVDTGFQNGEASIKGFVSVHLSLGDQPLAAQFQEIPLDLRMVEAERVGFDMLKTTSVDKLPNDLEGMEASMERLLALIDDVHKYVDDVVEGRLPQDNKTGRFIADTVATIPKLSSQAFDKLVNDGLQDQLLLLYLASLTRTQLSFAEKLNTAAQIL >Solyc05g025880.1.1.1 pep chromosome:SL3.0:5:37131771:37132022:1 gene:Solyc05g025880.1 transcript:Solyc05g025880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIALVQVSFKPLILRGLPESFIEALRDGRNQNWKKSLIGTVQTSLTYGPVYFNAYPNLQISLNDENSLNTLILSNKLHGYDY >Solyc04g007800.3.1 pep chromosome:SL3.0:4:1490765:1493714:-1 gene:Solyc04g007800.3 transcript:Solyc04g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLGLVKIRVHKGINLPLKDIFHSDPYVVLTMGDQKVKTSCKKNNCNPVWDDELTLALKYPNVQIGLTVYDKDTFSKDDKIGEAKIDIKPYLKALEMSYHQDLPNGVKVDKVHPNSDNCLAKESCIIWENGKLIQDMTLKLQNVECGEVKLQIEVIPKILSEDAFYIA >Solyc12g036440.1.1.1 pep chromosome:SL3.0:12:46752615:46753259:-1 gene:Solyc12g036440.1 transcript:Solyc12g036440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDMIFEITSPRISFSSDEQVIDLQHYQSDSNPEFDFCISTNIANTETCSADELFLNGLIRPLQLQQKQKLVTLSNSPPIPAPPIANQNVISKHISVDDNQNKSFWRIRRSTSLHGNKKSSFWSLQRSNSTGSKSFSVKENQKHKKNIMNPSSAVSLYSSASQKPPLRKNYSNGICINPVLNVAPYTFIPKATANLFGLGSFFANGKDKKSKK >Solyc02g088540.3.1 pep chromosome:SL3.0:2:51229818:51233163:1 gene:Solyc02g088540.3 transcript:Solyc02g088540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSACKTLVRSSISFFQFQTRNSYQRSFSSHISSNFSFFRPLPLLSRNPAFRIEPCRDPSRRYGSTQGAISLETSEEMAVPRVAVESSEEKSKDTVEELLYNKDDVSKLMKMERRLDTEGLGHQERWFPYLDKVKAGSMYLSSSEILEAVTPYIMDSRKERFRHAVKNRTYSVCLVVEGLSDFGNVSATFRSADALGIQSVHVVACDSSKRYRENRHVSMGAEKWLDIELWDSVHECFTVLKSRGYRIATTHLGMDTVSVYDMDWSCPTAIVVGNELRGISDEALESSDLHCSIPMKGMVDSFNVSVAAGLLMHHAVCDRTSRLGCHGDLTREESRILLAEFSLRHNDNAIRIAQEYAERKIAELKSKL >Solyc06g007300.2.1.1 pep chromosome:SL3.0:6:1321647:1326679:-1 gene:Solyc06g007300.2 transcript:Solyc06g007300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISLRNGNGNGISFISFSADSVITGRSCSSCDYSKESISVKDKIGVNSSNLDDAVTLFHQMVTMKPLPSVVDFSKLFKTMIKMKHYSAAISLFRQMLKLGIPINNFILSIMINSYCLMCRVDCAFSVLPIYLKNGIPFNAVTFNTLLRGLFSENKVKDAVELFKKLVREKICEPDVVTYATVMNGLSKRGHTQKTLSLLRLMEQGNTEPNIYIYNIVIDALCKDGNLDAAINILNEMKQKDIHPDVLTYNSLIDGLCKLGQWEKVTSLFSEMVNLNIYPDVCIFNTLIDGLCKEGKVEDAEEVMKHMVRKAVEPDIITYNAIMDGYCLRGQVDRAKRIFDIMIDKGIKPNIFSYNILINGYCMTKEVDDAMQLFCEISQKGTKPDVVTYNTILQGLFKVGKIVHARKFFAEMLSAGFIPDFYTHGIVINGYFKNGLVEEALSLFNKLEGKREITDIEFYNVVINGLCNIGKLDEARAIFEKLSLIGLLPNVRTYNKMIHGFCLEGLLDEANDMLRKMVENGYLPNVFTYNALVQGFLRCRKITEMTTFMKEMTGRGFSFDATTTELLVNVLRESPSVLDMILDLHSKIMK >Solyc04g080190.3.1 pep chromosome:SL3.0:4:64534730:64538595:-1 gene:Solyc04g080190.3 transcript:Solyc04g080190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFKARYEPDKAAANATVAFNAGDLKLRASMTDATVVKGPSLNGLALAVEKPGLFIVDYNVPKKDIRFQFMNSIKVLEKPLNLNYIHFHGDKRTILDGTLVVDSANKVSANHVMGSGSCKLKYTYVHGGITTFEPIYDTAKNAWDFMVSRKVYGDDVFKATYQTTSKNLGLEWSRSSKLNGSFKICASLCLLEERKIPKLSAETSWDFEM >Solyc10g052863.1.1 pep chromosome:SL3.0:10:53758808:53760318:-1 gene:Solyc10g052863.1 transcript:Solyc10g052863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIAKKIGRHDFSNVYKGVLEKGIEITGKKQDMTSRHGHTEFDNEVKLIPNVKHRNLTKISGYCINGAEKFLVYEFMVNNSLGKDPLRLAIVQDLALDEVSH >Solyc03g095300.3.1 pep chromosome:SL3.0:3:57750188:57752023:-1 gene:Solyc03g095300.3 transcript:Solyc03g095300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIWIEICMISARGLRRTSALWKLQWYAVGWIDPNNKYCSKIDSSGNANPVWKTKFSMLVDTSLQDLALNVEVYSREPIFLREKLMGTATVLLKEFLDKYNKNTEVSKPIEEVGSFQLRKKNSNKPQGFIDVSIRVSEEREESSSQPGEKEGFKLGDNSSGFNLTNGYKPASAYLQPQSLTPSQMLERQPQKNHQYAHPMPYPTNYSHPPVAGPSYTQAAGPSYQPSRTPPPPPPPPANVGYIPTFLPRTNNMPPSYLNMPQNGTSAARNARPGFGMGVGAGALAAGAMIFGDDFMSGFDLPHGLQDPSLTISLDPLV >Solyc08g006630.3.1 pep chromosome:SL3.0:8:1219982:1225040:-1 gene:Solyc08g006630.3 transcript:Solyc08g006630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRNLSHYHHLENEQHQSVDGLLTLFTKANHDLNMVQNKLEKEFRQVYPDNANPMKLVSRIKKVQDEMSSLKEQCRELLAAKQDLIDKARATLVGNRSLLQRLQLSTGVPVISDSDNQSYASFNQVIDEWTTQVRSRTEDESPESGEDINQMLFSAIVDDN >Solyc05g054830.3.1 pep chromosome:SL3.0:5:65490807:65495590:1 gene:Solyc05g054830.3 transcript:Solyc05g054830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVEEGERDVEKGLITPTPSHNPLAEPSPTPSPSSATAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQKGDLAAVKQILNDIDSQMVGTLSGDDFNQEVAEIRASVVNEVNELGETALFTAAAKGYLEVLKELLKYCNKDTVTKKNRSGFDPLHIAASQGHHGIVQLLLEHDTGLSKTFGPSNATPLITAASRGHIAVVNELLSKDCTLLEISRSNGKNALHLAARQGHVEIVKALLDRDPQLARRTDKKGQTALHMAVKGISCEVVILLLEADAAIVMLPDKFGNTALHIATRKKRAEIVRELLRLPDSNVNALNRDHKTALDIAEDLPLSEESSEIKECLYKYGAVRANELNQPRDELRKTVSQIKKDIHTQLEQTKRTNKNVHGIAKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDDEHGVAVVVSTASFKIFFIFNALALFTSLAVVVVQITLVRGETKAERKVVEVINKLMWLASVCTSVAFMASSYIVVGRKYEWAAILVTAVGGIIMAGVLGTMTYYVVRSRKKRSIRKKEKHARSGSNSWYHSEFSNSDIDRIYAL >Solyc01g014440.1.1 pep chromosome:SL3.0:1:13516436:13517467:1 gene:Solyc01g014440.1 transcript:Solyc01g014440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQNFHLESLKKLGKSFLRYAISIQSFKTYENYHEGLLNIKKNKIIFNVALFKLGYVPTSARTGNVAALLFMKWNGMDIDFIYAPILRHFIVNAKNLLNVRLHEHILHSSPDLQRQICYTFENFEKLDIVSTFGWESATTHPIVVGDVVGPLLVRSLLILALKKILNTFLNIRPLLVCASPSFGEINTT >Solyc07g042130.3.1 pep chromosome:SL3.0:7:55234049:55238925:1 gene:Solyc07g042130.3 transcript:Solyc07g042130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNMDISLPLDQLRSSCRYAAFLTDPHVLQERLVLSGNFSLFSKKRYRNVFSQIRSSLSEHGFIKPRPMMKPSKREENLSEETNSKVNQIGDPGSGISAQIEKLVFHKRYHEALDFFELLECEGDCQLDSSTYDALVTACIGLRSIRGVKRVHNHMVSSGLVLDQYLWNRVLMMHVKCKMMLDARSIFDEMPERNSISWNTMVGGLVDLGDYLEAFRLFFMMWEENSAADPRIFATMIRASSGLEVISLGQQLHSCALKMGEGDNRFISCALIDMYSKCGSIEDAQFVFDNMPEKTTVGWNTIIAGYALHGYSEEALCLYYEMRDAGVKMDHFTFSIIIRVCTRLASLEHAKQAHAGLVRHGFGLDIVANTALVDFYIKWGRIEDARNVFEGMPQKNVISWNALIGGYGNHGRGIEAVELFERMVHEGMMPNHVTFLAVLSACRYSGLSDYGWEIFESMSRDYKVKPRAMHYACMIELLGREGLLDEAFALIRDAPFRPTINMWAALLTACRVHKNFELGKFAAEKLYGMEPEKLSNYVMLLNIYNSSGKQDEAAAVVQTLKRKGLRIKPACTWIEIKKQPHVFLSGDKCHVQTKEIYEKVDELMLEISKYGYVTGGKTLLPDVDEQEQKSPHYHSEKLAISFGLISTSSSTSLQLVQSHRICNNCHNAIKLIAMITKREIVIRDASRFHRFKNGTCSCGDYWVNMRLIKWQQKLRKLQGILGEKVPA >Solyc01g097170.3.1.1 pep chromosome:SL3.0:1:87993773:87994616:1 gene:Solyc01g097170.3 transcript:Solyc01g097170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKPIPNSPSSSSSSSSSSSSSSSLYTFSSLTDNSFASSIDSSSSTSTSSSITTARCQGLDLLVKAIHQVTDGSVVGVPYIQKRVITRRRRRVLSFERFFIAECFEKQHDGVKCKDGSLPKRQQRKRLKTVPTKYQDSAMIQSSKPKPKSRRLQRSAKICEELGS >Solyc03g071620.1.1.1 pep chromosome:SL3.0:3:20410504:20410929:1 gene:Solyc03g071620.1 transcript:Solyc03g071620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:K4BHJ2] MAPKAEKKPAEKKPAAEKAPAEKKPKAGKKLPKDGAAAAADKKKKRVKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc05g050340.3.1 pep chromosome:SL3.0:5:61301445:61305866:1 gene:Solyc05g050340.3 transcript:Solyc05g050340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSFWEREVVITELTKGKELMLQLQKHFDPMKQDVCRYLAAEILSSYDKAMSLLNGTALSGMMSKSKEIINPAPSSSTAPQLEFPQLLADSSTKSCDLPSKLRKRKTLAPRTEYVEARPGEEVSPKDGLNWRKYGQKLILGAKYPREYFQCVYCHCDATKMVQQIEKEPLSFEATYGGSHSCGQENKNQNEEVLVTVETQVGRAAGQLSECYIPEMGVCQYLAAEILSSYDKAMSLLNGTALSGMMMSKGKEIIHSTPSSSTAPQLESPHLLADSSTKSCHRPSNYRKRKMLERRKEYVEARPGEEVPPEDGLSWRKYGQKVISGSKYPREYYRCARRCCGATKMVQRIETGPLTFEITYGGSHSCGQETKNQDEELLAVQQTKCDEVERGAGEIFESYIHKMVSTPNNSYNNSSTGVVFSNSNSLFNIPTPTSSPHPDTDFLLDDNNLALLFDHDVPENSGNDSLAALAGEVYKLYEENNKLNFPHRYDKQAEAENSRPLNNVGDRS >Solyc06g053150.1.1.1 pep chromosome:SL3.0:6:35968634:35970172:-1 gene:Solyc06g053150.1 transcript:Solyc06g053150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLYLLAIIPLCLLVKFVSKTSILHIFKKWLRLLEDKFYVYQFYKVPQFNHNMQENQLYRKISTYLNSLPCVEDSDFTNLYSGSKSNDINLVLNADQKIVDNFLGARISWINEKDEKTGVRSFVLKIRRKDKRQILRTYLQHIHSKFDEIEQRRKEVRLFVNVNDESNGNGNGNRRWISVPFTHPATFDTVVMEQDLKNKVKSDLETFLKSKQHYNRIGRIWKRNYLLHGPSGTGKSTFIAAMANFLSYDVYNIDLSKLSDDSDLKLLLLQSTNKSVIVIEDLDSYLCNNSTALSFSAILNFMDGIFSCCGDERVMIFTMNSKDQIDPSVLRPGRIDHHIHFPLCDFNAFKSLANSHLGMKDHKLFPRVEENFQTGSVLSPAEISEIMISNRSSPSRALKLVISSHQSKSKLITLRTSDKIETINSNIETNVAPKHPLWLSKSRSVRAVEKSGELDKYPQGLIKSKSVRPMVESGDSGTFGKESVNDLRKFYGLIRIKSSRKKSLDFDTPEK >Solyc11g064990.2.1 pep chromosome:SL3.0:11:50599776:50602903:-1 gene:Solyc11g064990.2 transcript:Solyc11g064990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTCGDQEMIFTMQKAMVAPFLTKTYQLVDDPSSDHIVSWGEYENTFVVWRPPEFAKDLLPNYFKHNNFSSFVRQLNTYGFKKIVPERWEFANEHFKKGQKHLLCEIQRRRSTPQQLHHLTPYQEHITRSIYHQEIQNPSSTVDHDILLALTQDNERLRKRNIVLLSELTRMKNLYNDIIYFIQNHVKPVEEKSRVFLLNGGLNHHHRQVHNEDHEEPKSDKVKLFGVSLCGKKRLYHERIDQEELEC >Solyc12g077620.1.1.1 pep chromosome:SL3.0:12:18098996:18099340:1 gene:Solyc12g077620.1 transcript:Solyc12g077620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIIQGPTTNEEGKIEISEIYKHETWEFEKRSFKLHDCTNSMVNRHLVPQQGTKEYILDWGLTRNGYILRSTTYDYIKDKTFKNPGFPNENLKLIWATDGPSEIETFVCLLQH >Solyc06g064790.1.1 pep chromosome:SL3.0:6:40494262:40495649:1 gene:Solyc06g064790.1 transcript:Solyc06g064790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDHEIRVYDLLKLWMAEEFVLSSETENLEEASRVCLNDLLNRSLVMVSTRKINGDIERCILHDVVREFSEVHTHTRLQSLNLIISPRGFFDSVGWESYFVFPSNLRDLCIGGCFLTKEMILNLARLEKLESFTLEGGNHRWESAYYCWDVTNVKFPALKYFELHFVKMEKWEASEESFSALKELSVRTGYFYKVIPPCFADITTLRLIKNCMTKNQLSKGFSYEYQKRYRR >Solyc10g007060.3.1 pep chromosome:SL3.0:10:1433922:1437542:1 gene:Solyc10g007060.3 transcript:Solyc10g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSMADEAEDAILAYLKDNDEISNSANFAQDLGFSHDDIVNVIRRLHGFRLVDAKDIRRERWVLTEEGKTYAAVGSPEFQLFSAVPSEGIAREDLQKKLDPAVYKIGCQQAIKNKWVEMAKTHVSKKVQHADDKVKNLLLRIQNDEAVNQEDIDALKRRKLIIQQVWKGNSVRKGPEYAPKRKRAATDLTRENLQRGDWKELEFKEYNFSAKGQPVEGGHLHPLLKACFGFLFHY >Solyc01g098070.1.1.1 pep chromosome:SL3.0:1:88542674:88543141:-1 gene:Solyc01g098070.1 transcript:Solyc01g098070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKKKIEIEKITKQTARMVAFSKRRKGLFRKAEELESMSSSRVTSVVISPFGKPYTYGNVNSVIKKYFSICIRPEISTPVMNSHPSSSNVSGESLGSKSSSTPNGNALCNWVEGIDVEECQNLNQLLMLKKQLEGTREKIVSKESESFQALFI >Solyc01g005895.1.1 pep chromosome:SL3.0:1:590339:591791:-1 gene:Solyc01g005895.1 transcript:Solyc01g005895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKIEETTVVYPSTPPFTDDHVLSLSHLDTDINLHVTFRYLRVYVNDPKNRPVERHDPFQVVMSSLSSALVHYYHYTGSLVRREDERLELHCHAGSGVPVTHAVVDRSLSGINYLDDYRDVNFLEKLVPDPKGEDALTRPLVLQVTRFECGGWILGAAIHHSLCDGLGATLFFNTMAELARGAGQVKFEPVWDRSSLLEPRNPPRVEFPFHEFLSLDKNSLPYIEPDKPAVRECFKVKDEWLDRLKGFLHEQSGSNYTTFEALGAFIWRARVKASKIPSEEKVKFAYATNIRRIIIPKLPFGYWGNGCVPMYVQFLAQELVNQPLSKTADSIKKSKFNTTDEYVRSFIDFQELHYHEGITAGIYID >Solyc10g047630.2.1 pep chromosome:SL3.0:10:41432817:41437238:1 gene:Solyc10g047630.2 transcript:Solyc10g047630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREGEETENGTTQEKGSICGFDSLYHLLQTSLSPQLFQEVNRILLGLNCGKKLESIALPQPVKALSANHDFDLQAFSFSADKESLRGPRVVRVGLIQNSIALPTTEPFLNQREAIFQKLIPMIDAAGSSGVNILCLQEAWTMPFAFCTREKKWCEFAEEIDGESTKFLQQLAQKYNMVIISPILERDVNHGETLWNTAVIIGNHGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTEVFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLARHKDGLLISDMDLNLCRQLKDKWGFRMTARYDVYADLLARYVKPDFEPQVISDPLLHKSG >Solyc08g074550.3.1 pep chromosome:SL3.0:8:58766718:58781064:1 gene:Solyc08g074550.3 transcript:Solyc08g074550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAYPRDENYLEIVVPRRIALFVSIQNQQRLQRLSLSPDPIKIELPNGTVKEGKKWNTTPLDIAKEISKSLGSNALIAKVNGVLWDLLRPLESDCKLELFTFDSDEGRDTFWHSSAHILGESLERKYGCKLCIGPCTTRGEGFYYDAFYGDLGLNEDHFKGIEAEAAKAVSEKQPFERIEVSRQQALDMFSENRFKVEIIRDLPEDKTITVYRCGLLVDLCRGPHIPNTSFVKALACTKASSAYWRGDKDRESLQRVYGISYPDKKQLKEYLAMLEEAKKYDHRELTKKQELFFFHPLSPGSCFFLPHGARVCNKLLEFIRSQYWKRGYEEVWSPNMYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLIFDHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKDEVKGVLEFISYVYKIFGFTFDLKLSTRPEKFLGDIATWVKAEAALSEALNEHGKPWEINEGDGAFYGPKIDISVSDAMKRKFQCATLQLDFQLPQRFNLSYSAEDESKRERPVMIHRAILGSVERMFAILLEHFKGKWPFWLSPRQAMVCPVSDKSQSYALKLREQIHDAGYYVDVDTSDRTIQKKVREAQVAQYNYILVVGEAEASSGQVSVRVRDKPDHQVMTVDVLLAQFKDMVASFQ >Solyc01g056370.3.1 pep chromosome:SL3.0:1:53870729:53873236:-1 gene:Solyc01g056370.3 transcript:Solyc01g056370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSRCQCSEPEIKVKYWTRTNLYRSVPGKAPWADPNRKALDGLRAREIKLSQGLSFQID >Solyc01g088710.3.1 pep chromosome:SL3.0:1:83341251:83347870:-1 gene:Solyc01g088710.3 transcript:Solyc01g088710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLVNCSNCHTPLQLPPGARSIRCAICQAVTQLADPRAVPPPPHNQYQHPPPSSSAAAPPSPYNHAPPGPPPNAHGRKKAVIVGVSYRYSRHELKGCLNDAKCMKYLLINKFHFPEASILMLTEEETDPYRTPTKQNMRMALYWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPYGVKLHAIIDACHSGTVLDLPFLCRMSRSGQYVWEDHRPRSGVWKGTNGGEVFSFSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGHGATYGSILTAMRNAIRQAGGSSGGDFGGGAVTSLISMLLTGGSGGMGGGFSQEPQLTACQPFDVYAKPFSL >Solyc11g013010.2.1 pep chromosome:SL3.0:11:5872500:5874738:1 gene:Solyc11g013010.2 transcript:Solyc11g013010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQKSQAKLTRTQSSLLRSSPTIRSSIHSFSSINEFGIDHEPDIEEQKPHKPGSTPVRRGSFFSPFRSGPARFVPVLVVSVVLMYTLFVFFSRDNIPMSESVLLALIFVAVLLFFVGKNREFIHQRYTVFRNLFDEYGKRFGFQRTHSKPVQWFIGETKLGDKEGKCENEKQVVREGVEFYSNGDMYEGEYHKGRCNGSGVYNYFVKGRYEGDWVDGKYDGYGIENWARGSKYRGQYRQGLRHGYGVYKFYTGDTYAGQWCNGQSHGVGSQSCSDGSCYIGEFKCAVKHGLGCYHFRNGDRYAGEYFGDKIHGFGVYHFANGHCYEGSWHEGRKQGFGMYTFRNGDTRCGEWDSGNLKTPSPPLTDAVLRAVQAARKAAENAIKLRRVDEQVKNAVTAANRAATAARVAAIKAVQNQMNENFCDTTS >Solyc10g026523.1.1.1 pep chromosome:SL3.0:10:15054841:15054852:1 gene:Solyc10g026523.1 transcript:Solyc10g026523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIH >Solyc03g097470.3.1 pep chromosome:SL3.0:3:61235534:61248899:-1 gene:Solyc03g097470.3 transcript:Solyc03g097470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTIAAGPTFSLQHRHQVFGRVGVSTLRHQQGHTLFFTQAVPAIRRKFCPSIGIYQDRQSFSDGTFNSVMCSSSVEGADKVSTDQSRVLRLGNRGCKLIGCGSAVPSLNVSNDDLAKIVDTNDQWISVRTGIRNRRVLSGKDSLTDLAAEAARKALEMAEVDPDDVDLILLCSSTPEDLFGSAPQVQRALGCKSNPLSFDITAACSGFMLGLVSAACYIRGGGFKNVLVIGADALSRYVDWTDRGTCILFGDAAGAVVVQACDIGEDGLFGFDLHSDGGGQRHLNALIKDNETDHAFGTNGSVIGFPPSNSSYSCIQMNGKEVFRFAVRVVPQSIEAALENAGLPRSKIDWLLLHQANQRILDAVATRLEVPPEHVISNLANYGNTSAASIPLALDEAVRSGKVQAGHVIAAAGFGAGLTWGSAILRWG >Solyc09g083190.3.1 pep chromosome:SL3.0:9:69293844:69296051:1 gene:Solyc09g083190.3 transcript:Solyc09g083190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSLTLSLLSTIPVPNSVFSTKWSVLFRGNPCSISSKIGTKCGGFRSSTRLYAGLTEIEPDINEDPVDRWRTNGIDIEDYVFGKYDDHHTYFESEDKKVSFWGSIAEDYAAIAPPTGFQGIISWLFPPAIVAGMYFNVPGEYLYIGAAVFTIVFCIIEMDKPSEAHNFEPQIYNMERGARDKLISDYNTMDIWEFNEKYGDLWDFTVKKDDIMKR >Solyc12g008350.2.1.1 pep chromosome:SL3.0:12:1760116:1760802:1 gene:Solyc12g008350.2 transcript:Solyc12g008350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNNNNGSSTSVVSYVGVRMRAWGKWVSEIREPKKKSRIWLGTFATPEMAARAHDVAAMSIKGTSAILNFPQFSHLLPRPVTCSPRDIQNAAVKAAHMDHLNPKFSILPETSAATMTSSSSSLSLVSGVTSSSSSFQDDEESRPSPPELIPEATGQLSEIVELPKLGSSYELVESTQSLFESDEWWDNNYGNCEYFFGQDNYISSNMEFTGLENVVSTSFESFLWQH >Solyc10g049996.1.1 pep chromosome:SL3.0:10:47430990:47432053:1 gene:Solyc10g049996.1 transcript:Solyc10g049996.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLPYCVALLEVRIPKALNLFMKELFNVQAEKLHKKKISMDVESSYDIFTYAFKYEKKTHLMDIQVNGATIAQKDERIDIISVFLKIILNILMLSVVSKIVVEI >Solyc07g026540.1.1.1 pep chromosome:SL3.0:7:28915081:28915359:1 gene:Solyc07g026540.1 transcript:Solyc07g026540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSDGSVKICLYPSSSLGVLTSLEFSELQFIAATAAPGPPKKKERKRRGRARRRRGVGEERGGAGQALLLVSAAWQSWAEMLAGAATSGLS >Solyc02g092850.1.1 pep chromosome:SL3.0:2:54456245:54457752:-1 gene:Solyc02g092850.1 transcript:Solyc02g092850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSRASISEEEHNENLLEVGNELLQQPPSSKEELLEKLDKLEHLLSPVKQIPSVSMRDAFRPAMEALVADGLLRHCDMDLKVSVASCISEIMRITAPDQPYKDSILKASTTHTVFFAQNGKSDLLQICTERATEATFLSALFKRLASASGGAARPCCSVGSLSQEGRIKKNITKYLGGIPSNL >Solyc01g065624.1.1 pep chromosome:SL3.0:1:71922313:71932369:1 gene:Solyc01g065624.1 transcript:Solyc01g065624.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSDADWAGDVNDRASTNGYILFIGQNPNDARTSTEAEYRAVAKALEETTIILVEMRLTFQKVLTIYCDNVGATYLCANSVLHSRMKHIAVDFHFARNQLASFPVFVVVSLLQLDVPPSLGDKHPSCPTSHGCCKYHLSKVRCLVSNMIVCALCFLNANVFK >Solyc06g053715.1.1 pep chromosome:SL3.0:6:36648008:36648947:-1 gene:Solyc06g053715.1 transcript:Solyc06g053715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMHVRGNGVSDKKKMMPILGICMWGNHKISVLDWFCSPREDVYIYSFFWFMISVGAEIMI >Solyc02g070487.1.1 pep chromosome:SL3.0:2:40794155:40794850:-1 gene:Solyc02g070487.1 transcript:Solyc02g070487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATTIWGLKKRRGTCDLKHFLPQEASLFSVLLCKKHKYSHCAASLSCFSLIDSNSKTKKFGQFLLVLKQ >Solyc11g039550.1.1 pep chromosome:SL3.0:11:44039159:44039296:-1 gene:Solyc11g039550.1 transcript:Solyc11g039550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKFLSPPLTVHVLGLKVGFTM >Solyc10g006100.3.1 pep chromosome:SL3.0:10:830753:839537:-1 gene:Solyc10g006100.3 transcript:Solyc10g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPKETIEVISQSIGVSNLSPDVLPALAVDVEYRIREIMQEAIKCMHHSKRTTLSTDDVDAALSLRGVEPIYGFASGDPLRFRRAAGHKDLFYIEEKDVEFKDVIEAPLPKAPLDAAVVAHWLAIEGVQPAIPENPLPEVLAMPSDNRNTEYKEDGVPVDIKVPVKHVLSRELQLYYEKIKELTVNRSNPFLFKEALQSLATDSGIHPVVPYFTYFVSDEVSRNLNNFPLLFALMRLLWSLLQNKQVHIEPYLHQLMPSIMTCLVARRLGNRLSDNHWELRDFSATLIALICKRYGRVYHNLQSRVTSTLLHAFLDPTKALPQHYGAIQGLAALGPGVVRLLVLPNLEAYLQLLEPEMQPEKQKNEMKRFEAWRVYGALMCAAGLCIYERFKLLPASLSPSTRTFLKSKWKVSTTLSSGKRKASLNNMMTQPPPKRLMTDGPISSMTANSLPVSTQGPAVGYAVTPRVTETGVSSASHGLQQNENMLRTIGRRDMTTGQGQKTSVPLDQAWKEDLDAVRLLPSLFECFGERMFSFIPSPELSFFL >Solyc04g074865.1.1 pep chromosome:SL3.0:4:60887487:60890784:-1 gene:Solyc04g074865.1 transcript:Solyc04g074865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNESFSSIRSNILVGKPTVTVNEAYAVATQEESQRALGVSERTKDHLTLLAGKAQTYNPRPKKFVPSGTICDHCGFKGHYKGDCYRLVGYPPGFQSKRKGIDGYKNDYKAAEGFRPDFKLNAHFTRNSHDFNDKEKQVEGLASLNSKTSRVYEWIIDSGATHHITHNEEMLTTIRRIQGNCSGEIEGLYILKNQIQSPAQAMTTANMSEDATLWHLRLGHASNDYFSPHLIIFSGPPTDCNIALIPGLIGETTPNNPTAELPSLTFLEEPFQIIDQFQSRASRNIKPPGWLGDYVTSSKAKPSSASCSYPISDSLQYSHLSTPYQIYLCSFSPQCGNDIVVILVYVDDLMITGNNQQLIDDPQKTLHSKFKVKDFRGAKSASTPMEMNVKHTTLEYDSIVGSVEDPMLSDIHSYQQLVGKLIYVTITRPDICFAVQVLSQFMQHPKKSHWDATLRVCIYLKQAPGQGVLLARKPITSLTAYCDSDWAACPNTGGQ >Solyc03g006040.3.1 pep chromosome:SL3.0:3:726667:729015:1 gene:Solyc03g006040.3 transcript:Solyc03g006040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRVQTKMAMRSKLHLLRTLTKSKSVKKNSIILDAFLYIIKLRLQLEAIQREYQQLLNHVQEVKVEKLIGTRFLVKVTCKKGKDVLVSILEAFEDMKLIVVQARVTSRYFFGMEAIVEAENEATLDVRALTRALQMSIHKQSFENFK >Solyc07g053565.1.1 pep chromosome:SL3.0:7:62106994:62108061:-1 gene:Solyc07g053565.1 transcript:Solyc07g053565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFGKQEKSCPLVFKPKILQPGPTWSPSVFKPKIRPTLIFFKCRSRIQVSEFSSSFSSSCHVISILFIISIILISSFIAAKLSRHCDSNGVLSSFGVTFDNIKKSRIVIVGGSKHGDQFSSEVNVFDPSTEIGYSLVLQV >Solyc02g079890.2.1 pep chromosome:SL3.0:2:44838762:44842062:-1 gene:Solyc02g079890.2 transcript:Solyc02g079890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACINMVSIASTMQTQKLHSTTEKDSQPERISTYKPNIWKYDHLLSLTNQYSEAKYKIEAEKLKEEVGCMFSNTTSPVAQLQLIDGIDKLGLSAYFEVDTKETLENIILYMKTSSTSKDLYATALCFRLLREHGYHASQDMLKDLFDGKGKLPLDMKTSLELFEGSHLSIDGENLLNDIRLFSTKNLKNLSLDVDRLTSNPLAWRVRWYDVRKHIITAQNCNDTNPMLLKLAKLNFNIIQATHQKDLKDVIRWWRNVSIIENLEFTRERIVESFFFAVGIASEGEHGSMRKWLAKVIQLILIIDDVYDIYGTLADVQQFTVAIEKWDPEEVQRLPKSIQICFGALHDTMEDISVEIQRQKGGPSVLPHLKQVWVNFCKALLVEATWYHKGHIPTLEDYLHNGWTSSSGPLLSLHVILGLTNENLHLCKNCQEIIYYTSLIIRLCNDQGTSTVELERGDVASSIICYMHQENVSEDVAREHIESIILNSWEKTNYHFNRLSTSHRKIMKHVINEARMAHVMYLSGDGFGVQDGETQDQVLINLVQSII >Solyc03g123870.3.1 pep chromosome:SL3.0:3:72043358:72066717:-1 gene:Solyc03g123870.3 transcript:Solyc03g123870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKSSRSRAHAVTQSKGINKDTGPKLEENLNVFKSDNFDADAFVQSKCHSLNEKEIRQLCSYLLELKRASAEEMRRSVYANYTAFIRTSKEISDLEGELSSMKNLLSTQATLIHGLAEGVHIDSLSDVVPESTSDSSPTADVREPSDLEKWLTEFPDHLDVLLAERRVDEALLSLDEGERVASDAKEKKTLGHAVLLSLQTAIAERRQKLADQLAEIACQPSTRGAELRAAISALKKLGDGPRAHSLLLNAHYQKYQFNMKNLRPSSTSYGGAYTAALSQLVFSGIAQAATDSLAIFGKEPAYTSELVMWSTKQTEAFALLVKRHALTSSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALDANLKRIEESTAALAAADDWELTYPPSVTRASGRSAGAVPGSTGAYQHKLSSSAHRFNLMVQDFFEDVGPLLSMQLGGKALEGLFQVFNTYVNTLVRALPGSMEDEASYEDSGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLAPLANQKDDLQRRASDRQSRHPEQREWKKRLVNSVDRLKDSFCQQHALDLIFTEEGDSHLTAEMYINMEGNADDMEWSPSLIFQELYVKLNRMAAIAADMFVGRERFAMLLLMRLTETVILWLSQDQSFWDDIEEGPRPLGHLGLQQFYLDMKFVTCFASQGRYLSRNLLRVVNDIISKAMSAFAATGMDPYSVLPEDEWFTEIAQDAMEKLSGKPKVANGERDLNSPTASVSAQSMSSVRSHGSY >Solyc02g071645.1.1 pep chromosome:SL3.0:2:41596786:41597535:1 gene:Solyc02g071645.1 transcript:Solyc02g071645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGKLPYLDYRASSIPAELQVDVMEQLGFNGNIPPYASTRGRDILRGVNYASAAAGIRDETGRQLLVITNINYCGIDI >Solyc05g052500.3.1 pep chromosome:SL3.0:5:63562306:63567970:1 gene:Solyc05g052500.3 transcript:Solyc05g052500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSMRNLFKERKIPFLFTFFLLLIFVTFLLISNSQKSPVFIATDISQHHSETPISSNPIVSQTQLNPITKDSNPSEDFGLNDTKNDELGVENFNWKLCKGPVAVDYIPCLDNSEAIKALKSRRHMEHRERHCPDPSPRCLIPLPDGYKLPVPWPKSRDMIWYNNVPHPKLVEYKKDQNWVVKSGDYLVFPGGGTQFKDGVTNYIESIQKTFPEIDWGKHIRVILDVGCGVASFGGYLLDKNVITMSLAPKDEHEAQIQFALERGIPATLSVIGTQKLAFPDNAYDVIHCARCRVHWDGDGGKPLMELNRILRPGGFFVWSATPVYRDDERDKKVWKAMVALTEAICWKVVKKTFFDSAGVGLVIYQKPVSSSCYENRRESNPPLCDQNNRSNSSWYASLDSCLVPLPTSSSGNTYKWPAPWPQRLNNKPERLSQKTDNEDIFDEDTKHWAALVSDVYLGGLSINWSSVRNVMDMNAGYGGFASAIIDRPLWVMNVVPISEPDTLSIIFDRGLIGIYHDWCESFNTYPRTYDLLHSSFLFGNLTQRCDVVEVVVEMDRIVRPGGYVLVQDTMPMLNKLRSILQSLHWSVNLQQEQFLVAKKGFWRPHDKVRK >Solyc08g068680.3.1 pep chromosome:SL3.0:8:57876464:57878575:-1 gene:Solyc08g068680.3 transcript:Solyc08g068680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aromatic amino acid decarboxylase 1A [Source:UniProtKB/TrEMBL;Acc:Q1KSC6] MGSLSLEMDFEPSPMTPRSLAAMTPRSLARRRLFPNVDNKKQKMAQPGAGPRKNLELEVMEPALKNDGPSLDTILVNYLDTLTQRVNYHLGYPVNICYDHYATLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLNWFAKLWEIEKDQYWGYVTNGGTEGNLHGILLGRELLPEGILYASKDSHYSVFKAARMYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDLDVILEILKECGYSQDRFYIHCDAALCGLMTPFINNMISFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTPIFLWYSLSAKGQVGLQKDVKRCLDNAKYLKDRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVKDMAHVIVMPGITREMLDNFMSELVQQRKVWYQNGKTDPPCVGEDIGAQNCACSYHKIDYICP >Solyc10g039380.2.1 pep chromosome:SL3.0:10:21521220:21531145:1 gene:Solyc10g039380.2 transcript:Solyc10g039380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWSMVEELEKVEISEEGNHGERDSLKIVAVLRRFVGVQQRRAEAYARLKRGFEDYMASGVESTYQQLCSEITDEFNDCSKQVLEMESQFLTAHCFREDLSLLLRSVQNQEKMKLQLTATIQVLKRAGRPSERPVSHENCRFSKPTGHECVHIQKITEASGTEEAEADAEFDNALKEAINGVQDAVTAINDHLEEVRYEIAALED >Solyc06g053710.3.1 pep chromosome:SL3.0:6:36644373:36647976:-1 gene:Solyc06g053710.3 transcript:Solyc06g053710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:UniProtKB/TrEMBL;Acc:Q9XET8] MLRTLASALLVLSFFVSLSAADNGFPRCNCDDEGFWSIESILECQKISDLFIAIAYFSIPIELLYFVSCSNFPFKWVLFQFIAFIVLCGMTHLLNFWTYYGQHPFQLMLALTIFKVLTALVSFATAITLITLFPMLLKVKVREFMLKKKTWDLGREVGLIKMQKEAGWHVRMLTQEIRKSLDRHTILYTTLVELSKTLDLHNCAVWKPNENKTEMNLIHELRDSSFNSAYNLPIPRSDPDVIQVKESDGVKILDADSPLAVASSGGSREPGAVAAIRMPMLKVSNFKGGTPELVPECYAILVLVLPSEQGRSWCSQEIEIVRVVADQVAVALSHAAILEESQHMRETLEEQNRALEQAKQDALRASQARNAFQMVMSHGLRRPMHSILGLLSLLQDEKLGNEQRLLVDSMVKTSNVVSTLIDDVMDTSTKDNGRFPLEMRYFQLHSMIKEAACLAKCLCAYRGYNISIEVDKSLPNHVLGDERRVFQVILHMVGNLLKDPNGGLLTFRVLPESVSREGIGGAWRTRRSNSSRDNAYIRFEVGTSNNHSQPEGTMLPHYRPKRCSKEMDEGLSFTVCRKLVQLMQGDIWVIPNPEGFDQSMAVVLGLQLRPSIAIGIPEYGESSDHSHPHSLLQGVKVLLADYDDVNRAVTSKLLEKLGCSVSAVSSGRDCIGVLSPAVSSFQIVLLDLHLPDLDGFEVTMRIRKFGSHNWPLIVGLTATADENVTGRCLQIGMNGLIRKPVLLPGIADELQRVLLRGSRMM >Solyc06g083540.3.1 pep chromosome:SL3.0:6:48968615:48977590:-1 gene:Solyc06g083540.3 transcript:Solyc06g083540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILQWLFKNANDTNFNTNSSKKQVVTDEEVNSQGIVLFEISGKKRNRAGKSANSSCCNILNWFNTLRRKDIAKEYFYSTIRLNRLESSRRKQQLVHCMKMKKQSLTRGLSFRRNSDSAIAKVLPVSDAVGTKNSHKEQNLSADKKEKANGDKMKTVSKMKELIRWAAAVKSQKGGKYFSQKVQTLRPPGILFSFLVSCQDCLVLGGLCNSTNGPNFVLWKVDEETMEFSELAIMPQALMYCLFDSDEDDKFASLKCVGLGNLVYVYNEEHHKNYTACVCEFSNDFRMCSWRKLPNLPPNAKKMDRYQKVEKPKPELPINENEIRITSQGLVRNYISYATSLLQERSGKEIILKAMGQAISKTVAVAEIIKRRIPRLHQDAAISSVSITDVWEPIEEGLLPVEQTRHVSMISITLSTTELNKDSPGYQAPSDIQQTNYYNNNNNYAPRYSYQPRQQQPPPRQAQAVFNAGNEENGGYSNWGRGGGRGGWGYRDSGYGRVRGGGGRGYGYGGGRGRMGNRPRGGNNNQA >Solyc11g039900.2.1 pep chromosome:SL3.0:11:41389959:41390579:1 gene:Solyc11g039900.2 transcript:Solyc11g039900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEIINSVIVVGLYYGFLTTFSIGTSYLFLIRAPVMEEGTENKVSWVEHIQELSYLYHIFCSISFGTITKSFFYCGSTTKNSKSNLNIQCVFLNNHIFQLFNHFILPSSMSAILVNIYLFRFNNIFFVTSGFLCLLVCDILFMKWIRFV >Solyc02g093197.1.1 pep chromosome:SL3.0:2:54784335:54788970:1 gene:Solyc02g093197.1 transcript:Solyc02g093197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDSELREIDRCAGKGYEVLLGRSDVSGWAQSNEATGVGKEPVSCDDYSDGIWHTHVVSSGTGTGKWTMTDQSMAIDDGSSTCRKKVMLQLLTAYMNLLGNI >Solyc11g040140.2.1 pep chromosome:SL3.0:11:40028864:40032398:-1 gene:Solyc11g040140.2 transcript:Solyc11g040140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4D7Y8] MGFKWTMMLVLCVLIGGSMGAKPNKPIDVPFGRNYEPSWAFDHIKYLNGGSEIQLSLDNRTGTGFQSKGSYLFGHFSMHIKMVAGDSAGTVTAFYLSSQNSEHDEIDFEFLGNKTGEPYILQTNVYTGGKGDKEQRIYLWFDPTKDYHTYSVLWNLHQIVFFVDEYPIRVFKNNKNLGVKFPFDQSMKIYSSLWEADDWATRGGLEKIDWSNAPFVASYKGFHIDGCESSVNAKFCANQGKSWWDQKEFQDLDKTQWRLLRRVRDKYTIYNYCTDKKRFSTTPIECKRNRDVPRNSRKEN >Solyc10g081600.2.1 pep chromosome:SL3.0:10:62749044:62762091:1 gene:Solyc10g081600.2 transcript:Solyc10g081600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METARDTVQHDAVLPAGDDDTPTLSSHALEALKEFLAEQSRAVEEASSAAAEDDVALVSEDWRLSQFWYNRETAETVANEVLNLCRSIDSPAIACIACPTLYAYLKKIDPNAPAQILEYDKRFEQYGSEFTFYDYNLPEDLPSSMKHSYPIIVADPPYLNCLQSNSIHCLITGFSPLDGMSQECLEKVAKTISFLTRPGQAYLLLLTGEVQSGRASELLGLRPCAFRPDHSSKLGNEFRLFTNYDPGTRLGGWEQAGLQTRMGQWWEGIPILTSAIVVVCGIIYLVCLLVGYDSFAEVCFWPSAVISRFQVYRIFTSILFHGSLLHVLFNMLALVPLGSELERIMGSVRLLYIIVLLAISNALLHLLIALLVAHNPLHPYPYFMDECAIGFSGILFSMIVMETSLSGAQTRSVFGLFNVPAKWYALILLVVFQLVMTNVSLLGHLCGILSGFAYTYGLFNVLIPGTSFFSAIESSTWLSTCVRRPKFIMCTGGNGAGYLPTHSNQTVTSRSEVLSGNIWENLSSWMPQRESSLSAQPVTDDSRFPGRGRTLSSQTTTTNHDSTLQARLLDGSSSPERSSPTATIAGERSLAGRLSAVDTTTTATRPLGQQASIPSDEEVQKLVAMGFEKTQVEVALAAAEGDLNVAVEILMSQQAQESPRAHNRRDAGSEMSYASWAGIQNS >Solyc07g021660.2.1 pep chromosome:SL3.0:7:20888346:20905809:-1 gene:Solyc07g021660.2 transcript:Solyc07g021660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRIARLRLAEERRLWRMDHPHGFVAKPETAPDGSVNLMVWHCFIPGKPASDWEGGYYPMTIHFSENYPFMPPKCKFPQGFFHPNVYPSGKVCLQSLFNQGHGWSPTITVKEILIAIPYLLDWPSPNYKAQTDSYNMYIQDNAEYRRRVRQQAEQYPAFF >Solyc09g011150.1.1.1 pep chromosome:SL3.0:9:4504336:4504512:1 gene:Solyc09g011150.1 transcript:Solyc09g011150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGTRAVRALSHMDSSMCSSAPDPEMWIIQGTLAWRTPPVRTGVRYDPWPPAWCSLEF >Solyc06g065215.1.1 pep chromosome:SL3.0:6:40780370:40781731:-1 gene:Solyc06g065215.1 transcript:Solyc06g065215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSLYLDLKKRNLNSLIYGNSGSSMCVLLFFLDKLSHNVTEIRVLYNFRPGATQSASGLTEYSGGLKV >Solyc10g009410.1.1.1 pep chromosome:SL3.0:10:3460978:3462354:1 gene:Solyc10g009410.1 transcript:Solyc10g009410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLISVLFFFTIFAAAHSLQYQTLTLNPLPQLQSLSWEPQLSSDNENTLSVQLHHVDLLSPSSFNATPHALFKLRLQRDAIRAKSLSLLSANSTGKDFSSSVISGLSQGSGEYFTRIGIGTPVKYVYLVLDTGSDIVWIQCLPCSKCYSQSDPVFDPSKSSSFSTVTCDSPLCRRLDSSGCNNRNKCLYQVSYGDGSFTVGEYSTETLNFRKTSVSNISFGCGHDNEGLFIGAAGLLGLGKGKLSFPGQAGNRFGQKFSYCLVDRIGSAKPSYIVFGESAVTRNTIFTPLLTNPKLDTFYYVELTGISVGGTKVPAVTPELFKLDAEGNGGVIVDSGTSVTRLTRPGYIAVRDAFRLGAKDLKRAPNFSLFDTCYDLSGKKQAKVPTMVLHFAGADVALPAANYMIPVNTEGRYCFGFAGTNGGLSIIGNIQQQGFRVVFDLAGSRLGFAPRGCSY >Solyc06g068460.3.1 pep chromosome:SL3.0:6:42550570:42552589:1 gene:Solyc06g068460.3 transcript:Solyc06g068460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSLVDTSLDLSFRPRQKVLKQEVQSDFTGLSIERENMVVKNEAGDLLEELNRVSSENKKLTEMLTVVCENYNALRNQMMEYMSTQNGVAEDTSAGSRKRKAESISNPVNNNNNNNNNMDVVHGRSSESSSSDEESCCKKLREEHIKAKVTIVSMKTDASDTSLIVKDGYQWRKYGQKVTRDNPCPRAYFRCSFAPGCPVKKKVQRSIEDQSIVVATYEGEHNHPQTSKPESGPSTNTSTASRLNVTTIAGTTTSVPCSTTLNSSGPTITLDLTAPKTVEKRDMKMNHSTTSPTSGNSIRTTTTTSAAGGEYQNRPEFQQFLIEQMATSLTKDPSFKAALAAAISGKILQHNNQTGRW >Solyc02g063480.2.1 pep chromosome:SL3.0:2:36108718:36110335:1 gene:Solyc02g063480.2 transcript:Solyc02g063480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYYYIFPFYCFLLITTISTNNTLAKTTFHPKTLFLAVKKDPISLQHISEIQQRTPLVPLKLSIHLAGDSVWVDCEKGYNSSSYKAAHCKSSQCKLASTTLCGDCLVGLVERGPGCNKDGCYNTIENPLVQILTRGEIARDVLTIKSISGSFVGPVATIPNYIFSCAGSYVTQDLGKDVKGTIGFGHQSAVSLPVQLASAFKFTRKFAICLSSSTERNGIIFIGNSPYLFNGGFNASRDLIYTPILTSPYDVVRDKKISEYYITVSSISINGKNVPINKTLLSLEKQGGTSISTGLPYTMLASSIYKAVTEAFVNEMPKEVRSVAPVEPFTTCFNSRDIGMSRLGFNAPEINIGLHKKNMHWTITGANSLVKVNEDAVCLAFVERLTRDWGEAIIIGTYQMQDNLVEFDISRRRIGFSNSLFFRQTMCSNQNYT >Solyc09g074795.1.1 pep chromosome:SL3.0:9:67041685:67042570:-1 gene:Solyc09g074795.1 transcript:Solyc09g074795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHLIKPQIRDLSLHKFPEKQNHRHVDSGLKRLFQKHSSINRYLKFVEVLLPIKLFTFTINTPSSAIFIIIVFSRKQSA >Solyc01g008090.3.1 pep chromosome:SL3.0:1:2155674:2163677:-1 gene:Solyc01g008090.3 transcript:Solyc01g008090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPTLFLPRCRPEFPVNLAKMVLPNDVDLLNPPAEHEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRARLTEASSFQLPPTLFLPRRRPEFPVYLAKMVLPNDVDLLNPPAEHEKRKHKLKRLVQSPNSFFMVKETNNGVQPLANCGGVRELSDGLVPANWWAC >Solyc06g048530.3.1 pep chromosome:SL3.0:6:31284411:31295017:-1 gene:Solyc06g048530.3 transcript:Solyc06g048530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLHIPCLDDNYSYMIIDETTKEAAVTPSSRIRSSELLKRTKLTSSLFSPLITTDDMLIAAKKKYDIQKLKGLLSAEFEMKDQGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKTTLQPTVTLSTTEAEYIGGICIYLITTHFRDHAGGNDKIKQLIPGIKIYGGSVDNVRGCTDKVENGDRVSLGADISILSLHTPAHTKGHISYYVTDKEGEDLAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTRYTVKNLQFALTVEPDNAKIAEKLAWAERQRRVGLPTIPSTIQEEFESNPFMRVDLPEVQDKVGCKSPVEAMREIRQRKDNWKG >Solyc03g071533.1.1 pep chromosome:SL3.0:3:20026015:20027868:-1 gene:Solyc03g071533.1 transcript:Solyc03g071533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQVCPWWREIIPRQRQGQSVNNLKVDTSLKKKGVTLKISFSLRIIGDLGVRIFLSGDCSEESYRESHLSLPHLAPLCPGRCHGKGLYLLVTLICLATDNEVENIVCLSFISGVWLSSFIVFVFFVRPALYYCHVLLNSRIGGVSPIYSRQDLYLLKILVVFDLSNL >Solyc03g063010.1.1.1 pep chromosome:SL3.0:3:35204597:35204767:-1 gene:Solyc03g063010.1 transcript:Solyc03g063010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEDANVNVPISDLVPTKETEIDMIEDYNGVSRGCWIQLIVHVHVYIQTIRTDRDI >Solyc02g079940.3.1 pep chromosome:SL3.0:2:44882777:44885904:1 gene:Solyc02g079940.3 transcript:Solyc02g079940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNIGILVCLLLVILDVAAGILGIQAEVEQNKVQDLKVWIFECRDPSYEAFKLGLAATVLLVLAQVISNLLAGCICIQSKEELDKASSNKQLAFASFVFQWIIMAIAFSLLVAGTLSNGKARRSCGISHHRFLSIGGILCFIHGLFSVSYYISATATIQEDKKLNQQGGHA >Solyc08g081100.3.1 pep chromosome:SL3.0:8:64320464:64326912:-1 gene:Solyc08g081100.3 transcript:Solyc08g081100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCHMFPDVFFNLRTLGIWSTTQPNGLAVPDASMHPVKSTANVLELMNIGLMNRAVGATALNERSSRSHSILTVHVRGIDLETNDILRGCLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKSSHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVESYSETISTLKFAERVSGVELGAARNNKEGRGVKELMDQVANLKDTIAKKDEEIGRLRVPKNSGNGERRSVSSTRHSSASPRRQSLGDPRTNQISGERSSKPTQKAASDVDNSSEYSDRQSDTGSQQSMDDFRHHRDFFRQSRLAVVDADLNLGEHTNSRATARGSQNPNEDVVLIGFDDADSEERLSDISDGVLSMGTETDGSINSIVEYTLFPETTKPPPETPEKPSIIPAKLPRPTQKTVQTGSSRMSLKKSTPKVPSSKKPPSGNTSAVRSSKRWQ >Solyc02g082540.3.1 pep chromosome:SL3.0:2:46757748:46769696:-1 gene:Solyc02g082540.3 transcript:Solyc02g082540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNQTDQFEAYFRRADLDQDGRISGVEAVSFFQGSNLPKPVLAQIWTYVDQSRTGFLSRQEFFNYLKLVTVAQKRELTPEIVKAALFTPASAKIPAPQINLAAVAGPRPANRVGSAVPPVSRAAPTEAPSFGIRGQQGLPVPQSQYMRPPRPSVQSTSFPSQSGASSHGMPGGTMAAFSQANSSDWLSGNGGSQAAVTSQAPNISINSRSQDGFDHASPQQNQQKTTYSATPVSGNGFASDSLFGDAFSVASVQPKQNSAPSISSAGSFPVSPAIVSASAGLQHPVKASPIVSQVALPQKPVNQHQQAQLTGRPNKQVLVPSAGANPNAAGNSRSSQSQIPWPRMTRSDYQKYSKVFMAVDSDRDGKISGLEARNLFLSWKLPREVLKQVWDLSDQDNDSMLSLREFCIALYLMERHREGHPLPSVLPTNLIFDESLLPASGQPVPPHGAVAWRHTPATQQTQGPRVVPGQMASGAPGRPPRPVPIPQPNEVVQPGQQKPKVPALEKHLVDQLSQEEQDALSSKFQEATDAEKKVMELEKEILEAKEKIQFYHAKMQEIILYKSRCDNRLNEITQRTSADKREVELLAKKYEEKYKQTGDVASKLTIEEATFRDIQEKKMELYKEIVKMDQDGKTDGIQDRASHIQVNLEELVKSLNERCKTYGLRAKPTTLLELPFGWQPGIQEVAADWDEEWDKFEDEGFTFVKELTLDVKNVIAPPKTKSSLVREKASSLAEHDSGKSSADADTDAKIDKLSNHVQAREVGDMESAHGHQQTARSPTDSPSRSNAVESPSKEVQESMYGKDVSFDGSPHGAQRKETSFDGSPNAAQSEHWGTESVFSRDKGFDGSGWGTFDTNFDTDAAWDVNSVAKDSDHDNLKESSLFGDDDWGLAPIKTGSKQSNTLPNQMPSFDSVPSTPSYNAGIDNTFPKQSPFFDSVPSTPSYNAGFSYSDNTFPKQSPFFDSVPSTPSYNSGFTQTDNLFSRQSPFFDSVPSTPAYNSGGSPNADNMFQNKSPFAFGDSVPSTPMYSSTNSPRRHSGKI >Solyc05g008630.3.1 pep chromosome:SL3.0:5:2933652:2934121:1 gene:Solyc05g008630.3 transcript:Solyc05g008630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTTQTRNALFHFVCPVPLCSSFVDQLAPPRLSPGFDPAKNEALIEQKSAPIERILQFLRLYFAKFEQLR >Solyc03g078025.1.1 pep chromosome:SL3.0:3:50388845:50394314:1 gene:Solyc03g078025.1 transcript:Solyc03g078025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTYRSGGSGGQHANTTNSAVRITHVPSGITVSIQDQRSQHMNKAKALKILCAKLYEIERLSNQSSRSKLRLEQIGSGDRSERIRTYNFPQGRVTDHRVGITTHSIDDVLQGEDLDAFIDALLLRQEMDAIASFSST >Solyc02g020870.1.1.1 pep chromosome:SL3.0:2:20581309:20581575:-1 gene:Solyc02g020870.1 transcript:Solyc02g020870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIAKKKPVEKSPATKLTRIAEKSHVELNAQDKKKITKNSSAAAGSRKKKRSKKFKLLVVIFFLVNYQSMSYLNELRLLPYSLSLNL >Solyc03g044270.3.1 pep chromosome:SL3.0:3:8622412:8626935:-1 gene:Solyc03g044270.3 transcript:Solyc03g044270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQLISVSSIFILNSRHHTYLHRNLSMATETLNSAINGEVVDDDLLCSDATTTSSIIEQEGGRHPVGLNCANGYLKGEARIERAWAHWKKLGEPKLIVAPMVDNSELPFRLLCRKHGAQAAYTPMLHSRIFSENEKYRSLEFTTCKEDHPLFVQFCANDPDTLLEAARRVEPYCDYVDINLGCPQRIARRGNYGAFLMDNLPLVKSLVEKLANNLDVPVSCKIRVFPNLQDTLSYAKMLEDAGCSLLAVHGRTRDEKDGKKFRANWEAIKAVRDVVRIPVLANGDIRHMDDVHNCLEKTGADGVLSAESLLENPALFAGYRTAQWGLSTAGIKEDGKLDQAQLVVDYLKLCERHPVPWRMIRSHVHKMLGEWFRIEPSVREDFNQQSQLTFEFLYGLVNRLRELGVSVPLYVKETQEEAASPNGDGA >Solyc07g039190.3.1 pep chromosome:SL3.0:7:45676065:45678765:-1 gene:Solyc07g039190.3 transcript:Solyc07g039190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDRSEVNSSLPVKEGVEIRCSEPDRPSAENLQTQLIHNDSAAVKPVYDQDVQSILMMDVTGKKQFMSQQKILSDPSNKPDDRSGRPMLKTDVAVKKESISQKRNVDSEDQDTRSMLKMDIAAKKESVSRKNVVASSNEPEDQGVLEKTPSSVRKMISAFETGLTQKKGRRSLTRTRASKSQPNLVGIGGSLKDLDSDKISRPNKISALRLERPLNTVDLPEPQINIGKRVQNSSPAQDFVGTEQPVFHEQFKQSSVHIVQFNEAGSSQQETFVSAKKDSNTVAASPVDLIRLSNLETAISSQTTSVAHPDMLKASNLAADQDFFNGPAVAEKRNREIRSETSPEVHFEKASNVKPKLIACRKDELFDSENSGAWIFPDNKRRLCMTSAGKNIVHLSEDCHIGVDDHQRNKRPSMQETTGKRSFFRRSESTTMKGREKPQKPRTQSESFGENGSSGPVRQVMNIALVVGFGILVLLTRQRETRKNDRKSKVVYFNSPDFMDQLASSEEQWSVLKR >Solyc11g011580.2.1 pep chromosome:SL3.0:11:4645641:4647216:-1 gene:Solyc11g011580.2 transcript:Solyc11g011580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLGRNEVSVPMSSAVYSFERQPKRLLSLSACQDHLTCATSKHLPKIKQRKSVISKMNKLGERMDCLAQGIREHVSLSPKITETVKGKLSLGAKILQVGGLEKIFKQKFSVNDDEKLLSVCQCYLSTTAGPIAGLLFISTDKIAFCSERSIKFLSPTGKFLRMYYKVSIPISKTMKAKESENREKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLHHAISSTSSS >Solyc03g120680.3.1 pep chromosome:SL3.0:3:70472812:70476113:1 gene:Solyc03g120680.3 transcript:Solyc03g120680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIGVLLMRPLSNYIQQELAKRFTLFKYWEIPSESLKLHSDIIRAVVGNGVQGANSALIDSLPRLEIVSSHSSGLDKIDLVKCKERGIRVTSTPNGPTDDVADMAILLAIATLRRICVADRFVRNGIWQEKDFNLTAKFSGKSVGIVGLGRIGSAIAKRAEAFGCPISYHSRTQKPESTYTYYSHVIDLASNCQILVVACALTDETHHIINREVIDALGPNGIVINIARGSHIDEPELVSALAEGRLGGAGLDGLEHEPEVPTQLASLDNVVLSPHTAAGTVETRREMADLVIANLEAYFSNKPLLTPVL >Solyc04g081820.3.1 pep chromosome:SL3.0:4:65796047:65801529:-1 gene:Solyc04g081820.3 transcript:Solyc04g081820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPPPDSSASPPAANTDGVAVVTSGAGAAAVGATVSSPQARRSLQAPWAQVVRGGEVEAVSVSSPRSPSPMAAAAGVSPEKISLSDDCSTQKISPEISTSGALPESADSNEGNVGRPKKPAWNKPVNGVVEAVSVMGGAVSWPALSESTRPSPKSATDSAKLQDGSVSVSQGPIISQSPQKQANVNANTNSNANPTTPVRQRSIKYRGSSSSGGGGGSGPGAGAFIRTPPPPPPPLPPPFPVMHFPPVLEPPVRGARPVGGFPSQPHGVNDHSSHRNHGRKGNFGGRPRGDGSYHNNHGGRRDQDRRDVHMGPPFAPPPHAAFMRAPLPGSGPFLPTPMRTPFVNQMAYDMTPFFYVPPLSPEPYSAVPIINQAPQLPQHLPLVDPNLPSSLVNQIEYYFSDANLVKDDFLRVKMDEEGWVPMHLIANFPRVKKMTEKVQSDIIQFILYCLRASTFVEVQDDKVRRRDGWRKWTRTAGQLAADSGLSTPVASSDGGPTASLQNVSENESATNISSATEVTDAQLEVAAGGSSDESANQSNPAQEEGGAGVENISTNHA >Solyc11g072690.2.1 pep chromosome:SL3.0:11:56188698:56194605:1 gene:Solyc11g072690.2 transcript:Solyc11g072690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSLLFNQLKAAEPFFLLAGPNVIESEDHILYMAKHLKNITSKLGLKFVFKSSFDKANRTSSKSFRGPGLAEGLKILEKVKTIYDIPIVTDVHESIQCEAVGRVADIIQIPAFLCRQTDLLVAAAKTGKVINIKKGQFCASSVMVNSAEKVRLAGNQNVMVCERGTMFGYNDLIVDPRNFEWMREANCPVVADITHSLQQPAGKKLEGGGVASGGLRELIPCIARTAVAVGVDGLFMEVHNDPLSAPVDGPTQWPLRHLEELLEELVALGRVSKGKQQFKIDLTPFCD >Solyc05g025970.1.1.1 pep chromosome:SL3.0:5:38789233:38789565:1 gene:Solyc05g025970.1 transcript:Solyc05g025970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQKIKEICTKLALVDIPISADEVFLHVVHGLPSEYDSIASSLRARQKSITFQELHDKLTDCEAHLTRRSSKVPIMENIDAKPRSSTNLNSNRGANNSRSNQRNFSPSN >Solyc10g007760.3.1 pep chromosome:SL3.0:10:1984798:1989426:1 gene:Solyc10g007760.3 transcript:Solyc10g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAMKKMKKQVLLYYCVEMEDVARKIASESDSITLHSINWRSFADGFPNLFINNAHDIRGQHVAFLASFSSPAVIFEQLSVIFALPRLFVASFTLVLPFFPTGTFERMEEEGDVATAFTMARIISNIPISRGGPTSLVIYDIHALQERFYFGDNVLPLFETGIPLLKQRLQQLPNSEKIVIAFPDDGAWKRFHKQLVHYPTVICTKVREGDKRIVRLKEGNPAGCHVVIVDDLVQSGGTLIECQKVLASHGASKVSAYVTHGIFPNRSWERFLHKNDGSEKAFTYFWTTDSCPHTVKAVANKAPFEVISLAGSIADALQI >Solyc04g064550.1.1.1 pep chromosome:SL3.0:4:55737838:55739529:1 gene:Solyc04g064550.1 transcript:Solyc04g064550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVRSLRSIGNGKLYFQNGHNDNSSLATSMYTKNARGIMYATESSSTDSYDPKYLLESPSPSEELLNTSPTDVLGNPFHQRHSSSFHPSRDYNQVSYDSADCVNQSPDSSEYNDGRVTMKLQELERVLFDDNEIEGDDVFARGETVDIDDEWFNQIRTELLQESPKESTSADSNTSSSSSYKEISVSAPQTPKQMLFSCAAAIQDGHIEQASSMINELRQMVSIQGDPLGRTAAYMVEALAARMATSGRGLYKALKCKEATSSERLSAMQVLFEVCPYFRFGFMAANGAILEAFKDEKRVHIIDFDVNQGSQYYTLLQTLGSMPGKPPHVRLTGVDDPESVQRAIGGLNVIGLRLAQLAKDLKISFEFQAVSSNTALVTPAMLNCRPGEAVLVNFAFQLHHMPDESVSTVNQRDQLLRMVKSLNPKLVTVVEQDMNTNTAPFLQRFAEVYNYYCAVFESLDATLSRDSQERVNVERQCLARDIINIVACEGLERIERYEVAGKWRARMMMAGFTPSPISRNVYESIRNLIKQYSERYKAEEEAGALYFGWEDKTLTVASAWR >Solyc05g025655.1.1 pep chromosome:SL3.0:5:35436458:35438082:-1 gene:Solyc05g025655.1 transcript:Solyc05g025655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSNFSLAIIPFDTQESLHALPAVLYIDLNGITRCSLMEDASVQREERGQALEKGNMSALSEGEHMSLSQNWENCSDDENPLATSLPKIQEDSTSFLPAKEPVRVTRYVQRTRDAEILVQTAKKIRTRKHDSGYSARVLAFKKRSVIRGRVISGFWGSEMDELVIILQYQGWTELMLQGSFRRKMGRVETREFYINATGTASSITCTVSGITFTLTAETLSSILRIPNRGWGHYVKKDWPPLEGNTSQLDICRRFSNDPTLSEYSSVDKGCMLPLHQMLFNVVHKILLPRKQKRTEACYLDLTSMDLLLSRTQINLPILIMSHIHSLSKRDKKKRGLAYGFWLGQVFEHFGVPVKKWQIQTISHVMGKVDYMNMPGMPKRVDVKTQRLRASLTAVEKEMEALKRAHSVEMEQLYIAHKLELEELIAENCRIKEELTQTQAVLHQEREVNSGHLQSIMGLLTKGSSNSSTSMHPLFIQSSYKHYLIIFCS >Solyc09g009620.1.1.1 pep chromosome:SL3.0:9:3036310:3036531:1 gene:Solyc09g009620.1 transcript:Solyc09g009620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENERLRKKAELLNQENQQLLSELKHRLSQAGPSNNNNNNNNNGGGKNNSIPDLNLTNCSSSKNASSKSKKK >Solyc12g009840.2.1 pep chromosome:SL3.0:12:3032908:3037512:1 gene:Solyc12g009840.2 transcript:Solyc12g009840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTILPDLGTEILIPVCAVIGIGFALVQWVIVSKVTVSTDGKSSSPGAGADGKNGYAESLIEEEEGINDHSVVRKCAEIQNAIAEGATSFLFTEYQYVGVFMVAFALLIFLFLGSVEGFSTKSQECTYDSSKMCKPALATAVFSTISFLLGAITSVISGFLGMKIATYANARTTLEARKGVGKAFIVAFRSGAVMGFLLAANGLLVLYIAINVFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGVNHEFTAMLYPLLISSVGILVCLLTTLFATDFFEVKAVKEIEPALKKQLVISTVLMTIGIALVSWTALPSTFTIFNFGIQKEVQSWQLFLCVGVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNIIFGLALGYKSVIIPIFAIAISIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGISTVDVLTPKVFIGLLVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYANCVKISTDASIKEMIPPGALVMLTPLIVGILFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGTSEHAKTLGPKGSDPHKAAVIGDTVGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKMF >Solyc10g052862.1.1 pep chromosome:SL3.0:10:53720591:53722257:1 gene:Solyc10g052862.1 transcript:Solyc10g052862.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAFFSGYDLPLFITHTNLVLIPKRNVVDNFGDLRLISLNTFLGTLKGRSMTKNVLLPQEIIRDINKRNNFIQVLRRFRFSERIIDIIVRIISNNWYSVLMNGKAFGLFQSSTRLKEGDPFSPTLSIIAIEVLTGILNCSFEHSDFKGFGMPKWSPQINHLSYVDDTILFLYEGVSGKMIYIGKSICYRHNNFLVKGHFVVLIKIVDNIMPPFSVINQLHKLFNISKALFAKLYMWYKYLHVLTKIDVENSRFLFDNWTKLGYLLYIESDMSIEEDVEIREFTTIGGWNEQTLATKLLSQENG >Solyc04g039840.1.1 pep chromosome:SL3.0:4:12528972:12529220:1 gene:Solyc04g039840.1 transcript:Solyc04g039840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQTEPKASIGFKDTDILVAFRVTPQPGVPPEKAGATVAAESYIGTWTSVWTDGLTSLDRYKG >Solyc09g042760.2.1 pep chromosome:SL3.0:9:25781720:25786145:1 gene:Solyc09g042760.2 transcript:Solyc09g042760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDDISSPELHDDLRNQLCSQIESSIEDIETYVPATAALPDSFSTHLRHTLSQLNYLSPFPNSLKLHIWKLSYRLWNACVDLSNSNAASIQKHHEEHAKLRQVSADLLFLAADVTGIPSPAFKSASFFYKTGLKWHDLRKFELANYCFEKASDLLSKVDITNVSEYEEKKLLLDLNIARSRTAWEVSDRNLAIALLSRSKNLLFAFADNYKALANQYLMFGKAIVSKNEVSGVNEALKLMNEAFELCERGLKVVKSTGETLALMELRSKTLRFLGASHLQRDEFESVLKCVKVLRDGEKDQHPSLSVLAMKAWLGLGRFGEAEKELRGMVVDKGIPEGVWVSAVESYFQVVGAAGAPAVKGVFLGLLGRCHVSADAAIRVVNKVIGDPAGGGEEARLRAKVVSDLVSDDRILTLLNGDDASKERTAMHALLWNCAAEHFRSKDLQTSADIFEKSMLYVPSDIDSRNLRAKGFRVLCLCYMGLSQLDRAQEYINEAEKLEPNIASAFLKFKIYLQKNECDGAITQVQALPSCLDFTTEFLSLAAHEAIACRCLPVAVSSLSLLLNFYTTGKQMATTEVVVFRTLVTILAQDPQNDSDILKQMKRAHSRLREMSVEDFFGKGEIGRRERNWFSVNAWNVGVKTGQENRYAICAEFFRLASEFYGASIDEEKEGNHVMVCKSLIMTVYAIISDEKLRTNTLLENEVKESISLLDRAGKMLTSNSTEYEKLEVIIEPNFLFVYTWCAFDLHSRLDDTGSQQLLLIKRFANLKCCNPKHLLQIGIDASQGPRSNHEVAIFALSTCLSTLLASPSPDYASVALIVRKLVSLRSSHGADTIDDATMETYKQAYRIMVGLKEGEYPVEEAKWLSMTAWNRAAVPMRMAHMDEAKRWMSMGLELANKVPGMQTYRSCMEDFIAGFE >Solyc02g078880.3.1 pep chromosome:SL3.0:2:44075218:44081053:-1 gene:Solyc02g078880.3 transcript:Solyc02g078880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANQNKALTNTRFSDLEPRLAEPVLEALTNSGFEFCTPVQAATIPLLCSYKDVTVDAATGSGKTLAFVLPVVEIIRRSSSNPKPHKVMGIIISPTRELSSQIFHVAQPFISTLANVRPMLLVGGLEVKADIKKIEEEGANLLIGTPGRLYDIMERLDILDFRDLEILILDEADRLLGMGFEKQLNSIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVRVEVRAEAKQLSGSASGNSTSSKTPSGLHIEYLECEADKKSSQLVHLITKNKSKKIIV >Solyc03g110910.3.1 pep chromosome:SL3.0:3:63131702:63137967:-1 gene:Solyc03g110910.3 transcript:Solyc03g110910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMAMFASNLSSERITTFIGRNSEGFPDWSVKGIVLPVYSTFKAIETGNRNEQHKWLLYWAGDDAFRNFTNFFCFANLEIAFFSTRFPLYYHVKLAFLVWLQLPSAEGAKQLYTNHLRPFLMKHQARLDHILELFHGELGKFISTHQAEIQFAKVLLGKTLLSVGNILQQPAQGRVVGTIEGPAEQVETSESEDES >Solyc01g012610.1.1.1 pep chromosome:SL3.0:1:9695641:9695850:1 gene:Solyc01g012610.1 transcript:Solyc01g012610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQKFHSESLGKLGDSFLKCDASRQIFKNYESQHEGLLRIKKIKSFPMLHFATFDTLVKYENYA >Solyc08g077430.3.1 pep chromosome:SL3.0:8:61422670:61445892:1 gene:Solyc08g077430.3 transcript:Solyc08g077430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPMLEKCLLNRCILCHFFIVVFSLGLEQYRDEPVAFGKLKTDYYSEINITPYYLESGSQLLRNNSVSCEDLEGVGSFDTTCLLNSNLYIDSDLYVLGTGNLEILPQVSINCPIQGCIISFNLSGNVKVGQDARVLAGSVIFSALSLTLGHNSSINTTALGGEPPSQTSGTPVGYDGAGGGHGGRGASCLKTNDTNTWGGDVYAWSTLSKPWSYGSKGGGSSSEHKFGGSGGGRVYLDLKDLLYINGSIHADGGDGGSSGGGSGGSIFVHAQKLKGSGEITAAGGRGWGGGGGGRISLNCNSRQEDVKVTVHGGRSIGCPQNAGAAGTFYDAYVLSLRVDNDNITTETETPLLDFSTSPLWTNVYVENNAKVLVPLLWSRVQVRGQISLLYGSSIVFGLSNIPVSEFELVAEELLMSDSIIKVSGALRVSVKMLLMLQSEIQVDGGGNTVVTTSVLEVRNLAVLKGKSVISSNANLALYGQGLLKLTGDGDSIIGQRLSLSLFYNITVGPGSLLQAPLDDHRSRSKVTESLCDSTICPMDLITPPDDCHVNYTLSFSLQICRVEDIIVTGIIRGSIIHVHRARTVIVDYDGAITASELGCSKGVGMGNYSNGAGGGAGHGGRGGSGFFNGRLSEGGQRYGRADFPCELGSGSEGPGQSNGPVIGGGIIVLGSSQWPLLRLDVYGSMRADGQSCRTPSKNSNGTLAGGVGGGSGGTILLFLQFLGLSDNSAISVVGGCGGPLGGGGGGGGRVHFHWSKIHMGEQYVSPATINGSIYYSGGTGDGGGLRGEEGTITGRKCPKGLYGTFCAECPIGTYKDAEGSEPSLCIPCSIELLPRRAYFIHRRGGVTESPCPYKCVTDKYRMPNCYTPLEELIYTFGGPWPFSLLSSCIVVLLALLLSTLRIKLLGSGSTYNTSNSMDHHSHHHSPHLLSLSEVRGTRADETQSHVHRMYFMGPNTFREPWHLPYSPPDAIIEIVYEDAFNRFIDNINSVAAYDWWEGSVHSILSVLAYPCAWSWKQWRRRRKVHRLQEYVKSEYDHSCLRSCRSRALYKGMKVGATPDLMVSYIDFFLGGDEKRLDIVASIQKRFPMCIIFGGDGSYMSPYYLHSDTSLTNLLAQHVPSTVWNRLVAGLNAQLRTVRHGSIRSALLPVLNWIKSHGNPQIEFHGVKIELGWFQATASGYYQLGILVLAGDHSLYDLTQAENSGSCDDCSRLSYRKVPKIVRRNLKQPQESQQCASHALSRKKITGGMNGGLINDITVQSLDFRRDYLFPCSLLLHNTRPVGRQDTVQLLITILLLADLFVTLLTLVLFYWVSLGAFLAVLLILPLSLLSPFPAGLNALFSKGPKRATLARVYALWNATSLSNIAVAFICGAIHYGVAALKPPDDESSWVTKREDDKWWLFPTILLLFKSVQARFVDWHVANLEVQDFSLFSPDPDTFWAYEAVS >Solyc01g094770.3.1 pep chromosome:SL3.0:1:86057342:86062475:-1 gene:Solyc01g094770.3 transcript:Solyc01g094770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEEGEETAPRGNEWEVVSLTQSAYAAAPGPKQVDSNDDNGSSTEYVAETSQAMFMSGHFVFPPSQHENLPLEPDVNEINNDQEDEDAAPELVANEEGKSDFYEGSTETKGSSTPELPGIQFFDEKGNRLSIGAEFEEDAALQRLSLVNKEQSIFGVANYSSYQSEEHMGFSVTTEETNILEESVEPSHQVLESVSSNLPNTKDEDDYDAGNLPCQAWWKRRAASLVAHAKDTNALWSIFIAAAVMGLVMIGQKWQQERWQVLQMKWQAGVHSERISRMFGPLSRLKDVVVGGDRRGTFIRGSGAAQHCI >Solyc01g109620.3.1 pep chromosome:SL3.0:1:96427828:96435629:-1 gene:Solyc01g109620.3 transcript:Solyc01g109620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] iron-sulfur protein 8 [Source:UniProtKB/TrEMBL;Acc:K4B3H5] MAAILARKSLSALRSRQLVLAGQAWQGTNTPNGTLLGTRSFATKHSFSTDKDDEEREQLAKELSKDWNSVFERSINTLFLTEMVRGLMLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYATGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Solyc05g010760.2.1.1 pep chromosome:SL3.0:5:4953852:4954476:-1 gene:Solyc05g010760.2 transcript:Solyc05g010760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLSSMLFFPCVVRQFCNSEFWIDAWDVELFCFNFGYQILIINHFTFLLMGSTFFQNQTLRRLREH >Solyc09g059583.1.1 pep chromosome:SL3.0:9:55133310:55136717:1 gene:Solyc09g059583.1 transcript:Solyc09g059583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGASQDSAMAEISEATTSSDPLNTKSEVENFDPKTMRKTKPGLKRLFLTLTVFISFLIALPFLLKSIEIYRAPLPFEDIDLLSTEMEKNHSVFPCQFQAVFVNFDEITAVNELGLLIDSHMQKLTSENSPPCGTCGNNVTVAVTIDSNSNCIHRESGNGNGKWQCGMLNGFDKVNDHDEDFDEYLESVLDSTSRKVYTVVVINRKQEDVRIVVGKYRHAWIVGNDSVEKAIEKMAEIFIKVFVNGGKEEGSIRGEFMPVGADGKVVLSFSLLNSDPHDWVYDWDFKELDEILLAPVVDALRPVADISVESQVLYHTPKSSYSYWDDTQGSYIFSTKDLPFFQERIEETQRGKEAARLTPKVPQTNVTVTALQVKGGTSKTILERSVETSGGIRSEMGGVNQVKTLESIEAQQLWEENTESANVSGKSPSTGRKSWAGKSTYVEIELNDISSEVEYWKNSVVCYVSGAHPPFEVFKGFIQRIWGQHGINKIVMLMNGVVMVRTDTEIGQHEVIQAGIYHLDSKPFIVKAWHADMDFSREELHTVPTWIKLPRLDFKYWSPKGLSKLGSLIGKPLMVDQNTERKIGLNFARLMVEVDMNAALPDIINFRNEKGQLIEQKVTYEFSQKIKIKLTYEWKSTLCTYCKKYGHSEEICRKKNMPRKENKKKDLPQKEETTQVPGQRMAGNSKGKGVSEKDNKYGTSKNFRIPDSKRKKGLVTGSRQRRLDHSKKGGSCLSEWE >Solyc02g091420.3.1 pep chromosome:SL3.0:2:53350800:53352457:-1 gene:Solyc02g091420.3 transcript:Solyc02g091420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNKEDQITQNMEEGNVQSASNNKVGFCSSPAVVVLGQKLIAEVIGTYFVIFAGCGSVVVNKLYGGTITFPGISVTWGLIVMVMVYTVGHISGAHFNPAVTITFSVFGRFPWKEVPFYIVAQLMGSILASGTLSLMFDVTPEAYFGTVPVGSNVQSLAAEIVISFLLMFVISGVGTDERAIGHIAGIAVGMTITLNVFVVGPISGASMNPARSIGPAIVRHTYKGLWVYIVGPIVGTLAGAFMYNLIRATDKPLNELTKSVSSLRS >Solyc02g069980.3.1 pep chromosome:SL3.0:2:40332234:40338259:-1 gene:Solyc02g069980.3 transcript:Solyc02g069980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKSYLSGCKETSFATSVSSEITKRASCDQGSGAELSEMSPEKDKYPIDVCMESDSKDGDPCSLNSLDGPRPSTFSAMPGSLKPIVYRRKKFKQNPRPTFFIEPSAEVRPSNGCPSELCSEVHSGTLKEGIVAAEKLATATPVLLPAECNRGNLLSKSNSCDGRPEGEEQCSEAASRSDMQRTSNVCINDSHSSSKCNLDFGSSSLKTLVDDAGECSSSGALFPERLGNNMPEKDICTAILRGYGLLENVVVTKLGASTEDFYTSSDNCCLISCKACDCSESTVKMLICDNCDDAYHLSCCKPHKKIAPEDEWFCQTCLIKKQRVLKKSSCNESSSNSPSEGESGPTALMLKDTGYKTRVRISKKYQAEIPDWTGPATDDAGCSGEPFEITPSENLCLPKQSSNEHMRISSIGNWLQCRQVNEGFGKRVDGSICGKWRRAPLFEVQTDNWECFRSVLWDPAHADCAVPQV >Solyc08g016055.1.1 pep chromosome:SL3.0:8:6665590:6667000:-1 gene:Solyc08g016055.1 transcript:Solyc08g016055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSPKAYGSSGSKRNNNLFKKKKDNIPTNTIINQSYDFQLLINEHHKGNKDNIFIVVRWDLTTTGRFKLNTDEPVKTNPVPGGLEVTHTENGSYNADKHIPRKEQGDGHTQQGRDLKQQLWNSNLFDMYANNATWADISGTMYSRKLNPDFCNITGHTTTQNSNISATSSMLTST >Solyc04g072000.3.1 pep chromosome:SL3.0:4:59152255:59153998:-1 gene:Solyc04g072000.3 transcript:Solyc04g072000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFSSSKAFFFLFFTLSIASRLVLAQDCGCSSDLCCSKWGYCGSGNDYCGEGCQGGPCFSTTPSNNNNGVIVSDVVTNAFFNGIADQGASSCEGKGFYTRERFLEALQSYSNFGTVGSTDDSKREIAAFFAHVTHETGHMCYINEINGPSGDYCDENNTDYPCVSGKNYYGRGPIQLSWNFNYGPAGQSIGFDGLNDPDIVGRDGVISFKTALWYWMNNCHSLITSGQGFGPTIRAINGQIECDGGNPQTVARRVEYYTQYCQQLGVDTGDNLTC >Solyc07g021510.2.1 pep chromosome:SL3.0:7:18809123:18819651:-1 gene:Solyc07g021510.2 transcript:Solyc07g021510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFKCNKLTTKSEEIVFSMLIFVFVIPYLWIMMNPKKGILPRNISTYKLNKQIFILAGQSNMAGQGGVSYSYWDGIVPADCKSNENILRVNVGLHWEIAKEPLNYGVDCLRNCGIGPGMAFANAILNKDPNFGVIGLVPCSVSGKGIHYWSRGNIPYDQLLKKVKFSLKDGGQLRGLLWFHGESDTRTKFDATRYKSKFQKFIQNLRTDLNFPHLPLLMVILHVPSPWFKGKFVHIVRQAQIDVEVPNAVKVDAKGLPLNPDGIHLTTAAQIRLANMLADAFLSSNFTPPTKTQYHMI >Solyc12g098300.1.1.1 pep chromosome:SL3.0:12:66846209:66846457:1 gene:Solyc12g098300.1 transcript:Solyc12g098300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPSTRVIVVIFLIVLFSGIMVSADVLGRRMLGAGGVGGGGGHGLGGFGFGSGVSVGKASFGTGFGGGVLGGQGFGAGGGD >Solyc08g078190.1.1.1 pep chromosome:SL3.0:8:62147117:62148085:1 gene:Solyc08g078190.1 transcript:Solyc08g078190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVDEFFALEKIRTHLLGEFSPRTLKFGAELTSSKCSDTVTESCDSESASSGSLPFDFFFDIEADLFQFGAGVSNSSSESANSVYNRTDFVKIESEPSISSSDYEENQSKRFQFKSEPQVFIDLTSPKSRKLCSASDRKPSLKIDLPPVKKYEWIDFGNSAQSNPIVSVPVKQIREAEEKRHYRGVRQRPWGKYAAEIRDPRRRGSRVWLGTFDTAIEAAKAYDKAAFTMRGSKAILNFPLEVGKTLSYSSSAVEGGVKRRREAVETEEEKVVKKCKEEESCPLTPSSWNFVFEQNCNGMFNLPPLSPLSPHLSCSQLIQI >Solyc07g016180.3.1 pep chromosome:SL3.0:7:6382874:6390724:-1 gene:Solyc07g016180.3 transcript:Solyc07g016180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4CCD5] MKTPVNTAGVQQQHTVNGNPGEVEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLVCLLHNITLHADPETDEVYAQMTLQPVPSFDKEALLRSDLSMKANKPQTEFFCKTLTASDTSTHGGFSVPRRSAEKIFPPLDYSMQPPAQELVARDLHDNLWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKATYSSQVSLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPTPPFFRSKRPRLPGMPDDDCSDLDGLFKRTMPWLGDDFGMKDPQGLPGLSLVQWMNMQQNPSLANSMQPNYLHSLSGSVLQNVGGGADLSRQLCLPAPQLPQQNTLQFGSQRPTQQVQQLDQLQKIPTTTLSPAGSIMQPQQQLSDISQQPRQNLINQSVPTNHVQAQLLQAQSLVQSQNVLQQQQSFQNQLQRNLPQNLPQQQQIMNQTQQQSFMQPQPSDPLNQQLHFSDNQLQMQLLQKLQQQSLLAQQSLLQQPSQLMPIQDQQKHLDVSQNFSRSLATSQMLDMSQTTSNSTSLSQPQVAQQQMTINNSQSNLRFAQPNQHMKQQQQQQQPGILPEIPGQVGQILPPTTNQLSANCSSFLTGAVGGGQSVVTDDIPSCSTSPSTNNCQNVVQPIMNGRIHRGTAAAEETTQSSLPLLSSSGLEAMSPNRNLVKDLQQKPDVKPSMNISKSQNHGFSTPQTYLNNAVPQMDYLDSSSSATSVYFSQNDVQLQQTTNPMSFSSQAIVFRDSQDGEVQGDPRHSVAFGANMDNQLGISMMPDSLITNSLVGSRKDVSNNISSGGGMLSSYENPKDAQPELSSSMVSQSFGVPDMAFNSIDSTINEGSFMNRGAWAPPPQMPRMRTFTKVHKRGAVGRSIDIARYSGYEELKQDLARRFGIEGQLEDRQRIGWKLVYVDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQISLDGDFGNNVQNQACSSSDGGNV >Solyc04g016195.1.1 pep chromosome:SL3.0:4:6964663:6966507:1 gene:Solyc04g016195.1 transcript:Solyc04g016195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPIECGHGATSSKRPSLPTPSPLYAHFHVQYPTTALILRHIPSPRSSLLAMCIAEGDEPKTAYVTRYGAYEWLVMSFGLTNSHAAFCTLMNEIFHPYLDQFMVVYLDDIVIYSNTLEEHVEHLRKVFQVLREKQLYNKREKCELTQQEVHFLGHALTKVTELRSFLRLANYYHSFTSDYSAKAAWLTELLKKILLWFWSEE >Solyc07g006770.2.1 pep chromosome:SL3.0:7:1617845:1621709:-1 gene:Solyc07g006770.2 transcript:Solyc07g006770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSYVLFLILLVFQESIFSLYATDMETLLSFKQLIITDNSSFLYENWTTNTSFCSWFGITCNPQNQRVIALNLPNMNLQGKISPSIANLSFLTTLNISNNIIQGTLPYELVNLPLLEVIDVHNNQLEGTVHSFVGNITKLRRLRLDGNRLSGKIPSEIGNLSQLVELDLSHNQLSGSIPGLIFSMSSLRAVYLVNNSLSGSFLVDEMKGVMNLEVIDLSYNRIIGEIPSRLCQFSKLRTLVLSYNNLTGQIPRNIGCLSRLERFYVTQNAISGTIPLSLSNISTFQYLGCVNNHITGTIPRELGNLSNLKMLGFDFNNLTGVIPESIFNMSSLEYIAFSDNNLSGRIPTTLGLQLPNLKGIFLPDNQLEGEIPMYITNASNLIELELSYNLFTGIVPSDLGNLRQLEFLNLGGNQLTNEPGQQELGFLNSLVDCRMLQFLILANNPLNGVLPDSVSNLSSTIEMFNIENGQINGQIPRGVGNMSSMLSLVLNGNQLTGTIPPEIGELKQLQRLYLSRNKLQGSIPEEICELVNLGDTFLHENELSGAIPSCIGRLTRLQRLSFGFNKLTSTLPSSLWEMDSLIFLNVTRNSIQGELPLDIGKLKSIEGIDFSSNQLSGVIPSTFGNLIDLTYLSLSNNSLRSVIPSSFGSLLSLEFLDLSSNELSGNIPKSMENLQFLKEINFSYNHLEGEIPTSGVFANSSSQSFVGNRGLCGKPITEVSQCATNSATKRSKSKKHVLVVVIPVIASILLILLVLFVWIKRRSRRKKLQEHDQELTEITTHQLITYRELQQATDSFSGSNMIGSGGSGSVYKGILANGTTVAIKVLNMLNEEGCKRFDTECQVMRSIKHRNLVKVITTCSNQYVRAIVLEYMPNGSLESWLYDKEHQVLDMFQRVSIMLDVAMALEYLHYGYDTPIVHCDLKPQNVLLDGDMVAHVGDFGISKILGENNSMAQTNTLGTIGYIAPEYGSEGIVSTSGDVYSYGIMLIEILTRRRPTNELFNENMNLRQWVSESFPTSLKTIVDENIFFGENHEICIFNMLELALECTKERQEERVNMKDVVNRLGKIKEDLLVKNKEEIIL >Solyc07g041770.1.1.1 pep chromosome:SL3.0:7:54265970:54266596:-1 gene:Solyc07g041770.1 transcript:Solyc07g041770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKLRHSCSFPILLLSCLNFILFILSAASIAPIVFLKTPPTSLGWSFLIVSSISLLSCFIGFYSQLTHCCFITHLSILMASCIGQLLGILALFTKEKSSLLMLKSPRDPREAKVLVRLECGVLMCMFVMQIGVLVVTCAVQSCWVRDYQSVEAEREAWSRKRNQRIAKVQQECMENANKICEMKDKEFDDKIKNKYGQCLKNDFEG >Solyc09g042713.1.1 pep chromosome:SL3.0:9:25278416:25278680:-1 gene:Solyc09g042713.1 transcript:Solyc09g042713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNSMVIALYSMMQMYIGEFLRHCNTGSVGSSEANPLLFKAHSITLFPYSLLLPLFASSIHRF >Solyc10g079530.1.1.1 pep chromosome:SL3.0:10:61189122:61190708:1 gene:Solyc10g079530.1 transcript:Solyc10g079530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSSKGTMFSKEEGRNIRGDETFPNEYLITIREGSNSKSHDISEDDRQWLYSLDKISKEYLDSLQSPKIQKVPKMHREIESNVRCYEPLVVSIGPFHHGKPELQLMEKHKNLLAHQFAVDQETREGVLPWLLTNSVSLAELYRKVKDIMPVVKECYDEDSIKDYNDKELAHMMFLDGCFILEYLHCIVTGNYKELKMKSHDIAFIRRDLFLLENQLPFEVLDVLMSCKFKDSEGMEMIKKFISSAHTKPTQRQGFIQSIKDFFVDFFSDQHPENYTCTEKAMNFLSKICGGECSALSKEKSTKTQLPAHLLELLKTNLINPKAFSEGGCYLRGDWCSYRSAMELRRAGIRFRPGKSRHLSDIKFTSFHCSALLTLPPITIDDSTKSQFLNLAAYEACPDTPDDFGITSYVSFMDSLIDHAEDVKELRSKGILLNFLGSDQEVADLFNELARDLVPNPHAFVDVKDKIEKHYNNKGKIWIAEWKNTHFNTPWTVFAFIAALFVICLQVTDTFLAGIQTFYAVHPKKD >Solyc12g096720.2.1.1 pep chromosome:SL3.0:12:66503688:66504257:1 gene:Solyc12g096720.2 transcript:Solyc12g096720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGSLHEWLHSNEDKAKILDFRLRVKIALGIAKGLSWLHHGYRLHVTHGSISTRCILLDQNFEPKISNFWEAKIWSKNDTALSWSLFPVAEYSCLGSYKQDMYCFGVVLLELVTGKEPHELASSRNLFDHSPCLLDADKDLLGKGINDLILEFLQLACDCVKFLPNERPTMLEVYDKLKTISQGRNDD >Solyc12g042016.1.1 pep chromosome:SL3.0:12:57280412:57281359:1 gene:Solyc12g042016.1 transcript:Solyc12g042016.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFSFLYFQSRNLTKVKKNRQVAFSKRRIGIFKKASEQCTLCGAYVAVVIFSSRNKVYSSGHPSAELIVDKFLGENQPDFDAPNSTSLSHQNVNGKALQGLRKELPYEQLSFSDLKKLIELLIAADEEVERVASQLMEYDTEFPYQTIKMCLSPLRVDGNSSSNFNEAQE >Solyc04g071800.3.1 pep chromosome:SL3.0:4:58889886:58892673:1 gene:Solyc04g071800.3 transcript:Solyc04g071800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPWVFIVFGSWLFALAFVFKKLNHPKRKLPPGPKPWPIIGNLNLLGSLPHVSFHHLSQKYGDLMLLKFGSKPVLVVSSPEMAKEILKTHDAIFASRPVLAAGKYTSFNYSDMTWAPYGAYWHQARKIYLTEIFSPKKLHSLEYIRIEERQTLISRLYPHSGKPIFLRDHLPRFSLRTISRLVMSDKYFSDQSNSDTSIVTLERLQWMLDEWFLLAGVINLGDWIPCLSWFDLQGYIKRMKDSGKNFTQFYKYVLDDHNAIKMQKSGDFVPKDMVDALLHLANDPNREVQLTSDRMMGLMHSLLAGATDTSAATIEWTFQELMRRPNIMEKAHHELERAIGKERWVEEEDFSKLPYIDAIIKETFRLHPLCAVLPPRYSTEDCNVAGYDIPKGTTVYVNAWSLGRNPKYWDRAEEFIPERFIENNIDIKGQNFALLPFGSGRRKCPGYSLGMKVVRTTMANLMHGFNWKLGGDTKPEDISMDEIYGLTTHPKEPISLIMEPRLSLHLY >Solyc02g032877.1.1 pep chromosome:SL3.0:2:29928610:29934510:1 gene:Solyc02g032877.1 transcript:Solyc02g032877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEPLWMPCVPMFCLEIVEVHSPDRVMRQFGHSQHVTVIPSWGTNHHVHDQRRRLGTEVLEMMDKYFRDWQLRLSWPICLHVEHLRGLSGQYFSPPSGLNCPNHLGSPVLAATGIMTSGFSFIIV >Solyc07g064630.3.1 pep chromosome:SL3.0:7:66822598:66828465:1 gene:Solyc07g064630.3 transcript:Solyc07g064630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPLYRGVSGVGRLWVTVMIHLGDFQGIILSPTIISDLGYCSPEYENFFSLLLITKFHFVWPTEKDIIWHADINITAQTGALFWKFNQKGWVIIHDTAQLVELSRVHTARLKWNARVNSLVKFDKNPNSEAKIYSPSLQASVLAIMNMKKKPLSLVSLCLGVIGRHFEDIIEDLAEIAAIPSTMKMALVAIARRRRLLNDDVIVALADSSWKILDLSGSDVSDFGLSQVVKTCNHLQAVDISRCSNLTSASVSELLQNCRSLEILRWGGCPRSENTARRCLRFLKPILENVEGDSWEELDTLEIAHGATSLRWLLWPKVEKDQLEILSEECPRVIVNPKPSPLGYRGLDIPREARLDVSLDDPIVEDIDPKTWAVSRFVPRASPSSVSRSEDLPIAEKFRLAFLERDTRLAPKRAKNARQHQRRAEKEWVTMNSRAKAVALASLASKSLNIRN >Solyc05g018490.3.1 pep chromosome:SL3.0:5:22014854:22025139:-1 gene:Solyc05g018490.3 transcript:Solyc05g018490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGGSRKDEQLVISNNNVFAALGTLRKKKKSDKGKNAEGSVSKNVKDPEPVFWAPAPLTVKSWADVDDEDDDDYYATTAPPQAVWGPDTANEHHHKPKETVTPLQESESEEEGIDEADDDNEEEHEQEPDLPEEKEPIVKKTAENVAPKESERQLSKKELKKKELAELEAMLAEFGLSKAESNDDSQGAAQDKVEKLNGEMAKKDDNAPGESKSAKKKKKKDKSSKESKEQHDQSNGVELGNGTSETVSSEKGEDAPTVAAKDKIKKMTSLKKKKSIKEVDAAAKAVASEAAARSARLAAAKKKEKNHYNQQPLR >Solyc03g113370.3.1 pep chromosome:SL3.0:3:64997240:65007611:-1 gene:Solyc03g113370.3 transcript:Solyc03g113370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKRRTVAPRPKHSAATEDAAIPLLESDLNLVSVCPSVQKKISRKNDTSAVVEGEKNALSPSIKLECERALTSLRKGNHTKALRLIKELASKHENSPHAPLIHRVEGSVYAKMASMIDDPNAKHRHLKNAIESARKAVSLSPNSIEFAYFYASLLYEAANEGKEYEEVVQECERALAIENPIDPAKQNLQEESQQKDDTPNARIDHVRIELQSLIQKSNFASISAWVNQLGNGQEKIRLIPIRRVPEDPMEMRLVQARGPTEIKKVTKTSEERRKEIEVRVAAARLLQKTSETVQTHNDGDKALDLTTGSAQRIGERRKSGNARKNSSSTERRDWVQSYWNSLTLDKKREFLRIKISDLKAHLSASKDGLAIEVLSEALSFYETNKDWKFWTCYRCNEKFTDSVSHNYHVVHEHFGTLHPKLQSVLPQNVENEWAEMLLNCSWEPLDGCAAAKMLDKQSRYQEQGFLDEKHQRDETEESKYGFSEVFCNEDRLDSSARNRKFGDIPNGDTIESRVHDKISDMELMDSDRNYGTKNGFLPDKWPLSDDPDRANLLERISAVFQTLIESKYLASSHLSKVIDFAVEQLQGLAFGSQLLSYNVDQTPLCICFLGAQELKTVLKFLQDLSYSCGLGRFSEKTNSRDGASNASQGFDDLEKLIVSEDGSCLLFDERFLPFNLARSSCPDIISIDRTAYVLSSNQYQDGAELDPEALLSWIFTGPSSVEHLASWTCAREEKAQQDEIFRFLELEKEFYDLQCLCERKIEHLNYEVALLAIEEICLKEGRRRDHATEIVGQSYDSLLRKRREDLIESDNDVTVIGYRFELNAISNVLKEAESLSVNRISFEETYSGGTSQLCDIKSSKEDDWRLKDYLHQVDSCVEVAIQRQKERVSIELSKLDARIMRVVAGMQQLRVELEHACAQDYRRILVTLLKSYIRAHLEDLAEKDATKKSDAASEALLAELAHDSKKSSRRGNGCSKHTHEKMKDKKKSKEYRKAKGSKPASGNKLPLLHHRTMEDVSFADGENQGDETAENGDSLKEQEYRRTIELEAEERKLEETLEYQRQMENDAKLKHLSEKRTTKTCLGSIDAVMKSDTCSKCSDEQLKSSKKINIFPDSSRSLSKISAEGMTHRTVSLDESTLVSTRRSGRRASQNDSKLIDGNFQSASDEKENTEVGEPRALHSSHGNSVPADSGTKTLRQLHVEYDDEERFRADLQKAVRQSLDMFHAHKKLPLLPSSGNEQKVFPKAGTLGDVSKIDAYGTGLKNEIGEYNCFLNVIIQSLWHIRRFRDEFLRTSSEHVHVGDPCVICALYDIFTALSTPSTETCRKTVDPTSLRISLSNLYPDSNFFQEGQMNDASEVLGVIFDSLHRSFTSASGISGTESADSSCMGTWDCSNGACIVHSLFGMDTFEQMVCYNCGLESRHLKYTSFFHNINASALRTIKVVCPESSFDALLNLVEMNHQLSCNSEVGGCGKLNYIHHILSTPPHVFTTVLGWQNTCESVGDITATLSALSTEVDIGVLYHGLAPKNKHRLISMVCYYGQHYYCFAYNCDHGQWVMYDDKTVKVIGGWDDVLVMCERGHLQPQVLFFEAVKYKQIDLTFMLCIDGAKEVSNSYSGNIGVQTLVVVYKQVVLMKREKESQLCADSFHRSVS >Solyc07g008920.3.1 pep chromosome:SL3.0:7:3917174:3925439:1 gene:Solyc07g008920.3 transcript:Solyc07g008920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIESEVGPRVFLTAPLSLEEESDVADYRAPNLLQRILSLFSSVRPGSDLTRIQLPPLFNLPKSQLQCYGESVYCINNDMLSKCGKGENSLERLKSVVGWSISTLRPLMFGVAPYNPILGETHHVSKASLNVLLEQVSHHPPVTALHATDDKENIEMIWCHNPVPKFYGTKIETEVHGKKVLRLLNKQENYVMNSPKLVIKLLPYPGVDWIGNVTIKCEESDLQADLCYKGASLLSNKGYRSIKGKIFVTSTSKTICEINGHWDRSVTTKDICTGKVNEIYNAKESLSGMKTPIVKDPKVVMPSESTFVWAEVSQSILTRNWDKAKQSKAIIEEKEREFAKERKSKSENWIPKHFRVSYSKELGWESTPNERWVPQAPIIVPT >Solyc05g005440.2.1.1 pep chromosome:SL3.0:5:331736:332266:-1 gene:Solyc05g005440.2 transcript:Solyc05g005440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLELPAHLEQTIAANVEHSERTIREHDRFMPITNVIRIMRKILPPNVQISDGFKLMIQECVSEFIGFITGEANNCCQLDQRKTITAEDLLRALDRFGYDDYVETLALYLHRYREYDGGCGSTRRARLLLRSSMVNPASGCNLTSYQGDASNGSTSQGDAVDIEVQSPAKETKE >Solyc10g005490.3.1 pep chromosome:SL3.0:10:380791:409094:-1 gene:Solyc10g005490.3 transcript:Solyc10g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQSVPTPSVNLPSLPPPSASSSDSGGGCQVRCAGCKMILTVAPGLTEFICPTCQLPQMLPPELMPQQQRSSALAHGIDPTKIQLPCAHCKAILNVPHGLSRFSCPQCGIDLAVDVSKIRQFLPQSSSNPAAPRPPAPPLPEEEVNEVAIEVEREEDEGGMVGETFMDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLTIKEDLESSKTLSCLQIETLVYACQRHLQFLPNGTRAGFFVGDGAGVGKGRTIAGLIWENWHHDRRKALWISVGSDLKFDARRDMDDVGATCVEVHALNKLPYSKLDSKSVGVREGVVFSTYSSLIASSEKGRSRLQQLVQWCGPEFDGLVIFDECHKAKNLVPEAGGQPTRTGEAVLEIQARLPQARVVYCSATGASEPRNMAYMVRLGLWGAGTSFLNFRDFLVAMEKGGVGALELVAMDMKTRGMYVCRTLSYKGAEFEVVEVPLEAQMQDLYKKAAEFWAELRVELLSAGAFLTDDKPSSNQLWRLYWANHQRFFRHLCISAKVPAVVRIAKEALTEGKCVVIGLQSTGEARTEEAVSKYGLELDDFVSGPRELLLKFVEENYPLPEEPEPLPDESVKELQRKRHSATPGVSIRGRVRKVAKWQTGDQMSDEESDTDSEYESTESDDDEFQICDVCSSEEERKKLLQCSCCSQLIHPACLVPPVTEPVSADWCCHSCKEKTDEYIQARHAYVAELSKRYEGALERRSKILDIIRSLDLPNNPLDDIIDQLGGPEKVAEITGRKGMLVRAANGKGVTYQARNTKDVSMEMVNIHEKQLFMEGKKLVAIISEAGSAGVSLQADRRALNQRRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYKLLFTNLGGERRFASVVAKRLESLGALTQGDRRAGPSLSAYNYDSSYGKRALVMLYRGIMEQDPFPLVPPGCSADIPDAIQDFILKGKAALVSVGIIRDSVLGNGKDSGKLSGRIVDSDMHDVGRFLNRLLGLPPEIQNRLFELFVSILDLLVQNARLEGHLDSGIVEVKATTVELQGTPKTVHVDNLSGASTILFTFTLDRGLMWECAYALLEEKQKDESSSTYNGFYESKREWLGRRHFLLAFEGSASGMYKVFRPTVGEALREMPLVELKDKYRKLSSLEKARRGWEDEYEVSLKQCMHGPKCKLGSFCTVGRRVQEVNVLGGLILPVWGTVEKALSKQARQSHRRIRIVQIVTTTDNQRIVGLLIPNAAVEAVLQDLAWVQDVDE >Solyc06g030651.1.1 pep chromosome:SL3.0:6:20393566:20397586:-1 gene:Solyc06g030651.1 transcript:Solyc06g030651.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCEFAQQEIKFLGHLVSKNQVRMDPKKVHAIVDWQAPRHVKDLRSFLGLANYYRKFIACYSKKAASLTDLLKKDAKWVWSKQCEEAFQNLKNAIASEPILKLPDFELPFEVHTDASDKAIGGERYGNLSKSPDMTNLMFHLQVNNNTNNNDETVDHQSGFDPRTKQKDSNMNNNTYKDSKYHQQTLTITAKNQHIDARRRKRLEKDRRYLFRGSGTGTSTSSDRLPHNPSRTTARRPNEVTLRGRTVLLF >Solyc01g111870.2.1 pep chromosome:SL3.0:1:97935316:97953052:-1 gene:Solyc01g111870.2 transcript:Solyc01g111870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLSSLVHELRERIAASSSTPPNIRNDDALEVRFRAVLPNLLNAYVVPSASANEREVFAVLKLIAHTAKNFPGVFYHGKAGAVLPVIGRILPFLAEPAFRSRHAVIIETVGALLSTLRTGDRDAYRQFFMDTLLVVEDLLHVASLCDKQSFTESQEVSLRCFSVSFGGGWSNHETTILSDLPLCCKPSDGSGILINVKGKERWQPFASSSIKILCKCLTEGTLYVEGLLETSSVLSACTLLCFGDVDVHMSDNFLVKISIKACFDFLRITGAAMNHEIIPSERLIQLITAILRGDEDGLPVFSNTAYDSSIGGCLHVLYTNCPDDIVRSTSADLVNIFPHSLLKTRSLDLKDALCLAYTRIAKSCPPHIWRPESLIHLLYSPTPIVPLIECFQVALSRIFPGLTRDERVNNGGKGLSDVHEHLRAAEKRPGEDLESLNAKHLKIFDDENRYSSIKYEDVIKLSYGFGYLGEKQYADHMHNSLTLFIELLKPPSQESSSLGPEIALTALSTLCIAFCRYPQAELSLQIFRQIFEWIPWVCEEANQKFPNPIDLLFFLEAIHNLLIIAGSLPSESEYFKTKVGNLALVQSLLRHPWTRSQSTDTYSSSKAKILSLCVLSKIGPLLQGGNDLDILDLGLRDTAEDVKIEAVIAMPVILMWSGFGLLNHIFKRLEILEKEAHGRINKVIPGCLGYLACLYGSCTTGVLRKCQCKFYLPKGNIRLNMTMDDLVRGFWCSKCDMHAGLVNRSNSTVLHLPDIHKKEPTTEHDYVHLQSIFFRLLFDESSEDVQLACVGVLQRILLHGTESTLLKTRSEWLKCVDFLLLHGKKAIRESFSKQISFFIEEPILNCLFLDEDVHEAANRSKEQKFIGKIKYAMETADDPLVFATLLEATAEIMKVVDVQSQSFMFSLLLLIDQLDSPHVTVRIIASRLIIKSCFFHLRGGFELILSRFLHIRNDLFDYLSIRLASRPKMVEEFAAAILGTDTEELVKRMVPVVLPKLVVTQQDNQQAIFTLYELAKRLNTDMVQLIVNWLPKVLAYALHRADGQELLAVLQFYHEQTGSDKQEIFAAALPALLDELICFTDEDESMEISKRLMKVPQVIKEVSGILTGEDDIPAFLRNHFVGLLNSIDRKMLHAEDTSLQRQAIKRIEMLISMMGSHLSTYVPKLMVLLMQAINKESLQDDGLSVLHFFIKQIAQISPSSTKHVISQVFAALVPFLERESDSSSSHLNKIVEILEELVLQNKSILKEHIGEFPPLPTIPALDRVNRMISAGRGMMTLNDQLRDIIDGLDHENLNVRYMVASELSKLLNLRREDIMALITKVGDANMDVMSALITSLLRGCAEQSRTMVGQRLKLICADCLGALGAIDPSKVKGFSSMRFQIACSDDDLIFELIHKHLARSFRAAPDTIIQDSAALAIQELLKIAGCEASLDDNVVASTSQTRGKRLAKLPVSVVDGKYTELQGRGQRLWNRFSSYVKEIIAPCLTSRFQLPSVSDSTSSGPIYRPSMSFRRWIFFWIRKLTAHATGSRASIFYACRGIVRHDMQIAMYLLPYLVLNAVCDGTEEARCGITEEILSVLNAAASENSTDVVNGISSGHNEVCIQAVFTLLDNLGQWVDDVQQELSLSQSIQTSSSRQQALKSKEKTINLSSDSDQVLIQCKHVSELLAAIHKMTLARASFRCQAYARSLLYFESHVREKSGSFNPASEKSGLFEDDDISFLMEIYSGLDEPDGLCGFASLRKSKSLQDHLLINKKAGNWAEVLTSCEQALQMEPTSVQRHSDVVNCLLNMCHLQATVTHVDGLISRIPKYQKTWCMQGVQAAWRLGRWDLMDEYLNGADEEGLVCSSSESNALFDMDVAKILQAIMKRDQFSVAKRITLSKQALIAPLAAAGMDSYARAYPFVVKLHMLRELEDYSSLLGGESFLEKSFVLRDSDFSKLMESWEDRLKLTQPSLWAREPLLAFRRLVFGASGLNAQVGECWIQYAKLCRSAGHYETASRAILEAKASGASNVHMEKAKLLWSTRRADGAIAELQQTLLNMPVEVVGSAAISSITSLSLVPLNPQPLICGTQFSNENRGVAKTLLLYSRWIHYTGQKQKEDVISLYSRVKELQPKWEKGYFYLAKYCDELLVDARKRQDDKETCSKAVPTNSALVTATNMNTERSWWSYLPDVLLFYAKGLHRGHRNLFQALPRLLTLWFDFGSVYHISSSRANKELKTIHGKVLSIMRGCLNDFPTYQWLTVLPQLVSRICHQNEEIVRLVKYIITSVLRKYPQQALWTMAAVTKSTVPSRREAAAEIINAAKRKSNEASVSSLFAQFAMLIDHLIKLCFHPGQTKARTINISTEFSALKRMMPVEIIMPTQQSLTVNLPTYDVNTPENITSEIFYSADLPTITGIADEADILSSLQRPKKIILLGSDGIERPFLCKPKDDLRKDARMMEFNAMVNRLLCKCSESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRQILQDIYISCGKFDRQKTNPQVKRIYDQCLGKMPEDEMLKNKILPMFPPAFHKWFLNMFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLKVCEITLSVLRAHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQVGAFIPVLNSCATVLVMLLSLRAISNIEARLQGIVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Solyc05g049960.3.1 pep chromosome:SL3.0:5:60655729:60663349:-1 gene:Solyc05g049960.3 transcript:Solyc05g049960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSKRVDGSSLTSFRKPENPKNVVKNKVDYDNAKLLFIEVFTICLKEASGSLCYRPLPPMLGDGKSVDLFKLYLVVRKNGGYQRVSENGLWGLVGMDCGFDSSYGMALKLVYVKYLGVLEKWVLRVRETDVSSVKSKVSKCDLGSDGDGVPMDVELDLKKVLMKISDEKAKDGDNGNGVDTTDGDEARDFDGLNGSNEKFDGQSNLDNNVITVKDIDETRSVVYDKEHLKSVKGRESVSVLHGNGTDESLTKYTMVEEDCVSRKRKRESYLDMVKWVSEVAKKPCDLAIGTLPDRSKWKDYGAEVVWKQVLLVRDDMLLKKDVDPCAQQSIWQIKQKMHPSMYDDNSGSGRLRCSQRVLSAKDHLKKRRAMQFLASPSSSHNDEDQADVPTGSSAESAIGFWWKQRRKRIPVGPQFQADIPESIEEIYESDSKWLGTGIWPLGKRGKKRTLVDRGPIGKGRQDICSCNTRGSYDCVKCHISEKRRKLKIDLGSAYCEWKFDSMGEEVALSWSREEEHKFQDIVKSNPLSEEKSFWNEVFKFFPNKSRESLVSYYFNVFLLRRRANQNRTNAGNIDSDDDESEYGPRFDCFGRDAKYSIFRSPSKNTSGSEVVHES >Solyc09g059390.2.1 pep chromosome:SL3.0:9:54616262:54618738:-1 gene:Solyc09g059390.2 transcript:Solyc09g059390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALTSGNIEADHMLEELRNKFTNVLDSLALLTRSNEDIATLEGLGTPRIGTAKSLESWLSTIISKKVTTIFSPYGAPDGASPVGIQPQATLHNGASSSMGFTTGKQTFATAVTMANQTSKIVRHPRESVIAKITSHNGIPTVIFNATDYYRIMADECSPFHMHTWHYIKQIVSSVGFRYDGATNCRTRPSMAEVRVEVDLLKPQPNTVWVGFEDDKCPLRGFNQKLEYKNIPKYCKHYRKMGHNVMNCWILEKIKSNDKKGSVLKENQADVNLENSEKGTSKFEIVQEAPQKI >Solyc07g041270.1.1.1 pep chromosome:SL3.0:7:52300738:52301064:-1 gene:Solyc07g041270.1 transcript:Solyc07g041270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRAKKMKENTNLAPLPTEPPPDPLDKFPSYMDMLRDQILIPNSTEDLHMPSLNTLDATVTVSKGAINLTEDGTTVITLFDEDKQRMYAPWEFSIIVKLLGKESSIST >Solyc05g055460.1.1.1 pep chromosome:SL3.0:5:65949342:65949929:-1 gene:Solyc05g055460.1 transcript:Solyc05g055460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSKLIGVAILLVLLFVDLAFGARFYGRGGGGGGGGGGGGGSALGVGSGYGSGEGYGYGEGNDVFGSSGGGGGGGGGGGGNSGRGSDSGYGSGSGSGSGYGSGRGIGRGGGGGGGGGGGGGGGGGGGGGGGGGGSGNGSGYGSGSGSGYGSGGGGGGGGGGGGGGGGGGSGYGSGSGYGSGYGGGNGGYRGDEP >Solyc04g079775.1.1 pep chromosome:SL3.0:4:64193440:64194763:-1 gene:Solyc04g079775.1 transcript:Solyc04g079775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRNNNTQSFSKIQRALFFRSPGGNGIERARKGFIVRKKKNAVMSPAFVLVAASLERMKTQIDETKTAAAKQARSNPLTTERENIPIASINSCTTCPRSDLCEIAIK >Solyc12g005180.2.1 pep chromosome:SL3.0:12:123881:128029:-1 gene:Solyc12g005180.2 transcript:Solyc12g005180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCYNLVAQQSPPILVQSQYPSKPRGLSVKVVSSCCTECPIFGKVEVKHVISGLAASILFLSQSSMAFAADLPHYNSVFQLANVADSMPTLPLEKGNDGGKLMMMRGMTAKDFDPIRYSGRWFEVASLKRGFAGQGQEDCHCTQGIYTVDMNAPAIQVDTFCVHGGPDGYITGIRGRVQCLNEEDKEKDETDLERQEMIREKCYLRFPTLPFIPKEPYDVIATDYDNFALVSGAKDKSFVQIYSRTPNPGPEFIDRYKNYLASFGYDPSKINDTPQDCEVKTTSQLSAMMSMSGMQQALNNQFPDLELRRPVQFNPFTSVFETLKKLAELYFK >Solyc03g124060.3.1 pep chromosome:SL3.0:3:72275503:72281550:-1 gene:Solyc03g124060.3 transcript:Solyc03g124060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGGNGWSLSSCTYGYTLAVIVIILTCHLLNSRLPFALSFLSVLFGFRERSAANPHAESNGHPDVSPSAPSEVRITAVVSDLDLKNLIDVVNNKFQANEKWEDVINRRTDRFSYCARCCKLKDEPLKYLSVTVFENCSVEMLRDFYMDNDFRKFWDKTLIEHKQLQVDSSSGTEIGLMIKKFPLLTPREYILAWRVWEGNDGSFYCFTKECEYPLAQRKKKYVRVRLFRSGWRIKKISGRNACEIQMVHQEDAGLNVEMAKLAFAKGIWSYVCKMDDALRQYKAVDHHSQLTSGVTAVTLIQKVPLGLDTVDHTRGLINPEICTSSDYCGGVSHECNARERRNEPSSNLVPNILMLLGGAICMSRGLSNLGSKVAMACILSKLVKLNGMRSKNLQRFSQIEVQTREECTMISEDELQCDYSSVTS >Solyc10g008760.3.1 pep chromosome:SL3.0:10:2820454:2822947:-1 gene:Solyc10g008760.3 transcript:Solyc10g008760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNRSESLVKLAERLRLYNSISTQISKIQEFVPKNQELGINPIPKSNRAAVLVCLFEDPQGHLRVILTKRASTLSSHSGEVALPGGKVEEGDADNVETALREAEEEIGLDRSLVDVVTVLESFTNKKGITVIPVVGILWDRNTFNPLINTAEVASLFDAPLEMFLKDENRREQEREYMGDEYVLHFFDHETENEKYIIWAITAGILIKAASIVYQRPPDFQERTPKFWSRNRQ >Solyc03g034100.3.1 pep chromosome:SL3.0:3:5824301:5826352:1 gene:Solyc03g034100.3 transcript:Solyc03g034100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLKFRISKAISNSFHSCRSKDPCTLPQHPVPSFLQNTQFITDDHLLFEEMIQQNNESQLISTHHEHFPIITSPSFKHHVSVTPITATGQCSSRNGEAFSTTSDDSHTSRSPSHEFKWKKEEDKWQHFIKTNSDDDTKQQPRRKISYSFSSDNSDNDKILIEIKKKISTSKTNFFMMMSTTSSSSSMDENEINFTSKKTKWDYHEDIDITNEDEENETETFISSSRKSHVEFPDDSSLNFSHEFDTIYKNTTRRCQKKIGYSKRRDHVKNTRSRSSRDMNNIGRRSSISTSTTSSDGELPPRLSVFKKLIPCNVEGKVKESFAIVKKSEDPYEDFKSSMMEMILEKKIFEKNDLEQLLQCFLSLNAKNCHGVIVEAFSEIWETLFSPNHN >Solyc07g042847.1.1 pep chromosome:SL3.0:7:56516418:56521162:-1 gene:Solyc07g042847.1 transcript:Solyc07g042847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGSRILLPICSFRQCRFLVFLYHQLFCLFASFNQIEKAFLCVFFQGAGDLLCSISRGTLLTDPIPYEYETSDAGPNTTLLLQQSHCSDHC >Solyc03g044663.1.1 pep chromosome:SL3.0:3:10333963:10334442:1 gene:Solyc03g044663.1 transcript:Solyc03g044663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAARRARARYSASVEDRETLGCFFVHQEIRFGPKKMRNLDVDFLSFLSFAQFEYEKPRSSKSPGRMSKPRPRLPKMYLRMRFRQCPNEAAEVGDIGKGGVGFIRRRRDCHRYWLTGAFFQSSFLH >Solyc10g078620.1.1 pep chromosome:SL3.0:10:60507045:60509150:-1 gene:Solyc10g078620.1 transcript:Solyc10g078620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGVVAAPAPAAAVDASKENKVHTDVMLFNRWSYDGVEINDMSVEDYITATANKHPVYMPHTAGRYQAKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDQNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Solyc01g006280.3.1 pep chromosome:SL3.0:1:873779:880657:1 gene:Solyc01g006280.3 transcript:Solyc01g006280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTRKLEVVSPVPADIDIANSVEPLHISEIAQELNLSSQHYDLYGKYKAKVLLSVLDEVGGSEDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCVRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALFNRLCPPNKEGKRKFCDIMFRRLKKLGIDKTAPEDLTPEESSKFARLDIDPASVTWRRVMDVNDRFLRKITIGQGPEEKGMVRETAFDISVASEIMAVLALTTSLADMRERLGKMVVGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGSDIGTEKFMNIKCRYSGLKPQCAIIVATVRALKMHGGGPQVTAGKPLDKAYVTENLGLVEAGCVNLARHISNTKAYGVNVVVAVNAFSTDTEAELNAVKNAALAAGAFDSVICTHHAHGGKGAVDLGIAVQKACENAKQSLKFLYPLDIGIKDKIEAIAKSYGADGVEYSEEAEKQIEMYTKQGFTNLPICMAKTQYSFSHDAGKKGAPSGFILPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYEIDIDTSTGKVIGLS >Solyc04g026105.1.1 pep chromosome:SL3.0:4:18964175:18975009:-1 gene:Solyc04g026105.1 transcript:Solyc04g026105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTQYCPADSIHVPHDPDSSPHDAVEVNPSIPLEAAPLSVSTSVEPITRGNSYKPKEVCTRVDIRNELVGAKPANTPLEANVKVLSQFMHKPKQSHMEAALRVVRYVKAELDSSGKLVAYSDSDWSGCLESRRSVTGYTVKFGDALISWKSKKQETVARSSTEAEFRAMAYTLAEITWLEV >Solyc02g090210.3.1 pep chromosome:SL3.0:2:52472256:52474604:1 gene:Solyc02g090210.3 transcript:Solyc02g090210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNNYVLVMLLLVINLMSLANCAVDDDLGFGASYIFGDSLVDAGNNNYLQTLSKANIAPNGIDFKASGGNPTGRYTNGRTIGDIVGEGLGQPHYATPFLAPNCTGRTILYGVNYASGGGGIMNGTGRVFVNRLSMDIQVDYFNITRKEIDKLLGQSKARDYLRNKSIFSITIGSNDFLNNYLLPVLSIGTRVSESPDAFIDDLLSHLRAQLTRLYKLDARKFIIGNVGPIGCIPYQKTINQLKENECVELANKLALQYNARLKDMLAQLNKELDGATFVHANVFDLVMELITNYDKYGFVTATKACCGNGGQFAGIIPCGPTSSMCSDRDKHVFWDPYHPSEAANLIIAKQLLEGDSKYISPMNLKQLRDL >Solyc06g066310.3.1 pep chromosome:SL3.0:6:41707921:41715676:-1 gene:Solyc06g066310.3 transcript:Solyc06g066310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASAPGKVLMTGGYLVLERPNAGIVLSTNARFYAIVKPLHEEIIPESWAWAWTDVKLTSPQMARETMYKLSLKNLKLQSVSSSESRNPFVEYAVEYAIAAAHATFDKDKKAMLQKLLLKGLDITILGCNEFYSYRNQIEARGLPLTPESLASLPPFTSITFNADDSIGENQKPEVAKTGLGSSAAMTTAVVAALLHYLGVVSLSSFSEDQSHGRKDDSDLDIVHVIGQTAHCIAQGKVGSGFDVSSAVFGSQRYVRFSPEVLSSAQNAGMATPLTEVIYDVLKAKWDHERTKFSLPPLMTLLLGEPGSGGSSTPSMVGAVKKWQKSDPQNSLETWKKLSEGNSALEMHLNTLCKLAERNYNVYECLINACSLLPAEKWLERANEPSQADIVKGLLGARDAMLGIRYYMRKMGEAAGIPIEPESQTHLLDTTMSMEGVLLAGVPGAGGFDAVFAVTLGASSKNVTKTWSSLNVLAMLVTEDPRGVSLEEHDPRAKEITAAVSSIQLQ >Solyc04g078930.3.1 pep chromosome:SL3.0:4:63673732:63675393:1 gene:Solyc04g078930.3 transcript:Solyc04g078930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLYDLDASKFGNQQQLKTLIKALHDHGIKSVADIVINHRTADNKDSRGIYSIFEGGTSDDRLDWGPSFICKNDTQYSDGTGNPDTGLDFEPAPDIDHLNTRVQKELSDWMNWLKSEIGFDGWRFDFVRGYAPCITKIYMGNTSPDFAVGELWNSLAYGQDGKPEYNQDNHRNELVGWVKNAGRAVTAFDFTTKGILQAAVQEELWRLKDPNGKPPGMIGVLPRKAVTFIDNHDTGSTQNMWPFPSDKVMQGYAYILTHPGIPSVFYDHFFDWGFKDGISALISIRKRNRICATSNVQIMASDSDLYIAMIHHKIIVKIGPKLDLGNLIPPNYEVATSGQDYAVWEQKA >Solyc06g008065.1.1 pep chromosome:SL3.0:6:1949937:1956644:-1 gene:Solyc06g008065.1 transcript:Solyc06g008065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMKIVEFEEGWYIIQEGIEKAKRILEGQTESFSGDEYMMKPPSYSYASQLYDKYKEALDEYINSTVLPALREKQDAELMLRELVKRWKDYKRMLRWLSIFFHYLSRYYIPRRSLPTLNDVGLTCFDNLIAKERDGEQIDRALLKNVLDIFAEIGREDYVEECLKKEKDRVSHYLHVITEKKLLEKVKHELLVVYTDQLLEKEHSESHALLRDDKAESSRSVCGDDTIM >Solyc09g007810.3.1 pep chromosome:SL3.0:9:1332296:1336219:-1 gene:Solyc09g007810.3 transcript:Solyc09g007810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITFMDPKEKVKEVEKCLDSQLWHACAGSMVQMPSISSKVFYFPQGHSEHASGNVDFRSSIRIPSYIPCKVSAIKYMADPETDEVFAKIRLIPVGRNEVEFDDDGVVGMNGSDNQDKPSSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSTFVNHKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGPETSSGWNPAGGNCMVPYGGFSSFLREDENKLMRNGNGNNGGNLMNKGKVKAESVIEAANLAASGQPFEVIYYPRASTPEFCVKSSLVKSALQIRWCSGMRFKMPFETEDSSRISWFMGTISSVQVSDPIRWPDSPWRLLQVTWDEPDLLQNVKRVSPWLVELVSNMPTIHLSPFSPPRKKLRLPQHPDFPLDGHLPMPAFSGNHLLGPNSPFGCLPDNTPAGMQGARHAQYGLSLSDLHFNKLHSSLFPVGFPPLDQAAAAPRRPLNSPMISKPCNNENISCLLTMGNSAHSTKKSDIGKAPQLVLFGQPILTEQQISLSCSGDTVSTVRTGNSSSDGNADKIGNVSDGSGSALNQRGLTERSPCDTFQSDPNTEIGHCKVFMESEDVGRTLDLSLLGSYEELCRKLANMFGIDNSEMLNHVLYRDTTGSVKQLGDEPFRYVLKRFPILHYLFIHIMNISRYFRCKEVFLSSILQRLHENSAKVNNSN >Solyc08g082570.3.1 pep chromosome:SL3.0:8:65433887:65435743:1 gene:Solyc08g082570.3 transcript:Solyc08g082570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLVQFYLPEHVRVVGDWRLKVVVMAKDGYKEGLQQFQGLVGGQSRLTGRALRLLLLVHEKEQNEEIEELTVGM >Solyc04g056491.1.1 pep chromosome:SL3.0:4:54226822:54228417:-1 gene:Solyc04g056491.1 transcript:Solyc04g056491.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTIVGQFSSRNQSIGKEGRKLARFLGIIARIPNLTPLNANDWRVFDEEEKNKLVEFVRAIDGIETTRAKVYILTLTKSKDDRPLDEESSNVVNMMKEKLSNGETSEEQSHDNVAWEGDVYSQVLGNEKSGYVRGLGLGPTPSVLWGSKSFIRNVVDDDLCDEADMHIS >Solyc11g069830.2.1 pep chromosome:SL3.0:11:54756224:54763169:-1 gene:Solyc11g069830.2 transcript:Solyc11g069830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMASLFHQSSKTHFYFALANVSRRSFSTLTTSFNSRTFKPLFQVRAVTTPTEAFVGFNEMVSGTQRKYFMLGGKGGVGKTSCAASLAVKFANHGHPTLVVSTDPAHSLSDSFDQNLTGGALVPVQGVDSPLYALEISPERTREEFHAASQLHGDKGVKNIMDSMGLGMLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESKEYSAFSRIVFDTAPTGHTLRLLSLPDFLDASIGKMMKLKKKIASATSALKSMFNKGEPQRDDASDKLEQLRERMAKVRDLFRDSETTEFIIVTIPTVMAINESSRLCASLKKESVSVRTLIVNQVLPPSTSECKFCVMRRKDQMRALETITKDPELASLKIIQAPLVDVEIRGVAGLKFMGDLVWK >Solyc01g056293.1.1 pep chromosome:SL3.0:1:52808610:52809405:-1 gene:Solyc01g056293.1 transcript:Solyc01g056293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIKKDESGIVIILVYVDDLLVTGDSLRIVKETKEKLKQVFKMKDLGELRYFLGIEFARSDQGILMHQRKYTLELISETGLSSSKPAATPMDTNKSHMEATLRIVRYVKNQPGLGVLLSSNKNTTLTAYCDSDWASCPHTRRSRIQEHGCHSFRINLDYRSDERVGSKLETTC >Solyc05g009330.3.1 pep chromosome:SL3.0:5:3477341:3482510:-1 gene:Solyc05g009330.3 transcript:Solyc05g009330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGRGSSLVHLLVIILSLVAFGFAIAAERRRSTGTLHDDTITNRTYCVYTSDVATGYGVGAFLFLLSGEALLMGVTKCMCFGRPLSPGSNRAWTIIYFVSSWLTFLVAEACVIAGAKQNAYHTKYRDMLLAENFSCETLRKGVFVAGAVFIVATMILNVYFYMYFTKATTQPAHKTNRTSSNIGMAGYA >Solyc02g084540.3.1 pep chromosome:SL3.0:2:48252720:48272398:1 gene:Solyc02g084540.3 transcript:Solyc02g084540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTLTPMQRYAAGALFGLALHQAHIHQTCPLGFPSDEEDRISNGSSNDSVSEDPQLWVHESSGLLRPIFKFLEIDKKAWSGLEETAGSSSPKHHVGALLRSLSVSEEGAESSKEAADKELDLAKAIDAMASSMERTSHNVSKKEKQREYEHKCREKLSLADTQSRSEVENTTNVENHQEKSKKPSSIEQAHLESVSGFDEKPVEEASILEYSRKVNVLYQLFSACLAQSSEEIKKYTQRRGYDARHRVALRLLATWFDVKWIKVEAIETTIACSAMALKEEELKEQSRSPKSSLAKWKRRGIIGAAAVTGGTLLAVTGGLAAPAIAAGFVALAPTLGTLVPVIGASGFAAVAGAAGSAAGSVAVAASFGAAGAGLTGSKMARRMGDVDEFEFKSIGENHNQGRLAVEVLISGLVFKEEDFVRPWEGQHDNSERYVLQWESKNLIAVSTAIQDWLTSRLAMELMKRGAMMTVLKTLLTALALPATLLAMTDFIDSKWAIAVDRSDKAGKLLAEVLQKGLQGNRPVTLVGFSLGARVIFKCLQVLAESANTSGLVERVVLLGAPIAIKSMNWEAARKVVAGRFVNAYTTNDWMLGIAFRASLLTRGLAGIQPVDVPGIENVDVTELIDGHSSYLWATQKILDLLDLDAYYPVVLGRVKL >Solyc01g100455.1.1 pep chromosome:SL3.0:1:90354504:90356888:1 gene:Solyc01g100455.1 transcript:Solyc01g100455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKFESGLIDSFCHFYFMYFVFLEGVFVAHDYIARQVHHEFAWLRHFMKEKSVAVVSRVQRLLVDPCLVDHLPQCDLRCLT >Solyc11g066720.2.1 pep chromosome:SL3.0:11:52802191:52807370:1 gene:Solyc11g066720.2 transcript:Solyc11g066720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKQITICMIGAGGFIGSHLCEKLLSETPHKVLAVDVYSDKIKHLLEPATVTWADRIQFHRINIKNDSRLEGLIKMADLVLASVVYGDKIKHLLEPAMLTWDDRIQFHCINIKNDSRLDGLVMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGAFLPKDSPLRQDPAYYVLKEDTSPCIFGPIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIENPARANGHIFNVGNPNNEVTVRQLAEMMTKVYAKVSGESSIETPTIDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLESTLTYQHRTYAEAVKQAMSKTTAN >Solyc03g117930.3.1 pep chromosome:SL3.0:3:68395451:68402993:1 gene:Solyc03g117930.3 transcript:Solyc03g117930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPADAATAAPSPSRPLPAVEAQSQLIETVNALQNITKQSEFLQPPINDDSIAKFSKLSDAFSAFQVCFAELHRHVVSIGTLIDSMRPLDTTSTTPLSVSSLPAAAAPGPEPAMEFGPSEEEKVEVKSPCLELKSTRSELESICKRVDGRGLRKYMITHISDINVLLEEVPKALKLSRNPARLVLDCVGKFYLQGSRAYVKGSPVVNGRKASILVLDCFLLMGIDEGVEIEKEVKEEAEKAALAWRKRLIAEGGLRKVYDMDARGLLLLIGCFGIPGAFSNEDIRDLFLASPFKKNISCSLTRSNVFMAKITGPIFMNSICLCLLKAMFHLSGVHNFEIIEGMVNQKMEMEAVDLAYTFGMEDRFNSQKLVTTYLRESKEPLKKMKGKPQGSLAAVHEAKKKHLAALRSVIKCSRRHNIDLSKLLPGWKINEQIMCLEKEIEVGEKKMAQKRKNDETESSGMISNKEAKQSHFPNPRLQQERVVNHIDSNTTLLESGTAGHMFGLSPLVLQWTWRSWKDFSK >Solyc06g069560.2.1 pep chromosome:SL3.0:6:43426367:43429705:1 gene:Solyc06g069560.2 transcript:Solyc06g069560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKRPYSEEKLYEVSSKQPRHVEPSTQLVSFLQFPCESVAPNSYTSGGDEEKFSEVRAFSEKRPDSCDVTAVPVSSEKAIETSIHGSASNSSWTSSSTSEEDIRSEVPFHVLTASKYYSSDPPFRVVIHPMEVYSPLFNNPPRKSVPIGPDFQAELPEWGAYDSKNISVKESTQESSNLPSQALESDFVDHHDEENKLAGTCIIPMPKLESPADHEENVGAGRIGCSCGDAGSFGCVRLHIMEAREKLKAALALGKNFWDHLAVEFPSRSKRDLVSYYFNVFILRKRAKQNRFDPSNIDSDNDEWQEIDDDVVATGAQMTDDDEDSVVESPIYQNYPGHNEIYVTEKQAYDEEAGVATLEDYQTINFCRRKVLSDVSKACPDELIDNNSSCGHNIQPLDRHHSNEVGNHDVEDNSCTTDAAGASSDTPQVKTDDCKHWASHFAGVGIDSGHDFVMEPSNGKEWDMGGYLSCPKNEVDLLPTCSMIEEVFGDEAWSSKHRDGHSLSKH >Solyc12g088240.2.1 pep chromosome:SL3.0:12:64670408:64674461:1 gene:Solyc12g088240.2 transcript:Solyc12g088240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFSWWGGKETQRGTPVVVKMENPNNWSMVELETPSEDGSFLLTKGEKVKNKNAKQLTWVLLLKAHKAAGCLTSIASALFSFGSVIRRRVATGKTDSSTSNSWFYSCIKVIVWLSLILLGFEIAAYYKGWHFSTYDLHIQHLYTMANPLAVKGVFDLLYSIWVVVRVEYFAPILRSLANVCIVLFFIQSFDRLILCLGCLWIRIWKIKPVLKDSPLDLEDGDGGYYPMVLVQIPMCNEKEVYQQAIAAMSSLEWPKSKLLIQILDDSDDSTTQMLIKEEVHKWQKDGVNIVYRHRVIREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPSPDFLKRTVPYFKDNEDIGLVQARWSFVNKEENLLTRLQHINLAFHFEVEQQVNGIFLNFFGFNGTAGVWRIKALEGSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCEVPESYEAYRKQQHRWHSGPMQLFRLCFPAILESNISIWKKGNLIFLFFLLRKLILPFYSFTLFCIILPITMFVPEATLPTWVVSYVPATMSFLNILPSPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSSYEWIVTKKSGRSSEGDLSLLVDEKPKHQRGTSEPNLGDLKEEIKQKARKSSRKKKHNRIYKKELALAFLLLTAAVRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVD >Solyc10g007820.3.1 pep chromosome:SL3.0:10:2024630:2027234:1 gene:Solyc10g007820.3 transcript:Solyc10g007820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:K4CXW1] MGAIGTIAKSLNALIGPGVMLLYPLYSSMRAIESPSPLDDQQWLTYWVLYSFITLFELSCWKVLQWLPFWPFIKLVCCMWLVLPIFNGAAYIHENFIRKHVKVGSHVSSNYPQNQRKALQMMSLDARKSVEKYIEKYGPDAFDKVVRAAEREAKKH >Solyc10g008040.3.1 pep chromosome:SL3.0:10:2190240:2192807:1 gene:Solyc10g008040.3 transcript:Solyc10g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQVRRREDNVIDEQHQIQIKRTRDPKRGSDTGAATSVKVQVGSGAGAIHVTNDCDKHSFEERPGGVKFEVHSQRHKGNDNVKELASHGQNVVQSAQQPKDYAKNTAPDKGDQTYAAATDTLSGAGQTAAQSAKKSKNTVLDKGQQAYAATIDALSNTGQTAAQSAKKAKDTAFVKGQQAYDATTDTLSSTGKTAAESAKKAKDTVLYKGQQGYGAATDNLSSAGQTAAQSAKKAKDYAKGTVFDKGQQAYGATTATLSTAGQNAAQSAKKAKDTVLDKGQQAYAATKDTLSSTGKTAVQSAKNAKETTFEKGQQAYGATTDTLSSAGQIAAQSAKTAKDTVLDKGQQAYGATTNTLSSAGQTAAQSAKKTKDTVLDKGQQAYAATKDTLSSAGQNAAQSAKKAKDTVLDKGQQAYAATTDTLSSAGQTTVQSTKNAAGYVGQRAVEAKDITLETGKGVLGYAGEVAEIVKDKAAVSGWGAAHYTAEKAAEATKAVVGVASNVAGYTEEKAVAAKDAVADTGMNIVGYAENELADAKDYVVSTEESAAEYTARKKAEAERELEAKRSYDFKGATGSEFIRTEDFQEFESAGGEENMQQGGGLLKAIGETIVEIGKTATDLIAGRGHVEESVKHGEK >Solyc05g043357.1.1 pep chromosome:SL3.0:5:56860875:56861827:-1 gene:Solyc05g043357.1 transcript:Solyc05g043357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARLIWKPENLKMFPCCQPTTALTSLTLAIRIFSIHAVLFRPISLSNSSTWSHNILRVGNVTNVKFWKDIAGEYNSSYCLSQFFLISSNPDFTMSQNKTGSTWAPLFRGNLQDWELDNILNLLEANPRTSDRLTWGNKADSLYPVEAGYSTLCAQKEVVEGWPWKLNWKTKLPPKVICFTSIALNEASLTQDNINRKKNAHCQQCYKRKTMYRN >Solyc10g018830.1.1.1 pep chromosome:SL3.0:10:10679889:10680131:-1 gene:Solyc10g018830.1 transcript:Solyc10g018830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLGAVGLSLLMTALWQGGRPTAAQKGTAVILNRDPMTRPPLALPLSGLPFLPMVCSPGAGLPRFAHAAFSLDGLCQ >Solyc03g115980.1.1.1 pep chromosome:SL3.0:3:67020176:67021570:1 gene:Solyc03g115980.1 transcript:Solyc03g115980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIALKTFIGLRQSSPENNSIKISKSLPTNHTHRRLRINASKSSPRVTGRNLRVAVIGGGPAGGAAAETLAKGGIETFLIERKMDNCKPCGGAIPLCMVGEFDLPLDIIDRKVTKMKMISPSNVAVDIGQTLKPHEYIGMVRREVLDAYLRDRAAEAGASVLNGLFLRMDMPKAPNSPYVLHYTSYDSKTNGAGEKCTLEVDAVIGADGANSRVAKSINAGDYEYAIAFQERIRISDDKMKYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKADIKKFQLATRLRADSKITGGKIIRVEAHPIPEHPRPRRLQDRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSENGKRMVDESDLRKYLEKWDKTYWPTYKVLDILQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKKVAPGNPIEDLKLAVNTIGSLVRANALRREMDKLSV >Solyc01g097780.1.1 pep chromosome:SL3.0:1:88372119:88372605:1 gene:Solyc01g097780.1 transcript:Solyc01g097780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISSRPLSDMELNHLTQIVNKVVDAAAEVVRKYYSNAARFQFREKDGDGPVTCADVEAEETGWHNRDNALLPDQFIWVLDPIDGTSSYVGKDNCAFGILIGLVYNGKPIDQPILKLRWVGVKGKGTTINGESFIEFVLFL >Solyc11g008190.1.1.1 pep chromosome:SL3.0:11:2439793:2440041:-1 gene:Solyc11g008190.1 transcript:Solyc11g008190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDFELCNFTLQFLRGRWFMMFASYLIMAGAGATYLFGVYSKTIKSTLGYDQTTLNLLSTFKDLGANVGVLSGLLAEVTPT >Solyc08g081493.1.1 pep chromosome:SL3.0:8:64650587:64651665:-1 gene:Solyc08g081493.1 transcript:Solyc08g081493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPHSEQGLPVNTSEFPYHQLVSSLLENYRVKHTPSLDLATNHKAEIPVNGSPEIPASLAAMVTFSATISRCFVGIPKATPYSSQPLLTAIQSSPEIISFHNIIVLKHYGRLPGLMPSVFGDLTGARIVMPWMITLLHFTKKFNFYPQ >Solyc01g059780.1.1.1 pep chromosome:SL3.0:1:68349558:68349812:-1 gene:Solyc01g059780.1 transcript:Solyc01g059780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEVEYLRQRNDSFSITRTSSFPMDTEIEWRQRLEAEMDWRRVMETEIDWGRRMNTEMEWRQCNDSFPIMKKTMLPLVETEME >Solyc12g027655.1.1 pep chromosome:SL3.0:12:25612108:25617219:1 gene:Solyc12g027655.1 transcript:Solyc12g027655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMERHWQAVKRIFPDLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLDTEYRGSGRALHLATASSPTRGTPTLGMHHNSWSPL >Solyc03g112950.3.1 pep chromosome:SL3.0:3:64719103:64772500:1 gene:Solyc03g112950.3 transcript:Solyc03g112950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSRDIQDIVSKLSSDKAKSREEGIKLLNAWLEGQRSVEFCRYIGEETARLKPDEIPHSETWPFLVTLLTKCVSLEISGSKKRLPKLNVAKTLRIVVQRAEDAKFSGKDMPLLSVAKLLFNHVWDVLKDTPSFQSEYGTILRHLLAVRTYRFHLRKRVYCCLVLLYMEKVETSLQEKSDGQINPREEVFRCIMTLHSLLENPPGDFPDTLQDDIVKGFIGIFSYVRDEGKISRKLIECINIYLLKDGPNLGSKSLEIHDALQHFVFRCWMTTRDRGLKDSLVLYARLQLNLMRDLADGSSLLEQLQDVLGKELDQMSNCNINLPWKDTTRDDKCGSLTSSQCGLMELAALVFCRACVNTPIASPSEKRIRREHVVVQIRERLSGGKWPWHAVFCYLIHNYHDRLKKDLLIYWFEGICSNFERIINDANMEHSYVGLLWTLRSLQGLSLMLLFPVPALRTSSELSSTLSGVETGWHTIWNCVICGLPTFSTFKAVVDAALMLLRNLILNDTTNAYLVPQDLWDLRLLKRVPSISVLCFISCYFSRKCYQGDLRDTLYLRQNLLRAILAFPFWKECSMLNKHLVAVLPTAVYALCTGGTPLLDKGLPPSHYVPETMNDVKVEDHTHESVHDLFECSVEVLARIGQESVLEDVQPPCCQSLRLPRQIRDPLCHEVENHILEIIKDEEHERMLLSDVIFLCAILSNFMYCSYSTRIGEEIVPCVATLSQYVSKLLDRAACILEKSYDDLVCGLLGSRSIFDTIGTIRVSFESFLCSPLFNEMQAGNNIDILTAIIQSVERLLKVLAKLYEGSSSSGSNIHSKRGDLGSSASVSSHASHPVNSRTSMIIDMELDVNIASKDTDSVNIGGKATADVLVSSVNQRIEVISIITKLFSALPSHTWDVMFELMEKESDPRVLELIIHSLCQHPHWSSSRKFLNFITSLNDFLDIQANLKVQSLNVLAAICSLLESLLSCDGVAKHPKRTLSSREKLSEEGLISLGDLMNKIADSDLFDWVGRTKLIDCICNFILVDPQTGQSMIEKLLLMLPDPDYRVRLCFARRVGVLFQTWDGHFELFQDICSNFGIKVVTCSRDKLVIAKEVLAAGPQPHTILETTIVTLANLALHSEKIELEAVFMVCVIAAINPCLRRLVIASLDNLSRELKYTSRSKYMEELMASILFSWVATGVSLASLLEARDLFVFNVEPINFIHSCCRWLLPSFLLHGDISNMNWIAKVACEPLAEMIKNHFVDIFSVCIALHCSKKAGWEKGSAVLESSILDIAKISETERDKLIKTHMVSIVNTIFSLASTAEDPVLPLFSKETIARAIKTVVDGFLEMDASSQNIGLIDKINIFRPDRVFTFIVEMHYKVSAAGHFRHKSYRLAGIEVLIDVLGHRVTVPSTASYLLNLIGQCLDLDALLDQCCRMISSLLKVFKIKQLEGTAIVLGEQLQFLISKLVICCVPSESSSKVSAATSSQVLSLLCQLTLDSDPSLHEYIKELEPFPNLDLFHDIRMFHEELCQNYSPMEHLLTLGKRSRYLPPRLLLWSLKALHKKLFEDEAYPAQKNEENIFEDAYLDSDHEIVHTVWNLVHICSLSGAGNFGVLVSDFLSRVGIGDPHGVVFHLPIESKSLHDHNFHLGMSISDELLVAIMRLLKKYLMDDSVKIIDMASQALRGILSTENGQRALLSFDSHQRSLIEVHSKGVNINLVQKLLADLERKLNAKALSLKTSAIWKTDGKTFETWICPLVCALIEYCDDKILRLCQDIVLVKSEVAELLFPHVMVNLSSRKDVDVDLCQLISSQVQENILTEDNKLTKSIQVILDALNELRLCHVMERGTSSNSSKRENSKQYGRPSSYGSKTRSTPLKAKHQTITSSVVSLSTLSWEKVYWIHMDYLAVAKSAIASGAYFTAVLYVEHWCEENFNSLTLGTPDFSHVEILPQHIEILLSAVTHINEPDSLYGIIQSHKLTSQIITFEHEGNWSKALEYNDLQIRSDPVAQRHSYSPENILHSSDSVVDQMIEKKPYKGLIRSLQQIGCTHLLDVYCQGLTSQKGRFQHDPEFAELQYEAAWRSGNWDFSLLYGESNVLSIQYGGDHFNENLHSCLRALKEGGFNEFQIKLKDSKQELLLSICHASEESTKYIYQAIVKLQILYHLGMAWDSRWTSSCRMLDSLKMPTVSSKPVLLSSAELTCLDMDWKRTLKQAQLHMNLLEPFVAFRRVLLQILNCQNYTIQHLLESAATLRKVSRFSQAASALHEFKFLCAEVGEHSNLYWLGRLEEAKLLRAQGQHQMAINLAKYISQNYQMNENTSDVFRLIGKWLAETRTSNSRTILEKYLKHAVSLADDCMARGKVSTTKRSQMHFHLAHYADALFHSYEERLNSSEWQAAMRLRKHKTKELEALVKRLRSSTKGEKTDCSAKIQELQKQLAMDKEEAEKLQEDRDNFLSTALDEYKRCLVIGDKYDVRVVFRLVSLWFSLSIKPIVVKSMDSTICEVQSYKFIPLVYQIASRMGSTKEGQGAQNFQFVLVSLIKRLSIDHPYHTIFQLLALANGDRIKDKQRSRSSFVVDMDKKVAAENLLKELSSYHGAVIRQMKQMVEIYIKLAELETKREDTNKKVNLPREIRSIRELELVPVVTANIPIDPSCQYTEGSFPHFKGLADSVTVMNGINAPKVVECFGSDGKKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNHRDTWKRRLRIRTYKVVPFTPSAGVLEWVNGTVPLGEYLIGSTRDGGAHGRYGAEDWTFMKCRQHMTVESDKRKAFQEVCVNFRPVMHHFFLERFFHPADWFQKRLAYTRSVAASSMVGYIVGLGDRHSMNILVDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLSRDIIDGMGITGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQQDDYEGNKDAARALMRVKQKLDGYEEGEMRSVHGQVQQLIHDAIDADRLCHMFPGWGAWL >Solyc07g008095.1.1 pep chromosome:SL3.0:7:2782160:2806260:-1 gene:Solyc07g008095.1 transcript:Solyc07g008095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQIQKPPNESWDCMLPGPPSRNNGGSADISPAGLFAYASGSSVSVVETHSMQLVTTIPLPPPSSSTTSLSPFITSVKWSPQNLPHLIDVPQHHLLLAVGDRQGRICLLDFRSKSPTIFFDTGSGSKLGIQDLCWVQTGPDSWILAALCGPSLLSLFNTSTGRCFFKYDAAPEYFSCLRRDPFDSRHFCALGLKGFLLSVTAMGDTENDVVLKELQIRTDTTELQKLERDSSTGGNGAPASATFPTYISKFAFSPHWMHLIFVAFPRELVVFDLQYETALFSSGLPRGCGKFLEVLPDSNIEVLYCAHLDGKLSTWRRKERRASAHNVCDGRIDALNRDNHSFPLNSCSCYLPFRCRLSNYWQALFRCTSFS >Solyc01g091180.3.1 pep chromosome:SL3.0:1:84741470:84743903:-1 gene:Solyc01g091180.3 transcript:Solyc01g091180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGQQEGKKRLLDCCTKDGSTDRHGKPAIKAKTGGWKTGYLLLVSEGLAAVAFTGVEVNMVLFSKTVLRQSNAEAATMFSKWMGTLYIFSLLGAFLSDSYLGRYLTSVVFLAVMNVGLVVLSLLTQAFMLEPEGCGKLGELCKPQSQVEVAMFYLSIYLLALGSGSIEPALATLGADQFDEEDPEESRSKTKFFSYFYVALNLGSLVAETLLVYMENMGRWVLAFWVSTACGFVALLSIISGAPRYRHIRPSCNPISRFSQVIVASIRKTKLTVPTNGDGLYEARGRNEKDSTRRISHTDDFKFLDRAAVITPSDMLILPDKSEIPNRWRLCTVTQVEEVKCVLRLLPIWFCTILASIVFVQVLSLFVEQGSAMNTSTMISGFHIPPASMTAFDIISTSTFIICYEKILIPLYVKLTKSKPKLPSELQRIGIGLVISTVAMVIAGFVEQQRLRFANEGGEETSSLSIFWQTPQYVLVGVGEAFIYVAQWEFFASQIPDSLKSMGLGLSMSSSALGSYLCSIILTVVMKITTRHGKPGWVPANLNDGHLDRFFFLSAALTALDLVLFVMCAKRYKSIALEKREVGQDMEATA >Solyc05g018160.1.1 pep chromosome:SL3.0:5:20126496:20127480:1 gene:Solyc05g018160.1 transcript:Solyc05g018160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKISIEDVMKVLIASRKQDMPQVLWTTCSHLVAKSGLPPEIVAKHLPIDVVAKIEEICLKTSLVRKSLISHHHQYHHQHDLISLGGCESVTRARCSQREPPGWSSWQTPLHIASEMLFPDMVVVLLDHHADPNDKTMDGITPLDILRALNSDLLFKGVIPCLTHIEPNKLRLCLELVQSAAMVISREEENTNNDPSSTAISQPINNEDHGSSTSNTTNVGGNNLNLDSRMVYLNLGSSTLIAQQIGCNRMTNDDIMIIVTINKIHGGFDPSSMHHPYL >Solyc06g053440.3.1 pep chromosome:SL3.0:6:36335101:36338312:1 gene:Solyc06g053440.3 transcript:Solyc06g053440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPKNSSQARKAWYQKAMEMASLWKTFAKPSEIPTTNPTLWRSISKSSSREISNTNNRSQKLRRCTSLRVATSFTRVCLCAPISSYSEVFQVDHHHVVPPRKSNCRTKPMMSSISQERIPSGRMSLEGRKIFRGKSLNDDVLMKRFVIEEEAMMQVRRRNQMEVIRRRNSLRRRKKLGPSPLSRMVLAEED >Solyc04g071850.1.1.1 pep chromosome:SL3.0:4:58943327:58943908:-1 gene:Solyc04g071850.1 transcript:Solyc04g071850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSINISILAIFILPSLFFFLPRTIIAMLEPNSPKLLKNACIGYTKSWNSSFCLEIMKSNPQIVLAKDLLHLTLAIIETGLINAIKTQIYMEEENILESRRALDQCHNLYHHIIGVYKGALIHVEKQKLYDVAGAEFSIAANNAEYCENWLNIHDIIDTHISSGNKVIKYLSLSGYNAVNDLMIADWIAKRI >Solyc06g076257.1.1 pep chromosome:SL3.0:6:47509778:47511157:1 gene:Solyc06g076257.1 transcript:Solyc06g076257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELLENKGLPSDEILLKLHMGRVTIPLTEPNIGIRVDDNGTGAESLEMNVHRILGAYRLMIIERGHRVWRLMVLMRNSSGRTNLGSIRVDDNGKRAESLEMNNTHEACLCTQDLGSIRVDDNGTRAENLETFKRHASGRTVVSLMEDYTWDVASTQDLESIRVDDNRTRTEGLEMIGTQGHAAGRTVVSLVEDYTWDVASTQDLGRTNSQSIKHRDDGLQHPGVLLPHLLADIREALLMHPIWDSHNALLESLLCKYQDLAVCPPIRTQEVVVNFEAKLMAAMEL >Solyc04g049450.3.1 pep chromosome:SL3.0:4:41911913:41917356:1 gene:Solyc04g049450.3 transcript:Solyc04g049450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:K4BRS2] MAMKKYLILSIFLLNLIFFRTAFSSVVEEEEDLSFLEEEDSDAHSDSNPYGDHHDFENYEDLEDDSSDHGEDSYEPPAVDEKDVAVLKEGNFSEFISKNKYVMVEFYAPWCGHCQALAPEYAAAATELKGENVMLAKVDATEEAELAQKYDVQGYPTVFFLIDGVHKTYNGERNKDAIISWIKKKTGPVLSNITTVEEAEQILKDEKKVVLGYLNDLVGDKSEELAAAAALEDDVNFYQTANPDVAKLFHIDSQAQRPALVIIKKEAENINHFDGEFTKSAIAKFVFENKLPLVTNFTRESASEIFENPITKQLILFATSKDSEKFLPIFQEAVKAFKGKLICVFVEIDNEDVGKPVSEYFGVSGDAPRVLAYTGNEDGRKFILEGEITLDGVKSFGEKFLEDNLKPFYKSDPIPETNDGDVKIVVGNNFDEIVLDESKDVLLELYAPWCGHCQSLEPIYNKLGKHLRGIDSLVIAKMDGTTNEHPRAKSDGFPTLLFFPAGNKSFEPITVDTDRTVVAFYKFLKKHASTPFKIQKPVSTQRTTESDASLSHESTTNDAKDEL >Solyc11g072730.2.1 pep chromosome:SL3.0:11:56219374:56249872:-1 gene:Solyc11g072730.2 transcript:Solyc11g072730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:K4DAY0] MNRAQESLQVTKQPYIEDVGPRKIKSIKFSLFSESEILKLAEVEVYLGLYYESTKKPIQNGLLDPRMGPPNKSGCCETCHGNFRECPGHYGYLVLALPVYNVGYLGTVVDILKCICKCCSSILLDDKERRDILKKMRNPRTEFLKKSELHKRVVKRCNAMAGGQKTATCSKCGYMNGMVKKLQLKITHEQGNRILDEINVAISDKRELRASVSVPPEIDPKVVYSLFKNMSDEDCELLYLSDRPEKLLVTSIPVPPIAIRPSVFVDGGTQSNENDITERLKRIIQANASLHQEMSDSSPVKSLNQWIDLQMEVAQYINSDVRGVPLQMQPPRPLSGFTQRLKGKQGRFRGNLSGKRVEYTGRTVISPDPNLKITEVAIPILMAQILTYPERVSQHNIEKLRQCVRNGPNKYPGAKFIRHPDGNEMSLMFSSRKRYADELKFGYIVDRHLEDGDIVLFNRQPSLHRMSIMSHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEALMLMGVQNNLCTPKSGEILVASTQDFLTSSFLITRKDTFYNRASFSLICSYMGDAMDPTDLPSPAFIKPVELWTGKQLFHVLLRPYSKMRVYVNLTLTEKSYSGKGETMCSSDGFVYFRNSELISGQLGKATLGNGNKDGLYSVLLRDYESHAAATCMNRLAKLSARWIGNHGFSIGIDDVTPGENLVKQKQGEIHRNYKKCEDCITQFNEGKLAVQPGCDAAQTLEAEVTMSLNKVRDDIGKICMKALDWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGRRAPDGFIDRSLPHFPFKSKFPAAKGFVAHSFFDGLSATEFFFHTMGGREGLVDTAVKTADTGYMSRRLMKALEDLAVYYDSTVRNASACIVQFMYGDDGMDPSRMEEKKGRPLNFSRLFMKVKATCPPGGEKSLSYSEICEIVNERLSYHDMTPEGGCSEAFRASLSDFLIKSLAETLKNLRESLLLGEEQYEGDDRGYLEKIVLNISGITKKQLQVFLNTCISRYHLKRLEAGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNVTLGVPRIKEIINAAKKITTPIITAKLLSAGNLTAARMIKARIEKTLLGQVAKSVKIVLASRLASIAISLDMETIQVSQLCIDAYTVKQSILQTSKIKLKEHQIKVLNPRKLEVFPQANKDKLHFELHRLKNKLPAVVVKGITTVQRAVVNKEQQKDRKNDVKGETYELLVEGTGLLAVMGIEGVDGRYTKSNHIMEVQHTLGIEAARVSIIDEINYTMSSHGMTIDLRHMMLLADLMTYKGEVLGITRHGVQKMKDSVLMLASFEKTTDHLFNASVNGRDDKIEGVTECIIMGIPMTIGTGMFKLRQRVEHVELNYQPDPMMIK >Solyc02g077840.1.1.1 pep chromosome:SL3.0:2:43255416:43255880:-1 gene:Solyc02g077840.1 transcript:Solyc02g077840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPPPPPPPPQPSSAPLTLDLNLPSDHRWTSPSGRRLMIGEFLQVGPPPELNLPVTVAAPAKENDVGAAAMYFGIVRRGLPIDLNEPPPLWM >Solyc07g062140.3.1 pep chromosome:SL3.0:7:65067993:65084424:-1 gene:Solyc07g062140.3 transcript:Solyc07g062140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-phosphate synthase 1 [Source:UniProtKB/TrEMBL;Acc:A6MIZ0] MPGNKYTGNQAVASTRLERLLRERELRKSSKVSHFPNESTDNNRGNELSDHDFRQGEADNGGVSYVEQYLEGAALAYNEGWERPDGKPTRQRLLVVANRLPVSAVRRGEESWSLEISGGGLVSALLGVKEFEARWIGWAGVNVPDEAGQRALTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNEHYEEGDVVWCHDYHLMFLPKCLKDYNSQMKVGWFLHTPFPSSEIHRTLPSRSELLRAVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSERFIRALEVTQVQEHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENPYWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQELKKGVLILSEFAGAAQSLGAGAILVNPWNITEVAASIGQALNMSAEEREKRHRHNFLHVTTHTAQEWAETFVSELNDTVIEAQQRIRKVPPRLNISDAIERYSFSNNRLLILGFNSTLTESVDTPGRRGGDQIKEMELKLHPELKESLLAICNDPKTTVVVLSGSDRNVLDDNFSEYNMWLAAENGMFLRSTNGVWMTTMPEHLNMDWVDSVKHVFEYFTERTPRSHFEQRETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGLRSVEVRAVGVTKGAAIDRILGEIVHSKAIATPIDYVLCIGHFLGKDEDVYTFFEPELPSDCIGMPRSKVSDAPKVPGERRSVPKLPSSRTSSKSSQNRNRPVSNSDKKTSNGRRPSPENVSWNVLDLKKENYFSCAVGRTRTNARYLLSTPDDVVAFLRELAEAPISNGTS >Solyc03g063970.3.1 pep chromosome:SL3.0:3:39390291:39401199:-1 gene:Solyc03g063970.3 transcript:Solyc03g063970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEPILWLIFLAINIALISLLIYQIVCLTDLEADYMNPYESSSRINSVVLKEYILHGAFCILFLVTGHWFIFLLTLLPAYYNLRKFLSRQHLIDVTEVFRFIESEKKIRILKLGFYLVLFVLVLVRISNEGTHFLLCYQFSRPLLEILTFSPQFLNSGHLVLSFINALVDEDEAIDFGSLPLPSFF >Solyc04g078310.3.1 pep chromosome:SL3.0:4:63153154:63155435:1 gene:Solyc04g078310.3 transcript:Solyc04g078310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSENCGRVTRLAKKRAAEGMAPQEQRRASKKRVVLGEIQNFSIVGVKQIKGVEGKIQKPKSKKKVKRVVASKTVEEKEVSVVVVDDDDVDDPQMCTAYVSDIYDYLRKMEIDEKRRPLPDYLEKVQKDVSATMRGILVDWLVEVSEEYKLLSDTLYLTVSYLDRFLSTNVITRQKLQLLGVSSMLIAAKYEEISPPHVEDFCYITDNTYTKEEVVKMEADVLNSLQFEMGNPTVKTFLRRFTGIAQEEYKTPNLQLEFLGYYLSELGLLDYCCVKFLPSLVAASVIFLSRFTLQPNAHPWSAALQRYSGYKASDLKECVLILHDLQLSRRGGSLVAVRDKYKQHKFKCVSTLTSLVEIPASFFEDTRQL >Solyc08g083115.1.1 pep chromosome:SL3.0:8:65791600:65794519:1 gene:Solyc08g083115.1 transcript:Solyc08g083115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCVGCCSSNCAGGHVVASQTLYGGTHALLTHFLPRACNITTSFVDIRDLEMVKEAIVEGRTNVLYFESISNPTLTVANIPELSRIAHEKGVTVVVDNTFAPLVLSPVKLGADVVVHSISKYISGAADIIAGAVCGPASLVNSMMDLHQGSLMLLGPTMNPKVAFELAERLPHLGLRMKEHCKRALEYGTRMTKLGLKVMYPGLEDHPDHVLIKSMANKEYGYGGILCVDMETEERANRLMNVLQNFTQFGFMAVSLGYYETLMSCSGSSTSSELNNEEKELAGISPGLVRMSIGYNGSLEQKWSQLDKALSKMPF >Solyc06g075770.1.1 pep chromosome:SL3.0:6:47228973:47230323:-1 gene:Solyc06g075770.1 transcript:Solyc06g075770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIPDDCDSIYCGGCRTRVAFIEDLYFTMWEVGVFDRVFNVEVSIDLNYHHRQDANTVANTYCVQCGRMLGWKYIQVIQQSLYVMEGTFHLRFDMLNFEEQNVDQDVGGNQQVPIEQEYQDGDGDQQVPHEQDLSTNEQNVDQDRGGDQQVPNEQDLGTNEQTADQDGGDDPQVPNEQDYQDRGGAQQVPIGQDLGANEQSVDQDGGGDQEVPTEQDLGANEQSVDQDGGGDQEVPTEQDLDDNEQNDDQDGGGDQEVPNEQDLDDNEQNDDQDGDGDEQNHDPDGRDIQQGINEHHLGANEQNVDQDGGGNEQGPNHQDGGPPMKQRKK >Solyc01g010465.1.1 pep chromosome:SL3.0:1:5307230:5309729:1 gene:Solyc01g010465.1 transcript:Solyc01g010465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISPSDSDAWEFQENEFMNARERLRLIRAKLAVLEGKMTLKMLDEKQKMIDRSSKALQLLRTARIVWTNSASEVLLAGSFDGWTTQRKMEKSSTGVFSVSLKLYPGRYEIKFVVDGIWKIDPLRPIVHSDGHENNLFIVT >Solyc09g005810.3.1 pep chromosome:SL3.0:9:553381:557784:-1 gene:Solyc09g005810.3 transcript:Solyc09g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYKQSSRRDEDLPNSQPYSPKTLKYPRGSLPRSINYLLKEQRLLFILVGILIGSTFFIIQPSLSHLSTSSEPHSSIPRSYNALNHESLSKLASYNDKDVSFRVNGVTGRVPVGVGRKRMRIVVTGGAGFVGSHLVDKLIKRGDDVIVIDNFFTGRKENVKHHFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPTNVMGTLNMLGLAKRIGAKFLLTSTSEVYGDPLEHPQKETYWGHVNPIGVRSCYDEGKRTAETLTMDYHRGANVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQFVSDLVDGLVALMDGEHIGPFNLGNPGEFTMLELAGVVKEVIDPSATIEFKANT >Solyc08g061400.1.1.1 pep chromosome:SL3.0:8:48730397:48730771:1 gene:Solyc08g061400.1 transcript:Solyc08g061400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISVYPLLVANRKEFSKLESQLKERRCRLDADIGVKLKFVIELIRICGGWKERVIIFIQLLDPLNLIMKQLNSLFSWTLGREILYMDGKLDVNQRQISINYVMTLRVIPKCFLHRQKLSQKV >Solyc03g123820.2.1 pep chromosome:SL3.0:3:72037149:72039974:1 gene:Solyc03g123820.2 transcript:Solyc03g123820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFFLFFTIIILITHSDSSNESPSYMGFVFNATEFPSEDYYDYIVVGGGTAGCPLAATLSEKYRVLLLERGGVPYGRPNLMTQEGFLTVITDVDDFESPAQAFVSEEGVPNARGRILGGSSAINAGFYSRADQDFYARSKLNWDLQVVNQSYEWVEKAIVFRPELKNWQSAVRDGLVESGIHPFNGFTLDHVIGTKIGGSTFDTSGRRYSAADLLNFANPSNIHVAVYASVERILLAPSADYSPSKQAATGVVFSDQSGRYHHAMLRGKGEVLVCAGALGSPQLLLLSGVGARPYLSTWGIPVAHHLPYVGRFLFDNPRNGISIVPPMALEHSLIQVVGITNSGAYLEAASNVIPFASPASSLFLRTSPSPVYVTVATLMEKIVGPVSSGSLRLASTDVKLNPIVRFNYFSNPEDVERCVNGTRKIAQVLRSRTMDIFKFDEWFGSRDFRYVGPALPVDESNDELLKDFCHETVSTIWHYHGGCVVGKVVDENLRVLGIDGLRVVDGSIFRVSPGTNPQATLLMLGRYVGTVMLRERFR >Solyc03g081257.1.1 pep chromosome:SL3.0:3:53580033:53580356:-1 gene:Solyc03g081257.1 transcript:Solyc03g081257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHTFRHFPIIDTVRNVLPVYGWRANYGDLSGFQRPSFIQHLWLKFWYLSGDPSGFVAKFWYLSGDPSRFVAKSCG >Solyc01g094370.3.1 pep chromosome:SL3.0:1:85755005:85759703:-1 gene:Solyc01g094370.3 transcript:Solyc01g094370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin 2 [Source:UniProtKB/TrEMBL;Acc:Q9XEX9] MAEATAVAAQPQPESTTPPPMAKSDDSKAIATLPPTKPDSSTKKSSKGSFDRDVALAHLEEEKRNSYIKAWEESEKSKVNNKAEKKLSSVGTWENTKKANIEAKLKKLEEQLEQKKAEYAEKIKNKVAAVHMEAEEKRAMVEARRGEELLKAEEIAAKYRATGQAPKKIGCLGC >Solyc04g063245.1.1 pep chromosome:SL3.0:4:55403565:55405112:1 gene:Solyc04g063245.1 transcript:Solyc04g063245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKDIYSLIGGFDLSDLFPSQKWLHNVSGMKSKLSKAHSKIDEMLEKIINDHIENRAKGKKCNGESGNEDFVDALLRVMESEEFGPPITNRNIKAVILDMFLAGTETASTTIIWAFLELMKNPRVMEKAQLEVRERLNGEKAFNDTDLEELNYLQFVIKETLRLHPPAPLLVPRECREETKIDGYTIPVKTKVLVNAWAIGRDPEYWHNSESFIPERFENSSVDFKGNHFEFIPFGAGRRMCPGMLFGLVNVAHPLSQLLYHFDWKVANGVNPNDLDTIETEGLTARRKNDLYLIATPFGLSK >Solyc03g111475.1.1.1 pep chromosome:SL3.0:3:63559140:63559589:-1 gene:Solyc03g111475.1 transcript:Solyc03g111475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDPMAANVEVATSVNAEAAAAAVNVKAVAVAEATAQPVVNANNYLLQQQLQLFWAAQLQEIMQIGDFEGHSLPIFRIKKIMKSDKEVRMISAESPILLDKACELFIQELTHRSWLKAQECQRRTLKKIDFFTVLKKTKLFISLWT >Solyc08g023480.1.1.1 pep chromosome:SL3.0:8:27674900:27675106:-1 gene:Solyc08g023480.1 transcript:Solyc08g023480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLEDALRQYLISFSNVNISVSQGGLHISLERGAISNSLIINGVTASYGNATAHMHFHSHKHKNCKI >Solyc02g093600.3.1 pep chromosome:SL3.0:2:55039738:55040572:1 gene:Solyc02g093600.3 transcript:Solyc02g093600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLLRSSDPIVGMMNMCPVLSTPIDWKETSQAHCFFVDLPGLSKEDVKVEVDNGRVVKISGKWKAEEEIGDENEKKNLWHRVERNRGDFCRKFRLPKNIMADRLEASMENGVLVLTVPKQQLKKPFSKVIEIEEK >Solyc05g053410.3.1 pep chromosome:SL3.0:5:64350631:64356038:-1 gene:Solyc05g053410.3 transcript:Solyc05g053410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSGSRGKHDRNHQPKNQSQFSGTSNTNALSKAVAQYTTDARLHAAFEQSGESGKNFDYSQSVRNSTESVTEHQITAYLNKMQRGGHIQPFGCTIAVEEASFCVIAYSENACEMLDIMPQSVPSLEKNEILKIGTDVRTLFSSSSSGLLEGAFGAREITLLNPIWVHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISLLQSLPGGDIDLLCDTVVKSVRELTGYDRVMVYKFHDDEHGEVVAESRRSDLEPYIGLHYPATDIPQASRFLFKQNRVRMIVDCTAIPVRVIQDESLMQPLCLVGSTLRAPHGCHPQYMVNMGNVASLTLAVVINGNDDEVVGGRNAMRLWGLVVGHHSSARFIPFPLRYACEFLMQAFGLQLNMELQLASQLAEKRVLRTQTVLCDMLLRDSPTGIVTQNPSIVDLVKCDGAALYYQGRYYPLGITPTAAQIKGIVEWLLTCHVDSTGLSTDSLADAGYPEAASLGAAVCGMAVAYVTSKYFLFWFRSHTASEIKWGGAKHHPEDKDDWQKMHPRSSFKAFLEVVKNRSLPWENAEMDAIHSLQLILRDSFKDASNSKSIVRVQLREEGLQGMDELRSVAREMVRLVETATAPIFAVDVEGRINAWNAKVAELTELSVEEAIGKSLVHDLVHEESQTTAQNLLRKALRGEEDKNIEIKLRTFGAEQLKKTVFVEVNACSNKDYTNNIVGVSFIGQDITAQKVVLDKFVRIQGDYKAIMHSPNPLIPPIFVSDENTCCFEWNTAMEKLSGWNKEEIIGKMLVGEIFGTFCRLKGPDDMTNFMIMLHKAIGGQEIDKFPFSFSDRNGKFVQALLTANKRVNVDGQIIGAFCFLQIASPELQKTLMQRQQEKTSNIHMKELAYICRELKNPLNGIRFTNSLLEATELTENQKQFLETSAACERQMSKIIRDIDLDNIEDGFNAIVEVKKSGLVESGEGVYLSLELEKGEFFLASVIDAVVSQVMLLLRERGVQLIRDIPDEIKTLRVYGDQVRIQQVFADFLQIMASYAPPREGWVEVHLRPSIKQISDGVTIVHIEFRIVCPGEGLPPELIQDMFHNSLWVTQQGLGLSMCRRILQLMNGQVQYIRESERCFFLIILQLPMLIQ >Solyc12g044220.2.1 pep chromosome:SL3.0:12:60035049:60044833:-1 gene:Solyc12g044220.2 transcript:Solyc12g044220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTERQSVTVRDLVEEAKKRVVFLVICAIGLSYLMSLTSSSVFVNLPVAALFIVSLRYLSLDFDARMKAVTYKSKSSISNSTFQRKHIDIPRTVNEKPTWRKKVNSPAVEEAIDHFTRHIVSEWVTDLWYSRITSDTQGPEELVQIMNGVLGEISRRMRTINLIDLITRDIINLIRTHLELFRASKIKIQKKRPISLTIEELDVELKLVLAADNKLHPALFSPEAEHKVLQHLMDGLISYTFQSEDAQCSLFHNIVRELLACVVMRPVLNIANPRFINERIESLVVSVKKGDKGNTAAETEPQSRPVGSGKISADHFSRVLDPSAKGVELVQLKNDQPNNTEEHAMNTMNGTDLLLDPLLSLDARSTRSWSSLPSQADADDGRGIHRHHSGGEWGERLDLLSRRKTEALAPENLDNIWAKGRNYKRKEEANLASDKLKKSSLISAPKSPGHSKEAKQKESERANKVGAKHYVKDNATSQGDLKRPIYPPDYSYQEENEHSSDEDESESTSSYTTEDEEPSSVTGFDSPGTQVWDGKNIRNVNHIHHPLENNEGHKRRNGKASKTHIRSKHLNRVLSGRKRSRLSNQTEHLWQETQRTSFLQGDGQDILKSKENVKLDGPSDDSETEIFSRISSDTNASSYVSSRSFSEIHSMGPYSTTGSIIADSFLKLRSEVLSANIVRSGSKTFAVYSISVTDMNNNSWSIKRRFQHFEELHWRLKEFPEYNLHLPPKHFLSSSLDGPVIRERCKSLDIYLKKLLLLPTVSNSIEVWDFLSVDSQTYSFSNSLSIIETLQADLDRTVRQKSKEPPHGISPRTDLLSSKGKHSNTESKNLTSRIEHDHAGHESRFRKDYVALSPPKRPLTETFEDSNSDNKVHANRKSTPNMQTTSKSVETNSLASPESLVAATVDPTFPSEWVPPNLTVPILDLVDVIFQLQDGGWIRRNAFWVAKQVLQLGMGDAFDDWLIEKIQRLRRGSVVAAGIQRVEQILWPDGIFITKHPARQHPAPTSSPNCPPGQPSTPLSSPRLENSQKLDEMQKLEAEQRAKFVYELMIDKAPAAIVGLVGHKEYEQCAKDLYYFIQSSVCMKQLVLDLLELLLVSAFPELTSVFNTLHEEKERFGELKID >Solyc06g065290.3.1.1 pep chromosome:SL3.0:6:40833806:40835722:1 gene:Solyc06g065290.3 transcript:Solyc06g065290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTREIAQPATGTSTVTLTLGNPSESAPSSSSSQQQQPIETLTLKLKPKRRVSWKAGTVDNEFLNKKSSKKCCIFHKEKPFDEDDSDDDDADDKENDPSKSHHHGDHCCSKQDHGGEASTSFSEDH >Solyc06g068720.3.1 pep chromosome:SL3.0:6:42713295:42720229:-1 gene:Solyc06g068720.3 transcript:Solyc06g068720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAGEAVEHVNFPAMATKDRSGCCNPVKKAGPVSLDHVLSALGETKEERESRIRSLFSFFDSDNAGYLDYAKIEKGLSAMQIPAEYKFAKELLNGCDANKDGRVDYQEFRKYMDDKEMELYRIFQDIDVEHSGCILPEELWDALVNAGIELDDDELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYQYLERVCLVDIGEQTVIPEGISKHVHASKYLIAGGVAGAASRTATAPLDRVKVILQVQTTHASIGPAVKSIWKEGGLLGFFRGNGLNVLKVAPESAIKFYAYETLKNAIGRARGVEDQRDIGTSGRLVAGGMAGAIAQTAIYPMDLVKTRLQTHSCESGSVPSLRKLSKDIFIQEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKDLSKVYILHDSEAGPLVQLGCGTISGALGATCVYPLQVIRTRMQADSAYQGMADVFRKTVQREGFRGFYKGLFPNLLKVVPAASITYLVYESMKKSLDLD >Solyc11g073020.2.1 pep chromosome:SL3.0:11:56445802:56446975:-1 gene:Solyc11g073020.2 transcript:Solyc11g073020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIDIFCASPASTAICSSMDQYTMVRRGIRHQIDRKIDRLGDPRTPKIKTPIPCSSNQLPFDPKTYYHQNKNRKSHDEKLRRKSSADVTDLGNSSRYLLSDHSTNTPFIDFLSSSGDASKALVPTKPLRAKSTNERLMYRSSSLESPVYKPSSAYSNDLCVYKSTRSCPSEQVVELRVSIHCKGCEGKE >Solyc01g096525.1.1 pep chromosome:SL3.0:1:87481062:87500118:1 gene:Solyc01g096525.1 transcript:Solyc01g096525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex subunit [Source:UniProtKB/TrEMBL;Acc:K4B041] MAPVVPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVDEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCVDFKETAEVIAKVGLKMFLGVTATVTNWNVEGTTCSLILEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEVTWLRDMLRGDDAFELQLKLLRQVPEEYPYKDDE >Solyc01g112303.1.1 pep chromosome:SL3.0:1:98306151:98306640:1 gene:Solyc01g112303.1 transcript:Solyc01g112303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQENLCRSHTLPLNKLCKKLRCEFEVLKLVIVIKNIYILIHAKVENNFTCTIKKGKPVILAKQTKALIRT >Solyc03g007970.2.1 pep chromosome:SL3.0:3:2457358:2464610:1 gene:Solyc03g007970.2 transcript:Solyc03g007970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAIMSPANYLEKNSSYSQAINLTNRRQIVHSSKLKYVRTTSPSLKLPPVTTDCDNAEVIGARERRGKEWIHFVGVGGCGLSALAMLALKQGYEVSGSDMMWSDAMDALREAGARVYIGHSELNLRKNNGLVPDALIVSSAIRGGNVEILHAESVGIPVYKRGAWLGRITKGYNLIAVSGSHGKTTTASMLAYVLDAMGDDLTAVIGARVPQLAERNIIFGTGCNFVLEADEYDSCFLGVTPQIAVVTNVDWEHVDMFQDEEAVKTIFRKFIGQIRAGGHLILCGDSPVACSLVNKMGSGSALPVLRNDAFQISTYGISSCNDWHALSISPNSCGGSDYQLLHKGHHIADISLQMPGVHNVLNSLAVIATINALSTDEKNFLSSIASLKLRLQNFEGVSRRFERIGTVRGCHIYDDYAHHPTEIQATLQAARQRFTFQELVVIFQPHTYSRLAALKDDFAIAFTNADRVVITEIYEARETNLWKINGHDLAASIVGPPSEFVPSLIQVLEMLVVHISKDPDHETVIMTLGAGDITSVGRKLLIELQHRLL >Solyc09g005020.1.1.1 pep chromosome:SL3.0:9:15848:16600:-1 gene:Solyc09g005020.1 transcript:Solyc09g005020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVQVEEEKKEECPRKLDFSAPLLSTRRSSEKSLSCPNQLSIDIFNRVPFSWEQSPGKPKEMRLTTNIEIVPPPKLPPCMWRHTRKDQLLGTTSSTTTTTKSYDEVHDVDNHDVYSDALDVFSLGNESNDETEYRKSNNELVVHEECNYDWSTNKPAVPNFIIQRFLKDAKVLAISSTLENRLQEDEQINGRRKCNFSPKATVSCGLDMFIPWRIKPKPCCVKNSVVAASRPQWSNKDKHALDEDPKF >Solyc03g081330.1.1.1 pep chromosome:SL3.0:3:53624700:53624978:-1 gene:Solyc03g081330.1 transcript:Solyc03g081330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIMSIFSSFDALSAEIFGLKVTPSWAPATSDNKQQQGLLSHRKTAASPTSNSSTAELNKAGEAAPAQQRRRPRFAPELDGLHCFETILPY >Solyc03g007560.2.1 pep chromosome:SL3.0:3:2121708:2124196:1 gene:Solyc03g007560.2 transcript:Solyc03g007560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPRATQVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDQTELADYRLRRRKEFESLISRVGWNKSVWVKYAEWEESHKDLKRARSIWERALGIDALSRDHTIWLKYVHMEMKNKFVNHARNLWDRAVIRLPRVDQLWYKYIHMEEMLGNVAGARGIFERWMEWMPDQQGWFSYIKFELRYNEIERAREIFERFVQCHPKVSAWIRFAKFEMKNGEIGRARNCYERAVDKFADDDEEAEQLFVAFAEFEDKCRETDRARCIYKFALDHIPKGRAEDLYSKFLAFEKQYGDREGIEDAIVGKRRFQYEDQVKKNPRNRHYDTWFDYIRLEESVGNKERIREVYERAIANVPPAEEKRYWQRYIYLWINYALYEELDAQDMERTRHVYRECLKLIPHHKFSFAKIWLLAAQFEIRQLRLKEARLLLGEAIGRAPKDKIFKKYIEIELHFGNIDRCRNLYEKYLECSPENCYAWSKFAELEKSLYETERARAVFELAIDQPALDFPELLWKAYIDFEISEGELEKTRALYERLLNRTKHVKVWLSYAKFEASAMSSDINQKKKCLQHTRDVFERAVSYLINSAPELKEERVILLEEWIDMENSFGELGDAIIAFGQHTRSSPAAYEEYIDYLFPEQITNNMKLLDSAYKWKKQRVASKD >Solyc08g077510.3.1 pep chromosome:SL3.0:8:61620004:61624756:1 gene:Solyc08g077510.3 transcript:Solyc08g077510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVKGLLNVALGNDENERDNQDRDERSRSSWAQVVTGEQEDDGVNTDSRTGYNIQDERYGRNEESRPHMMPQKPSQNMRASPQGYQRNEDERRDNVNQSCWNQKEGEGNSDGWETVQKKPTKRHQQVKMDSWNNYKKPLDEQDYSNEVEYGVDMEPSEEELSDLSKACNKLWELDLNRLVPGKDYQIECGEGKKVYNKDDMAEGCLFSWLNDDVFNKPSYSRFCSLLDNYNPNQGVKENVTPEEKREQTAFIEEISRTAPIKYLHKYLSLKGIISGDYEELKRMLTRLWFDLYSRGGTSASSSAFEHVFVGEIKERGEKEVSGFHNWLQFYLEEAKGNVDYQGYIFPRRRGEIPDSETQLLTIQFEWNGVLKSVSSSLIGVSPEFEVAIYTICYFVGGEENHVEIGPYPVNIKCYRLGDSIGSAFPVAEC >Solyc01g017630.1.1.1 pep chromosome:SL3.0:1:24488177:24488518:1 gene:Solyc01g017630.1 transcript:Solyc01g017630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRERTSYIPFLLNQKARSDVIPVRLHICETIPQARQPISHPRVCVNNRMVNITHFKFSHGHIISIQENDVRTRGEEIKRSFYVEISVDKIIEKFLHHPWRRTKTEMYIEG >Solyc11g019910.1.1.1 pep chromosome:SL3.0:11:9775303:9775893:-1 gene:Solyc11g019910.1 transcript:Solyc11g019910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSPYNSKKLTKTLLPILLLLLVCTISQAQGNINSNYIKSKCNITTYPSLCLNTLLPYASYVQTNPIKLCDTALDIAIDSAKNTSHMVSELGKNKGITKYETAAIKDCISDLKDAVYELKETLGAMNHLNDTDKDFQWDNAKTYASAVISDANSCLDGFSDRKVNPAVKAKISDAISYVTKLASNALAFINHLY >Solyc06g050760.1.1.1 pep chromosome:SL3.0:6:33620675:33621022:-1 gene:Solyc06g050760.1 transcript:Solyc06g050760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILTGTANSDHYFFKFIPQSVDAFGSTVLVEGCDPDHSITWVHAWTVDDGIITQVREYFNTSLTVTRLDNSNNNNNCPSSDLSSIAATRHCPSLWESSLPNRVGKSVPGLVLAL >Solyc11g061816.1.1 pep chromosome:SL3.0:11:48858171:48860900:1 gene:Solyc11g061816.1 transcript:Solyc11g061816.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQASISSIKCDTRMLICNQLDKTQRLISEKMWLVHHVIAMDVFKKNREEHLDEAWSNTIFQPEFKKKVIFIMGATGMGKSRLSVDLATHF >Solyc08g023490.3.1 pep chromosome:SL3.0:8:27664293:27667851:1 gene:Solyc08g023490.3 transcript:Solyc08g023490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNSNICATSHTKILWFRSSCNRLYVAKFGASQSGYIHNASTCSFDHVHEFEESDNEDLDCTDDDFKVLDSFGKTQKQVNGAENGGISGRIEEEMGHHLVKETCRLIEHRSAWNPQLEIELRRLLRSMKPHQVCAALTSQSDERIALKFFYWADQQWRYRHDPIVYYVMLQLLSRTKLCQGAKRILKLMARRRIPRRPEDFGCVMVAFSRAGHLRKAMQILNVMQRAGIEPDLSICNTAIYVLVKGDNIEKALSFLERMQLVGITPNVVTYNCLIKGYCDVHRVEDALELIAEMPYKGCYPDKVSYYTLIAFFCTKKQTEEVRELVEKMAKDSNLLPDQVTYNTIIHMLSKHGHADEALGFLREAEERGFRVDKVGYSAVVNSFCKEGSLDKAKELVNEMIAKGCPPDVVTYTAVLNGFCLAGRIDQAKKLLQHMYKYGCKPNTVTYTALLNGLCQSGRSAEAQEIMNTCEEWWWRPNAITFGVVMHGYRREGKLSEACEVGREMIGKGFLLSPVEINLIIKSLCQEGRADEAKSFMVECLKKGCAVNVVNFTTVIHGFCLKKELDAALSVLDDMYLINKHPDVVTYTTLIDGLGKQGRIEEAIGLSNKMLHRGVLPTAVTYRTVIHRFCQQHRVDDLLVLLEKMLSREGCKTAYNQVIEKLCGLGYTDEAYKLLGKVLRTASRVDSNTCHILIESYLKEGNPLSSYKVVCRMFNRNLIPDLKVCDKVRDRLMQDGRVEEADKLMLRFVERGHKLPQLQRT >Solyc08g077520.3.1 pep chromosome:SL3.0:8:61625201:61633043:-1 gene:Solyc08g077520.3 transcript:Solyc08g077520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVNYMREEDVKLDAVRARFSNVLKRHSELAERLSRDSDKSIFDRLQKEFEAARASQTQELILKGEQWNDGLLATIRERVHMEAERKAMQIPGDTTQLPIPFHDKITYKVGNKVICCLDGARIGIQYDTSYAGESCDLYHCVLESKSFLEKMTVLEHTIPFFLPIREAENEFLSSNAIRFIDHVGDLLQAYVDRREQVRLIKELYGNQIGELYHSLPYHMIEFVIEDFDSKVTVGLRYADLISTLPTGVSVLAWPMHQSKRSSDKIASHLKGNGVGSHPIPARLTYAEHALRTMGLPQAYAEIVLNLRQALHDMFPHTSST >Solyc01g058140.3.1 pep chromosome:SL3.0:1:65424822:65441579:1 gene:Solyc01g058140.3 transcript:Solyc01g058140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative beta-glycosidase [Source:UniProtKB/TrEMBL;Acc:Q1M319] MSVIALFTAATKLAGVLVTITVAANAFSFSVYRKKNLKRFRSPIDDSADVLAHFNLNPSEGEKGFFFGLATAPAHVEDRLDDAWLQFAKNTESHEIQQPQTADAIMGSATGDGGSQQALLPQREATKTIKRKKSLKIAIEAQIRGFEKYIEVEELTPTEQCPHNVAAWHNVPHPEERLRFWSDPDIELKLAKNTGVQVFRMGVDWSRIMPEEPLGGLKETVNFAALERYKWIINRVRSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMEFTRLIVDSVADIVDYWVTFNEPHVFCMLTYCAGAWPGGNPDMLEVATSALPTGVFNQTMNWIAIAHTKAYDYIHEKSKPASAIVGVAHHVSFMRPYGLFDVAAVSVANSMTLFPFLDCISDKMDYIGINYYGQEVICGAGLKLVETDEYSESGRGVYPDGLFRVLLQFDERYKHLNLPFIITENGVSDGTDLIRQPYLLEHLLATYAAMMMGVRVLGYLFWTISDNWEWADGYGPKFGLVAVDRANDLARIPRPSYNLFSKVAESGKITREDREQVWGELQTAAKEGKRRPFYRSVNKYGLMYAGGLDEPIWRPYIKRDWRFGHYEMEGLQDPLSRLARYLLHPLSFKQKAQTQRESDQLTLEPLSANI >Solyc05g005040.3.1 pep chromosome:SL3.0:5:57732:62285:-1 gene:Solyc05g005040.3 transcript:Solyc05g005040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQSIIRGVSIYSVNNHLNFITCKFQYMFQMKKNYRHTCFIFLLLIFATTISVDARKHYHTKKSKIHHKHKKNNGGAPNCSSSPFPSHGFYPTNGSPIFNILSFGAKGDGVSDDTKALETAWEAACKVQGATLEIPSEFQFLINPITLQGPCMPNFVFQIDGIILGPPKVGSWPKSSLFQWLNLKWMHNFTIQGTGTLDGQGYNWWKLSQIDFFQVMKKSKNIPDMKPTVLRFYGSYNVTIRDVKIINSPQCHLKFDNSKGVKINNVTISAPESSPNTDGIHLQNTQDVEIHHSNIGTGDDCISIQTGCSNIHVHHMNCGPGHGISLGGLGKDKSIACVSDIIVDNVNLQSTMYGARIKTWQGGVGSVKNISFTNIQVSNVKVPIMIDQYYCDKHVCKNQTGAVAISNVQFNQIIGTYSTKPIHLACSNSIPCTDVDLIDIQLKPSTNYRGINQLAGLCWNSYGKSQAPLLPSSMDYCVRRGSGFVRGISRSHEHVCL >Solyc12g035824.1.1 pep chromosome:SL3.0:12:44499315:44501252:1 gene:Solyc12g035824.1 transcript:Solyc12g035824.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSLFLFWFSYHGSLVVLHHISTKKNPIPQRIVHGTTIEIHRNIFPSIILMLIDIPSFALSSHYYKSYWTSIVSECASSRGWASTLPYEYWDYKSSIGQSLTFGSYTIQEDDQELGQSHLLEVDNRVVLPAKSPICFIVTSDDVSHSWVVPSLGIKCNVIPSHLNQTSIMEYDQCSEIRGNNHEFIPIIVEVLPMKDNGYRVFSQYIPQSPNKQQ >Solyc07g006530.3.1 pep chromosome:SL3.0:7:1326115:1332176:1 gene:Solyc07g006530.3 transcript:Solyc07g006530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylosuccinate lyase [Source:UniProtKB/TrEMBL;Acc:K4CBC4] MELGTSFSASGVNKTMYLSNPITQKSNFLQNSWLNQLNFSFPVRKICSCRGIAKGSTISSLKTQEKVTEMSSNHSQDLELSSLTALCPLDGRYWGKVKELAPFMSEYGLIRFRILVEIKWLIKMSQIPQIIEVPTFSEEAQTFLHDLIDGFSMNDALEVKKIERVTNHDVKAVEYFLKQKCQSHPEISKVLEFFHFACTSEDINNLAHALMLKGALNTVILPVMDELIKAICDMATTHSSVPMLSRTHGQPASPTTLGKEMAIFAYRLSRERKEISQIEMLGKFAGAVGNYNAHVAAYPEINWPEIAEEFVLSLGLEFNPYVPQIETHDYMAKLFHSIVQFNNILVDFDRDVWGYISLGYFKQTTKAGEIGSSTMPHKVNPIDFENSEGNLGVANGDLSHLSTKLPISRWQRDLTDSTVLRNMGVGLGHSLLAYRSALQGIQKLQVNEAALMEDLDKTWEVLAEPIQTVMRRYGVPEPYEKLKELTRGRAVNKESIREFIQKLDIPADAKTSLLNLTPHTYVGAAAELANNVNEAIFLLSSPYLLK >Solyc01g058250.2.1 pep chromosome:SL3.0:1:65849355:65851507:1 gene:Solyc01g058250.2 transcript:Solyc01g058250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTLEEAYKENPLSLQHILPIDFQSIQEVPNSHLWPNINNVPTSHNDKNPNVPIIDLIDPNVVELMGHACKTWGIFQVVNHGISLKYFDEVESQARRLFALPTEQKVKVVRSTNGVTGYGTARITPFFSKFMWHEGFTIVDSPLDHAKELWPNDYKKFCDVMENYQTKMKVLSFQLCMFILNYLQPSHEHSINSFEFEGALQLNSYPCCPNPYHALGLAPHTDSLFLTILHQTNNTKGLQILKKDQGWTSIAPVSNDALIVNVGDLLHILSNGEFPSVYHRVLVDQTKHRVSLAYFFGPQVESIISPLVSSQDNDGVVLKYRNVTVKEYLGLKAKHLEKVFSMIRI >Solyc07g064760.2.1 pep chromosome:SL3.0:7:66901739:66904273:-1 gene:Solyc07g064760.2 transcript:Solyc07g064760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCIINLHSPILLKFSTNKFTFQYHKSKPICLLEQQHYDTNLATGFVKRKRNLLIPPLKAVNSPATSGDLSVLLQTGAVMLFIYWIANFVVPEFIMKDLQDESTNNNNKTDEKDIL >Solyc04g005640.3.1 pep chromosome:SL3.0:4:417340:419197:1 gene:Solyc04g005640.3 transcript:Solyc04g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIYVILINLIIFQFITFLHAQDFDFFYFAQQWNPASCDSKIKCCYPTNGKPAEDFGIHGLWPNYFNGSFPKSCNKNVRYDETQISDLISSMQKNWPTLSCPSNNGTRFWSHEWKKHGTCSLSMLDIHSYFQAALALKEKVNLLQILKNAGIQPNGGFYNLEAIKKAIEKGIGHTIGVECNIDLNGNSQLYEVYVCVDKSGSNIIDCPIIPETKRCNEIVEFAVFGSRNILDAGHAYSL >Solyc09g008640.1.1.1 pep chromosome:SL3.0:9:2101022:2102908:-1 gene:Solyc09g008640.1 transcript:Solyc09g008640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILYRRKTNLYVLLLLYFFCYCCSQKTCPNCGPLEVPYPLSTNPNCGNPDYSIRCDPHSHKLYLDTLNGSSYVILRVMASFQRMVVQPSPWMPGTCVTQDLSVSEGLWLNQTLPFNVTSSNTIFLFNCSPRLLVSPLNCTPSSLCHKYLLSSGHVDAKRELQCASGVYPCCTFIAGGMPSAYKIRLHISGCQAFRSILHLDAMKPANEWEEGLEIQWSPPPEPHCKSQSDCSGASKCSPSGKNGVFRCFCNHGYYWNRSLGNCMKKKHSGFVLNISIGIALFLAFTVVVIAVALKRSGRVSARARLAKAREDILKSNNGGKPARMFCLKEMKKATNGFSEDRILGRGGFGEVYKGELHDGTIVAVKLAKVGNLKSTQQILNEVGILSQVNHRNLVKLLGCCIEAEQPLMIYEYISNGTLHDHLHGKYSTFLDWRTRLKIASQTAEALAYLHSAAYPPIYHRDVKSTNILLDNEFNAKVSDFGISRLACPGLSHVSTCAQGTLGYLDPEYFRNYQLTDKSDVYSFGVVLLELLTSQKAVDFSRDENSVNLVSYVIQQENHGSVIDVLDRRLLDEEPLTNVTTGMDSFLALALSCLRETKTERPCMKEVVQQLHCISEIVDQEEPIEFS >Solyc01g079410.3.1 pep chromosome:SL3.0:1:78344526:78352130:-1 gene:Solyc01g079410.3 transcript:Solyc01g079410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFCSTKFLLLLFFLSAIPIAFIIHLETSSPTTHVYHYHSTGWLRECSKWDDANRRFIVSFFEGGLGVIPVEADYSPGDVLQEMPVVKDADLTGNASLGFTIDRERNRVLVAVADVLGNRYSALAAYDLTLWNRVFLTKLSGPEDEKAFADDVVVDTEGNAYITDAKADKIWKVGANGELKYTIKNSIFTPKEWYNKLVGLNGIVYHPNGYLLVAHTFSGNLFKIEIAKGDEVKLVKIDYGSLKFGDGMELLSPTKLVVAGNPTRLVESSDDWESGTIVGKAKGAIHRLSTAATVKEGTVYLNHMIGLGYPRKKHVLVEAVFSA >Solyc10g077070.2.1.1 pep chromosome:SL3.0:10:60074350:60077244:-1 gene:Solyc10g077070.2 transcript:Solyc10g077070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGFGSDSVPPAGPIDKSKVLDVKPLRCLVPVFPSPNGMASGTTPQPSPFVCVPPSGPFPPGVSPFYPFLSPNESGRSAENQDGLGFGTPISPVPLNSFRTPAANGDTGPRRPGRPRASNGLAAEDDDSQNHSDQFGSGYSGHANDVEDTSTGKKRGRPRKTRLGQPSSGNPATPPIEVDVDPLLNQLLASFKLVEIDQVKKADGDKELSGRILLVYDLFRRRMTQIEERRGETPGSARRPDLKGANLLMTRGARTNQTKRIGNVPGVEVGDIFFFRMELCLVGLHAPSMAGIDYMSVRLTGDEEPIAVSIVSSGGYDDEGDDGEVLIYTGQGGVQRRDGQMFDQKLERGNLALEKSMHRGNEVRVIRGVVDVQNGGRGKIYMYDGLYRVQESWAEKSKLGNCSIFRYKLIRVPGQPEAYTLWKSVQQWREGTATRVGVILPDLTSGAESQPVCLVNDVDDEKGPAYFTYIPSLKYSKPFMKSNPSVGCQCLGGCQPGGTSCPCIQKNGGYLPFNPLGVLMSYKTLVYECGSACSCPPNCRNRITQAGPKARVEVFKTKNRGWGLRSWDPIRGGGFVCEYAGEVIEESRVGEFGNDGDDDYIFDATRMYEPLEAVRDYNDESKKVPYPLVISAKKGGNVARFMNHSCSPNVYWQLVVREINNETFYHVAFFAIRHIPPMQELTFDYGMVPPDKADRRRKKCLCGSLNCRGYFY >Solyc07g052310.1.1.1 pep chromosome:SL3.0:7:60938603:60938782:1 gene:Solyc07g052310.1 transcript:Solyc07g052310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVTAVASAGYDKAKAAAAVGAVKAKAAAVVGASKVKSGTTTGFKWIKEKWQKRSSAK >Solyc05g010460.3.1 pep chromosome:SL3.0:5:4703377:4705285:-1 gene:Solyc05g010460.3 transcript:Solyc05g010460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRSLSYYKKNPEALSLPPDGPNSGYLVIKDSESETYCCFGLCKNYEIMDLPLPQNKKLTIRYEMSNGQSTSVNRDSVMFIPVLNKPLSSNQYYAIKTQGKNKGKF >Solyc06g008195.1.1 pep chromosome:SL3.0:6:2073248:2075866:1 gene:Solyc06g008195.1 transcript:Solyc06g008195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFAALGTQYFRPVIFNEMPKSLRFQISLAQLGKPVCGLTLSVKLKDRSRVSVKKQIGVDEKILRAPVSDFVLSYTSCPVPIEVELDIDPLYLWRIGVSNILTIGSQI >Solyc03g046547.1.1 pep chromosome:SL3.0:3:13183746:13184239:1 gene:Solyc03g046547.1 transcript:Solyc03g046547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTVKNHHEEDEEDDEEFSSRTPDGSSQKGKLEGKSNDGKVSAHRSKHSETEQRRRIKINERQVY >Solyc03g005050.3.1 pep chromosome:SL3.0:3:44314:61388:1 gene:Solyc03g005050.3 transcript:Solyc03g005050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSRIRAAAKPLIRTESLRSYGSAAAQLVDYDYDDYEYEEFQNRSCVMEESEGSVPRRGVQWVIMGDPMAQRHVYAQWLSKLLGVPHISMGSLVRQELHPRSSLYKQIADAVNQGKLVPEEVIFGLLSKRLEEGYCSGESGFILDGIPRSKIQAEILDKTVDIDLVLNLKRAEDLVSKKDKSTGLYPPLEFLRMGASGISTSRQPEGGHFRPSSIMEDVSRKNLHVHAEQVNPLEEYYRKQRKLLDFQVAGGPGETWQGLLAALHLQHRNAVGSTQLTAGC >Solyc09g066280.3.1 pep chromosome:SL3.0:9:64948485:64956874:-1 gene:Solyc09g066280.3 transcript:Solyc09g066280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQQALRSLCCNTPWKYAVFWKLTHRARMMLTWEDAYYDNDGFPGKKSPDSTAGNLYDGHYSNNHLGVAVAKMSYHVYSLGEGIVGQVAITGKHLWLSANKVAAITNLAPEHCDGWQAQFSAGIKTIVVAAVAPHGVVQLGSLDSIPEDLRAIKHIRDVFSELQELMTSCLRSSMQHSMENSCLSEISTRTSGSEIFQDCVNNLGRSVCEDRRNMWSPLYTSFEKSVDHSCIFLQPGGYPNKILEVVNNQRLHRSSVQGSDDSTNLLPASCESSIIKHQEEGQMWEETDPKFEGQTSNLRVLGKGSVDKSEPNFKSDTSIGSVSYDAGQVTECPQRNRNNLASEAYNDRNRMLGLSDLPNAYADKCAETNLGFGTECNDTMHTPFRFCAGYELYEALGPVFQKGNSSKDWEAGKREEMAVDMLEGIGTSSLVMSNTGNEHLLEAVIANVNRHDNDCSSVKSFCKSVDSLLTTEITAEPCSSDIGTISSTGYSFDRETLNSFNSSGTCSIRSSRGLSSTSCSRGSGHVERPLEPVKMHKKRARPGESCRPRPRDRQLIQDRIKELRDLVPNGSKCSIDSLLERTIKHMLFMQSVTKHADKLSKCSASKLADKESGICGSSSHEVGSSWAVEVGNNQKVCPMRVENLGMNGQMLVEIFEDGSHFLDIAEAIRSLGLTILKGLAEAYGERTRMCFVVEGQNDRTLHRMDVLWSLMQLLQAKINL >Solyc06g005590.3.1 pep chromosome:SL3.0:6:632657:638608:1 gene:Solyc06g005590.3 transcript:Solyc06g005590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHKRLDYGFIGYQVPHIPRATRSARGRGNIRKKSDSNDMCAFDLLTTVAGKLLLEGESTSNSSGKDQSAVVKDTIETVKEDEDTPLKENPCNERCQEGGFFISQIVSEAPVVNHCLSKSTDTLSGPASVITSSDCSEKLGSAEQFINGERKTENRNCLEHELSGCRDFSSCTLGAESKKQVKLNLSNDATITTNKRTAICSSEFPDPWDRKHSMLVASDNTVKLSLSTDPDPFGSFPVIRDDVQLANKDDDEKSWGCTQPSTRNKAFRPAPRVGDWRMRKLQASKYWEVNPQSDDEGHVNVDNKTRHVYQKRENVYMSERSQRDFPFKKRKLYYCNSFSNSDGGSSDGICSSPTKDLNRDASGYSLASSGVLIGAYSPSAYGIRQPVQQLGRQSRKELVHPSVKLKIKSFRVPELFVEIPENATVGSLKACESVKL >Solyc03g079850.3.1 pep chromosome:SL3.0:3:53082022:53097195:-1 gene:Solyc03g079850.3 transcript:Solyc03g079850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLHNNPDSQKDQTLFISFNNSFCVNALSIDRKGLHKPSEMWPLFVLLSKFLGTEEDNTEESEREHLSLVLKQSLGRDEFHGTVSGSYFVDVPHINQLHSWDCGLACVLMVLRFLGIKDGNMQELEEFCCTTSIWTVDLAYLLKKFSVSFSYFTVTLGANPSFSVETFYKEQLPNDLVRVDMLFQKARDAGISIECRSISSEEISLLILSGNFLAITLVDQYKLSHSWLDVCASDLCIDTPDYTGHYIVICGYDSDADEFEIRDPASSRKYGRVTSKCLEKARKSFGTDEDLLLIRVEREEAKSSHHDHCLTQLL >Solyc12g008840.2.1 pep chromosome:SL3.0:12:2183601:2190744:1 gene:Solyc12g008840.2 transcript:Solyc12g008840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4DBX2] MLRTNVLLLLVICLLDFFSSVKASVSYDDRAIIINGKRKILISGSIHYPRSTPQMWPDLIQKAKDGGLDVIETYVFWNGHEPSPGKYNFEGRYDLVRFIKMVQRAGLYVNLRIGPYVCAEWNFGGFPVWLKYVPGMEFRTNNQPFKVAMQGFVQKIVNMMKSENLFESQGGPIIMAQIENEYGPVEWEIGAPGKAYTKWAAQMAVGLKTGVPWIMCKQEDAPDPVIDTCNGFYCEGFRPNKPYKPKMWTEVWTGWYTKFGGPIPQRPAEDIAFSVARFVQNNGSFFNYYMYHGGTNFGRTSSGLFIATSYDYDAPLDEYGLLNEPKYGHLRDLHKAIKLSEPALVSSYAAVTSLGSNQEAHVYRSKSGACAAFLSNYDSRYSVKVTFQNRPYNLPPWSISILPDCKTAVYNTAQVNSQSSSIKMTPAGGGLSWQSYNEETPTADDSDTLTANGLWEQKNVTRDSSDYLWYMTNVNIASNEGFLKNGKDPYLTVMSAGHVLHVFVNGKLSGTVYGTLDNPKLTYSGNVKLRAGINKISLLSVSVGLPNVGVHYDTWNAGVLGPVTLSGLNEGSRNLAKQKWSYKVGLKGESLSLHSLSGSSSVEWVRGSLVAQKQPLTWYKATFNAPGGNDPLALDMASMGKGQIWINGEGVGRHWPGYIAQGDCSKCSYAGTFNEKKCQTNCGQPSQRWYHVPRSWLKPSGNLLVVFEEWGGNPTGISLVRRSR >Solyc02g032177.1.1 pep chromosome:SL3.0:2:28302759:28303052:1 gene:Solyc02g032177.1 transcript:Solyc02g032177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPKKTICPSWIRYWIDLPDKGEDQEKTTFTCPYRTFAFKRMAFGLCNAPAIFQRCMMSIFSDIVEDTIDVFMDDFYVV >Solyc10g080670.2.1 pep chromosome:SL3.0:10:62015616:62017764:1 gene:Solyc10g080670.2 transcript:Solyc10g080670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEWELLSDNGLLEVLHDDRDKFLSMEYTSSSKKVPNQVVIPLLIQQEPSIQKPQEDEEVIKEVITKVPLEDEEDKKSQVFFKKMKESEFENMKLDSPKFSNKTSTVSQIDSMSFPFEVKAEVLEVENESLIKKRDSNEEKNNGGVNLWNWRLTGIGAICSFGVAAAAVTICIFIGNHQKQKQHKQNQKLKFQFSDDKKMKQVVQQPATKLNEAICGVKGSVPVMKKHITDVEGLLLRSLEQIQDCLSVS >Solyc03g097882.1.1.1 pep chromosome:SL3.0:3:61660560:61660901:-1 gene:Solyc03g097882.1 transcript:Solyc03g097882.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVLQDLVYAYGWPLRLVQPIWRVKRAPKRAYASFRRFSCAIAHHFLGDPDSDVKNAKFFRGRLSRPCLGIRLAITASPTHLEGQMSPEASIRLISTIFMCYSTPFFG >Solyc10g050150.1.1.1 pep chromosome:SL3.0:10:47948227:47948391:-1 gene:Solyc10g050150.1 transcript:Solyc10g050150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRDDVEPYISIRHYLDSAGDVAEAFRRSSLVHASKNSVMLINTFAGITTKK >Solyc11g069220.2.1 pep chromosome:SL3.0:11:54149209:54157739:1 gene:Solyc11g069220.2 transcript:Solyc11g069220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4DA78] MAGGGGGRSLEQTPTWAVALVCFALVAISIVIELIIHLIGKWLKSKHKRALYEALEKIKAVGQDPISNICVSEKIASTWHPCSKQKEAEMNKYISGDLEGHRRRLFTADDGGVRRVLAAAGTDKCADKGKVAFVSADGIHQLHIFIFVLAIFHVFYCVTTLALGRAKMSRWKIWEKETRTAEYQFSHDPERFRFARDTSFGRRHLSFWTKNSVLLWIVCFFRQFVRSVPKVDYLTLRHGFITSTNLVPCWYSYLWLPFIPLLVILLVGTKLQVIITKMGLRIQERGEVVKGVPVVQPGDDLFWFNRPRLLLFLINFVLFQNAFQLAFFAWTWYEFGLKSCFHDQTEDIVIRMTMGVLIQILCSYVTLPLYALVTQMGSTMKPTIFNERVAMALRKWHHSAKKHIKEINKQHSNPTTPMSSRPPTPSHGMSPVHLLRGIRTSDMDVGPRRSSYNNIDHWDIEGSPSPNRHDSEVHEPNLSEIEAREQYEINIARSRDFSFDKRTTSV >Solyc02g079750.3.1 pep chromosome:SL3.0:2:44752724:44754738:1 gene:Solyc02g079750.3 transcript:Solyc02g079750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGHVEKLAQEIKKGAASVEGVEAKLWQKLFQKRFLERWVDQQKRCPIITPNDLAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWRTQQLAGKPAGLFYSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKIKGGSPYGAGTFAGDGSRQPTDLELEQAFHQGKHIATIAKKLKGSA >Solyc08g041667.1.1 pep chromosome:SL3.0:8:26656244:26656677:-1 gene:Solyc08g041667.1 transcript:Solyc08g041667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDELPFSFVEKEGFKNFMRVTMPQFHIPSLIRAWIWQIALLIVCLNRVWIMCLLLQLIMLVLMMLLERNV >Solyc03g114100.1.1.1 pep chromosome:SL3.0:3:65619375:65619752:1 gene:Solyc03g114100.1 transcript:Solyc03g114100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSFSCFCSAPVQSSVNRVNPDLNRQKPTSGSSWWTPLFGWSSEPDYIDSGSSSSAIRTGPVREISGLKSDPETGRCRSKFQPGGFTEDKAKELRRKTMQSSNFHDIMYHSAIASRLASDVSGR >Solyc01g090670.3.1 pep chromosome:SL3.0:1:84190726:84202585:1 gene:Solyc01g090670.3 transcript:Solyc01g090670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGFSFSSSTSPFSFSSNPSFTTTPSVAATTSASGSSPFSSPFANPNPTSSTPSFGFGTSTTSASSAPSFGFGSSTTSASSAPSFGFGSSTTSASSAPSFGFGSNTGASTPSFGFSSSAASGSSPQPTLFGSSSGSTTSASGSPLFGANSASAAANSSPFGSSLFGSSGTPSPGLFGSSSAAASNSGLGLFDSSPFLSSTTASTGNAASSASTTPFSSSLFVSSSSASSSPFGSSSTAPSFGFPSSAASLSFQSASNSASSSPGLSFASSAAASSFSSSSAFSFPGASPASSASSAPGFSLLSTSTTTSISSAPGFSLAAASTSSAVSSPAFSFSTSSSAASGPAISLSTPTPSAASPAPSFSFSMPAAASSSPSPFSASSSPSPFSVAKGTVSSVTASASSSSAPVSKPTSIGFSISSSPLFSTVTTPTSISTTAAAIGASSTTSGTGLSLTFPAPTSSASTTSAVDSSPIAGFGTSAPSTVFSLSSKASAPALSSQPQSTAAVPSFGVPSTTSATATSSAAQTSSPLTVASSSGTTSTSTVVATSTPKLPSEITGKSVEEIIKEWNAELQERTAKFRKQANAIAEWDRRILQNRDILLKLESEVAKVVETQGSLERQLELIETHQEEVDKALQSMEEEAERIYKDERGVILDDEAAATRDAMYEQAEFVEREMEKMTEQIKSIINTFNACQGGELEATDGMTPLDVVVRILNNQLSSLMWIDEKTEEFSSRIQKLASEGPAANRESTAPKLWLTR >Solyc01g090690.3.1 pep chromosome:SL3.0:1:84202680:84213507:1 gene:Solyc01g090690.3 transcript:Solyc01g090690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSARSSTTRLFYSLCSSTKRTPLTPQHPPPTPVAALLAGNFQLRHYAASSATARVREEKDAVWRESLEKVRNIGISAHIDSGKTTLTERVLFYTGRIHEIHEVRGKDGVGAKMDSMDLEREKGITIQSAATYCTWKDYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARAKLRHHSAAVQVPIGLEDDFKGLIDLVQSKAYYFHGSNGEKIVAEDIPADMEAIASEKRRELIEAVSEVDDKLAESFLNDEPISSADLEAAIRRATIARKFVPFFMGSAFKNKGVQTLLDGVLSYLPCPVEVSNYALDQTKDEEKVTLTGNPSGPLVALAFKLEEGRFARTAHFNIIFHQRIYEGVIRKGDFIINVNTGKKIKIPRLVRMHSNEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAVSPVSKDSGGQFSKALNRFQKEDPTFRVGLDAESGETIISGMGELHLDIYVERIRREYKVEAQVGKPRVNFRETITKRADFDYLHKKQSGGQGQYGRVIGYVEPLEPGSGSKFEFENMLVGQTIPSNYVPAIEKGFREAANSGSLIGHPVENIRVVLTDGASHNVDSSELAFKLASIYAFRQCYTAAKPIILEPVMLVDIKVPTEFQGTVTGDINKRKGVIIGNDQEGDDSVITANVPLNMMFGYSTSLRSMTQGKGEFTMEYREHAPVSGDTQTQLVNAYKASKEN >Solyc01g101260.2.1.1 pep chromosome:SL3.0:1:90948823:90949558:1 gene:Solyc01g101260.2 transcript:Solyc01g101260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKRSGFSFFGLFKSKNSSRREDNYSRDDCVKAYKVWPSDEDRGQWVADPGIDNKAALFISNRTAKWSSPES >Solyc04g050365.1.1 pep chromosome:SL3.0:4:46795813:46796188:-1 gene:Solyc04g050365.1 transcript:Solyc04g050365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKPKTISLTGGWGHHPEPPLAYVTAISAVCAIFSNVSVVPSAAALVIKALKEPERDRKKTKNTKHNRNITLEVVIEIA >Solyc09g015375.1.1 pep chromosome:SL3.0:9:8694298:8697268:1 gene:Solyc09g015375.1 transcript:Solyc09g015375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSYSNTERAIHIQTTEKAMHIQTTEKAIHIKFLRRPFTSNCREGHHIQKPRKPSYSNAERDIHIQTAERAIHIQMPRRPLSSSLLKISRKNATKQYTPGQVHAEIQREVASNSTIVRLLLLFPQNRATPAPSGVIVLITLTSTFSPFADFQSKDPTPPRLLAIASKKSILKFEFILNLLDSPSNRSSKSVHSGGVLHDQARRPSLAAPKKRLMVRSR >Solyc10g085225.1.1 pep chromosome:SL3.0:10:64617961:64621072:1 gene:Solyc10g085225.1 transcript:Solyc10g085225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMASSFKCLLVLYFLLLSLYFTQISRAGDIVEEGILYIDGVSSIAKIDKDFICATLDWWPPTKCDYGTCSWGNASLLNLDLSNKVLVNAIRAFSPLTIRLGGTLQDKLIYQTMHDKQPCLPFFHDDTELFKFTQGCLPLSRWDELNEFFKKTGAKVTFGLNTLNGKKIASDGRTALGDWDSSNAESLIRYTVSRGYNIHGWELGNELNGNGIGPAISADQYACDIIALQKLVQDIYKGKDVMPLILAPGGIFDAIWFPKFINKASNSLQVVTHHIYSVGGGDDTNLVQKILEPSHLDEESKYLQNLQGVLRNSGTSAVAWVGESGGVYNSGRNLVSNSFVSGFWYLDQMGMSATFDTKTYCRQTLVGGNYGLLNTTTFHPNPDYYGYALEASFSMLFFSKTRLTASSTELIALLWHRLMGRNVLSTQFQGMKKLRSYAHCSKSSEGIALMLINMHSSITVNISLSVTVANTNESPMLLQVTNDQNARHEIEREEYHLTAKDGDLHSQTVLLNGNELNVDHFGRIPLLEPVRVNPSHPISIAPLSIVFVHIPSIQVPACSMYTREYM >Solyc11g017040.2.1 pep chromosome:SL3.0:11:7823477:7848805:1 gene:Solyc11g017040.2 transcript:Solyc11g017040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFEFKPEDEQPSENEANRFCNNYTITENGALGTNMNSKGTGDEPSEDIEAADSDFNGNHALSSLDAEGCGDERMLRLGSTPNITSTNKRRFPDREPNNHVGGSFLEGSSYRGTDCQEIFSGPHSNDESVGAVSDESPSEESASYSSATPENHDILDGPLSNHHFDHWGMFENSSIVFCPDYLYYQGTSYVVTDTTVTFSSNCVEVKGSTMNEDSGAFYVRFEVEDIFQIQARLSGRFDVAVFMIHVNKRPTAQGENAQETSGIEEVEFAVNDFDLSEKCEAIQSLDVYKAVWNYHSENEEWRENSQGETSNQLPKKYFPSYTEPLEEVIYPKGDPDAICISKRDFDLLAPDTFVNDTIIDFYIIAFDGHAAFLRVRKWTRKVNLFDKDFIFIPVNYNYHWSLIVICHPGEVANFTDDDTASSSVRVPCILHMDSFRGSHVGLKNLLQSYLCEEWRERTKETPDVVSSNFRNLRFLSLELPQQQNLSDCGLFLLHYVESFLEEDPAGINPYSIKNYHNEFLSINWFQPYEPSIKRSAIQRLISNLLQNLSLEKSPSRVSNSCYPERGLKTSNDDENALELVSDQLGSSKSSDNLPRSQAIEINPFPTSSLRGVCANDSGFCLNDSFESESDEEPLLDMGFGHAASFNEFRSSLPPIQEEMEAGDHLAYTATDRDLLHLGGNGSEPCAFSYSSGSLVAGTSWIPDVSVVQDVDEQFDSSPTTSVRDTEKQLEVEVAELRKVDQNMSTDEKIDQPKSSIDCLVDGHATPGELLDITLAQSSIETHDNSGTGPVTSGQENPYDMHGNVNLACKSLLLIGNGSGSEADAEHNVKRRRLTTLDDEEVAFRSSVTHDLHL >Solyc06g062760.3.1 pep chromosome:SL3.0:6:39706261:39709163:1 gene:Solyc06g062760.3 transcript:Solyc06g062760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSKTLIRTGVSLISRLMTQNLRCSAQTPQIANPTLTSRLFPSLSSHSQSPIRLDLPQFDDIDSFKKVSTEGFLYPTGLPSLPFFLPDVDDSSSSGMLLFPKRTYQPSNMRRKRTHGYFARKATKGGRRVIARRIAKGRSRITA >Solyc07g042450.3.1 pep chromosome:SL3.0:7:55714081:55715433:1 gene:Solyc07g042450.3 transcript:Solyc07g042450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIQKAFRSAMSQDPNGSLSSISSALEKAPIKSSATVSSAEQSQLLLTRSSRQAVSLWTCSKLCAICFVAGIFVGYTLKRRVRRWASKFLKGLKD >Solyc10g047175.1.1 pep chromosome:SL3.0:10:39908579:39913012:1 gene:Solyc10g047175.1 transcript:Solyc10g047175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEIHLHLQKEQEEVCLTFIIMDIEIRDMEIEELVILQESPNSTMNFATIKKKGGASSYANNASASNESGMFDSALGSNTRANESSNDTTSGQGVSMFIQEQYYKILQMLRKGNSKEVDTMANVAIAGVSGTSGNFTALMSDMSHINWIIDTGASNHMVHNFGLMSQSTSLDVQGGMRVNLPTGDQVSISHVDHLFPVLDLPDSSYNDSSVSASDNTSVPFLNPTTSIQSQTSSNITVPLTHYDYSLFTKNIGKELLVILVYVDDLLVTGSSLHHIQQIREELQHRFRMKDLGELKYFLTIEFSRSTEGILMNQRMYALGLVSELGLAGCKPSSTPLEFNHKLTSIVFDEFTGKNANAEDTLLDDFGKYQRLIGKLLYLTMTRPDIAFVVQVLSQYMHSPKISHMEAALRVVRYIKGTAGLGLFMPSNKGNEMVAYCDSDWGACVETRRSFTGYMIKLGGALMSWKSNKQSTVSRSFVEAEFKSMATTVAEIVWLKGLFRELEMNIKLPSIQLLRRRRVIKENI >Solyc11g012940.2.1 pep chromosome:SL3.0:11:5788088:5793237:1 gene:Solyc11g012940.2 transcript:Solyc11g012940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutaredoxin-like protein [Source:UniProtKB/TrEMBL;Acc:K4D698] MAAGLAIGFSAVRPPLKQALGVKILNYQKSKWVFSPLAFSSSSSSSSSTSRKLILYSKPGCCLCDGLKEKLNAAFSLSSPHSLHDVQLQVRDITSNPDWEKAYQYEIPVLARVRPDGTEEVLPRLSPRLGVEAIHKKIAAALTE >Solyc04g007300.3.1 pep chromosome:SL3.0:4:1017186:1019826:-1 gene:Solyc04g007300.3 transcript:Solyc04g007300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNISHDVYDPAVAVAAAQFFTLGGPSYGCTSSIPESESMLNSSNNNINIPTPHPLVSGNTTSKNTSEGRKRKRNNQKEVEKPREVVHVRAKRGQATDSHSLAERLRREKINEKLRCLQELVPGCYKFLSMKLSAASLFYDFNSSEMDDMDSMQGTNGYAAAQGMGKNIVGEGYGGFPQFQTSWPL >Solyc03g097360.3.1 pep chromosome:SL3.0:3:61109739:61115669:1 gene:Solyc03g097360.3 transcript:Solyc03g097360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALFVRPNVAALCIRRLSCSVQPRLSAMVKCYSIAVRSYRPMKQLSHIGLKFEAFAGHRSFSIRATSDTGSSSFDSPLMQSMEKKIKEQLDADTVVVKDAYGDGRHVSIDVIATAFEGQSAVNRQRMVYKAIWEELQNTVHAVDQMTTKTPTEAAAGK >Solyc01g006900.3.1 pep chromosome:SL3.0:1:1471955:1477626:-1 gene:Solyc01g006900.3 transcript:Solyc01g006900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAMKLFITLLFLLCLVSSLTSAKSTDFDYCNKKANYDVKVSQIDITPYPIKGGRTTTFSITAETGRNLTGGKLEIDVKYFFLNVHHEDIDLCKETSCPASGDFVISHSQELPGFTPPGSYTLTMKMVDEKNKQLSCITFSFSISLFDESEALSASI >Solyc05g018040.2.1.1 pep chromosome:SL3.0:5:19872092:19872823:-1 gene:Solyc05g018040.2 transcript:Solyc05g018040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMVTDMTLATENQNNFQEYLSNNPNPGIDLTVAALTSRFWQSHKSNYLSLLVEMVKCVQVFKEFYETKAKHRKFTWTYSLGTCNVNGKFDSKTIELILGTYSGKTLKRSKMKNNLGKIFPFLIPLQIECDMSCYEP >Solyc08g066020.2.1 pep chromosome:SL3.0:8:54530492:54542264:-1 gene:Solyc08g066020.2 transcript:Solyc08g066020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVSILANVVGATSNWVRSVFEFQFARAVIFEVNIGGHLFVEGLLLIVILFLLSQKSYKPPKRPLTKKIYFICIKMRVSKIMLRPLPQPLVCNESKENKCKEKFPSDFNRADECVQDFQEIDELCEEWAPEPLIPSITDDMKREPPVLESAAGPHTIVKGKDVVNFTSANYLGLLGSQELLNGTFTSNVEWQETCTTALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFCKKGDVVSNLYIENENLPSTERTKKFTNALGHSSIFCVCTRFDNSLGFLLLQRLLCLEKANVSWDEILYTAKCERQLAYVNLGTSELDRLGHGIWDGRLIAASPNAPPPKTFMVATPNDEPQYIGVPFANVGTDVKINNPEIATCHQISLLLTLFCNLPFSCRDEGVHWGIQNGLQLSRSTIIYFKHNNMESLRNTLEKVTQENKQARKLRRYIVVEAVYQNSGKIAPLDEIIKLKEKYRFRVLLDESNSIGVLGSSGRGLTEHCKVPSDKIDIITAAMGHALATEGGFCTGNARVIEHQRLSSSGYVFSASLPPYLASATIKAIDIVEEKPELLVKLRQNIALLTKGLSDIKSLEIVNDPLSPIIFLALKQSTGSSKGDLQVLEDIADHVLKEDGVFIVTSKRSTLDKCKLPIGIRLFVSAAHSEADLVKASESLKRATASLLPAYD >Solyc11g068950.2.1 pep chromosome:SL3.0:11:53793655:53799400:-1 gene:Solyc11g068950.2 transcript:Solyc11g068950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYQGGSEIQADGLQTLYLMNPNFIGYNDTHHHHHHQQQSTNMFLLNSVASGNFPHVSLPLQAHAQGHLVGVPLPGDFQDSNRPSIQEISASHHGLLSRLWTSGDQSTPRGGGGGGEGNGSKSHIPSSTVVSPNSGSVGGTTTDFASQLGFQRPGLVSPTQAHHQGLSLSLSPQQQMNFGSSLPLDHREISTTNHQVGILSSSPGVNTSNIDHTRGSGALSSFSISNGMILGSKYLKVAQDLLDEVVSVGKNIKLSEVGGAKEKHKLENELISLASDDIESNTQKNSGVELTTAQRQELQMKKAKLVSMLDEVDQRYRQYHHQMQMIATSFEQTTGIGSSKSYTQLALHTISKQFRCLKDAISGQIKDTNKTLGEEENIGGKIEGSKLKFVDHHLRQQRALQQLGMMQTNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKIMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEVKKNNQEQNGLDQKIIEPNNNEIVGSKSSAPQEKLPISSNIIHDATSNDISTSTISTSPTGGGGSMPAQTVGGFSLIRSLNMDNIDDQRNNKKARNEMQSSTIISMEREIMNKVKSEKFNNTQTRECYTLMTPSYTMDDDQQFGTRFNNQNHEQLATTFHQGSNGHVSLTLGLPPNSENQHNYIGLESHYNQPTHHPNISYENIDFQSGKRYATQLLQDYVS >Solyc05g013000.2.1 pep chromosome:SL3.0:5:6083396:6084264:1 gene:Solyc05g013000.2 transcript:Solyc05g013000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFIESKLFVCLTGSTWLKAVCASIMQGNNEEEEDLLVKDNPHFYVPTIEAMDYYLKTPTHDLYNMPSIYITRNPKDTLISMRHFFNYNRKRLEDLSPLEDVVEYSCNGVHQYGPFFEHVLEYWEASKRNPQKILFLKYEDLKIDPKKSEEDLEIVLNKCSLERLKNLEINKSGSIFSSVHNNHMTLEMEEQLDKITKLKLQASGLELC >Solyc01g091540.2.1 pep chromosome:SL3.0:1:85031773:85035054:1 gene:Solyc01g091540.2 transcript:Solyc01g091540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPEPGRCRRTDGKKWRCKRDVIPGQKYCGQHVHRGRRSRKPVEASEHVMRSDDTNIISKITKLYASGDDPGSKCAPASSKTSCLSSTSRTNQYNSIEDSSAKPRFINSGYGSEKQDTYMICKRKDITTSVRTPSFIAGDNADSKNIDFNRISPSKTNQKQNCGEARKHGALVPILGLSTKSDQQHTIGSESDEQRCRRSDGKKWRCSKTVVPCQKYCETHMHRGANRKRVASVSVVVPPSKSPSYRFCPPENDGTRRNLNTSLSIYTIPGHQNITDDDSNSNSISDATTITDEIPAFVSH >Solyc02g021603.1.1 pep chromosome:SL3.0:2:23755500:23757213:1 gene:Solyc02g021603.1 transcript:Solyc02g021603.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTRSTERSNNGEVGELRELMQKVLSEVRTLAGEVICLKKLDQVVVELRKELEISGGNHKEKTPMDHPEREKLTNARRVFDERTKQSFNMENIHMDERIGIATLQFEGEYRKYLQPPTWNAHMMSLEERFRAEYDDLMEDIKKVKQTGCVKSYQAIFKRNLTRVYKSARMQEAYQTVIKQHVAPVQNQQANRRIVLQQGAEPVNKRPYRGGKKDGTWRMYVDYRDLSKYTFNNKFPIPIVEDLLDELGWSKIFSKLILDRDIIN >Solyc10g079470.3.1 pep chromosome:SL3.0:10:61144782:61152692:-1 gene:Solyc10g079470.3 transcript:Solyc10g079470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSFASKRSLQSLLHHHYRRCRQNPQFPIFNPRPFSSSPGPPSSDAELRKYIGYTLLLLGSAAATYYSFPFSEDARDKKAQLFRYAPLPDDLHTVSNWSGTHEVRTRTFLQPESVEELEGIVKEANVRKHKIRPVGSGLSPNGIGLTRAGMVNLALMDKVLSVDKENKRVTVQAGIRVQQLVDEIKEFGITLQNFASIREQQIGGIVQVGAHGTGARLPPIDEQVISMKVVTPAKGTIEISKEKDPELFYLARCGLGGLGVVAEVTLQCVERQELVEHTFLSNMKDIKKNHKKFLSENKHVKYLYIPYTDAVVVVTCNPMSKEKGPPKNKPKYTAEEALQHVRDLYLESLTKYRDSGSPSEPEIVELSFTELRDKLLAMDPLNKEHVIKVNKAEAEYWRKSEGYRVGWSDEILGFDCGGHQWVSETCFPAGTLSKPSMKDLEYIEELMQLIEKESVPAPAPIEQRWTACSKSRMSPAYSSADDDIFSWVGIIMYLPTMDARQRRQITEEFFHYRHMTQSQLWDQYSAFEHWAKIEVPKDKEELAALQARLKKKFPVDAYNQARKELDPNRILSNNMLEKLFPSSEAV >Solyc07g007470.1.1.1 pep chromosome:SL3.0:7:2175001:2175159:1 gene:Solyc07g007470.1 transcript:Solyc07g007470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTQNLRTIEILLIRGYKYVGLFLCEYDRVEYRVGIEPKASPSPTPHPRATH >Solyc01g110790.3.1.1 pep chromosome:SL3.0:1:97261189:97261449:-1 gene:Solyc01g110790.3 transcript:Solyc01g110790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMSRIIMKSSTTGDVPKGHFAVYVGEKQKKRFVIPISFLSQPLFQGLLSQAEEEFGFAHPMGGVTIPCSKDVFIDLTSRLNRI >Solyc02g088030.1.1.1 pep chromosome:SL3.0:2:50892022:50892420:1 gene:Solyc02g088030.1 transcript:Solyc02g088030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHIQNEAGASAPAAQASIEALPIVKIIEEESECAICLLEFQVEEKAKKMPCKHHYHSNCINRWLEIHGSCPVCRYKMPVAAGTRHTLIESITSVGGSINESDEQTDIYTESILGCVFYSVYVGSLFMLSS >Solyc06g036673.1.1 pep chromosome:SL3.0:6:27236405:27236690:-1 gene:Solyc06g036673.1 transcript:Solyc06g036673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSPKKEHQEAVYKILRYLKNSPRKGLFSGKGIESFKDADWAGSTIDRRSISRFCTFIYGNLVTWRSKKQNVVARAVLKLNIDLWPMGPVK >Solyc04g005410.2.1 pep chromosome:SL3.0:4:286567:289540:-1 gene:Solyc04g005410.2 transcript:Solyc04g005410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDCWSISKFIEVSAERVFLKMYLVESKAGAIVCMLFSLLFLGTWPALLTLLERRGRLPQHTYLDYTITNLVAATLIAFTVGEIGTNSMKQPNFLTQLSQDNWPSVLFAIAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYYLDDKINKAEILFPGVGCFLIAVCLGSAVHASNAADNKEKLEYFSNDSNNGVGYGTYCNFEFSRRGGKTLTKDVTGSKPTNTNKVDMNDLENGEEKAKAGTALFLIEVENRRAMKVFGKSTYIGLAITFFGGACLSLFSPAFNLSTNDQWHTLKDGVPHLSVYAAFFYFSVSSSLLAMILNFTFLYRPVLNAPKSSLMCYVNDWDGRGWAFLAGLLSGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVLLFGEYRRSSGRTYTLLAGMLIMFIAAVAILMASAGKRK >Solyc02g083880.3.1 pep chromosome:SL3.0:2:47692654:47693313:1 gene:Solyc02g083880.3 transcript:Solyc02g083880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLKGFAALLIASLVLVHFTYALQEVISGKPPAPSPQPPKPIDCTGSCKTRCSKSSRQNLCNRACGSCCRTCHCVPPGTSGNYEACPCYFNLTTHNSTRKCP >Solyc09g011235.1.1 pep chromosome:SL3.0:9:4562935:4563689:1 gene:Solyc09g011235.1 transcript:Solyc09g011235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERLRGTRLVTKKGGSFERHGQDKTKIRGINVQGRLPVYVTTEHLKSIALDRKRKQAEKYIPSLQQQKGSLRLDFEDLVLSSKHTHQDMQVQSSTILEAPLMQLNSCKISNIIRYDR >Solyc06g053730.1.1.1 pep chromosome:SL3.0:6:36676522:36678087:1 gene:Solyc06g053730.1 transcript:Solyc06g053730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYNQEEQNQEDHKRIQYPLDSTCYRILDEIGRGVSAIVYKAICIPMNSSVVAIKAIDLDQSRADLDNIRREAKTMSLLSHPNILKAHCSFTVDRCLWVIMPFMSAGSLQSIISSAFPDGLSEPCIALVLKETLNALAYLHNQGHLHRDIKAGNILIDSDGTIKLADFGVSASIFEPISGYGSSFSSSSSCLMFTDVAGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSHLPPSKSLFMKITKRFRFSDYEKTKNSKKFSKGFKDMVGLCLDQDPFRRPTAEKLLKHPFFKSCNKGPDFLVKHVLQGLPSVEQRFKQVKIHRLLSSKKSDGDDDDDDPENGEISKQRRISGWNFNVDGFELDPVLSTTEKDQDISSLKPNYVDDVVKQVDVSELFSDTSTISSPGGSRQSSEVEGVAMNCSGDRRIGGESVSREVMLASLLFLKKSLDDQRQNVMNLISIFHGEQHVVDVNKKDNLIEVIDKLRNEVENEKKKNSTLQLEIEFLKSHYSNE >Solyc11g043010.1.1 pep chromosome:SL3.0:11:34085812:34088447:1 gene:Solyc11g043010.1 transcript:Solyc11g043010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVNLKYKVQEYTEERKDVLNCDATDLQYLKEESPDVALLKSNDKVRDYAEEQKDTIDHQVLTRKDLPAVRLGNLIDEVQLFMEQQKDDLTCDATDDQILNDKESPAVGLAISNDKVDDQKDASVFCTSASENRTVNLSRETVTAEDENWKSSEGSVSKNNGKVGVNTAEFVSNQSKMFTGVRETEAGSARNLVSSSGSSMTRIPPPAQPVGLGRAAPLLEPSPQVVQQAWVHEAASSVQNQLVEEPTNGESEEYDEIREKLQMIRVKFLRLAHKVGQNPHNVVVAQVLYRLGLDEQMRGRNGSRVAAFSFDRPSAMAEQLEAAGQEALDFSCTIMVLGKTGVGKSATINSIFGEAKFGSDAFQIGKKKVQDVVGTVQGIKVRETSSDIVLYLDRLDMQSRDYGDLTLLRTITEVLGSSIWFNTIVVLTQAASAPPEISNAIWQAAGDVCLMNPVFLVENHSKCRTNRVEQRVLPNGQVWKPHLLLLSFASKILAETSTLLELRDSPPDESEYDQLPAFKPLTKVQLAKLSQEQKKTYNDELEYREKLFMKKQLKEERKRRRMMKKMHAATKDLPMDTNETVEEETGSAASVRVPMLDFALPASFDSDNPTHRYRYLDSSNQCLVRPVLEPNGWDDNVGYEGMNVERLFVIKDKIPLSFSSQLSTDKKDGNLQMEISVGKDLAYTLRSETRFSNYRKNKATAGLSVTLLGDVMTSGVKVEDKLIVNK >Solyc10g005740.2.1 pep chromosome:SL3.0:10:587506:594250:-1 gene:Solyc10g005740.2 transcript:Solyc10g005740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEGGSDAYYDDRRERKSDFENSEDETRRFRIGAFRKKAINASNKFTRSLKKRGKKKVDYRFPSVLIEDVHDSKEENVVYELHQKLLDRNLLPPIHDDYHTLLRFLKARDFNIEKTIQMWQEMLNWRKEYGTDTILEDFHFEELEEVLQYYPQGYHGVDRDGRPVYIERLGQAHPNKLMRITTIDRYMKYHIQEFERALHEKFPACSIAAKKRIYSTTTILDVQGLGVKNFTRTAATLLAAMAKVDNNYFPETLQRMFIVNAGPGFQKILWPAAQKFLDAKTIGKIQVLEPKSLGKLLEAIDPSQLPDFLGGSCTCSVERRCLRSNKGPWSNPEIMKLLHDLEAKTMKQISRISRDQRRIDSSKQIRPLKGRINETSTAESVSDVHVPCSPIRRSSSSIPQLFQLDEARKSNSTPYCSCDEQFSTGELVDVIEHSLCCPEPRECNLTNLSTNARTTSEGTLVIHWFETIQKKVLSRCMHCLERKLVPFILKLSGLICGLFYEYWRKQANASRTSALEERQESSSSAYGEVVHKSDEALPCMERLHKLEMLFEEIKRKPAEIPAEKDQMIQQSLERIKSVEVDLDKAKRVLHTAVVKQLEIAELLENLKQSSNHRRRLLC >Solyc06g008235.1.1 pep chromosome:SL3.0:6:2108386:2112956:1 gene:Solyc06g008235.1 transcript:Solyc06g008235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDIYVAKSYYAARNDGRKIALGHGTTAEEVTYLCFAAPRHLMGIDANEMLLTSLLTNYERKGQDIKNEQHIVS >Solyc02g067085.1.1 pep chromosome:SL3.0:2:37878986:37880066:-1 gene:Solyc02g067085.1 transcript:Solyc02g067085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASRRLVIRQALLQRWIHFPSSLVKLRVLFLFTPFLIDFAVLVVDITVGLGQAFAEQGQ >Solyc03g034410.1.1.1 pep chromosome:SL3.0:3:6399018:6399533:1 gene:Solyc03g034410.1 transcript:Solyc03g034410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYVSCTLLVQGGNKQSRSTKVIFPNGEIRNVHPQIKAAEVMLETPNFFIVNSRSLHIGKRFFALNADEDLEIANVYVMFPMQKLNSFVSAADLGALFLTANTVSKKVSFGRAKILPEYTEEPKFDDKIDLPKLKLDDIEEFSTPQFKKMLSMCRSKKPLLETIVEEPSR >Solyc01g107370.3.1 pep chromosome:SL3.0:1:94831521:94834292:1 gene:Solyc01g107370.3 transcript:Solyc01g107370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRFLVAFMLLFALLLTSSFLQPATAKSVYCTQKCEARCSKAGLKDRCVKYCELCCAKCKCVPNGTYGNKHQCPCYRDMKNSKGKPKCP >Solyc01g060288.1.1.1 pep chromosome:SL3.0:1:70032677:70033270:1 gene:Solyc01g060288.1 transcript:Solyc01g060288.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPNGRKKIEIAKIQNQTNLQVTFSKRRTGLFKKASELSTLCGATVAIVAFSPSNKVYACGHPSVESIVDKFIGENPPPETDDPNPVIVAHQNVNIDELNKKLNKLERSLEREIKHGQALQALRTEPSNEKLSFFDLKILCESLDAADKKVEKLASQLMECGIEFPYKTIGSALAPLRARESTSSVSSEGSSGSGE >Solyc02g011710.1.1 pep chromosome:SL3.0:2:14129526:14130087:-1 gene:Solyc02g011710.1 transcript:Solyc02g011710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAGNFFVARLLNLLRVIPYLMYLISVIGIIKVLSGASLALVWGIIEALYIHFITHAYFKALLFLGSGSIIHSMETIVGYSPAKSQNMGLMGGLRKHIPIRKITFLLGTLSLCGIPPLACFWSKDKILNKSWLYLQIFAIIAWATTGLTSFYMFQIYLLTF >Solyc02g024060.2.1 pep chromosome:SL3.0:1:91320127:91327523:1 gene:Solyc02g024060.2 transcript:Solyc02g024060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTRTVQLIIFCLLIISMQVLIQLEASTDSGDYVVLESLKEEWENVPPTWDGSDPCDDPWEGIDCNNSRVISIKLSSINLKGELSGDIEGLSELQILDLSYNKGLTGSLPQSIGNLKSLSILILVGCGFSGLIPNTIGSLSQLEFLSLNLNNFIGPIPASVGNLSKLRWLDLADNKLSGPLPISRGSTPGLDMLVHTKHFHLGRNQFSGEIPDQLFSSNMTLKHLLLEQNQLTGKIPSSLGLVQTLEVVRLDRNSLDGTIPSTLKSLTLMSELFLSNNKFTGPLPNLAGMNALNYLDMSNNTFSSADFPQWFSSLQSLTTLYPSLSCFRFIS >Solyc03g065195.1.1 pep chromosome:SL3.0:3:42571046:42573823:1 gene:Solyc03g065195.1 transcript:Solyc03g065195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGNTNYLLRFEICLNNILYTWTSNFGWTTFEREKPSQASFINYSSSLVKTECFISVQVSTTIASIHHASVSLFLLFHNCNWTLAWDRTNS >Solyc11g017020.1.1 pep chromosome:SL3.0:11:7795012:7796205:-1 gene:Solyc11g017020.1 transcript:Solyc11g017020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMYSSGAGGVTVIVTELHKFEANIQVLGSRATVYGKGKASPFHSSQVNANDLRGGISLVLASLSAEGITEISGTSHADRGYENLEMKLQGLSANAIRITTTTYSV >Solyc08g067150.3.1 pep chromosome:SL3.0:8:56136445:56144614:1 gene:Solyc08g067150.3 transcript:Solyc08g067150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPEPSSKINATINTTLSSDPGPAQDSPVFNYISNLSPIQPVKGAPIVQDFSGLNSPPLLLTSPRINTHSRSSLLKRSQFPKLSTEVFSGKNEDYNTAITDSDGTGVFISPLGSGLSPFVQKVSDNNISVHEQSGTPISCVDEFLVDVSNSESGDSSNSNNKSPKVADSIPQPPDSAEDSKVPVVSIPSKDERKDEIPEDAARVVVEQAEEDNKGKSPSNQKYTGVYSTSNPDLPSLGLCAKIVPGLDAHSSLHNHYGDRQMAQLSRAGHTVLDEASNIPIKSLETAGDCRDDNDKISTMSIVPDDGILQHDSQTKASQHQSGISRRCLQFEDAQQKMAPASSSSQNASGIVSCSIQPVSPAVIEVVEPVSSNRSSTTSNRRLTQLVSSSVNSESLNVKVSKPSGIGLHLNSIVNGMEAGSGVTVSVKSTQRGNLSIRGKKLTSMMSCHPSKNLKNCLISANVVGSNLTSDNDGIHESYRSDAESAAASLSHNNAKLLNDTVLLKPTEHTPSNKRKLNSEHIDSNMDYNQSSPQKKRQVKKISDGNDGDGCKRCNCKKTKCLKLYCDCFAAGVYCVDSCTCQGCFNRPEYEDTVLDVRQQIQSRNPLAFAPKIVQHSTNSPANILGEGVASFTPSSARHKRGCNCKKSMCLKKYCECYQANVGCSSGCRCEGCKNVFGPKEEYGIDLVNKHCITESLERSVEEEVEMVTATSGLLQSGPINQCNSTPLTPSFRRSNNVDASKSWFTSGRYLSSPESGQADTAPYGLSPGSPRSSNNHDTHQETIGDMLDLVTFDHELSYGNAKLANEISPGFNVTGNMDDILALPKSQDWASNSGGQLIPQTVHFQSTDPLSWRNSPMTHMTQFDGSGMNALELLDSDKKPYVLEDDTPEILKDSSIPQIGVKVNSPNKKRVSPPYRHLNEIGSSSSGGGLKTGRKFILRAVPSFPPLSPCIQSKNVAAHSTDNSEKDSSSK >Solyc05g009290.3.1 pep chromosome:SL3.0:5:3429010:3432922:-1 gene:Solyc05g009290.3 transcript:Solyc05g009290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRMPRQPDNFRGFHDGPPPRGIMQRGPGPVPPHPSVLEEELELQHRDMQRLIAENRQVIDENVMLERELSAVKDDIHRLSQVIPKMRADNEAQLREYIERGMKLEADLRSTEPLRLEVIQLRAESQKLISLQKELSAQVQTLTNDTNRLQTENKQLSAMKTDIDKLQKELAEARRQFEYEKQANTELVEQNQSMEKNLISMAREIEKLRADKVGRGLGVGAYGMMNGSPEMRYPGGAYGDPYSGGGWGSYDNRGPPRR >Solyc02g068430.3.1 pep chromosome:SL3.0:2:38947778:38956749:-1 gene:Solyc02g068430.3 transcript:Solyc02g068430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRKLHKEPPPQPQRRLKPIPPNPPPTDRPARIYADGIYDLFHFGHARALEQAKKLLPNAQLLVGCCNDEITHKFKGKTVMNEKERYESLRHCRWLASDCHIRLPFFSLSELYIQFSALARWVDEVIPDAPWVVTPEFIEKHRIDYVAHDALPYADASGAGNDVYEYVKSIGKFLETKRTDGISTSDLIMRIVKDYNEYVMRNLDRGYSRTDLGLSYVKEKRLRVNRGLKKLHERVKKQQEKVEEKIQTVAKHRNIWVENADRLVAGFLEMFEEGCHKMGTVIRDRIQEQMRTKSIKGLLYDKEEDDDEYDYYYGSSDDDEEYYDGEDEE >Solyc02g078610.3.1 pep chromosome:SL3.0:2:43826729:43836570:1 gene:Solyc02g078610.3 transcript:Solyc02g078610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVEVANVVTEAIGNPKGDLRPQNPNAASKKSKESDRRRRRRKQKKNKAASKVANGEDSDNAAQDANGGAEDSSKENSDPQKSLVQVEVEYVPEKAELDGEFDEEFRKVFEKFTFTDATGSEENDKKDETAADGASKKKADSDSEEEEEDAQQKEKGLQRRMKIAELKQISMKPDVVEVWDATAADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTSLGDLYYEGKEFEVKLREMKPGTLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPHGAKFGYQPGGWGKPPVDEYGRPLYGDVFGVLQQDQPNYEEEEMEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEKEEKVAPGTLLGTSHTYVINTGAQDKAGAKRVDLLKGQKSDRVDVTLAPEELELMDNVLPAKYEEAREEEKLRSQREDFSDMVAEVCGYIISSSFFLSILPYISLDCWFRKSDYQF >Solyc12g099250.2.1 pep chromosome:SL3.0:12:67427727:67435566:-1 gene:Solyc12g099250.2 transcript:Solyc12g099250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKAESAAQGSTPPAEELLKKIQELEAGHAQLKHEMSKLMMCDDHRTQRQRSHSISPQRPPRIRAGGGFDGGSAAVWKRGSISFRHSSPLQRESSSKGEGDGIGIGGGGPAAVKFTDRQYLNILQSMGQAVHILDLNGQIIYWNRTAEKLYGYSAAEALGNDLIELLTDVRDHDAANNIVHRVIRGESWTGQFPVKNKQGERFLVVATDTPFYDDDGTLLGVICISSDMRPFQESGLMSMGVKQLEADTRFRARTLTSSKLGLDPQQPLQAAIASKISNLASKVSNKVKSKIKTGESSMFREGGSGDSHYSDHAFSDAALSDHREDANSSGASTPRGDVHPSPFGVFSNLVKVEHSGYESEGKQGISKIITSKAEAWMAKKSLSWPWKGNEREASESRTTRSVWPWLNNDQDNELNHINSSNTVKPENQVTETYRTTTNEAPGSWSSFNINSTSSVSSYGSTSSSAVNKVDMDTDCLDYEILWEDLTIGEQIGEGSCGTVYHGLWYGSDVAVKVFTKQEYSDEVIYSFKQEISLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNASKLEWRRRIHMALDVARGMNYLHHLTPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKYETYLATKTGKGTPQWMAPEVLRNEPSDEKSDVYSFGVILWELAAEKIPWDNLNTMQVIGAVGFMNQRLDIPKDVHPQLASIIESCWLSEPQSRPSFQELVEKLKDLQRQYVIQAQAARSTAGDSCQKEH >Solyc11g013310.2.1 pep chromosome:SL3.0:11:6271944:6277172:1 gene:Solyc11g013310.2 transcript:Solyc11g013310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX3 protein [Source:UniProtKB/TrEMBL;Acc:F8SS64] MASEKVETVIAGNYLEMEREGEETNSNNSVRNKLSNFFWHGGSVYDAWFSCSSNQVAQVLLTLPYSFSQLGMLSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNIGLFFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFVGLLMTTYTAWYLTIASLLNGQVEGVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKTIYLIATIYVLTLTLPSASAVYWAFGDALLTHSNALALLPKTKFRDSAVILMLIHQFITFGFACTPLYFVWEKFIRVHETKSLFKRAMARLPVVIPIWFLAIIFPFFGPINSSVGSLLVSFTVYIIPALAHMLTFASPSARENAVEQPPSFLGRWVGLYCTNIFVVAWVFIVGFGFGGWASMVNFVHQINTFGLFTKCYQCPPHKA >Solyc06g049090.3.1 pep chromosome:SL3.0:6:32445965:32461578:-1 gene:Solyc06g049090.3 transcript:Solyc06g049090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLILKPLSIPTFISDNPNIKTFIFQRNIPPPCKSHCHTERGHQFDVGDTFFRSESATARDLGVLSAALYRNTTGSLRVLDAMCGCGIRSLRYLAEAEADFVVANDANENTRDIILGNLSRVASGSEEGKRWEVNHLPATRLLAECYLRKDYFDLIDVDSFGSGSSYLRVALDAVKLGGLLYITSTDGLSSGGHRPQQSLAAYGAYVRPLPYSNEIGLRMLIGGAVREASVLGYHVVPLFSYYSYHGPVFRVLLQVKRGKSLSSRYYGFISYCNRCGNTRAFSWNELGEISCPCSTNVKRSIVVSGPLWTGPLHSAPHLTEMMSLADQWGWFGDEEGKNLEKLLKQMIDESDPKLPVGYLNADEIASRAKLNLPPLSVIMNSLHEGGYAVSRSHIAPNAIKTDCPMVVCVKIVKHLQQAAEMSSCH >Solyc04g064570.3.1 pep chromosome:SL3.0:4:55757132:55766495:1 gene:Solyc04g064570.3 transcript:Solyc04g064570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTLLQPVGQKRLTNVAVVRLKKHGNRFEIACYKNKVLSWRSGVEKDVDEVLQSHTVYTNVSKGVLAKSKDLIRAFGTDDQTKICLEILDKGELQVAGKERESQLSSQFRDIATIVMQKTINPETQHPYTISMIERLMHEIHFAVDPNSSSKKQALEVIRELQKHYPVKRAPMRLRIHVPEQSNPSVLDKLKEWNASIVSREESGSQHSIICEIEPSLFRDCDALIRNLQGRVEILAVNVHVEGDTFVDQFDDHEDDSSSLRKDSTSSVLQLSEKMQKQTISSEIGNSREEQKLSKPAKSGSSEGEVKLNRCTTCNAVVGDSKEYREHFKSDWHKHNLRRKTRQLPPLTAEECVADLELGDSKADLKEYSF >Solyc06g034067.1.1 pep chromosome:SL3.0:6:23671176:23674063:-1 gene:Solyc06g034067.1 transcript:Solyc06g034067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRVDGSINHSKEPYVFRMCGQNYHRIESLLPEIGKRPQFAQLYIYDTENEINNRMNCLLEGDIDPEIVQGLSVMLEEHNILVKTFRMARDRYKEHHECEFRLRLLSNRTTDGRQYNLPTTSEVAGLIVGGLTEENFQRDIIVEHRKNGLQRISDLHPSFMSMTYPLIHPYGEDGYRVGINLGDVINKTYKRQKLTMRDFYCFRIQQRLNEGKTLLLAGRLLQQYNVDGYMAIEEERFRYIRNNQSKLRADLYSGLMDAILRGDSDCSLVGKTVILPSSHTGGPRYRAQNYQDAMAICRWARYPDLFLTFTCNPKWPEINEMLRLIEQSGDDNRVDIICRVFQIKLFQLMQDLKKQQPFGKIIACLYTIEFQKRGLPHAHILLFLHPTLKSPSIDHINTMITAEIPNMEVDPDDYKAVKNYMMHGPCGDLNPGCPCMKQGKCTKRFPKKFNNQKTFDADGFPIYRRRNTGTEVNKNNVFLDNRYVVPYNRNLIVKFDAHINVELCSYSRSVKYLFKYVNKGSDKATIGIERSDTPTVRDEIKRYLDCRCISATEACWRIFSFDIHHRQPAVERLPFHLQGENTIVFQEERCPESILNRPDIVKTKFTEWFEANKEYEDARELTYSNFPTRWVWDATCKRWTRRKKGKSVGRIYFAHPASGERFYMRMLLNFVKGSTYFESIRTINGVRYDTYKEACYALGLLEDDKEWNDCLAEAACWASGNELRNLFVTILIHCQVSDSSKLWRSNYEILSEDITSLQLKRFQLEDLKLNEKQLESYTLFEIETILLKIGKSLKDIHGMPLPDSTLMNDTGNRLINEELEYDKGFLKEVHDKSFALLNDYQNIAYEAVIKSVVNEEGRLFFYKWAWWYREDIFMEYNNFQAEIRIKNSSSSFYFWNSRFVITKWQDSTFTIPYSFGH >Solyc03g121320.3.1 pep chromosome:SL3.0:3:70938257:70944624:1 gene:Solyc03g121320.3 transcript:Solyc03g121320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSGESVVKAIDASVGGLVWVRRRNGSWWPGRILGSDELPQSCSVSPRLGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAANSSKKAVKYARREDAIIHALEIESARLGKDHPDFFSRKEKEGGEHNTMEESHTSSNPLEDGKELVEELNSSENNSNSAQELSQSGVSFEAPNLTIASEDQPVCGTRRRTPNDSEDDGTEGSKRMKGLDDLGTGVMSSLKRKRSQVAHVHDFLKKKSRRRPLTKVLESTTMVSVPITCEQLPSPTGSTLAGVSESKVFALQSNESGKSFPTVLNDTDVISENGKPLDVFGHTNDSSLVKHEQKENGISSILGLPENGSSDRLFDVPLVAEEKQSAGLSPIVSCTSQKAQGAVGAQSSQGSQVEAMSFGSEELNDSGSTSSGSEDFHCFSQQRMVKGTSKWQLKGKRNSRHTCRKVDNYPLKSRPVTEIQVDELRGWSRNVSLREAQMKGPTADLLTPQRLLPYRQSRFTVNPKYESSDFSLRHHTADSSLYDVNLEVKASYRPQHVPYISLMSKLNGQPIIGHPLTVEVLDDGFCDNLLLSGSEHYSSSYDLDEDHGENSSVLHSANIVYESKPSSAGRISTKHRMLKPRSSPAKSPKTRKNGLLSKKIRKLSSLTGSHQQNREKKPVVEKLKGPAVACVPLKIVFSRISEALNNSIRPAHRSLVPSIG >Solyc12g062420.2.1.1 pep chromosome:SL3.0:12:32504551:32504889:-1 gene:Solyc12g062420.2 transcript:Solyc12g062420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVHEYLRDRLDFTKYDENVKSQPFYAVDGSFLILCRSTFKAHAETCEIKGHYFNAIAGTSKEMIKRVVFPRELGVPTVMHDYLMAGFTTTYILSNSTVTLGNLRVDMMIHPS >Solyc07g042710.3.1 pep chromosome:SL3.0:7:56359283:56372698:1 gene:Solyc07g042710.3 transcript:Solyc07g042710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIHKFYRQVHTLSREYGPSETLKRKVAELKVKRKRKDPRKNQLFVQVPDSRSFLDTATMPMILTVVGTALFAKLLMMYDDSKSQDMIERKIKNAPAGQGTVRMLTREEWESVQEVRPRTPFESKLARPNAKLRTGEPLKMEDVKDWTIDVITDALTRAEECAKRGSN >Solyc02g005423.1.1 pep chromosome:SL3.0:2:8770873:8779611:1 gene:Solyc02g005423.1 transcript:Solyc02g005423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDGHNSFITSPIFSSISKNIVVQKLKLTNSYNYPPVNSKIQ >Solyc03g118337.1.1 pep chromosome:SL3.0:3:68724553:68724852:-1 gene:Solyc03g118337.1 transcript:Solyc03g118337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPLKGKLPDSLSHMRSSMIYQSINFLVSSLLHSTICHRPVVNYFSGNLRSNIGVAFPKAEKINWGMN >Solyc03g119870.3.1 pep chromosome:SL3.0:3:69893803:69900928:-1 gene:Solyc03g119870.3 transcript:Solyc03g119870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEESQKRFQDAMDKIFRTPPKSKLNSSASGVQLSRDKERLDMSSIGKAVSKYNLLATKGSGEAPPCRPWDRDDLFTRMSTFKSMTWFAKPQAISAVNCARRGWINVDMDTIACEACGSRMLFTTPPSWAQQQVDKAALVFSLKLDSGHKLLCPWIDNVCDEKLADFPPTATVMLVDQYKIRHSVLSQLAALPVISPKAIDFLRNPQLEQFLRESLTVEHDESMHTPQEETRNAPTSVSSLTYYQVQKLISLCGWELRRLPYMVDPKDQLNQSSKDANLSEKSILSRKSEIITVYGSCTDKTSESKTDDDNRASEEAIINPNSVVLDCKLCGACIGLWDFSMVSRPLEFLRVSGYTQVNNDHINHTHGDKNHFSGNSGRDKSRECTGQVTTSANTMLDRRPPNFNLTIAGGPPPVTHDYRAKISLPIIGRNLRAWFIAESELKDDLVTKSSSGVSKNPEFLAGENTEEGSSLSTSEVSTEAQLENNQAAAQVSGNTTEMADNTESMNKVDPAVTDPCKDKVGNDFGSSSRGKELPILSLDKALEFDPFKLHRYFCPWIASNGVSPSGWEQTLSALERHEESSSPLSNHAPSSLIKVDDPVASVQKLFTSPQAKRRKLVRPS >Solyc03g113680.3.1 pep chromosome:SL3.0:3:65228807:65232834:-1 gene:Solyc03g113680.3 transcript:Solyc03g113680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYSKQNQNQTRDMKHRVLTCLHKLSDRDTHSAAASELESIAKTLSPEAIPPFVSSIAATDSSDKSPVRKQCLRLISLLSEHHGDSLSPHLSKLLTAVVRRLRDPDSSVRNACVTACASISSHLTKPPFYSIIKPFLEALFTEQEMNSQIGAALCLSAAIEASPDPDIACLRKSLPRFEKLLKSDSFKAKAALLTMIGSVIAVRAASTQQIVRNLVPYLVEFVGSDDWAARKASAEALLRLVAVEGDALSEFKAPYLKTFEAKRFDKVKAVRETMNQMLEAWKGIPDLSDDGSPLPLSNSSSKENASDGRYPPGLKTSRAVSSSVPSVKRLSNKSSVADNSTASAAQKGPAIFRKLDRKKPWKVEVSAPHGSSVDYQQNNDGIQLGKDKDLEAHTKPGVKRTLFSKITNETRNSVVKAGSRVVPYQDEISESTEVVSNETEDLCGNPKSCEDLSLIRKQLAQIETQQSNLLDLLQKFMGSSQSGMQSLETRVHGLELALDEISFDLAMSTGSMSNTNSASVCCKLPGAEFLTSKLWKRTIGRGATAHFSASGGTSSAGLISSVADQNGDGERLKVENRRYRLHSSRGFIVNPLAEIHSDPQRISEPSVGGVSKSPQNGV >Solyc10g085460.2.1 pep chromosome:SL3.0:10:64748798:64754219:-1 gene:Solyc10g085460.2 transcript:Solyc10g085460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPVIGATVQVLLEKLLSLSIEEVKTLRNCKKNLSKLTKHVTMIQAYTHDAETRQVEDNQAVEEWLKMLEKIAEDAENVFDKFTYVSIKARVMKNQMKLMEKVSHFFSQTVFKYKMSRKINDINEELRAINELANNLGLQLLTVPSRKIPQIRETDSSASYVVGRDKDVAEVKEKILNMRKDVVLCTIPIVGMGGLGKTTLVKRIFNDVEIEKHFVKRVWLCLPEMSDAKSFLELILHSLTGQKLELQSRDIIVKKLQDALGEKRYLLVLDDLWRVGSTHWYEFMDTLKGINTSRGNCILVTTRMKQVASIVAADLHMLGKLADDHCLSIFKQRAFVDGEVPQEILSMEKKIVELCQGLPLAASVLGCLLCNKEKHEWQAILVAGEDDNGENSLKKILKLSYDYLPSPHLKKCFAYFAMFPKDFEFEKDQLIQLWMAEGFLRPCQETPVMEDVGIKFFQLLFQYSLLQDVKLDEYNNITHCKMHDLVHDLAGDILKSKLFDKKSVEGENLSQVRYFGWDSPSDQIDKISEPGRLCTLFWESNISDDMLLSFQFLRVLNLSASGIKELSAKISKLIFLRYLDISDTRIEDFPDSICKLYNLQTFRVNDCSSLRKLPEEMANMISLRHIYCNGSDMQTPLNMGQLTSLQTLRVFYIGSEKGRRIKELGRLKNLRGKLTINHLQLVRNKEEAQTANLQEKPNIYKLVYSWSHDESEGCEINDEHVLDGLQPHPNLKALSVVDYLGTKLPSWFSEELLPNLVKLKLSGCKRCTEIPSLGQLKFLRHLELVGFHELKCIGPALYGVEISNIGSSSIIQVFPSLKELVLEDMRSLIEWKGDEVGVRMFLRLEKLRISNCPLLKSTPSQFEILHELIIEGVDSEMPLLNLCSNLISLVKLDVDNVKELTCLSDVMLRNNVSLQYISVVDCGEFREFPQSLYNLHSLESLRIQHCPNFSSFIVPCGENYLTSLQNFELQGCNGLTSLPSGMLEQCRSLKNLSVSWCDNLVSFPLHECEMPSLSWLDISQCPKLISVSTGCLHRLTGLIVLGIGPFSEKVDFEVFQLIFSGVQQLFSLRSLWVYGHLHWDSLPYQIMQLSALKNLSIDDFGIEALPHRFDNLTSLETLSLKRCKRLRHVDFSDAITKLRNLWIQDCPLLEALSDGLGNLASLEQLLILNCKKLEHLPSRDAMRRLTKLRILHIVGCPQLGESCTKQSGPNSQWSKISHIPDIEVCVHEDNGSNGSTYW >Solyc03g112190.3.1.1 pep chromosome:SL3.0:3:64163113:64165041:1 gene:Solyc03g112190.3 transcript:Solyc03g112190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGKRILSSLRLRNSLFFTQLSRATSSNHQVTQHLYLSPSLLTQIYTSTSILGSSQNVFFSSKTESFVDIILSNDWSKQLEKDLGKNDFPVTHEAVMYLLKKLDKEPRKAGDFLKWVVKQKGFKPSSSMYSLMLRIYANRDSMKDFWTTIKEMKENGFYIDEETYKSIYSIFRNLKMETDATALKHFYGRMIKDNAMGDVAKDVSELITKQEWGVEVERQLGEMKLSVSDNFVLRVLKELREVGNPLKAFSFFKWVARNLDFQHSTVTYNGILRVLCREESIEEFWGVVKEMMSLGFEIDLDTYIKISRHFQKIKMLKDAVELYELMMDGQFKPSLGECNILLRSIAQSYSSDLDLLFRVVEKFEAAGHSRSKIIYDVIHRCLTNLGRFEEAEKITEAMRDAGFEPDNITYSQLIYGLCKVRRLEEASKVIDVMEECGCIPDIKTWTVLIQGHCFAGEVDKALFCFAKMMEKNVDTDADLLDVLLNGFLSQRRVFGAYQLLTELVNKFQMRPWQATYKLVIQKLLGERKFEEALDLLRRMKKHNYPPFPEPFLQYISKSGTVEDAVEFLKALSVKDYPSVSAYQHVFQSFFAEGRHSEAKDLLYKCPYHIRQHPAICGLFGSSNSNSGKAKRFSRQSSTSV >Solyc08g014040.3.1 pep chromosome:SL3.0:8:3646182:3652221:1 gene:Solyc08g014040.3 transcript:Solyc08g014040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDGVVKDERKVAGKAEENEYEYEEEQRMLEELGMYKGKVRLVNSEEPTEETMLLWGIQQPTFSKPNAFARQTSLQLRIDACGRTLSILQSPSNLGTPGVTGSVMWDSGVVLGKFLEHAVESERIHLQGKKVVELGSGCGLVGCVAALLGAQVILTDLPDRLRLLKKNVEANLYGDVRGSATVNELTWGDELDNDMRNPLPDYVLGSDVIYSEGAVVDLIATLLDLSGTQTTVILAGELRNDAILEYFLQAAAEDFTIGRVDQTQWHPDCCSPRVVIYVLVKKQRKL >Solyc12g044590.1.1.1 pep chromosome:SL3.0:12:60551551:60551745:-1 gene:Solyc12g044590.1 transcript:Solyc12g044590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLFNPLLVKKLLTLDSKMNKVDGVGISCVVVGSGKEGDIDDEGISLLPSPSTYPPIVMCMMP >Solyc09g009300.3.1 pep chromosome:SL3.0:9:2673184:2676889:-1 gene:Solyc09g009300.3 transcript:Solyc09g009300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKVKTNRVKYPEGWELIEPTLSELQAKMREAENDPHDGKRKCEALWPIFKIAHQKSRYIFDLFHRRKEISKELYEFCMDQGYADRNLIAKWKKPGYERLCCLRCMQPRDHNFQTTCVCRVPQHLREEKVIECVHCGCKGCASGD >Solyc06g009580.1.1.1 pep chromosome:SL3.0:6:3551078:3551227:1 gene:Solyc06g009580.1 transcript:Solyc06g009580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNENFILDSTRIFMKAFHLLLFDGSLIFPKCILIFGLILLLMID >Solyc01g106590.3.1 pep chromosome:SL3.0:1:94306612:94312196:1 gene:Solyc01g106590.3 transcript:Solyc01g106590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLVRRSIKDGVVLAAKNQMRLTLLSGVGNPINVIYLNSILSLSFTASETPISASGDVKKWRPAGADRGFTFLKYNLTISYHRTNLLARYGRWSANANGGVLESLGYKEGYRVDVDVPDGTWAEAPSFHDILIFNTGHCDFYLAFHSSVRWWAPSKFDPVKSPMLFFEKGNPVVPPQSLDRGLGMISYVDKRMRPGTTVFMRTQSPRHFEGGDWDQGGSCQRSQPLSPQEVEELFSIQNNGTNVEVRLVNQHLYRAFEGTEFHILDISHLSEFRADAHPSTAGGKKHDDCMHWCLPGLTDIWNDLFVAYLNNLEHRT >Solyc12g019120.2.1 pep chromosome:SL3.0:12:9152784:9156710:-1 gene:Solyc12g019120.2 transcript:Solyc12g019120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKLITPFIIFLFSSILNLFIKSSNASTTYNVLSFGAKPNGQIDATQSFLNAWRAACTSVEPSTIYVPKGRYLIKEATFRGPCKSKITVKIDGTLVAPLDYWGIGNSGYWILFIEVNGISVIGGSIDAKGDGFWACRKSGNNNCPVGARSITFNWANDVVVSGLLSINSQVTHLVINSCNNVMVKNVKVIAPDQSPNTDGIHVQSSTNVTIIGCRIKTGDDCISIGPGTRNLWMEKILCGPGHGVSIGSLGREYEEDGVQNVTLSYSIFTGSDNGLRIKSWARPSTSFVKNINYRNIVMKYVDNPIIIDQNYCPNNKDCPQQTSGVKINDVIYKNIEGTSTTEVAMNFDCSPSNPCQGIQIQDIKLTYMNKKAKSFCNNIQGTKKGVIWPATCI >Solyc04g064930.3.1 pep chromosome:SL3.0:4:56091236:56097898:1 gene:Solyc04g064930.3 transcript:Solyc04g064930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIPTVTGLSTGAHLLSVPRTIATASRASPSSLPSLCYLKKSYYSPQRRSILPARKLVIRAARTESKGMSLGFRAPNFELTEPLTDKVWNLDDFEAYPALLVMFICNHCPFVIHLKKDIVKLSNFYMKKGLAVVAISSNSVVTHPQDGPEFMAEDAKFFKYPFPYLYDESQEVARDFVAVCTPEFFLFKKDGRRPFELVYHGQFDDSRPSNNMPVTGRDLSLAIDCVLSGQPVSSTQKPSIGCSIKWNPGGKQ >Solyc03g082600.3.1 pep chromosome:SL3.0:3:53952824:53956170:-1 gene:Solyc03g082600.3 transcript:Solyc03g082600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRKIHAFEEVAKHNKTKDCWLIISGKVYDVTPFMDDHPGGDEVLLSATGKDATNDFEDVGHSDSAREMMDKYYIGEIDMSTVPLKRAYIPSEQTAYNPDKTPEFIIKILQFLVPILILGLAFTVRHYTKEQ >Solyc01g105440.1.1.1 pep chromosome:SL3.0:1:93509764:93509997:-1 gene:Solyc01g105440.1 transcript:Solyc01g105440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVHLDAPTAGMVVRGGAPLALAVVQADAMPCNDVEYDPHESHSKGHDNSFYSPHQGSPLSAFSRIGPSYRSSSSF >Solyc03g121630.3.1 pep chromosome:SL3.0:3:71197473:71214933:-1 gene:Solyc03g121630.3 transcript:Solyc03g121630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAGLGNSNVEVRDDMEFDSHEAAYEFYKEYAKSEGFGTAKLSSRRSRATKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRWSSGKWYIHSIIREHNHELLPAQVHFFRSHRNVDPLNNDAKVRRKNMLASVSKQYGAYQFSGNLENLFRNQHDRGRSLTLEEGDAQVLLELFVHMQEENPKFFYAIDLNEEHRLRNVFWVDAKGMDSYSNFGDVVSFDTTYFTNKYKVPLVLFIGANHHVQPTLLGCALIADDTVHTFLWLMRTWCLAMGGQGPRILLSDQNDNIKAAVGAILTNTQHYFSLWSILEKIPSRLDYLSMWHETFMAKFRKCIYKSWTEEHFEHRWWKLIEKFSLREDEWVQSLYEDRKLWVPIFMRGVSFANLSMASRSESVNSFFDKYIQSEMSLRDFIGQHKLILEDWYEEEAKANFDAWHETPELLSPSPFEKQMLLLYTHEIFRKFQVEVIGASACHLKKESEDGTSITYAVKDFEANQDFVVEWDAPKSDLYCSCHLFEYKGYLCRHAIVVLQMSGVFVIPSKYILQRWTNAATSKHSIIEGLDDAQAKVRRYNDLCRRAIILGEEGSLTQESYNIAVGAIAEALKKCQGNTLAANRKSGCSAIPAIQVDEVCQGNTLAARELGPDSEAAQTSKGSKRADPGNERESNRNTSNKKGKAPLEPEIENSAQEDFPQMDMPSSLYHPARFLTTLLRGGDINKRGAELLGEMLQEQN >Solyc05g054655.1.1 pep chromosome:SL3.0:5:65373348:65374846:-1 gene:Solyc05g054655.1 transcript:Solyc05g054655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNSPNRVFECKTCNRQFSSFQALGGHRASHKKPRLMGEMNFHLPISPPKPKTHECSICGLEFPIGQALGGHMRRHRGYHRRRTDSSIFIVYT >Solyc07g019560.1.1.1 pep chromosome:SL3.0:7:12141387:12141632:-1 gene:Solyc07g019560.1 transcript:Solyc07g019560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNNIIVWFNLVVIVNSAKDVLGVYRSSDLSFDLVITEFHMPEMNGFQLQQVIAKEFSIPIACEFIILYILLGIEIMLYN >Solyc06g061260.1.1.1 pep chromosome:SL3.0:6:39380244:39380825:-1 gene:Solyc06g061260.1 transcript:Solyc06g061260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLFKHILLDHRVDNFNFFFFYVKQVLFVCFLLSTITYGSMATMGYLMYGQNLMSQITLNLPTGKISSKIAIHTTIFNPITKYALVVSPIATAIEDKLPLRKSKHIVSYFIRTFLVISTVIVALTVPFFEYVMTFTGALLGVTVSILLPCLCYLKIRKPSYLEVVFIGMILVFGSLVAISGTYTSLKNIISHV >Solyc03g005020.3.1 pep chromosome:SL3.0:3:30086:32712:-1 gene:Solyc03g005020.3 transcript:Solyc03g005020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:K4BDS6] MAMSILSCLRIFTLVMFVLEPNRVLSSRHFGFISYGDNGAGMCASTVAPHGYKCQEFEVKTDDGYILSVQRIPQGRVGGGGQIRQPVLLQHGVLVDGATWLLSPPEESVAMMLADNGFDVWISNTRGTRYSRRHVTLDARDSEYWNWSWDELIVHDLPSVIDFIFKQTGQKIHYVGHSMGTLIALASFSEGREIDKVKSAALLSPIAYLSHMTTALGEVAARAFVGEITTIFGLAEFNPKGGPVNKLLKFFCAQPGVNCYDLMTAITGKNCCLNSSTVEIFLNDEPQSTSTKNLVHLAQTVRDGTLSKYDYGSNYNLAHYGETKPPKYDLGNIPRDLPLFLSYGGQDALSDSKDVETLLDYLKFHDVDKLHVQYIKNYAHADFVMATNANNLVYNHIISFFRNYA >Solyc07g062270.3.1 pep chromosome:SL3.0:7:65181014:65185400:1 gene:Solyc07g062270.3 transcript:Solyc07g062270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamyl hydrolase [Source:UniProtKB/TrEMBL;Acc:B2Z9Y3] MGNYLSISFFLLLCGITTAESQLFNSYLTLPSSCPAPDPALNYRPIIGIVSHPGDGATGRLSNATNVSYIAASYVKFAEMAGARVIPLIYTEPPQVLNQKLNLVNGIIFTGGWAKDGLYFDVIKGIFQKVLEKNDAGEHFPLLAICLGYELLTMIITNDNNILEEFSAASQASTVQFVENVNIEGTIFGRFPPVLLKKMSIDCLVMQNHHFGISPERFQVNKDLSSFFRVLTTSTDENNKVYVSTIQAQRYPIAAFQWHPEKNAFEWGSSRIPHSEDAIQVTTHVANYFISEARKSSNKPVAREVLDSLIYNNNPTYGGKAGKGYDEVYLFIPHSSSSSM >Solyc06g016750.3.1 pep chromosome:SL3.0:6:13142427:13156512:-1 gene:Solyc06g016750.3 transcript:Solyc06g016750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSNGNSDNHDRDEPEDFVIPSSSAESQDADETDEESDDVQNVHKEYVSDTDKEDEEEEEEEKVESSKVGNTNSRGREEDLEVQKKVYRRKGKKIVDGCGLSESENLKIYTRKKKMIEKEKEVEEKERNVGAKIATSKNDYSEKNMNAKKEDKVNEGKTAKNNGDSSRGGKNEKRNNKDERREVEGETKLAKKGKSNGGSSHGSVIKKEENKKKKRTDERERERERERERERERERQSAKKAKINGDSSQLKKEEKNMKKTQNKKEGDEEAEENLVKKSNSNGVASPAKKEKMKREKEKKGKTNNGKVKDEAISNGTTKKNEEKKLKAKRKREDDEEEEDEKSESALYQFPHNRVHRIIKNENTEIRMLHEATFLVNKATEKFLEIFCREAYSCSFLDRKSYVGYNHLSSVVSKRPRFDFLSDFIPQKVRAEDALAEIPKSAET >Solyc04g081490.3.1 pep chromosome:SL3.0:4:65528447:65530881:-1 gene:Solyc04g081490.3 transcript:Solyc04g081490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:Q38MV0] MREILHIQGGQCGNQIGSKFWEVICDEHGVDPTGRYKGTAAESDLQLERINVYFNEASGGRYVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKVREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYISLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEEYDDDEVADDQYQS >Solyc10g050860.2.1 pep chromosome:SL3.0:10:50885219:50893016:-1 gene:Solyc10g050860.2 transcript:Solyc10g050860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMQQYPPAAAMVMQQQMMYGQQYMPYYHQQHQQMIQIQQNASEDNRTIWIGDLQQWMDEGYLHTCFAQAGEVISVKVIRNKQTGQSERYGFIEFNTHEAAEKVLQSYNGTMMPNAEQPFRLNWSAFSSGEKRADVGAGAGSGSDLSIFVGDLASDVTDTMLRDTFSSRYPSVKGAKVVIDSNTGRSKGYGFVRFDDESERSRAMTEMNGIYCSSRAMRIGVATPKKPSPMQQYFSQAVILAGGHASNGAATQTSQTDSDLSNTTVFVGGLDSEVTDEELRQSFSQFGNVVSVKIPAGKGCGFVQFSERSAAEDAIEKLNGTVIGAQTVRLSWGRNPANKQFRTDSGSQWNGGYYGRQNYGGYGYGASQSQDSMYGAGAAHGASSNGYGNHEQSVS >Solyc10g052830.1.1.1 pep chromosome:SL3.0:10:53594919:53595293:1 gene:Solyc10g052830.1 transcript:Solyc10g052830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRQEFTVFDNEVKLIAKLQHRNLTKLLGYCINGAEKFLVYEFRSNNSPHKVIFGMKSYVTFFLFTIVPVVLILKRKKFGSIRLKLSNYFLYLSRSYRKGYSNIANTLCKTENWLQFFSSAEI >Solyc12g077460.2.1 pep chromosome:SL3.0:12:20694561:20710172:-1 gene:Solyc12g077460.2 transcript:Solyc12g077460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAFTTTFICEQSNVHHLLKLQFWTRRLSFHLWS >Solyc02g079140.2.1 pep chromosome:SL3.0:2:44302376:44309765:-1 gene:Solyc02g079140.2 transcript:Solyc02g079140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRDFFMIDEVGAKKKAKVDEAEEEDTSQLPVVPWRVLLNAADCDLDFNVEGNGLLGSGLYEHGFSYCWSGARANMGITGGKYCFGCKIVAEQPVKMDDTPLDQQQICRVGISRGDDAVGNLGETLHSFGFGGTGKFSSQGRISNYGERFGVGDTIICCVDLESSPMASIGFSKNGKWLGTSKQFNAGPGPTGLEVVDCPMKNLYWHSALFPHVLLKNVVVNMQFSINDGLAPVEGYKPWSCAMEDGKAIPGPSFANLCDCEAMMMVGLPASGKSTWAEKWIKEHPEKRYVLLGTNLALDLMKVPGFLRKQNYFGRFEHLMDRATGIFNTLLSRASKIPRNFIIDQTNVHKNARKRKLKPFANYKKIAVVIVPTPDELKFRGEKLFKEMGKKVSAEAVNQMLVNFVLPMSKDMHQADEYFDEVRFEELGRAEAQRCLDEMKANLNSEKEVTPYSRESSMQSHNSLSMQYPLEYQQSYGGSSSQSLRNVPGGRLNSSYAQPQLQAPHESFYAIPSSYNPIGDFGHHGSRSAYGDSRGNDMEARSAIHGEIYEPHVGSGAQNAAELHQSIMNDPNLRNVSGGSGAQNAAELHQSSMNDPNLRMSGGFGAWNAAELHQSSMNDPNLRRSGGFGAQNAAELHQSSMNDPNLREMFGGSGAQNAAELHQSSMNDPNLRMSGGFGAWNAAELHQSSMNDPNLSMSGGFGAWNAAELLQSSMNDPNLSMSGGFGAQSAAELHHSSMNDTNLSMSGGFGAWNAAELHQSSMNDPNLSMSGGFGAWNAAELHQSSTNDPNLSMSGGFGAQNAAELHQSSMNDPNLRELFGGSGAQNAAELHQSSMNDPYLRMSGGSGYLPPDSRGSFESGMATPYGFRSVFPAGFSQEVPAPRPQHENYPSGAQHPGVSAPQGVPAPWPPHENYPSGAQHPGVYAPPGPRFY >Solyc05g055800.3.1 pep chromosome:SL3.0:5:66143225:66151729:-1 gene:Solyc05g055800.3 transcript:Solyc05g055800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLINGCLILLLILMGYGVDVKGENLVFDVKHKYGGRNGNGSILNDLKAHDNRRHSRMLTVIDFKLGGNGLPTDAALYYTKISIGNPSRDFHVQVDTGSEILWVNCASCEGCPTKSDLGIDLMKYDPKASVTGKSVSCDEEFCLLNTPYSDCRTGMPCDFQVSYGDGSSTAGFFVKDDIQFEQVSGDLQTTLMNGSISFGCSSRQSGELGASNQAVDGILGFGQASSSVISQLAASGKVKKIFAHCLNGKNGGGIFTIGPVVNPKVNTTPLVPDAPHYSVIMKGIEVDGQVLDIPTTMAIIDSGTTLAYLPHKVYSTLMDKLIERHPQLNIRLVEQSFHCFDYTGKIDDGFPVVNFRFADSLPLTVYPHDYLFQIEENRWCIGWQDSKMQTKDGSEITLLGDLVLSNKLVVYDIENQAIGWTEYDCSSTIKLKYEESSGSAYTVASHNISSASMMDSTKFFTSFLITISILFNLFK >Solyc12g077390.2.1 pep chromosome:SL3.0:12:21249448:21251246:1 gene:Solyc12g077390.2 transcript:Solyc12g077390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNWITCCVRARDQVAGIPNAGTLTFPTSTEFSYYSGGTDWSHPLPVPNQNCTRKKRTGIVPSQRSESEILSSPYLRALLLSELTKATTNFHSDCLLGEGGFGYVYKGWLCKNTLTAAAPGSGLGVAVKKLKPRGLQGHKEWLSEVNYLGQLHHPNLVKLIGFCLEGENRLLVYEFMPRGSLENHLFPKSAPVLPWATRIKVAIAAARGLSFLHDAEPQVIYRDFKASNILLDSEFNAKLSDFGLAKSGPTGDHSHVSTQVMGTQGYTAPEYLATGKLTAKCDVYSFGVVLLELLTGRRVMDKRKAGAEQNLIEWATPYLHDKKKLFRIMDTKLEGQYPRKAATIAATLALHCVHPEAKGRPDMSFVLSALEQLPSKYMSGRQYGDQKKMSKSRHKSESTFPGSVRTKHASRVSEGTKSPPER >Solyc04g009500.3.1 pep chromosome:SL3.0:4:2915854:2927566:1 gene:Solyc04g009500.3 transcript:Solyc04g009500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNNWRAAQAQAPGGGEGGGAAAGAMESGDWRTQLMPDSRQRIVNKIMETLKRHLPVSGQEGVQELKKIAVRFEEKIYSAATSQQDYLRKISLKMLTMETKSQPPMTNSVQPNPASSGQNALGPGSHSMQSQVNSQAQQLPVPMVANQTQTRQPLLQQNLQNNMASTGLQNSASLAPSLPSVSNLTQGTMPNVVGQNSNLQTMQNMPNVGQNLVGNAIGQGMPPNMVANSQRQMQGRQQQVVSQQQQQQSQTTQQYMYQQQLHQHQMMKQKFQPGSTSQSLMQSHMQQQQQPQEQQQQLPQQQQNLLQPTQTQPSQQAMMQPSSIQSTSLSNLQQSQQSTVQQSTQSTNVANLQQNQLMSQQNAMPDVQQRLVGQQNNYMHQQNNFQNMHQQQLGSQSSIAGVQQQQLSGSQQPGNSGLTSNQHPIHLMQQPKVPVQQQMLQSTTTLLPNQGQQSQSQPAQQQMMSQSQSQPGQLQPPLGLQQQANQLQREMQQRLQPSAPLLQQQNVMEQQKQLYQSQRVAPEASSTILLAMYGAACLPVLKRCHDSLPQRPQNEQIEKLKMFKITLERIVLFLRLNKQDIQLSHKEKLASVEKHISFFLSSNRPRSKPSSSPLQGQLPQSSMQLQQPPSLDGQSNPSMQPSQGSMAAMPQNNLTNLQHNTLSGVPTISNSQQHMINTVQPGSTVDLGQGNSLNSLQQVATGSLQQNPVNSPQQVNMSSLSSQSGTNPVQANLGSLQQNSNALQQSLPKQHEQQMLQNQQLRQQYHHRHMQQQLFQRQQIIQQQQAKQQQTTLLPTHQMSQLQQMTDANDLKIRQQMGIKPGVLQQQSVGQRVGSHHPQLKPGISSPQLHQALSPQVTQHPSPQIDQQNMLASLTKAGTPLQSASSPFVVPSPSTPLAPSPMPGDSEKVGAGLGSHTTAGNIMHQQATVASAPAQSLAIGTPGISASPLLAEFTPLDGTHANVSAAVPGRSSVEQPLDRLMRAVKNMSDKALQSSVQDIYSVVSMNDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNYFTQDGPTGTKKMKRYTTSNVVSSSSSLNDSFWQVNYSETPELESTATSNAKRPKIEVNIALVEEIQKINRQLIDTVVEISDEGVDPSALAAATEGGEGTTVKCSFTAVALSPNLKALYASAQMSPIQPLRLLVPVNYPNCCPILLDKFPVEVSKEYEDLSTKAKSRFSVSLRSLSQPMSLKDIVKTWDVCARAVICEYAQQSGGGTFSSKYGSWENCSTAA >Solyc02g062000.3.1 pep chromosome:SL3.0:2:34120819:34128090:-1 gene:Solyc02g062000.3 transcript:Solyc02g062000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGDVGSSYYHQPYLHNPNPSSTPPDHPYASAPPEPSSTYSASDYSTTFPPYSHQQQPYTFPHLDAHQPNYYYPPYDQNQTPMSYDYTTQNYGSSPHHAIEDYGSYGDSGIYKYNGRKDELYKESRSESNVGVMFDDYGRPINVQNQREKQGYESSRKIVKATPKMEEQQDVTAGVLKFRVKLLSEGIGQSDMDVLCQIGLDGIRLLDPATSRTLRIYLLENVTRCEVLDSYIFAFWAKNSVDMEPRRIRLKSNSYTVNNMLDTVTAASIQVKEMGESNKSSDSIKGSEQAAEKKKGFADLMKLMRPLNEEKDFWVRFMVPDEAVRKCTACATDFSAFNRKHHCRNCGDIFCDKCTQGRVALTADEDAQPVRVCDRCMAEVTQRLSNATEATTKVAALQSHEDLTRKLKEVMEKTRKTSTGLSSQGSNKGMREVECPTCTVHLQVEVPASGSETIECSVCQHPFLVSAH >Solyc05g050400.3.1 pep chromosome:SL3.0:5:61381666:61382321:-1 gene:Solyc05g050400.3 transcript:Solyc05g050400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFPLSPMATNKCMNFLQQQQLQSAESPRAAAALMMGDDMHKLSRSHFERGDFGLNGGVGITNPRSRQIYSTFPADSTFKEEDVSNYFSIYGPVQDVRIPYPQKRMFGFVTFVYPETVKTILAKGNPHFVCDARVLVKPYKEKGKVPEKFRY >Solyc08g077980.3.1 pep chromosome:SL3.0:8:61988361:61990190:-1 gene:Solyc08g077980.3 transcript:Solyc08g077980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMGSMVWLLSAPPYQEQKRVALLMAAALFEGASIGPLIELGINFDPSIVFGAFVGCAVVFGCFSAAAMLARRREYLYLGGLLSSGVSLLFWLHFASSIFGGSMAVFKFELYFGLLVFVGYIVFDTQEIIEKAHLGDMDYVKHALTLFTDFVAVFVRILIIMLKNASEKEEKKKKRRN >Solyc08g079590.2.1 pep chromosome:SL3.0:8:63216422:63219045:1 gene:Solyc08g079590.2 transcript:Solyc08g079590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNNIRRRFPVSRRTSSRALKPSPSGRRRFTPVPVNRRSSKQHRDTNYKALERSKSEPCVLKIGFVDFEDDDPRDTTAQSMEILFRPQTCSDLFVSPDYLISGAPPSFEKGYKKDAKVVVNVTVEGSPGAVRTMVKLGSSVDETIRLVIDKYSEEGRTPRLDKNRDDSSFQLYQSHFSLQSLSNTDVIGDVGSRSFYLRKSNNNGSNPEITSDQIAPVKANSQSLIVFDGFFRRKINKIFRRTYRLWKFLGCMQ >Solyc06g083280.2.1 pep chromosome:SL3.0:6:48804585:48806707:1 gene:Solyc06g083280.2 transcript:Solyc06g083280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKGYQSPVKKLLSWVQKQSKKVKIILFIVTLITLLVTLKLTVHNHDYFFVMAEAIHLIGLLILIYKLTTLKTCSGLSLKTQVLTVIFLAVRVYCSFIMEGDIHTVLDLITLVATLWIIYMMKFKLKTSYMADLDNMSIHYVIVPAAVLAFFVHPSVKIYVLINRMLWAFCVYLESISVLPQLRLMQNVQILEPFSAHYVFALGIARFLGCAHWIIQVYDNVGSPIFLDDHSVLWIPMVFLAEMVQTFILADFCYYYIKCVMSGQLIIRLPVPV >Solyc09g047870.3.1 pep chromosome:SL3.0:9:33821642:33829616:-1 gene:Solyc09g047870.3 transcript:Solyc09g047870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSLGKVLVDGRVVTKAGTQIPDKAVVEIMAEIPKYVCRGGHKLEAAIENLGIDVAGKVALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQRRKGTLCPCIAKVLVQCASLLISSQVADKIRRDERVSVFERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNLMKEEATLVTLIKPQFEARRSQEFRKLVEPRQKLVS >Solyc11g065260.2.1 pep chromosome:SL3.0:11:50925634:50944026:-1 gene:Solyc11g065260.2 transcript:Solyc11g065260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSPYRETPADNTLSRGTSVASDESFVLNENYGSSDTRPAVSNDGTDEDLIDATVRMNLNENDVTCSETQEVESRHSSHHGVDMDGPSEESISISGAETESFKSATDHLDYSTDSFVTAADTEVTSKSTIERQDSDGGSQFNVASNFEEACQGSFIFAASSVAQNQVATATRQQKKKNRTKLIIDSCSSTTKLSYSSPGQFFQVSGSSPLPSPTQSKKGDIPTMTSHSQGNNEQSRVKEVNHETVAASMAAQEACEKWRLRGNQAYANGNLSKAEECYTQGLNCVSESDASKSSLRALMLCHSNRAATRMSLGRMREALEDCLKAAALDPNFFRVQVRAANCYLALGEVENASKFFMTCLQHGPEACADRKILVEASEGLEKAQRVSECMKQCVELLQRRKQSDAELALGVVCEALTISTYSEKLLELKADALLMLRRYEEMIQLCEKTLELAKSNAPPYNFGYQSSELDSAITERSASSGLWCISKIVKSYFYLGKLEEADNFLKNQEKSMRLMESSELENLEAVVPLAGTIRELLRFKAAGNAAFQSGKHAEAVEHYTAAVSCNFESRPFTAICFCNRAAAYRAMGQISDAIADCSLAIALDGNYAKGWNYQACTVNLGDLVIPNHEQGPTPIKELGVLVHNLEMAVKISKALSRRASLFEMIRDYGQAASDLQRLVSLLTRHMENKVGGSGSHNKVISVNEIRQTQQKLSAMEEEDRKEIPLNFYLILGVDPSVGASEIRKAYRKAALKHHPDKAGQSLARNDNVDDGLWKEIAEEVHKDADRLFKMIGEAYAVLSDSTKRKTYENSTPFRFENIWLRVDGFGDKVVDWWSSYDVEGGHSFRLAKKLKLLKKDIGCGVKRFGMKEEALWRGLIADRYGELEGGWRTNEITMPFECDRSRYDLEEEMRNNQSRGNESSTFRTHTDFNNYPFERSGSRGQWEDVWRAYKSTQSRESDRNRANW >Solyc09g074080.2.1 pep chromosome:SL3.0:9:66187785:66188920:-1 gene:Solyc09g074080.2 transcript:Solyc09g074080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTTNSDNVHIHQVSDGRVGSNSSVFREAPAFRNGDTCGNNDRMHVAMTLDANYLRGTMAAVLSILQHSTCPEDVTFHFLWVRHEHEVFSSIKATFPYLNFKVYRFDVHRVRGLISKSIRQALDQPLNYARIYLANLIPNEVKRVIYLDSDLVMVDDIAKLWKVELGDKVLAAPEYCQANFTVYFTEAFWSDPYMPRTFEGRKPCYFNTGVLVMDVDKWRYGNYTKKVEDWMVLQKQKRIYQLGSLPPFLLVLAGNIMPIDHRWNQHGLGGDNVEGKCRELHPGPISLLHWSGKGKPWLRLDSRKPCSVDHLWAPYDLYRSSRHSFEE >Solyc03g112560.3.1 pep chromosome:SL3.0:3:64429805:64433347:1 gene:Solyc03g112560.3 transcript:Solyc03g112560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSCTCETVQLRGKRAMLNINCSLSSLCLVESRLSSFWMCLQSTEVTPHQLTFFEDTRMCFSELRVCGCIASGLVHLNLASPLLTLKSFRLKKQHAKSKLECLFDLTGKRESNRGLYPLGFFSMYTRTSKDEAVGDSIELNKLVGVF >Solyc07g065530.1.1.1 pep chromosome:SL3.0:7:67383320:67383910:1 gene:Solyc07g065530.1 transcript:Solyc07g065530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFGTVVVLFLVLNSGEAQNAPSQSPISSPSKSPAVKSQAPVSSPTKSPAVRSQAPVSSPSAPTISPSAAPVQSPKAASPVTSVSPALTPSISRSAPVPSVATPPPVSTPVSTPASSPAAADVPASTATPSVSPSIPSSSASPAESVDGPTTAPASLSPGTSPAPVADDVSAAISVLKVPMVLSGLAIWAALSI >Solyc01g057370.2.1 pep chromosome:SL3.0:1:60765948:60767831:-1 gene:Solyc01g057370.2 transcript:Solyc01g057370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVREQVFKDNVEMVTKARGNPKVVLAWYGTSSKNVDAIMHRGFELTRLEQGHRGIGIYLSPLESPQISEMMSDVDENGEKHMILCRVILGNPEKVELGSQQLFSSNLDNFDTGIDDLNNPKLYVVWYHNMKTHILPECIVSYKLDHHMPGQQNCGAHTEDRWCRLLFKLPNFLPLTKKLELKSLHNSYEVGKVVAHRNFISDIEAIVQDDQLMRSIITEFLPEMRFCQTCWDKKGIMVSH >Solyc03g096720.1.1 pep chromosome:SL3.0:3:60491075:60491902:-1 gene:Solyc03g096720.1 transcript:Solyc03g096720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCLRQPPLTVGYGCHYTQQYSSMYPSLCYSTSSNLCNIPEFHFSFVLFMVLYRS >Solyc09g056000.1.1.1 pep chromosome:SL3.0:9:46879707:46879865:-1 gene:Solyc09g056000.1 transcript:Solyc09g056000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVNKEKSESILRCWFKYYNSKGVRKHFSLLSLKYRAFVHIMIIDKNSNYL >Solyc10g024337.1.1 pep chromosome:SL3.0:10:13565660:13566694:1 gene:Solyc10g024337.1 transcript:Solyc10g024337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNWVVDELSATDLFNELLPTMPWNSLSHGLFVIARINDEGASYTSQEVLKRVPIHPRIVSAIKSAHALGLRVISDANVFFIETI >Solyc05g050700.1.1.1 pep chromosome:SL3.0:5:61686397:61687632:1 gene:Solyc05g050700.1 transcript:Solyc05g050700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVNSIFFTFLYISLIYTLSPFVNATTSQSDIQVLVAIKTLIDPFSITADSFLNSWDFNVDPCETTGASFLGILCTVPDQVDTNATSRIMEIDLEGDGLEGFLTSSIGNLTELVTLNLGRNKFRGPVPESITNLRKLTSLQLYENFFSGSLVDDIGVLSKLENLDVSNNRLSGSIPSSIMSLRSLTRLDLSNNEFTGKIPQLNGLWQLSSFDISNNQIYGNLPQFPLKIKTISLSHNLLSGHITPVHKLRHLNTLDLSDNRFSGGINKGIFRLTELSHVNVSVNRFTVFEVVEFSDKKSQLHTLDVHANRLHGHLPVNLVTYPNLTEINLGHNLFSGEIPSEYWSRLRFSWRSLNLEYNNLEGSVPRELNRTSEGVQGNFGHNCLICPKGLQLCNGQRTASECHGGDLN >Solyc04g017805.1.1 pep chromosome:SL3.0:4:8338503:8339850:-1 gene:Solyc04g017805.1 transcript:Solyc04g017805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGNEYVDRIQPIYIGIHLMGDDIQFLSRYKYAELIWEIQGEKRKTRNGPGPFSATILIGPKGHKCFPQDSKLLKKGPSPHFEISLAQCMATVYHRRYKLFCNGSTTVAIAAEKFCPRLKNKCFYRWSVAMTFNCC >Solyc12g038190.1.1.1 pep chromosome:SL3.0:12:50067055:50067261:-1 gene:Solyc12g038190.1 transcript:Solyc12g038190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGNGSVWLSFVVVGGGVNEAVGSCFGGRLRWWFSGRFEGQWVGVGEEKNNGIVWLLFFQPSMEMGF >Solyc05g008150.3.1 pep chromosome:SL3.0:5:2552189:2553663:1 gene:Solyc05g008150.3 transcript:Solyc05g008150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFAIFFIVLLTTTIVDISGISKLQVMALRDMPLEAKMLKTKLFPTNVLGSCDDSCTTNSDCGGFTLCQWCWEKTNPFDGSTYRSCTVLP >Solyc12g089295.1.1 pep chromosome:SL3.0:12:65408878:65411204:-1 gene:Solyc12g089295.1 transcript:Solyc12g089295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVFVDVRQDLVYAYGCPSRLVRTIWKVKRSPKRAYPSFRRFSCAIAHHFLGDPNSDVKKAKFFRGHPSRPCICMCLAITASHHFLGDPDSDRKNAQFFRGRPSRPCLCIWLAITTCPTHLEGQTSRKASLSLISTIFIPTSKMPNFFVDVRQDLVFAYNCPSRLFRPIWKVKRAPKRAYPSFPRFSCAITIFWVIQIQTSKMPIFFLDVRQDHVYSYGWPSRLVRPIWKVKRASKRAYPSFRRFSCAIAHNFLGDPDSDVKNEIFFVDVRQDLHTIFWVIRIATLKLPNFFVDVRQDLVYEYGWPSRLVRPIWKVKRAPKQAYPSFRQFSCPIAYHFLGDPDSDVKNAKFFRGLPKRAYPLFRGFSCAIAHHFLGDPESDVKNAKFFRGRLSRPCLCIHLAITACPTHLEGQTSPEASIPLISTIFIPTSKMPNFFADIRQDLVYAYGWPSRLVRPIWKVKRATKRAYPSFRRFSCAIAHHFLGDPDSDVKNAQLFCGRPSRPCLCIQMAITACSTYLEAHHFLGDPDYDVKNAKFFRGRPSRPCLCIKLAITACRPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPDYDVKNAKFFHVRLSRPCLCIWLAITACPTHFEGQTSPEASIPLISMIFVCYTTPFFG >Solyc04g055120.3.1 pep chromosome:SL3.0:4:53668880:53699073:1 gene:Solyc04g055120.3 transcript:Solyc04g055120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLQLLQLTEHGRGLLASKRKALLLTTGIIVAGGTAAAYMQSRKTYKGHDSLQCDGVNDGIIEPNKQTRKGNNVKKSRQKKGGLKSVKVLAAILLSRMGRMGTRDLLALVATVVLRTAVSNRLAKVQGFLFRSAFLRRVPMFFRLILENILLCFLQSALHSTSKYITGTLSLRFRSILTRLIHAQYFQDMVYYKLSHVDGRIANPEQRIASDVPRFSRELSDLVQEDLIAVTDGLLYTWRLCSYASPKYLFWILAYVLGAGLTIRNFSPPFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGETREDFHIQQKFKTLVRHMKAVLHEHWWFGMIQDFLHKYLGATVAVVLIIEPFFSGNLRPDASTLGRAEMLSNLRYHTSVIISLFQALGTLAISSRRLNRLSGYADRIHELMIISRDLGGRNASSIQSNGSGNYVTEANYIEFDGVKVVTPTGNVLVEDLSLRVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGIGSDLNKEIFYVPQRPYTAIGTLRDQIIYPLTADQEVEPLTRIGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCSKVRAMGTSCITISHRPALVAFHDVVLSLDGEGGWRVHYKRAEAPSLTDSEFNKNQCNETDRQSDAMTVQRAFATAKKSTKFSKSEAELYFSELISASPSEADESPLHVFPHLKSVPRKLPQRIAAMSKVLVPRLLDKQGAQFLAVALLVVSRTWVSDRIASLNGTTVKFVLEQDKAAFLRLIFISVLQSAASSFIAPSLRHLTQTLALGWRIRLTKHLLKNYLRNNAYYKVFNMSGVNLDADQRLTQDLEKLTADLSSLVTGMVKPTVDILWFTWRMKMLTGQRGVAILYAYMLLGLGFLRCVTPDFGELASREQQLEGTFRFMHERLRTHAESVAFFGGGAREKEMVEARFKELLHHSSLLLKKKWLFGIIDEFITKQLPHNVTWGLSLLYAMEHKGDRALTSTQGELAHALRFLASVVSQSFLAFGDILELHKKFVELSGGINRIFELEEFLDAAQYDVPEGVSSSPSSEDVISFSEVDIITPGQKVLARKLTCDIVKGKSLLVTGPNGSGKSSIFRVLRGLWPVVSGNLVKPGQPLNSELGSGIFYVPQRPYTCLGTLRDQITYPLSHEVAEKRVQAMREGLRHLGSSNILDSHLQSILEDVKLVYLLEREGGWDANQNWEDILSLGEQQRLGMARLFFHKPRFGILDECTNATSVDVEEHLYRLAKDAGITVVTSSQRPALIPFHSVELRLIDGEGKWQLRSIKMDEEGEDEPNEYTQQL >Solyc06g053750.3.1 pep chromosome:SL3.0:6:36690656:36713378:1 gene:Solyc06g053750.3 transcript:Solyc06g053750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASEEAGIGKSLEGVSKGQQRCQYSETLAERRYCEQMENGTPSTSPPYWDSDDEDDCGPKPVELYGKYTWKIDKFSQINKRELRSNTFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHLAQFTIAVVNRDPRKSKYSDTLHRFWKKEHDWGWKKFMDLSRVVDGFIDSDTLIIKAQVQVIREKAERPFHCLDCQYRRELVRVYLTNVEQTCRRFVEERRGKLGKLIGDKTRWSSFCAFWLGIDQNSRRRMSREKSDRILKMVVKNFFIEKEVTSTLVMDSLYSGLKALVDQTNGQTGKGKHLDAVEQTVPIVYLEKDMFVLVDDVLLLLERAVLEPLPPKDERGPQNRTKDAASGEGTNRDHIQRDERRLTELGRRTIEIFVLAHIFSKIEVAYKEAVALKRQEELIREEAAWLAETEKKAKRASEKEKKSKKKQSKQKRNIRKAKDKERNEKSDVMVHDKIEVDGPIGEGNEYMAEMPGQVLGKSDVLGEVSDISDSIDSIIHPDSEDRNASPVNWDTDTSEVHPSVEAGSSRLIGLPASQNVIAGRISPSMMDDSSSTCSTDSIVSVVINGTHRGFPLIQKNQKLPSRGRNERSRSTCKAADWASETLGQTSDVVSDDGQLSDTSVSCEATGYECQATALPSCEQQATNKKVAVLQQRNLIDDREKSSILKPSSVQSPSRSPQKSTVSAVLSKESLKISVTSDPILVKSSFSDSPQLTDKSGPMVVSAETSVMLKADPHKAVEVKPLKKPLPQPASISTEKSLSKQVTTSATAERSISRQVPAQSRPSSAPLVTDPRPPSPVVSMVQATQLLPRSVSAAGRLGPDPSPATHSYVRQSYRNAIMGGLISGSPVGFSQPHSPSLAVNSPHPYSQSPSLTSGLMLSPGGLERTEHRSAGPSFSYGMVNEDTSWNGQKWESSGSYSRSVSHPFVLHDIQESDMLKPVNSRTHDHLPSELPACTSGCQSQSVLADEFPHLDIINDLLNDENGAGKASEPNSGWQRYSNHLNRQFSYPGDISMASDLGPSTHSCRFERTRSYHDELQHYYSGGTYNSIRNMIPQPNPQFVNGQIDQLVHDQWQLMHSDPSFLGMGNGHTDTGYLYHNPDYSNMACGVNRYRVFRPSNGF >Solyc03g013370.1.1.1 pep chromosome:SL3.0:3:46397989:46398153:-1 gene:Solyc03g013370.1 transcript:Solyc03g013370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLPSLTSDRLMFEHDESLRADLFPIHLPASYEIGKLGHFIYRWMKNREHNNF >Solyc06g082340.2.1 pep chromosome:SL3.0:6:48232967:48235069:-1 gene:Solyc06g082340.2 transcript:Solyc06g082340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKKQDVISVPKEVVIEILRRVPGHDLAEKLKMVCMQWCSIIHTGSFAYLHIEQRIKSSSFSQMEAVIVAFDGNENRSVKISSLEWHTNDNENDHLFEDWKTKHLCTAKDALLGQNFNRLQNMVWANSVNGFVCFWSYFDKPRLHIFNPVTKEYLITPPNTYLGVRNFDLDTTVGCGFCPVSYEYKVVVLDGNSEVIKPSIFTIGTAHSWRALRVIPHHNIINVVTIVYLKGMLYWYVTSTIEYSFHTTSSKSTLLCFDVRKEEFDTIVVPIEIPERSVSNVVEKGEKLCLVTISYAPICSLLINVYVNIDDDDLSNLNSWKKEFTVTAPSIAYKLDLDDNVYTLIVTDEIVVIQLGDSNDRGFFHVATGKLLGLLWTRHGTLIPYKSSLVAFCHRPLLPSLS >Solyc07g021190.2.1.1 pep chromosome:SL3.0:7:16765583:16766145:-1 gene:Solyc07g021190.2 transcript:Solyc07g021190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPNRGRPYFLSLSLST >Solyc03g118390.3.1 pep chromosome:SL3.0:3:68762455:68771870:-1 gene:Solyc03g118390.3 transcript:Solyc03g118390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRRTESPVYARQWSGGSSSTGSSSPAGSPAHPRARLPPTVTGMSTIKRTQNVAAKAAAQRLAQVMASSHRPDEEEDDDDLGFRPPTAAFSTNDNRSNSNSKNSGLTAISLAKPNRSPSPSLGRNFMDHTPSVRSSSTGRPSSMSVRTSAAATTTGAILQPSRSSVRTPVTIPPIEPPSNRLREKRFTPELGRMDLKDSGNDHETSALRDELDMLQEENDIILDKLRRTEEQREESEARVRLLEKQVASLGEGLSLEAKLLTRKQEALRQREAALKAAQQTKDGREDEITILRTEIENLKDDTANAMEQLQEAEAEAKALRTMTQRMILTHEEMEEVVLKRCWLSRYWGLAVQYGICADIAGTKHEHWSSFAPLPFEVVISAGQKAREESWNGGDDSDRSKRARDISDLSGEGNIESMLSVEMALRELASLKVEDAVILALAQHRRSNVVRQSSDPKSSVDPKLMDAYELGQEESEDVLFKEAWLTYFWRRAKVHCVEEDIAEERLQVWIGRSGQTPTTHDAVDVERGLIELRKLGIEQQLWEASRKEIDHQPNNGKMSSDSEASP >Solyc02g079020.3.1 pep chromosome:SL3.0:2:44193794:44199609:-1 gene:Solyc02g079020.3 transcript:Solyc02g079020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKCLNAAFLFFTVMKAYFPPISNPEGLPLKMQDLKGKEWMFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRIEPEGKLVMGCRKASNILTADQGSEACSNGNGVATNGNASTKHTKSSEEVLANSTKKGSSSTTLVAATSARAEIKMSDDLVNESLGTNRPIRCKRKGSTMGSKRKRMRIDNDNLVELKVTVVQAQGLMRPPSSGAPTVIVIEGCEFEEYEEDAPIIGRPTIPHMDQLGEKIQWVQCEDCFKWRKVPDNVDLPSRWTCSDNSCDPERSVCSAVQELTADHLRDLLPHINKASKKRKASKQEMDLVETLDGLDALAHLAILEEGETLPASPQATTKHPRHRPGCTCIVCIQPPSGKGPKHKQSCDCNVCKSVKRRFKTLMMKREKKLSEKEAEATCQKLQPQSAGQLLDADDIQEPIDDAVHSSPQHEELNIEGSVDPNYLNEKKSSVEDPNYLDEKKSSVDDTNYLSEKISSVDDPSYLNEKESSVDDPNILNEKKSSVDDPNCLNEKKSAVDDPNYVDERKSSVDDPNCLKEKKSSASPFKGEFDLNAKPDRDDELSPGSDSGSMMRMIKRAAEQYVRHCDNGSSVGNQRDGDGAGETNPGNCVIVSGSHQADVDHNRPLPISAAASLAPNDHMSETHKFWESNGDLNLEIVLEEQPLVTERRVLSIVYMVVSLLDLIWDSKLVGLD >Solyc02g061880.1.1.1 pep chromosome:SL3.0:2:33990968:33991225:-1 gene:Solyc02g061880.1 transcript:Solyc02g061880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLLQKKKNALFVLIMTLLLTTKNLMCMLPLYLNQWFLTFHKDQNPKKMRIKSHFSGKIFILVIQICRVRVSCLSPTPTMTTSVC >Solyc08g074350.2.1 pep chromosome:SL3.0:8:58592329:58596159:1 gene:Solyc08g074350.2 transcript:Solyc08g074350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSFKPHGCTMRSTAPLSHWCLRHTRQGGKGEDRTCSSGGLGLAVQIPMPDPSLAPQGAVPRAECTTWRCFLCLCLVLIWIILQVSDFGLIASPQKVSSI >Solyc10g049350.1.1 pep chromosome:SL3.0:10:45154797:45155638:-1 gene:Solyc10g049350.1 transcript:Solyc10g049350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAYPEIYIALEKARTNIINWASGDFRIGKHDINRTFNSLSHKVKWVKGVGGVLQIFPRKGDVWALYRHWSPKWNEVTPNIMIHNYDMVEDTQPNHNMSFQDQKFSGDCGRSKPQRGSFSYRGRGLSPSDLTNK >Solyc08g014535.1.1 pep chromosome:SL3.0:8:4693267:4701725:-1 gene:Solyc08g014535.1 transcript:Solyc08g014535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGHNTCRIQKLKLELSKSFAMKDLGPTRQILGMQIVRDRKTKKLKVLHRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAFMYAMVCTRPDIAHAVGVVSHFLSNPGREHWNAVKWVMRYLCGTSSLSLCFGTWKPILCGYTNSEMAGDVDTRKSTSGYLGELCLGNLVCKNVLLYLLQKLSLLLSLKLKAGLEKCTSQPTPMAVSLSTNGADTPFADITHFRSLIGALQYLAITQTDIQFAVNRVGQRMHQPSEHDYYCLKRILRYIFGTLGRGLLIRPGDLELRGFSNSDWANDKNDKKSTSGLLIFLGLNLIS >Solyc10g076270.2.1 pep chromosome:SL3.0:10:59254737:59285429:1 gene:Solyc10g076270.2 transcript:Solyc10g076270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGDLTISQLSAVVEESEDYEDGDRNNDGSFDVVAAENEMKTTTETSMNDVRPFVWFRELRPDEFEAYVPPSPANTDLVSKWRNKNRMKTGCVALVLCLNIGVPPPDVIRVSPCARMQCWIDPYSMPPQRALETIGRTLFEQYEKWQPKARYRISLDPIVDEVKKLCTTCRNYAKSERVLFHYNGYGVQKPSVNNEIWLFNKSNMQYIPLPISDLDSWLKTPSIYVFDCSAAGVIVNAFIELQNLAASNSSGPSTRDCILLGACEAHETLPQSAEYPADIFTSCLTTPIKMALRWLCTRSLFCESFDYALIDRIPGRQTDRKTPLGELNWIFTAVTDSIAWNVLPRDLFQRLFRQDLLVASLFRNFLLAERIMRSANCSPISYPMLPPTHQHHMWDAWDMEAEICLLQLPTLLADPSVEFQPSSFFTDQLTAFELWLDFGSENKKPPVQLPVVLQVLLSHSHRFRALVLLGRFLDMGPWAVDLALSVGIFPYVLKLLQTTTPKLRQILVFIWTKILALDKSCQVDLVKDGGHAYFIRFLDSVEAYPEQRAMAAFVLAVTADGHRRGQEACIEVGLINVCLKHLQGSTYNDVQTEPLYLQWLCLCLGKLWEDFTEAQVLGLQADAPAIFAPLLTQSQPEVRAASIFALGTLLDVGFDTSKDDIGEDEDYGNEEKTGAEVIMKSLLSVVSDPSPLVRAEVAVALARFAFGHKVHLKSLAAAYLKNQSNSMPTSLPSSTVQSSGSGYTMPTCYVPHGSVVPSPNAPLLRVVGDSPSISHDGRVSTSSPVATPGFMHGSPLPDGSSHHSDSGRLGDAVSDGVVNHIRPRPLENSLYTKSVQAMFALAKDPSPRVAGLGCRLLSIIGIDQVFTKSVESTVESTTSPGPSSDGLNRSSSWFDMSGGRRLPVPFRTPPVSPPQSSFLRGLRRVYSLDFRHRPMNSQDSGSADPLLGSGGSSRASECSSIPQSTIYNWSCGHFSKPLLSADDDNEENISRREEKEKLALDLITKSQHCSVNRLHNQIATWDTKSESGTRTALLHPFSPVVIAADEILREGSVSSSSRLVVGAMCNLSFQPTNAALLANIPLPDPFTLICSNHNWLVCSTRPHPKVERVVGVGFQPGLLPAKVVSASQAGDILFLDMRNLKAAYLTIDAHSGSLISLAVHRHAPLIASGSLKQLIKVFNLEGKQLGIIRYLSTFMAQTIGSVRCLTFHPYQVLLAAGAADACVSIYADEISPPT >Solyc02g084800.3.1 pep chromosome:SL3.0:2:48512300:48531783:-1 gene:Solyc02g084800.3 transcript:Solyc02g084800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTSFFVLSVVAKAVAKEARMAASLLRLHFHDCFVKGCDASLLLDSRGSIVTEKRSNPNRNSARGFDVIDDIKSALEKECPQTVSCADILALAARDSTVLAGGPNWEVPLGRRDSRSASLSGSNNDIPAPNNTFNTILTKFKRQGLDLVDLVALSGSHTIGNSRCVSFRQRLYNQSGNSKPDTTLDESYAAQLRSRCPKSGGDQNLFFLDFVSPTKFDNSYFKLLLASKGLLNSDQVLTTKSQASLALVKQYAENNALFFDHFAKSMVPEEKSGRHAGRSTRLKLFCYMARSMSFFIFIALLAFSPICFSFKSNNDKLYPQYYYKSCPRALEIVKSVVAKAVAKEARMAASLLRLHFHDCFVKGCDASLLLDSSNGIVTEKGSNPNRNSARGFEVLDEIKSALEKECPQTVSCADILALAARDSTVLAGGPNWEVPLGRRDSRSASLSGSNNNIPAPNNTFDSILSKFKRQGLDLVDLIALSGSHTIGNSRCTSFRQRLYNQSGNNKPDSTLDESYAAQLRNRCPKSGGDQNLFFLDFVSPTKFDNSYFKLLLASKGLLNSDQVLTTKSRESLALVKQYAENNALFFDHFAKSMSNNDNLYPQYYYKSCPQAQQIVKSVVAKAVAKEARMAASLLRLHFHDCFVKGCDASLLLDSSRGIVTEKGSNPNKNSARGFEVLDEIKSALEKECPQTVSCADILALAARDSTVLAGGPSWEVPLGRRDSRSASLSGSNNNIPAPNNTFDSILSKFKRQGLDLVDLVALSGSHTIGNSRCTSFRQRLYNQSGNNKPDSTLDQSYATQLRNRCPKSGGDQNLFFLDFVSPTKFDNSYFKLLLASKGLLNSDQVLTTKSQASLALVKQYAEDNALFFDHFAKSMVKMGNISPLTGSSGEIRKTCRKINSS >Solyc01g104890.3.1 pep chromosome:SL3.0:1:93104178:93107246:1 gene:Solyc01g104890.3 transcript:Solyc01g104890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSILIGYHFVKMYGLFGVLPERYSWTILGQQQFWAFAPIFSSQFIPLHFSDDPPRELAAKRRKMTKFFAFEKMHRHSGERMWDQLSRCTSSRSV >Solyc05g015795.1.1 pep chromosome:SL3.0:5:12070905:12071805:1 gene:Solyc05g015795.1 transcript:Solyc05g015795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVNHTMMDVSGFKMFINALSELIQGASTPSILPIWKRHLLSARISPCIIYNNHFFSGNMEMEVIKINAFDLHPKEIVRFTLLMNIRGKSLNFELSKAGLLCSNSLTYAVELAKNLKDNMHEDCIKSIIVLFQISSDNKYVGFDEFDFGWGNPIFGGVPKAISVNSFGIAINLPPLIMEKFQQVIYKTLRNVKESIQF >Solyc01g079400.3.1 pep chromosome:SL3.0:1:78340342:78343710:-1 gene:Solyc01g079400.3 transcript:Solyc01g079400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQRSFSIKPTRLWLFSFTISFSLIFFIFFSIWVLNLPLYSRQETHIQFNSSTATAASASASVSLRPRSPFKIQSLTGFHKNYSATQIKNSILVSTHFNRVENESQVSNFSTFEGILDKENQPQSVAYDINSEKNSTFSGNRSTKSETVSSKRLEIESTNSSIPIWNQQQQQQQNVSIVLSKKEEASSNLLKVVNKKECDITKGKWVFDESYPLYTNASCPYIDEGFSCETNGRLDKNYMKWRWQPQDCDIPRFNATQMLELIRGKRLVFAGDSINRNQWESMLCLLMGAIKDPRKVYETRGRRITKEKGNYCFKFVDYQCTVEYYVTHFLVHEGKARIGSKRAQTLRIDTMDKGSSRWRGADILVFNTAHWWNHHKTKAGKNYYQERNQVHPRLDVSTAFEKALTTWASWIDRHINPSKTQVFFRSSAPSHFSGGQWNTGGHCREASQPIPETYRGEYPEKNIIVEQIISKMKIPVTFLNITGLSDYRIDGHPSIYGRKPGSSSRVQDCSHWCLPGVPDTWNEMLYMHLESTRRKSLPN >Solyc04g082760.3.1 pep chromosome:SL3.0:4:66404156:66408276:-1 gene:Solyc04g082760.3 transcript:Solyc04g082760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGEIKSQAAKSDPQTTSPPPSQQPQTAALPLKRPQQLESSPNPTTPSVTDPKDVTPTTISSRITEPDFIYIPSYSRWFSWNSIHECEVRFLPEFFDGRSPSKNPKTYKYYRNTIIRRFRDNPTKKITFTEARKTIVGDVGSIRRVFDFSETWGLINYTANSSKSLIKWEEKESKSTSASAPQNADANGTSTDFTLPKKRICNLCKTVCRIACFASDKYDLTLCARCYVSNDNRVGVNNSELRRVEISEEVKTDWTDKETLHLLEALMHYGDDWKKVAEHVGGRSTKECVARFVKLPFGEQFMGPPESAEMDSESRSETMYLQSKRRRLTPFADTSNPIIAQAAFLSALAGKEVAELAAHAAVTALSEIGEGITTGSLESVLCGAERQELDGKDKLERPLVEAMAQFEKEALDLERAVSDVAIETKEIEVRIAHFEERDLQMEKEWQQLMQLKNLIFIDQLTFLVNKSGAPRAGETIREEVIDVKAE >Solyc02g086290.1.1.1 pep chromosome:SL3.0:2:49629077:49629919:-1 gene:Solyc02g086290.1 transcript:Solyc02g086290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFFSFQTLACTLLFFIIIHLPPLFSDENKLFSSCGKSFNCANITEITYPFWGKDRPKECGYPGFELQCDGQGNTVIQISNVNYLVLDINLDAQIITVTRKDLGIDGICQFNSTIDNSNTFEYASSLVNVTFNYACSPWIGSFNCPINGVNHKNSFTIGGDEGAGTCHASIVVPGRKELPSGGIIRDLGDLKQLLEQGFDVKWKVDTSHCKECEKFGGRCGFDAGANEFRCFCPDDQSSSSSSSSNNACTNGLPYSGTDNSKPLSSLATPPGTFFRFPS >Solyc03g118880.3.1 pep chromosome:SL3.0:3:69151628:69152859:1 gene:Solyc03g118880.3 transcript:Solyc03g118880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWISLSTLPEEVRLQFYNRDIWTSISKQIKKLSYDILGS >Solyc01g107964.1.1 pep chromosome:SL3.0:1:95245357:95246407:1 gene:Solyc01g107964.1 transcript:Solyc01g107964.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPNVVLNLAGEDAHINVCFPKKPGLFTTICYILEKHKIDIVSVRISSDQFRSMFMIQAHAKDESGVAQFSEAFTVEDMYKQAANEIMLMTTPR >Solyc11g072440.2.1 pep chromosome:SL3.0:11:55908589:55912692:-1 gene:Solyc11g072440.2 transcript:Solyc11g072440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVNDSSAAWREGTGSVVGDTEVRYNSRYSAAGGGYGGGPAGVEVKGKDGENWKFHALEFAKGFAEMSVEFGKGVRDVLKQSVIREDSILVRKVGPLCCNVCRRLSFLNEYLPEDRDPAHAWSVIFFVLFLASAVLIASNDNIYPTTSVKKVCVHPPSASQISLPDGRHLAYQQQGVPAELARFSMIAPHSFVSSRIAGIPGIKDSLLQEYGIRLVTYDLPGFGESDPHPSRNLESSAMDMLHLSYAVNVTDKFWVVGFSGGCMHAWAALRYIPDRIAGAVMVAPMVSPYEPRMTKEEKSKMWKKWTTKKKNMYILARKFPRLLPYFYRRSFLSGVHGQIETRLALSLGIRDKALLEHPLFEKSWQRDVEESVRQKNAKPFVEEAVLQVSNWGFSPADLKVQRTRTGKGIMHWIKSLFGQTDEILTGFLGQIHVWQGMEDMVVPPSTSDFLQRVLPDAMVHRLLYEGHFTYFYFCDECHRHIFSTVFGNPQGPLTPEPEPDQSPIQNDDVEMQDTILGDVATDEENVSIVVNPDKEDYID >Solyc12g042280.2.1 pep chromosome:SL3.0:12:58358104:58359296:-1 gene:Solyc12g042280.2 transcript:Solyc12g042280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDLDSASLQFSWALPLQVSFLVHHSTYFKSLGSPLTRICQVLVAPFHKWNLPVPDDSTLFYETTYKISVIDGSRKQLHIDELRNRLYVVYAQIVIFVEQGTSMDTAIGSFRISPASIIIFNSISVSIWVPIYDRILVPIASRLTVNEMGFSKLQRIGIGLFLYVLCMLAAAIVEFECLQLARDLDLMDELVAVPLNIFWQIPHYFILGVAEIFTSIGHLEFFNDQSPNAMCSLCSALTLMITAMGNYLSSFILTVVTSITTQDGKPGWIPNNLNSGHLDYFFLLFDCT >Solyc10g078400.2.1 pep chromosome:SL3.0:10:60359427:60364609:1 gene:Solyc10g078400.2 transcript:Solyc10g078400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQKTHKGKTKPRSPTLILTVSIVAITLLYIVSTLFSPNGFSFSTSITSKNSVFSKNRRQHNGPHKYLYWGNRIDCPGKHCDTCAGLGHQESSLRCALEEAMFLRRTFVMPSKMCINPIHNNKGILHSSSNSISEERWADSSCAMDSLYDLDLISVTVPVILDNSEMWHHVLATSMKLGSRGVAHVEGVSRADLKEKSSYSNILLINRTASPLSWFAECKDRKNHSSILLPYSFLPSMAAEKLRYAAEEIKKLLGDYDAMHVRRGDVLKTRKDRFGVERSLHPHLDRDTRAEFILCRIAKWVPPGRTLFIASNERTPGYFSPLAVRYKLAYSSNYSSILDPLIENNYELFMVERLILMGAKTFIKTMKEDDNDLSLSDDPKKNTKKWEIPVYTRDGEEC >Solyc01g087235.1.1 pep chromosome:SL3.0:1:82028475:82031144:1 gene:Solyc01g087235.1 transcript:Solyc01g087235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNCASLRVYDQRHCLTFTISIDGFAIYQHLSLFFQVSTEVDMHPQLIDLWQNFHFSMVAIHTLDTKKTCRQQPISLFLLGVLQVVEIQSPLLSHSHENVGYDNPTSLFSYLCGRCRTPRRLATNKLLVCFSMLFRPPRNLELTYKVEIFPIYIVEDTLALFLHSSFPTFEDNLPQRAIGWGEKA >Solyc02g072330.2.1.1 pep chromosome:SL3.0:2:42169270:42169905:-1 gene:Solyc02g072330.2 transcript:Solyc02g072330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPHLSGVVTLIKSAHPEWSPAAIKSAIMTTSDLVNLENNPIEDKRGLRADFFATGAGHVNPLRANDPGLIYDIRPNEYIHYLCGLYPSRAAGLIVLQEVNCSSTIPEAELNYPSFSIRLGSDLQAYTRTVTNVGEPVSSYTLEIVPPQGVDVKVEPSTLHFSEMYQKITYRVTFNRLIPNINATLVQGFLKWTSSKHLVRSPMVVNLVP >Solyc05g047690.3.1 pep chromosome:SL3.0:5:60022492:60027600:1 gene:Solyc05g047690.3 transcript:Solyc05g047690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase complex subunit SAP18 [Source:UniProtKB/TrEMBL;Acc:K4C101] MADVQRRGRPLPPPPPRGPHGPPPQRIAPRPVPVDREKTCPLLLRVFTKVGGHHNVNEFAVRGKEPKDEVQIYTWMDATLRELTDLVKEVAPEARRRDAILSFAFVYPDKRGRFVVREVGTTFSYPNMRRPDNGSKTLSELKFQIGDYLDVAIMFQ >Solyc03g098050.3.1 pep chromosome:SL3.0:3:61831836:61834571:1 gene:Solyc03g098050.3 transcript:Solyc03g098050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CALM1 description:Calmodulin [Source:UniProtKB/Swiss-Prot;Acc:P27161] MAEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMISEVDADQNGTIDFPEFLNLMARKMKDTDSEEELKEAFKVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADIDGDGQVNYEEFVRMMLAK >Solyc12g087897.1.1.1 pep chromosome:SL3.0:12:64380086:64380094:-1 gene:Solyc12g087897.1 transcript:Solyc12g087897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DY >Solyc10g079380.2.1 pep chromosome:SL3.0:10:61058706:61061297:1 gene:Solyc10g079380.2 transcript:Solyc10g079380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELEDECERNLLEYVRKASTPPFLLKTYMLVEDPATDDVISWNSDGSAFIVRQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKITTNQWEFSNDLFRKGDKDLLCDIRRKKARTNKQQPNKNIRKESEDEDQKSSSSTYNSSSSFEYNSLVDENKRLKMENGELSYELSLVNKKCKDLIDLVAILSKKSKEEEKKEGQKGKRPMLFGVRLEVEEEIERKRKRVEFNEIASLFLSQTMQIKIGKEDIYYRTIE >Solyc03g095753.1.1 pep chromosome:SL3.0:3:58352382:58354511:1 gene:Solyc03g095753.1 transcript:Solyc03g095753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSLFQPTRCPLVVAMLGNWVCNKEVTYNGMLTHLLETLRGTYSHQYYLNYGWGRSMIVAHILIDFSYMIVFIFLPVLSCYRQEKEDEELNKPLSRSNRKENQLWVLEKHRGFQESGHLLFAFFGCLHISLSCLRYYIRHTNMLTVLHLSYWICRLVVFLLYYVKVYLDPFVTILVLRNLVTYQHCLMSKGCRAKFVQNIIVFMYDDKHCLMKRIQDQSSHYNPAGEDVYKGVSKVGCILLETSEGGGERTHNSVMPI >Solyc05g016610.1.1 pep chromosome:SL3.0:5:17629670:17630317:-1 gene:Solyc05g016610.1 transcript:Solyc05g016610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKEDTEIADMRSPALLLQENLLSFERVKSVCSADFFEIINEEGKTGEELFTKANAKLCSEAKDWLKHTAENCTIVAMLIATVTFAAAYTIPGGPNQSTSYPVLLAQPFFFIFTIGDVLSITFALTSQ >Solyc02g043970.1.1.1 pep chromosome:SL3.0:2:350389:350541:1 gene:Solyc02g043970.1 transcript:Solyc02g043970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCAQMKRKNDQRYRSKVTTKVTVEEKVQCMFIISLSLEQLFPSKIFSMT >Solyc03g063168.1.1 pep chromosome:SL3.0:3:35703266:35704858:-1 gene:Solyc03g063168.1 transcript:Solyc03g063168.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENANDLKNILLLPPTRIKKIMTRTGMHLTCSFKTSPFVLGLTKDNLTDVIMQTYNFNFLLDVGHGDDATNPFTLSSNVQYPSRVYEETIPMQLIFRTIIHLWIKKS >Solyc02g084117.1.1 pep chromosome:SL3.0:2:47872362:47879052:-1 gene:Solyc02g084117.1 transcript:Solyc02g084117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVKLKNFCLARHFRRVYEILGNVPLIGLSSLSPRQTVEIVTCKRMRNWTCCGKVDDNGSSEVVPSFEESSEVVPSLKDHLDLYFLLDNFLSPPPPTKDRGKMFFIYYFVVLLVIGRTVKLTGGQMDIVSIHEDMYETTKLAFVLAILYKMTASVYTLIIVDKIIVQQSLFVMLLQPISSKEANVVEVLLVSDKQI >Solyc02g030105.1.1 pep chromosome:SL3.0:2:25607854:25630537:1 gene:Solyc02g030105.1 transcript:Solyc02g030105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAHLVQASEVKKTIEWIICHLDDHYAADSVAAAIALGAAAAETASESDGQITTFSQFSPPSNFSRGFSIQERGNDAATMSNLSRNFRADILRENTEAVFAACDAAHGRWAKILGVRAPLHSKLRLQEFLNIYNITQEFITATEKIGGRLGYSIRGTIQSQAKAFVDFQHESRMAKLKAILDQENWAEIDVPDEFQTIVTSLFSSKSETSGHADDDSADTATSQTEVVRNSSDPSMVDAGLPNISHNTAQTDSTSTHPDSTAQNNDTKSRERGRSSPRMLSFGGVAYHMVNCGLILVKMLSEYIDMNNSLTGLSSEVVHRVVDILKFFNTRTCQLVLGAGAMQVSGLKSITSKHLALTSQVIGFTYTIIPEIKRILFLRVPETHKGLLMLEVDRVAQDYKVHRDEIHSKLVQIMRERLLVHLRSLPQIVESLNRQEDNDSQPSQFARSITKVVLSSTHLCSDTFPSVPSVDPFEEVGLLQRVLCRTLHEVDVQAIFRQVVIIFHSQISEAFSRLDISSQQARQRAHRDVQHLLGCIRSLPSDSKSNPPNWGPLDEFLEQNFDAEASQ >Solyc04g047800.1.1.1 pep chromosome:SL3.0:4:37639396:37639731:-1 gene:Solyc04g047800.1 transcript:Solyc04g047800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSTFLEVLKKLYYLKWDSCEKMEDEVAELMKWINSWKGNRWVEMMLLMDNPILAKTFEIHEKVVPKSAHYFTISADGTCELTPVAREGERIYGSCWSQEKMGCQKNLQL >Solyc12g044910.2.1 pep chromosome:SL3.0:12:61205001:61209291:-1 gene:Solyc12g044910.2 transcript:Solyc12g044910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nonclathrin coat protein zeta2-COP [Source:UniProtKB/TrEMBL;Acc:Q9MAZ6] MAFPLHYGSCPVVKNILLLDSEGKRVAVKYYCDDWPTYSAKLAFEKSIFTKTQKTNARTEAEIAMFDSNIVVYKFVQDLHFFVTGGDDENELILATVLQGFYDAVTLLLRSNVEQREALENLDLILLCLDEIVDGGMILETDGSVIAGKVASHNMDDGSPISEQTISQALATAREHLTRSLLR >Solyc06g009150.1.1.1 pep chromosome:SL3.0:6:3093662:3094141:-1 gene:Solyc06g009150.1 transcript:Solyc06g009150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLATIFLFFLFFSTVVSSNEKTSAYEELQQYDFPIGILPTGVIDYELNSKTGEFSAYLNGSCKFMLSSYELSYKPVIKGVISKGRLMKLTGVTVKVVFLWVNIVEVRRKDDNLQFSVGFTSANFPIKRFDKCPSCECGLHCVNEGNGELRQKLLVSSS >Solyc01g101110.3.1 pep chromosome:SL3.0:1:90837752:90846063:1 gene:Solyc01g101110.3 transcript:Solyc01g101110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSVDLWAELIAAEQQHFDRSSQQIQPNIAVVYRRQKPHSVTPKVAEGRQSTSNSGNENRLSFLPVKRISWNRSLSTRGRTSIAAVCAEIHPPQRKPGRKAKPPLPRGKNVEAPNYDKERAYFQEVDDFELMVESPSPNKCSTWTVGIQTDDIVISRLSSVLQKWLISKRLNDSYAPPASLSKILETPASRKESAIRFIYGSSTAKTPEKTSLRILSGLYSSQNKHIGFTNEDVSREQPLSEQGIGEICPMDEEGCEDIDVAVSKLSLTSRPSSVDGHTWDPFLALLAACGQSAPLTLLEILSKYCETQTIAKVGEGTFGEAFKVGENVCKIVPFDGDFRVNGELQKKSEELLEEVILSGTLNSLRAHEGHLLNSCSTFIQTMDMRVCQGHYDASLLKAWEDWDGKHGSENDHPKEFPEKQCYVVFVQEHGGKDLESFVLLNFDEARSLLSQVTLALAVSEAAYEFEHRDLHWGNILLSRKGLDTVQFTLEGNEIHVRTYGLLVSIIDFTLSRINTGEDILFLDLSSDPELFEGPKGDKQSDTYRKMRDVTGEFWEGSFPKTNVLWLQYLVDILLLKKSYERSSKDERELRSLKKRLHSYGSAREATSDVFFSDLIINL >Solyc11g020730.1.1.1 pep chromosome:SL3.0:11:11956848:11957009:1 gene:Solyc11g020730.1 transcript:Solyc11g020730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFASLFPAPSIPVKVLVKVLHELAYMTKFLPNRLFSSACAYVQSLGLAKMP >Solyc11g071700.2.1 pep chromosome:SL3.0:11:55394146:55420583:1 gene:Solyc11g071700.2 transcript:Solyc11g071700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIMTPAPTDEEEEMLVPRSDLVFEGPQPMEAQAETGNDVEKQPPEDPQTSRFTWKIDNFSRLNVKKLYSDPFVVGGYKWRILIFPKGNNVDYLSMYLDVADSANLPYGWSRYAQFNLSIVNQIHNKYSIRKGTSVRIQNSDDLADNRKPVSVVYNMTQHQFNARESDWGFTSFMPLGELYDPNKGYLVDDTCVVDAEVAVRKIVDYWSYDSKMETGFVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDMPSASIPLALQSLFYKLQYSDNSVATKELTKSFGWDTYDSFLQHDVQELNRVLCEKLEEKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCADVYASFDKYVEVERLEGDNKYHAEQYGLQDAKKGVLFMDFPPVLQLQLKRFEYDFSRDTMVKINDRYEFPLQLDLDRENGKYLSPEADGSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWYKFDDERVTKEDVKRALEEQYGGEEEQLPQTNPGFNNTPFKFTKYSNAYMLVYIRECDKEKIMCNVDEKDIAEHLRVSRDEDLRQQIGKDIHFDLVDYDKVRSFRIQKQTLFSIFKEEVAKEFGVPVQSQRFWLWAKRQNHTYRPNRPLTHLEEAQTVGQLREASSKVQNAELKLFLEVELGLDLRHIPPPDKTKDDIMLFFKIYDPEKEELRYAGRLFVKGTSNPTEILNKLNEMAGYAPDQEIELYEEIKFEPIVLCEPINKKFAFRTNQLEDGDIVCYQKSLSPESRQKLRYPDVPSFLEYVQNRQVVHFRYLEKLKEDDFCLELSKINTYDEVVERVAQRLGLDDPSKIRLTPHNCYSQQPKPQPIKYRGVDTLGDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVTYTIRLPKQSTVGDVINDLKTKVELSNPDAELRLLEVFYHKIYKIFPTSEKIENINDQYWTLRAEEIPEEEKNLGPNARLIHVYHFSKETAQNQMQIQNFGEPFLLVIHEGETLVQVKVRIQKKLQVPDEEFAKWKFAFLSLGRPEYLEDSDILFNRFQRKDVYGAWEQYLGLEHSDNAPKRINAAYQNRPTYEKPVKIYNYILYSDMDVKFDPNAASISQYLNSSDAEIQFLVIGVLGMLQTQWFEINHFTGALSGLLTLAYGLRISICPLDHSVWIEAVLEGVNLRNYVSDSPLAFSLGLSSQPDMFRLEHLDDDDNKYEVEECRGVLWVYSDGTILRLTKPSFEVPIHDDGSILWKDVVFQAMHDLQLRLYKPANPTSSAKLPVFYYIHGGGFCIGSRTWPNCQNYCFKLASELQAVVISPDYRLAPENRLPAAIEDGYAAVKWLRDQAVSDEPDTWLTDVADFSRVFISGDSAGGNIAHNLALRLKAGSAELDPVRVRGYVYLLPFFGGTIRTKFEAEGPKDAFLNIELIDRFWRLSIPIGSTTDHPLVNPFGPNSPNLEKIDLDPILVLVGGCDLLKDRAKDYANKLKNYGKNIEYVEFEGQQHGFFTINPNSESSKKLMLIIKKFIQDNSS >Solyc04g011767.1.1 pep chromosome:SL3.0:4:4220570:4221255:1 gene:Solyc04g011767.1 transcript:Solyc04g011767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRTKKERNDKDQEPVPLDESIINAQGQRQEQHEDYAQSQGVLVKDEQKNAQLGQVVKNEVENAKVEQVERVFVMESRVKITTYRNIGTTSQQAKRSRQRSPPKFRTGSRDLM >Solyc02g033100.2.1 pep chromosome:SL3.0:2:30311728:30315633:-1 gene:Solyc02g033100.2 transcript:Solyc02g033100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTWLGPLLKKTFFGACLVHDELEKNELSKYCITCDSYLCKHCICTNKHNDHDKLKIYRHVYKDVVLLEKMEKYIDCKLIQPYKCNKKLVIALNPLPHCGSGSLIVGDPTCLTCKRRLHDPKLFQFCSIACQVEAKWGKIVETKRKRKRKGIPHRAPLK >Solyc02g083030.1.1.1 pep chromosome:SL3.0:2:47152324:47153181:1 gene:Solyc02g083030.1 transcript:Solyc02g083030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSKSNKRSISLPSRSHPATQNIEEELDKLKTWEFSASPTAEAVYNGLIGFGEVHKCMGDLLNLPSTLQALSQCQNKKWVDEILDKSVRFLDICGTTRELVSQLEENVKDVQSSLRRKKGDLSINKYTTFRKKMKKEAKSLITALKRMDHEEVVDVMEIDDQLVSAVIRVLREVATIGILVFQMVLNFLSSPICKPISKWSLVSRLVNKGGDQDNVNEIESVDAALSSLSKCDPNEMEKIQFGLSKLERVEAHFECIENGLDNIFRCLIRSRSTLLNVVSCQ >Solyc09g066155.1.1 pep chromosome:SL3.0:9:64823189:64833276:-1 gene:Solyc09g066155.1 transcript:Solyc09g066155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRTSIKSRSKGTMELMNDVSEEWRLTPATLTKSLVSESSIYFMAANNAYQLDPGPLDPSVLTGQLTHRSRDIWIGNDNMILNTRKCDGKFWDLVNEHPIHPQVLDVIKLSGLYGVYRSHRPVIDRSLITALVERWRPETHTFHFRTGESTITLRDVEILYGLPVKVHNLKTLNHSSLKVYALNAHLRLQPRLLDLATQDMINEKARCYMFWMIAGLLLADTSGGLLKLMYLPMLEDITIVGSYSWGSATLAYLYRFLCKASQSSQNEIAEFLPLLQIWAWERVTVLTPQIVAKRDTRNIFPVGLPRGPHAARWYAHFSWTDTTKHVLRVFKDALDSMTEDQFIWEPYSSDIIESLPEYCRVGRDIWRARVPIFCWNHIDQYVWNAPILHGSLRLRSNEAARILDDNNEKEEVDFLKGGKYLLLSSLQWNSVRPPAPNPGTNARTQITSQVAERNFAGRKEFAHPPPPYNAQTKIALDVAMD >Solyc01g010055.1.1 pep chromosome:SL3.0:1:4620999:4621970:1 gene:Solyc01g010055.1 transcript:Solyc01g010055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAETSAAEPLLANYLSQQLCLALMATKQQIFIYFFLFHLLIQVNDLMESKGGKKSSSKSSLYEAPLGYSIEDVRPNGGIKKFRSAAYSNCARKPS >Solyc07g055235.1.1 pep chromosome:SL3.0:7:63466625:63467336:-1 gene:Solyc07g055235.1 transcript:Solyc07g055235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSKAAVAAAAKKQKLKGCSALCCSCRLSVSSSSEEAESSSSSRYPTISSLTHAMVQERSAQIANFGT >Solyc12g097000.2.1 pep chromosome:SL3.0:12:66665268:66666499:-1 gene:Solyc12g097000.2 transcript:Solyc12g097000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASSSKVCKYDIFLSFRGEDTRRTFVSHLYNALEQRGIHAFKDDERLEAGQSISAELLKAIEDARFAVVVFSKSYASSRRCLEELAHIIKCKMELEQVVIPVFYDVSPSNVRHQNSPFADSFFQHEVEYKDDMEKVQRWRGAFAEAGKLSGYHLLNFKDEAACIKKLVDDIFSKSLQIISAFPEKLSGYEISG >Solyc09g072877.1.1 pep chromosome:SL3.0:9:65979425:65981204:-1 gene:Solyc09g072877.1 transcript:Solyc09g072877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASTAILVFTRHNQHACFKETLLSPKVFPDFSIKAIFMYCFCLVELLNKIRSRRASSKKIIQHHKLKKDDKSRTILTDYAMHESFMRKRFERVCTEWLINLEVTDICQLQKSTNEPCLKLQHLAIAKCNE >Solyc07g056050.1.1.1 pep chromosome:SL3.0:7:64076797:64078776:1 gene:Solyc07g056050.1 transcript:Solyc07g056050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4CGD8] MASPLISTRRYWAVFIYVVLVSHASNGFYLPGSYMHTYSPNEEILVKVNSLTSIETELPFSYYSLPYCKPPGGVKNSAENLGELLMGDQIDNSPYRFRMNVNESIYLCTTPPLNEHEVKLLKQRTRDLYQVNMILDNLPALRYANQNGLKIQWTGFPVGYSPQNSNDDYIINHLKFRVLIHEYEGAGIQIIGTGEEGMGVISETDKSKTSGFEIVGFEVVPCSVKYEPEKMTKLHMYDNTSSISCPLELDRSQIIREQERVSFTYEVEFVKSDTRWPSRWDAYLKMDGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTKYEELDKEAQAQMNEELSGWKLVVGDVFREPNHSKLLCVMIGDGVQITGMAVVTIVFAAFGFMSPASRGMLLTGMILLYLFLGIAAGYVSVRAWRTIKGTSESWRSVAWSTACFFPGIVFVILTVLNFILWGSKSTGALPISLYFILISLWFCISVPLTLVGGYLGTRAEPIQYPVRTNQIPREIPARKYPSWLLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVTVCAEVSVVLTYMHLCVEDWMWWWKAFYASGSVSLYVFLYSINYLVFDLQSLSGPVSAILYLGYSLIMAVAIMLSTGTIGFLTSFYFVHYLFASVKID >Solyc02g086710.3.1 pep chromosome:SL3.0:2:49989860:49995512:1 gene:Solyc02g086710.3 transcript:Solyc02g086710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAFVYVILGGGVAAGYAAHEFVKRGVSHGELCIISEEPVAPYERPALSKGYLLPEDPARLPAFHCCVGSNEERLTPKWYKENGIELVLGTRVKSADVRRKTLLTATGETITYKILIVATGARALKLEEFGVSGSDAESVCYLRDLADANRLVNVMQSSGGGNAVVIGGGYIGMECAASLVIGKINVTMVFPEAHCMARLFTPKIASFYEEFYRSKGVKFVKGTVLTSFDFDSNDKMTAVNLRDGTKLPADMVIVGIGIRPNTSLFEGQLTLEKGGIKVNGQMQSSNSSVYAVGDVATFPVKIFGETRRLEHVDSARKSARHAVAAIMEPEKTTEFDYLPFFYSRVFTLSWQFYGDSTGDVVHFGDFLGNSFGAYWVNKGHVVGSFLEGGTKEEYEAIAKITRLKPAIEDLSDLETQGLGFALTLSDKPEPSEAVVVSSSSGSTFVTEKPLHVWHATAGVILAASIAAFAYWYGRRRRRW >Solyc10g083900.2.1 pep chromosome:SL3.0:10:63742154:63744180:-1 gene:Solyc10g083900.2 transcript:Solyc10g083900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEELRRGQWLEEEDERLSMMVAVFGERRWDALAKTSGLKRSGKSCRLRWLNYLRPNLKHGHITADEERLIIRLQKQFGNKWSKIAKQLPGRTDNEIKNYWRSHLRKKTLIYKQESSESNTSNSEQRSSILKRDTVLNSSSNSEDNFSEKGDCSSADSFAYSSNEVTLLDWIPSWSYEQTRMEHHMYFCSTNLCSCYPP >Solyc09g059335.1.1 pep chromosome:SL3.0:9:54503978:54516357:-1 gene:Solyc09g059335.1 transcript:Solyc09g059335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHTHHLPGSTEMEDPSENPPENPNQLISMEHMQQLFQMFQTLNKNSTNIESGTSQTVRVAEKLNFTNYTKWCKLMQIAIGGRGRLNHIIVNPISPDDPEYQQWAQKDLMVISWIIENIDGDLVNQFLDYKTARDLWKGIETLLSSGRDELQIYDLNTKATSMKQGIDNTLWKEIDRRMPNPMKCAEDITLLYQFLAGVNDSLDKEKRDILNLDPLPTIYAAYATIRREIARRGIMTGNSSLERGPSEIGSGLVTQHRSDSSFSRSDSSFRREEKTHLKCSHCGGTKHTKEGCFKLIGYRNEKENRPARLRQPLSPTGEQVPARLRQPVLPTGEQDAQTGRIIGRGIERGGLYYVNEMTQQGNALLAQGSPEYQIWMWHRRLGHPSLSYLKRLFPSFKDIDFVLDCEACGETTNDDLSWLIYPEMMDHDPPTQVSNTANINSETSVSAPSPQSTPMTTTEHPESTSVELPHRSTRGVPPKRYDPEYEDQWSRYPIERISNENLSNTAVAFTTSLYSTNIPRTVEEAFEDEKWRQYILDLLTETGMLGGKPADTPIVANHGLQVIEGAKATDREQYQKIVGKLIYLAHTRPDIAYAVGIVRRFMHLPQIHHMTAVMRILRYLEGTSSTGIYFGKNDSLDIIAYTDADWVGDRDERKSTSGYFTLVGGNLFTWRSKKQKVVALSSAEAEFRGIVKGITEILWIRKLLNELGFPQKTAYKRKIYLSKKKYIERVLERFNMKNAKPVSIPFAGHMKLSKKMCPTAREEKENMAKVPYSSVVGSLMYAMVCTRPDIAHAVGVVSRFLENPGKKHWEAVKWILRYLRESSDECLCFGASNPILKGYTDYDMAGDLDNRKSTTGYLFTFSGGAISWQSKLQKCVALSTTEAELEIKQDDEQMYHVSGLVEAYMNNMN >Solyc09g015250.1.1 pep chromosome:SL3.0:9:8285210:8286136:1 gene:Solyc09g015250.1 transcript:Solyc09g015250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSINHFLQYNLKSILCCVTYGTSQPHQWLLKFSLKVLINTIQQYVDPRHFALSTSCMFCRITDYWFVRTAFCTHLFHFPVEVLTFVIESLKCGVYTLFYLLLVGVITHICRSHVLIAPSLIEGVRTSLPTSFHVNWQTLRSLSGENTRFGQYFILVLYNSHV >Solyc04g011920.2.1 pep chromosome:SL3.0:4:4302187:4303912:-1 gene:Solyc04g011920.2 transcript:Solyc04g011920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGDFGWKWPFFGEFPSLFYHINTIHQSLTILFSRIGATAFVKGPCFANMDILGTVDPKNVHYIMSANFQNFQKGPGFKKIFDVLGDGIFNADFDLWKDQRKIAKTLITHHTFHKFLVKTSCDMVKNGLVPVLEFMAKEGIVFDLQDVFQRFTFDTTCILVTGFDPGSLSVDLNNIAFMKAMNDMGEVMVIRNLLPRCVWKLQKWLGIGPEKKLRDAREVIDRVIGKYITMKRDELRAKGKKLNKNEEEEGVDLLASYIANDDGETKTGLMFDDKFLRDTILNFMIAGRDTTSSGLTWFIWLVVTHPEIEKKIREELKSIISLGEEGEKPRLFKGGEFKNAIYLHAALCESLRLYPPVPFQLKTPQEPDVLPSGHRVHPKMRVMVILYAMGRMESVWGKDALEFKPERWISERGTVKHEPSYKFFSFNAGPRVCLGKEVAFTQMKAVAASIIHNYHVEMVKGHEVCPNVSIILYMKNGFKVRIRNR >Solyc03g113580.2.1 pep chromosome:SL3.0:3:65148415:65151274:-1 gene:Solyc03g113580.2 transcript:Solyc03g113580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVTFLLVMLVVLPFPSHSADPDPLQDFCVGILNDTSASINGFPCKPTSQVTSDDFFFDGLTKEGNTDNVFGFTATVGNVLAFPGLNTLGLSMNRVDFAPGGLNPPHSHPRATETGVVIKGKLYVGFLTTNNVLHAKVLTVGEMFVVPRGLVHFQMNVGKEKAMTITAFNSHLPGSVVLPTTLFASMPPIPNNVLTKAFQVEASVIDDIKAKFVLPLPSDSADPDPLQDFCVAILNDTSTSLNGFPCKPASQVTSNDFFFDGLTIEGNTKNAFGFSATVGNVLSFPGLNTQGLSMNRVDFAPGGLNPPHSHPRATESGVVIKGKIYVGFVTTKNVLYSKVLTAGEMFVVPRGLVHFQMNVGKEKAMTITAFNSHLPGAVVLPTTLFTSKPSIPDEVLTKAFKIDATVIDDIKAKLGAINY >Solyc09g064590.3.1 pep chromosome:SL3.0:9:62132266:62152926:1 gene:Solyc09g064590.3 transcript:Solyc09g064590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESETVVAQTSSVTDYMSAAYSSINPDDAGAHASSDAGNAGDLATSCPNGPGESAATNVEAGNTYSTDEVSTQQEAATAMAYDVSQDLAALANAPAGSSQVADYESSANGNTAEARDIAAAGIAENGIAADVHGSSNMHQPEDGLALSPEEERLWSIVRTNSLDFNAWTALIEETEKMSEGNILKIRKAYDAFLAEFPLCYGYWKKFADHEARLGSGDKVVEVYERAVQGVTYSVDMWLHYCVFAISTYGDPDTIRRAVYSPVVLHDILLLLPSHMRCINKHIAAEKISSVRLRCPLCTFHGHCKIVSRKVLLFERGLVYVGTDYLSFPLWDKYLEYEYTQQAWSNVAAIYTQILQNPNQQLDRYFEGFKELVASRPLTELRTPEEAAAAAAVEAGDEQIEGEDNPGSEPSKPVSASLKDAEELEKYITIREEMYKKAKEFDSKIIGFETSIRRPYFHVRPLNVAELENWHNYLDFIEGGDDFNKVVKLYERCLIACANYPEYWIRYVSCMEISGSLDLADNALARATQVFVKRQPEIHLFAAQLREQRGDITGARAAYQLVHAEISPGLVEAIIKHANMERRLGNLDDACSIYEQAIAIEKGKEHSQCLPLLFAQYSRFCYLVSGKAEKAREILDQAVENVQLSKPLLEALIHLESIQSLPKRVDLLDSLVDKFLVPSPENPNVASVDEREELSSIFLEFLDLFGDAVSIKKADDRHAKLFLRHRTSSDSKKRHAEDYIVSEKTKLAKSAVAATNPSAVGAYPGAQNQWPAGYGAQGQTWPQAAQAQSQQWNPGYAQQAAYGAYGSYGTGYAPPQAPAASVPSSGGYGAYPSTFPAQAFPQQNYAQPAGAAAGAATAALTPAPQATTVPPTAYYGSYY >Solyc08g041661.1.1 pep chromosome:SL3.0:8:26588562:26589057:-1 gene:Solyc08g041661.1 transcript:Solyc08g041661.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFISSVLDPRNKLYYVPFAIVDMLGKEVDEKLCSEVKKYMNKLFEYNVKKSPKSSLHVPSSPTSSDNSSSISSVSGCGNSVNREIMRTKQQFEKHKRSNKPELERYHAEDIETYSDDFDIFMWWKFNELRFPILAEMVRDVLPIPISSVASECAFNT >Solyc09g042660.3.1 pep chromosome:SL3.0:9:24957509:24960214:-1 gene:Solyc09g042660.3 transcript:Solyc09g042660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRSKGSSILMERYELGRLLGQGTYAKVHHARDVKTSMNVAIKIIDKEKIVKVGMIDQIKCEISAMKLVRYSNIVQLYEVMASKKKIYCVMEYVKGGELYNKVSKGKLKEDVARKLFQQLISAIDFCHSRGVYHRDLKPENLLLDENGNLKISDFGLSALADSKCQDGLLHTKCGTPAYVAPEVISKRGYDGAKADIWSCGVILYVLLAGYLPFQDSKLTEMYRKIGKAEFKCPNWFPPDARRLISKILNPNPSTRISISKIMENSWFKKGLQLKPIIADADSKPEEAAIDDAVFGIGESTDSITEPNISFSTGFDLSAFFEERDKKREVIFTSKQPAKTIISKLEDVARRLKLKVMKKDGGLLKLEGSKEGRKGVLSIDAEIFEVTPNFHFVEMKKSNGDTIEYKKTMMTDVRPALEDTVWTWQGDEPRPQQLAEEEILQTYQGYSFDNNSPQKNIESTP >Solyc01g106880.3.1 pep chromosome:SL3.0:1:94489628:94495699:1 gene:Solyc01g106880.3 transcript:Solyc01g106880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASVSSPWLVNLLSRSRQTTNSLRAFSSSSSSNQSRGGLPRFYSDKLPPSKDGVVRVKGDEFWHMTRVLRLRIHDRVELFDGKGGLVEGCIQNIDQNGLDIVALENPKSVSPHNTQWHVYAAFGTLKGGRADWLVEKCTELGACSVTPLLTDRSPSISENRVDRLQRVNLAAAKQCQRLHEMVLNPPIKIGGLLPLVKNSKLSFIATAEAKPVFSALSSIKKESTGLMIIGPEGDFTERELNMILEAGATSVGLGPHRLRVETATVALLSALMLWSDNQELLKVYVHMLRGPQESGKSIIKGIQKWKDKDNDESFAFLCLASPSLHLGKASVSSFTKYF >Solyc07g008950.3.1 pep chromosome:SL3.0:7:3973209:3983028:-1 gene:Solyc07g008950.3 transcript:Solyc07g008950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSTAGNGDNLSPASPKLPIPGKRNILITSALPYVNNVPHLGNIIGSVLSADVFARYCRLRDYNIIYMCGTDEYGTATETKALEENCTPKQICDKYHAIHREVYKWFNISFDHFGRTSTPQQTEVCQAIFKKLWENNWLSENTMQQPYCETCKKFLADRLVEGNCPTPGCNYDSARGDQCEKCGKLLNPTELKDPRCKVCRNTPCIRDTDHLFLELPLLKDELEAYVNDLSVAGGWSQNAIHTTHAWLREGLKPRCITRDLKWGVPVPHEKYKDKVFYVWFDAPIGYVSITSCYTTEWEKWWKNPENVELYQFMGKDNVPFHTVIFPSTLLGTRENWTLMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKETNIPVEVWRYYLLTNRPEVSDTLFSWVDLQAKLNSELLNNLGNFVNRVLSFIAKDPGYGSIIPNPEGAESHPLTKALGEKVGNYVEEYIEAMEKGLKIAMSISGEGNGYLQESQFWRLYKEDRPSCSIVMSTASGLVYLLACLLEPFMPSFSREVLKQLNFPPETKPSLSDDRGDIEKSKRPWNILPAGHKIGIPTPLFKELKDEEVEFYREKFAGSQADRNLKAETEARKITDQLHKAKISDANKKKERATKSSEAKAKGSASVEAEISISRLDIRVGLITRAQKHPDADSLYVEEIDVGEAQPRTVVSGLVKYIPLEEMQNRKVCVLCNLKPASMRGIKSQAMVLAASNSDHTKVELVEPPKDAAIGERVMFPGLDGKADDVLNPKKKVWETLQVDLHTNKELVACYKDLPLTTSVGVCKVTSISEGSIR >Solyc11g017365.1.1 pep chromosome:SL3.0:11:8236609:8244844:-1 gene:Solyc11g017365.1 transcript:Solyc11g017365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFINDQLPLKFESSHEYPVYLFGGKRGVPDSKRRVLHAFLQAACVNLRCTIALQFSQGTKGVAASSFGGLAANFPVSAKTVGHPTKSKKGL >Solyc10g049390.1.1 pep chromosome:SL3.0:10:45509419:45510997:-1 gene:Solyc10g049390.1 transcript:Solyc10g049390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVSRKLYWTASTSFCDFNVRKIISLDVAKETCVSLELTICGEDNLNIKLGVVGRKLQHTVDVVGYDPIEIYAESIVNPLRFLEVEVDSVLASECMDRNDESLP >Solyc09g055920.3.1 pep chromosome:SL3.0:9:46403941:46409182:-1 gene:Solyc09g055920.3 transcript:Solyc09g055920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQRRLFCSMISNTVVQSNPGHSQSKWNVKQVTKSNFSAALEEIRNCISDSDFIAISLKNTGAYSAPWQRIMPIDTARTAYLKAKYAAERFQVLQFAVCPFSIKGSKLIVHPYNFHLFPRDELKIGMPSYSFSCQSSYLTSMSQEGFDFNACIYDGISYLSKSQESAAVDRIGNASPISCTVQTPSGYTVADSVFAQRIKSRVKNWITACKDTNKKPEDALISSLRKIVSGDEVYGSRPCLSIDVCSERQVRLVMETLKDFVDVIPLLNPAMGGTIRPVRVVLTSSEEDRILLQKQLQNEEEEHNKRLRGFREVIDLISASQKPVVAHNSLNDFTFIHSKFLAPLPSTLEEFRSSLCLVFPNVLDVNHLMNEINPQTKVNNLPACISYLKQRFFAPIDIEIPNQAEVNEVKTLGHDAVKISQLFAKLCSILKIAPRTPEAAEGHFPDAIECYRNSYNPCFTSSHGSADEDVSVRTDNTRKISCKNLVFLWGFRGMTSAGKLKSLLCGSHDVFHDFDVRMVDKSCAVVAFRNPGFAEVLFQLMDSGGICTKTLKEMLADGLTAAGYDTYQKVCELGLWEADLASSMEKALGEIESFSEAQSKELSGMCWNNDEMINLDDL >Solyc10g008120.3.1 pep chromosome:SL3.0:10:2255278:2257213:1 gene:Solyc10g008120.3 transcript:Solyc10g008120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSAIQLNIPDIIHKHGKPMTLDELANALSINHSKITHLRRLMRILVHSGFFLKSVSGSGSESGSGEGGYVLAPPALLLLKDEPLTVTPFLLAMLDPILVKPWHHVSEWFTSDEPTAFEVAHGRTFWDYAGHEPRLNHFFNDAMASDAKLVMSVVMKYSKDVFEGLNSIVDVGGGTGTVAKTIAKTFPNLQCTVFDLPHVVEGLEGSDNLTYVGGDFFVSIPHAEALLLKWILHDWSDEESVKILKKCKEAIPSKEKGGKVIIIDMMVDNKIGDDESIETQIFFDMLMMVLVTGRERSERGWAKIFSEAGFSDYKVTPILGLRSLIEVYP >Solyc05g050820.3.1 pep chromosome:SL3.0:5:61847980:61850196:1 gene:Solyc05g050820.3 transcript:Solyc05g050820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTSAKAQLMRFPGEVITELDRCPQCNGNKITQEKKVLNVNVEKGMLHGQKIVFNAEADEAPDTITGNVIFVLQQKSHSKFRRNSDDLYMEHNISLTEALCGFQFVLTHLDGRQLQIKSSPGEVIKPDQYKAINDEGMPRYGRPFIKGQLYIHFNVIFPESGFLSLDKCRALEAILPTRLGKRSSGIELEKCEETTMHDVNIDEEMRRNEQRHHRQEAYDTDDEINANLHSLGCNQQ >Solyc07g020985.1.1 pep chromosome:SL3.0:7:15292828:15293484:-1 gene:Solyc07g020985.1 transcript:Solyc07g020985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNKVEVIDLGRSVLTGKLGTHLVCLRRRLSYTLHKASKVRQELSLVVSLREKISLFDACWDQYVAMIWEGITSYCPVETKHKLMRYVAIIFEKCLGHLDSVKVIIGCQLLEEIWKCSAFLSVFFEVFYLVHSIVSSTKVGYIKLNIGFWTFKLGYNVILVCVLEL >Solyc07g006030.3.1 pep chromosome:SL3.0:7:865886:867277:-1 gene:Solyc07g006030.3 transcript:Solyc07g006030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKALTSSSSIADRMIRFEINTSAWEANHLLKSSSRLIVFSSHTLAITRVGNPIPRRQSIITRDATQCASAAATTNT >Solyc06g062480.3.1 pep chromosome:SL3.0:6:39534027:39543191:1 gene:Solyc06g062480.3 transcript:Solyc06g062480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEKEEERHMDPLFPRLHINDTDKGGPRAPPRNKMALCEQSSSRSPQSFTSSSTPATMQMLPNSGNSISAASSTLVGCNKRNYFLHNSSESSHLVEIPPFSSGGINFTMRHPDVPPVKSLNPQVLCLKGNLHATSQLNPIQQHSLSYYNSNPSANKLGRENDFCGPNFVTSGKPLYHGNIHKSADKEKMVITNSKPSLKFQSVFEKQYKDTDRMQLNCREHSGSQAGDQAKYQKREHCVKLPAPHLSTIETTVVHETPTPGHSKLNISKSFVSSAPENRCGLVGDLNRCSDSNSESDEDSWILHKRKAAEDVTNAKHEDASTKRCASIMEGPSCSFLPHGNSHQSPKRAKSSSDCPEDQISGAPDVAGEERSEGISEASSLNSRLVEKMSSDNVIALIGQEIFWKTRRTIAHQQRIFAIQLFELHRLTQVQKMIARSPDIFFKDNFYLHQPSIKFSSLKNLACDDVLEPPVVEQKISTKPNNFELTTDNVYLPLRKDNDKKNIPQQSSQKLNVGTPTSRSFLSDPKLAPRSFQPPPGYQYLVPIRSPSEGLIYKPYTGPCPPPGGIIAPAYGSCRPVTLSPPIGGDFANVPYSVPTSHKQGAGIFPGPALFDPSCIQPYSMPVIKPSASSSAIEQMNPLSRIRSSEKENSPLMHEVNLVRPHQKSCNISCQKSAVMSDCDRIIQADRGSDMQGSTASSPPERVQKGALSLFPVTPTAKGSDQPVQDNDTEQQIQVIKVVPHNPKSASESAARIFRSIQEERKMNY >Solyc05g045740.1.1.1 pep chromosome:SL3.0:5:58419417:58419773:1 gene:Solyc05g045740.1 transcript:Solyc05g045740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAPLAARVSNSKGMENKRRICVHYLWSEVTILNLLFPISPFYAFRYYRLARLGPTLDFFENYQGFCPKKYPFFGKEVMQGRSLSFSLVLESYQSQLLRLSSVLHQMMSPFENFLFY >Solyc02g062090.1.1 pep chromosome:SL3.0:2:34213269:34214059:1 gene:Solyc02g062090.1 transcript:Solyc02g062090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMMNFDEEQLLRYLLKFVTGMLVECDQIRFVDLYGKEKPSQLFETTTTDRHHVFTQLKKKKGNGKNFNRGIVGGGGSWKGIDNDLGQISAAANVIPNGNITQVSLVTEESKLRQGSVHQETPPDYDIATYYKQLDAHAVSVLETMVPYIPEPLQEDEVDSIPLFSEDFYIGYIDLWLKNWQ >Solyc09g058975.1.1 pep chromosome:SL3.0:9:52887776:52888234:-1 gene:Solyc09g058975.1 transcript:Solyc09g058975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINFLHVRCNVHILNLILKEGLSEHNKSISRIRNVGEFIKFFCARTTYIMSYVETIELHTRGLLSFHVETRWNFTYLILNTIVKFVKIFSKMYIDDHKDLLLNHFRIFECFTYYFEYFVS >Solyc01g094020.3.1.1 pep chromosome:SL3.0:1:85465954:85468008:-1 gene:Solyc01g094020.3 transcript:Solyc01g094020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPENPFSPPQTPLPVFPTTYLITPPPPPSSNHHHSHSPLIPPFIAAAFALTFFILAAIIYRKVSRNRTVPADLKSPPPPHKFTYSVLRRATGSFTAANRLGQGGFGSVYKGVLPSGQEVAVKLMDASGSLQGEREFHNELTLASRIDTTSCNYLVPILGFSSDEHSYKHHCSSRRRRLVLVYEYMHNGSLQDALLDRKCPELMQWRKRFDIISSIAKGVEYLHYSCDPPIVHGDIKPSNILLDYHFDAKIADFGLAQSLIKDDQVVESFIEDEERVEKGTKREVFENVEENGSIVEGNESVVTDEVVINVDQLPESCCVRVLDGEVGGVSPEVGVPSEGIEKTSVSEGFFDGVSVDSGVLKGIGRATSQSGRDWWWKQDNVNGGSDSGRIKDYVMEWIGSEIRKERPKKDWIATTSAAEDITKGGQQKQRKKLEWWASLDEERMRREKKIRKPREWWKEEFCEELAKKKKKRDLKSGEMWWQRDEEVAPERKRRKSKGSRSSIDWWLDSFSGEFRIGRRSSQDFASGDIPKSGGVSSTPSMRGTVCYIAPEYGGGGQLSEKCDVYSFGVLLLVLVSGRRPLQVTASPMSEFERANLVSWARQLAHSGKLLDLVDSNIQLLDREQALLCITIALLCLQRSPNKRPTMKEIVGMLCGNSEPPHLPFEFSPSPPSNFPFKSRKKAR >Solyc08g008360.2.1 pep chromosome:SL3.0:8:2779599:2781313:-1 gene:Solyc08g008360.2 transcript:Solyc08g008360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILELCASMGNCNCSMKAVRDSRKSPDFNFARVMTNSGEILNLKGPKLVRQVLNEFPGYNIFSSNCLSSPLHNQELLLDGQFYYLQPVIQQKTEPILVEEEKTEQIRVSSSLTKGSAMEVLPAQREGVWRVKLIINPQQLEEIFSEEGNTEALIEQMRIAANTSSIAKHTRSTSCGVNWKSTLPSVYKLPNEKQNKILALDQSSTSSPR >Solyc07g004995.1.1 pep chromosome:SL3.0:7:11113:11254:1 gene:Solyc07g004995.1 transcript:Solyc07g004995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSVKTLSMHTVGHDGLSDPFASTPFFG >Solyc04g077295.1.1 pep chromosome:SL3.0:4:62344651:62351665:1 gene:Solyc04g077295.1 transcript:Solyc04g077295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATFPRICSRQDLPHNVFSFGILVLEIVKCKRNRRFFNQDQSSNLLVHVAMVKLLFHPVEPLSWDSSAPAVPQIDILGYGTSKFFLMSKQLYGLPIEKTRSTIHLQLF >Solyc05g052290.2.1 pep chromosome:SL3.0:5:63422111:63423068:1 gene:Solyc05g052290.2 transcript:Solyc05g052290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFTLALRRASEMKEKVNYLTSCLTAFKGQVHKDILVKPGNDGPSIAAHKSLLSANSVIFKFMLDSDTCKAPPGHTITLAELSYLELYALLEFLYRGELPKEKLEKHVYTLSIAADKYEIKLLQKYCEHHMLGSLNTSNALKFLEISETYKSYSHLKAEAMIFIIKNVEGIVFTPKFDAFAVENPHLAVQITRASVVTNKNKKHKV >Solyc04g008380.3.1.1 pep chromosome:SL3.0:4:2017589:2018434:-1 gene:Solyc04g008380.3 transcript:Solyc04g008380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-binding transcriptional activator [Source:UniProtKB/TrEMBL;Acc:H1ZN86] MDDDGLNMRNWGYYEPSLKGHLGLQLMSSMVDRDAKPYLTRRENPIMLGANGVFHSRDSIIPEAPLSHIDYVRDSWINHRDKFLHMFPGSPYTSVLPDASASTPMQMVQQPDTTKDVGVNVEEPSVKKESGPSKRKTGGATPKAPKAKKSKKVSSAPKENGNPSQRAKPAKKSMDIVLNGIDMDISVIPIPVCSCTGSPQQCYRWGCGGWQSACCTTSISMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRTHWAKHGTNKFVTIR >Solyc11g008260.2.1 pep chromosome:SL3.0:11:2487205:2490117:1 gene:Solyc11g008260.2 transcript:Solyc11g008260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGGGLTYALSVTILTCAFSLLPFHHTSAAAAVPEEFKIRQVTDGRNPTTTAHGGSNHHLLGTPAEHRFKSFIQEYNKEYSTREEYVHRLGVFVKNLLRAAEHQALDPTAVHGVTQFSDLTSEEFERMYMGVKGGDRTSLLREFGSHAPPMEVKDLPNSFDWREKGAVTDVKMQGSCGSCWAFSTTGSIEGANFIATGKLLNLSEQQLVDCDNTCDKKDRKACDSGCRGGLMTNAYKYLIEAGGIEEEDSYPYTGKRGECKFSPDKVAVKVSNFTNIPIDEQQIAAYLVNHGPLAVGLNAVFMQTYIGGVSCPLICGKRWVNHGVLLVGYGSKGFSILRLSNQPYWIIKNSWGKRWGENGYYKLCRGHGMCGMNTMVSAVMTQTS >Solyc11g005860.2.1 pep chromosome:SL3.0:11:687087:692264:1 gene:Solyc11g005860.2 transcript:Solyc11g005860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGQLMLRETQIIDHNNKEKKYSNYNSSATSSIASHEDLRSRIESFHMLEKANISKTESTEKKLSWLRSQIIGENVDFESPFGKRRLTYADHTASGRSLHYIENYIINNVLPFYGNSHTSDSHVGYQTTKLVHEAAAYVKKCLGGGDEDAIIFCGSGSTAAIKRLQEVMGISIPSILREKVLTKCYRNEMKERWVVFVGPYEHHSNILSWRQSLAEVVEIGLNENGLVDMEALRDQLELYKSTNRPLLGSFSACSNVTGTYSDTRAIARLLHRNGAFACFDFAASGPYAKIEMRSGEMDGYDAVFISPHKFLGGPGTPGILVMNKALYRLRTLPPSTCGGGTVDFVNPYNEKDTLYVENIEEREDAGTPPIIQKVRTALAFWVKEFISHKIIERMEHTYIELALQRLLPNPNIWILGNVTAKRQAVLSFLIYTTTYSSSSDGSGEDNEFYLWRETGNKKDKPLHGPFVAKLLNDLFGIQARGGCACAGPYGHILLKVDEPRSLAFKDAIEMGYSGVKPGWTRVSFPYYMSKEEFEFILAALEFIAIYGQRFLPMYHFNWKSGAWTFKKKAFKEALIGRDHHCNFCGSPMKGLNLGCHDTEENNHVESPAKEGLICKYVKYLEIAKRIASLLPKFPAQRSIPEEISPNLVPFRV >Solyc06g073660.3.1 pep chromosome:SL3.0:6:45589020:45591921:1 gene:Solyc06g073660.3 transcript:Solyc06g073660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTISIFTTVLILSLFSSLIPSTKAQDSPPAPEAPAPSPGVDCFRVLVNMSDCLAFVERGSNTTTPGKGCCPEIAGLLDSNPICLCHMLGRAHSGAKIGFNIDVDKALKLPSACSLEFPPSTTCSDLGIPVGAPLPSEESPAPSPGGFATSPTSDNINAASIIVFYKMQFLIGMAIMFFTSFF >Solyc12g062453.1.1 pep chromosome:SL3.0:12:33059552:33060745:-1 gene:Solyc12g062453.1 transcript:Solyc12g062453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSHLHIRCMTHIINLIFQDGTKKSGKKFQECCEDENLSKKSVCLEVSTRWNSTYMILSKEGAIVEYAYRDIGLALHLMFVDIRLRGIKRITKFVEIFFILLWRYQDHYIWYLLNQLISSEDQVLAKIAENMKEKFDKYWGDTEKMNKMIFIPCKHKSKKGGQSSKSKLVKCLDEETEIEKLDFDVLLWWKVNSPIFPFLSEMARDVLAIPVSSVASMCAFSNGGIILDSFWSSLTPKLCVFKIGFGVSHNTQVLRKIYIFLSNLKK >Solyc10g079890.2.1 pep chromosome:SL3.0:10:61482197:61485334:-1 gene:Solyc10g079890.2 transcript:Solyc10g079890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPHNVPGYGYGQPPSSQPYSSTPYGAPPPSSASPYGAPPPSSASPYGASPSPYGAPPSPYGAPPPQSHSPYAPVPSPYGAGAPSYGDPHKPPKENKPQSSSPYGGAGAGYPAPPPSAPYGDPNKPPKDSHSQPSAPYGGYHAPPPAGPYGASSPFASLVPSAFPPGTDPNIVACFQLADQDGSGLIDDKELQKALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFTSVFYSLQEWRGIFERFDRDRSGKIDSSELRDALLSLGYAVSPSILELLVSKFDKTGGKSRAIEYDNFIECCLTVKGLTEKFKEKDTSYSGSATFTYESFMLTVLPFLIA >Solyc03g078610.3.1 pep chromosome:SL3.0:3:52656462:52664350:-1 gene:Solyc03g078610.3 transcript:Solyc03g078610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRATPVRSSHTTTADLLTWSEVPPSSNSSSVAASRSASRSHQPSDGISKAVFGGQLTDEEADSLNKRKPCSGYKLREISGSNIFSDHDEDGKSESGTDYGNFTNRTSVRIVQQAANGISQISFSTEENVSPKKPITLTEVAKQRELSGNLEIESDIKVKKLLSDAKTKELSGNDIFGPPEEVPPRSLAAARSMESKESKDMGEPAPRTVRTSVRVSNPAGGQSNILFGDEPVVKTTKKIHNQKFAELTGNDIFKGDTPPGSAEKTLSSAKLKEMSGNDIFSDGKIESRDHFGGVRKPPGGESTIRLV >Solyc09g061545.1.1 pep chromosome:SL3.0:9:59831954:59832645:1 gene:Solyc09g061545.1 transcript:Solyc09g061545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFNLGGSIISWKTTLQPTVTLSTTEAEYMALTKDAKEGIWLKG >Solyc09g065430.3.1 pep chromosome:SL3.0:9:63763799:63765302:-1 gene:Solyc09g065430.3 transcript:Solyc09g065430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVMNILSLIIVIIALFWSKGEAQSNCMTTLVGLAPCMNYVTGNSSTPSSTCCTALSSVVQSNPQCLCSLVNGGGSGLGIAINQTLALALPAACNVQTPPLSRCNAANGPSASVPASSPSGSPAPTGSSDETPEIPATPSGSGSTGSKTDTSRNGSSNAGSSSNIKISFTLMGFVLFIVSSVLAYNQTMFFLYLSVGGWTPYKLTVDYGESNSHKQCKNSDD >Solyc04g010200.1.1.1 pep chromosome:SL3.0:4:3520739:3522895:1 gene:Solyc04g010200.1 transcript:Solyc04g010200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIVAQNVSPVRDCVPLYDRRQTVEMSSPTFAQLLNNVGDDVTGDETGGSVHQILTMELPLQQSIPFVLSFSNLTYSVRVRRKNIFPAMSGRRNRTDEPRCTRTKVLLNDISGEARDGELLAVLGASGSGKSTLIDALANRISKDSLKGEMKLNGEPLHSKLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRTLSKSKKKSRVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMMVKVLQRIAQSGSIVIMSIHQPSYRILGLLDRLIFLSRGQTVYSGSPFNLPQFFADFGHPIPENENKTEFALDLIRELEGSPNGTSSLVEFNRKWKNSSTTSTIYDLSLKEAISASISRGKLVSGAANPTSMVPTFANPIWIEMAVLSNRSFTNSWRMPEIFAVRFGAVMVTGFILATLFWRLDDSPRGVRERIGFFAFAMSTTYYTCAEALPVFIHERFIFMRETAYNAYRRSSYCLSHALVSIPSLIFLSLSFAALTFWAVGLDGGASSFLFYLSVVLASFWAGNSFVTFLSCVIPHVMIGYVIVVAIFAYYLLFSGFFLNRDRIPSYWIWFHYISLVKYPYEAVLQNEFKDPMKCFVRGIQLFDNSPLGDVPISLKEKLLDSISNTLNVRITSSTCVVTGADILVQQGITQLNKWNCLWVTIAWGFFFRILFYFTLLLGSKNKRR >Solyc09g059420.1.1.1 pep chromosome:SL3.0:9:54641873:54642271:-1 gene:Solyc09g059420.1 transcript:Solyc09g059420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADFELLLTAEVATVEADSELLSTTEVAAVEADSELLSTGVVVAVKGGVELLSTAEVVAVEAGAELLSTTDVVAVEVGAELLLTTDVVAVEADSELLLIAEVVAEAVLLSTSVVITVEVDAQLLLLTDLVV >Solyc03g046330.1.1 pep chromosome:SL3.0:3:12309941:12310539:1 gene:Solyc03g046330.1 transcript:Solyc03g046330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKNFLNVGLGLLLSEGVVISREPYVPKVKRDEAVPIHTTVVDFAFQVVPLLYPVVLIKLSRYCDPLISTLCLNGFPENGGMVALKEDMAEIISKENVGYLRAHGVMVIRVQCPSNIDASISLESLSIHVSLSDSPRGLKEFSSLSIDMEYYGTTTAGHDEGGKLGGKV >Solyc08g044375.1.1 pep chromosome:SL3.0:8:21436835:21457053:-1 gene:Solyc08g044375.1 transcript:Solyc08g044375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQDEKETQIQVIMHGTDVAHYANEFVPFQTYLLLGAFVSESIKEYGIPLHQFSWTIDKGTIVEPIDKVIPPEPPLLPPTLLKTTSFDSFDYQTIGFEVDILALVINGSPPSYASNGNRIQEFIIIDYESDEIKSVLNTYTTKSTTAIGSLLFVPFEEHIVPIVNIQQQSLEQVFHVQAQLLISNETQKFCVLVFSDYKKVFPRIWTQRTFYCTTCRRPTQLTPRCQFEVTIKDDTGSTTTMISDKIGEELLSLTVAEIQDIRCIKKQLLFAKNKDASSAKLFIMSITEKDIASNLPLPINAPRTPESSKRKLKQIMIKED >Solyc01g019100.1.1.1 pep chromosome:SL3.0:1:27469341:27469556:-1 gene:Solyc01g019100.1 transcript:Solyc01g019100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIVEIKYIFLIQKTSSHINYSSYKSPGKKNFLKFLLVSFFVPPLCTINQESRNQGTFCCVFIVVDKIFL >Solyc09g097790.1.1.1 pep chromosome:SL3.0:9:72300121:72300363:1 gene:Solyc09g097790.1 transcript:Solyc09g097790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPNMADSLAAVVVVVVVDNRWSWWWRIPCGGGRRGGYHRYGCCRRDYYGCYRCCSYKGEAMGKEPHNRLIMWSHILELL >Solyc09g092510.3.1 pep chromosome:SL3.0:9:72048617:72052577:1 gene:Solyc09g092510.3 transcript:Solyc09g092510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPVRHGRKRKQKGSRNSSIMEGQFTRSKSQIYLHCNRSGRFRADSTRFKRSEHQLRQLDPPVKKLKRVLVQNTEVSSDLCEMSRTPIKDLRARRVFSPAATVVIENDEGLKKSESTVIEEKNGVGFELNGDKVEECGVKKCDETVGIESNGNMGVTGQNSIDLSSGNVSIPKTNSVVSSNLRRKVFIAPSSYSYRRLLPYLMDALRDYSDVSEIETRDTSSKLNNPTSSYLKPHLRSVASNGSSVDKLVGANSDVSKTLGSVEGQKIEVNVSCNAQDLNDVPDVLSQAGVEPRVSFNPEGLDPEALEELVQTTPPDADIFLKAKASNLGASIDHNVQHMEKKTAGHPSDNRNGYVAKKTTLTPRKNGSVLRNKSALNPCSRLTKVFKAAGSVSYRRLLPFLMDAAKNDPGGASSENGLPKFRMDLERNQPLISASKEVLRNKEYSPKKDEIKEQETKLLEPNCTSANDVGDYVNTSIAVEISSSAAQLFNEMPSSVCPDDDANSQTQLNVEIARKSETECADTSYTEKPEPDCLNNVSTGANFSGVLLSSNVNPETSTGEYNVSIPNLLPFSLESLLCEYGVEDTKDEPLSPEGDHMTLVVDCNEENRNCGDLTGTVGSHANSSESLKKELFLKTPIYNDSSSDVLLVDRNGDCGGLDTVALIQASSSTEPSDLSGYGNDGPSKISEAMQEISQSEPIGCPIDCIGGDDNINKNGCLEPAICLQKSTHTESSYDLVSHPDFLNKGILKRNPRGCRGLCNCLNCASFRLHAERAFEFSRNQMQDTEEVSLGLLKELADMRIFLEKHLSTENNLAPIPLTQLEVEEACAKALEAEQRAKERLSQMNSELTYHCRVPPLYRPRVTFATCIEEKTVAKIESSSSKPENEDIKAGTKRSRKKHHLA >Solyc08g074910.3.1 pep chromosome:SL3.0:8:59176119:59179386:1 gene:Solyc08g074910.3 transcript:Solyc08g074910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFTCLYSNDIVHEALFDIQVLTSKCPLLESLRLTRCAEFDILAIDAANLKCLTFLEHQSPFASRMPRYLEASLCGSTQVTSFGGSLTFLFQSYKVIPFTWHTWRSGTRRFINRGYVITSCAKLQEVTIECGVVGIAVEPVIYTIITSLINLVWCCKAASKCGDALYYWF >Solyc10g084770.2.1 pep chromosome:SL3.0:10:64314844:64318807:1 gene:Solyc10g084770.2 transcript:Solyc10g084770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSNQIKAETTFYTVSGLDSRNVSGNGTDISNSNSKRSSASIPPTPRSEGEILQSSNLRSFTFNELRSATRNFRPDSVVGEGGFGSVFKGWVDEHTLAASKPGTGIVIAVKKLNQEGWQGHREWLAEINYLGQLHHPNLVNLIGYCLEEDHRLLVYEFMPKGSMENHLFRRGSYYQPLSWSLRMKVALGAARGLAFLHNAETKVIYRDFKTSNILLDSVCEYHLKGSLLQFTLSRRLETWDYNAKLSDFGLARDGPVGDQSHVSTRVMGTYGYAAPEYLSTGLSPCYPIPFSSSQSDGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPMGEHSLVDWAKPYLTSKRRVFRVLDARLEGQYSLSHALKVAILSLQCISMDPKSRPTMDEVVTALEQLQQSKDVAKNDKKVRQVNQHSRSSFAFKKSCKSSTEETPAKSKYPRPSLSLLS >Solyc01g067090.3.1 pep chromosome:SL3.0:1:75243501:75249155:-1 gene:Solyc01g067090.3 transcript:Solyc01g067090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSFEVNGHHTDDVSADQSLSFESADMSGVVGEPEIPPRIGNQYQVEIPPLQGDCSSFNKMLANQEIGADISWKFMVGLPIPLVWVNQEVGSMKNEKLEDLVDSINAANDSAPSEPESTRLTNMHSETGNITIKKEPSDMILPSKVTLGESSNLSSENKLQEIRGQRYCLVPGNVLDFWTDTEKASLVLGLYIFEKNFVHVKSFVETKRTGDILSFYYGDFYGSPEYRRWSECRKVRSRRSVCGQKMFTGSRLQELMSRLLPCISEENQKALTEVSKAFGEGKILLEEYVFSLKAMIGVNKLIEAVGIGKGKYDLTCMTLEPSRSNHAVRSEVPVGKACSSLTTEEVIKFLTGDYRLSKARSSDLFWEAVWPRLLATGWLSEKPKHLNYAANPKNDLVFLMPGIKKFSRKLVKGNHYFDSFRDVLGKVAADPTLLEFKAEGETDETKLEQDDLPTRQRPCYLQPRTPNRYTDVMKFTVVDTSLSDGKPFKLRELTGLPVDISNKLSSGNKAEESEEESTDESDSVGTSVVNETEANHNNSSRIISNGEMHSDGKDYKISVSSQKFQEASNEDIPISDPTSSTIPVNDLKKTKNICEDKQPRKGVKSHSLKRLKENNADFVAPIAKRRRRLTACSRGSDMVPVKEQEMRHTSSSNDLSPNSIPIALSEDKVSSSNSSKSSPSQSAECASPDGHVLKLPVAEPKTRTMIDLNEPQVPPDSEYEVLVPALTEDQSGTMKSTDVSGELKTVTDSAKMEPQQPSLNSRRHSTRSRPPTTRVIEAVANGFLTVNTRPKSREGGSKRKLTSRSSRQTPSGTRVTDLSNSTGVAQMEEDKGDVSIGGDNNMFGKNQHPPGESGVTVAGP >Solyc02g079440.2.1 pep chromosome:SL3.0:2:44557797:44559562:-1 gene:Solyc02g079440.2 transcript:Solyc02g079440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKRKRKIMGNLQILSLLIFSFFLSKCYSKQEDFVQCLSKYSKTNVTHNIYTPKSPTYSSILEYAQKNPRWMNSSHPIFIVSPTKESQIKPVIRCAKKLGLQMKIKSGGHDYEGISFRSKTPFVMLDLSNLNKIKIDLNEGTVWVQAGATLGQLYYAIAKKSNVHGFAGGVCFSIGTGGIISGGGLGTLMRKFGLAADNVVDARVIDVNGKILNKNKMNEDLFWAIRGGGGASFGVILAWKLKLVPVPEKVTVFNVYKRLEGNHNLLTKWESTAHQLPDKLLIRVIIQNDGTENDKYVEVIFQSLYLGPVDELIPLLKEKFPEFDLDKKDCFQEPVVDCSNRPCIKKECRESSWIGSVLYFYGRRTNESLEVLLEKSIPTQKNYFKATSDFVKTPVPESGWEMVEKMFLEEERPQMIMEPLGGILDEISESEIPFPHRKGNLYNIQYLVNWGDNSESISSQKIRWMRKLYKKMEPYVAKSPRSAYLNYRDLDFGTNSEDYSYSKAKKWGEKYYSGNFERLAKVKSEVDPKNFFRYEQSIPPYHTDS >Solyc10g009590.3.1 pep chromosome:SL3.0:10:3695130:3702870:-1 gene:Solyc10g009590.3 transcript:Solyc10g009590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDGVPPPPPSKIEIHNPFYLGAHDRPGDFITPIRLKLDNFDSWSHAVKVALSSRRKFGFLDGTIVDAVSPATKEDWIVVHCMLVSWLMNTIDPEVKSMLSNYDNAKRLWDDLHERFCVVNGPRIHQLKSQINKCEQTKTMSVAIYYGKLKVLWDDLANLQPLINCNCGKCSCNVGKQHEKRREDDMLQQFLLGLYSEYYAQIRSNILAQDPLPSLNKAYQQVSQEEFVRGLARVQDDPSSAVGFAVRATTGQGRGSNDKHVTNKPVCSHCKKPGHLVADCYALQVCTHCKKRGHNVSRCYELNGYPEGYTPGDRGNKPTTTSHGRGVARANATAGSSPSPPLPPSNSSKSTTPSSSHGQVFSDEQWKAIDQMKALIGTGVRRDGLYYFSKPEVVSAVEATSDVELWHRRMGHPSEKVVKLLPPSNADYVGEIHEDFADLGVCDEDCGVEMHSCDQGGEGTSRNHGNHRNQPAASAQQPHPTQTVTQPNAGSNEQQLEDLQQSTENMGRGFRTKYPSVKLRDHVTHTVFASSPSLPASVSDHPSAGLLGAKPSGFPIEQNHKLGLASGDLLEDPESYRRLVGRLIYLAVTRPDLAYSVHILSQFMQEPRTEHWEAALRVVRYLKGTPGQGILLSADCDLTLQGWCDSDWAACPLTRRSLTGWLVFLGKSPVSWKTKKQHTVSRSSAEAEYRSMATITCELKWLKGLLLSLGVHHPKAIKIKQFAINFFIERPKSMVTNKVVNKKKFSVSHKGFPPENTSSPAPESGNLTVKVMDPSSRNGKCDLYVGDWVADTSGPFYTNQTCYSIEAHQNCMRNGRPDTGYLYWRWKPRDCELPKFNPKRFFDMTRHKSLAFIGDSIMRNHVQSLLCILSQEEKGVEVYHDEQYKSRRWYFPIHDLTLSVVWSPFLVNATIFEDDNGVSTDIIKLHLDKLDDVWTQQFDNFDYVVIAGGKWYLKTAVYYEKSKIVGCHNCKGKNITEVGFEYAYRKALNSTLKHITRSKHKTFTFFRTTTPDHFEKGEWNTGGYCNRTEPFKKGEIDMRDVDEAMRKIELDEFERALRISSEVGLTVKLFDTSFLSLLRADGHPGVYRQYQPFSGGNRLDKVQNDCLHWCLPGPIDSWNDLMMETLISS >Solyc09g015280.1.1 pep chromosome:SL3.0:9:8501828:8503803:1 gene:Solyc09g015280.1 transcript:Solyc09g015280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSRINGNFIDKTFSILADILLRVIPTTFREKEAFTHYRDGLYTLTIWLIHTSNGEHTKDLEYYFQALERNPFLPQAFNNMAVIRHYVYGIDQNGTPKGLTTESYKENSEIVEAWFDQAAEYWKHAIALTPGIYIKVRNWLKIMRRFE >Solyc04g078000.3.1 pep chromosome:SL3.0:4:62948897:62958526:-1 gene:Solyc04g078000.3 transcript:Solyc04g078000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAVSTTKPNQKVTSNGLSYQNPKRPPLLPSEAQNGPSRKPKSREVTSRYLSTSSSSSVSTTSSSNTSTTYSSSSNTIASLRTPSPMGTRTVRPAATPVQNSGSVKRSQSVDRRRPVTPASTEKSAAAKQLLNSSRSLSVSFQGQSFSIPVSKAKPPPATNNIGNVRRGTPERRKVTADFVTPERRKVSANFVTPERKKATADFYTPERSKVAAELSTPARDRTENVKTSDQHRWPGRSKSLNSSFLTRSMDCGSIDKPKFGSGSVTSSSMKSVIDIYHRARIEAKLKPQSDNDEVDMKSAYGSAMSADTLASDSESVSSGSTSGVHDGPSVIHGRGGPRGIVVPARFWQETNNRIRRGPELGSSMDNGNLKTVASSKQMGNKKFLTDSPRTSARVVPASRGLGSPLRGGLRPASPSKTLTPSANTPLRGMPSPTRTKNGSMGSTSNNSCIMPSILSFAADARRGKVGENRIVDAHELRLLYNRNLQWRFVNAQAEAALRAQTTTAERTLYNAWLTTLKLRHSVKSKRIQLQLLRKNVKLHSILKGQGPCLENWSMIDGDHCNSLSGTICALEASTIRLPVVEGARAEVQNVKDAISSAVDVMQAMGSSMYSLLPKVEQVDSMVCELADVVASERALLDQCRDILSSMTALQVKECSLKTHLLQIKDAVCCSTTEV >Solyc05g053990.3.1 pep chromosome:SL3.0:5:64826827:64831191:-1 gene:Solyc05g053990.3 transcript:Solyc05g053990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGKENEGQTKGEDNKSLVSSAVLCKDIADLQDFVERLKNEQDQVEELKFLLACLQLCYYISDAEMSCISYEVHDLVQSLLHQSSGDDMMVKLTDHVVPRLLEDITSFKISDHHHSASMNEDQLDELLDVLLVNLYNLPKFQLTAERVGHFCFVLLSYHLDKTDEVNSMLVHLLLKIIPVSLDVMHICCTNLKGSNSEEVGFFIKQLLEASPDILRESLIHLQEHMLINAVTPSASTYNIHVMIEFLLIILTDGLKAVIRHDKLFVLLAHVIQLTKEVFVFFRNLEENMNEESGSNLNLLENIELLKVDLKNDFLKARADLSPLPFPMSDGPLFMNLLLTDLKDLAYSNAYSVSLINEEIKQVKQDLEIIRSLFGYAEQELIKDLWTRVLDLAHEAQHAINSILARDQGLLQLIFILPDTIEKIKLVKKEVQEKLPKSSSIIVANAPKNPVERNSSSTVGKIIVGYEEETEWIIRKLTSGPAELDVISIVGMPGLGKTTLAYRVYNHKSIVDHYDVCAWCTVDQERNEKKLLQKIFNQVKGLEERSSEDHDIDDDVADKLRKRLCGQRYLIVLDDMWDTATLDELMRPFPEFHKGSRVILTSRKKEIALHGKCHSDPLYLRLLRSEESWELLEKRVFGEEGCPDELKDVGKKIARRCGGLPLLVDLIGGVISRKEKKEALWAEVLNDLSSSIFKDEEEVVKVIQLSYDHLSDHVKPCFVYLASFPKDEDISMSELKDLWISQGLEMKSAEEVVDELISSSLVIPFDDSIFKIHDLVHDFCDIKCRKEKLLHFIRGSKAPSSSDLMTRGIIVHYNHYVYPSDEYFTMFDPEQKIPSVKHLLSLKGFSMTSFCLSYKSHLKHFRLLKSLDLCGVTLPESLLNEIGMLVHLKYLKIWMKAKVLPPSFSNLCNLETLMVNADLSCMRLSPWFWSLAKLRVVEMTWGAVFDPVITVLDEDLRLENLTTLHKFYLLGLEDTEDIFKRFPNLKNLQVRFREQIPENICFPRFDFLNKLEQLHLFVFPQNSFPEYTRGFPLSLKILKLKGFAVTSDTLSRLPNLEELSLEDATIEEGKEWNMEDHVAFQNLKSLTLSRLIFSKWNMEDHVIFQDLKYLELEALNFSEWKVDAEKSFPVLKKLFIDSCDKLMEIPDSFGDIASLKCINVQYSSQLKESMFKIKEYVEEMTGEDKLHVEFYNWGS >Solyc07g064680.3.1 pep chromosome:SL3.0:7:66851779:66854951:1 gene:Solyc07g064680.3 transcript:Solyc07g064680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:F1JYZ5] MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEEHKDEDGFLYMTYSGENTFGSF >Solyc01g090980.1.1.1 pep chromosome:SL3.0:1:84553407:84553976:1 gene:Solyc01g090980.1 transcript:Solyc01g090980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRGFAFMLGSFFGVYVAQHYKVPRIGDWAHSGCVKARELEQAYRRPEQEHQRAHEIDRAYRRPDYFNPDEGHRAHDCWFRAKHHERNYRRPDNFYGNEENNEVVHLSLSRPMDLNRPNTLDTNEEQNNNKVLHQDWARPMDLNEVRENPNKNYDVHPSWVRPRDLNNKPYNNNGPKNFNLNEGGQE >Solyc01g099745.1.1 pep chromosome:SL3.0:1:89778227:89782483:1 gene:Solyc01g099745.1 transcript:Solyc01g099745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGINVDMEHVIVDSQEMEKEEEVKPQKTKRVASLDIFRGLTVALMVLVDDAGGEWPMIGHAPWNGCNLADFVMPFFLFIVGMAIALALKRIPEKLAAIRKVILRTLKLLFWGLLLQGGYFHDLDKLKYGVDMNRIRLCGILQRIAIAYLVVAVIEITTRQAQSKELPTGWFSIFKLYSWQWVIGACVLVVYLATLYGTYVPDWNFVVQNPDSVHFGKTLAVTCNVRGNLDPPCNAVGYIDRWILGINHMYPRPAWKRSKACTKNSPYEGPFKDDAPSWCWAPFEPEGILSSISAILSTVLGVHFGHVLIHMKDHSSRLLHWICMGIALLVLGIILHFTDAIPLNKQLYTFSYVCVTSGAAALVFSGFYILVDILNLKYLFLPLEWIGMNAMLVYVMAASGIFAGFINGWYYEDPHNTLVFLSSLSLLSSLSIQADSGSEYYEYQKADTKVTSGAASWSELNYKCCLWLDNELLQMERSLSNIYWIKKHIFIGLWHSTRVGTLLYVIFAEILFWAIVAGLLHRLGIYWKL >Solyc12g094580.2.1 pep chromosome:SL3.0:12:65740421:65746008:-1 gene:Solyc12g094580.2 transcript:Solyc12g094580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGGKNGERNMMEEDLSLNGLISGQKVNWEQNGETNGRRNLSLALVHGKGRLGMHHSAAKDGQGHGERSTLEMARYTNMARAQLVKAGTWLWMKKRTTRLSLTTGGQMS >Solyc10g049200.2.1 pep chromosome:SL3.0:10:44491630:44492443:1 gene:Solyc10g049200.2 transcript:Solyc10g049200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIDIPPANPIEHLYIVVIMKWLFLI >Solyc01g096200.3.1 pep chromosome:SL3.0:1:87169096:87176182:-1 gene:Solyc01g096200.3 transcript:Solyc01g096200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPDVIGGPFADVLSATLESILEIVLTSKNVFIEKKSFAELSDYLNRIVPFLKEINRKNITDSTPWQNVIQILNQQTVDARQLILECSKKNKVYLLMNCRHIAKRIENITREISRALSCIPLASLDISSGIKEDIVQVMDSMRTAEFKTAIAEEEILNKIDSGIHQRNVDRSYANKLLVSIAEAIGVSTESSALRREFEEFKDEIDNARLRKDQAEALQMDQIIALLERADAATSRQEKEKKYFIKRKSLGNQPLEPLLSFYCPITGEVMTDPVETPSGHTFERCAIEKWLAEGNLCPMTSTPLKNTMMRPNKTLRQSIEEWKDRNTMITIANMKLKLSSNEGDEVLNCLEQVKDICEQREIHREWVIMEDYIPILIKLLDSKSRDIRNLVLEVLCVLAKDGDDAKERIVEVDNALESIVHSLGRRIGERKSAVALLLELSKCKSVQESIGKVQGCILLLVTMSSCDDNKAAKDARDVLENISFSDDNVILMAQANYFKYLLQRLSSGSSDVKLLMAKTLGEMELTDHNKSSLFEEGVLDSLLSSLSHSEVEVKQAGVKALLNLSSLPRNGQDMIRKGVMRPLLDMLYRHTASQSLRELVAATITNLAFSASSEALSLLDADEDVYELFSLVNLNGPAVQQSILQAFCAMCKSPSGANVKIKLAQCSAVQVLMQFCEHSNSNVRSDAIKLLCCLIENGNGGVIQEYVDQNFIEILLKIIKTSQDEEEIASAMGITSNLPKSSQISDWLFAAEGLPVFSKFLDEVKHKSSCKLQLVENAVGTLCHFTVSINQQTQRIAGLVPKLIRLLDQGTSLTKNRAAICLAQLSENSQTLSRTIPKRSGLWCFSPSQVELCPIHRGICTLETSFCLVEAGAVGPLVRVLGDTDPGACEASLDALLTLIKDEKLQSGAKVLAEENAIPSMIKLLNSPSPRLQEKVLNSLERLFRLVEYKQRYGSSAHMPLVDLTQRGTSNIKSVAAKVLAQLNVLHDQSSYF >Solyc08g067705.1.1 pep chromosome:SL3.0:8:56757179:56757910:1 gene:Solyc08g067705.1 transcript:Solyc08g067705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMKIIRDRERRKLFLSQRSYIQKSEEEKEYMSRVPYASAVGSLMYAMVCTRPDLAHAVSVVSRFMGQPGKEHWQAVKRIFRYLRGTSDVGLIYGGDAQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTKAEYMALTKAAKEGIWLKG >Solyc02g070680.3.1 pep chromosome:SL3.0:2:40918621:40923347:1 gene:Solyc02g070680.3 transcript:Solyc02g070680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEKKRVVVESLGWLTESTIMPKKIRPIEGVGASSILELKAQLYKSQEEAKRVAKETVHPSAADPNYSHLEIHRAKRKITAKNVFSSKNHGVDAREAKDKLEMKAIKDGSVSYAALERKAKLYDKLVRGELSDGEEGEKYCVDFFRKGQEQEDSEQLQRHDISNTEPRDEDGDDDASLLSDTKAAGLGQAGTFDRSEHKRFVMEVHKEASQAREKASELKLRRQEQVAARREKLKQAYLRKQIEKLKASKTEQT >Solyc08g060930.3.1.1 pep chromosome:SL3.0:8:45859008:45859708:1 gene:Solyc08g060930.3 transcript:Solyc08g060930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVAEAPGYIQVFSDGSVKRFEPQIATASIEPYNGYMSKDVIIDSSKLIFGRMYLPESSIHQHFPVLVYFHGGGFCIGSTTWLGYHVFLGDLSVASKSIILSVDYRLAPENRLPIAYEDCYSALEWLIKNIEFEPWLKRADLSQLFLSGDSAGGNIVHQVAIRAITSEVFRGRLKALLPIHPYFGSEKRTELEMDNGSAGGVEMNDMFWRLSLPQGSNRDYFGCN >Solyc12g005540.1.1.1 pep chromosome:SL3.0:12:299872:300075:1 gene:Solyc12g005540.1 transcript:Solyc12g005540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGKMILDELEHACLLEIIGCQEGSLNEYVKMHDLIRDMAIAVTRESPLLMIRAGHEMRIPPVESE >Solyc01g044273.1.1 pep chromosome:SL3.0:1:43006010:43012251:1 gene:Solyc01g044273.1 transcript:Solyc01g044273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMINFSTLELFDYVDCWDTLSVPWNYSYQAADDLPQALTATNLQNTNDTLYVDLGASSHMTHHSELVRQLGKEFVMKDLGLYTSFLQLRLSILIGGIHLSQSEYAIEMLDKTRMTFAKKTGYYSCCEFSKPIYAKSEQWTSLRGKKDSQTSKKQSTVSRSSVEAEYRALASTTSEMTWFMYLLHDLGVFLRSVPTLYCDNMSAFKKHYPPFNFSFSINAIDR >Solyc02g085050.3.1 pep chromosome:SL3.0:2:48709323:48712648:-1 gene:Solyc02g085050.3 transcript:Solyc02g085050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSGKAIGRNSGTSRVALNERILSSMSRKSIAAHPWHDLEIGPGAPSVFNCVVEIGKGSKVKYELDKASGLIKVDRILYSSVVYPHNYGFIPRTLCEDSDPMDVLVLMQEPVLPSTFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFRHYTDIKELPPHRLAEIRRFFEDYKKNENKSVAVEDFLPAEAAVDAIKYSMDLYASYIVESLRK >Solyc08g081810.3.1 pep chromosome:SL3.0:8:64871431:64887362:-1 gene:Solyc08g081810.3 transcript:Solyc08g081810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITVATINRLVIMAGRVLDALGPLTKANMATPAAPLTCPKPMKATSNGVFQGDDPLDYALPLAIVQICLVLVLTRVLAYILRPLRQPRVVAEIIGGILLGPSALGRSEKYLHTIFPPKSLTVLDTLANFGLLFFLFLVGLELDPKSLRRTGKKALCIAIAGISVPFVLGIGTSFALRATISQGVNQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGNGSSPFISLWVLLSGAGFVLLCILIGPPIFTWMAKRCSDGEHVDEIYVCGTLAAVLAAGFVTDSIGIHALFGAFVLGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGAQSWGLLALVIFTSCFGKIVGTIVVSLLCKMPVQEALTLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMALFTTFITTPIVISIYRPAKLAVTKYKHRTIERKDTSKQVRILSCFYSTRNIPTLINLIEVSRGTAKKEGLRVYAMHLMELSERSSAILMVHKVKRNGLPFWNKGEVSDSNQVVVAFETFEHLSKVSIRPTTAISPMNSMHEDIITSAENKRVAMIILPFHKHQRLDGHFETTRTDLRHVNRKVLQQAPCSVGILVDRGLGGASHVPASNVDFTITILFFGGHDDREALAYGMRMAEHPGITLAVVRFAVDPALAGGSVKLKMSHNSNPEVQPEDEVVISKLKESISTDGSIKYEEKTVKDSTELIEATKSYNKCNLFLVGRMPEGQVVASLNKNSECPELGPIGNLLTSSEFSTTASLLVVQQYRSQLSQDALNSLEDVGFMKCPSPMKAASNGVFQGDNPLDYALPLAIVQICLVLVLTRLLAYILRPLRQPRVIAEIVGGVLLGPSALGRNQKYLHAIFPPKSLTVLDTLANFGLLFFLFLVGLELDPKSLRRTGKKALSIALAGISVPFALGIGTSFVLRATVSQGVNQGPFLIFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGVGRSPVISLWVLLCGTGFVLLCILIAPRIFKWMARRCSEGEPVDEKYVCATLAAVLAASFVTDMIGIHALFGAFVLGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGAQSWGLLVLVIVTACFGKIVGTIVVSLLCKLPTQEAVTLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMALFTTFITTPIVISVYKPAKLAVTKYKHRTIQRKNTSKQLRILACFHSSRNIPAMLNLIEVSRGIEKREGLRVYAMHLMELSERSSAILMVHKAKKNGLPFWNTEQVQDSNQIVVAFDTFSNLSKVSIRPTTAISPMNSMHEDIVASAERKRVAMIILPFHKHPRLDGHLETTRGELRHVNRRVLQHAPCSVGILVDRGLGGASHVSSSNVDFSVTALFFGGHDDREALAYGVRIAEHPGISLIVVRFIVDPEISGTSVKVEMNDKTNPEAQSDDEEFLADVKQKSSTDGSIKFEERIVKDARGTIEAIREYNRCNLYLVGRMPEGQVVVALDKKSDCPELGSLGNLLTSPEFSTTASVLVVQQYRSQLPEESLSSLKEGESSDGDCDSE >Solyc04g079310.3.1 pep chromosome:SL3.0:4:63905155:63907414:1 gene:Solyc04g079310.3 transcript:Solyc04g079310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNNGNESQDNKQPPPPSSQAATSPAAQQGVSAAAVVPVAAAAPAGAQQQPWVAMQYPAAAMVMQHPMMPAPHYPPHYMPFHPQHHHHLIHHPPHSPSPHQQGGGGGSNNNENRTIWVGDLHNWMDEDYLRSCFATTNEVASIKVIRNKQTGFSEGYGFVEFFTHASAEKVLQTYSCMTMPNAEQPFRLNWATFSMGDKRANNGSDLSIFVGDLAADVTDTLLLDTFSLKYPSVKAAKVVIDANTGRSKGYGFVRFGDDNERSSAMNEMNGVYCSSRPMRIGAATPRKSSGYPQQYSSQGNECCNLDIFAGMNC >Solyc11g010680.3.1 pep chromosome:SL3.0:11:3721369:3722531:1 gene:Solyc11g010680.3 transcript:Solyc11g010680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGGQRLPSKDDLTDPTRRTRTLPAANENAVVVENLRDRLAETEARLERARAREAELSRQLEEMKRYVCVMEILECYLKRRYREQQVRTLAVTLHKSEAEAEH >Solyc05g025585.1.1 pep chromosome:SL3.0:5:34208809:34212279:1 gene:Solyc05g025585.1 transcript:Solyc05g025585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSNMREINNLKTRLFVAFEIKDLGPAKMILGIKISRDRSAGTLNLSQELYIEKVLSRISVNDAKPRTTPLENHFKLSKEQSPKTAEERDHMALVPYVSAVGGLMYAMRGTSSTSLYFGKGKVTLQGFLDADLGGDVDLSKSTSGILCAPTPLVPRFGALSKTLVLPAYV >Solyc05g054100.3.1 pep chromosome:SL3.0:5:64922598:64926744:-1 gene:Solyc05g054100.3 transcript:Solyc05g054100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ER membrane protein complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:K4C289] MAHYQPNKKNKTSSPKLAVQKIRREKNQIRSKKKERDLSKAKMAEDLVLDTAIRDWVLIPLSVVMVLIGVLRYFVSKLMKGSSQIPDPKIVKEGQVIIRARNLRAGANYIPAKSFRARKAYYSNEENGLLHVPKGQAANPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRAMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENATDDTQRMMQMSGFGMDPSKTLGAEKDGLDIVQHDWVLPKFELRAESVLRKLVK >Solyc07g005890.3.1 pep chromosome:SL3.0:7:723988:737681:-1 gene:Solyc07g005890.3 transcript:Solyc07g005890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKGLKKEKKNIPVLPWMRNPVDITTFDQCSLDLLPFIDPRLVAALKNMSITSLFPVQLAVWQETIGPGSFERDLCINSPTGSGKTLAYALPIVQMLSTRAVKCLRALVVLPTRDLALQVKEVFSALAPAVGLSVGLAVGQSSISDEISELIKKPNVEYGICYDPEEFSYELQSAVDILVATPGRLMDHINNTNGFTLEHLSYLVVDETDRLLREAYQSWLPTVIQLTSSSVDGNFPSVANLLPCTYGSLKTIRRMGTERGFKGKAYPRLAKMVLSATLTQDPSKLAQLDLHHPLLLTTGERRYKLPEELKSFKVLCQSKLKPLYLVSLLQSLQGEKSIVFTSSVESTHRLCTLLKFFDNLQIEFKEYSRLQRQSVRSKTLRAFRSGQVQVLISSDAMTRGMDVEGVRNVINYDMPAYIKTFIHRAGRTARAGLSGCCFTLMHKDEVKRFKKMLQKADCNSCPTYSASSEVIESLRSVYTLALEKLRENVESEKFKKSKIRLKSSNVRKEK >Solyc12g094500.2.1 pep chromosome:SL3.0:12:65707883:65711755:1 gene:Solyc12g094500.2 transcript:Solyc12g094500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQAELSKTAGKPIHCRAAVARKAGEPLVIEEVIVAPPKACEVRIKIVCTSLCHSDVTFWKLKDFPGCFPRILGHEAFGIVESVGENVQDLKEGDSVIPIFLPDCTECIDCTSKKSNSCSQFQFKVSPWMLRDGTSRFTTVDGETLYHFLSVSSFAEYTVVDIANVTKIDPRVPPNRACLFSCGVSTEVRISSSLILCKMSSGVGAALKTANVEQGSTVVIFGLGGIGLAVAEGARICGASRIIGVDINTDKFEIGKQFGVTDFVDSNSYGDKPISQVINEMTNGGADYCFECVGMGTLVEEAYACCRKGWGKTIVVGVDKPGATLTFKSSDILHLGKTIMGSLFGGLKPKSDIPLLVKRYLDKELELDKFVTHEVSFQHINTAFDLLVEGKSLRCVIWMDK >Solyc12g014020.2.1.1 pep chromosome:SL3.0:12:4843940:4851664:-1 gene:Solyc12g014020.2 transcript:Solyc12g014020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLKDIVPAALNNINTKFIVLDKGRIDLEGQHKTCLALVADETAAVHFQMWGDECDVFEPGDIIRLENGIFSYIRNNRNNHVLRAGKRGKAEKVGEFTMAYVEKPNMSEIHWVPDPKNPKIYLPVDFTSYISSTH >Solyc10g079400.2.1 pep chromosome:SL3.0:10:61067888:61069906:-1 gene:Solyc10g079400.2 transcript:Solyc10g079400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTRGCPGKFEVEEIYNFTQDDLLTEDVMVLDTHAEVFVWVGQSTDSKEKQSAFEIGQKYVELATSLEGLSSYVPLYKVTEGNEPCFFTTFFSWDPVKATAHGNSFQKKVMILFGFGHASENHRTNQDGPTQRASALAALNSAFTSSSAAKASSVPKPTGASQSSQRAAAVAALSNVLTEEMKQSNSRGSSLQSSRSPSASPTGTKLRLFWYLSCEIMKRCRVCYGSVCTRETYRLRTKHARFKESEERSEHQENEVSEHAAETNEEDSDLKPPDEVFSYEQLKAKSENPATGIDTKRREVCSSSIIHSNEKAHSSFPHHLES >Solyc05g013600.1.1.1 pep chromosome:SL3.0:5:6908264:6908521:-1 gene:Solyc05g013600.1 transcript:Solyc05g013600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKGESYFGGVDILAGIDDAIKDGVDVLSASFSGDLIDFSEVDTSASFSVMGIGSFHAASHGIPFVVAGGNDGPDSYTDHTCCC >Solyc06g073070.2.1 pep chromosome:SL3.0:6:45157737:45159732:1 gene:Solyc06g073070.2 transcript:Solyc06g073070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEAKKELVKEPKIEEEKTEELKQHSPLILCIDLHCLGCAKKIERTISKIRGVDRVMIDMEQNQVTISGVIEPQAVCASIVKETKRTAKVFSPLPLADEPIPGVVASQVNRLTTVELIVNMHCEACAKQLKRKILKMRGVRTAETDLTSGKVIVTGSIDANKLVDYVYRRTKSRPKLYFNMNRGSIRKNKIQMKKLRNSKKETKHQEEKKPSIR >Solyc05g006080.1.1.1 pep chromosome:SL3.0:5:814868:815404:-1 gene:Solyc05g006080.1 transcript:Solyc05g006080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSETQPASSTAKTQFSDPSDDYSIDPIFHILRILPFSFLRPPKLRLKIPSVTLPSAMTVFSLILLTYFMVVSGIVYDVIVEPPGIGSTQDRFTGAVKPVVFLPGRVNGQYIIEGLSSGFMFVLGGIGIVLLDLATDKNREKSVKVSFASAGIVFVVIAYVMSMLFIRIKIPAYLR >Solyc06g010120.1.1 pep chromosome:SL3.0:6:4990076:4992207:1 gene:Solyc06g010120.1 transcript:Solyc06g010120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQWVFLSKYCLWVLGNAATLVKSGSNWKQLVIDSKARGCFFDVKKEYSLTQAIVSATIDFGQIETFLSMDSPLFKTAKWKILFGDNFSKSMARITDTEICKEVISLLVKLSSGWRTSEKHSMLSYSKGSSSKLLEIYSVRNFILPMTWPIDGNYASKISSIQNEADQNLTCQPVVMCLRYKRGSSRCTERV >Solyc12g096800.1.1.1 pep chromosome:SL3.0:12:66550145:66551524:-1 gene:Solyc12g096800.1 transcript:Solyc12g096800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLEFISTKLIKPSIPTPPHLKNYKLSFFDQLAEREHMPLLLFYPYGNNNDIGDDLFDQKLEKSLSRILSHVYPAAGRLSRDRFSIDCLDQGVTFTKAKVNCQFNDFIDQVQKDLNLALFFFPRDIQDLKDVDFDSTPPMVVQVTKFECGGIAMSISASHLVMDGFSNFKFVYEWAKVCKFEIPDDEIDFMSFDFGEILPARDLSRIFPNRVHPVESEERFIANRFFITEQTISSLRDKLTGAIDSGELCFKPSRVEIITAILWRALIRVSEAKHGYLRRSLVFFPVNLRGRISLPLKENAFGNYVMDAPIMFVPEKNKMELHDFVTLIRNSVQKAIDACAIGTADDIIANVADSYKEIFASKEWGTDNDEVDKCIISSLCKFPMKDADFGRGKPSLMHFGLRNFHSCWMYDAECGSICVQVDLKDSYMSLFECQSDIKAFTNVLGNQERIQLQPLL >Solyc01g109340.3.1.1 pep chromosome:SL3.0:1:96224956:96227100:-1 gene:Solyc01g109340.3 transcript:Solyc01g109340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRAGGSLGALHSADMNSDSGDFECNICFELAQDPIVTLCGHLYCWPCLYEWLQVHSHSHECPICKALIEEHKLVPIYGRGKASSDPRSRLRPGINIPKRPVGQRPQTAPAVDMDYLRHDEFDSIGGLMSMPMPSARFENSTLSALVGAIPALFSLNNVHGFHDATVYGATSGVPYLFSGSFHGGYAHGFHHSNHLDWTKFFMKVLFLIAGFLLIVSLIS >Solyc09g090520.3.1 pep chromosome:SL3.0:9:70498531:70501314:-1 gene:Solyc09g090520.3 transcript:Solyc09g090520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGISWETTEEKLKEYFQGYGDVLQTVVMRDKISGKPRGFGFVVFADPNVLDRVLQDEHVIDGRTVDAKRALSREEQQGSKSGNTNSARNFGGGGNTRTKKIFVGGLPPTLTEEGFRQYFESFGNVTDVVIMYDQQTNRPRGFGFISFDSEDAVDRVLQKPFHDLNGKQVEVKKALPKDANPGAGGRSMGGGGGSGMGGGSYQGYGGSGNNPSSYDSRMDSNRYMQTQNAGAGYPYGSSGYGTPGYGYGPSNNGMGYGGYGSYGGANPGYGGANPGYGAAAVAAAYGNPNAASAGYGSGPAGGPRSTWGSQGPSGYGNMGYGNAPWGAANAGGGGGGPASGGSGQSPTGTAGYGNQGYGYGGYAGNEGAYGNQSGYGAVGRASGAPSGNSPVGGGAAGDMQSGAGGYMNSGYADASGNSSYGNSAWRSDNSQSSGNYGAPPNGAHGGQVGYGGGYGGAPSRQAQQQ >Solyc07g063830.3.1 pep chromosome:SL3.0:7:66291690:66295734:-1 gene:Solyc07g063830.3 transcript:Solyc07g063830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQLGRGNWWESSTSASSTTTTSSSRNKFIDSGISSCTTPSASSTTGLSSMASNFVNWPIEIHEDIKVRSENSSMVFSGTDSHKRYASGGGGGGVGQGVLSVDDPNLQIMGLGLSSQGLDWNQPFFRSEKSGSGFRSLIQEGLSSNANYQQEGTCQEQDHNNWSTQKLYHGNSDDSSVNDYNKQLFSGHNNNLENSAVPYGSPSNMLQGLLISDLNSQQQESNNFSSVSRSLYYNPSYNNQPNCDVNIPTSTSSSSWSKFPQFLRTSDPSKVVQQWSLSQSPPLSHSQLSHFSGGTSFWNATSAAAEDVRSGFLPQLPTNPTVDEKPKHTGEVRNTSTVTKKNSSETSNKRPRNEAPSPLPAFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHDQVNVLSTPYMKSGASIQHQQNTGDKSNVNPEGGKQDLRSRGLCLVPVSSTFPVTHETTVDFWTPTFGGTFR >Solyc03g113420.3.1 pep chromosome:SL3.0:3:65047862:65061097:-1 gene:Solyc03g113420.3 transcript:Solyc03g113420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKVHVKEEHKYGGIKAMPFIIGNETFEKLGTLGTSSNLLVYLTTVFHMKSINATNLVNVFNGTCNFGTLLGAFLCDTYLGRYKTLGIASISSFTGMLFLTLTAAISKLHPLHCGTAENSICLEPTTGQLAFLLCGFGFLVVGASGIRPCNLAFGADQFNPNTESGRRGINSFFNWYYFTFTFAMMISLTVIVYIQSNMSWAIGLAIPTFLMFLSCVFFFVGTKIYVHILPEGSPLTSLVQVLVAAIKKKRLKLPEQPQNTLFNHVSIKSINSELPYTDQFRFLNKASILSPEDKTNEDGSAANPWRLCSIQQVEEVKCVVRVFPIWTAGLVYYVVLVQMQTYLVFQALQSDRRIFSGSEFKIPAASYSVFSMLSLSIWIPIYDRIIVPFLRKITKKEAGITVLQKMGIGLVIAVLTMLVSAVVETRRRDIALRHPTLGIEPRRGGISAMSANWLIPQLALAGLSEAFTIIAQVEFFYKQFPENMRSFAGSFLFCGFALANYMSSLLITIVHKTTRISDTENWLAEDLNKGKLDYFYYLVAALEVLDFGYFLLCAKWYNIEAMEKKITSFRDEDSEKEPAINYRGVKAMPFIIGNETFEKLGAIGTLSNLLIYLTSVFNLKHISAVTLINIFNGTTNFATLIGAFLSDTYFGRYKTLGFSSITSFLKLHPPHCESKDISQCIGPTGWQMAFLLSGFGLLIIGAAGIRPCNLAFGADQFNPNTESGKRGINSFFNWYFFTLTFAQMVSVTLVVYVQSDISWSIGLAIPAMFMLISCFLFFGGTKIYVKVKPEGSPLTSIAQVVVVSIKKRRLKLPEQPWKSLFNYTPLKSINSKLPYTHQFRFLDKAAIVTPEDQIKSDGSAVNPWNLCSLQQVQEAKCVIRVIPIWAAAIIYHVAIVEQQQFVVYQALQSNRHVGTSNFQIPAATYTIFSMLSLTLWIPIYDRIVVPLLRRITGKEGGITILQRMGFGIFLTVLSSLVSAFIEKRRRNLVFTNPALGLHSERGLVSSMSALWLVPQLSLAGLAEAFCAIGQVEFYYKQFPENMRSIAGSFLFLGMAASSYLNSLLIYIVHNTTGKAKTGNWLPEDLNKGKLDYFYFLITALGIMNVVYFIICARWYKYKGSDDTSSVELEMERKHFV >Solyc05g005660.1.1 pep chromosome:SL3.0:5:495193:497522:1 gene:Solyc05g005660.1 transcript:Solyc05g005660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFKAQVLIMVFSISFDLVFSQTNSTSFNKCVLDFNISSSSSSSRESCTTLDNNWDGFLTHPCCGSPFNRYLRALARWTNQTRLIFLNSTQQMDCLTLMNHNSTDIFSCGIEKLTSGAGGCSDYSEIDVLNELGSRLNSLRDDCRLMDSGGGLTKGCNKCLKTWREITYTSKNDSMKLEDDICRFSMLIFLTSERVADVSWIDKIFHCLGDNSLPLESSADESGNETIRSSKFKTDLSILIGGVVGMVLVVIVALWIYVKRKAEVKPSSERYNESYSEESSYRRLSLKEIYSATDNLSMSNFIGQGIAGKVYKGILAGRQHVAIKHIIKDEQMETFVREVTSLSHIKHPNLVSLLGHYDAPNECFLVYELCHNGNLSEWLFGKSKYLSWKRRLEIALDCARGLLFLHSYPQGSIVHRDIKPANILLSASFEAKLSDFGLSKIISIGHSYASSEVRGTYGYVDPEYQKNRHVNSYGDVYSFGIVLLQLLSGQRVINLDLKNPMPLSKMARNLTKGGNIKEFADPKLEGKFSMKAFELVLKLALTSIGLKQQRPSMEQVVVKLEEALDLSTRVESVDP >Solyc04g076910.3.1 pep chromosome:SL3.0:4:61915540:61949011:1 gene:Solyc04g076910.3 transcript:Solyc04g076910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPIREQALPLLASANNHGDLSVKLSSLKQLKDVLLSAEPSQVAELLSYLIDLQSSPESLLRKGLIEVIEAVGMKAKEHLLVLMPVLFACLKDMNSMVAKQSVISGMKIFCGVLEELSSQFHRHGIVERWLEELWTWMVKFKDTVVGIIFEAVPIGTKLLASKFLETYILLFTSSDSEKSGAQAKHGWTFNISWVVGHHPVLDPASLASDAKNKVGVLLDLLHSASSLPGLLTISVINSMSQFLFCLYLHLPILPLTIRGFPWLELLLISSAWIFLQFPLISDLLQLFSMQILQQQASSRLVENLAVIARRRPIHYNYILSALLDFDPNFEMTKGGHAASIQYSLRTAFLGFLRCTHPSILESRERLMKSLRAMNAGDAADQVLRQLDKMIRNNERASRDSRLNKDEPISGDPTKKRSTPLDNEDPSNNYDLTTKRVYYGPNNHSHTAPVERNDSGKEYVNGVDPTVAQIINMIGALLAEGERGVNSLDLLISELHPDVLADIVITNMKHLPKNNPPPFAPVGTFSLPRASDSTNLSQIMAPIDSSLGQQSWVPVSQTPISLSTATCSTFPEMPTSASLPLDSKRDPRRDPRRLDPRRTAVAVEVSPPFVAEHNISATQSAILQSDINPSSSSNIDIAVPLMSSSECMPMTYLKMETNSITGESSPGPVVGLLAPKEEGHEEDLNEAIPDRKSDPTIHVPLLSPGKVEPELVPEIPSEVGVTNEIYSPLLETDQLSPPISTAATPEDACEDLPALPPFIELTDEQQRNMGTLAVEQIIDSYKKLKETDSKHTGMALLSRLVAQIGADADAHVVLMIQRHIFSGNQHEKVHELAMHVLYHLHYLMLSGSAENISSAAALYEKFLLSAAKSLLDSLPANDKSFSRLLGEVPYLPESVMRLLVDLCSDNYLGNDGRDGDRVTQGLGAVWSLILGRPPNRQACMDIALKCAIHPQDEVRAKAIRLVSNKLYVVGDISDNIEQYAKNMFLSAVNQHVTDAEYSQSGTLVQRTGETGNQEASVSGSQISGPGFFENDFVKTAATDSQSDSELSLAQAQRLISLFFALCTKKFSLLHLVFDTYARAPKAVKQAVHRHMPILIRAIGSSCSELLRIISDPPQGCENLLTQVLHILSEGTTPPPDLVAVVKRLYETKLKDATILIPVLSSYSKSEVLPIFPNLVALPLDKFQLALARILQGSAHTGPALSPAEVLVAIHDINPDRDGLPLKKITDACSACFEQRTVFTQQVLAKALRQMVDQTPLPLLFMRTVIQAIDAFPTLVDFVMEILSKLVVRQVWRMPKLWVGFLKCVSQTQPHSFPVLLQLPPAQLESALNKYVNLRSPLLTFVNQPNIKTSLPRSTLVQLGLFNESLQQSHLSSTVHASETSASVHGTTLT >Solyc08g059730.1.1.1 pep chromosome:SL3.0:8:45286185:45288611:1 gene:Solyc08g059730.1 transcript:Solyc08g059730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CKL7] MGSWISLYLVMIFLFLPETCIASVQNKGRLNLGFQGSQMTWIDNNGLILVSNSSKFAFGFNPTTNDVTLFLVVVIHVSSSTIVWSANRDSPVRNNDDFVFDDTGNAILQSGKSTIWSTNTANKGVSAMELKDSGNLILVGKDGSVIWESFTHPVDTLLSGQNFTQGMKLVSTPNNNNLSYSLEFKSGDMVLSASFQPPQPYWAMGKDDRRTINQVGGGVTSAILDGNAWKIYGEKRVLLWQFIFPDDKDPNGTRLAVVGDDGYITFSILQEDSKLDSGTRIPLDECSRPDSCDPYFICYSGIKCQCPSALPSCKPDTASFCNKDVELVDAGDSLGYFAIGFVSPSAKTDLNGCKASCVGNCSCAAMFFDSTSGNCFMFDQVGSLQGSVNGAGFKSYIKVSTSKGNGDRGGGGKGRLPIVFGIVISSAIVILGLIYGGIRYQRRKNNKMPDSAKGSSEEDNFLEGLSGMPIRFSYRELQNATNNFSIKLGQGGFGSVYQGVLPDGTRLAVKKLEGIGQGKKEFRAEVSIIGSIHHLHLVRLRGFCAEGTHRLLAYEYMANGSLEKWLFKKNKEFLLDWDTRFNIALGTAKGLAYLHEDCDVKIVHCDIKPENVLLDDHFLAKVSDFGLAKLMTREQSHVFTTMRGTRGYLAPEWITNYAISEKSDVFSYGMVLLEIIGGRKNYDPSQSSEKSHFPSYAFRMMEEGKLEDLIDRNLKVEEEDERVSIAIKVALWCIQDDMSLRPSMAKVVQMLEGICHVPSPPTASQMGSRLFSSYLKSLSGEGTSSGTSAPSDCNSDAYLSAVRLSGPR >Solyc08g068190.3.1 pep chromosome:SL3.0:8:57366727:57369585:1 gene:Solyc08g068190.3 transcript:Solyc08g068190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLITSRLSHSSSSSLASLFQGRNSRVAATAALRYTTAAQDPIKPSVNVEYTKLFINGQFVDSASGKTFPTLDPRTGEVIAHVAEGDVEDINRAVVAARNAFDEGPWPKMSAYERSKILFRIADLIEKHNDEIATLETWDSGKLYQQVATIEIPMIVRLLRYYAGWADKIHGMTVPADGPYHVQTLHEPIGVVGQIIPWNFPLLMFAWKIGPALACGNTVVLKTAEQTPLSALYVSKLLQEAGLPEGVLNVISGFGPTAGAALSSHMDVDKLAFTGSTDTGKTIMSLAANSNLKPVTLELGGKSPFIVCEDADVDQAVEFAHFALFFNQGQCCCAGSRTYVHESIYDEFVEKAKARALKRTVGDPFDSSNEQGPQISSEQFEKVLKYIRSGIESGATLETGGDRLGTQGYYIKPTVFSNVKDDMLIATDEIFGPVQSILKFKDHDEVIRRANATKYGLAAGVFTKNIDTANTFMRALRVGTIWINCFDIFDAAIPFGGYKMSGQGREKGEYSLKQYLQVKAVVTSLKNPAWL >Solyc03g032035.1.1 pep chromosome:SL3.0:3:4579558:4581328:1 gene:Solyc03g032035.1 transcript:Solyc03g032035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGYGNRSAKHVPWSHHTLSAPFELGVSKVYQFGFMVANVAQETYGSLKNMTVCFALTVYINHVDMLRLCFYIYTGHSGVSQDSV >Solyc05g047530.3.1 pep chromosome:SL3.0:5:59862879:59865952:-1 gene:Solyc05g047530.3 transcript:Solyc05g047530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFINKMTIYSILFTIIFISCSKLLSFPLNNISLVVLPILPLIFYFLYANNSQITLPPGPRPVPIFGNWLQVGNDLNHRLLATMAQTYGSIFLLKLGSKNLVVISNPELANQVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTIYGDHWRKMRRIMTVPFFTNKVVHQYSDMWENEMDLVVDDLKKLKHEGIVIRRRLQLMLYNIMYRMMFDDKFESLDDPLFIEATKFNSERSRLAQSFDYNYGDFIPLLRPFLRGYLNKCKDLQTRRLAFFNNYFVEKRRKLMAENGEKHKISCAIDHIIDAQMKGEISEENVLYIVENINVAAIETTLWSMEWAIGELVNHPNVQQKIRDEISTVLKGKSVTESNLHELPYLQATINETLRLHTPIPLLVPHMNLDEAKLGDYTIPKETKVVVNAWWLANNPAWWKNPNEFRPERFLEEDGGTEAAVAGGKVDFRYLPFGMGRRSCPGIILALPILGLVIAKLVSNFEMQAPSGEGKVDTSEKGGQFSLHIAKHSTVVFKPVAA >Solyc11g044535.1.1 pep chromosome:SL3.0:11:32772431:32779882:-1 gene:Solyc11g044535.1 transcript:Solyc11g044535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIESTSQRDEHMCPGTLKMLLRFQRQNTHAICEIWSNGFCLNQQIVSAEPSAAHCTHRKDSCLPDAYKLVLSYNQLDILLLCEFLFTKSCEEPQRFWFLKGGIQEGLFAVSSLLKLKALDPNTALDQIASLADELFASAVDTEIIEQIDRDVKRTHPDLHFFSGNTPFAKSNQCVTVMCFLGIGAFQAWSLFVILLSGHQSMLARDLLIENLLRVDTPPDNSC >Solyc02g079680.1.1 pep chromosome:SL3.0:2:44715179:44715622:-1 gene:Solyc02g079680.1 transcript:Solyc02g079680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKSATNLSKPATSSKKGQEKTCSIELKFFESRELKAVTGNFSPDNKLGEGGFGPAFKGQLPDGQKIAVKSLSTQSQQGISEFKT >Solyc01g094590.3.1 pep chromosome:SL3.0:1:85895665:85900396:-1 gene:Solyc01g094590.3 transcript:Solyc01g094590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASVFSEIFRLPCISVDILWLQSAADPTYMCLSKHLFNLPSETKLKAGPYSSLKTYTPHFIASPFFESLKVSGPDFSGSAKGSADTLASEGNSEFSEIVQEYGGKMTELAKVIQKTLLMSLGEELGMKYYVSEFGSCHGYLRINNYSSPDSLEQEVEGLGMHTDMSCITIVYQDELGGLQVRSKDGKWVDILPCEGTLVVNIGDMLQAWSNDKLRSSEHRVILKKPVNRFSLVFFWCFEDEKVILAPDEVVGEENSRLYKSFVCSDYLNFRVTNEKGKFEKVGFTVKDFVGIGSKLK >Solyc09g091880.3.1 pep chromosome:SL3.0:9:71569727:71581484:1 gene:Solyc09g091880.3 transcript:Solyc09g091880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSTLPIRNLVIEENGDFFNHHMNHNLQASLSVDGTNLPGDSCLVLSTDPKPRLRWTTELHERFVDAVTQLGGPEKATPKTIMRTMGVKGLTLYHLKSHLQKYRMGKQSAKEATENSKDVSCPAESQETGSSTSGSSRVIVQDINEGLQVTEALRVQMEVQQRLHEQLEVQRHLQLRIEAQGKYLQSILEKACKAFNSQSLELNGLEMNREELSELAFINGPSLPDIGPSFENKNACNIPAMLGDCLLDDCLPSNGISSLKKRPRGFTNVNGLPMESNTREDGTFMYYKVKPTSIMKKLFMSYSERKQILNYKTIRFLYNGNRVSSRSTVNQLGLEDGDEIDAMLDQEGGGFAY >Solyc02g032873.1.1 pep chromosome:SL3.0:2:29925415:29925772:1 gene:Solyc02g032873.1 transcript:Solyc02g032873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERMQYDRALVTAMVERWRPETHCFHLPFGEFTITLRNVQVLFGLRIDGDVVYIQDATRRIHPWRTLLEILT >Solyc10g054967.1.1.1 pep chromosome:SL3.0:10:56116379:56116585:-1 gene:Solyc10g054967.1 transcript:Solyc10g054967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLPISSWKTSQRIMSEFFEILKETLEEKSLPGHFVHVEPNFLEFSLSDQYTSRHTVVQYASFLAQM >Solyc06g082535.1.1 pep chromosome:SL3.0:6:48376197:48377730:-1 gene:Solyc06g082535.1 transcript:Solyc06g082535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLLLEKTLIGLFFAILIAIIISKLRSKRFKLPPGPIPVPIFGNWLQVGDDLNHRNLTEYAKKFGDVFLLRMGQRNLAVVSSPELAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRGGWESEAASVVEDVKKNPESATNGIVLRKRLQLMMYNNMFRIMFDRRFESEDDPLFVKLRALNGERSRLAQSFEYNYGDFIPILRPFLRGYLKICKEVKEKRLKLFKDYFVDERKKLANTKSMDSNALKCAIDHILEAQQKGEINEDNVLYIVENINVAGMFRNNIYLIL >Solyc04g005540.3.1 pep chromosome:SL3.0:4:355238:361526:1 gene:Solyc04g005540.3 transcript:Solyc04g005540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSIFVEKLTDCLIQPVARQIGYFYYYKRNMRCMDKECEKLKNIRMTMQRKAEDARRNLQDISPNGKVWLTSVDTTTADVEGVMRGVAEVERGCFYGVCPNLKSRYSMSRRAKKITLELIELQNESNKPDVLSFDHPVESEPEAMCSNNVEEFESRKLKEDEVMAALRDDEVAIIGICGMGGVGKTTLTEKIRQMAKKERLFKDVVMVIVSQQIDFKRIQDEIAGGVGLTLEGDDLWSRGDLLRTRLMDQNSRILIILDDVWKALELEKLGIPSGSNHKHRCKVTFTTRFRHVCEAMGAQKIMEVGTLSEEEAWILFRQKVGNSVDDPSLLDIAKDVAKECKGLPLAIITVAGALKKHKTKRSWDYALEELRGAETINIPEVHTEVYKPLRLSYEYLGINEAKYLFLLCSLFEEDSNICPEELLRYGMGLHIFPGIKNLEHARNKVCYLLEILKDCFLLSQGSEKNCVKMHDVVRDVAIYIASEGKDIFMVSHDMNSELFPRKDSYEQYSHMSIVANKFDEHPSPIFCPKLKLLMLKLCFEEEPIKLQDDFFDGMSKLDVLSCRTYSYCYTSLPFPASIQRLSNLRTLCLSNLRLDDISIIGELVTLEILSIKDSKLGEFPVEIGKLTNLIMLELRNEEKPLEMISPGVLSRLVRLEELHVVGVEHCSYSNLRELESLSRLTSLTLSECSGDVIYSNLGLSSKLIRYTLTVGRALTVGRAYKATSSMDNYDKNIALKVTETAPLGDWIRHMLRTSEHVDSTGEGSKNVLAELQLDEFQNVKYLGLKHFDSLVHIHCQNNISFPKLERLEVRKCRCLQYVFCVSLAGGSLKVACPDDEEEEISRRTREVIKFPNLYDLNLQSLECLTHFCNDTVEGIEFPRLRKMHFSSLPEFQNFWHTANNSITDSNPLFDEKVSCPNLEDLYIDRADSITALWSHQLPTTYFSKLVKFEVDGCGKLTNLMSPSVARGLLNLRKLKIENCESMEEVITEEEQQGEEIMSNEPLFPLLEQLNLYNLPKLGHFFLAKRGLEFPFLRDVCIHNCSEMKAFVQQGIYVSTPSLESVNNDDEVKVVDLNKAMFNSKVSCPRLEKLQLFCAHSITALCSHQLPTGYFSKLEALHVSFCGNLRNLMSSSVARGVLNLQILHIGYCELMEEVITKEEQGEEIMTNEPLFPLLEELRLQSLPKLGHFFLAMHALEFPFLREVSIHECPDIKTFVQQGSVSTPSLGSLNNDDEVKVVDLNKVMFNFKVTCPSLEELCIWMAHNITALCSHQLPTAYFSKLESLDVSTCGKLRNLMSPLLARGVLNLRILKIQDCQSMEEVITEEEQGENMTNGPLFPRLEQLDLYDLPKLGHFFQTKHALEFPFLRKVFIYSCPSMKTFGLGSVSTPSLESVNYDDEVKVDDLNTWIQQMFNSKEEDVSDDCEYEKDVTDGNESEEDLSDGCKSEQDVSDGSESESSVQ >Solyc01g099900.3.1 pep chromosome:SL3.0:1:89897574:89906278:1 gene:Solyc01g099900.3 transcript:Solyc01g099900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFIPVVVDPITYMFRGKELPLAPQTYLWKLSAVQFSTEEVSGQNQVKASVQRRIRQSIAEEYPLLEPVLEDLLPKKSPLIVAKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIKFVLSGANIMCPGLTSPGGALDVEVGAETPVAIMAEGKQHALAIGFTKMSAKDIHFSISLSRVQPAMGIDLKAGGKSKKTKRTAPKSDDVYLKLLVKLYRFLARRTGSKFNAVILKRLFMSKINKPPLSLSRLISYAEGKGDKTVVLVGTVTDDVRAYEVPKLKVCALKFTKTARARIEKAGGECLTFDQLALRAPLGQNTLLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRAKGRKFERARGKRKSRGYKA >Solyc11g017280.2.1 pep chromosome:SL3.0:11:8156442:8160048:1 gene:Solyc11g017280.2 transcript:Solyc11g017280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCACIIWPTNICQFNSWNQMQTTNDFKMRSVYDLFQKDTGVSFVWNGTDKASTPCSWKEVSCNSDNSSITKVTFSLFSISSSEFLPFICQIDTLESLDVSQNFLSSIPNEFITVCGGISGLKLLNFSGNKLEGFLPTFTGFGKLESLDFSFNNLKGKVDLQLNGLNSLKSLNLSSNRFNGSVPTSLGKFNLLEELHLSENAFQGEFPTQILNFGNLTLIDLSLNRLSGVIPDRIGELSKLQVLILSSNRLSGTIPQSLRNVTMLTRFAANQNYFVGNIPFGITTYLRNLDLSFNTLNGTIPQDLLFPMNLQFVDLTSNKFEGPVPSNMSINLIRLRLGQNALNGSFPSASFESLQSLTYLELDNNQLTGPIPSELRKCQKLALLNLAQNKLSGVIPVELVDMSNLQVLSLQSNNLVGEIPSNISHLNRLQRLNFSSNSLTGSIPSSLSSLRNLTNLNLRGNKLSGRIPVDISNLNVLLELQLGGNQLGGPIPDMPLSLQIALNLSHNLFRGPIPSSFSRLTSLEVLDLSYNRFSGQIPDYLAGMKVLTRLVLSNNQLSGVVPKFESFVIVDTDGNEDLIYPSPVAKLEYNDKKGKTLSSTIILLLFVLGGFGFGIFAKFCLQYVVSNSLHQTKVCWITDDSLHKSQIKLPKTMAAICRPINIVQSNEFYTYYKVMMPCGMCYCIKKITKKSKSFNLHSFESFKQGLANISHLSNYTMVPLAYAVESDNAFIFYEYPQHGTLFDLLHGRCDDNVVDWSSRFTIAVGICRGLAILHGGSLFSDQVLLLHVSSSSIFMKYLNQPLIGDIELGRAFNSSQFSVAVGYVPPEYAYVMEVTEAGNMYSFGVIMLELLTGKPAISEGTELTKWIIQHEDLNEVVDSRVSGNSVQVHQQMLLVLEIALQCLSVSPNERPDAKELLETLLTLGQQFGI >Solyc05g051960.1.1 pep chromosome:SL3.0:5:63173375:63174178:1 gene:Solyc05g051960.1 transcript:Solyc05g051960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVLAKRLPNSGRIILSQISTGSAIPLAAILLLLLPNDPKTATLHGIVLFITGSIISWCGPATNNPIFAEIVPERARTSIYALDRSFETIISSFAPLVVGMLAQQVFGYKPIAEGSTGSQEIETDRQNAVSLAKALYTAIGIPMVICCIIYSFLYCTYPQDRDRVRLQMIEETDNSPSEEQQPLLEHDEGRIHSAK >Solyc03g094145.1.1.1 pep chromosome:SL3.0:3:57406433:57416360:1 gene:Solyc03g094145.1 transcript:Solyc03g094145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAEGVLSLTPARLKQFKSYLLRKREKR >Solyc12g010230.2.1 pep chromosome:SL3.0:12:3342216:3346927:1 gene:Solyc12g010230.2 transcript:Solyc12g010230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKSWKPMIRNGNVNDLPMDIYFISVTGGIRTLEEQTLLLKQVEKVAKKFNARFVINISELGEDDPLMQNATWRFPSVKIPWYSTRALEGQGVNHYLKQFKFAHGSLDIIVVDTGLYEVASNGAGDSQSQWLIDTLENSESKWCIAVGFHPLVVCEEDAPQTKLKHKFQSLHGLFLKYGVDAYISAPVCADNVEERHIAKSKTSIGRYKGPLLTKVNQNLPCSMGRVDGFLLHKASALEIVSYLVTLEGDVVQKFFLHQRGKDVM >Solyc07g063430.3.1 pep chromosome:SL3.0:7:66037442:66041949:-1 gene:Solyc07g063430.3 transcript:Solyc07g063430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATINGLTSPHPFLSLQKSNSKPFLSLSKPMKSQFLFKGLKQQNQQLRDWAVVGSVSNEADEIRVQSSDVMDQQNGVVIGLEREPKLGGGDMGIVNQVVGGFGNEGRLSFEGGGGFSSASGVGGSESKEEDVEKLIDRTINATIVLAAGSFAITKLLTIDHDYWHGWTLFEILRYAPQHNWVAYEEALKRNPVLAKMVISGVVYSVGDWIAQCYEGKPLFEIDRARMLRSGLVGFTLHGSLSHYYYQFCEALFPFEDWWVVPVKVAFDQTVWSAIWNSIYFTVLGILRLESPLAIFSELKATFLPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELVWVTILSTYSNEKSESRVSEVIVEAEAQPPSVSPPQE >Solyc02g049070.3.1 pep chromosome:SL3.0:2:3791907:3805199:1 gene:Solyc02g049070.3 transcript:Solyc02g049070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASACTVLNFSHPHVASTSQYIPTLSTPINRTLSFPRHRSNTFVVTVGFSTRAAKSDSGANVSSDKKKEVLEDEEEEEMPWIQEKALDLVEFTGSVTQAIPGPRIGSSSFPWILTVPLTYFGITFVIAFVKTARKFNSPREKRKKLVNKNAELCKSIDELFEKGKDFVEPSTLKGLAQKTGFDMEEIFRKYIRYSLNEKPFSPDLVAYLIQLRKASMLTDSEVAGILNEISRRIVQDKGPVVMDISGYSEKGLKRKLAVQTLFGKIYYLSELPEFCSRDSSLTVKEIFGVADEDAEKLRLHTIPEAGNMDSLERMVNGSDSE >Solyc01g095520.3.1 pep chromosome:SL3.0:1:86634692:86635152:-1 gene:Solyc01g095520.3 transcript:Solyc01g095520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVVSILLAIFAMAIFTVQAQEFAPAPAPTSDGISVDQGIAYVLMLVALVLTYLIHPMDASSYNLF >Solyc01g088550.3.1 pep chromosome:SL3.0:1:83203618:83206607:-1 gene:Solyc01g088550.3 transcript:Solyc01g088550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNQQPILQKDDAQHPMAKLNRLHFWLLVALNIVFLLVGQATAVILGRFYYEKGGNSKWMATVVQTAAFPLLFIPYLVISSPQTHSEASNRPSIITVSVVYLVIGVLVAGDNMLYSIGLLYLSASTYSLICATQLVFSAVISYFLNHQKFTALIMNSVVVLSLSASLLAVNEDSDKPPGVTKSKYVIGFLVTLAASAMYALLLSLMQLSFQKVLKKETFSVVLEMQIYTAIVATAVSTVGLFASGEWKTLHGEMGSFTAGKLAYVMTLVWTAIAWQICSVGVVGLVFVVSSLFSNVISTLSLAITPIASVIILHDTLNGVKVIAMLMAIWGFCTYIYQNYVDDLKASKAPSAVDDSPNESRSC >Solyc06g008380.2.1 pep chromosome:SL3.0:6:2317240:2319332:-1 gene:Solyc06g008380.2 transcript:Solyc06g008380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENVLPLFQLMAERVGRFLWEDQTDEDSRLAQLDENDQNVKDSRLFKLAHLLLKIVPTELELMRIYFTNLKASTSEEDGLFIKQLLETSPDILREYLIHLQEHMITVITPSTSGARNIHRCINSCTRLRDNGLLHLIFSLPTTIKKMKLIKDEVSDLHEKIPKNRGLIVVNSLKKPVERKSLTTDKIIVGFEEETNLILRKLTNGPADLDVIWITGMPGSGKTTLAYKVYNDKSVSSHFDLRAWCTVDQGYDEKKLLDKIFNQVSDSNSKLSENIDVADKLRKQLHGKRYLIVLDDVWDTTTWDELTRSFPEAQKGSRIILTTREKEVALHGKLYTAPLDLRLLRSEESWELIEKRAFGNESCPDELLDVGKEIAENCKGLPLIVDLIAGVIVGREKKKSVWLEVVNNLLSFILKNKVEVMKVIEISFDHLPHHLKPCLLYFASRPKDKALTIYELKAVWVAGGFVEKTEEVVKIYVDDLISSSLVICFNEIGEYPTYQLHDLVHDYLFLEPSFIMVKDFLLNEICMLRYLRIGTQVKSLPISFSNLWNLEILWVENKESTLILLPRIWDLVKLRLLAMSNCSFFDMDADESILIAEDTKLQNLRHLHKLVLSYSKDTEDIFKRLLNLQVLGFDLKESWNCSTAQYWFPKLDFLTELEDLL >Solyc02g092700.3.1 pep chromosome:SL3.0:2:54330470:54334019:-1 gene:Solyc02g092700.3 transcript:Solyc02g092700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLNLYGSIVSSPIEVNKSCRSWIILSNKATIVCRRNIRVKALKDGMNGGTNGSGLGGRSWDPGLEIEVPFEQRPVNEYSSLKDETLYSWAELGPGAFFLRLGGLWLVTFAVLGVPIAAASFNPSKDPLRFLLAASTGTLFLVALIVLRIYLGWSYVGDRLLSAVIPYEETGWYDGQMWVKPPEILARDRLLGSYKVKPVIKMLKQTLVGTGALLVAAVSLFIFATPVEDFFRNTFTTNENSLSSTSTNSTNKLGIRKEELLRLPLEVKEDDDLAKAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLVK >Solyc06g034350.2.1 pep chromosome:SL3.0:6:24222538:24224683:-1 gene:Solyc06g034350.2 transcript:Solyc06g034350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHSKFIACRVCDKVFLHALPLLYHFDEVHGRAGYVVATQRSGYPVSRTTPFKLNSIQSQFASRPNDHASFRPMIEESHSKGQAYNDKELNLFGFTKPFNKQLDKSFNFENIEDKDQNVDLELKL >Solyc07g066650.3.1 pep chromosome:SL3.0:7:68135582:68145576:-1 gene:Solyc07g066650.3 transcript:Solyc07g066650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGIGRRDKVQQFMTITGASEKVALQALKVSDWNLEGAFDVFYSQSQVKSSADARRLEELYNRYKDPYADMILADGISLLCNDMQVDPQDIVMLVLSWHMKAATMCEFSKQEFIGGLQSLGIDSLEKLREKLPFMRSEMKDEHKFREIYNFAFSWAKEKGQKSLALDTAIGMWQLLFAEKEWPLVEHWCQFLQARHNKAISRDTWSQLLEFARNVDPALTNYDAEGAWPYLIDEFVEYLTENSIVQIGQMSDWSQKG >Solyc12g062520.1.1 pep chromosome:SL3.0:12:33595252:33597779:1 gene:Solyc12g062520.1 transcript:Solyc12g062520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCVVCGLIVQRSLAVVPILEIEWYRITDGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTNFQVTSGRAKYNAAIDCLVWKRVIYCLITIGVFLEDVVKDSGTFLVFFCLLVYLDSTIRV >Solyc07g061890.1.1.1 pep chromosome:SL3.0:7:64907421:64907972:1 gene:Solyc07g061890.1 transcript:Solyc07g061890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLIAVENWTWIDGCDELSNFDVSQIDGDIVMSLLDDMHGDDHHDDERLTSVIRSLEAEIDQSQSRSTFNNVVSELIVKVHDHDSFQDKQERNYDGENLQSRNIIGQYQNKDFVESNDLDFSWMEMEMEMPSSYPSGDINLWCLNNNYEQDYEEIADGVFDYSDTVFLDENDYHSLWQEQNV >Solyc10g008770.3.1 pep chromosome:SL3.0:10:2824753:2829666:-1 gene:Solyc10g008770.3 transcript:Solyc10g008770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIRRLSISSISSFQSPISLRKLIIMDSSSSSSSLVDKSSQKLFALAQQLRLYKPPPPTPFGDDDEAEADFEEQKLEERVGKVVSQLGFQESVTPDAVVQKERFKPKRAAVLICLFEGVDGELRVILTKRSSKLSTHSGEVALPGGKAEEGDASDADTATREAKEEIGLDPSLVNVVTCLEPFLSKHLLRVIPVIGILSNKKEFNPIPNVSEVETVFDVPLEMFLKDEKRKSEEREWMGEKYLIHLFDYEMDDKKYLIWGLTAGILIRAASVVYQRPPAFLEQNPKFKLPKVVDKNTTMS >Solyc03g006840.3.1 pep chromosome:SL3.0:3:1353456:1356805:1 gene:Solyc03g006840.3 transcript:Solyc03g006840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREWLYWGSGSGKSSSRRKTKGEEQVMNNIINDEAAAPSPAGCMCFQIFDLPHFQVALNQQSRSLKQHHHHPSFFQHHKDPSLLKGVEAPRNSLELDEAVPERKSVSSSLSSSSTMKVDEQNLNIPVGIQIRTSCDSRSPRVSTSGSRTRTDYGISSECSSSSPAGTKTPTLVARLMGLDLLPENNNSPRISISTHCNTKSQSKNVLVNNNSSKNRRRFSSFESSDIATGTLSLPETPRISLARRSDVDHHHHRHQHHRLSLQINKENMGDAFEFSDSSSTAKMGRNSRRSFHQQENDNQTRSPGYYARQIVKQVKESVSSRKVGHDITNTSSSIRRKDNQLAATYDQVVLLKPKKPSNGNDDDFPSSKQTTPSCSPRFRFLEPKSTSAKHQTSHSPKFSPLSPLSETTTLSLPAKIVTKPKPQSSPKVQVQQRKCDKFVPKKPPQACDAIRSKKEELFVRSAAATNKANFSEKKCKLKTPLSNQLVNTSTVPTILPLKKDPSPPTTKLLIKQSQESDTYPSKRRSSSSSRELSSSSSHNSYYYKLTTLQENRDKCNGAISIDGLNFHHQYIQRILKRTGLDKSSPISLAKWYSPSHPLDPSIFHYLELFNSTTHNSTLRSNRKLIFHLVDELLVDILIKNNNLKHRSMNGEGLIDALCSKIQDFPSANCQVLEDIDALIERDMKIGGSVFFEEEVESIVCEIEREIMEEVLHDDGAV >Solyc04g051140.2.1 pep chromosome:SL3.0:4:49656447:49663917:-1 gene:Solyc04g051140.2 transcript:Solyc04g051140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPETQPPWEICNLSKVNKLLHGTTNQRVKTILSPTKCPTQELVEKFEKNSLYKHGIASDPQYLGVRNSYFPVRKGSLVKLYQDAHIKLIREPTRPLPRGGDLTLDELLKYKSQEGVRVLLLLWDDKTSHDKFFITTSPHFIDILDDFDKNGTQDTLNSSKGPNAACDLSPNPTVSMPSPSISPAPLIEGLMGTHDEETKKFFKHSNVICVLSLRYASSKLSIMKQQQLVNLKVQQSLAKYIAKPLAQI >Solyc01g094680.3.1 pep chromosome:SL3.0:1:85997161:86009470:1 gene:Solyc01g094680.3 transcript:Solyc01g094680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFTSSTKKKKKVQKGIIKKEQHPFHHFTFDLQDLLKKIIKKGVFFLIIILGLLNFMELKKLDCSIFAVFVVVVVLCTGLVSGGDIVHQDDIAPSRPGCNNNFVLVKVPIWVDGIEVTEFVGVGARFGPTLESKEKRANQTRLAFADPPDCCSTPRNKLTGEAILVHRGNCSFTTKANVAEDAGASAILIINNQTELFKMVCEPHEPDLDIGIPAIMLPQHAGTSLIKFLGNSSSVSVQLYSPKRPMVDVAEVFLWLMAVATILCASYWSAWRAREAAIEQDKLLKDGSNECNVTEGFRSGVVLEINIISAVLFVVVASCFLIMLFKLMSSWFIEVLVVLFAIGGVEGLQTCLVALLSCFRWFERFGQSYIKIPFLGPVSYLTLAISPFCIAFAVLWAVYRHISFAWIGQDILGIALIITVLQVVQIPNLKVGTVLLSCSLLYDLFWVFLSKSLFHKSVMIVVARGDKTGEDGIPMLLKIPRLFDPWHGYSIIGFGDIILPGLLVAFSLRYDWLCNKKLRDGYFLWAMLAYGLGLLTTYVALNLMDGHGQPALLYIVPFTLGTFLTLGKQRGDLKHLWTRGEPDRPCPHVRLQPE >Solyc03g116020.3.1 pep chromosome:SL3.0:3:67033855:67035602:-1 gene:Solyc03g116020.3 transcript:Solyc03g116020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEPVTNEALGMAEKEETSVQKMDVEVPAANDANGGSKRPREEGDVPEDDENGEDTKKPRVDQSVEEEKKDVSVPVSVGSKSFVSSVEMFNYFYKLLHSWSVNLNLNKYEHMILLDLLKKGHSESERKIGSGVRAFQIRFHPQYKSRCFFLVREDDTVDDFSFRKCVDQIQPLPANMQTKHHANGGGRGGGYGRGRGRGGRSRY >Solyc12g049280.2.1 pep chromosome:SL3.0:12:61705321:61717640:1 gene:Solyc12g049280.2 transcript:Solyc12g049280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSICVNEPSKLLCFSSPCRQLWCRNLNWKSNKRSLTCFSSQKKIGFMDQILDYIEGGPKLRKWYGAPELLPKDGSLSEEDMSSEEDEVRDAVLVTDGDNEIGQMIILSLIIKRTRIKALVKDKRVAMEAFGTYVEPIAGDARDRSLLKKALSGVRAVICPNEGFISNLESWKGLQHVILLSQLSVYRGSSGVQAIVTANARKQAEQDESLVMASGIPYTIIRTGLLVNAPGGNQGFNIKEGCASQGKLSKEDAAFICVEALETVPQKGLTFEVVNGEDKVMDWKEWFATLIDK >Solyc12g099175.1.1 pep chromosome:SL3.0:12:67399336:67400010:1 gene:Solyc12g099175.1 transcript:Solyc12g099175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVSLQCIRGMAPGNFQISQNHSFVYLVLVSNKLPSMEGDVLCEGQLNRVFMVHITRLNKHSLFLPLIRDSCTSLYSTVAHNAISSFEQSLS >Solyc11g021290.2.1 pep chromosome:SL3.0:11:13417830:13418705:-1 gene:Solyc11g021290.2 transcript:Solyc11g021290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFKDRASMDHQLRSRKAKRVVIFTDNKATKDAEEEVALISYSQQSYFRDAQRRKTFIPKLFQANVHSPFFVNRIIPLCLFSFDISELIKPIFQNWIDKEGEFKILESREEQTKREEKKEKDKKEDNKRKEQARIVIEEAWDTISLAQIIRGYMLITQSILRKYILLPALIIAKNIGHMDGIEKCRLNVLIMVFNYQKQNFPKNWLRDGIQIKILFPFCLKPWHIYELYPSCRELIKKQKQKDDFCFLTVWGMEAELPFGSPRKRPSFF >Solyc12g070151.1.1 pep chromosome:SL3.0:12:29645000:29645498:1 gene:Solyc12g070151.1 transcript:Solyc12g070151.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHDSFDSTTSTIQTVINALVRDELLGHTDKDIKAPKYPHNDHRQMEAFKKLAHLTRCCYRKVIRVLEIFAKVRIEIFKNFLEVIRYFVKVLFSIDIIIIV >Solyc04g074910.3.1 pep chromosome:SL3.0:4:60904935:60907032:-1 gene:Solyc04g074910.3 transcript:Solyc04g074910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:K4BU29] MQNDEGQNMDLYIPRKCSATNRLITSKDHASVQLNVGHLDESGRYTGQFTTYALCGFIRAQGDADSALDRLWQKKKAEVGQQ >Solyc05g018230.3.1 pep chromosome:SL3.0:5:20273448:20275700:1 gene:Solyc05g018230.3 transcript:Solyc05g018230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGFSDDKGGKRAHLYEYKITGYFIFACVVAAMGGSLFGYDLGVSGGVTSMDDFLKEFFPKVYRRKHAHLKETDYCKYDNQILTLFTSSLYFAALVSTFGASHVTRNKGRRASILCGAVSFFLGAILNAFAKNIAMLILGRCLLGVGIGFGNQAVPLYLSEMAPAKVRGAVNQMFQLTTCLGILIANFINYATDKIHPWGWRLSLGLATVPATVMFIGGLFLPETPNSLVEQGKLQEARQVLEKIRGTTKVDAEFADLKDASDAARAIKDPFRNLLKRKNRPQLVIAALGIPAFQQLTGMNSILFYAPVIFQSLGFGSGASLYSSAITSGALVLATFISMAFVDKFGRRAFFLEAGVEMICVLVAVAVTLALKFGEGEELPKGIGIFLVVIICIFVVAYGRSWGPLGWLVPSELFPLETRSAGQSMVVCVNMIFTALIAQCFLVSLCHLKYGIFLLFAGLIFIMSCFIFFLLPETKQVPIEEIYLLWQNHWFWKRYCTPEENEHGLDAKSLPPI >Solyc09g008610.3.1 pep chromosome:SL3.0:9:2076127:2085242:-1 gene:Solyc09g008610.3 transcript:Solyc09g008610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRAFLLCIILFSSSYTSFALYEDQVGLMDWHQQYIGKVKKAVFQTQKAGRKRVVVSTEENAIAALDLRHGEIFWRQILGVNDVIDEIDIALGKYLVTLSSGGSVLRAWNLPDGQMVWESFLLGSKPSRSLLFTPTNFGADKDNVILAYGNGCLHAVSSIDGDILWKKELAENSIDVQHLVHPEESDTIYALGIGEASQFEAYVINVRNGELLKHSSKGFAGGFSGDLSLPTSDKVVVLDSSKSSLVSISFVGGEIKFQEFQISDLQGYSGEAVLLPSKLAGMVAIKIDRSLLFVKLKDEGTLEVVDTVPHVEAVSDSLSFAEGQTAFALIQQDGAKIQLTIKSSNDWKSHFLKESIEFDQQRGLVHKVFINNYVRTDRTYGFRALIVMEDHSLLLLQQGAVVWNREDALASIIDVTTSELPVQKDGVSVAKVEHNLFEWLKYLIHFTSILCRWKGHLLKLKATLMLATPDDVAAVQRIRLQSAEKSKMTRDHNGFRKLLIVLTRAGKLFALHTGDGRIVWSRLLNAFHKSGTCESPRGIKLHQWQVPHHHALDENPSVLVVGTCGHNSDASGILSFVDAYKGEELNYLAPVHSITQIIPLPFTDSTEQRLHLIIDSEGYGHLYPRTPEAVDIFQKELGNIYWYSVDINNNLLKGHVVKKNCKEEISDDYCFESSDLWSVIIPSDSEKIIATSTRKFSEVVHTQAKVNADQNVLYKYISKNLLFLATVTPKAMGDIGSVIPDDSWLFVYLVDTITGRVLLRMSHHGCQGPVHAVFSENWVVYHYFNLRAHRYEMSVVEIYDQSRADNKDVLKLVLGKHNLSAPVSSYSRPEIMTKSQSYFFTHSVKAVAVTSTAKGITSKQLLIGTIGDQVLALDKRFLDPRRSLNPTQAEKEEGIMPLTDTLPIMPQAFVTHALKVEGLRSIIAIPAKLESTTLVFAHGVDLFFTRLAPSKTYDSLTDDFNYALLLLTIVALVISIFVTWIWSERKDLQEKWR >Solyc11g020840.1.1.1 pep chromosome:SL3.0:11:12721805:12722071:1 gene:Solyc11g020840.1 transcript:Solyc11g020840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLTTTKRLPFLCIFPTPKQTLFSLFFSDPSTTRPPPPSSLFPYQKLTKQTTSTTKLHRKSHQTPNPISFFSDHTTSKTLLFLSRFCN >Solyc11g065530.1.1.1 pep chromosome:SL3.0:11:51265865:51267379:-1 gene:Solyc11g065530.1 transcript:Solyc11g065530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFQLSLTNPKHKRNHTCLEVFPLWNTFKSNLSFPNKRLSPSLSLKTSSCLSNLEDNNTIELQQYDEEEKKPLHEMWREIQGCNNWKGLLDPMDCHLRKEIIRYGEFAQSCYDSFDYDPHSKYCGTCKYQPSQFFDKINMLKKGYEMKRYLYATSNINLPNFFQKSKMRNVWSQHANWMGYVAVATDPEEIKRLGRRDIVVAWRGTVTYLEWIHDLQDILHPAHFRDDPNIKIETGFFDMYTKKENNCHYASFSAREQILAEINRLIEKYQGEELSITITGHSLGAALALLSAYDIAEMKLNILHNGKSITKIIPITVFSFAGPRVGNLKFKERCEELGIKVLRVVNVHDKVPKVPGIIANEKFQFQKQLEEKFSFAWSYAHVGAELALDHHRSPFLKPNSDLGSAHNLEAHLHLVDGYHGKVRAFRSATSRDVALVNKDSSFLKEEYGVPPFWWQDENKGMVRTSDGQWVLPERPIIEAHPPDTAHHFQQVLKLARARLNLP >Solyc08g078500.3.1 pep chromosome:SL3.0:8:62398630:62403805:-1 gene:Solyc08g078500.3 transcript:Solyc08g078500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENYLLGWKKNNDSDNWLMSVEEDEEMDVELPSVHQPQTPMEPMDFLSRSWSLSASELSKALAKNQKHYSFERKFSVINESLSPPPQLPETKMLNSQNGRKAGGIGRWFHHKDSNNNSVKKKEKARLENAHMHTVLSIAGLAAALAAAAAAENSNGSSSKMSTALASATELLASHCLEMAESAGADHDRVASVVRSAVDIHSASDLVTLTAAAATALRGEAALKSRLPKEAKRNASISPCDRSMVEAQSFAAVFPNDMDEHDSPFAGELLHLTRKGMQRWKRVSVYINKKSQVVIKLKSKHVGGAFSKNNKCVVYEVCDESEPWLFNKEIESLDLYLRVKTAQGLLEFKCKSKSHKQKWIDGIQKLLDKTSLYEDTEGSMTMLRINKSF >Solyc09g015477.1.1 pep chromosome:SL3.0:9:8950374:8956807:-1 gene:Solyc09g015477.1 transcript:Solyc09g015477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSFVEHLAKHVNDDMLIVGNNTSKIDELKRELFKSFSMKDLDYAKQILGMRITRLRDDRKIYLPQQKYIERVLERFSMKNTMHVTTPLAGHMKLSKKMCPTVREEKMSMAKVPYSSIIGSLMYAMVCTRPDIAHAAGVVSRFLKNLGKEHREVVKWILRYLRGSSDECLCFGASNQIFKGYTNSDMTGETISWQSKLQKCAALSTTEVEYIAATEAGKEMRWLKRFLQELGLNQIDEIGPLHVTSPLIDNPRIF >Solyc04g082880.3.1 pep chromosome:SL3.0:4:66470983:66476704:-1 gene:Solyc04g082880.3 transcript:Solyc04g082880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADYGIARELSDLQKLRSHYHPELPPCLQGTTVRVELRDATTAADPSGEHTIKRFFPHTYGQPLAHFLRATAKVPDAQIITEHPAIRVGVLFCGRQSPGGHNVIWGLHDALKVHNPNNILLGFLGGSEGLFAQKTLEITDDVLATYKNQGGYDMLGRTKDQIRTTEQVNAAMAACKALKLDGLVIIGGVTSNTDAAHLAEKFAETKCPTKVVGVPVTLNGDLKNQFVEANVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTIFDITQQICDAVQARAEHGIEASRFSFFFRVIFEFSSQLTKVLYSDKNHGVILLPEGLIESIPEIYALLQEIHGLLRQGVSADNISSQLSPWASALFEFLPHFIRKQLLLHPESDDSAQLSQIETEKLIAHLVETEMNKRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHVCYHILAAGLNGYMATITNLKNPANKWHCGAAPISAMMTVKRYGRGPGKASIGVPALHPATVDLRGKSYELLSQNATKFLLDDVYRNPGPLQFDGPGADAKAVTLCVEDQDYIGRIKKLQEYLDKVRTIVKPGCSQDVLKAALSAMASVTDILSVISSPSSVSTPF >Solyc04g015610.3.1 pep chromosome:SL3.0:4:5920518:5923188:1 gene:Solyc04g015610.3 transcript:Solyc04g015610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFFSLSFILIFSLYNSFAYANTVPYLDDLLENGNFEQGPKPSNMNKTVIIGKHSLPKWEINSIVEWVSGGPQEGGFYFPIPRGAHAVRLGNEASISQYVKVKPNTIYSLTFGATRTCAQDEVLTVSAGGMSSDLNIQTLYSADGGDTYAWGFKSTSNLVKVTFHNPGTQEDPTCGPLIDHVAIKEMLMATYTKGNLVKNGGFELGPHVFKNFSTGVLVLPLKQDKYSPIPGWMVQFAKPSKYIDSKHFFVPSGNAAVELIGGRETGIAQTIRTIPKQFYNLTFTIGDAQNSCHGTMTVQAFAGKASTQVTFVSNGKGWSKTATFKFQADSIRTTIAFYNPYYHTKIHDFGHMCGPVIDDVSLVHVRK >Solyc06g075200.1.1 pep chromosome:SL3.0:6:46810386:46810849:-1 gene:Solyc06g075200.1 transcript:Solyc06g075200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLKFLFVTLLIMFLLVPSQATGDIEINYDTSSDCVFTSNCKTRLDCAGPCRKLGILVGLCVPDPHPGGKLVCCCFNAKN >Solyc03g013600.1.1.1 pep chromosome:SL3.0:3:45558915:45559445:1 gene:Solyc03g013600.1 transcript:Solyc03g013600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEPIHDFLLVFLGSCLILGGLGVVLLPNPIYSAFSLGLVLVCTSLFYILSNAYFVAAVQLLIYVGAINVLIIFVVMFMNGSEYYKDFHLWTVGYGITSMVCLSLFISLNTTISDTSWYEIIWTTRSNQIIEQDFLSNSQQIGIHLSKDFFLPFELISIILLVALIGAIAVARQ >Solyc04g007030.3.1 pep chromosome:SL3.0:4:742397:747898:-1 gene:Solyc04g007030.3 transcript:Solyc04g007030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAAVNFLLENLLQLLSDHINLIHGLDDEFNSLLEEVQRLKVLVDDACKFQNDNDTSMVKEVQIIIHRVEDLTDKFLVQAKLHQQGSIAKRFHSTIISDFAIQIRKINDDLKIFHQNNKQAFTTNITVHIPKITQRITQGEDDEVVGFDEEAQQVMKRLVEGPNCLDIIPVVGMPGLGKSTLARKIYNEFEFSYEFFSIVWVYVGREYKIKDTCVRILKCFEKSIEEDVMNGDVDALGKAIRDAIRDRGGRCLIVLDDVWEAEVVDVVKRVLPGNNKGHRIMMTTRHANLASYANPGPYYMKPLHAEQSFELLVNRAFGNGNSCPVEFVELGQSIAHKCNGIPLQIVLIAGILRGLTDRRYWERVDKNLSQLYHLYDEEDKYRGVFVEMSYNHLPQDVQTCFLYCGVFPQGFDIPAWKLIRLWIAEGLIKPQQSPYTLEEIAEFYLNHLVQRNLVILSQKRSDGQIKTCRLHDLLHEFCRDEASKKWLFQHVRPTPDHHNYLRSIQDLDACRRLSIQPSDISEFLSTKPFAEHVRSFYCFSSKQKPINLSTMETKFIHNAFPLIRVVDVESINFKFSPYFNQLFHLRYIAISGDIKALPPPFGNFWNLQTLIINTSTSEPTLDVKADLWNLLQLRHLHTNIPAKLPSPTTTTGKPSCLQTLSMVAPESCEEQVLAKACNVKKLSIRGQMEAFLGAYKGGINNLEELKRLEHLKLLNDVPHYMNKTVQLPATFFRLVRTVKKLTLRNTRFSWSEAEKLGQLESLEVLKLKENAFVGDTWKPELGGFCKLRVLWIERAELETWEASNLNYPILRNLVLVSCDKLNAVPVELADIPNLREMKLENTIKAVKSAKDILERKKSKDEKFKCSIFPRDADHSEVERSVEFICLSATIFLSLFQLLKLNFPLLLQERTLRLSFLKASQGSSHK >Solyc01g100100.3.1.1 pep chromosome:SL3.0:1:90062265:90062564:-1 gene:Solyc01g100100.3 transcript:Solyc01g100100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEFFYPYPRPVSGAVAVTVPTYCELSLATTTFADVCNLGERGVEESCSICLMEYEKDDVVCELPRCKHVFHMECIEGWVERCQFTCPLCRSLLLQRQD >Solyc10g008240.3.1 pep chromosome:SL3.0:10:2336197:2339230:1 gene:Solyc10g008240.3 transcript:Solyc10g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHAAVVSLQQKLQEMLKGDNSRYPALRQAVSSWHALLEDSLSIKNAPEEVEHLEKQVKCMATELLGSIDLYKLKKSRTGFYPRSWEEFQNEVVTEGSNSIKAYLMKVMNARNENDALPTRDTVESDSECSPHLQATVLDLDNDLMTVKSRLIGPPSKLDVVSIVGMGGIGKTTLARKVYDDIYMEHHFYVRAWITVSQMHQHREMLLGILRCFSLVNDYTYLKSTEQLAEQVYRSLKGRRYLIAMDDVWDTTAWDVVKRSFPDDKNGSRVILTSRLANVGIYASSGSPPHYMRCLSVDRSLKLFNLKVFGRENCPLELEKATKQIVGKCQGLPLAIVVVAGFCSKISKTENCWEDVAHKIGLIVSRETEECMDLLALSYKHLPHHLKPCFLYMGAFPKDYEISVSRLIKLWIAAEFLEYTFEMDFEEVAEGYLKDLIDRSLIMVKKRTSSGKVKTCEVHDLLHDLIIREAWKERSIYFTKSNVVLSPSVASFEHRIIFNFNRASSTHLEVIFNQPSLPHASSFLCFGRDGTPGSCSQVDSFITFTNFTWLTVLDISFQPFDHLPCEIWQLFSLRYLALASFTMLPPSICNLRYLQTLIRYSHQASICLPAEIWEIEPLRHLYFRKCCYFRYVQSEQKDHQGTSSRSNLGLTRWPTFSYHPSEFSRSNLGLTKLQTLSYITFGSIKRRIFKGMPKLKKLGIRESEEEHLTAKKMSRKLKKLVLLEKLETLKCFFIKPWILKERDVFPPTLKKLTLRGCQLPWNQMTILCMLPELEVLKLKDYAFQGSEWESTDERFQQLKFLLLDGTDLIHWIVDSIQFPKLESLVLKNCYCLSEIPDDVAEIPTLQFIELYHCSSSADVSANRIQEEQHSMGNDDLVVRIHKFCNPET >Solyc05g023920.1.1.1 pep chromosome:SL3.0:5:30121806:30122105:-1 gene:Solyc05g023920.1 transcript:Solyc05g023920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVQRRKGSDLVGSFGLLQPLEYGLKFILKEPISPSSANFSLFIMTPVATFMLSLVVRSIVPFGYGMVLSNPSIGLLYLFAISSVDFYGNIIAGWSSN >Solyc09g075590.1.1.1 pep chromosome:SL3.0:9:67702213:67702683:-1 gene:Solyc09g075590.1 transcript:Solyc09g075590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLHFQFLVFSTLILLRNVQSTHATSTTADRISCTMCSSCDNPCQPIFSPPSLPPPSSNYNFPPPHYFPGGYSPQPPSPPYNGGDGGIGDGDYYNPPTDPSVYPIPPPPNPILPYFPFYFHNPPSPYTIDSKSVQFKNNPYITCLILVLPILLFL >Solyc04g049222.1.1 pep chromosome:SL3.0:4:40917201:40918961:-1 gene:Solyc04g049222.1 transcript:Solyc04g049222.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYVAHQKGYKLYNLVTKSILISRDVIFYEDIFPFSQPSSVQPVFSSVAKPSDEYSQLLPICIPPIGAHELPSNDQHIEIDAAVASSYNSVDSAIDTENHESDPSLHGTDANEPLPNSHSAPLRKSTRQSKPPTWMQDYVSKSSGGHACIYPLSAVLGLRFIQSQFDYSLFTKKSVPHIIIVLDYVVDLLITGSDGDLIRATKDHLQLSFKIKDLGNLKYFLGIELARNRDGILMHQRKYVVELISDLGFTGAKPFQTPFQVNKKLTSFELDDTYHLLSDPGEYQRLIGRLLYLTITRPDIASALQCLSPYMHSPRVSHMVAAIRIIKYVKQSPGLGVIMSANVFSCLTAYCDVDWAACIDTRNSVTGFLIKLGDSPISWKSKKQSTISRSSAEAEYKS >Solyc02g014460.3.1 pep chromosome:SL3.0:2:17103258:17108337:-1 gene:Solyc02g014460.3 transcript:Solyc02g014460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVDLEIISRHYICGGRVNKYSIYCSPVTYLPSPKNNIAMSAKKLTDYERRRIENIKRNEELLASLQIQSRISQLSSETKRPRAQGKSHQRSTQKKQKSNSPIVLRRSLRSQGIPPDSSAAGGPKENIDDNSQPTDKNSPSEPIPISMSDAYDGDFDIPNKKFIETIKGFDDENMDKNSVLGDRIGKKKASGIVNLESLRLEPDNIARVVPGRILNVRFFPTNNVRMVAVGNKYGNIGFWNVDAPQEDGDVIFLYRPHSGPVSGILVEPFSVSKMITSCYDGLIRVMDIEKEMFDLAYMSEHPIFSISQRSHDVKSIYYGEGKGELGIWDLRAGKSSALWNLHEDRINTIDFTSENCNIMATSSTDGSACIWDLRKVGAHKPKSLQTVFHKRAVHSAYFSPSGRRLATTSIDNNVGVLSGANYEDTSMISHTNHTNRWISSFRGIWGWDDSYIYIGNMQRGVDAISVPDKKLHFTLRSKHMTAIPCRFDAHRKEVGMLAGATSGGQVYIWTAS >Solyc08g062670.3.1 pep chromosome:SL3.0:8:51759425:51782847:-1 gene:Solyc08g062670.3 transcript:Solyc08g062670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGQCEEEVLGSSLTMEKVAAAKQFIENHYKTQMKSIQERKERRWILERKLASSDVPKEEQMHLIKDLEQKETEFMRLKRHKICVDDFELLTIIGRGAYGEVRLCREKKSGNIYAMKKLKKSEMLIRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLVMEYLPGGDMMTLLMREDTLSESVAKFYIGQSVLAIESIHKHHYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCRTLSTLKENEAMDDENIKDPMDIDSSFPDTNDGNKWKTPREKLHHWQINRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFYADDPITTCRKIVHWRNHLRFPEDTKLSHEAKDLICRLLCDVEHRLGTGGANQIKAHPWFKDIEWDRLYEMEAAFKPEVNGELDTQNFMKYDEMDTPTSARSGSGPSRKMSLTPKDLSFVGYTYKNFDAVKALRNSSDPTRDTSPRRPSIDSIFNNSKDYPSTKGTSGEIDTDMITSTGCAISP >Solyc07g042590.3.1 pep chromosome:SL3.0:7:56176534:56178725:-1 gene:Solyc07g042590.3 transcript:Solyc07g042590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACAVEVCATLSWSRRMRIALDAAKGLAFLHGAERPIIYRDFKTSNILLNAEFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLEMLIGRRAMDKSKPSREHNLVEFARPLLNHGKKLFRIIDPRLDGQYSSKTALKVANLAYQCLSQNPKGRPVMSQVVEILEGLQPQDKGEDAILMTGGGSVTLYEAKPNPLKCESGREADASKSNQTNGRSKSELPKECDLYSPSPDLVLDVGSVSSRS >Solyc03g113810.1.1.1 pep chromosome:SL3.0:3:65341350:65341589:-1 gene:Solyc03g113810.1 transcript:Solyc03g113810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKSAYENSWADQWDYHKESYSKENKKGDFSAVGVGVAKKVGEKTKAVASTSMKKMKEGTSSGFQWIKEKYQKTAQKN >Solyc01g006710.3.1 pep chromosome:SL3.0:1:1284789:1300243:1 gene:Solyc01g006710.3 transcript:Solyc01g006710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRVGFLLACTKRRSSSSTFLLSTVFSSHQNRKIFVACHRRILIGSDISKIYFFEESNFRGLGQLRGFCRYPGIAALEQFSDDEYECDYENHPASSSVANVDEWKWKLSLLLRNEKDHEIVSRDKRDRRDYEQISNLAKRMVVSKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHIDRTQLSSGKDDNILDGTKSSDIVTDANMDENPDSFLDGSVMEKVLQRRSLRMRNMQRGWQESPDGNKMLEFRKSLPAFKEKERLLQAIARNQVVVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISALAVAERVATERGEPLGDSVGYKVRLEGVKGKNTHLLFCTSGILLRRLLSDRNLDGITHVFVDEIHERGMNEDFLLIVLKDLLPRRPDLRLILMSATLNAELFSSYFGGAPMIHIPGFTYPVRANFLEDVLEITGYKLTSFNQIDDYGQEKMWKTQKQLAPRKKKNQITALVEDAVEKSNFENYSPRARDSLACWAPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRVLVLTCHGSMATSEQKLIFEKPPQNVRKIVLATNMAEASITINDVVFVVDCGKAKETTYDALNNTPCLLPSWISQASARQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIAGFLSSALQPPESLAVQNAIQFLKMIGALDENENLTHLGKFLAILPVDPKLGKMLIMGTIFRCFDPVLTIVAGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKDAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFIFILKDAGLLDADTATNNKLSYNQSLVRAVICSGLYPGISSVVNRETSMSFKTMDDGQVFLYTNSVNARYQTIPYPWLVFSEKVKVNTVFIRDSTGVSDSIVILFGSTLDCGDVAGHLKMLGGYIEFFMDPSLADCYIKLKEELDILLQKKLQDPEVDIHKEGKYLMLAVQELVSGDQSEGRFVFGRENKKPKDSDADRFTRDGTNPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALAEFKGMQFVGKPKRNKALAEKDAAIEALAWLTQTSDKNHGEDDKSPPDVTDNMLKLLGKRRRSKRR >Solyc02g091840.3.1 pep chromosome:SL3.0:2:53708223:53728596:1 gene:Solyc02g091840.3 transcript:Solyc02g091840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat receptor protein kinase CLAVATA1 [Source:UniProtKB/TrEMBL;Acc:F8WS84] MRLLFFLLLLMHFTDFSAGKQPRLPEYQALLALKTAITDDPQLTLASWNISTSHCTWNGVTCDTHRHVTSLDISGFNLTGTLPPEVGNLRFLQNLSVAVNQFTGPVPVEISFIPNLSYLNLSNNIFGMEFPSQLTRLRNLQVLDLYNNNMTGELPVEVYQMTKLRHLHLGGNFFSGRIPPEYGRFPSLEYLAVSGNALVGEIPPEIGNIATLQQLYVGYYNTFTGGIPPAIGNLSQLLRFDAANCGLSGKIPPEIGKLQNLDTLFLQVNSLSGSLTPEIGYLKSLKSLDLSNNMFSGEIPPTFAELKNITLVNLFRNKLYGSIPEFIEDLPELEVLQLWENNFTGSIPQGLGTKSKLKTLDLSSNKLTGNLPPNMCSGNNLQTIITLGNFLFGPIPESLGRCESLNRIRMGENYLNGSIPKGLLSLPHLSQVELQNNILTGTFPDISSKSNSLGQIILSNNRLTGPLPPSIGNFAVAQKLLLDGNKFSGRIPAEIGKLQQLSKIDFSHNNLSGPIAPEISQCKLLTYVDLSRNQLSGEIPTEITGMRILNYLNLSRNHLVGSIPAPISSMQSLTSVDFSYNNFSGLVPGTGQFSYFNYTSFLGNPDLCGPYLGPCKEGVVDGVSQPHQRGALTPSMKLLLVIGLLVCSIVFAVAAIIKARSLKKASEARAWKLTAFQRLDFTCDDILDSLKEDNVIGKGGAGIVYKGVMPSGEHVAVKRLPAMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYKIALESAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGKKPVGEFGDGVDIVQWVRKMTDGKKDGVLKILDPRLSTVPLNEVMHVFYVALLCVEEQAVERPTMREVVQILTELPKPPGAKSDDSTVTDQSPPSASALESPTSIPGDTKDHHQPTPQSPPPDLLSI >Solyc09g062970.1.1.1 pep chromosome:SL3.0:9:61110285:61110557:1 gene:Solyc09g062970.1 transcript:Solyc09g062970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDNGLYDQKNVFVGAGGIGGIGSNGLPFGGVVSGVGGNVGGFGAGIGGGAGFGGGMGGGGLGGLGGLGGIGGGVGGGAGGGAGSLPLP >Solyc12g014210.2.1 pep chromosome:SL3.0:12:5045684:5055625:-1 gene:Solyc12g014210.2 transcript:Solyc12g014210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMKKRKLEDNGVGTDVPVANRLSIEDGRKILESVTPEQMVEILQNAVVRHPDVLDQVREIVDRDPTKRKLFVRGLGWETTTEKIRSIFGTFGELEEAVVILDKNTGKSKGYGFVTFKHVDGALLALKQPSKHVDGRVTVTQLASAGIQGGPGGGSSNNPVDVSLRKIYVSNVPYDMQSERILQHFLMYGEIEEGPLGFDKATGKSKGYALFVYKTAEAAQSSLVDPVKNIDGHQLNCKLAIDGKKKPGVGGPGGAQVQTDGHGDPMGPGQYGAPSGYGGFSGHSSYGGHGHGPNSALGSGNGIGVGGPGGSSYGNQSVGGGYGSGIGGPYGGGSHYGGAGSAGYGGLTGSGYGGAGAVGGAAGGLPGAGSTLGGAGRGSSMYGLPPSSAGMPSGDYPPQGSHYGPLHQPHGLQNQGPGASAAPRVAPGVALVVSPVFFWHQYALDSACRIFLLENVVCRLDWNDKHFCDVLVKCFDSLVQLLLVVLDECRCF >Solyc06g008490.3.1 pep chromosome:SL3.0:6:2378820:2389454:1 gene:Solyc06g008490.3 transcript:Solyc06g008490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKRIRLQGECDDRKRFSVPPGFESLTSFTLQKVENNEEACNSVAVGNESEQGPVQVASTATIISTGKLKSSVRRRPWILDDHVDHMEENFECESDKGSSSHAYLPRGVIRGCSSCHNCQKVIARSRPESARIPSLDEAPVLHPTEEEFKDTLKYVASILPHVKQYGVCRIVPPSSWRPPCRIEEEDTRCGVNTRIQRISDLQSLFLKMRLEGAHKKTNNRRQKIPSMKPEFGHSVERKEFGCCDEHFEFESGPKFKLKSFKNYADHFKRQYFVKEDQITASNFNSDAMQMLSEPSIPDIEGEYWRIIENPTEEIEVLHGNTTETSASQSGFPLKTNPRDVTACPEYVESGWNLNNTPKLQDSLLRFESCNSSSILLPRLSFGMCFSSNQWRIEEHHLYLLSYIHFGAPRIFYGVPGSHRCKFEEAVKKHLPPLSAHPCLLHNLATQFSPSILTSEGIPVYRCVQNPKEFVLILPGAYHAEFDSGFNCYEAVNFSPFDWLPHGQNAVELYREQDRKTSISHDKLLLEAAAEAIRTLGELALRNNNSFDDSKWRTVCRNYGYLTKALKTRVATEARRRKYLFASLESRKMEDDFCATTKQECVACFCDLYLSAIGCKCSAHKYTCLLHAKQLCDCAWSERYLLIRYEIDELNIMVEDLDRKVSAVHNRAKEKLGLPVSDVSKDAINEVGMETMKHKPVIPNVELSESTSHRSTSRQASDIQQYRNVDVFFAPSVVPSSTTMNLNHRSQLKENVHDENKVLLPKVSQNTAVGENIATSSSTVLKKHLAQGSSSTVRDVIILSDDED >Solyc06g074480.3.1 pep chromosome:SL3.0:6:46225488:46232258:1 gene:Solyc06g074480.3 transcript:Solyc06g074480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEAMGGLPGTSEITESTEDLNLATNKQYCMKDDINKLFEAIEIRTSRRGLSKSEQGVKDAFNKSAMKRPMRSSPSRASGFDNSEPASLKQAFRGLCISQASELAAVKKRSSKASRLSGVSETGAVKSIYRPVVVQDNVPERTVNEGGGNLVEISLIPDRSVSNTSDQITEYEHVPTKEKSTYAAYSCHISKGAMEHGAEISEISDGKEACPRSSEIGSGYLKEGSERKLFLELPQSSTATSANKVEAQTVSALDEAPTTVIPSDKEQALDSDLVSCSCTSITGNKVMRPTSRSPSLMRPVSRSRLFVKKNVIAALTNSSKQSNGGIDNYLSCSTSEIPCQTPEYVDQREEDKVPSESCGENSVEVSSSILESSVTKPGLSSSSCNRTRCIVKKGDERSLSREKGEISQSSKSSIGDYSSTTSLSEESYRSGSSRSGYRPHMSVDLRWEAIRCVQKQHGNISLKQFKMLKKLGGGDIGTVYLSELMGTNCQFAVKVMDNDFLISRRKMTRAQTEKEILQILDHPFLPTLYAHITNDKYSCLVMEYCPGGDLHVLRQKQANKNFSEQAVRFYVAEVLLALEYLHMLGVIYRDLKPENVLVREDGHIMLSDFDLSLRCVVNPMLVKSCSPVIKPPKTSSPCSESSCIDPFCLHPSWQVSCFTPRFLSATSKTRKLKADIAAQVTPLPQLVVEPTNARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLYGRTPFKGPGNEDTLANVVSQCLKFPSYPVVSSSARDLIRRLLQKEPENRLGAEKGAAEIKQHPFFEGLNWALIRCETPPELPRFCELGNVIPDTNQQNKEIAKSHKEFKSIEEDIAFEMF >Solyc04g057993.1.1 pep chromosome:SL3.0:4:55058991:55060028:1 gene:Solyc04g057993.1 transcript:Solyc04g057993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWLTESRSAVIFVLVHEKRVSLKKYLKSHSFGYIVLTTSAGIMDHEEARRKNVGGKVLDLFLLFFFLLPSNLVGILLKILGGLSDFVEKVAGAYSLSRQVTSKVADSTTKLSNYEDFGRMKSEISQAEFSRNKPSDLPKV >Solyc05g014480.3.1 pep chromosome:SL3.0:5:8346701:8349375:1 gene:Solyc05g014480.3 transcript:Solyc05g014480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYSKHLVLKKFLQLLRNPEEIPWAGAGADFVVESTGVFTDKGKVAAHLKIWCMRSGEFKTLA >Solyc02g079040.3.1 pep chromosome:SL3.0:2:44218812:44225992:1 gene:Solyc02g079040.3 transcript:Solyc02g079040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMEKRVLDPSSSEEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLNARVSPKRIEGPDGRNLQLQFRSKLSLPLFTGGKVEGEQGSAIHIVLIDGNTNHVVTSGPESLVKLDVVVLEGDFNNEDDDGWTQEEFESHIVKEREGKRPLLTGELQVILKEGVGTLGELTFTDNSSWIRSRKFRLGLKVASGCCEGIRIREAKTDAFTVKDHRGELYKKHYPPALDDEVWRLEKIGKDGSFHKRLNKAGIHKVEDFLRLVVRDSQRLRNVLGSGMSNKMWDALLDHAKTCVLSGKLYVYYPDDMRNVGVVFNNIYELCGLISGGQYHSMDSLSDNQKIYVDTLVKKAYDNWMQVVEYDGKSLLSLGQNKAAVSSQNDPTIGSQNHTISFDQQTNLPSLPASISSEQPSMNSGLNMGGYNDSLSGRYTMQPQNMNLNGNMQLNGASFPQNHLLGTSQQAQPHGSDSMLALRPPQPSMSSYFAASTPNPYKGAEDFLTEEEIRMKSHEMLENEDMQHLLRMFSMGQGHASSSVAEENYQYGSAYMPSMSSNFGFDEERTRSSGKAVVGWLKLKAALRWGIFIRKKAAERRAQITELDDS >Solyc11g068440.2.1 pep chromosome:SL3.0:11:53464357:53470581:1 gene:Solyc11g068440.2 transcript:Solyc11g068440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFFKALPLLLLLSFTDLFASTLSLGIGINYGQIANNLPTPSRVSFLLRSLNVTRVKLYDADPNVLTAFANTNVEFVIGLGNEYLQRMSDPQQAQAWIQQHVQPYHTQTKITCITVGNEVLTGTDTQLKSYLLPAMQGVYRALVNLGLSNEIYVAHPHSAGILENSFPPSSCSFRQDLSEYIHGMLNFHVQTKSPFLINFYPFFAYKDKPNEVPLDYVLFQPNQGTTDPITNLKYDNMLYAQIDAVYSAIKAMGHTDIPVKVSETGWPSKGDPNEFGATPENAALYNGNLFQRMQQNQGTPAKPSEPIDVYVFALFNENLKPGPASERNYGLYYPDGTPVYNIGLRGFLPRMDYSAAKKNAFFISAFLLLMMIFLIHF >Solyc12g049660.1.1.1 pep chromosome:SL3.0:12:62423843:62424025:-1 gene:Solyc12g049660.1 transcript:Solyc12g049660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENHPLVTTAHIKRIPRQKICNFIKLLFSPFQISKLMKSTLPSNLISDDLPSPRFQALT >Solyc04g006930.3.1 pep chromosome:SL3.0:4:617529:625417:1 gene:Solyc04g006930.3 transcript:Solyc04g006930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLLYEGSAPPTDNAPPTSSPPPTPSSPPVSSPPPPSSSPPPSSPPPASSSPPPSSPPPESTSPPPSSPPPKSSSPPPASPPPAPTPPPPVSSPPPTSSPPPALSPPPHVQNPEPPPAPQSHGNSSPPQSPEPKKGSSSSSPPSPPSSNSPGDGGRGGDTPPSPTSKSSENSPPSPALGPSKDSPPSPATLSPSNSPSSDSPSNSSTPTSVIQWSPPPPSSNGAQSSLSPPSSQVPSNHNSGNRSTESPKPAGGNSSGTAESASIGIVIVLLLVGIIGAVVWCIRKRKKKNSVHCSGYVKPISTCSSPKSADPGGFNNAKTWFTYQELVEATNDFSAKNELGKGGFGSVYKGYLADGRYVAVKQLNIGGSQGEREFRAEVEIISRIHHRHLVSLVGYCISENKRLLVYDYVSNNTLYFHLHAQGRPVMNWPTRVKIAVGAARGIAYLHEDCYPRIIHRDIKSSNILLDDNFEAHVADFGLAKLAQDAESHITTRVVGTFGYMAPEYASTGKLTEKSDVYSFGVVLLELITGRKSVDTSQPSGQENLVEWARPLLSRALQKEEFDLLADPCLEKNYVGTEMFRMIEAAASCVRHSSAKRPAMGQIMRAFDGMAIHDLSNGMKVGESAIHSAALQSAEISWFRKMLKDIFMRFDLNGDGSLTQLELAALLRSLGLKPGCGDQLHVLLSKIDHNGNGSVEFDELVDAIMPDMNEDILINQDQLMELFQSFDRDGNGYITAAELAGQMSKMGHPLTYRELSNLMQEADTNGDGVISFNEFANILGKSATDFLGLNTVSESVA >Solyc02g093350.3.1 pep chromosome:SL3.0:2:54882707:54888509:1 gene:Solyc02g093350.3 transcript:Solyc02g093350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSNTNSFLKSQRHQVYCRKKEKDKSQNPQPYKVIEISPPPKNLGIRCLPSNLQCGESVTIEGRAYTISAVTHRYQLRKGKYEPSEKRLDVLSTGRYILNLYLENLLEQS >Solyc10g036820.1.1.1 pep chromosome:SL3.0:10:17097130:17097405:-1 gene:Solyc10g036820.1 transcript:Solyc10g036820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDNISQSLHASVMACCIGWGDISCDLHASSSLCFPMERSINQGLHASSMACGGFRKVNAYIFKETSTKGRKHQPRSEHISYGVCASTKQH >Solyc02g090877.1.1.1 pep chromosome:SL3.0:2:53004915:53005333:-1 gene:Solyc02g090877.1 transcript:Solyc02g090877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLSLKPKTVCIRRLS >Solyc03g115930.2.1 pep chromosome:SL3.0:3:66986689:66996466:-1 gene:Solyc03g115930.2 transcript:Solyc03g115930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKTHEEIEKVVLDILSTFQDLSYCFSLSIRAIGWFFIILRNALTRVDHDTNPPDAKNCGHDYENCDNKEEIVEDKLMGLCNLNENETEYAEVSSLFDEVEPSFEEIKEAFDVFDENGDGYIDASELMKLIWRMGLSEFSMEHCKKMIMAFDENRDGKIEFSEFLKLMEQSFRDPVALD >Solyc03g051785.1.1 pep chromosome:SL3.0:3:22793897:22797561:1 gene:Solyc03g051785.1 transcript:Solyc03g051785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPMNKVSLFLYTSKHVFSSMVKKPYFYEQREPLYGCLSCNGSLSEALYEMAKQGLQVKFKEYDTVLNECINQRAIREGQRVHAHMIKSHYQPPVYLRTRLIVFYIKCGLLGDARWVFDEMPQRNVVSWTALISGYSQTGDISEAIHLFLQMLTSGTAPNEFTFATVLTSCTSAIGFQFGRQIHCLLVKSPFESHVYVGSSLLDMYAKAGKVHEARYIFENLPERDVVSCTAIISGYAQQGLYEEALDLFRKFQVERMSFNYVTYTSVLTALSGLAAVEEGRQLHARIIRLELPFYAVLHNSLIDMYSKCGKFTYSRMIFNQMSERTVSSWNAMLIGYSKHGMGKEVVDLFEMMREEDKIKPDEITLLAVLSSCSHGGMEDKGVEIFNDLCSGKERVEVSIEHCGCVVDLLSRSGQVERAFQFIKEMPSEPTAAILGSLLGACWAHLYVDIGEIVARSLLEIEPENAGTYVILSNMYASAGRWEDARRVRELINARAMVKESGKSWIGPDFIHETEKDIAKT >Solyc01g007960.3.1 pep chromosome:SL3.0:1:2083908:2109080:1 gene:Solyc01g007960.3 transcript:Solyc01g007960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAAPSFLCSLCVIVLILLLPDTSVCEPRSQTVQITCNTQLEHNTTVFVPNFVAVMETISQQMRTRGYGVAVSGTGPDTNFGLAQCYGDLSLLDCILCYAEARTVLPQCFPYNGGRIYLDGCFMRAENYTFYDQYLGPEDRHACENRTTKGTLFQQNARRAIQQAVVNAPTNNGTARAQVSVPGPSNETAYVLADCWKTLSANSCAACLQNASASMLGCLPWSEGRALYTGCFMRYSDTNFLNAISVSEGSSSGGKSVVIVVVVVSSVVVLGVGAVIGIYVWKNKQIQKKRKGANDAEKLVNILHDNSLNFKYSTLDKATGSFDEANKLGQGGFGTVYKGVLADGREIAVKRLFFNNKHRVADFYNEVNIINSVEHKNLVRLLGCSCSGPESLLVYEFLPNQSLDRFIFGKALNWEKRFDIIIGTAEGLVYLHENTKTRIIHRDIKASNILLDARYRPKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYIAHGQLTEKADVYSFGILLLEIVTGRQNNRSKHVEYTDSLVSIAWEHFQRGIVEKLFDPNFMLNNNHTINVKSEVARVLHVGLLCTQEIPTLRPFMSKALKMIVKKDEELPPPSNPPFVDEKTMELHDPWEKKLLKQGDSASIANLSDMAESTSQIVQIVCGNETGANVTNYMDTTKNISQQMRTRGYGVAVTGTGLDTNYALAQCYNNFSLVDCVLCFTEAEALLPQCYPYNGRRIYLDGCFFRVDDYMFYDQYLGPEDRHLCGNRTKKGALFQQNARRAVQKAVANAPSNDGYARAEVSVHGPFNETAYVLADCWKTLNANSCAACLRNASASMLGCLPWSEGRALYTGCFMRYSDSNFLDDTSTSGGSSSRGKVVVIVIIVVISSVVVLGAAIFVVFGVWKKKQIQQKRKGANDVENFKYSALDKATGSFDEANKLGQGGFGTVYKGVLADGREIAVKRLFFNNKHRAADFYNEVNIISSVQHKNLTRLLGCSCSGPESLLVYELLPNQSLDRFIFDPIKGKALNWEKRFEIIVGTAEGMVYLHENTNTRIIHRDIKASNILLDSRLRAKIADFGLARSFQADKSHTSTAIAGTLGYMAPEYLARGQLTEKVDIYSFGVLLLEIVTGRQNNKRNNAEYTVSLVSDAWEHFQRGIVEDLFDPNLMLHNNHTINVKNEVARVLHVGLLCTQEIPTLRPSMSKALQMFIKKDEELPPPTKPPFVEEKTMETHNPREKYSIKEGASATIANLSHNTSVCEPTSQTVMILCNTQLLHNWTIYVRNFVAAMETVSQQIRKGGYGVAVSVTEPDTSYALAQCYDDLSLPDCTLCYAEARKILPRCFPHNGGRIYLDGCFVRAESYTFYDQYLGPEDMHICGNRTRKGTLFQQNARRAIQQAVVNAPTNNGYARAQVSGPSPSNATAYVLADCWKTLSASSCAACLQNASESMLGCLPWSEGRAFYTGCFMRYSDTNFLNATSTSSGSSSRGTSFRPGLLYTEDNIQRYGLLMLFRGNLNLLGAADVEKLVKTLHKNNLNFKYSTLEKATRSFEEANKLGQGGFGTVYKGVLADGKEIAVKRLFFNNKHRAADFCNEVNIISSIQHKNLTRLLGYSCSGTESLLVYELLPNQSLDRFIFDPIKGKALNWEKRFEIIIGKAEGMVYLHENTNTRIIHRDIKASNILLDSRLRAKIADFGLVRSFQEDKSHISTAVAGILGYMAPEYLARGQLTEKADVYSFGVLLLEIVTGRQNNKRNNNEYTVSLVSDVWEHYKRGMVEELFDPNLMLHNYHTINVRNEVARVLHVGLLCTQEIPTLRPSMSKALQMFIKKDEELPPPTKPPFVEEKPIETHNPQEKYSIKEGAFATIANLSHNTSVAEPRSQIVQLICENGTTVSVSNFVNTMETISEQMRTRGNGVAATGTGPNASYGLGQCYGDLSLSDCVLCFSEARTVFPQCFPSNKARIYLDGCFMRADNYNFYDQYFGPEDRHVCGNRTTKGSLFQQNVRRAIQQAVANAPSNNGYARAQVSVPGSSSMTAYVLADCWKTLSANSCAACLQNASASMLGCLPWSEGRALYTGCFIRYSDTNFLNAISTSRGSSRGANDAEKLVKILHDISLNFKYSTLDKATGSFDESNKLGQGGFGTVYKGVLADGREIAVKRLFFNNKHRAADFYNEVNIISSVEHKNLIRLLGCSCSGPESLLVYEFLPNQSLDRFIFDPMKGKDLNWEKRFKIIIGTAEGLAYLHENTKTRIIHRDIKASNILLDSKLRAKIADFGLARSFQEDKSHISTAIAGTLGYMAPEYLAHGQLTEKADVYSFGVLLMEIVTGRQNNRSINDENTESLVSIVWDYYQRGIVEELFDPSLMLHNYNTINVKNEIARVLHVGLLCTQEIQTVRPSMSKALRMILEKEEELPAPTNPPFVDENTMELHGPWEKYSLSQGDSSSIANLSHSSFYPR >Solyc08g007560.2.1 pep chromosome:SL3.0:8:2106560:2108738:1 gene:Solyc08g007560.2 transcript:Solyc08g007560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIADGCDIMESVSNFSRRRQRGVCIMSGTGNVTNVNLRQPASPGAIATLHGRFEILSLAGSFLPPPAPPAASGLTIYLAGGQGQVVGGCVVGSLMASGPVVIMAASFSNAAYERLPLEEDDSNQLPVQGGSLGSPGATVAPGGPQHQQLLGDPSLFHGMPPNLLNNVQLPSEAYWATGRPPF >Solyc05g008660.1.1 pep chromosome:SL3.0:5:2954643:2955185:-1 gene:Solyc05g008660.1 transcript:Solyc05g008660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLCTPDAMDGVMECYLLPFVVIEELDSHYVRGKQKLMTDPFKCMKWLERQSNNNVIPLHYVLRELNMRLEYLQFIMKRWPNRYDEFSNVHDTIKRIGIDIARICFVNPGVSAELRPEWYGSSKRSRRCSQTPELYPIFGLRLKMQKTILLTTWECHLFRGM >Solyc10g024440.1.1 pep chromosome:SL3.0:10:14281447:14281854:-1 gene:Solyc10g024440.1 transcript:Solyc10g024440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELWHVRVESEVGQGHAASFKAPSAKACALQNQQRHAHISRGVCASAGRHLHRPTLGNISQTCALQAGEIGQR >Solyc03g119640.3.1 pep chromosome:SL3.0:3:69680470:69684905:1 gene:Solyc03g119640.3 transcript:Solyc03g119640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter-like [Source:UniProtKB/TrEMBL;Acc:K4BMB3] MVRKLNSFRKSFEDKRLRERLLSLCNGVEYTELPGFRHSLDHQDQETSGCCSSFRERFSELWKDWKRVSVKAMEMGRTDPRKIIFSAKMGLALILISLLIFFKEPAVKELGKYSVWAILTVVVVFEFSIGATLSKGFNRGLGTLSAGGLALAMAELSQLAGEWEEVVIVIGIFITGFLITYAKQYPAMKPYEYGFRVFLITYCFIMVSGYHTREFIETAVSRFLLIALGASISLAVNICVYPIWAGEDLHNLVTKNFINIANSLEGCISEYLNCVEYKRIPSKILTYQVADDPVYNGYRSAVESISQEEALEAFAVWEPPHGPYKMIKYPWKNYVKVSGALRYCAFMVMALHGCILSEIQAPAERRQVFRNELQRVGTASAKVLRELGEKVKKMEKLGSGDILYEVHEAAEELQKKVDRKSYLFVNAENWEIGTRATAVDISQELGSMDEDKSLLQHHRSQSETVINIDSILASKSWDNRTCNLASNNNQTTGVTPENSVGKPKFRTTHSLPKDNDALKEVEAGEDEEEEEEESKTYESASALSLATFTSLLIEFVARLQNVVDSFEELSEKAKFKDPMDLSDASQKVGLWSRFKGCIKFWKRESSLPV >Solyc03g121540.3.1 pep chromosome:SL3.0:3:71118541:71124280:1 gene:Solyc03g121540.3 transcript:Solyc03g121540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:Q9ZP11] MGCTLILMLNVLLVLLGSWVFSGTASVSYDHRAIIVNGQRRILISGSVHYPRSTPEMWPGIIQKAKEGGVDVIQTYVFWNGHEPQQGKYYFEGRYDLVKFIKLVHQAGLYVHLRVGPYACAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQKFTAKIVNMMKAERLYETQGGPIILSQIENEYGPMEWELGAPGKSYAQWAAKMAVGLDTGVPWVMCKQDDAPDPIINACNGFYCDYFSPNKAYKPKIWTEAWTAWFTGFGNPVPYRPAEDLAFSVAKFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDPAVTALGHQQEAHVFRSKAGSCAAFLANYDQHSFATVSFANRHYNLPPWSISILPDCKNTVFNTARIGAQSAQMKMTPVSRGLPWQSFNEETSSYEDSSFTVVGLLEQINTTRDVSDYLWYSTDVKIDSREKFLRGGKWPWLTIMSAGHALHVFVNGQLAGTAYGSLEKPKLTFSKAVNLRAGVNKISLLSIAVGLPNIGPHFETWNAGVLGPVSLTGLDEGKRDLTWQKWSYKVGLKGEALSLHSLSGSSSVEWVEGSLVAQRQPLTWYKSTFNAPAGNDPLALDLNTMGKGQVWINGQSLGRYWPGYKASGNCGACNYAGWFNEKKCLSNCGEASQRWYHVPRSWLYPTGNLLVLFEEWGGEPHGISLVKREVASVCADINEWQPQLVNWQMQASGKVDKPLRPKAHLSCASGQKITSIKFASFGTPQGVCGSFREGSCHAFHSYDAFERYCIGQNSCSVPVTPEIFGGDPCPHVMKKLSVEVICS >Solyc06g052070.3.1 pep chromosome:SL3.0:6:35811578:35816722:-1 gene:Solyc06g052070.3 transcript:Solyc06g052070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISDDEECVFFDASENIGQVPDLGSNEPQVLKSSSRIDNCVTSSYQYDVWSRSPSSVRERRREFFSWMGEDLVDVYGSSDSSCEIERIMESSEAVVRTSILEDEFPSQQVHLPGLSVGVLGSYKELDSNETFVCRSGNPDGGSECDVHDQAETNHETMKLERQQLGQQEFEANGDARRKLNVVKRSLISRLRLLTCIVNDRGRTNNSKIDSSSTVQRSRVQRTKVHHRKKRLNELSGLFVGQDIQAHEGSILTMKFSLDGQYLASAGEDKIVRVWQVVEDERSDEVDIPDLDPSCMYFTVNHLSQLAPLVTEKERINKLRGLKKTTGSSCVIFPPKVFRILEEPLHVFRGHSGDILDVSWSENNYLLSSSMDKTVRLWQVGCDKCLKVFSHSNYVTCVQFNPVNDNCFISGSIDGKVRIWAINSGQVVTWTDIKDIVTAISYRPDGKGGIIGSIEGACCFFSFIDDEIQLEEQICLVSKKKSLCKRITGFQFLPQDPSKVIVTCADSLVRILSGINVIGKYRGLRNAGSHLSAAFSSDGKHIISASEDSNVYLWNCHIPEETSVSQPEAVRSFEFFYSDASIAIPWSGLKNVNHENQCHSQVLSQSLNNFPLGASPCFSLGQGLFLEAIPKGSATWPEEKLPLSSPRSVPSAMCKSQYKFLKSSCQSSSSSHAWGLVIVTAGYDGRIRSYRNYGLPSPL >Solyc08g041827.1.1 pep chromosome:SL3.0:8:25775428:25779171:-1 gene:Solyc08g041827.1 transcript:Solyc08g041827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKNDESTSSINNTSSLASSLMTRIVSTAKFAVEIFDGSGRFGMWQGEVLDVLFQQGIDIAIEEKKPGGVGEEGWKIINRVACGTIRSYLAREQNTMNDHITSFNKLATDLRNMDVTFTDGDMTLMLLSSLPNEFEHLETTLLHGNDEVSLKEKGRCKSRLRLGKDECAFCREKGHWKKDCPKLNSKVKPNNGKAVVDSNVADCDDSNYSLVITDPSKSSDVEFEGKIIFPTQGSNEETTEDFPLKGESVEEEVPYQEPQPQLESIATGNPERTIRKPARLIDMVASSKSQEEIEKLKIQLRKEFEMKDLGEEKKILGMKIKRDRHSKKLYLSQKGIFEESTKAIRTPLAPHFKLSDAMSPNNEAEREYMSRVPYANAIGSLMYVMAVKWIPRYIHNTVDVGLVFEQKDSQYVVGYCDSDYAVALSTTEAEYMAIIEAAKEASRIA >Solyc01g086680.3.1 pep chromosome:SL3.0:1:81518596:81520179:1 gene:Solyc01g086680.3 transcript:Solyc01g086680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVKVHGIFAGPFNKRVELALKLKGVKYEYIEEDRSNKSDELVKYNPIYKQVPVLVHNGKPICESIIILEYIDDTWENNTIPLLPKHPYQRSMARFLAKMCHQLMGAMYKVCYGKGEEREKGCDETFEVLKYLDNELQNKKFFGGDSIGFVDIVASYIALWFGAIQEAIGMELLTEQKFPKLSKWIDEFLCCRIVKENLPNREVLVPLYKAQFAAATQKASS >Solyc02g086450.1.1.1 pep chromosome:SL3.0:2:49755120:49755437:-1 gene:Solyc02g086450.1 transcript:Solyc02g086450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKKHQLVDGSKEENKMWVIAGIAFRPNKLKSISTKPNRGGEEEAEEEEGSKTPTTRDSRIPEKLPCPPAPAKRRPVSTCHYNGAREFFNPPDLESVFIIRHV >Solyc10g076760.2.1 pep chromosome:SL3.0:10:59817212:59820081:1 gene:Solyc10g076760.2 transcript:Solyc10g076760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSCFGSFRQCKDNRGTQVQVKLVLTFEGLEIATNNVRLFSYNSLRSATENFHPSKKIGGGGFGVVYKGVLRDGRTVAIKCLSAESKQGTSELLTEINMISNTRHPNLVQLIGCCVEGVNRILVYEYLENNSLASALLGSKGKRVPLNWTKRAAICLGTASGLAFLHEEAEPPIVHRDIKASNVLIDENLHPKIGDFGLAKLFPDNITHVSTRVAGTIGYLAPEYALLGQLTKKADVYSFGVLILEIVSGSSSSNAAFGEDLLILVEWAWKLREEGRLLDLVDPELTDYPEAEVLRFIKVALFCIQSAYNQRPNMKQVIKMLSKEVKLNEKLLTEPGVYRPHSSKRSSYSSNTTTSSKGKKGVTSANTTDFHSFQSVSEMIPR >Solyc05g016341.1.1 pep chromosome:SL3.0:5:15759858:15760238:1 gene:Solyc05g016341.1 transcript:Solyc05g016341.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQDYKTTSSDHCVFAQKKFDSDFIILLLYVDDILVVGKNTSKIDELKDERKIYFSQKKYTECVLERFSMKNAKPFSTPLSDHMKLRKKMFPTTMKEK >Solyc03g079903.1.1 pep chromosome:SL3.0:3:53224160:53238175:-1 gene:Solyc03g079903.1 transcript:Solyc03g079903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQDDVHHDVEVGTNCEHSHGSVDEWDLSKGEECDLEWMDAISNERERVKKCAENNAHSKKGKWPMSYNEHGSNVEGGTEARIGAEAVTQKFEPYG >Solyc08g062390.1.1.1 pep chromosome:SL3.0:8:51120536:51120718:-1 gene:Solyc08g062390.1 transcript:Solyc08g062390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLLFCRKASRFSPLIASYGVRCFTREADDPCLLSYVILSVLSYNNLPALSIILSTFCP >Solyc06g030510.3.1 pep chromosome:SL3.0:6:19078937:19082746:1 gene:Solyc06g030510.3 transcript:Solyc06g030510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNLEPVAVTSQKHDPAWKHCEMFKNGDRVQLKCIYCGKIFKGGGIHRIKEHLAGQKGNASTCLRVQPDVRLLMQDSLNGVVMKKRKKQKLAEEITTYNAIDTSDIAAEFTDTCGLNTQVDLLPMSQAIEHTSSLFLNRDQGPNNRKKKSRIRKGASSSNNLPIINQSKRVNNQVHMAVARFLLDARVPLDAVNSVYFQPMIDVIASQGPPVSAPSYHDLRSWVLKSSVQEVRTDIDQCSSTWARTGCSVLIDELITGKGKILLNFLVYCPQGTMFLRSVDASTLINSTDYLYELLKEVVDEIGVRNVLQVVTSNEERYVIAGKRLTDAYPTLFWTPCAAHSIDLMLEDFNKLEWIDTIMEQAKSISRFIYNNNILLSMMRKFTLGVDLVDLGVTRSATDFLTLKRMQNIKHNLQSMVTSVEWAESPYSKKPEGFALLDYISNQSFWSTCSLICRLTDPILRLLRMVSSEERPAMPYVYAGVYRAKETIKKELVNKKDYSVYWNIIDHRWESLQRHPLHAAGFYLNPKFFYTTEEDVHLHIRSLVYDCIEKLVPDPKIQDKIVKETTSYLNSAGDFGRKMAVRARDTLFPAEWWSTYGGGCPNLARLAIRILSQTSSLIRSKPGRIPIEEMHETTNCIEHQRLNDLAFVQYNMWLRQRKNQEPDCMDSISYEKMELVHNWVSRREQMSEDLESSDWMAVDPPLGSIAPLGPLIDDIEALGTGFDDFEIFGGPKDSEEEIGEENTVNE >Solyc11g017474.1.1 pep chromosome:SL3.0:11:8515063:8516391:-1 gene:Solyc11g017474.1 transcript:Solyc11g017474.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNVMGTILSGFGDIVGKLFGHPLDFCLEKLVDSMSFSCSSTCFTSWEFVSVFVTYFVELHGHKLLLTPRHNRRRRRHQKDIEEAFVDISSNSSESQGSIKFDDTKRGKSLREHRKDHMRKSLRPKSHHMQVQIVNDSIHHHKKKKFKNGPIDDHIRVSRRKKFAQKGMFVKGSTRSRRRV >Solyc09g076057.1.1 pep chromosome:SL3.0:9:68183707:68187966:1 gene:Solyc09g076057.1 transcript:Solyc09g076057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEKQQEKAAAQASSSAGEKHGQSSGADKGKNVAVGMFNHMALISHISIAALAAKPAGVRPRESLFVDAKLNGKDVRIMVDTGATHNFVTEQKAKKLGLNYVASNTKLKTINATPTTVNGFAAAVPIELGEWAGQTDFTIAPMDVFDIILGLDFWYEVNAFMSPRHNHLHISDVGGSCVVPLIRVPQTGMHLSAMQIIKGFKRGEPTFIATLIEDAGSCDEAVPLPPCIEHVLSSDKDVRPAELPQCLPPRREVDHQIELVPGAKPPAMTPYRMAPPKLEELRKQLKELLDAGHIRPSKAPFGGPVLIADGDEPKTACVMRYGAFNWLVMPFGLTNAPATFCTLMNRLFHYYLDQFVVVYLDDIVVYSDNMEDHVEHLCKVFEILRNNELYVKREKCSFAQPIVRFLGHTISHGKIQMDSDKIAAINNWEAPTKVPELRSFLGLANYYRRFIFNYSAIAAPLTDLLKKDRAWNWSAACQTAFERLKLAVTQEPVLALPDFSKPFEVHTDASDFAIGGTRS >Solyc03g033440.1.1 pep chromosome:SL3.0:3:5023918:5024334:1 gene:Solyc03g033440.1 transcript:Solyc03g033440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFLQPFFYVLVFYMTDIRLDLGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSSGSGKGQRKDLISKQSLERKELLIRFWKKLPTDTPLREAELM >Solyc06g048900.1.1.1 pep chromosome:SL3.0:6:32009341:32011893:-1 gene:Solyc06g048900.1 transcript:Solyc06g048900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLCFKSRQFSTINAVVIVNSRIKAFIEQGNHLQALLAYSKEPLFPLHTSKFTFPPLLKACAFLPNLQTGKIIHGTIIHMGLHYDPFIITSLINMYVKCGSLCNAVQVFDFISQCEDFDGDVTIWNAMLDGYIRNGLTEECMGLFRRMQEIGVKSDEYSLSILLGLFNGRMGLSKAKEVHGYVIRNSFGHDPFVVTALIDIYSNCGRPKDAWCVFGSVQDKDNIVMWNALIRGLSENGLWRNSMRLYSLAKDRGCKLMSTTFSCTLKACAEGEDIDFGSQVHSDVVKMDFENDPYVCTSVLSMYARVGLLEEADRAFSSALDKEVEVWNSMISAYVGKGRGDDAFCVYNVMRSRGILSDSFTLSNILISCSMTESYDLGIAIHGEVIKKPIQNNVALQSALVTMYSKCGMLKDALDVFNRMEEKDVVAWGSMISGLCQNKNFNLALEIYKEMETHKVNPDANIMAMLINASAGLESLELGCSIHAITVKSGEEVDSSVSCSLVDMYSNCGKPEMAEKIFSGVPHKNLVAWNSLISCYSKNDSPELSLNLLPQLVQHGLYPDAVTLTSALAAVSSLAILIKGKAIHCYQIRHQILEDNQVENALIDMYIKSGCLKYAERIFQHMSKRNLVTWNTMVAGYGSHSECMKAINFFNEMRKSGVTPDAVTFLSLISSCNHAGFIDEGLKLFHLMKLEYGIKPQMDHYINVVDLLGRAGRLDDAYNFIQNLDVEPERGVWLCLLSACRVHQNVKLGEIAANNLLKMEPNRGSNYVQLLNLYVEGGMREEAASLRALMRQKGLKKNPGCSWIEVKNELEVFYSSDSSSTKTIEIYETLQGLRSIMKKKGDYETQAI >Solyc03g121810.2.1 pep chromosome:SL3.0:3:71336467:71341272:1 gene:Solyc03g121810.2 transcript:Solyc03g121810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4BMY0] MAGGRIRAKIRRSSIYTFGCRKRPPTSEEESPHELGPGSSRVVHCNKPQLHDKKPLKYCTNFISTTKYNVITFLPKALFEQFRRVANLYFLLCAILTVTTDLSPFDPFSTVAPLVFVVGLSMAKEGLEDSKRFLQDMNVNRRKASVHSIDGVFEDKPWMKVRVGDVLKVRKDQFFPCDLLLLSSSYEDGICYVETMNLDGETNLKVRRSLEVTLPLDDDQDFKEFSATIKCEDPNPSLYTFVGNLEYDRQVYPLDPSQILLRDSKLRNTGYVYGVVVFTGHDSKVMQNSTKSPSKRSRVELQMDKIIYLLFGLLLLISCVSSIGFALNVKFDMPKWWYLQPYDNSNNSTDLSEPELSGLLHLLTALILYGYLIPISLYVSIEVVKVLQASFINQDISMYDDETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGVQYGTRASDVELAAAKQLAEDMGGQDLEPSQTTDGGNGGVQLETVVTSKDERNLKPAIKGFSFEDSRLMKGCWMKEPNTDVILLFYRILAICHAAIPEHNEETGGFNYESESPDEVSFLVAAREFGFEFFKRTQASVFVKERYPSFQDPIEKEYKILNLLDFTSKRKRMSVIIRDDTGQILLLCKGADSIIYDRLAKNGRRFEEAMTKHLNEYGEAGLRTLVLAYKKLDEAEYSTWNEEFTKAKTSIGGDRDVVLERVSDMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRQGMKQICITTVDADSVAQDSKQATKENILKQITNASQMVKLEKDPHAAFALIIDGKTLSYALEDDTKLQFLNLAVDCASVICCRVSPRQKALVTRLVKEGTGKITLGIGDGANDVGMIQEADIGVGISGAEGMQAVMASDFAVAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEVYAGFSGQSVYNDMYMILFNVLLTSLPVIALGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWLSNGIYTSLVVFFLNVFFFYDQAFHSEGQTADLAILGTSMFTCVIWAVNCQIALTMSHFTWIQHVFIWGSIAVWYLSVVLYGEIFPDYAKYAYRILQEHLGPAPIFWCTTLLVTLACILPYLAHVAFQRAFHPRDHHIIQEIKYYKKDVQDERMWKRQQTKARQKTNIGFTARVDAKIRNLKGRLQKKHSQME >Solyc03g097440.3.1 pep chromosome:SL3.0:3:61197481:61200497:1 gene:Solyc03g097440.3 transcript:Solyc03g097440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLDLIHKFLNLVAPPFTLFTLLIFLPPYQFIKYFLSILGKFFSENVAGKVIVITGASSGIGEYLAYEYARRGACLTIAARREKSLREVAERALDLGALDVLVVPADVSKVEDCRRVVDKTMSHYGRLDHLVNNAGVTSVSLFEEIEDITNMRSIMDINFWGSVYMTRFAIPYLRYSEGRIVVLSSAASWMPAPRMSLYAASKAAMVLFFEALRIEFGRDIKITLVTPGFVESEITQGKFIDKTGKVDVNPQMRDVEVGLTPVMKVEDCAEAIVNGACRGERYITVPSWFRVTYLWKVFCPDVVEWFLRLSYFSGWGASPEDAPSKKILDYTGVRKVLYPENIRELGPKTE >Solyc09g066130.3.1 pep chromosome:SL3.0:9:64762456:64769270:-1 gene:Solyc09g066130.3 transcript:Solyc09g066130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTHAAISFKPSSSGLFSLNPGIFQNPSFVIRPLRNKLRYSILNCRGVEIPFPKLRPSINSVNNGSTSIAAAVKDVDIATLGNLCVDIVLNVPELPPKPLEQRKAYLEQLSKSPPDTRYWEAGGNCNVAIAAARLGLRCISVGHVGDEIYGRFLIDVLSDEGISIVGMNERSEALNLSSSENGTLLCWVLVDPSQRHGFCSRADFSADPAFSWMTRLSTEVKMAIRKSKILFCNGYDFDELSPSLLESALECAVESGTSIFFDPGPRGRSLIAGRPEEQRTIGKLLTMSEVLLLTSEEAASLTGIKDPILAGQDLLNNGVCTKWVIVKMGPKGSILITKSSITCAPAFKVNIIDTVGCGDSFVAAVAFGFIHDLPLSYTLTLANAVGAATATGCGAGRNVASLGKVQELLKESNLNEDEKFWDEVLNDNVNSRDVTLLSKMVVNGNSQVNRVSLQKVVSEVLPKLEFAPKMTGSFK >Solyc11g008540.2.1 pep chromosome:SL3.0:11:2713505:2724607:1 gene:Solyc11g008540.2 transcript:Solyc11g008540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTDVAVSEDQQLSADPLPFARSYQLEALETALKQNTIVYLETGSGKTLIAIMLLRSYAYLLRKPSPYIAVFLVPTVVLVTQQGDALMLHTDLKVGKYWGEMGVDYWDASTWQQQVDDHEVLVMTPAILLAALRHSFLQIDMIKVIIFDECHNARGKHPYASIMVHECSISKSNLSAMSAGSARRRLSKLHMAFLFCLSEMGVWLAFKAAEFLSFEENDFFSWGEFDACAQTIVRDFSLGASKIFSARLPSGPHWSIGGDIQANADAGYLSSKVNCLLESLLEYRDQKDLRCIIFVERIVTAIVLRSLLNELLPERSGWRTEYTAGHVSVLQSQSRKSQNKIVEEFRKGLVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDFLLMVRDGDDPTLTRMQNYMASGEIMRQESLRHASIPCSPLDDELYDEPCYKVESTGAVVTLSSSVSLLYFYCSRLPSDGYFKPNPRCVIDKETETCTLQLPKSSPLQGIISVQGKRKILKQLACLEACKQLHRVGALTDNLVPDIVEEEIINKELECQIQTVEESKYFPPELVSHCSNDSEAVYYCYLVEMQHASYNDFELHGIILAVRTRLKCDDEILAFDLDVDRRGLLQVQLNYSKVVTLTAEEIRRCQRFQVSVFRILLDRDLSILQDALGAVQSPIGSAVSDYLLLPSLGSTPEINWKCVNSLLFPSQALGDKHMDWCSTQDRKRSVNTKNGLVCSCMLENSLVFTPHNGYIYCVTGFLDKLDCNSLLGMRTGESITYIEYYKKRHGINICFEEEPLLRGKHICKVHNYLQRSRTQKAKDSTDSSVELPPEICSLIMSPLSISTLNTYSYVPSIMHRIESLVMASNLKRMHLDHCTLNVPIPTATILEAMTTKKCLEKFHLESLETLGDSFLKYAASIKLFKTYENHHEGLLTVKKTQIISNAALCKLGCARKIPGFIRNEPFDLKAWIIPGDNSQVHSFDEVLLTSSDKMYSRVKQKIRSKRVADVVEALIGAYLSSGGEVAALSFMKWLGVDINFVDAPMSRHFPMNAEKLVNVRYLESLLHYKFNDPSLLVEALTHGSYMIPEIPRCYQRLEFLGDAVLDYVVTAHLYFKYPGLTPGLITDLRSASVNNECYAQSAVKAGLHKHILHASQDLQRQICSTVEDFDKLNLDSTFGWEAETTFPKVLGDVIESLGGAIFNGIAYITVEVEANGVVHKSTCSGRDKLIAKKVASKNVLKSLKECPSNATEQ >Solyc06g062280.3.1 pep chromosome:SL3.0:6:39401633:39404513:1 gene:Solyc06g062280.3 transcript:Solyc06g062280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLILFVNLILIFIISVNSQIPYGFWYSGDGEIDLTKSIVIEAFLDPVCPDSRDSWPPLKKALHHYGSRVSLVVHTFPLPYHDNAYTTSRALHIVNKLNSSATYRLLEAFFDQQDKFYNHATFNLSKASVVDEVAKFTSNEIGNSNYAAIKAGFSDPKTDQATRISFKYGCKKGVYGAPFFFVNGFLLPDGGSPLDYKTWRDVLDPLIPPEEQSRTENLNFFL >Solyc07g008620.1.1.1 pep chromosome:SL3.0:7:3569764:3572859:-1 gene:Solyc07g008620.1 transcript:Solyc07g008620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIX1 description:Receptor-like protein EIX1 [Source:UniProtKB/Swiss-Prot;Acc:Q6JN47] MDKWKYARLAQFLFTLSLLFLETSFGLGGNKTLCLDKERDALLEFKRGLTDSFDHLSTWGDEEDKQECCKWKGIECDRRTGHVTVIDLHNKFTCSAGASACFAPRLTGKLSPSLLELEYLNYLDLSVNEFERSEIPRFIGSLKRLEYLNLSASFFSGVIPIQFQNLTSLRTLDLGENNLIVKDLRWLSHLSSLEFLSLSSSNFQVNNWFQEITKVPSLKELDLSGCGLSKLVPSQADLANSSLISLSVLHLCCNEFSSSSEYSWVFNLTTSLTSIDLLYNQLSGQIDDRFGTLMYLEHLDLANNLKIEGGVPSSFGNLTRLRHLDMSNTQTVQWLPELFLRLSGSRKSLEVLGLNENSLFGSIVNATRFSSLKKLYLQKNMLNGSFMESAGQVSTLEYLDLSENQMRGALPDLALFPSLRELHLGSNQFRGRIPQGIGKLSQLRILDVSSNRLEGLPESMGQLSNLESFDASYNVLKGTITESHLSNLSSLVDLDLSFNSLALKTSFNWLPPFQLQVISLPSCNLGPSFPKWLQNQNNYTVLDISLASISDTLPSWFSSFPPDLKILNLSNNQISGRVSDLIENTYGYRVIDLSYNNFSGALPLVPTNVQIFYLHKNQFFGSISSICRSRTSPTSLDLSHNQFSGELPDCWMNMTSLAVLNLAYNNFSGEIPHSLGSLTNLKALYIRQNSLSGMLPSFSQCQGLQILDLGGNKLTGSIPGWIGTDLLNLRILSLRFNRLHGSIPSIICQLQFLQILDLSANGLSGKIPHCFNNFTLLYQDNNSGEPMEFIVQGFYGKFPRRYLYIGDLLVQWKNQESEYKNPLLYLKTIDLSSNELIGGVPKEIADMRGLKSLNLSRNELNGTVIEGIGQMRMLESLDMSRNQLSGVIPQDLANLTFLSVLDLSNNQLSGRIPSSTQLQSFDRSSYSDNAQLCGPPLQECPGYAPPSPLIDHGSNNNPQEHDEEEEFPSLEFYISMVLSFFVAFWGILGCLIVNSSWRNAYFKFLTDTTSWLDMISRVWFARLKKKLRRAR >Solyc04g009380.2.1 pep chromosome:SL3.0:4:2807768:2815685:1 gene:Solyc04g009380.2 transcript:Solyc04g009380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFADSATTSTTAMRSSTSAADDDILYPEEHNVTSVSDDHETTCWGCGLRVLVSPHVPAFKCFWCGAISNQNIIKCENQNFRWRRLRDRCFVSILIVFILLVICGGIWAIYPVLLSLGYIYGGVNILIAIILSIFTLSTFSLSAFRSAGAPPNIIWGSYPAVTKGALENYRFCEYCAKPKSPRAHHCRSCGMCVLDMDHHCPFIGNCVGAANHRHFILFLISAIIGMIYASIMSVYAVYHIWPPLNNWQIHLLTGAFGQKLVMRMLKDIFVAFMSSMLFLPARGLVLIYLFIASVSIEIGLSVLLWQQLSFIYEGKTYLSHISASGGEGTAVKDCQNFVRFFGFPYTRTRYLPSFFSSKKRHKK >Solyc01g016780.1.1.1 pep chromosome:SL3.0:1:21978999:21979190:1 gene:Solyc01g016780.1 transcript:Solyc01g016780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKACLATLSTFPIYTNMPQYQTSFSRLYGSVLILSIMFPSLRIFLETQTLTVQLITKDIVLF >Solyc04g080470.3.1 pep chromosome:SL3.0:4:64728842:64732718:1 gene:Solyc04g080470.3 transcript:Solyc04g080470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESESAAEPNPNTLTPSNPPSADAINIVPLQTHSPPTKLPSRPRKTRKLSNTVDPCLPKTQISTIVPRIVSRSLSYEGELESAINYLKSSDPLLSPLIETYPPPTLELFQPPFLALTKSILFQQLAYKAGSSIYTRFISLCGGESNVVPDMVLGLTPQQLRQIGVSARKASYLHDLARKYQNGILSDKSIVDMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPIHDLGIRKGVRMLYGLEDLPRPSQMDQLCEKWKPYRSVASWYIWRFVEAKGANSKGNVVGNSNVSLQQQILSMQQQQQQQHQQFLDPINGILNVGVCNDGAK >Solyc04g071260.3.1 pep chromosome:SL3.0:4:58281394:58285179:-1 gene:Solyc04g071260.3 transcript:Solyc04g071260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVYKMADGEDIQPLVVDNGTGMVKAGFAGDDAPRAVFPSIVGRPRYTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIRHGIVDNWDDMEKIWHHNFYNELRVAPEEHSILLTEAPLNPKANREKMTQIMFETFNVPAMYIAIQAVLSLYASGRTTGIVLDSGDGVTHTVPIYEGYALPHAILRLDLAGRDLTDYLMMILTERGYSFTTAAEREIVRDVKEKLAYVALDYEQELETTKNGKDVEKSYELPDGQIVTIGAERFRCPEVLFQPSLIGMEAVGIHETTYSSIMKCDVDIRRDLYGNVVLSGGSTMFPGITDRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWITKAEYDESGPAIVHRKCF >Solyc11g044593.1.1 pep chromosome:SL3.0:11:32656976:32667897:-1 gene:Solyc11g044593.1 transcript:Solyc11g044593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNLNQSEGLCNGTRLIVTHLGNWSISANIICGKNIGSKVTIPRIIMSPNDSKWPFKLNRRQLPVAPCFAMTINKSQGQSLNHVGLYLPKQVFIYVQLYVALSRVTKRQGLTILNVDDDMEDPMFIKNIVYTEVFKIYALKAEIEMRLINAAGEFAADDVMVVAESLLEEEIGGLKQWFKMIDTDNSGTLTYEELKDGLKKLGSDLGESDIKALMNAADFDNSGTIDYGEFIAATLHLNTMEREENMLAAFSYFDKDGSAYITIDELQQACVEFGLMHDGRIDYGEFATMMKKGNTGFVARTMRGNLNFNLVDALGESDNEKKNRSMIVYQTKLIEHIGPTDNRRRSRRLSTGREGSSRAVFAKTMLDYYQHILVLYYFVLVDRFSVIVAKFQLLLLSTTACPVWICD >Solyc01g108630.3.1 pep chromosome:SL3.0:1:95772954:95776932:1 gene:Solyc01g108630.3 transcript:Solyc01g108630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSIKFLAPSLPNPTRFSKSSIVKLNATPPQTVAAAGPPEVAAERLEPRVEEKDGYWILKEQFRQGINPQEKVKIEKEPMKLFMENGIEELAKIPIEEIDQSKLTKDDIDVRLKWLGLFHRRKNQYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGEEGCADITTRQNWQIRGVVLPDVPEILKGLEEVGLTSLQSGMDNVRNPVGNPLAGIDPEEIVDTRPYTNLLSQFITGNSRGNPAVSNLPRKWNPCVVGSHDLYEHPHINDLAYMPAIKDGRFGFNLLVGGFFSAKRCDEAIPLDAWVPADDVVPVCKAILEAFRDLGFRGNRQKCRMMWLIDELGVEGFRAEVVKRMPQQELERASPEDLVQKQWERRDYLGVHPQKQEGYSFIGLHIPVGRVQADDMDDLARLADEYGSGELRLTVEQNIIIPNIENSKIDALLKEPILSKFSPDPPILMKGLVACTGNQFCGQAIIETKARSLKITEEVQRQVSLTRPVRMHWTGCPNTCAQVQVADIGFMGCLTRDKDKKTVEGADVFLGGRIGSDSHLGEVYKKAVPCDELVPLIVDLLIKNFGAVPREREETED >Solyc01g058380.1.1 pep chromosome:SL3.0:1:66341475:66343087:1 gene:Solyc01g058380.1 transcript:Solyc01g058380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGGDIESARGLRRAAAKDYSSSRLNMHEFLAVVGVEEWSIATLAITTLLKTGNESSIDRLMKQITNFMSDIADEFKIVVVEDIRSLCLKFPLKYRSLMNFFSNILREEGGFEYKKAIVDSIVILIRDIPDAKEGGLIHLCEFIEDCEFTYLFTQTSNPSKYIRYIYNRVILENTTVRASAVSTLAKFGALVDSLKPRIFVPLKCCLFDSDDEVSH >Solyc01g090060.1.1 pep chromosome:SL3.0:1:83611127:83612236:1 gene:Solyc01g090060.1 transcript:Solyc01g090060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTGPPASQIQCKKDPKVKLNMVQKKRENGIGKLMKNNEEEEELCSVYTENEFVTIDYPLRYSFSEYLRFYLSVMVRV >Solyc01g109655.1.1 pep chromosome:SL3.0:1:96475059:96485213:1 gene:Solyc01g109655.1 transcript:Solyc01g109655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEYRCFVGGLAWATTDQTLSEAFSQYGEVVESKIINDRETGRSRGFGFVTFKDEQAMRDAIEGMNGQDLDVGQGSSVTWTAQQNKAFERALAVYDKDTPDRWSNVARAVGGNKTAEDVKQHYQLLLHDIMFIESGGVPFPNYTTPTGGRSRDNNK >Solyc10g009010.3.1 pep chromosome:SL3.0:10:3029900:3035898:1 gene:Solyc10g009010.3 transcript:Solyc10g009010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPTFLNRNVSDLCVGKPTLKPLSAAATVSEALALLNKSNEPHVSVWSCDHSKNVLEGECECVGKICMFDVICFLCKNENSENPSKALETSVSQILPKGNLIVRHLAPNSSLLQAIDYILEGTQNLVIPIQDYIGGKPRKTQSKSSSLRCKHRNGVEYCWLTQEDVVRFLLNFIGVFSPITTSSIESLNVIDCNVMTICYHEPAMSALDSLTLAHVEQTSVAVVDDLNRLIGEISPSTLSYCDETAAAAVMTLSASDLLAYIDCGGPPDDLVDLVKVILQEKKLSALLELMDEELSLSTSSSSCSASLSCSSDDELGVCRNNGSGRYSSARRAEAITCYPNSSLVAVMIQALAHRTSSVWVMHEDNTLIGYVTFKGILKVFRSLANARMITR >Solyc05g013257.1.1 pep chromosome:SL3.0:5:6337839:6339387:1 gene:Solyc05g013257.1 transcript:Solyc05g013257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKKYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLLPTTESTLRHEYPSPLEVLQNRENKASAVVVNSCN >Solyc06g084060.3.1 pep chromosome:SL3.0:6:49364187:49369164:1 gene:Solyc06g084060.3 transcript:Solyc06g084060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRQPHPHTTTPISEVILPKRGKKRGSYSCGRCGVPKKGHVCNFPKNLNPIDNPSPNPNSSLLASPPSVVRPQPPPPLPQRHVLPQLRRALSFDDVDVNDSPGSDDEGDFSDLDNESDRVGSGSGIGTLPSSCLWEVFKRLPPPALLSSARVCKGWLESSRRIWKSAVELRLRVPVNAQIGLVGSVLKKCPGLVKLSLRMESDIDATMLACIAFSCPKLDSMEILMCNTSVNRITGDELGRFVAEKRSLTNLKMEGCSNLGGFTLCSTSLSTLSLSDLYCHAKMVFNCPNLKDVSLDFSHQENDTTDLTLMVDGLGRSCPRLQNIHIASIRLTHAVVLALTAANLRGLRMLSLVLGSEITDASVAAIASSYSNLELLDLSGSSISDSGIGMICNIFPETLSKLLLALCPNITSSGIQFAAAQLPNLELMDCGMTISDPDLDNPTSQENNDLQLQRTPNSKQHLIYQKLIIKHTCLKKLSLWGCSGLDALYLNCPELKDLNLNSCTNLNPERLLLQCPNLEYVHALGCQDALVGTLKNQVCADFMGVEDHSHCKRLPDGSKRIKVPDLFSPQPNDKKTKRISKRCCTVLVN >Solyc08g074887.1.1 pep chromosome:SL3.0:8:59157490:59160186:1 gene:Solyc08g074887.1 transcript:Solyc08g074887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGAVKLLRSVEMCYFIGFEMEMEFVKSILACEPSEEHSANTDLKHLELNNCKFHPPPNFKGFQSFVTLNFQVVTFEPIT >Solyc10g047310.1.1 pep chromosome:SL3.0:10:40468545:40470104:1 gene:Solyc10g047310.1 transcript:Solyc10g047310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDKVKMEAMELLGMFQVLLCLVVFDLDYTIWPFYCECLSKQQMSSLYPHGKDKLYAMKDKGVNIAIASRSPSPDIANTFLDKLGLSSLFVAKAKWWFL >Solyc07g044725.1.1 pep chromosome:SL3.0:7:57888488:57889179:1 gene:Solyc07g044725.1 transcript:Solyc07g044725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFEMKDLGPAKQILGMKISRDRSAGTLNLSQELYIEKVLSRFRVNDAKPRTTPLANNFKLSKEQPDIAHAVGVVSRYMANPGKEHREDVKWLLRYLRGGTAVSWMSRLQKCVSLSSTEDKYVAIAEAGKDMIWLADYLEELGKK >Solyc11g069240.2.1 pep chromosome:SL3.0:11:54167646:54173105:1 gene:Solyc11g069240.2 transcript:Solyc11g069240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSNDGLSCSMRDPNAEVIALSPKSLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNNKEVIKKKVYICPEKSCVHHDPSRALGDLTGVKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESTRFTSIQTAVNLNNLRNQLINGGISTNLQQQQQMSGNISQLMGLGSLDPSNQLNLDHGQKSRLPLWLHHENSNYGNPNDFLSTPSTTTSTLPHELVQVAPHANMLGSSSLHKNNNNNNNNQWFVNDDGGDAIITTSSSMLMPRVLKEEEENKTLSPMYYNNSHMSATALLQIAAQIGSTRSNNNSPLFSNQFGLMTSSSLSMKNSSSSSSASNVAIHENVQGNNGLLIGATNSTTFVANNANTLMMMQDKGKQGNLTRDFLGGGRNEKRLFLQQTHEMAKFENNK >Solyc11g039920.1.1 pep chromosome:SL3.0:11:41182435:41187612:1 gene:Solyc11g039920.1 transcript:Solyc11g039920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLTSLTILFERSMEGFLDSKYHFDGGNGGGSCHLRVPTTDFLTVPTLGSSCLLGIDFLTVDNSPTRSFFPIFPTAPIPFQVLVDLLFHDSGAPNEFPLDSIIDIKGSHRKLDVLHNVGSDATMKGFLQENSSSKYEEEYLVLKRVVEEVPLQCRLYFGLLNMEVCSSACVHSCCPYWLISWFIPSPIIYARYQGSFITMDIGCRPMNIVRVQDHLLSSISSHNSGMRVPGIGSETKNSGLKSRLLVLCRQFWWSYPFPLREDHNLPNLAFGALLMFSLCVRVLLWSVFELVHVVMMCPILPQPTQTLPLHHREHLDYGPLSSLRKISLKYFLFGLSSSIVDAPPGGFGRFGCLEDGATLMGGGLVSHSCTSLSPCSIVQVVSNQEFDFHLVISFSFFHFPV >Solyc09g014870.1.1.1 pep chromosome:SL3.0:9:7079384:7079809:1 gene:Solyc09g014870.1 transcript:Solyc09g014870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHDMPGMGGMSPPSPPQDHMMMSMGLTHMTFFWSKNAEILFSGWPGTRTGMYVLALIIVFVVSLFVEWLSNSNYLKDKMSNYNGLVKTFVHGLKIALAYLLMLAIMSFNVGVFIVVVAGHTLGYFLFGRCNNSESNACQA >Solyc07g054050.2.1 pep chromosome:SL3.0:7:62573738:62574504:-1 gene:Solyc07g054050.2 transcript:Solyc07g054050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMSLSLCKTFTENGKDDDGENKIAESHEGGSFGANEEIHWFGCTVRIQVTSKSTHRNGEFGISYLVIYKVEDYLYHKSSSDNM >Solyc10g076890.2.1 pep chromosome:SL3.0:10:59960693:59967852:1 gene:Solyc10g076890.2 transcript:Solyc10g076890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENKLQGHFEPTCEWQHQDGADLLLVHLPEFKDKEGLKVQVSNCGVVKISGDVQANQTRLSFLKEIQLGKDHNVDAIKANFEKGEVRTVRVGNVSDLAAEREVREFFSFSGEIDHIEIRREQGQSKTAFVTFKDPKALEIALLLSGATIVDQIVSIKQAEDYVPSSETREVRIVDNAVNVAGESSSPLAEAKPTSPSNGRVNGKVYISKAQDVVSNVLAKGSAIRQDAMNKAKAFDEKHQLRATASARVISFDRRVGLTEKLTVGISVVNEKVKSVDQRLQVSDKTMAAVMAAERKLNDTGSAVKSSRYVNAGAAWLNGAFSKVAKAGQVAGTKTREKWNFALSNLTAKDPSIVA >Solyc04g040010.3.1 pep chromosome:SL3.0:4:12309629:12311711:1 gene:Solyc04g040010.3 transcript:Solyc04g040010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYWLKSNDPNFEVATKMKRIVRIHAKEAIFLLKRQLKKEEQLAKEQSESLNAIYNKYELVQHVFKSGTPTRLRKHYKMCNDVFLVINILINTLVET >Solyc05g041150.1.1 pep chromosome:SL3.0:5:50568370:50569085:-1 gene:Solyc05g041150.1 transcript:Solyc05g041150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVQCSKCFKWRYIPTEERYLKQHSAYESQAVKLEKFSFKTPRSLQQDYAKKRSPTPQTP >Solyc02g093170.3.1 pep chromosome:SL3.0:2:54741191:54748074:-1 gene:Solyc02g093170.3 transcript:Solyc02g093170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLYPSSNTQVISGKIMKLGGGVGFGCPRAVAFPGILFSRCRPTFRCYSSSSSPDHVSFIKDVAATQAPEHLNELLKILQVRGEEIISPGAKQGLVPLVIPLSRRSSDSVTALLRWPTAPSGMEMPLVEVRKYGVWLLSKNVDQYIHRVLAEEDANGNQEKVDELFRISAGAGKKLYEKGDFGASKISNIDTYILKKVGLFPDVLERRIKQHFDNGDNISALVTGEFYTKKEHFPGFARPFVFNAEVLLKVGRNIEAKDAARGALKSPWWTLGCEYHEVANMAEWEDEQIEYIKEKVTEEGREADLKKGKQPAQVALDEAAFLLDLASIDGSWDDCAERIAECYKEAGLHEVANFVVYRD >Solyc03g025430.1.1.1 pep chromosome:SL3.0:3:2875680:2876399:1 gene:Solyc03g025430.1 transcript:Solyc03g025430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGVGPSSDILKDENTEQIMNNSQKKTQQKNRGFFTFRQLNVLAIIIIFSSSGMVSIEDFSFVLFSLIYIYFLSKTAFPQISPKTEQPPVFGEKNRVLNLYVSIGALVGLFLPILYIFEGIYEGDKEGIKAAAPHVFLLASQVFMEGLTFTDQFSLPVRVFVPVFYNSRRIFTIMEWLKTEISKVDEEYGGNLRRVHMGRGLATANMVFWCFNLFCFLLPVYLPKAFKIYYCGRKSKD >Solyc04g051390.2.1 pep chromosome:SL3.0:4:50419280:50421399:-1 gene:Solyc04g051390.2 transcript:Solyc04g051390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMIIVKACFSNIRSGRLRAFAFARLVSQNIRSGRLSAFAFARLESICCFYPWMSETFLLYFSISLLLHFSIVHISLNLNCLLLKVGARYCLLDTNSNGTHVCATPKTSVDRSFFVLSYEVKSQGLFLKIFAVAAL >Solyc01g081560.2.1.1 pep chromosome:SL3.0:1:80602429:80605566:1 gene:Solyc01g081560.2 transcript:Solyc01g081560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLLYSTRTKHYCLNKKLSAFTIYFPFKFFSTFSVQCRQQQPISRPFQSLQDHPEPEISGFHQKGFSNITQDIVGRAVHAVCLKEEPHLSIFHYNTLINVYSKFGRIEVARHVFDGMPERNLASWNNMVSGYVKMGLYWDAVVLFVEMWGCGIQPNGYFLASLLTAFSKLENMVLEGVQIHGLVLKCGLLHDVFVGTSFLHFYGVYGLPCSAKTLFEEMPERNVVTWTSLMVAYSDNGYPDVVLNLYQRMRHEEVSGNQNTLTAVISSCIALDDDFLGHQVLGQVVKSGFQDNVSVSNSLISMFGSFGFIDDASYIFEGMNDSDTISWNSIISALANNELCGKAFSLFSEMRHDHDDVNSTTLSSLMSVCGTIDRVNLGRGVHGLSLKLGWDSNICVSNTLLSMYLEASRDKDAESLFLAMPAKDLISWNSMMAGYVLAGKYFKVLEVLAELLHLQRTLNYVTFASALAACSDGQLLDEGKIIHALVIAHGLHDNLIVGNALVTMYGKCGMMWEAKMVFQKMPDRELVTWNALIGGYADKKDTLEAVRTFKSMREEENSPNYITLIHVLGSCSTETDLLKYGMPLHGHIIQTGFETNEYIRNSLITMYADCGDVNSSSLIFNALLNKTSVTWNAMLAANARLGLWEEALKLLLQMQREKLEFDQFSLSAALSAAANLASLEEGQQIHCLATKLGFDSNSFVGNATMDMYGKCGEMNDVLKILPEPNLRPRLSWNVLISVFARHGFFQKARDTFHDMIKQGSKPDHVTFVSLLSACSHGGLVDEGLRYFAAMTSEFGVPAGIEHCVCVVDLLGRSGRLPEAIAFIKEMPVPPNDFVWRSLLAACRMHRNTELGKVVAENLLTSNPSDDSAYVLYSNICATSGRWQDVQNVRAEMESHKVKKQLACSWVKLKNQICTFGIGDLSHPESEQIYRKLTELRKKIQEAGYIADTSFALHDTDEEQKEHNLWMHSERLALAYGLISTPEGSTLRIFKNLRVCGDCHSVFKLVSNIISREIILRDPYRFHHFSSGQCSCCDYW >Solyc02g062920.3.1 pep chromosome:SL3.0:2:35405712:35415438:1 gene:Solyc02g062920.3 transcript:Solyc02g062920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U2 snRNP auxiliary factor large subunit [Source:UniProtKB/TrEMBL;Acc:K4B6I0] MPDYEANGEDIDNSGSSPLPKSRSSHGGTNPDNFTDSKPQHSSREYEKDKSSSRSREKDREKGRDRDRDRGRERDKDRDRERDKDRDRHHRDRHRDRSDRRERERTRDRDDDERHRTRDYDKQRDHDKDRESRHRHRSRSRGRSEHKSRSRSRSRSKSKRISGFDMAPPTTAMLPGATAAAGQVPGTSPGIPGMLPNMFPLTSGPFGALPVMPIQAMTQQATRHARRVYVGGLPATSNEQSVATFFSHVMSAIGGNTAGPGDAVVNVYINYEKKFAFVEMRSVEEASNAMALDGIIFEGAPCKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLSPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPKPEQESVLLHAQQQIALQRLMLQPGALATKVLCLTQVVEVDELSNDEDYQDILEDMRTECGKFGPLVNVVIPRPSPDGEHAPGVGKVFLEYGDVESASKARQSLNGRKFGGNQVIAVFYPENKFYEGDYDG >Solyc03g058243.1.1 pep chromosome:SL3.0:3:25769886:25772371:-1 gene:Solyc03g058243.1 transcript:Solyc03g058243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKSPLVSPPIKNLAIVNMVVKLRCGVDTVNDMLWKNINRILFGTRDPPVKREELWWSPSDTKSYGQKEFKKWGPDPTRGTFISTDYLRKNGMISYGLIENDSDLNHCLLEVEGALVGSSLLLRHKPRSPLDMIQKDSWHILDQRLLYYKYEFEYGNGEGEGFRGKRIIYDEEDEQYKIRDGCQVPVEEIPKGFHTSKTDPSTSPYKCWFIKNMQEKHLELLIIPFCSNTLSNSYHYLLNLFVSNEKLLDQSEMPFSCIFRL >Solyc02g085590.3.1 pep chromosome:SL3.0:2:49055517:49058482:-1 gene:Solyc02g085590.3 transcript:Solyc02g085590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKNCMSIQCCKILFKFLLFMIIIISSVFIDVRAKRENEGWEWGLGPLIKRAERKTVISTENGEVSSVTVADGTTYHLQFITLEPNSLFLPVVLHTDMVFYVHTGSGKLTWMNENEEKSVDLRIGDVFRLPFGSIFFLESDLDPIRHKLRLYSIFPNSGRESLSEPYSSIRKMVLGFDKKVLQAAFHVPEDVIEEVLAGTEVPAIVHGVPKSTKKKKNLWEMEAQFMKTVLGRGSYSFFDNRRNKKKSSQLFNVFQEKPDFENCNGWSTVINRKKLPALKGSQIGIYVVNLTKGSMMGPHWNPMATEIGIAIQGEGMVRVVCSKSGTGCKNMRFKVEEGDVFVVPRFDPMAQMAFNNNSFVFVGFSTTTKKHHPQYLTGKASVLRTLDRQILEASFNVGNTTMHQILEAQGDSVILECTSCAEEEKRLMEEEMRKEEEEAKKKEEARKAEEERREKEAEEERKRQEEEARKREEEEIRRRQEEEEARRRQEEEEEERERQEARKKQEEEEAAQREAEQARREEEEAEKRRQEEEESRREEKARRRQQEEARRREEEEAAKRQHEEEAEREAEEARRIEEEEAQREAEEARRIQQEEEAERARRREEEAETRRKEEEEEESRRQEEESRRSEEEAAREAERERQEEAERQEEARRREEETEERHQQEETEEEEPGQPEMNGYSSN >Solyc03g120580.3.1 pep chromosome:SL3.0:3:70431099:70437927:-1 gene:Solyc03g120580.3 transcript:Solyc03g120580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWCVQLFFLTLAEFRRKQAEELKPALSLSLIRQRQLLV >Solyc06g036040.1.1 pep chromosome:SL3.0:6:25520368:25522032:1 gene:Solyc06g036040.1 transcript:Solyc06g036040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKRQLPVVDQKVAYKSIRNVGFTRLFQPYKTVTKGQAAIALATGEASDTIVEELSRIEAESIAEKAVASYNSLEAQVEKDVNASFEKELISEKKRVDIMKKLAEEARQELESLRAERKAEHLVIMKERAAVDSELEVLSRFRHEVEEQLQSFKSDKIDISYKKERLGKFHRDAEMENQEIARLQYELEVERKAISMARAWAEGEAKRARERAKTLEATRHRWQRQGINKVVVVNVQEDLNVDVTWLSAGNQLVEESVNRTESLMDKLKRKWPIL >Solyc03g111790.3.1 pep chromosome:SL3.0:3:63907110:63909677:1 gene:Solyc03g111790.3 transcript:Solyc03g111790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFGFHYWYFWHLAIAHSMEDPGSTPLVVRKLLPGQAIMLLVSSAYKMLRKAKCSAFLINGLKIVKPGSFLIPLIKNISTLQRTEKINADKPPAKTPAKNPRIQAPTFLLILLCLSFFTGTTTVLGPGAWAYLDLDSGAIAGLCRGLVAFSARIWYSVKEFVVLEGQLFELRKPPNGRRQSTLQPSCMGSQEHCAEQGCLSLFGQAKEHCDQ >Solyc03g116130.2.1 pep chromosome:SL3.0:3:67115901:67118879:-1 gene:Solyc03g116130.2 transcript:Solyc03g116130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENDRVTLHGMWISTYAKKVELALKIKGIAFDYVEEDLSNKSSLLLKYNPIHKKVPLLLHRGKPLSESLVILEYIDETWNNLQPLLLPEDPYERATVRLWASYCLQISDTMKKAFISARDVEGGAFDELFEILKVMEEGMKDFFPGGRSKICAENLGLLDIIIVCSLATYKAAEEVVGTKILDPEKNPFVYSWVTTLLELPLVKETLPPHDKLVSRLDFIKKNGFRFQSNI >Solyc02g024020.3.1 pep chromosome:SL3.0:2:25276048:25287282:-1 gene:Solyc02g024020.3 transcript:Solyc02g024020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRPSALTRPTSSKRRLKDLLLQKDNRVCADCGSPDPKWASTNIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMVEVGGNASANSIYEAYIPEGISKPGADASHEQRSKFIRSKYERQEFLKPSLRILSNQKKGSLKTSLSNKITDSFRSSSSTSQKSEGMVEFIGMLKVKVIKGTNLAIRDMLSSDPYVVLNLGKQKVQTSVVKSNLNPIWNEEVMLSVPQDYGVVRLQVYDHDTFSADDIMGEAEIDIQPMITSAMAFGDAGMFGNMQIGKWLKSNDNALLDDSTVNIVDGKVKQEVNLKLQNVESGDLELELEWIPLDQ >Solyc07g006890.1.1.1 pep chromosome:SL3.0:7:1747085:1748593:1 gene:Solyc07g006890.1 transcript:Solyc07g006890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIDLLLLFSLIPFFLIFFLKFQKARKNPISSNTKIPKSYPIIGSYFSLLANQEQRIQWLSDIILSTPKLTFTLIRPLNFHTIITANPSNVQHILKTNFSVYQKGQNSNNTLADFLSNGIFNVDGDIWKYQRQVASHEFNTRSLRKFVESVVDVEVSERLVPILANAAAEKNVVDLQDVLQRFAFDNICKIAFGYDPKYLLPNLPEAEFAVAFEDCVRLSSERFAVPFPLIWKMKRAFGIGSEKKLRIAVGEVREFAKRIVREKLNERSSLDSADLLSRFLSTGHSDEDFVVDIVISFILAGRDTTSAALTWFFWLISKNADVESEILREIGGKEEDVSMRYDEVKNMMYTHASLCESMRFYPPVPMDSKEVMKDDVLPDGTFVKKGMRVTYHPYAMGRSEEIWGKDWAEFKPERWLNKDEMTGNWMFVGKDPFAYPVFQAGPRVCLGKEMAFLQMKRVVAGVLQRFKVVPVVEKGVEPMFISYLTAKMKGGFPVTIEERM >Solyc04g077900.1.1 pep chromosome:SL3.0:4:62858897:62860119:-1 gene:Solyc04g077900.1 transcript:Solyc04g077900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDWGDIFTNLRMSACRGRLQLFARQFFAEKKIDIGEDNEDYVENMLKEEEKIAIAGLTNTLPLRTLSLPWLPKARRTAIYNIVFVMKIENPISLFSLLLLFSYYFFFENLQFGGSLGVRKITIYAAVIYVDRFLSLVPKKRKILRIAVLLAYACLYLAFEEHEDYRGLLVSVDSRSYTESIMNLKSSVVTQFGGIVNFVTPIQFIKFFLSKLCKDFSRKEYARIKTVEVIMSTIGDVRLMSVRAFVVGAAATLLASNPNILTHEMIKEEINALPKKWMIPIDEMCSCYDRLLETNRHRLDIS >Solyc12g011280.2.1 pep chromosome:SL3.0:12:4139379:4140964:-1 gene:Solyc12g011280.2 transcript:Solyc12g011280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4DCL4] MATQALISSSSIASSTEAARQILGGRPFQSQIKKASFVVRATATPPVKQGANRPLWFASKQSLSYLDGSLPGDYGFDPLGLSDPEGTGGFIEPKWLAYGEVINGRFAMLGVVGAIAPEILGKAGLIPPETALPWFQTGVIPPAGTYNYWADGYTLFVLEMALMGFAEHRRFQDWAKPGSMGKQYFLGLEKGLGGSGDPAYPGGPFFNPLGFGKDEKSMKDLKLKEIKNGRLAMLGILGYFIQGLVTGVGPYQNLLDHLADPANNNVLTSLKFH >Solyc07g055570.1.1.1 pep chromosome:SL3.0:7:63685355:63685903:1 gene:Solyc07g055570.1 transcript:Solyc07g055570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKYQNFGLSKIVGRDESKIVTTMRGTPGYLEPEWLHEVIAEQVDVYSFGVVILEIICGRKNLDRRQDEDDMHLLSFFMRKEEEGQLLEMVDKKSEDMQIHRKEAVEMMKIAAWCLQSDYTKRRPSMSLVVKVLQGLVVAETDLDYSFTFPTMTRRVAVTNQERDAVVGISLPLPSHLSGPR >Solyc04g077460.3.1 pep chromosome:SL3.0:4:62455077:62459935:1 gene:Solyc04g077460.3 transcript:Solyc04g077460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSDRKHRRSYKTAPLLPQKDDAFSHSEAGFDGASFSGAVFNLSTTIVGAGIMALPATLKQLGAIPGLIVIILAAILTEKSIEMLLRFTRASKCSSYSGLAGDAFGGFGRTLLQACVVINNLGTLVVYMIIIGDVLSGTSSDGVHYSGVTEEWFGQHWWNSRSNLLLLTTLLVFAPLISFKRVDSLRYTSALSVALAVVFVVITAGIVIVKVINGSIGMPRLLPKLIDQASFWKLFTTVPVLVTAYICHHNIHPIENELRDGSQMKSIVRTSIVLCLTVYIATSFFGFLLFGDHTLDDVLANFDGELGIPYGSLLNDVVRVSYVIHLMLVFPIVFFSLRLNMDGLFFPYAIPIAYDNRRFFSVTAALICLIFLGANCVPSIWDAFQFTGATATVSVGFIFPAAIVLRDTHGIATKRDRLVSSVMILLAVSSSSVAICSDIYSIFNIGVED >Solyc04g007570.2.1 pep chromosome:SL3.0:4:1254109:1257795:1 gene:Solyc04g007570.2 transcript:Solyc04g007570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVKKNQFIFVSYFLVINSIISCVLGFNVPSIFIFGDSIFDAGNNHYNKNCTAQADFPPYGSNFFHHPTGRFTNGRTVADFISQFIGIPLQSPFLEAQLELINGSRKKYPSNGINFASAGSGVLSTTNQDLGVTPIQDQIQQFKTLVQQNHITKKQIQQSLFFFESGSNDIFSYFYPFDAPTLAPNAYVQAMLDQVTSFVDEICKLGARRIALFSLGPVGCVPARTLLPGAPIDKCYGKMNKMVKNYNMGLESLVKIIPTKYYPGSFAVFGDVYKIVQIFEANPKHYGFSDVTNACCGDGTLGGLLQCGKEGYKICANPNEYLFWDYFHPSEHTYNLISKALWNGIHTKIRPINLKTLANMTLT >Solyc01g108780.3.1 pep chromosome:SL3.0:1:95859598:95886813:-1 gene:Solyc01g108780.3 transcript:Solyc01g108780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTSPFVKKHFVLVHTAFHGAWCWYKIVALMRSSGHNVTALDLGASGINPKQALEIPNFSDYSSPLMEFMASLPANEKLILVGHALGGLAISKAMETFPEKISVAVFLSGLMPGPNIDATTVYTKAASAVIGQLDNCVTYENGPTNPPTTLIAGPKFLATNDLALATALVRPFYLYLAEDISKEIVLSSKRYGSVKRVFIVATESDAFKKEFLELMIEKNPPDEVKEIEGSDHVTMMSKPQQLFTTLLSIANNGVPSKRRSNLGVSVSSSVGVSFQLSRFIAQSTVVCEPIFKLLKKDAPTKWTEECQTAFDAIKSYLSNPPVLSSRGVVFKLDNRVTFDNGLANPPTTFIYGPKYLASYLYPLSPIQDWALATTLVRPIYFFSLNDVSKEIVLSNKKYGSVRRAYIVAAEDEVLKKEFQQLMIKKNPPNEVEEISGSDHMPMMSKPLQLFTHLTRISNRYS >Solyc10g019280.2.1.1 pep chromosome:SL3.0:10:12807103:12807258:1 gene:Solyc10g019280.2 transcript:Solyc10g019280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLTVVENGENWSVGQRQLFCLGRALLKKCSILVLDEAKTSVDAATDACYKR >Solyc05g014000.3.1 pep chromosome:SL3.0:5:7501419:7504350:-1 gene:Solyc05g014000.3 transcript:Solyc05g014000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BYC1] MGMPLSFLLLLTLLSPIFTFSSHVPDPEVIVQQVNEKINASRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKQAIGGKDGKIYVVTDTSDDPVNPKPGTLRYGAIQDEPLWIIFSRDMVIKLKEELMLNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKQGGNAYVRDSPQHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIRGSTAITISNNYMTHHNKVMLLGHSDSFTRDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNDIFNKEVTKHEDAAESEWKNWNWRSEGDLMLNGAFFIRSGAGASSSYAKASSLSARPSTLVNSITMNAGALGCKKGKRC >Solyc01g096700.3.1.1 pep chromosome:SL3.0:1:87593441:87597592:-1 gene:Solyc01g096700.3 transcript:Solyc01g096700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSSIRLISYSNEIINGEPIYISSNCYPIKAHKYEPAGLAFHSAALRLIGHVEKEDPKDGKEDVPNDKEQTFAYSSESYSSKGKKKSSTGEKVQDHYALLGLSNLRYLASEDQIRKSYRDAALRHHPDKLASLLLAEETEAAKQAKKEEIENHFKAIQEAYEVLMDPVRRRIYDSTDEFDDEIPTECAPQDFFKVFGPAFLRNGRWSVTQPIPSLGDENTPIKEVDSFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEETARVRTLVDNAYRKDPRILGRKEAEKAEKQRKKDAKLLAKKLQEAEAIRIVEEDKRKKEEEEKRAAEVALQQKKLKEKEKKLLRKERSRLRTLAAPVLSQRLLGLNDDDVEGLCMSLDIEQLRNLCDKADGQGEIVIAELLRGALGHEHNLKYENKDEKIKSQQNGSLDSKKQVPLMSSEKKEKPWSKEEIDLLRKGMLKYPKGTSRRWEVISDYIGTARTVEEILKATKTVLLQKPDSAKAFDSFLEKRKPAPTIVSPLSTRAEVEGVENSSKPESGSAKVADSQETPSQNTNSQNTEDAPTANGVSSSSDSDVWSAVQEKALVQALKTFPKETSQRWERVATAVPGKTMNQCKKKFALLKENFRSKKSAV >Solyc08g076400.3.1 pep chromosome:SL3.0:8:60536915:60540818:-1 gene:Solyc08g076400.3 transcript:Solyc08g076400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFPAQPDLSLKISPPNIKPSSSTWKRKITSEDDIHMDLGFCKRAHVVESTNPINTSSSSCFDLSLSNNPTKPNPNSSHHLHNLQNYNISQQNQTIIRGIPVYHQKPFNTTTTTTFPITCSPCSIINNNNIKNTISSCASTRPNFQQGGFFRSRFLSRYSTKRSTRAPRMRWTSTLHAHFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRSASSGQSEVFDNLSSGDTSEELMVDEYFDPKSKLI >Solyc01g110830.3.1.1 pep chromosome:SL3.0:1:97276612:97276911:-1 gene:Solyc01g110830.3 transcript:Solyc01g110830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRLLSIISGVKQFHKLQSVVTRNQISDVPKGHFAVYVGETEKKRYVVPIEYLNHISFQELLQKAEEEFGFQHPMGGLTIPCNEDAFFHVTSRLETCL >Solyc05g009680.1.1.1 pep chromosome:SL3.0:5:3876820:3878268:-1 gene:Solyc05g009680.1 transcript:Solyc05g009680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIFFFVLLFTTVLSRTTPDDTSRTKILDVSSSIQKTHNLFSLDSSLNSLKHEENQVYSSLLSFQLHSRVAVQGTSHKDYDSLMLARLERDSARAKSLQTRVDLVVQGIGKSDLKPMETEFREIQAEEIEGPIISGTSQGSGEYFSRIGIGHPPSQVYMVLDTGSDVNWVQCSPCADCYQQADPIFEPASSSTFSPLTCETQQCKSLDVSECRNDTCLYEVSYGDGSYTVGDLVTESITFAGSSSVENVAIGCGHNNEGLFVGAAGLLGLGGGALSFPSQINASSFSYCLVDRDSDSTSTLEFGGAIPPNAVTAPMIKNSKLDTFYYVDLTGISVAGNMLSVNPSAFKIADNGDGGVIVDSGTAVTRLKTDVYNTLRDEFVKGTRHLPSTNAVALFDTCYDLKSMKSVEVPTVSFHFSNGNELALPAKNYLIPVDSSSTFCFAFAPSSSSLSIIGNVQQEGTRVSFDLVNSLIGFSSNQC >Solyc06g035860.2.1 pep chromosome:SL3.0:6:25198022:25205426:-1 gene:Solyc06g035860.2 transcript:Solyc06g035860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVSSSAVSSFFVRGSPTIISVVETSSHPNCCPVGTTLGEGTTLEER >Solyc05g046295.1.1 pep chromosome:SL3.0:5:59353420:59354830:1 gene:Solyc05g046295.1 transcript:Solyc05g046295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCDLLAEILSRLPAKNVCQLKSVSKHWLNTISTPHFKKLHYKKSIKNPHLVLIDESIKCNNYFAKTLTISSINHLKQNPTPNLDKKFTIDILFQHNTNFSFNQCFICYNIKDNIWLYNLTTQQNQELPHVTTPMISFDLGYIPSSNEFKIVHVFGKKDDKVGYEIITLKDDNFVPTSWRILENHKDSYTRKIASLSVNGSIYWLVNDMQDNKKRIVCLELENEEFRKISCPKESSITQNSLMIYQAQQLVEVKGLFGVAQFSANFSNVNIFVLKQGNNKNKEEIWVKEFSVNLFHMGHWFKIVGFVPFEEDNTNGELIFVPNNGRLLLYNTKKGSFKNVGEFNLLRYKHHSFVVLYCALTRNDKVKFPTQR >Solyc03g116465.1.1 pep chromosome:SL3.0:3:67367045:67367721:-1 gene:Solyc03g116465.1 transcript:Solyc03g116465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGPSGSKTKHHRTLESCTESSVTPNKRVGCIMEAENILRIIRKFALAEDKARGVQEFCFRSKRTTPAALL >Solyc04g049840.3.1 pep chromosome:SL3.0:4:43577121:43586979:-1 gene:Solyc04g049840.3 transcript:Solyc04g049840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMMSNEEEKRCPLCAEEMDWTDQQFKPCKCGYQVCVWCWHHIMDIAEKDVSEGRCPACRTKYEKDKVVAMQANFERAGNTNVNRKSKPPKAKTKANEVRKDLTNVRVIQRKMAYVTGLPLGIADEDLLQRKEYFGQYGKISKVSLSRTAGGSIQLFTNDTFSVYITYSKEEEAIQCIQSVHGFVLEGMYLRASFGTAKYCHAWLRNTVQYMPCNNLSCLYLHSLGADEDSFGKDEVAAIHTRSRVQQIVGASSSAMKRSGNILPPPINILSCTSFTSTESSTIQSAGAASDIVNHNSYMARVIPCSDKYEDAGDPNRMSAFVDVGLCNSSGAEKVRNCSGDSRTLDLCSNLSPETINKDNLAQEPYSDTLLFEVPSSNHLVNHLPRDQNSIEISDEPFREDSISIDSRRLKDLNSINQRAFLMSSHSAKCTGDSGGHSLMHRRTCSLSNNGTEHRSLHNEVEEASPPLSCVNSTMIDGLHDLKFQSSVKSDTIYRGSNSFSNEEIVEHLRRTRNDCLNNYDKSSAFSPAKNSMNLNILAMNLGSRDDSLTLRHSITGLCNEPNGQHDSWKFLHSGQGFSFMKQDGSSGQRADLNSSSSNISLISKQASILDDFRENKEQHMLDSQYQMAMSYTVYYFMIYSSVPIRQDFYGDGNGAAIAWTCQQGWGAVPSQVPHLPLLLAKTPSTAVSSILYTTLDHKVLFFLPFSRPKGMAPPGFSISSRELPPGFPLSDEIGGFPRTLSGSQLVNSSSSLIHYPSSMRSFSSAGDTDFIDPAILSSGKGKTTNGLCISGLEIGSQGRALEAEARLWLLMQRKHADQDGKSSHVHASQTPSAYQDQRFNGEDEHTGTKKFGPRLVDQGQNFDQSLYTHFPPQKFGNGPISNGFQHDLLGNFQCRNEVDGMAELQRNERLGFNKYYNGYGNQTVQGSGSGDVFARVFGM >Solyc02g021660.2.1 pep chromosome:SL3.0:2:23973068:23974089:1 gene:Solyc02g021660.2 transcript:Solyc02g021660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SRVPHQAGLLDPVPYKSARTSRHQLAYQFSMKPEYKFIRLHLKPASYIGFYKSKSIFTVKTVTSYLPLLYLVRLHFCEIEPTVTDEGQTNFTNIINNQNAEDDAHVIKWRCNAEIKSGKTNNGISSGEHQYRQFSLDEMERSMNNFDPQLVIDSGGYGTVYKGDIDGGEATVAVKRSKPGSSQGKKESLMEIKMLSTHHHENILSLIGYFNEMKVLRWY >Solyc06g073370.3.1 pep chromosome:SL3.0:6:45349272:45351874:1 gene:Solyc06g073370.3 transcript:Solyc06g073370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSFEAAFNPKNFACKFWFYLNLILKKQPHRREGFETLIMSLVANEDFQHILRVQNTNVDGKQKIMFAMTSIKGIGRRFANIACKKADIDMSKRAGELTAAELDSLMVVVANPRQFKIPDWFLNRQKDYKDGKFSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Solyc06g064920.2.1 pep chromosome:SL3.0:6:40596500:40597782:1 gene:Solyc06g064920.2 transcript:Solyc06g064920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HEERTLLLVGRTGDGKSATGNSILGTKAFKSMHCSSCVTTDSQLQSSQLQDGNLLNVIDTPGLFDISRDPDFVIKELDKCFDLAKDGITAVLLVLSVQTRFSREEQACVQCFMNLFERKIVDYMIVVFTGGDEFNEENDEILVKYLDNCPEPLKDTLEICGNRHVLFDNKTEDQVKKDLQLRNLISQVNLVVEKNSGKPYIRVLYMELKLESKLREMRHLETELAKERDGRLQAEQNAEEALKKLEYMSLSVMSEEPKAKKWGIFPTNMRLIYT >Solyc01g104915.1.1 pep chromosome:SL3.0:1:93121462:93123865:-1 gene:Solyc01g104915.1 transcript:Solyc01g104915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKNGKLNKKKPLANGGSFKNDFGNREVWGKVCLQSILQYYSGFIVVRNLDYNSRNRCLTLFLTAANGYKTKISSERHDLLFSFGIFCHQFLIPKPALVLLLYKSIKQPLMPLYKIR >Solyc11g010460.2.1 pep chromosome:SL3.0:11:3514018:3517177:-1 gene:Solyc11g010460.2 transcript:Solyc11g010460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENSNDLLLCTETKNLCFDDLDSLANDDQKIQEKSKVLNFDYGRSVALIDLLPCLSEESFSVMLEREKVFLPKDDYLKRLRIGDLDLNHRREAVNWIWKAHVHYGFGELSFCLSINYLDRFLSLYELPRGKIWTIQLLAVACLSLAVKMEEINVPLTVDLQVGEPKFLFEGKTIQRMELLVLSTLRWRMQAYTPCTFIDYFMRKMNLDEFPSMRLVSRSIQLILSIIKGIDFLEFRSSEIAAAVAMSVSWERPQAKDIDKAMSCFSIQVEKDRVMKCFELIQDLTLVSGTSATAAAAATSVPQTPNGVLEAACLSYKSGEGTVLSCQNAKRRKLDTNIIS >Solyc04g005570.3.1 pep chromosome:SL3.0:4:380007:382941:-1 gene:Solyc04g005570.3 transcript:Solyc04g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIRSKSLFMFVILMFISMKIHSLQFEVLSGRTKCIAEDIKSHSMTVGKYHIVNPNESHPLPDTHKVTLRVTSTHGNTFHYADNVHEGHFAFETTEAGDYMACFYAADHKPPVTMTINFDWKSGVAAKDWTNVAKKGSIDLMELELKKMYEHVQSIHDEMFYLREREEEMQELNRSTNSKMAWMTGLSICVCLSVAGLQLWHLKTFFEKKKLI >Solyc06g054400.3.1 pep chromosome:SL3.0:6:37296636:37306463:1 gene:Solyc06g054400.3 transcript:Solyc06g054400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKPVREKESFESSKQGGGGGGKSKRKNWVIDDGDCSVGTELSEETVVLEDKAELVPEISEKRGKKSRAVFHAIDDEDNESGQVSEKSTVAFTGKKKSSKKKNRSASMDTAYGNDSTNVADQDHCSLGVNREDADDNIGKKQTADVLETSKNKTKKKKGGHASNVLAELGEGSTITAPAQPAHSSLPREEKSQQQSQLGDDTGEREVVEEEVVESAAAKKKKKKKEKEKKKKAATAAAAVSEMEEKQEATKNDTKGKLAEKKQSKQVREIQERLKKMKEAEESKKKEEEERLRKEEEEHLRLEELKRLVEEKKHLKKEREKEKLLKKKLEGKLLTGKQKEEARRLEAMRNQFLASGGALPHSTEESRKDATKRPIYQSKKSKAQAWVNGKAKEESVGSTEVQENQQEIVSEVESLETEKDKDINLVSVEEKSEVADAEENRVEEEEDEEEWDARSWDDADLKLPGKSVFEDEELDSDPQPIIKKAARSVVSDTGPLAAKSVIPTQKAVASVPAVTKNDGSNKREPEVMVSGQGTEKSGASSSKSEDSLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDITHGLEPQTIESLNLLKMRNTEFVVALNKIDRLYGWKVCRNAPIVKAMKQQSKDVQFEFNTRLTQIVTQFKEQGINTELYYKNKEMGKDTFSIIPTSAISGEGIPDLLLLLVQWTQKTMVDRLTYSSECTVLEVKAIEGHGTTIDVVLINGILHEGDQIIVCGMQDPIVTSIRALLTPHPMKELRIKGSYLHHKEIKAAQSIKINAQGLEHAIAGTSLYVVGPDDDVENVKEAAMEDMRSVMSRIDKSGEGVHVQASTLGSLEALLEFLKTDEVRIPVSGIGIGPVHKKDVMKAIVMLEKKKEYAIILAFDVKVTQEARELADEAGVKIFIADIIYHLFDQFKAYIDNLKEEKKKEVAEEAVFPCSLKIVPNHVYNKKDPIVVGVDVLEGIAKVGTPICIPQREFIDIGRIASIQNNHRPVDSAKKGQRVAIKIVGSNSEEQQKMFGRHFEIEDELVSKVSRRSIDILKANFRNDLSIEDWRLVKTLKNLFKIQ >Solyc11g067070.1.1 pep chromosome:SL3.0:11:53106843:53110540:-1 gene:Solyc11g067070.1 transcript:Solyc11g067070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLPGSSEITELLDDPASKLNEGAQKHHNVKHIQKYCIEDDINKLFEAIEIRPSSRGVKDVTSKRAMKRPMRFSTSRASGIGISEPVSLKQALRGLCISQASELAAVKKQLPKASRLSTVSETGAVKRLYSAVIVEANDPEHNDDGGIQNLVEISLVPEKSMVDSLHKSPENVPVQEQFTCEDALKHKASEIAAESDALCQSTDGYEYLKEVSGQKTTLELPHSSTGNCTDKVELVRDQMVPSVNEAPAAAMVADREYEQDLNFVSCSSFFGAGNTVTNPTSNSPSLIKPVFRSKTFFKKKVLSSFTSRPKHSNGGTDSDLSCSTSEFPRQTPECAQRNNQKEEVEVSSSSLNSGFAKLGLSSNNCNKARSILTQSDEKSRSKEKGQMSQSSKSSIGDYSSTTSLSDESYLSGSSRSGYRPHMSKDLRWEAIVCVQKQHGNIGFRHFKLLRKLGGGDIGNVYLSELMGTNCLFAVKVMDNYFLTSKRKMTRAQTEIEILQMLDHPFLPTLFTHFTNDKYSCLVMEYCPGGDLHVLRQKQPSKSFSEQAARFYVAEVLLALEYLHLLGVVYRDLKPENVLVREDGHIMLSDFDLSLRCAVNPTLVKSSSPIIELPKKSSPCSASCIDPFCLHPSWQVSCFGPRFLSTEAKTRKIKADLAAQVSPLTQLVVEPTNARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGIFLYELLYGRTPFKGPGNEDTLANVVSQCLKFPASPTVSSHARDLIRRLLQKEPENRLGAMRGAAEIKQHPFFEGLNWALIRCSTPPEMPRSYDLTNVVVPDANRLNKERDKCQNELKNIGEDMEFHMF >Solyc01g086790.1.1.1 pep chromosome:SL3.0:1:81613685:81614101:1 gene:Solyc01g086790.1 transcript:Solyc01g086790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDHHTEKMREGAQKTPSQCRRKRCTTGSTKSMLPPLMKMNVQVSLPPNPKQSNCSSSTRPMQKTSQSSAVARPIPKETQSDTDMKKKFESNKRKFEQRLADEREAKRRIVLVDFRQMPKAAYDPPAPKRCWNRKRC >Solyc10g008460.3.1 pep chromosome:SL3.0:10:2572920:2576122:1 gene:Solyc10g008460.3 transcript:Solyc10g008460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRFYPNVMPDFVAENPAIEEEQHPNPQGTNESLLKLLSTPYHILSKKFKRAALDLKETIVAETWGLTRQQVPDFTLYCGTLGTAFLLFKAYQVTKNTNDLAVCSQIVKDCDSASRHSRDVTFLCGRAGVCALGAVVAKYMGDDQLVFYYVSQFKEIKLTKDLPDELLYGRAGYLWAFLFINKHIGQGTIPSTYTAAVIDEIIKNGRKLGGKGRSPLMHEWYGEMYWGAAHGLAGIMHVLMDFELKPDDLEDVKGTLKYMVKNRFPSGNYPASENDRRRDLLVHWCHGAPGIVLTLVKAAEVFGDEEFINAAVAAAEVVWNRGLLKRVGICHGISGNAYALLSLYRLTGNAEYLYRAKAFACFLLDRAHKLIAKGEMHGGDTPYSLFEGIAGMAYLFLDMPDPKNARFPAYE >Solyc01g110110.3.1 pep chromosome:SL3.0:1:96803921:96806144:1 gene:Solyc01g110110.3 transcript:Solyc01g110110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRFSLVFVLSILLTTSFLLAVNGEIKGGDDDILIRQVVGDEDHHMLNAEHHFTLFKKRFGKTYASDEEHHYRFSVFKANLRRAMRHQKLDPSAVHGVTQFSDMTPDEFSQKFLGVNRRLRFPSDANKAPILPTEDLPSDFDWREHGAVTPVKNQGSCGSCWSFSTTGALEGANFLATGKLVSLSEQQLVDCDHECDPEEKDSCDSGCSGGLMNSAFEYTLKAGGLMREEDYPYTGTDKATCKFDNTKVAAKVANFSVVSLDEEQIAANLVKNGPLAVAINAVFMQTYVGGVSCPYICSKQLDHGVLLVGYGTGFSPIRMKEKPYWIIKNSWGEKWGESGYYKICRGRNVCGVDSMVSTVAAVSTSSW >Solyc08g066760.3.1 pep chromosome:SL3.0:8:55660825:55664901:1 gene:Solyc08g066760.3 transcript:Solyc08g066760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKMDRRLNEAVFRGDVETIKKLIEEDSNIVEQTLEGSLQHTILHLAARLGHVELVSEIVKLFPEMVSAENRDQETHLLEACREGRVEIVRILLENDPLVAYKTNLWDKSVFHVACERGRIEVVKHFLHNNMHMLLMLEVDMSTTSLHVAASSGDTEVVKELVKIRPDFAWKKDEFMNGCSPLHIACSKGHLDITRELLKLDMDLSG >Solyc11g010880.2.1 pep chromosome:SL3.0:11:3946360:3949853:1 gene:Solyc11g010880.2 transcript:Solyc11g010880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVHQQQSKKRRPNYTPRRPEMAASNFPTRGRSTNFPTRGRSTNFPTRGRSSNFPTRARSAIFTMRGRSANFPTRGRSANFPTRAHSAIFPTRARSAIFPTRARSTIVMTDTVRNKRQKTETEELVLPSAPATFTPITNRIINLPKSTHQTNSNWFLNTTRQTQILPSEQEVEVVRPSLENHGMEMPSDQEVEVVRPPLENHGMEMPNEQDDEIDMPLLEYYGTEQSQDDIAVNEEVEMASGRSSFVLKCLCGRKIKFEMTEL >Solyc10g049830.1.1.1 pep chromosome:SL3.0:10:46831579:46831752:1 gene:Solyc10g049830.1 transcript:Solyc10g049830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPDSNFHNFGKDRTKIFFYDNQVWAAYDANEFMSHYYALIHNVISKNHLKYSLTC >Solyc02g063340.1.1.1 pep chromosome:SL3.0:2:35946671:35946931:-1 gene:Solyc02g063340.1 transcript:Solyc02g063340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVDEPQDIADRERIFKRFDENGDGQISATELGETLQALGSVTPEEVKYMMDEIDTNKDGFISFQEFTEFAKANRGLIRDVAKIF >Solyc04g050572.1.1 pep chromosome:SL3.0:4:47574825:47577431:1 gene:Solyc04g050572.1 transcript:Solyc04g050572.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMNVVSEEWRSPRPVIDRSLITALVERWRPETHTFHFRTGESTITLQDVEILYGLPVKASQSSQNEIVGFLPLLQIWAWERVTVLMPQIVAKRDTRNIFPVGLPRGPHAARWYAHFSWTDTTKHVLRVFRDALDSMTEDQFIWEPQARSYTAAPRSISLGDGQYALWPTGEKDPEYVAT >Solyc09g055820.1.1 pep chromosome:SL3.0:9:45709033:45710489:-1 gene:Solyc09g055820.1 transcript:Solyc09g055820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKKPRKEIKSGFVAHMVISHQPNGKFSIISFGVKHNHPLVHQSLAQLLPSQRDAKVSQAHEIDILDDLVICPKCSFEYVAHHHDVEFYKYDVSTHESCWEHALIVNHSTKVLSCTCKLFEFSYVLCGHDLKILDTLDVKDKIPNHYILER >Solyc03g096520.1.1.1 pep chromosome:SL3.0:3:60091509:60092096:-1 gene:Solyc03g096520.1 transcript:Solyc03g096520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIPISSLRRRENLRLSPRPQNTKNTSTTLANMNTPHPKKTHFQTIPVNLGEHTFYATHAAEPNLFPHSWFEMSLRRQTLSFSKKAEGRSVMILIQNQTFLANVVSERMRMALETDNSHLWFSTMLRPTLSLNFTQLPFDYHPTWEMPLVSPLSQPTTMEPILFRSFFFLHQVPEGEEYPWNNDGERQTFSIAV >Solyc04g024420.3.1 pep chromosome:SL3.0:4:31500067:31505977:1 gene:Solyc04g024420.3 transcript:Solyc04g024420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:K4BQP1] MASNATIDVPSKGGFSFDLCRRNEMLLKKGLRSPSFLKTGTTIVGLIFQDGVILGADTRATEGPIVADKNCEKIHYMAHNIYCCGAGTAADTEAVTDMVSSQLKLHRYHTGHESRVVTALTLLKSHLFSYQGHVSAALVLGGVDVSGPHLHTIYPHGSTDTLPYATMGSGSLAAMAIFESKYREGLSKNEGIKLVAEAILSGVFNDLGSGSNVDICVITKGHTEYLRNHMSPYPRTDPQKGYSFPKKTEVILTKIIPLREMVEVIEGGDAMEE >Solyc12g010910.2.1 pep chromosome:SL3.0:12:3811683:3813466:-1 gene:Solyc12g010910.2 transcript:Solyc12g010910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEATWHELLGSKDWDGLLQPLNLPLRRLILRCGDFCQATYDAFNNDQNSKYCGTSRYGKSSFFDKVMLESSTDYKIYCFLYATAKIGALEAIFLHSLSRESWDRESNWIGYIAVTTDEVSRKLGRREVYVVFRGTSRNYEWVNVLGARPDSADSLLHPKSLQKGINNKNDEDEDEDEDEIKVMDGWLKIYVSSNPKSSFTRLSAREQLQAKIEKLRNEYKDENLSITFTGHSLGASLAVLASFDVVENGVPVDIPVSAIVFGSPQVGNKAFNERIKKFSNLNILHVKNKIDLITLYPSALFGYVNSGIELVIDSRKSPSLKDSKDMGDWHNLQGMLHVVAGWNGEDKKFELKVKRSVALVNKSSSFLKDDYLIPGSWWIEKNRGMVFDKNGEWILAPPSDEDLPVPEY >Solyc02g076855.1.1 pep chromosome:SL3.0:2:42547116:42547633:-1 gene:Solyc02g076855.1 transcript:Solyc02g076855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSAHVILIASVIYLLSFLRIIEGSKLIIEGTVYCDPCRSAFQSNFSELLPGARVQLQCHRLKTKKETLISSAMTNSMGKYRIIIQGRHKNESCGVKLVSSSKEDCNLYPDYPRIAIITLNGRKISDVQVYTAAPLRFLTKIPSPDC >Solyc12g015980.1.1.1 pep chromosome:SL3.0:12:6052118:6052900:-1 gene:Solyc12g015980.1 transcript:Solyc12g015980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGYGMNNASGSGAMAVDNRSVGSNGSHTDILNQQGNHIHSNYSVAASVVRGRVSRLSDDALAQALVDPQFPTTGLVICDEWTIDLSRITIGQAFAQGSFGKLYNGTYNGEDVAIKLLERPEHALEQAHFIEQQFQHEVKMLANLKHPNVIRFVGACRKTMVLCIVTEYASRGSVRQFLAKRQNRAVPLKLVVKHALDVAKGMEYVHGLNLIHRDLKSDNLLIAADKSIKIADFGIARIEVLTEGMTPETSTYRWMAP >Solyc03g059393.1.1.1 pep chromosome:SL3.0:3:31237278:31237955:-1 gene:Solyc03g059393.1 transcript:Solyc03g059393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDLLSDPSEYKIMVGALKYLTMKRPYIAYVVNVVCHYMHAPRTTHLHCVKCIFRYLQGTLTYSLSLCACSTTSMVMSYSDADWGGCLDSRRLTTTFAIFLGPNIISWCAKKQPTMSNLLQRLSKGLLHTPFQRLVGFITFFKSFVPLFVALFVSCVTMSVLHDRSKHIDVDFHFVCDKVAQGDIVVQYIPTLLQFVDIFTKGLSSSRFCFLRDNLSFTIACPD >Solyc04g045520.3.1 pep chromosome:SL3.0:4:33653511:33655530:1 gene:Solyc04g045520.3 transcript:Solyc04g045520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFAKSKNSLKRAEGNKFCNHLALVMVRENLRKLCFFGSIIRMIKLSKTEMHLTST >Solyc06g076660.3.1 pep chromosome:SL3.0:6:47748205:47754296:-1 gene:Solyc06g076660.3 transcript:Solyc06g076660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proliferating cell nuclear antigen [Source:UniProtKB/TrEMBL;Acc:Q8H0Q1] MLELRLVQGSLLKKVLESIKDLVNDANFDCSATGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNISMGMNLTNMAKMLKCAGNDDIITIKADDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVRMPSAEFGRICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANIVCRQNTTVDKPEEATVIEMNEPVSLTFALRYLNSFTKASPLSNTVTISLSSELPVVVEYKIAEMGYVRYYLAPKIEEDEEETKP >Solyc06g065650.3.1 pep chromosome:SL3.0:6:41170308:41177948:1 gene:Solyc06g065650.3 transcript:Solyc06g065650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHIQSNKSVSGTATEADEVKPAATTFHDFLGKGYTQDSSPAMSGRVVLPSEASPSASVSLGASSGGGRGPISTTSDLGSERVVGNHFEGVPFYGLRGELSGPETSNRLSGTKRSNSDSLMGLTRDKFAMLRPDALESSHMQKLLRNAGGERHGRPQDQEMSFVMHPLRPLHPSLISQPSATGRTDVNASKWDRVIPVNVGPTLQYPPRASHVLPFGYQSSSSRFGDANAGPSNISQAADEGSRTGIKGSGILSSINASGGISDRSLSGLPLSGAKQKSTLHFSDLESSNPCRQGSSPAGSQMTIFYGGQAHVFDNVHPNKADVIMSLAGSNGGSWSTTYAPKPTARPSTGENYLPKAENETTKGNNLALIRELHGRSSGKAGFTHGFGSGDHISIPQGINRGVSITKETKTAVQLAENVTDEKREM >Solyc01g098290.1.1.1 pep chromosome:SL3.0:1:88739968:88740186:1 gene:Solyc01g098290.1 transcript:Solyc01g098290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSSNTNEKHSTNKKHKYKQNIEAEGSPKEAFSAGSCWKQSLELARLLFGVLFTGVEAHWWWGFVVVGRSC >Solyc10g008840.3.1 pep chromosome:SL3.0:10:2889589:2892021:1 gene:Solyc10g008840.3 transcript:Solyc10g008840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYRSEEDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSQQSKSTIGVEFATRTIHVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITRHVTFENVARWLKELRDHTDQSIVVMLVGNKADLRHLRAVPTDESKGFAERENTFFMETSALEALNVENAFTEVLTQIYRVVSKKALDAGDDPASLPAGQTIHIGNDVSAVKKGGCCSS >Solyc10g085930.2.1 pep chromosome:SL3.0:10:65063292:65067885:-1 gene:Solyc10g085930.2 transcript:Solyc10g085930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSAFGELHIHWAESCISGYLLLILSNLILSEFSSEFFPLSCETLMYLDGCASSPLQQMDNMHNKEPCMISAGFPRRRAWSIRSAADGSGLDSSPTTSGSSGTRLIRAIEALLVKLDARIKVLRKNLPMKLLFFLVGFYCATAFATVIGQTGDWDIISSGLAVAVVEGIGALMYRSIPLIDGVRSIITMFNYWKTGLTLGLFLDSFKY >Solyc11g061980.1.1 pep chromosome:SL3.0:11:49003516:49005217:-1 gene:Solyc11g061980.1 transcript:Solyc11g061980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D910] MEKKKETILLFPFMAQGHIIPFLTLAFKLEKKGYNIIFVNTPLNIKKLKSSLPQNSSISLLEIPFNSLEHGLPPNTENTDSLSYKLSIHFTTISSSLEPSFRNLISSLIEKPLCVISDMFFGWSANVAHEFGIFHVIFSGAGGFGLACYYSMWLNLPHKETKNFVFTMPDFQEGGNLDVSQLNPSLLEADSNDPYTNFNWKNLPSWINSDGILFNTVEGLDKLGLTYFRRKLGIHVWAIGPIPWPTSNKQRGGNETGEETEKFIKFLDEKEEKSVLYISFGSQNRISTSQMMELAKALDNASRVNFIWAVRPPLGFDINMEFRAEEWLPEGFVQRVFEDQNRGLIVPKWAPQVEILAHKSVGAFLTHCGWNSILESLENGVPLLGWPIAAEQFYNAKFLEQDVGVCVEVARGNNSQVNHEDILEKIEVVMGVNDRGNEIRRKACEIKEMISNAIIDDEDFKGSSIRAMDEFLNAAESKNKLSNVVKINGNMSNS >Solyc07g006170.3.1 pep chromosome:SL3.0:7:1005458:1007140:1 gene:Solyc07g006170.3 transcript:Solyc07g006170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVVVMVGVLLMVQTKMKNFIHKNGVFVQFDVQEEVGMKNLKPMKVKNRSNKGELLSTSVSPYHDWDLFAADYEEMMKTLKIFVYPDAIMSNKFSTFSSIFLPHANPFDPKLGNYFSEHMFKIALLGSSFVTKKPEEAHFYFMPFSINVMRNHPRVHSASAIEDFVAGYTDRVSSEYSFWNASGGADHFYVYCHSIGRDAASKHQKLHHNSIQVTCSSSYFQRLYIAHKDIGLPQVWPRQHEQVLNPPDARYKLVFFAGRVQNSLARRDLLELWKNDSSFDIFSGSSSFPYEEGFRRSRYCLHVKGYEVNTARVSDAIQHGCVPVLISNYYDLPLANILDWSKFSIIVNERNVPHLKKILLSVPKRTYLNMYNNLGIVRRHFAWYSSPKKYDSFHMTVHQLWLKRGLHRVDW >Solyc02g070860.3.1 pep chromosome:SL3.0:2:41022239:41036160:1 gene:Solyc02g070860.3 transcript:Solyc02g070860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEERIVESHQRGIKTKGLVLGPQKGQLNSCLQRERERKRGIKGVFEVKKKSEKNMIEWREGTCYPKALMGVNVGLASVDAVIAVLAFAQVNMIFDVLENGLKLGLFLKQTTGIQPCAGEYLAFPFEISGTLTEFCDPFVHYPNAVKAKTCPREALLEKNKPNSNADSRRRCCSFRAVKVGSRQKVVVLVTLLVFLLMLVAAVLIWIGRGRNPIDSSVVARVYVDLFALAVLLLGVALACYGLVLFLKMSKVRSERASSEMWKVAGLAVVSVLCFTSSAAVAIFTDIPLLFNWHGQKINGVCTSLLMVLYYFIGSSIPSAFVLWVMRELPPPLVTFSQQESRTIAFINDSSATVQPQRWTAAASVQNQHCGCRSQGRAPYDHRDGICKLIGDFQLE >Solyc09g007535.1.1 pep chromosome:SL3.0:9:1113485:1120733:-1 gene:Solyc09g007535.1 transcript:Solyc09g007535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKGKEGEKEDKREKLAREAGGLLLSDEGYCPRFIRMVRNRVRAILINLFSEWHHKAELGSNGSFVGPLR >Solyc10g005820.2.1 pep chromosome:SL3.0:10:632488:638090:1 gene:Solyc10g005820.2 transcript:Solyc10g005820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQHKGRLLLTNYKCSLSLLNQIHSYLDPIYEKEEKHCIQKSQKFSSLSEINENNSFFSGKMSDLKSKFLDVYKILKSELLNDPDFEFTDDGRQWVERMLDYNVPGGKLNRGLSVIDSYSLLKEGKELTSEEIFQTSSLGWCIEWLQAYFLVLDDMMDGSHTRRGQKCWFRLPKVGMIAANDGILLRNHIPRILKKHFRGKPYYVDLLELFNEVEFQTASGQMIDLITTHSGEKDLSKYSLPIHRRIVQYKTAYYSFYLPVACALLMAGENLDNHVNVKNILLEMGIYFQVQDDYLDCFADPEVLGKIGTDIQDFKCSWLVVKALEHCNDEQKKLLHENYGKDDPACVAKVKALYNDLKLEDVYLEYERSTYEKLINSIEAQPSKAVQAVLKSFLAKIYKRQK >Solyc03g063540.1.1 pep chromosome:SL3.0:3:36999508:37000337:-1 gene:Solyc03g063540.1 transcript:Solyc03g063540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLSEAIRSHSFSLSIPLLFQQFKMAMILLILLSESIPQQLRGRFVNLAHSGTTFSTALEKLKLGSHARTTNGLLAKICAPDNKTSSLSSLLLNLTTT >Solyc05g007590.3.1.1 pep chromosome:SL3.0:5:2129360:2130676:-1 gene:Solyc05g007590.3 transcript:Solyc05g007590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDFRRENGPSTTAKAITETEIAAEFSHHDLTIARINNGSFGSCPKSIISAQQQWQLQFLQQPDYFYFNTLKPSMLKSRALVKSLVNAADVEEISIVDNATTAAAIVLQYITWSFFTSDFRPGDAAVMLHYAYGSVKSSVQAYVARAGGKVIEVHLPFPLNSNEEIVTEFDKALKMGKMNGGKIRLAVIDHITSMPSVVIPVKELVQMCRDEGVDFIFVDGAHAIGNVDINVVDIGADFYTSNLHKWFFTPPSAAFLYCKRSEKVVDLHHPVVSVEYGNGLAIESAWIGTRDYSAQLVIPDVVELFVNRFEGGIEGIRRRNHDMVVEMAEMLVKTWGTELGTPPEMCSSMAMVGMPACLGISGSSDALKLRTHLRVSFKVEVPIYFRAPLEGEVNPVTGYARISHQVYNTIEDYYRFRDAIIKLVSDGFTCAILSN >Solyc04g056500.2.1.1 pep chromosome:SL3.0:4:54332403:54332624:1 gene:Solyc04g056500.2 transcript:Solyc04g056500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIIGIQGGQCGNQIGAKFREGFCAKHDIDSTRRYNGDSDLHLRELVYYNEVTSGRFVPRDVLIDLEHHGQY >Solyc06g060320.3.1 pep chromosome:SL3.0:6:38407306:38411045:-1 gene:Solyc06g060320.3 transcript:Solyc06g060320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNWLWKRRSSEKSMGETESSGSLSSHSERHSDEQDPLKETPENDNQSPEVTSKAVTIDHEAKGSPKKLIEKLSAALVNVSAKEDLVKQHAKVAEEAVAGWEKAENEVAVLKQQLAAAVQQNSTLDVRVNHLNGALKECVRQLRQARDEQEQSIQYAVVEKEKEWASEKAALEYQLLELQTQAEASRTGSLVFTDPNVLVRLECLEKENTALKLDLSSCSEELQIRTTERDLSNQAAETASKQQLESIKKVTKLEAECRRLQVLARKSSLLSDQRSYAISSFAVESFTNSQSSSGDRLKTVDTDSHMMRRLETSECDQSCSDSWASGLIAKLDQFQHEKAMPKTLPARSLEIDMMDDFLEMERLAAVSETVNKASSLTSDAVAGDSSSEENPLAAEYETLSKRVVELEQKLEKTEADKAELENALSESQDALKVSDLQLKDAQIKLEELLKELDAVNESKELLEFQLFGMEVEARTMSANIDSLKTEVEKERSLSTDKETKCQELENELRRKSQEFELQQASSSNGESKIKQEDLAVAADKLAECQKTIASLGKQLHSLATLEDFLIDTANLPGFSGEGSVVAGASGEEWKLHVNETFTRNSNSDTPKIENSSHSMN >Solyc05g006030.3.1 pep chromosome:SL3.0:5:772980:795410:1 gene:Solyc05g006030.3 transcript:Solyc05g006030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAVDAAALVIQHPNGKIDRMYWPMTASEVMKMNPGHYVSLIIPLPISSDDNSNDKTVRFTRVKLLRQTDTLVLGRAYRLVTTQEVMKVLRAKKHAKMKKNQPELQDNQRSSCEVEAGNSESDKNKAKRHEGNRQRPGTTNLAAASSSKSWRPSLQSISESNS >Solyc06g072950.2.1 pep chromosome:SL3.0:6:45105881:45113294:1 gene:Solyc06g072950.2 transcript:Solyc06g072950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATERKMAQVREAIDDHDEQREKTEDTGDETKKTTSETLPFHKLLSEADALDWTLMALGTLGSIVHGLAQPVGYYLLGKALDAFGNNIDDTAAMVRALKKVVPYVWYMAFATFPAGVLEIGCWMYASQRQVARLRLKFLNTVLRQDIGAFDTELTTGKVLTGISNHMSLIQDTIGEKLGHFLSCIATFGSGVLIAFVSCWEVSLLSLIVVPLIMLTGASYTKRMSEISNIKMAYLSEATSMVEETISQIRTVFAFVGENLSIKSFSDCIERQMRISKKEALIKGLGTGTFQTITFASWSLIVWVGAVVVTAKRSTGGDVIAAVMSILFGAISLTYAAPDIQIFNQAKAAGKEVFQIIDRKPTIDADSGGITFEVIDGNINIRDVHFAYPSRQEKLVLQGFSLSIPAGTVVALVGSSGCGKSTIMSLLMRFYDPVRGEILLDNHNIKDLDLKFLRRNIGVVSQEPSLFAGSIKDNIKMGNINANDQQIERAALLANAHSFISQLPNQYLTEVGQRGLQLSGGQKQRIAIARAILKNPPILLLDEATSALDTESEKQVQEALETAAQGRTVILIAHRMSTIVNADMIAIVEDGKVVVTGTHNNLLDTSIFYNRLFCMQSITQDCQTRLEATNTKVISIQEDSSQDHKQPDEPNESKTELKELPEREQIQIKERHIFFRIWFALNEKEIIKTTIGSLAAAFAGISKPVFGFFIITIGVAYYHPDSKEKVALYSAIFASIGVVSLFAHTLQHYLFGVIGEKAMTNLRQALYTATLRNELAWFEKPENSIGSLTSKIASDTSTVKIIISDRMSVIVQCVSSILIATTVSMKVNWRMGLVAWAVMPCHFIGGLIQAKSAKGFSGDSSLAHSKLVALTSESATNIKTVASFCHEEQILEKAKLSLKRPLRKGKSESIKFGIIQGISLCLWNIAHAVALWYTTILVDRNQASFENGIRAYQIFSLTVPSITELWTLIPTVISAIDVLKPVFQILDRSTEIVPDMPDIAKPETIKGEIEFLNVQFCYPSRPEVTVLNNFSLQIEAGLKVALVGESGAGKSSIVALLLRFYDTNEGNVLIDGKDIRDYNLRKLRAQIGLVQQEPLLFSCSIRENICYGSERASEAEIVEVSRSANIHEFISNLPDGYDTLVGEKGSQLSGGQKQRVAIARALLKKPRIMLLDEATSALDTESERTVVSALESMKLNSSGITKVTQITVAHRLSTVINSDTIVVMDKGKIVEKGSHSNLMAEPEGVYSRFVRLQSMEKKY >Solyc01g108970.3.1 pep chromosome:SL3.0:1:95990401:95991896:-1 gene:Solyc01g108970.3 transcript:Solyc01g108970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWKFQTMKTDVSRLVVFLVFRRRTYSTDVFWRLLSCPRGVKQMGYNTVGVFDMNGFQFLFEFPSRSLAEKVKLGQWKNLPDKATLKCLSGGTWNVKLQCDGRGLLIHNGWKKLQKNNQQQNGEYLVSSKKGLGKTGEVNKHGKNQKAPIYGGSKRKRPKKYPHTSKFPKQTRANSGNGADW >Solyc05g023715.1.1 pep chromosome:SL3.0:5:29026920:29040135:-1 gene:Solyc05g023715.1 transcript:Solyc05g023715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHGKGPEEPRTEYLTHMTQGEGGINYEPYPVAIRCSTALLPVLTNQHFSPPAEAKESAQNETRKATDVCRAPNTKQLQTSCDKPQQHTDDGCPWKSKQRSKVDPSGKAFDVST >Solyc07g007140.3.1 pep chromosome:SL3.0:7:1876172:1900089:-1 gene:Solyc07g007140.3 transcript:Solyc07g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDQNSVPKDLRPLNIVRTVPEESGIAPVTTSGRTVEGFYGNLTRDVGGSPGTIQGVYYPTTVTDAGFVGLGYTNAGPGAAGWVPQVVASQPPGVVSVGVMNSGTGSSQNLHSVARVVSNVSERASDDGGDGSVSGRKVKFLCSFGGRIIPRPSDGALRYVGGQTRIISVRRDVSFAELVRKMVDTCGQDVTIKYQLPDEDLDALVSVSCPEDLENMMDEYEKLVERASDGSAKLRVFLFSASEVESSGLAQFGDLQDSGQRYVEAVNGISNGVSGIGLTRKGSNASAGSTQNSEFSGAEAVDVLGHGQGELRAVPSFDTLSPSGTSATSQEPSYRLVSTDANPATHADASISSMPIPLVVPGSVPTLSAQLEHGLEKTVPVTAQQQQMGYDMQQTGVTYQGTTAYFPAYVDPQRETVNRTEYVQIPSQMGFPRQLLGTVGPVLNQQHIISGGPTQQFVPALHMTMAPSGHVSMNQNMVASQIQPQHFRLEHYPAEGTLGQRVVQIPVDQGYNAYQHHAPPAGLGGAYGWHHIPQTHQMPLSEGQVPQPLVTGSEALPRFDDCLMCQKSLPHAHSDTVVQEQREIPASSVSDFNPVYHSLRLDEMGHPIYRAVTTGTLGEPAIEQQGAAVGQRTGGQIDLGVGKGQGEVIGISQTVDKQYEYDRSLEQPEFAEHQKASVPSQGMIGLTGTVQPPYGVFVGAVPQPCHGNATEQLLVPSQYQVKQEVAANKPVSTDLLKVGSVPGQTLDNLSGESPKNYCGTAPTMLPKEDNIESLTAYNHLRQIEGRMENLLMYPAEILANNEQSKPAVDNFRREDILNNRVQQFGGREVYPGLVTSNVNPNEIPVSTHGNPFLPNIQAAEGYEVSQHPVMTNPGVHAQPNYGVNHLIPSEVSPHLTALSAHATERTPAIAEQKDGVQHFQPMVSPTTAEMTILDGTSPCVQENSNSLYSNQDPWNLHHDSHFPPPKPSKLQLKKEAVGTKGENRFGNTNELPTTTNGGLQTQIRLEDGAYLPSGNTDYSSDQSWSKKGSEEEMIKQELQAVAEGVAASVLQSSTPSNADLSSRGRSESPSSSQQNVEFESINAGKDPKDKFEETKTKFPERANFGFPVSGGIGRLQIIKNDDLEEIRELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKASEQERMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERNLDKRKRLVIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYAELHYGAIIGGIVSNTLRPPVPESCDPDWRSLMERCWSAEPSERPNFTEIANELRVMQSKIPPKGQNQQSSPSANTNQAKT >Solyc11g019895.1.1 pep chromosome:SL3.0:11:9738067:9746135:1 gene:Solyc11g019895.1 transcript:Solyc11g019895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFNKKKVIFIMGATGTGKSRLSVDVAGALHSPNGIFLNAKVPYGQIQRLISEKMLSVHHIIATDIFKEDKEEYIDEAWTNTVLQPCLDIVKKFVKSDHHNIIIECEIEPDLDFTAEDFCLQAVKTQRVPIIVGGSNSYIEKLGEDVVFMFKYKYDSCFIWIDVEQSILNHRVDMRVDQMVKAVFFVNILCINQDILSLDHEVRQIFNLDADYTKGIRQSIGVSEMDKYLREKTNIDGDDESKQMILQSSISSIKRNTRMLIFNQLDKIDGLISEKIRFQRSREEDLHEAWTITVLQLCLDIVKRFLKNDHHNIIIECT >Solyc02g065110.3.1 pep chromosome:SL3.0:2:36836753:36843419:-1 gene:Solyc02g065110.3 transcript:Solyc02g065110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWWPSFSSSTRASTSERKVHSDDSVIVTRGGVRSALKSALFGTRSRMRQISRKKKRPQHRGGDHHSVDVGDDWHSQYSYESSLQEERPPPQPLPLPELHMMLRQHPNLVQAPSVPLPSPSEAISHHKAGEEIERERMDAFNGGATREGRLLNQESRKRTDHSATPLSRMMPPRMLLVPERIPPDFWTSAPTSPYASPTHRQLKSCDHITSPLFVTPPSVFQVWSAPEMPPSEAPHGLGFSYNHAFSVDNSPLHSPRLSPQRRSRSPSGSISPLHHPLPNDQCPMTRRENCAQGNVHPLPLPPLATHPVSPPTPKADISPIKGQWKKGKLIGRGTFGSVYVASNRETGALSALKEVELLPDDPKSAESIRQLEQEINVLSHLKHPNIVQYYGSEIVDDRFYIYLEYVHPGSINKFIQDHCGEITESIVRNFTRHILCGLAYLHSKKTIHRDIKGANLLVDAYGVVKLADFGMAKHLNGHSANLSLKGSPYWMAPELMHSVMQRDNSSDLAFAIDIWSLGCTIIEMLNGKPPWSEYEAAAAMFKVLKDTPPIPETLSLEGKDFLHCCFRRNPAERPSASMLLEHPFVRMSHQPEVPSFVQQVDGIRLTEKLHSQREQLSYKLEAVHVSLER >Solyc08g074565.1.1 pep chromosome:SL3.0:8:58801210:58805322:-1 gene:Solyc08g074565.1 transcript:Solyc08g074565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTNLQNNKNRTTTLRKSRLKQFLIHTFCGDRRRRMSEQTDASSGLKMALKREKTRASSSLESVTATGTTSANLPVSG >Solyc02g077640.1.1.1 pep chromosome:SL3.0:2:43105523:43106152:1 gene:Solyc02g077640.1 transcript:Solyc02g077640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVDNQIPRNLDDEKVPLLESSELPEVEKNLIQQAISHTFKSTAHLANLLPTGSVLAFQFLSPIFTNQGQCDVVGRSLTAGLVALCGLSCFLLNFTDSFKDQKGNICYGFATTRGLWIIDGSATPPLEVAAKYKLKFIDFMHAIMSILVFAAVALFDQNVVSCFYPTPSYETQELLTALPVAIGVICSMLFVVFPTQRHGIGFPLTC >Solyc10g006110.3.1 pep chromosome:SL3.0:10:840429:851943:-1 gene:Solyc10g006110.3 transcript:Solyc10g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDIKKETLLNKNKEIERTVSNAYDELRSFRTWLKWMCVDQSNAFSAFLSWFVFILLAVIVPCLSHFLLAMDDSDAAHSRPYDDVVQLSLSSVAALSFVCLSQFVKKYGLRRFLFLDKLCDESETVRRGYTEQLNSSLKILFIFVLPCFAVECAYKIWWYSSGGTRIPFLGNVIVSDTVACILELSSWLYRTVVFFLVCILFRLICYLQILRLQDFAQVFHVDSDVESVLREHLRIRRHLRIISHRYRSFILWALMLVTASQFASLLMTTRSTADLHIYESGELALCSVSLLAGLMILLRSATRITHKAQSVTCLAAKWHVCATIDSFDSTEADTPITRATCDHIFPVCSEGSSDADDVGDEEDELDNTKFVHAYAYSTISFQKRQALDGDGRLIGKDATSFLAMSNLPREDLKQVWAIADSKRQGYLGFKEFITAMQLVSLAQAGHAVTSDFSNADEKFQSNAVDFENLQPPTMEGLDGLLAKKKRVAKWVPDSNGSLSLSSRANWFSSSKSAKKVPSNYVTSITDGLKKLYAKKLKPLEVAYHYSDFVSPLLANSDFDAKPMVMLLGQYSTGKTTFIKHLLRSSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVQAEMPFSGLTSFGTAFLSKFECSQMPHPLLENITFVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIASLQGHDDKIRVVLNKADKVDTQQLMRVYGALMWSLGKVQNTPEVSRVYIGSFNDSPINEDLTGPLGKELFEKEQDDLLTDLKNIPKKACDRRVNEFVKRARAAKVHAYITSHLKKEMPAMMGKSKTQKRLIDNLADEFVKIQKEHHLPPGDFPDVQHFREILSGYNIDKFEKLKPKLIQAVDDMLGYDIPELLKNFKNPYE >Solyc02g083090.1.1.1 pep chromosome:SL3.0:2:47179135:47179944:1 gene:Solyc02g083090.1 transcript:Solyc02g083090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSSKPNHVRSISFPGRSHPTIQRVEEELNKLKSLEAPATPKADTVYHGLLILERLYKSIDGLLNLPSRQTLSQSLDAKWVDDLLDKSVRLLDVCGTTRELVSQYKEKVRDLQSSLRRRKGDSTTDDSVERFTSFSKKIKRDAKMSILTLKQIDQETAVPVLLDAEQDTIAAIRALREANAVCISIFQTLLSFLCVPLLKPKQSKWSLLSRLVHKQRITPGVQEENTSLETRLETFEAYLDSFENALEAVFRCLIRSRSSLLNVLSC >Solyc10g046777.1.1 pep chromosome:SL3.0:10:37104813:37126821:-1 gene:Solyc10g046777.1 transcript:Solyc10g046777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVTAWRVCMDCGKFNAWTEKCNFLMPCMDKILDSLAGKGCCCFHDRYSGYNQISIAQEDKEKTTFTCPHGTFVFKRMPFGLCNAPTTFGRCMMSIFSDIMKDTIESTKEGCIVLASKEGYIKL >Solyc01g056670.1.1.1 pep chromosome:SL3.0:1:55571744:55571914:1 gene:Solyc01g056670.1 transcript:Solyc01g056670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMPIESMLLAVNSNFLVFFVSSDDMMGQSFASLVPTVAAVESAIGLAIFVITFRV >Solyc05g018720.1.1 pep chromosome:SL3.0:5:22873228:22874929:-1 gene:Solyc05g018720.1 transcript:Solyc05g018720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIKQHLHRGSSQLGINSIVGMPGIGKTLLTRRYTMISYLSLTLMFTPSVVQLKYIHSRSRIIITTWLSDTANYVKRESNPHHLRLFKDDESGILLQEDLFQGEVILPSSILKMIKLRHLFLNNRASLSLQEILGESVSNSQLGYLETFSAPRLSHGQNAQMILTKMPNLRKLSCMFSGRFRYSE >Solyc09g061375.1.1 pep chromosome:SL3.0:9:59198611:59201087:1 gene:Solyc09g061375.1 transcript:Solyc09g061375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKKGRSKSRSRLSKDECAFCREKGHWKKDCPKLNSKAKPNNGKAVMDSNVADYDDSDYSLVITDQSKSSDVWLMDAACKGECGVIHTANNNPLTAYGVGSIRLRNHDGLSRTLKDVRYVPDLKKNLISVGDLESKGFKVIANNGVMRICSGALVVEFEGKIIFPTQGSNEETTENFPLEREPVEEELEDNSFIYFLLYVDDMLIASKSKEEIEKLKNQLRKEFEMKDLGEAKKILAWR >Solyc04g050970.3.1 pep chromosome:SL3.0:4:49121158:49124076:-1 gene:Solyc04g050970.3 transcript:Solyc04g050970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPCFQSKKTNEPPVQDKPVPVARPANDHPSSSPHFENNYKASCENGNNNNNRTDHGSSPVENGDSSNAKTFTFRELASATKNFRQECLIGEGGFGRVFKGTLQGGEVVAVKQLDRTGTQGNKDFQVEVLLLSLLNHQNLVNLIGYCADGDQRMLVYEYRPMGSLADHLIDIKEDQKPLDWQNRMKIASGAAEGLEYLHEKANPPIIYRDLRTTNILLDEDFTPRLSDYGLAKLAGGGNKSHISPRVMGTYGYSAPEYERSGELSFKSDVYSFGVVLLEIITGRRAVDTTRLTEEQNLVAWAQPIFRNPKRFREMADPLLKNKFPERSLNQAVGVAAMCLQEEPSVRPLISDVVAALTTLNVDEPIPESPQSPEKDNTDEYEQKSSDNEALSNKNEDESSEDDQDNVHYNKKIDINKNVFDSDEDDGASSDYGYGSTSGSSENEKEDISLEPGGGIPTKFVKWSSESKRKSKIKSSSRAIRSTSRRKSKVKRSESIISNDDTEKDTFNLKDNNNHRQQKNAKSKTVSFSGFSSQSSDDAESDGENDIGSNQSRHVQFRS >Solyc08g062275.1.1 pep chromosome:SL3.0:8:50889791:50905275:1 gene:Solyc08g062275.1 transcript:Solyc08g062275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQNISQFNNNSFTKEKKKEHMREEEFLNTSTNIAIQSSSLRPSPVDPFLKTIPQFTNVKSLTPKRTPFISSSSTTVSATTREKDPEKRVVITGMGLFSVFGNDVDAYYDKLLAGESGVTLIHRFCDCTGHGCFHYIIYLV >Solyc06g071620.3.1 pep chromosome:SL3.0:6:44249104:44256153:-1 gene:Solyc06g071620.3 transcript:Solyc06g071620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSDRVPTSYSGLHEPSGEAESLFGKIDPKKFGDRVYRSTPPEKIQKKKRERFVVSETTMQNKKRRRILGEESSVLNWAEEGGVYQPKTKETRAAYESLLSLIQHQLCGQPLNIVSAAADEILAVLNDGYSNNSDKKKMEIEKVLNVSVSNKENEEDVEESDLDVVLDDGEEDEYVQLEGNVAGNMQMGSGGIDDEILQEADQGMALNVQYIDAYWLQRKISEAYEQQQIDPQQSQKLAEEVFKILAESGDDRDVETKLLVHLQYDKFSLIKYLLRNRLKVVWCTRLARLHATTRETAKERQKNLEKSFREEARRFKDADGEDLDNGWSMGQRSLLNLDSLAFHQGGLLMTNKNCELPAGSYRNNMKGYEEVHVPALKPKPLAPGEKLVNISSLPQWAQPAFTGMIQLNRVQSKVYETALFTPENILLCAPTGAGKTNVAMLTILHQIGLNRKEDGSFNHNNYKIVYVAPMKALVAEVVGNLSRRLELYGVTVKELSGDQSLTRQQIEETQLIVTTPEKCDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESLIARTIRQIETTKEHIRLVGLSATLPNFEDVAEFLRVDLNKGLFYFDNSYRPVPLAQQYNGITVKKPLQRFQLMNDVCYEKVTSVAGKQQVLIFVHSRKETTRTARAIRDTALANDTLGKFLKEDSLNREILQSHTDLVKNNDLKNLLSYGFAIHHAGLVRTDRQLVEDLFAKGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDIMQMLGRAGRPQYDTYGEGIIITGHSSLKYYLSLMNQQLPIESQFISKLPDQLNAEIVLGTVQNAKEACKWILYTYLYIRMVRNPSLYGLAAAGVKTEDAFEERCADLVHSAAALLDKNNLIKYDRKSGYFQVTDLGRIASCYYISYGTISTFNEHLKSTIGDMELCRLFSLSEEFKCVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLSSDMVYITQSAARLMRALFEIVLKRGWALLADKALKWCKMISKRMWSVQTPLRQFHGIPNEILMRLEKKDLAWERYYDLSSQELGELIHFPKQGRTLHKFIHQFPKLNLAAHVQPITRSILRVELTMTPDFQWEDKVHGYVEPFWVIVEDNDRERILHHEYFMLKKQYIDEDHTLNFTVAIYEPLPPQYFIHVVSDRWLGSQTVLPVPFRHLILPEKYPPPTELLDLQPLPVTALRNPAYEALYQDFKHFNPVQTQVFTVLYNSEDNVLVAAPTGSGKTICAELPILRNHQKGPDSIFRAVYIAPLEALTKERYSDWKKRFGDSLGMRVVELTGETAIDLKLLEKGQLIISTPEKWDALSHRWKQRKYVRQVSLFIVDELHLIGGQGGEVLEVIVSRMRYISSQVENKVRIVALSTSLANTKDLGQWIGSTSHGLFNFAPCVRPVPLDIHIQGVDIANFEARMQAITKLTYTAIVQHAMKGKPAIVYVPTRKLARLAAVDLMTYSSMDNKGTPVFLLKSETELESFMERINEPVLKETLSKMCWGVRLSAQMVVVMGTQYHDGRENVHSDYPVSDLLQMMGHASRPLVDSSGKCVILCHAPLKDYYKTFLYEAFPVESHLQHYLHDNFNTEIVVGVIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHISDHLSELVENTISDLESSKCVAVVDDVLLSPLNHGLIASYYYISYTTIEPTEYEQLPIRPGEEELIRRLVNHQHFSFGNPKYTDPHVKANALLQAHFSRQMLGGNLASDQQEVLFSATRLLQAMVDVISSNGWLNLALLAMEASQMITQGMWGHDSELLQLPHVTKELAKKCQENPGKSVESVFDLVEMKDDERYELLQISESQLVDIDRFCKQYPYIDLIYDVLNGDNGRAGDNVNLQVSLERRSETGPVLAPRYPKDKEEVWWLVVGDIKSNQLVAIKRVNLQKESRIKLDFTAPAEAGTRKYKLYFMCDSYLGCDEEHTFAVDINDVMPEDDS >Solyc08g068540.3.1 pep chromosome:SL3.0:8:57747053:57748724:-1 gene:Solyc08g068540.3 transcript:Solyc08g068540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKTSELIELQDTDGNKWKVRCIRRKLRVLLSKGWLNFVTDNSLLVGDVCVFELLKDVQAVELILKVHMFRNRVEENSKNLHTGSLSEPTLSTGKNCILQFDESNHTKSSDAFKPLSSDRTNHKTDTENSFGQQQEKSVVHGSSGNRRKRGRPRDAEANTDTENSSGQQEEKSVVHGSLVIVVKEDDQGMQKQTLTENSSGQQEEKSVVHGSSGNRRKRGRPRVAEANTDTENSSGQQEEKSVVHGSSGNRRKGRRLRDAKANRATTAAKMFTPENPYFMITLGEYHVVRNYILFLCFFLAETSLYLNMFFTHVKTNLTFLLYLDTYFAICPNLQNIPPDFSRDYMPKTSEPIKLQNSDGSKWTAHCVRRKTCMFLSKGWVHFVRDNSLVLGDACVFELIKGIQADELTLKVHIFRNKAEQNSIN >Solyc03g006570.3.1 pep chromosome:SL3.0:3:1136981:1149698:-1 gene:Solyc03g006570.3 transcript:Solyc03g006570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMADKASEYWLSSEDPSVDFDLNFDGPSMDIDDLLSIIEEKSDPPAQQSMPEDLLQNDMGQGYSDLERSSASRAQFLGHIGVSPSSNSEVSDTRTGVSDGSSDSAGNSIVDGRKLGFQLDRCSPVHNFYGSLTDWRLGQDNEWFSDRDNISQSTSSNVQQERLLLSQSAAENKFKSSGTLAAKETSSMEVAVSSADQYYSRLGLCQSSDALPGTWDNYAQPSHAYSFLKQDAPNNLNFEMPSHNDKMLNVMDEQLNHTTGIADSETGIACGNWTTRAGEEAQQAPECQVTPNFGVGNFSSYYNGGNETLLNSGDLFSRLTGSNNMGKIFRQALPRNQSSYLFQNQQFCRSNEKNELLGGADIPTVSELANSFCPAPVTSLSSNDLLVYPKDQNGVLQYNRPSYHLDSFEETCSEKNILVPHDHLADVKIREKSVSSSSTSMKQQFGCANLERGEKRRFLKVNGSRLSTITHQGIQRNSLNQRSHSEDDDDLCILEDISAPAKANPCANGKSLVVLQRTTITDSFAPADVGQKRFEVGQTRPKLNDEHVIYQVALQDLSQPKSEESPPDGLLAVPLLRHQRIALSWMVKKEKAAVPCCGGILADDQGLGKTISTIALILKERSPSSRLSTAITRQTKTETLNLDDDDVLSEFDMSKQGSPSCQVDENSGLGCKTSLHAKGRPAAGTLVVCPTSVLRQWSEELHNKVTNKANLSVLVYHGSGRTKDPVELAKYDVVVTTYSIVSMEVPKQPVGEDDEETGKGTHELPSSKKRKTPSSSKKSSSKAKKEVDKELLEASARPLARVGWYRVVLDEAQSIKNYRTQVARACWGLRAKRRWCLSGTPIQNAVDDLYSYFRFLKYDPYAVYKQFCSTIKVPIQRHPTTGYRKLQAVLKTVMLRRTKGTCIDGKPIINLPEKHIVLRKVEFTDEEREFYCRLEAQSRAQFAEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVGGSNSGSVWRSSIEEAKKLPREKLADLLNCLEASLAICGICSDPPEDAVVTVCGHVFCNQCISEHLTGDDTQCPVSACKVQLSGSSVFTKAMLSDFLSGQPRLQNNPDCAGSDVAESLNRSPYDSSKIKAALQVLQSLPKAKSCTLSGRLSGSDDEGASPSENTCDNHAGESSAHTSSKDTTTIAGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVLARDKAVKDFNTLPEVSVIIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRPVTVLRLTVKDTVEDRILALQQKKREMVASAFGEDETGSRQTRLTVEDLEYLFKI >Solyc03g117280.3.1 pep chromosome:SL3.0:3:67943005:67947630:1 gene:Solyc03g117280.3 transcript:Solyc03g117280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYTKVNSIPLTVAFSLLLVSALSLSNALVDDVVSEPTHGVVTSVSKRFLAENSATGNSSLVLAAERTHRRDPLEDRSYYTGGWNISNDHYWAKWISLRDEFLILRPLRLNLLVNFIQTKLVEFLRMVFDVFSGCHHRCQYAMFSVISSIFFAYRLDDLSVAYTGAPLFIIALFWFFACGISLFLVCICCCCCRRGRYGYSRAAYALSLIFLSAFTIAAIIGSIFLYTGQGKFHDGTKDTLDYVVQQAGSTVDNLRNVSKILAVAKHTGISQIFLPQNVQNNIDKVDTKISSAAETLETETEKNKKDIMVVLDLVRRILIIVAAVMLGLAALGFCTFYALSNLVIIGWILVAVTFILCGAFLVLHNATGDTCVAMDDWVKNPSAHTALDKIIPCVDTATAQETLSQSKEVTYQLVGVTNTIITNVSNINLPPGVSYNQSGPLVPNLCNPFNSDKTDRKCASGEVELSNATQVWKNYVCEVSASNVCSTVGRLTPSMYDQMTAVVNVSNGLYHSGPFLKELLDCTFLRDTFTVIHDEHCPDLSRYSKWIYIGLALVSVSVMLSLVCWVLYARERRHRKYTKLVDATSGQESLAGKSHG >Solyc04g014540.2.1 pep chromosome:SL3.0:4:4812328:4817739:1 gene:Solyc04g014540.2 transcript:Solyc04g014540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEASSGNDSDITSQVASNIEFSEPVSLDLSLGFNSGTDEFASQDSIGFSVSSTCDESSNELAASQTSGEAVVRRVFTCNFCKRKFYSSQALGGHQNAHKRERTLAKRAMRMGIFSERYGNLAALPLHGSTSRSLGIKAHSSMHHGFPQAMGSLDFRTSARFEYVHHQSPSLYVEDIDEADHLLWPGSFRQVSNADISHRPTISFTGCSNVNSVEWTPSVEKDNLTPDLTLSGKQKRVRPVPEKKIPKRYVISRINCVSVYIKREKIIYIHANIYICSFSCLSLFVALYKYKLYKIQCIIYANDLYKRERLASICTNSLAVKLYKSEEEPTNYTIVSFVYMYSEINIVSCTYVYKVSKYGVLS >Solyc01g102570.3.1 pep chromosome:SL3.0:1:91222856:91228925:-1 gene:Solyc01g102570.3 transcript:Solyc01g102570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGDSDTEEEEESDYEVEDDAPAENPDAPSGPGARYLAADDSDSDESDGQKRVVRSAKDKRFEELSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRITESIKPPNLYIKALVMLEDFLNQALANKETRKKMSSSNAKALNSMKQKLKKNNKQYEELINKYRENPPVSEEEGGDDEESEEEEEEDDFEEDPTKIAAASASDDDDPDTIGDGWEKKVNKKDKLLDKQFKDPSQITWEIVNKKFKEIVAARGRKGTGKMELVEQLTFLTKVAKTPAQKLEILFGVVSAQFDINPGLSGHMPINVWKRCVQNMLTILDVLTQYPNIVVDDMVEPDENETQKGADHSGTIRIWGNLVAFVERIDVEFFKSLQVIDPHTSQYVERLRDEPLFLVLAQNVQRYLEQVGDYKGAAKVALKQVEFIYYKPQGVYDAMRKLAELTEGGEAESVEENKVVEESRGPSAFIATPELVPRKPAFEENSRTLMDSLVSLIYKYGDERTKARAMLCDIYHHAILDEFSTSRDLLLMSHLQENIQHMDISTQILFNRAMAQLGLCAFRMALVAEAHGCLAELYSAGRVKELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLEAVHLTCAMLLEVPNMAANSHDMKRRVISKTFRRLLEISERQTFTGPPENVRDHVMAATRSLRQGNFQKAFDVINSLDVWRLLRNKDGVLEMLRGKIKEEALRTYLFTYSASYNSLSLDQVAGMFDLSVPQIHSIVSKMMISEELHASWDQPSRCMVFHDVEHTRLQALAFQLTEKLSVLAESNERATESRIGGGALEGLPPRRRDGQDYAAAAGGGGRWQDFSFSQGRQGSSGGRTGYAGSRSTSGQTSRDRTNQARGTLGGQGSRYQSGTTSKGSQMDGSGRMVNLNRGGRS >Solyc01g104390.2.1 pep chromosome:SL3.0:1:92667428:92667976:1 gene:Solyc01g104390.2 transcript:Solyc01g104390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVNKVTIVAFAMMLCIFLQTNISKADTFLAGGANGWGFQLNGWPNGKTFKTGDVIEFKYPAGAHNVVKVDQAGFNSCNGAGGQVFSSGDDKITLTKGTSYFICTIGQHCANGVKAAVIAN >Solyc12g095740.2.1 pep chromosome:SL3.0:12:65856297:65858836:1 gene:Solyc12g095740.2 transcript:Solyc12g095740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLDVQVVDVGPPADWVKINVRETNDSFEVYALVSGLSREEVRVQSDPASRLVITGQPKQLDNFWGVTAFKKVVNLPARIDQLRTNAGVTLHGCLHVHVPFAQQNL >Solyc11g011470.2.1 pep chromosome:SL3.0:11:4520301:4526311:-1 gene:Solyc11g011470.2 transcript:Solyc11g011470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGLLASRALRSSRIIRNSTRTIVSTPELKNADAAAAAAAAADAPSDLPKRNPVGGARVHLPNPDDVIEVFVDGYPVKIPKGMTVLQACEIAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPIAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEMKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEVAGVEDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTESIDVTDAVGSNIRIDSRGPEVMRVVPRLNEDINEEWISDKTRFFYDGLKRQRLNDPMIRGADGRFQAVSWRDALAIVAEVMHQIKPEEIVGVAGKLSDAESMMALKDLLNKMGSNNIFCEGNGMSPNADLRSGYIMNTSISGLEKADAFLLVGTQPRVEAAMVNARIRKTVKATNAKVGYVGPAADFNYDHEHLGTDPQTLVEIAKGSHPFSSALKNAKNPVIIVGAGVFDRDDKDAVFAAVDTIAKNNNVVRPDWNGLNVLLLNAAQAAALDLGLVPESDKCIESAKFVYLMGADDVNLDKLPDDAFVVYQGHHGDRGVYRANVILPASAFTEKEGIYENTEGCAQITMPAVPTVGDARDDWKIVRALSEVAGVALPYDSLGAIRSRIKTVAPNLLEVDERQPATFSTSLRPEVSQKVSATPFTPAVENFYMTDAITRASKIMAQCSALLKK >Solyc03g078175.1.1 pep chromosome:SL3.0:3:51576012:51580051:-1 gene:Solyc03g078175.1 transcript:Solyc03g078175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPREITHPGKKVETADSSEGYYMLCMRQSWEILKSLKNKSPLPWCIAGDFNDILSYGDKMGRIQQSTWRLDGFQEAVNFYELHDLGYVENKFTWERGRGTDGWVLERLDRAFPRTGWRVLFNRATVYHMETSCSDHMALFISLGISIRYSPMKFCFENSWLRETDVKEAVEEGSFNWKNGLSDLIVNYYNNLFKTQQGPSSVIIDIVDRIVSDEINEDLKRPVTVEEIKHVVFSMNPDKAPGPDGLNPGSNNTNIVLIPKKKQPEFVSDLRPISLCNVVDRITCKVLANRMKRCMNLIISEAQSAFIPGRYITDNAMISFEAMHYLKRKTQGKVGYAALKTDMSKAYDRVEWDFLRAMMIKMGFCLEWVNKVMQMVTTVTYKFSHDGKQFENISPRRGLRPDDALYFFKGAKEEAKTIKLCLEIYQAASGQQEVNSPVKYLALPALIGRSKKFVFAYIHNRVIAKINSWKYTSLSRARREVLFKTVVQSIPTYVMNMFVLPSNICEPVERAMNGFLWKSGTRENRGIKWMSWQKVCLQKEDKGLSFRELHHFNIAMLTKTGWNMLTRLEALVSKLFQARYYYKSDFLHAELGSNPSFVWRGLCAGKRILKQVCL >Solyc03g096730.3.1 pep chromosome:SL3.0:3:60514146:60516619:1 gene:Solyc03g096730.3 transcript:Solyc03g096730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose pyrophosphorylase [Source:UniProtKB/TrEMBL;Acc:Q6J1L7] MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKEFEASLGIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPFKEMIQFHKSHGGEASLMVTKVDEPSKYGVVVMEESTGQVERFVEKPKLFVGNKINAGFYLLNPSVLDRIQLRPTSIEKEVFPKIAAEKKLYAMVLPGFWMDVGQPRDYITGLRLYLDSLKKHSSPKLASGPHIVGNVIVDESAKIGEGCLIGPDVAIGSGCVIESGVRLSRCTVMRGVRIKKHACISGSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Solyc03g121610.3.1 pep chromosome:SL3.0:3:71184983:71191215:-1 gene:Solyc03g121610.3 transcript:Solyc03g121610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLQSFMLLRFLVILSVLSIQLSAGFNLHSLKTAASAFLKDGRIDLSVQRNAGSRKSLLQEDLFPTPTSRSKRHAMFAAAPHLEAFHSAPHPYHHPTKATYQHKILEPSNYADAPLTSTHFRNSGGKQVHSSASAPIISSIRHHHRRNKHSDSTAKPNDRLHPPSSRWSGPSISPFMSPVPSSISWAPVSSPITQPSHTEIPMSTPTISPTSSSIKRKKLRPPPLPVMTLPPPPPNHDCSSLTCTEPLTYTPPGSPCGCVWPIQVAMCLNVTLYTFFPLVSELAKEIAAGVLLNTSQVRIMGANAADQQLEKTIVHINLVPTDGKFDGTTALTIYQKFWKRAVFIKTTDFGAYEMVYVRYPGLPPSPPSRHSSSATIDDLPAYPGNENNGMTIKPLGVDVSSRMRKKGIPRNMIIVIVISSITAFVVCMGLIWLLLFKRGCYAQSPEQPPHILVSSQGKTSGDAGSMILASKPSSKSMSFSSSILAYTGTAKIFSTNDIERATNNFDISRVLGEGGFGLVYSGTLDDGRKVAVKVLKRDDRQGGREFLAEVEMLSRLHHRNLVKLIGICTEENCRCLVYELVPNGSVESHLHGIDKEASPLDWYARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALEEGNRHISTHVMGTFGYLAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDLSQPQGQENLVAWARPLLTTKEGLEIIIDKAMESDIPIDSISKVAAIASMCVQPEVSHRPFMGEVVQALKLVCDEFDDTRGPMSRSCSQEDLSMTDTSLVHKSIPGFDSPLNVQMELSASELKSASARYGTVESESFRRQFNSAPLKMGRKRNFWQRLRVLSSGSMSEHSFSSKT >Solyc08g023360.2.1 pep chromosome:SL3.0:8:28498700:28500861:1 gene:Solyc08g023360.2 transcript:Solyc08g023360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIGMCNLGNTCFLNAVVQSFMKTIVLLKLLGSIDHISRCDICMIRDLFDLCMSCAFDNISPRNCYSLESWYQQEDAHEFLQCFLNKIENCCYNFELKICGGGLRCKTHGPFEKQLLVDRAPFVAALDFEIFKNNGLVDIKVDKHVLFSLELDMLLYTSKINNVVFVEEDFVLAKEAYIIFYAKRGTPWFFNYIQIHRSFIKLVVPTSLCIPNNRAFDVGESNNGDEEASMKYEHNKIEDSDSRGRENKVSMF >Solyc03g094060.3.1 pep chromosome:SL3.0:3:57196648:57203497:-1 gene:Solyc03g094060.3 transcript:Solyc03g094060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEVRCFEPRRSLWPIPAPKTLPLSNLKRGVGLRSHHSPRTTLDPTASSTQDEKEEVDSRSIYVGNVDYACKPGEVQQHFQVCGTVNRVTILTDKLGRPKGFAYVEFFERESVKNALLLNESELLGRKLKVSAKRTNVPGMNQFRGGRFNPYAGFRPRRAFVPGAPVIPPFGYIYLSKRHHGTFFKTLHHSTCNAFRGPFLYWKYVPKSAVSRLTLPLNEVGFWVSRKARACVMTLHHNTSNAFRGRLFVLVKGSKVQTVHPLQAILVNFKLDCSLP >Solyc10g008510.2.1.1 pep chromosome:SL3.0:10:2615250:2617235:1 gene:Solyc10g008510.2 transcript:Solyc10g008510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISEKSCIYLNFTSKPKWVFTYSNIPSPEWVNFPLFRVPKFKKPYFYVNFTSKPKWVFTGSNLPPPEWVQPFVDLSDLVTDRKDLKPSPWVSQILNLLDNSPLMEQNLDVYCCKFLIKLSPSFVAYVLKSDYLTGKPDIAFRFFYWAGKQKGYAHNCECYVFLVKILSASCELDRIKHVFSEFKHKGFLMNVAAVNSLIRSFGELGMVEELLFVWRQMKENGIEPSLYTYNFLMNGLVNSMFVESAERVFEVMESGKVNPDIVTYNTIIKGYCRSGKLQKAMEKFRDMEVRKVEPDKITYMTVMQACYADGDFDYCLGLYHEMEEKDLDIPPHAYTLVIGGLCKMGKVLEGFTVFENMIKKGFRPNLSIYTALIDSYMKHGNLDEAMRLFDRMKNEGFEPDEVTFGVIVNGLCKSERLDEAMLWLEYCKNNDVAINAMFYSSLIDGLGKAGRVDEARELFEEMAEKGCTRDSYCYNALIDALAKNGKIDEALVLFKRMEDEGCDQTVYTYTILISGMFKEHQNEEALKLWHMMIDKGITPNAASFRALSTGLCLSGKVARACKILDELAPMGVILETAFEDMINVLCKAGRLKEACKLADGIVDRGREIPGKVRTVLINALRKTGNADMAVKLMHSKIGIGYDRMGSIKRRVKFRVLVES >Solyc03g082440.1.1.1 pep chromosome:SL3.0:3:53809476:53810798:-1 gene:Solyc03g082440.1 transcript:Solyc03g082440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALYNSSLFSLVFLLLIATAIAEIRSTQIRSGSRSTIPFDEFGYTHFGRLNLTVTDISFSNPKSGPEPVLSELGFFLVTREAWQHVLEQLQDGDIRCTLHSDLVKRVFTFDQLQPSARQFTTSFSVSDANQFTLVFANCMPNLVVSMNVHSVMYNFNPKSGQLDFLSAGKTALPAIYYLFFVVYVLMGAFWVFALYKKRLSVYGIHFFMLAVVILKALNLLCEAEDKSYIKKTGTAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANLAQVVIDETGPFGENSYTWKQVFLLVDIVCCCAVLFPIVWSIKNLREAAKTDGKAAVNLMKLTLFRQYYVIVICYIYFTRVVVYALETITSYRYQWTSVVAAEAATLAFYAFTGYNFRPKAHNPYFAIDDEEEEAASEALKLEDEFEL >Solyc08g061980.3.1 pep chromosome:SL3.0:8:50260778:50265896:-1 gene:Solyc08g061980.3 transcript:Solyc08g061980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANGKAVKFSGEIGMAENKKPPSDVVVMISGDERDSNSPVRPPRSTVDPPIVSQIPRTVQVNDSSPEISRYTPTPSPSANKPPKIPTNETLSRRKSFASSAFSKPKSRFGEQSLPIDANMFDEQPEPSANSPYRNVSNRASPTAKMGSTDTFKETTRTVSISVTPRTPLMASPGGFGGVDEDEEIYKKVSSRKKLKYNKFKTKVLIEWLVFLCLLGCLLASLLVKKFEHWKLWDLKIWKWIVLVMVTFSGMLVTKWFIHFVALLIELNFLLRKKVLYFVFGLKKSVQVCIWFGLVLLTWVLLFSNEERSHSTEKVTNFITWTIAALLIGSFLWLLKTLLLKILAASFHVNTFFDRIQESIFHQYILLTLSGLPVMESAQMLGRSNSVASQFSFRKTLKGKDGKEKKEKAVIDINRLHEMKREKVSAWTMKMLVDVISNSGLSTISGSLGENDYDIGCEQTDKEINNEEEAIAAAYHIFRNVAPPGSKYIDEYDLKRFLIKEEVDIVFPMIDVAETGQIDRKALTEWVVKVYQGRRALSHALNDTKTAVKQLNKLVTCILIVIIIIIWLLLVGIATTKVLVFLSSQLVVAAFIFGNTCKTIFEAIIFVFVMHPFDVGDRCVIDGVQMTVEEMNILTTVFLRFDNEKIFYPNSVLAVKPISNFYRSPDMGDNFEFSVDYRTPVEKIGALKEKIKRYVEKTPQYWHPNHSVVVKEIENMNKIKMAIFFNHTMNFQNYGEKNRRRTELILEMKKMFDDLNIKYDLLPQEVHLVEQRGH >Solyc06g010190.2.1 pep chromosome:SL3.0:6:5298670:5306801:-1 gene:Solyc06g010190.2 transcript:Solyc06g010190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNSRSVRFPYDLEATKYAPAHEYNVIKVKCKLDGVRQSETASRTSDKKYDRNRKSLNAKVLSRVFSEDYERLNKKILDPRGHVIRRWNKFFLVSCLVSLFVDPLLFYLPFVKDDIYIDIGVILKIVLTVIRSIADIFYIIVRFRTAYVAPSSRVFGRGELVINTSKIAQRCIRKGLLIDVITALPLPQVLIWAVIPNLRGSTMANMKNYLPRLYLIFPLSSQIVKAGGVVTETAWAGAAYNLMLYMLASHGCNLEISSCDDGYFDCQRVNDPQRKSSFNSSNITRKCDPNKSDYHLRYSCFVFQQVVLLLPLVGLEEPKFSRTRSFYKHSYWRNKFCHHSCNARPGSFFALLIDNMQTYLQSTTVRLEEWRTRRMDIEQWMHHRQQSVRKYDQYKYIATRGVDEEDLLEGLPLDLRRDIKRHLCYDLVQRVPLFDQMDERMLDAICERLKPALCTQDTCLVLEGDPVNEMLFIIRGNIDSYTTNGGRTGFFNSCHIGPGDFCGEELLTWALNRLKALSEVESFALVSEDLKFVAAQFRRLHRKQLRHKFSGVLGLHASFRLHIVDIKKRKGVAELESLENFVNEIESFSGLLDMNAPSPRSGFAEYTTRWAASRRGLHKHSDSDSTSVSSLQKTEEPDFSVDDE >Solyc03g111120.3.1 pep chromosome:SL3.0:3:63274351:63277118:-1 gene:Solyc03g111120.3 transcript:Solyc03g111120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFEETFTQPKTTVPKKSSGIIGYDVPDGVDIRGRYDPEFSKILTRGAMQFVASLQREFRNPIKYAMECRRDAKMRYNNGGLPGFDPTTKYIREGEWVCAPVPQAVADRRVEITGPVDRKMVIDALNSRAKVFMADFEDALSPSWENLMRGQINLRDAVNRTITFQDQARNKVYKLNDQTAKLFVRPRGWHLPEAHIFIDGEPATGCLVDFGLYFFHNYANFRKAQGQGSGPFFYLPKMEHSREARIWNNVFERAEKWVRIEKGSIRATALIETLPAVFQMNEILYELRDHSVGLNCGRWDYIFSYIKTFQGHPDRVLPDRTQVGMAQHFMRSYSDLLIHTCHKRGVHAMGGMAAHIPIRDDPAANEAALELVRKDKLREVMAGHDGTWVTHPGLVPACMEVFTNNMGNSPNQIHSMKRQDASILDEEDLLQRPRGVCTLECLRLNTRVGIQYLAAWLTGLGCVPLYNFMEEAAAAEISRVQNWQWLKYGAELDGDGLGVKVNLDLFGRVVEEEMARIEREVGKEKFNKGMYKVACKLFTGQCTAPVLDDFLTLDAYNNIVIHHPIGSSRL >Solyc07g063110.3.1 pep chromosome:SL3.0:7:65814763:65818741:1 gene:Solyc07g063110.3 transcript:Solyc07g063110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSMNLEKKWLFPLVLSAIVCFFVVVTSFNMGLITSLHTINSIFLSHVKLNQTNPYFAESIINQAPPPPARPPVPRFAYLVSGSKNDLEKLWRTLQALYHPRNYYVVHLDLESSVQERLELASRVEKEPIFAQVGNVHMITKANMVTYRGPTMVANTLHACAILLKKYSDWDWFINLSASDYPLVTQDDLLYTFSGLKREYNFIEYSSRLGWKEGQRAMPLIIDPGLYKTTKSDIFWVSPKRALPTAFKLFTGSAWMILSRAFVEYCIWGWDNLPRTLLMYYTNFVSSPEGYFQTVACNAPEFATTVINHDLHFISWDRPPKQHPHNLNINDTSRMIASGAAFARKFKRDDPVLDKIDKELLRRKNGSFTPGGWCTSRPSCLKVGNPAKLKPGPGAKRLRRLIGKLVLSTNTTRQQQCK >Solyc06g025425.1.1 pep chromosome:SL3.0:6:11090826:11092875:-1 gene:Solyc06g025425.1 transcript:Solyc06g025425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPLGIQQSRTTSGVACYHSAWTANTTAHTVERRLLWHAIIAFVQHTRSNDAGHGMTSPPLVYMHGRTTSGSCLACHYHPWTTHMVGPRRAWHDITALEQHTQSDDIEHGMPSSPLGSTNGPTTSAHTIRRRQAWHDITALGKHTRLNDVGPRTVERRLAWHDITTLGLHARSNDVLHGMISPSLGSTHTHTVERHQAWHDITPLRQHTLSNDIGRGKTLPPLDYTHGRTTSGLACNHRPWTTNKIGQRRAWHDINALGLHAWSNDVWRGMTSPPLGSIHSRQRMACHHRLWAAQTVERHWTWHDITSFGQHSRSNDVGRGMPSSPLGSTSGRTPLGMACHHRLWEAQTVE >Solyc01g087270.3.1 pep chromosome:SL3.0:1:82085235:82086056:-1 gene:Solyc01g087270.3 transcript:Solyc01g087270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDTKEEATMEKNEEGGWVVVKPNPNKKFGGKAIDWLEKVIIKLMYKSNVPNSHFLSGNFAPIDEETPPCKDLPVKGYLPPSVKAL >Solyc09g065510.3.1 pep chromosome:SL3.0:9:63860669:63863418:1 gene:Solyc09g065510.3 transcript:Solyc09g065510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAMPWSDDEEDDSSSDDESSAIDTDNEDYSGSTNIKPNASSSKHKAESAKRKSKGVDFDALSRHGYRGGLSVLKVPPPKEPDQEQNWSWSSGKETREKEKEETYEERQKTRAALAEAEELVHARTKKERKNFSFSQKEKRKRDLGQASRGKSYVEEEKRLLRDNGVYSGFDS >Solyc06g066360.2.1 pep chromosome:SL3.0:6:41773340:41789100:-1 gene:Solyc06g066360.2 transcript:Solyc06g066360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKLEIKYHVAAPSLPSSRLHHSRNFQLPSDSVLRPTYSVQQSVFDYFRDSSKQRPPLLPRFQQTTSIVIVVIAISSTLRNMIGLDPNLEVKTLIGSQELGSETRSTFFCWCGRGAQMTTHTPKKKERHLHERYTKHCVSVDEAKDWCCQKCNIDKGLENENTDGSMSKKICQSNLPPKKRLKTRELSSRMIMTHSSDPSLVHSWKGSFDIFNHFEFIHGVFDNCIEAHPPSKVKRKVYDISTAFPDTLKFELIAYEDIQQSLFNNHIPVREDIGLYFFASEKERSTRYNALVQFLYRNDLVMRTFIDNTQLLVFPSTALCIDSQRWNEEGFLWGLFYRMGQDKNGSAEVIDMEVDMIAGENVGTMDIVVSTVIDMEVDMIGGVNVGSQDVVASKGIDI >Solyc03g078535.1.1 pep chromosome:SL3.0:3:52558639:52560228:1 gene:Solyc03g078535.1 transcript:Solyc03g078535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMWWINISGDKSNNKINLDILIDMKNLDLMSTQAWGSAALSYLYNCLCRASMKKSNEVCGFLPLVQILAWERIIPLQLLRKPLRTNQLEASTALARKWTRRRNHQNEARTPYSEDVINGLPEWCRSGQHVWMAQVPLIYGIYSEWHMVDRVVRQMRLFTTYSWTVYPIFRISF >Solyc06g060590.3.1 pep chromosome:SL3.0:6:38697481:38701853:-1 gene:Solyc06g060590.3 transcript:Solyc06g060590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4C6N9] MGGLVHTIQFIFGIVGNAATLFLFLVPTFTFKRIIENKSTEQFSGVPYVMTFLNCLLSAWYGLPFISSNNILIATINGAGAAIELIYVLIFFIYAPNKQKGKILAMLILVIIAFAIAAVISVLAFHGKNRQLFCGTAATIFSIVMYASPMSIIRLVIKTKSVEYMPFFLSFAVVVSCSCWFTYAMLGMDPFVGISTGVGLALGIVQLILYFIYCDKKILNKKTSATDESLQNMGNDYSNNVKCYNDEKQSNFHEQV >Solyc05g051615.1.1 pep chromosome:SL3.0:5:62833852:62835343:-1 gene:Solyc05g051615.1 transcript:Solyc05g051615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRPVFLGILKTYITEEEWQSLEESDNISKMEGQGTVQSPISSVVAMAITGNKNSRYVVKWALEKFIPEGETRFMLLHVRPEITAVPTPSMFLTLVHLMLEVVLQHAL >Solyc11g042425.1.1 pep chromosome:SL3.0:11:37384018:37384527:-1 gene:Solyc11g042425.1 transcript:Solyc11g042425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLKLQRHCRGWQEIQQWEYGQHNTIPKQVEFEEIRSRLFEKPNNNNNKPNVYCDEPMILPIILIKLDSPKIIEDTIPYTALLANSNMKVLTVTL >Solyc01g010200.3.1 pep chromosome:SL3.0:1:4924845:4925703:1 gene:Solyc01g010200.3 transcript:Solyc01g010200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRKDESNGSTNPDDDNNIKRTQLKIQFHATTKEDLENIESYVNKLKTIANSLAEINSPISDSDMVLQLLAGLPIQYLPIKNTISSKWPLPNFEDACSLVYMQEDILLKSQDEEKQSSSFISGENFDAAVNAIGSLSTVVGAVGVVASAGWKIWQAIARK >Solyc12g088420.2.1 pep chromosome:SL3.0:12:64785019:64789901:-1 gene:Solyc12g088420.2 transcript:Solyc12g088420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNFLTSLAKRTTIQHSNRCFTSKNSISRIWTESKSYSSKNFNTNTVSKTPSFSFLLLFRKSYSSSSSAKTQLGFLAWYLGALEARPIITKSISSAVIYAASDVTSQMIMMSPSDSLDIIRTLRMASFGLLILGTAQHYWFNFVASVLPKRDTISTLKKLLMGQLGFGPVINSIFFSFNAALQGENGGEIVARLKRDLLPTMMNGLMYWPLCDFLTYKVIPVHLQPLANSAFAYAWTIYLTYMASSKKAIAV >Solyc02g005400.2.1 pep chromosome:SL3.0:2:8617505:8617811:-1 gene:Solyc02g005400.2 transcript:Solyc02g005400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFRKKEIDIVVVGAGPSGIAFPDCVNNLGINNKNKIIVVICGRKRQLYLHLSKDFFSWTYMSQKTSSPKYIPKKEFIQYLDEHVERFNIKQKFKMC >Solyc01g104650.3.1 pep chromosome:SL3.0:1:92974818:92979365:1 gene:Solyc01g104650.3 transcript:Solyc01g104650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVMPSASTTPNSDRSLHPQNPSSSLNHSHPSRNFDSMNMDEILKNIYSDSDPFACSVSATAAVHTPSATAAGVGDVGPTKTVDEVWREIVAGGGGGGGSREPEMTLEDFLTKAGAVTEEDVRVPVIAPPPPPPPPPATGAPSARGFVVDNMMGTGNCQFPVAMQNGPGGYGMEPQPHMGFGNGVVAITGSGSGSGRGKRRSTVEELPADRATQQKQRRMIKNRESAARSRERKQAYTVELESLVTQLEEENARLLREEEEKNKERLKQIMENLIPVVEKRRPPRVLRRVRSMSW >Solyc01g111790.2.1 pep chromosome:SL3.0:1:97882873:97886404:-1 gene:Solyc01g111790.2 transcript:Solyc01g111790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLNGNSPRNSPRKTFSPKNSPRKTNTTLKQLLLDSRNMTNFLDSETEEMLSVISYCSFTDPQESPSLQDLKRLKLIQLLSIIKTLIRPLDDQVLSPLFIMLSSNLFRPLPPPIHSAVSVLLDDDDLISNPTPSWPHLQIVYDIFLRIVSRTSVESLRIYIDHAFLLSLLTLFQSEDQRERDNLKNVFHRIYSKLTFYRPFMRKTMHDVFLHYVFETDQRHPGIGELLEIWGTIINGFSVPLKEEHKFFLNRVLVPLHKPKGMQVYHRQLTYCVSQFVQKEPELGEVVIRGILKYWPITNCQKEVLFIGELEELVETVDPQLYKELALPLCTKITKCLNSWNSQVAERALYVWNNEQFWKMLSQAMEEVFPVLVEGMEKNLQGHWSKSVKELTENVKGMLEALAPFLYSKCLLQLEIQEASERIEEMRRKEIWEKIENAAM >Solyc09g009630.3.1 pep chromosome:SL3.0:9:3041877:3049313:-1 gene:Solyc09g009630.3 transcript:Solyc09g009630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAFIGGGDGGGDVSKSLAVIACTAMAILYVAILYAPTLILRLPPPDSFQSYLIRRFICAAISSVTSLIACSLLLPIQWGKSHLSGVYGIRLDHIWQAVVFPLTLTSLMYAGTFILKVLLLLDSGQEDGGNGRSLSLDSIKNVVHEFIESVSSMASDISAWRNFIVAPLTEELVFRACMIPLLLCGGFNTYTVVFLCPIFFSLAHLNHLLEYAQRSGSWLKALMIVGFQVGYTVIFGSYASFLFVRTGHLTAPLVAHIFCNYFGIPVIISRRTGMVTVASVAGLLGFIWFLFPLTSPHLYNATTDNCMCWHRYCSWS >Solyc08g066110.3.1 pep chromosome:SL3.0:8:54606760:54609825:1 gene:Solyc08g066110.3 transcript:Solyc08g066110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin-2 [Source:UniProtKB/Swiss-Prot;Acc:Q93YG7] MSWQTYVDEHLLCENEGNHLTSAAIIGQDGTVWAQSANFPQFKPEEITGIMNDFAVPGTLAPTGLYLGGTKYMVIQGEPEAVIRGKKGPGGITIKKTNQALIIGIYDEPMTPGQCNMIVERLGDYLIEQSL >Solyc12g019885.1.1 pep chromosome:SL3.0:12:12091247:12093212:1 gene:Solyc12g019885.1 transcript:Solyc12g019885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFGGSVGVEHHFLQLKATLNKWWNANGYVKLKPLLRVVPLFICWQVWQVRKRTNDIKFGVSMSYLGTRGGIAHNLILLSKQLYPWMHNLPTNRPELVRYLTEYIPRIGCKVVYWKFPRQNSFKCTRNHNLFPLILETDSLAAMNMVEGAGADRGR >Solyc10g077132.1.1 pep chromosome:SL3.0:10:60124538:60126126:1 gene:Solyc10g077132.1 transcript:Solyc10g077132.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKDPMFPVLDFLTDFPPVPNLLPTTTTLIPSPADPLVSLPADASVQPLFIPLRQSQRQKIPSKWFTDYVVNNCAYPMSHYLCYDSLSPAYAENAFGILLNQQKYSIELIADSRQGGAKPASTPLDFNQKHTSYEFDVSTGCTTDDKMLEDPGGYQRLVGRLLYLTMTRPDISFVVQALSQFMHKPKESHMHAAIRVIRYIKNAPGLSLHMSSTTSSHLFAYCDSDWATCPKIRKSVTGYMVGFGTSLISWKLKKQETISRSSVEAEFRSMASTVAELSWLT >Solyc01g101210.3.1 pep chromosome:SL3.0:1:90903244:90914634:1 gene:Solyc01g101210.3 transcript:Solyc01g101210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCQDIVRPVADFSPSLWGDRFHYFSLDNQIETLKEQTRSLLSDAACGTTLAEKLNLIDIVERLGLAYHFETQIEDMLDQIYKSDPNFEAHDLNTLSLQFRILRQHGYNISPKIFCRFQDANGKFKESLSNDIKGLLNLYEASHVRTHGEDILEEALAFSTAHLESAAPHLKSPLSKQVTHALEQSLHKSIPRVETRYFISIYEEEEQKNDVLLRFAKLDFNLLQMLHKQELSEVSRWWKDLDFVTTLPYARDRAVECYFWTMGVYAEPQYSQARVMLAKTIAMISIVDDTFDAYGIVEELEVYTDAIQRWDISQIDRLPDYMKISYKALLDLYDDYETELSKDGRSDVVHYAKERMKEIVRNYFVEAKWFIEGYMPPVSEYLSNALATSTYYLLTTTSYLGVKSATKEDFEWLAKNPKILEANVTLCRVIDDIATYEVEKGRGQIATGIECYMRDYGVSTQVAMEKFQEMAEIAWKDVNEGILRPTPVSTEILTRILNLARIIDVTYKHNQDGYTHPEKVLKPHIIAFVLTRKILNGWPRTLKILEANVTLCRVIDDLATYEVEKDRGQIVTGIECYMRDHGVSTEEAMEKFQEMAEIAWKDLNEGILRPTPVSMKILTRILNLARIMGVVYKHNQDGYSHPEKVLKPHIMALEEEIVRPVADFSPSLWGDRFHSFSLDNKIAGKYAQEIETLKEQSRVILSASSGTTLAQKLDLIDIVERLGLAYHFEKQIDDVLDQIYKADPNSEAQEYNDLQTSSIQFRLLRQHGYNISPKLFSRFQDAKGKFNESLSNDIKGLLNLYEASHVRTHGEDILEEALAFSTAHLESAAPHLKSPLSKQVTHALEQSLHKSIPRVETRYFISIYEEEEQKNDLLLRFAKLDFNLLQMLHKQELSEVSRWWKDLDFVTTLPYARDRAVECYFWTMGVYAEPQYSQARVMLAKTIAMISIVDDTFDAYGIVKELEVYTDAIQRWDISHIDRLPDYMKISYKALLDLYDDYETELSKDGRSDVVHYAKERMKEIVRNYFVEAKWFIEGYMPPVSEYLCNALATSTYYLLTTTSYLGVKSANKEDFEWLAKNPKILEANVTLCRVIDDIATYDVEKGRGQIATGIECYMRDYGVSTEEAMEKFEEMAEIAWKDVNEGILRPTPVSTEILTRILNLARIIDVTYKHNQDGYTHPEKIKSVSK >Solyc10g008420.3.1 pep chromosome:SL3.0:10:2529600:2532965:-1 gene:Solyc10g008420.3 transcript:Solyc10g008420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKQLDVPRTSGGNLKEQLVRRTLQNVRSQGHIYVELREDGKRLIFFCTLCHSPCYSDSVLFNHLKGNLHTEMLAAAKATLLKPNPWPFNDGVLFFNDPEQDKQDKQSPNVNVGKSRLVDTCLEDESSVAIVEYDDNLRHNEDTYVSEYEYGLLDSELIGNEESDYLVIPGVLCKDELSDLEVKHIGIGKIAARISVRGIDSKSIRRIWCEWLAKKDSDDMDTSVVPDHDFAVVTFPYNYNLGRSPLLDDRFLLPSSPYSESEETSVTGKRKRKSFSDPEDFSESLSNHCDSSGEESQSTNNSNMKLILGTCDDQLVSSRIISSKTMRRELRKQQRVASERMCDICQQKMLPGKDVATLLSWKSGKLMCSSRNMSGAFHLFHVSCLIHWILQCELQTSVKPVDEPKMEPKAKRRSKKKTGTKHNAKEKEDETKSARRINSVFCPECQGTGICIEGDELEKPPVSLSEVYRLKIKLSDARKAWMKNPEVLQNCSTGFDLPPEHDDLLQEYVSPLKLLHFYRANVSH >Solyc05g023745.1.1 pep chromosome:SL3.0:5:29209793:29213369:1 gene:Solyc05g023745.1 transcript:Solyc05g023745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLIVYSQIFSQFFGECTKAENIIIDKVIGESGASNSNSIDQSQTIESKFTHKTDSDGTEKVVCNYCKKEYFADTKGHGTTSMLTHINKCTKIPCNIDIKQSRLAFQPIIGQEEYRKALCRMVIIDDKVAQPCFHTSRTIQRVSLTTDTWTSIQRINYMERIINFYPITSHKGEDLGNSIRKCLHEWGLHRIFTVTVDNVGGTNLMGGIHLHIRCMAHIVNLIVQDGTKEANVSIERVREAVTYIRQSPARWKIFQECCEDENLAKKSLCLDVPTRWNSTYMMLSRVIEYEGAIVEYVDRDIGTLLSSDREAVKRITKFLEIFFNLTLKISGSQYQLISNEDQVLAKMAKNMKEKFEKYWGDPRHKFSTLDQMIKVDNFLQLGWILLFYVL >Solyc01g073740.3.1 pep chromosome:SL3.0:1:80991756:81000625:1 gene:Solyc01g073740.3 transcript:Solyc01g073740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYRSVSLLSKLRSRAVQQSNVSNSVRWLQVQTSSGLDLRSELQELIPEQQDRLKKIKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGLSIPECQKVLPAAKPGGEPLPEGLLWLLLTGKVPSKEQVNSLSQELRSRATIPDHVYKTIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKFWEPTYEDSMNLIAQVPLVAAYVYRRMYKNGDTIPKDESLDYGANFAHMLGFSSSEMHELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENISKEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFAMKHLPKDPLFQLVSKLYEVVPPVLTELGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRALGICSQLIWDRALGLPLERPKSITMEWLEKQCKKA >Solyc05g050015.1.1 pep chromosome:SL3.0:5:60742051:60769846:-1 gene:Solyc05g050015.1 transcript:Solyc05g050015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQPSKQVDEDEDTLAQISTYIHHLNQILGEKQKRDVRIAMQKYQVEEKRKRKKYMIEIRFGPKKMRNPDVDFLSFLSFAQSESEKPRSSKFPGRMSKPRPRVTKMNWMMFYGRIPLYHKERDIQPHDSNEMNLAEKPPPFLSSNLASPQYQHLLYFMPKDDTSKPCKLTSFLDYKFGITHIVREVEKHVSNMEWLFALIITYPSIIHKHPNPCKCDLHCLIIDICKTNSKPSYLRAIERRSRGDVELNFVYDEFPK >Solyc02g090120.1.1.1 pep chromosome:SL3.0:2:52381758:52382018:-1 gene:Solyc02g090120.1 transcript:Solyc02g090120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISKEQKPSFSESQNVNQGGENGNSVISSELYLKSSTDHQNLDKNVVLRRLRFHKVRAKLQGLLDTRNYDYYEHKWLEQGDVFCSP >Solyc03g097800.3.1 pep chromosome:SL3.0:3:61551826:61564108:1 gene:Solyc03g097800.3 transcript:Solyc03g097800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRYWVVSLPVQQNSSTTSLWSRLQESISRHSFDTPLYRFNIPNLRVGTLDSLLALSDDLIKSNSFIEGVCSKTRRQIEELERVSGVLSSSLTVDGVPVDSYLTRFAWDEAKYPTMSPLKEIVDGIHSQVAKIEDDLKVRVSEYNNVRSQLNAINRKQTGSLAVRDLSNLVKPADVVTSEHLTTLLAVVSKYSQKDWLSSYETLTTYVVPRSSKMLYEDNEYALYTVTLFNRDADNFKNKARERGFQIRDFEHNPETQESRKQELEKLMQDQETFRSSLLQWCYTSYGEVFSSWMHFCAVRIFAESILRYGLPPSFLSVVLAPSIKSEKKVRSILESLCDSSNSNFWKADDEGGMAGFGGDTEAHPYVSFTINLV >Solyc11g051060.2.1 pep chromosome:SL3.0:11:17352550:17356670:-1 gene:Solyc11g051060.2 transcript:Solyc11g051060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLIIWFSLIIGIPFGTCVGSYDRSLLSVPKQKTLFVFGDSLFDPGNNNYINTTTEFQANWSPYGESFFNPPTGRFCDGRLIPDFIAEYAELALVPSYFEIGKDNFVHGVNFASGGAGCLDETFRGFVIDLKTQSKYFKKVTKDLKKKFGRKKSKQLLSNAVYVFSAGNNDYFNFNATYPKHEYANMVIGNLTSVIKGIYKEGGRKFVVLSLGPLGCTPGSRALKNGNCIQQLTTLAKIHNSALAKMLKQLEQQLLGFKYSLFDFFKVASERINNPSKYGFKTSKSACCGTGPFRGTSSCGGKRQVKEYKLCKNVKDYLFFDSGHLTEMAYKQVAELLWNGTVDVIQPYNLKSFFHLPA >Solyc03g044080.3.1 pep chromosome:SL3.0:3:7938948:7960244:-1 gene:Solyc03g044080.3 transcript:Solyc03g044080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKYAGETLKHLEKESELLLNAHKAMSDELHRLQEDALKDHRVLFAIEMVIFSVHSTLRSGQLMLAGFRGPINSTMVEKCGIFWMGITAGASKQVEEEMMMRKLHELISAHNLSKKKGLNDNAEKRQNDQEGAPVDMTNGADERQNDLEGAAIDMTKGDH >Solyc04g007400.3.1 pep chromosome:SL3.0:4:1079098:1089716:-1 gene:Solyc04g007400.3 transcript:Solyc04g007400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRWNLEGCTALVTGGSKGIGYGIVEELASHGASVYTCSRNQKELNECLIQWRNKGFKVEASVCDLSSRSEREEFIKTVANHFDGKLNILVNNAGIVIYKEAKDYTMEDYSLIMSINFEAAYHLSVLAHPFLKASHRGNVVFISSISGASALPYEAVYGATKGAMDQLTRCLAFEWAKDNIRVNGVAPGVIASSMVEMTIQDPEQKENLDKLIDRCALHRMGEPKELAAVVAFLCFPAASYVTGQIIYVDGGFMANVLPVVIGLTFLICLGIVIWRFKKGKGGHSHSFARNTFSDPSRKDHEGDSKYFGVPVFSYSTLEEATSNFDPSQELGDGGFGTVYYGKLRDGREVAVKRLYEQSSKRMVQFKNEIEILTRLRHQNLVMLYGCTSRHSRELLLVYEYIPNGTVADHLHGEKAKNGALIWPIRMKIAIETASALAYLHASDIIHRDVKTCNILLDNNFCVKVADFGLSRLFPNDVTHISTAPQGTPGYVDPDYHACYQLTSKSDVYSFGVVLIELISSLLAVDIRRDRDEISLANFALSKILKCAFEELIDPSLGYESNAEVRRMTTSVAELAFQCLQLEKEMRPTMDEVLEILKAIQNGEFENQKKEEINVVDNVEVPQDPESENDDAQLMKSKLPVSMNSVNDKWFSCSTTVSISG >Solyc04g076780.3.1 pep chromosome:SL3.0:4:61734164:61746611:-1 gene:Solyc04g076780.3 transcript:Solyc04g076780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTMEIEAPITKLQQKEEEINEDELSPIEEVRLTVPNTDDPTLPVWTFRMWILGVFSCVLLSFLNQFFSYRKEPLIITQITVQVATLPIGRFMAAVLPTTKFRILGFGSREFSLNPGPFNMKEHVLITIFANAGFAFGNGSAYAVGIVNIIKAFYRRNISFAASWLLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRFSWYIFPGYLFQALSSISWVCWAYPKSVTAQQIGSGLNGLGVGAFTLDWATVASFLFSPLIYPFFAIANVFVGYALILYVVIPISYWGFNVYNAKKFPLYSSDLFTAQGQEYNISLIVNNQFKLDQAEYDKQGRINLSLFFTLTYGFGFATIASTLTHVGLFYGREIYQRFRASSVGKVDVHTRLMRRYKDIPSWWFYLLLLGTTLVSLALCVFLKSQVQLPYWGLLLAAALAFIFTLPISIITATTNQTPGLNIITEYIMGTIYPGRPIANVCFKTYGYMSMTQAISFLSDFKLGHYMKIPPRSMFLVQFVGTIIAGTVNLTVAWWLLDSIDNICHQDKFSNSPWTCPGDHVFFDASVIWGLVSPKRIFGHLGNYSALNWFFLGGLLGPVLVWLLHKSFPKQTWIPLINLPVLLGATAMMPPATALNYNSWILVGTIFNFFVFRYRKKWWQRYNYILSAALDAGVAFMAVLLYFTVGMEDRSINWWGNTDPEHCDLATCPTAKGISIDDLYQFSCPQANDIIWSFLEEAIAKDPRMAASLLRLHFHDCFVQGCDASVLLDNSSEFKSEKEAGPNKNSLRGFEVIDQVKAKLEQVCPCTVSCADILALAARDSVVLSGGPYWEVPLGRRDSKRAYFNKANVNIPAPNSKIQTLISLFNRQGLDEKDLVALSGAHTIGVARCVSFRQRLYNQTGDHLPDAILEKNYYNDLKSICPTSGGNNNISPLDIASPNRFDNSYFKLLLLGKGLLNSDEVLLTGKVKKTQQLVKIYAENEAIFFHQFSKSMVKMGNISPLTELKGEIRKNCRRVN >Solyc04g082120.3.1 pep chromosome:SL3.0:4:65990354:65994999:1 gene:Solyc04g082120.3 transcript:Solyc04g082120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPAIDEPSWTYPFARRDEYVVDNYHGVDVSDPYRWLEDPDSKETKEFVEKQVILTESILKTCETREKLSKKLTKLFDFPKYDVPFRAGDKYFYFHNTGLQPQKVLYVQDSLNGKSEILLDPNTLSEDGTESLSIYAISDDANYLAYGISSSGSDWVTIKVMRVKDKRDEPDTILWVKFSNVSWTRDNKGFFYSRYPTPKNGENLDVGRETNANLHQQVYYHRLGTDQSDDILCWKDPENPMHRHIVSVTEDGKYVLLYIFRNCDTVNKLYYCDLSTLPNGIEGYKGKRDALPFNKLVDTFDASYDYVAHNGTIFTFQTNKDAPKYKLIRVDVENPDFWSDIIEEDEKDVLQSAVAVNENQLVVSYLRDVKNVLQLRDLETGELLHCLPIDIGRVSGISARRKDDSIFIGFMNFLIPGLIYQCNLKGEVPDLKVFREIVVPGFDRTEFQVNQVFVPSKDGVKIPMFIVSRKGISLDGCNPCLLYGYGGFNVSITPHFSAARVVLAKHLGVVFCIANIRGGGEYGEEWYKGGALNKKQNCFDDFISAAEYLVCAGYTQPHKLCIEGGSNGGLLVGACVNQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDFGCSDKEEEFQWLIKYSPLHNVSRPWEKSVNLAFVQYPSIMLLTADHDDRVVPLHSLKLLATMQYVLCTSQEGSPQTNPIVGRIERKAGHGCGRPTQKLIDEAADRYAFMAKELGAAWVE >Solyc08g082310.3.1 pep chromosome:SL3.0:8:65261017:65266666:-1 gene:Solyc08g082310.3 transcript:Solyc08g082310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITELKLMINIIIIFFFFLNFSPVSSVDVCNPTSCSEMGIGPEIRFPFRLKDRQSDRCGYPGFDLSCNERGQTIMSLPHSGDFLVTEIDYSAQSVMLYDPDFCLVKRLIGFNVTNSPFKADHQRNYTIVRCTSDDWLSYPNYQVAIPLYCRGVTSRNEAILAMPPSIYEQEKPVGCKLINSRVSVPLQLEDSQFWSPMEGLVLTWSEPSCRICENQGKLCAFKSDTGSDIACSNPPPSKGLPRGAKYGIIIGVGVPGFVCLIGIIGFAFGKLKVYTLRRDLNSDLPRTINLQSAIATRGLNRAIIDTYPKTVLGESQRLPNPNDGTCPICLSDYMPKETLRTIPECNHYFHAECIDEWLKLNATCPLCRNTPDRSLHPCSSSTSLVSSSSP >Solyc04g064767.1.1 pep chromosome:SL3.0:4:55929485:55932199:-1 gene:Solyc04g064767.1 transcript:Solyc04g064767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQSSASIVGIGDIRVQTNVGYYLMLRDVRHIPDLRLNLLSANVLDKEGYKHTFGEGKWKLSKGSLTVARGKLCCTLYKTHLKVCSDDQLTGDAPEDGHEIAHEHDHIEEVQPDVVVPQPDDEAVDVQHGESSNQGEKSSPQQILGMEIARDRKTGKLWLSQEKYIERVLERFNIKNAKPVNTPLAAHFKLSKRCCPTTKKEKESMSHIPYSSVVGSLMYAMVCTRPDIAHAVGLVSRYLANPSKVHWEAVKWILRYLRGTSNLSLCFGGGEPILEGFTDADMARDLDNWKSTSGYLFKFAGGAISWQSKL >Solyc09g066470.3.1 pep chromosome:SL3.0:9:65122559:65126443:-1 gene:Solyc09g066470.3 transcript:Solyc09g066470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGESSSIQVNGMQFSYDFQSPIYFDFNLNVAPRSRCLLLGANGSGKTTLLKILAGKHMVGGKDVVRVLNLSAFHDTHLVCSGDLAYLGESWSKNVGAVGDIPLQGDFSAEHMIFGVEGVDPVRREKLIELLDIDLQWRMHKVSDGQRRRVQICMGLLHPYKGTSYLFVFEIHLSQSQKEKEGVFLPLKKSNNMLTRKVLLMDEVTVDLDVVARMDLLDFFKEECEQRGATIVYATHIFDGLETWATDLVYIQEGVLKRTEKLPELPELKSSPNLLSVVENWLRSETPIEKKKPAPAPAKAQKSSPFGSSPFQSSRHMSHYR >Solyc02g066820.1.1.1 pep chromosome:SL3.0:2:37539336:37539590:-1 gene:Solyc02g066820.1 transcript:Solyc02g066820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISDTISLNNPLVDDLTDADYRSSDDRIDELDVADRNSSNDDEFLLSNESEQDDEEIINQHPRVSSTYFYDRLRNGKITLILN >Solyc05g009920.3.1 pep chromosome:SL3.0:5:4146339:4150738:1 gene:Solyc05g009920.3 transcript:Solyc05g009920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSSSMQILTASSLFSTTKNPYSEFFNQSVKKIESPAKTRRRRGARMEILAMASDNSAKNEKPTKLITFLGKGGSGKTTSAIFAAQHYAMAGLKTCLVIHSQDPTAEYLLNCKIGTSPITCNDNLSAVRLETTKMLLDSLNKLKQADARLNMTQGVLEGVVGEELGVLPGMDSIFSSLALERLVGYFENVVQQNSKKEKFDIIIYDGMSTEETIRMIGATSKARLYLKYLRNFAEKTDLGRLASPSLLRLAEEAMTLSGRNPNLNGKMSSEIWDLLEQVLERGSSIFAEPKRFGCYIVVDRNSPVSMASSLRYWGCIIQAGAQVSGAFALARPNSSGEVGATIEDFSPLPSAFVPHISDGAHLDWDKIMQDSHSESARNLLTVTAHEARIPAVIFDPTNKIVTLLMPGFDKSEIKLYQFRGGSELLVEAGDQRRVIRLPSQLQGKVGGAKFADRSLVITMR >Solyc02g071900.3.1 pep chromosome:SL3.0:2:41841582:41844365:1 gene:Solyc02g071900.3 transcript:Solyc02g071900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTKPKKQANNGDLFDDAADEFPFDDCSDIFSDAETGGSEDDVNLNQSVENDNLPLSSLRHRRPFSFNDSGNESTNFRPFISSESYSNSRERKINFSRKFMAEDKDKNGSLDNIESSNSMQLDLSTKSQKGIGDEQNKEIPTGMNANSVIIDNSNEDSSLLKEDSVITHVNNDETDNSEEVNSHFREIDDSSSNILFDLANLVIKSVGYQVNMLITIVSLMIKLFTFPVWIIYCSYMFVMDPFQIMRRVKRYVLHRFMRSFGFVFENTLKFVSRWLKEQSSVWRLGLQLGWGCLWSVYVCFVLVGLLVSAFIMGGILIRIMVEEPIRMNEPLNFDYTAKSPVAYVPIIRSPGVTCGVDSQVEVGMVDGVRVIPPNHKLQVTVSLMLPESDYNRNLGIFQVRVDFLTSNGKVLSSARRPCMLQFKSNPIRLFSTFLKAAPLVTGYTSESQKLKVDLKGFTEGFIPTACLRVIIEQRAEFHPGGGVPEIYTASLTLESELPFIKRMLWYWKTTLFVWVSMTLFTMEFLFALLCCKPLIIPSLRLRHHSNSRTGSPNNAPIGR >Solyc02g076830.1.1.1 pep chromosome:SL3.0:2:42515472:42516782:-1 gene:Solyc02g076830.1 transcript:Solyc02g076830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYSSLLVITFCSLFIVTLANVPPSKTFKYTNEGSFGEYSVEYFADYRVLDIVNFPFTLCFFNSTPNTFILGLRMGHRRSESIMRWVWEANRGNPVRENATLTFGIDGNLVLTDVDGTVAWHTNTANKGVTGLKLLPNGNLVLHDKNDLFVWQSFDHPTDTLLVGQSLRATGPYKLVSRMSSKEPVDGPYSFVLEGRHWAMYYTSVNSQKPLPYFKSDDFGNGKGSLANFDFYCEPEYGENYAFELGFRINMTNSSSSGTSIFTRPKYNSTYTMFRVDMDGNLRMYTYEPNVDWGAWEVTYRLLDRDNDGNSECKLPMRCGSLGVCEDSQCVACPSPRGLIGWSKGCAPPKLPVCKGGSNVDYYKVEGVEHFTSDYNEGVGPIKISECKNRCNKDCKCVGFFYKQESSKCLVVPELGALVKVNNSSHVGYIKISK >Solyc11g028315.1.1 pep chromosome:SL3.0:11:21339638:21357207:1 gene:Solyc11g028315.1 transcript:Solyc11g028315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRTENLVWNRRSLAEFFADNKNIVGFDNIHRGLVENINESNQMSEVTIREVLELLSVFLQLEFVNIGNLEALFKHDLKATKYFNIIVGEGGGICLWNKRIFCTARALIWSKMSTGFPIEITSSMNRMFLTFTYMKKEKTKTGDMVLKSRLSFKRTEKRTGWMFSRSNIVAMSQHKRIDHIERHDNGRGMPHDDIPNMFVQGECKKNA >Solyc05g014090.2.1 pep chromosome:SL3.0:5:7759915:7764119:1 gene:Solyc05g014090.2 transcript:Solyc05g014090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSESSDSDDDNNEEKEVEAVLQLRKVFHEGCVNRIRAMTQNPHIVASWSDTGHVQVWDFSSHLNALAEAESDRSQGASAVSNHSPLFKFGGHKDEGYAIDWSPHVPGRLVSGDCKNSIHLWEPTSDTTWNVEDPFIGHSASVEDLQWSPVDPCVFASCSVDGSIKIWDTRKKRSPTATIKAYKTDVNVISWNRGASCMLASGSDDGAFSIQDLRVVKCGLNEGGESVVAYFEYHKHPITSIEWSPHHEAASTIAGQKDLKELHWHPQIPGMVISTAADGFNILMLSNIENVLPA >Solyc07g064190.2.1 pep chromosome:SL3.0:7:66560969:66575765:1 gene:Solyc07g064190.2 transcript:Solyc07g064190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPQQPLLIKTHKQNPIISFKILSFVITLFVALFLVAPYQVEIKHSNLCKTAQDSQLCLSYVSDLISNEIVTTESDGHSILMKFLVNYVHQMNNAIPVVRKMKNQINDIRQHGALTDCLELLDQSVDFASDSIAAIDKRSRSEHANAQSWLSGVLTNHVTCLDELDSFTKAMINGTNLEELISRAKVALAMLASLTTQDEDVFMTVLGKMPSWVSSMDRKLMESSGKDIIANAVVAQDGTGDYQTLAEAVAAAPDKSKTRYVIYVKRGTYKENVEVASNKMNLMIVGDGMYATTITGSLNVVDGSTTFRSATLAAVGQGFILQDICIQNTAGPAKDQAVALRVGADMSVINRCRIDAYQDTLYAHSQRQFYRDSYVTGTVDFIFGNAAVVFQKCQLVARKPGKYQQNMVTAQGRTDPNQATGTSIQFCNIIASSDLEPVLKEFPTYLGRPWKEYSRTVVMESYLGGLINPAGWAEWDGDFALKTLYYGEFMNNGPGAGTSKRVKWPGYHVITDPAKAMPFTVAKLIQGGSWLRSTGVAFKILTFVVTLFVALFLVVFLVAPYQFEIKHSNLCKTAQDSQLCLSYVSDLISNEIVTSDSDGLSILKKFLVYSVHQMNNAIPVVRKIKNQINDIREQGALTDCLELLDLSVDLVCDSIAAIDKRSRSEHANAQSWLSGVLTNHVTCLDELDSFTKAMINGTNLDELISRAKVALAMLASVTTPNDEVLRPGLGKMPSWVSSRDRKLMESSGKDIGANAVVAKDGTGKYRTLAEAVAAAPDKSKTRYVIYVKRGTYKENVEVSSRKMNLMIIGDGMYATIITGSLNVVDGSTTFHSATLAAVGKGFILQDICIQNTAGPAKHQAVALRVGADKSVINRCRIDAYQDTLYAHSQRQFYRDSYVTGTIDFIFGNAAVVFQKCQLVARKPGKYQQNMVTAQGRTDPNQATGTSIQFCDIIASPDLKPVVKEFPTYLGRPWKKYSRTVVMESYLGGLIDPSGWAEWHGDFALKTLYYGEFMNNGPGAGTSKRVKWPGYHVITDPAEAMSFTVAKLIQGGSWLRSTDVAMATPLQPFLTKTHKQNPIIGFNILTFVVTLFVALFLVVFLVAPYQFEIKHSNLCKSAQGSQLCLSYVSEIVTTESDGVTVLKKFLVKYVHQMNNAIPVVRKIKNQINDIRQHGALTDCLELLDQSVDLVSDSIAAIDKRSRLEHANVQSWLSGVLTNHVTCLDELTSLSTKNGTVLDELITRAKVALAMLASVTTPNDEVLRQGLGKMPYWVSSRDRKLMESSGKDIIANAVVAQDGTGDYQTLAEAVDAAPDKNKTRYVIYVKMGIYKENVVVTKKKMNLMIVGDGMNATIITGSLNVVDGSTTFRSATLAAVGQGFILQDLCIQNTAGPEKGQAVALRVGADMSVINRCRIDAYQDTLYAHSQRQFYRDSYVTGTVDFIFGNAAVVFQKCQIVARKPNKRQKNMVTAQGRTDPNQATGTSIQFCDIIASPDLEPVMNEYKTYLGRPWKKHSRTVVMQSYLDGHIDPSGWFEWRGDFALKTLYYGEYMNNGPGAGTSKRVKWPGYHVITDPNEAMPFTVAELIQGGSWLNSTSVAYVEGLVE >Solyc03g096410.1.1.1 pep chromosome:SL3.0:3:59942937:59943089:1 gene:Solyc03g096410.1 transcript:Solyc03g096410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCANAESCSEYCWNSCSYCDVRPLYEDCCVNRCCPTFAQSLLNILRPN >Solyc10g062340.2.1 pep chromosome:SL3.0:10:26073314:26080780:-1 gene:Solyc10g062340.2 transcript:Solyc10g062340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKSVRKTASGSGSKRGGRTPRGTPKSQAKSVKVEEPMEVQDKDKAVEEVSEEKPELKITQSEPEEPAETALNVNAVGKGAVSEKKKDNLKESVDHYEKGERLDLGDNDPEYEPEEYGTVDYDENGIEHDGVQEEGNEIEEEPEEADVGEEEEGDMAEEDVEDVHEENEGDEEDEHAEMADAAEEEEHHEVVDERRKRKEFEIFVGGLDKDATEEDLRKVFSKVGEITEVRLLMNSQTKKNKGFAFLRFATVEQAKRACTELKTPVINGKQCGVSPSQDNDTLFLGNICKTWTKEALKEKLKHYGVHNIEDLTLVEDTNNEGMNRGFAFLEFSSRSEAMDAFKRLQKRDIVFGVDRPAKVSFEDSFIDPGDEIMSQVKTVFIDGLAVSWNEDHVRELLKEYGKIRKVELARNRPSAKRKDFGFVTFDTHEAAVTCAKSINNEEFGEGDNKVKVRARLSRPLHMGRGKYGGRGEFRPWRMPMHGSHAPWGRTVPYSRSIRGTRVSTRMPPVVSRGFKRPTALRDRHAEMALSPRGRSMASPPRRSYDRRPPVSSYPKSNFKRDYGRREEISPSRSRAIPEYPSRVHSDRRISYRDEYSSRGSGYPELPRGTHSAARRSYVDDGYGQRFERPPPSYREGRGREYDSVSGSKRPYMAVDDVHPHYAMAGVRHSRARLDYELGSASGSHYGDAYGDRLGRSNLGYGGNRSSISRQDSHGIYSSRQGMDYGGGSYGGSDVGGLYSSSYGGDYMSRGSDVCWLLSLF >Solyc01g100720.3.1 pep chromosome:SL3.0:1:90554864:90563827:-1 gene:Solyc01g100720.3 transcript:Solyc01g100720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4B1A3] MSLRPSNRTEVRKKSYKIGVDADEARRRREDNLVEIRKNKREDNLLKKRREGLLLHSQQLPDATQTPAAIEKRLESIPIMVQGVWSEDPAAQVEATTHFRKLLSIERSPPIDEVIKAGVIPRFVEFLGRQDLPQLQFEAAWALTNVASGTSEHTRVVIDHGAVPMFVQLLSSTSDDVREQAVWALGNVAGDSPSCRDLVLGQGALMPLLAQLNEHSKLSMLRNATWTLSNFCRGKPPTPFEAVRPALPVLQQLIHMNDEEVLTDACWALSYLSDGPNDKIQAVIDAGVCPRLVELLLHPSPTVLIPALRTAGNIVTGDDAQTQFVIDNQVLPCLYQLLTQNHKKSIKKEACWTISNITAGNRAQIQAVIEANIILPLVHLLQNAEFDIKKEAAWAISNATSGGSNDQIRFLTSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEVDKEAGTNGGINMYTHMIDECDGLDKIENLQTHDNNEIYEKAVKILEKYWAEEDEEQNLPDGADGNPQGFQFGNNQPNVPAGGFKFG >Solyc10g006930.3.1 pep chromosome:SL3.0:10:1353166:1365326:-1 gene:Solyc10g006930.3 transcript:Solyc10g006930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICFFMYSEVPIDIYKSLDAASEDEKWWEAVELQKLILAHNDIETLQEDIRNLPLLSVLNVSHNKLTHLPSAIGELHMLKSLDVSFNLIVNIPEEIGTAASLVKLDCSNNQLNDLPNSLGRCVELSDLKASNNSISSLSADLAKCSKLTKLDVEGNKLTTLPESLVASCRMLTELNASKNLLNSIPENIGSLSRLIRLDLHQNRISLIPSSIKDCSSLLEFYIGNNALTSLPVEIGTLNRLGIFDLHSNQLKEYPAEACKLQLSMLDLSNNSLSGLPPDIGLMTTLRKLLLAGNPIRTLRSSLVNGPTPALLRFLRSRLPTDEGMMVLLFNNGVLSIFYFLDATTLNVESATSTPGKDDVVAKAARLSLSSKELSLGGLGLTAVPSDVWKSNDISKCDLSGNSIEELPLELSSCISLEALILSKNKIKDWPGSVLTSLPALTCLKLDNNSLRQIPSSAFQAVSKLQVLDLSGNIGSLPEHPVFSCLAELQELYLRRMRVSVFPSDIINLKQLRILDLSQNSLQSIPQGIENLTSLAELDLSDNNISSLPPELGLLEPSLQVLKLEGNPLRSIRRAILDRGTKGVLKYLKERIVEH >Solyc09g009210.3.1 pep chromosome:SL3.0:9:2577566:2580488:1 gene:Solyc09g009210.3 transcript:Solyc09g009210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGQISGSLSFNGNLSKEDEEMSKSALSTFKAKEEEIEKKKLEVKEKVQAQLGRIEEETRRLAIIREELEALADPMKKEVSTVRKRIDIVNKELKPLGQTCQKKEREYKEALEAFNEKHKEKVQLISRLMELVGESEKMRMKKLEELSKSVETIH >Solyc09g014837.1.1 pep chromosome:SL3.0:9:7045319:7053376:-1 gene:Solyc09g014837.1 transcript:Solyc09g014837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVYPNIDQAFIVSLIVVLDAINAVNDAAVTGAGYLTNGEDGETVNLGEEGEACNLSGEGGEGEAVNLTGEGGEGEHVRLGEEEYGRGSTAQPTSQGNSQQSYSQTRVRTQSSVCPDTSAVPRTSTMGGPSTMRGPSHSTSRTTYATTQSSQTTSICADTTSVPRPAQNRIQVGTGKGLERKKANARGTPFVQKGIVLLVNCHLYQVTRDHTVLPHLLLLLEKTEGLQLDLVFTLILQLEPNKRFVK >Solyc03g007940.3.1 pep chromosome:SL3.0:3:2428159:2436399:-1 gene:Solyc03g007940.3 transcript:Solyc03g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYHQSTCHFLGKLIIALTWGILCLRAADCIEYEALSCRKHTALLTDFGGKGDGKTSNTKAFRKAIHELSKFATDGGAQLIVPPGKWLTGPFNLTSKFTLYIHKDAVLLVSQDEAEWDLIPPLPSYGVGRDTPGPRFISFIFGTNLTDVVITGGNGTIDGQGQPWWTKFRQGQLKNTRPYLIEIMFSDHVQISNLTLINSPSWNVHPVYSSVVVIKGLTILAPVDSPNTDGINPDSCSNTRIEDCFIVSGDDCIAVKSGWDEYGIAFGMPTKHLIIRNLTCISPDSAVIALGSEMSGGIQDVRAENIGAISSESGLRIKTAIGRGAYIKDVFVRGMTLQTMKYTFWITGDYGAHPDEHYDPKALPVIQGINVKDVVAKNVTIAGKLVGIDGDTFNGICISNVAIELSEQAKQLQWNCSNIEGVSSQVTPQPCALLPDKNIECPFPTDTLPVDKIQFQTCAAATTMH >Solyc12g042077.1.1 pep chromosome:SL3.0:12:58029053:58032423:-1 gene:Solyc12g042077.1 transcript:Solyc12g042077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSENCRNAAGAGKVDAEKALYTELWRACAGPLVTVPCEGELVFYFPQGHIEQVEASTNQASDQQMPVYNLPSKILCRVINVLLKAEPDTDEVYAQVTLLPEPNQDENVVSKEPMPSPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHANEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNAPSSVISSHSMHLGVLATAWHAIQTKTLFTVYYKPRTSPADFIVPYDQYMESLKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIENADLKRWPESKWRCLKVRWDETSAIPRPDRVSPWKVEPALSPPALNPLPIPRQKRPRSNVLPSSPDSSVLTREGYNLPLYLNSIP >Solyc08g048550.3.1 pep chromosome:SL3.0:8:12238674:12245393:1 gene:Solyc08g048550.3 transcript:Solyc08g048550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLGVVCPQPQHIVVSIRTQIPPISTQTQYCTRRKALIFTSSMISSFIYTSHHSPATAFQIEMPQQEEDRLVHLFQDTSPSVVFIKDLELAKGSNDSTKVLADDDNAKVEGTGSGFIWDKFGHIVTNYHVITKLATDNIGLQRCKVSLVNTKGESIVKDAKIVGVDPAYDLAVLKVDVEGVEVKPVSVGTSRGLRVGQSCFAIGNPYGFENTLTTGVVSGLGREIPAPNGAAIKGAIQTDAAINAGNSGGPLIDSSGHVIGLNTATFTRRGSGMSSGVNFAIPIDTVVRTIPYLIVYGTSYKDRY >Solyc07g018300.3.1 pep chromosome:SL3.0:7:10028004:10033476:-1 gene:Solyc07g018300.3 transcript:Solyc07g018300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RPA32a [Source:UniProtKB/TrEMBL;Acc:K4CCP1] MFGSSQLDSFSGGGFMASQSTQAMDASRTSAKSRENPPLLPLTVKQISQAVQSSDEKSNFVIDGVDVNNVRLVGMAYKNSERVTDVSFTIDDGTGRIECTRWVNDAVDTKEVEQVSDRMYVRVHGHLKGFQGKTQLVIFSIRPITEYNEVATHFLECIYVHHCNRKPQSGLSVSTPSKTDVPAAVSAPSSGYNSSQFSGNLSIDGLKGIEKIVIDYLEQPSSLAQEKGIHWNEIAQHLRVPLEKIKEAIESLESEGLVYSTIDECHFKSTSA >Solyc12g008480.2.1.1 pep chromosome:SL3.0:12:1889630:1889962:1 gene:Solyc12g008480.2 transcript:Solyc12g008480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLGEELEDNYRSDIIHVVIDKIRGIVVDESSNHGREKVEVFVGIMFFVKRFVDKNILNDDCVICFEELGKEGSVMCTPCSHVFHEDCIAKWLANGNSCPICRRDLPDI >Solyc06g082260.3.1 pep chromosome:SL3.0:6:48192398:48202518:1 gene:Solyc06g082260.3 transcript:Solyc06g082260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKNIEMKNYISCLIVVILSLLSSFANAESHKHDFTVQETSVTRLCRTKKIITVNGQFPGPTLTVRNGDTVVVNVVNRARYNVTIHWHGIRQMRTPWADGPEYVTQCPIRPGGSYTYRFTIENQEGTLWWHAHSKWLRATVYGALVILPKQGSNFPFSLPQKDFPVILGEWWNRDIIAVQRQAQFTGAAPNNSDAYTINGQPGDLYRCSTQGTVKYSVNPGESVLLRVINAALNQQLFFSVANHMLTVVGIDATYNKPFTTNVIMVGPGQTTNVILTANQSPGRYYMAARAYATVRNGQFDNTTTTAILEYTNVNSGANSRPLLPQLPAFNDTATATAFANQLRSIPSNNRVPNQIDDNLFFTVGLGLVNCTPGPRCQGPNNTRFAASVNNISFVIPRRTSLLQAYYQNIPGIYTLDFPPVPPVQFDYTGNVSRGLWQPRFGTKLYKLKFGSNVQIVLQDTAIFSTEDHPIHLHGYHFWVVGQGFGNFNPQTDTANFNLIDPPVRNTIDVPVGGWAVIRFVADNPGVWLLHCHIDSHLAWGLGMAFIVENGIGEEQTMEPPPPDLPQC >Solyc03g111610.3.1 pep chromosome:SL3.0:3:63731435:63735566:-1 gene:Solyc03g111610.3 transcript:Solyc03g111610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVVSSSPAIFSSQRRAASVLPSTLTLPNTNSSLLSSSSPISSIDRTKSVVTLRSKTSRRSGVVTCSASVLPKALLFDCDGVLVDTEKDGHRISFNDTFSEKELGVTWDVDLYGELLKIGGGKERMTAYFNKVGWPENAPKTEEERKEFIAGLHKRKTELFMVLIEKKLLPLRPGVEKLIDQALGNGVKVAVCSTSNEKAVSAIVSCLLGPERAEQIQIFAGDVVPRKKPDPAIYLLAAETLAVDPSSCVVVEDSGIGLAAAKAAGMTCIVTKSGYTAEENFSNADAVFDCIGDPPEERFDLAFCGSLLEKQYVS >Solyc01g100250.3.1 pep chromosome:SL3.0:1:90200670:90205466:1 gene:Solyc01g100250.3 transcript:Solyc01g100250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAASHCYPLVHFSGRGRYSKVSNHSSVKILSLNWLPQKFDFNQGAQILKILKSYKLKRFCVIPNSNDGYPSLSVSEEDTTASKKDTSTMKEWEFGGETFLSKWSPPRYLWRGLSVLILAGQVIIRIIKGKIHWKNTFQQLERVGPKSVGVCLLTAAFVGMAFTIQFVREFTRLGLNRSVGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQTDTLRVLGANPVDYLVTPRVIASCIALPFLTLTCFTVGMASSALLADGVYGISINIILDSAQRALKSWDLISAMIKSQVFGAIISIISCAWGVTTLGGAKGVGESTTSAVVLSLVGIFVADFALSYCFFQGAGDSLKNCM >Solyc05g050350.2.1 pep chromosome:SL3.0:5:61312371:61318500:-1 gene:Solyc05g050350.2 transcript:Solyc05g050350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNPKQDKYVRFEDRKLEQSSFSVDHKSSSTNRLFRVKKPSASSFMRSVKRRIEKGSERISSRRKPVRVHRVTKDQSIASNKRVLDPQGQFLQKWNKVFILACVFAVSLDPLFFYIPVIDDKNKCLDLDNTLKITACVLRSITDLFYVFHIILKFRTGFIAPSSRVYGVGELIEDSSAIAKRYLLSYFIVDVVAVLPLPQIVILIIAPNVNGPIALATKEMLKIVIFAQYVPRLFRIYPLSKEIERTTGFFNESALGGAVFNLFLYMLYSNVIGAFWYLFSIERQDTCWRNACDKIPNCLSDYLYCGGKMNGSAFLLNSSCPLLQQEDIKDPNEFDFGIALDALQFQVVEKRKFRTKLVYCFWWGLRNLSSLGQNLKTSTFDGDIIFAVFISIIGLILFSLIIGNMQKFMQSNLVRVEEMRMRRRDVEQWMSHRMLPDNLRERIRRHEQYKWQETKGVEEDSLIQSLPRDLRRDLKRHLCWSLLKRVPIFEKMDDQLLDALCDRLKPALFAEKSFIIREGDPVEEMHFLMRGTLLTMTTNGGRTGFFNSVHLKAGDFCGDELLTWALDPHTSSSSLPISTRTVQAVTDIESFSLTADDLKFVASQFRRLHSKQLQHTFRFFSQQWRTWAACFIQVAWRRHCRKKLENSLREEEDKLQVALAKESTNASSLGSIMYASRFAANVLRALRHNNTTGTRSLPLLFHKPAEPDFSEKK >Solyc11g044320.2.1 pep chromosome:SL3.0:11:33215706:33223841:1 gene:Solyc11g044320.2 transcript:Solyc11g044320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQKWTSEEEAALKAGILKHGPGKWRTILKDPEFSGVLCLRSNVDLKDKWRNMTVMANGWGSREQARLAVKKMRQAPKQDGSPLTDTTAADSDEEAAETRTVTTSSGSPQTHGSKRSMIRLDNLIMEAINTLKEPGGSNKITIAEYIEDQYWAPPNFKRLLSGKLKYLTATGKLIKMKRRYRIVPMSTPSDSRRNLSLPLLDSRQRIFPKIDRDDMTMLSTSQIDLELARMRNMTPQEAAAAAAQAVAEAEEAIAEAEEAGREAEAAEADAEAAQAFSVAAKKTLHGRSTPRMMIHA >Solyc11g073220.2.1 pep chromosome:SL3.0:11:56549410:56554973:1 gene:Solyc11g073220.2 transcript:Solyc11g073220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALMYLECGYPLIDSDFRNFCASHNIISVEDFLLHDLYVLVISTEQHHNSERLKEGITQVLTIINKQHQPWVDGQELLDDALQNKRFLPTGCRSIDTFLHGGLREGYLTELVGTSSSGKTQICLQAASAVAKSWGKIIFLDSGNSFSPKRVAQIVTQTSDLSAYEVDKALQEVMNNIVCFSVFDIFPLFEVLHQLKNNLRSQKDQHIRMLIIDSISSLIAPILGGGAHGHALMVSVGFLLKRLAHEHDISILVTNHMVAGEKGTSKPALGESWRSIPHIRLLLSKDQISNISSISVLRHPHMATGDRVEFAFQ >Solyc05g015950.3.1 pep chromosome:SL3.0:5:13087034:13091537:-1 gene:Solyc05g015950.3 transcript:Solyc05g015950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BYW1] MVTLYSSPSTNCSGLFSSYSNSSSIGFYNYHNNLPISSRNFASHKISLQIDAVRMQDGAVVAPPSKTQDETPLKKLKDGILSKEQKHIFDFDSNKDKSTVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTIFGYARSKMTDDELRNMVSKTLTCRIDKRENCGEKMEQFLQRCFYHSGQYDSQENFAELDKKLKEHEAGRFSNRLFYLSIPPNIFINAVRCASLSASSAHGWTRVIVEKPFGRDSESSAALTGALKQYLKEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQFIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLDDVIVGQYKSHTKGGVNYPGYTDDKTVPKDSLTPTFAAAALFIDNARWDGVPFLMKAGKALHTRSAEIRVQFRHVPGNLYNKNFGSDLDQATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLNLLYSARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWSLFTPVLKELEHKKIVPESYPYGSRGPIGAHYLAARYKVRWGDLA >Solyc01g017087.1.1 pep chromosome:SL3.0:1:23792044:23792739:-1 gene:Solyc01g017087.1 transcript:Solyc01g017087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKDVRVTRNRIDYRNISLISRFISEEGKILSRRVDRLTLKHKRLITLSIKQARILSLLPFLSNENQFKRTESTARTNGFKAQNK >Solyc02g066800.2.1.1 pep chromosome:SL3.0:2:37528682:37529146:-1 gene:Solyc02g066800.2 transcript:Solyc02g066800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKSLIQTVKRYLKKPWEITGPQSSPEYVSAVPKATEYRVTCPATAQAQAIIPTSNPDTVYDIKYFSRDQRRNRPPIRRTVLKKDDVEKMMKEKTFSIDGFPKPYLTAKVEEDYNAIGGGYQK >Solyc04g049255.1.1 pep chromosome:SL3.0:4:41187781:41188295:-1 gene:Solyc04g049255.1 transcript:Solyc04g049255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQLGYSLLGLLSKVLGLDRCHLKDMDCVEGLDVLQRTSSEIHQNQWVDVSPIRGALVVNIGDILHDIFHIISNDKFISVEHIVLSMSSSKFCGPIF >Solyc08g036620.3.1 pep chromosome:SL3.0:8:10664074:10664829:1 gene:Solyc08g036620.3 transcript:Solyc08g036620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKCNLELTLSPSNLLMEDKRLENEQSQQLTIFYNGKFVASHVTQLQAKAIIYLASREMEEKTNKLSEPSSPLLQPQTVKKSLQRFLQKRKNRIQITSPYHH >Solyc11g010200.2.1 pep chromosome:SL3.0:11:3274743:3278052:1 gene:Solyc11g010200.2 transcript:Solyc11g010200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPREENVYMAKLAEQAERYEEMVEFMEKVVAAADGAEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVASIKEYRSKIESELTSICNGILKLLDSKLIGSAATGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAENTLSAYKAAQVRIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGTDEIKEATPKPDDNE >Solyc05g032710.3.1 pep chromosome:SL3.0:5:45956351:46000233:1 gene:Solyc05g032710.3 transcript:Solyc05g032710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLTNEILFEATSCVQKVTLNRPTKLNCLTFEMIGELLRTFEEYEKDPQVRAVIVKGKGKAFCAGGDVVRVIQFMLHGDLYCVKEFYKNQLTLDNLVATYKKPVVFLINGAVMGGGAGLSMNAKFRIVTENTVFAMPEASFGHYPDVGASYFLSRLPGHFGEYLGLTGKKINGSEMIASGLATHFVYSKDNNGGSFVSPRL >Solyc11g045640.1.1.1 pep chromosome:SL3.0:11:28702481:28703140:-1 gene:Solyc11g045640.1 transcript:Solyc11g045640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLNPPMNHQCQVSDEEDGSEEEEEEEEEVFQENDIGNLQSHYQNQQMPQSVYKKQEKWANFTVSEQELNKGTRRMKPKRAKTDVIEGHGGRIIRATGRKDRHSKVSTAKDPKDLRVRLSPNTAIQFYDVQDRLGYDRPSKAIDWLIIEAKAAIDALGEFPNNFHSTKLNPKKMQYSFDQEQSPEFSQENRGVPKSEYGVQEKQQEVNYDIPNLFSLN >Solyc11g017230.2.1 pep chromosome:SL3.0:11:8034943:8041899:-1 gene:Solyc11g017230.2 transcript:Solyc11g017230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQQFSPFTITPCHFHPIPNSNHHPVLVSPLKQQRNLLKLSPLFCSSSHSNPVVQDPILQQGNGSLGKSRDTHYKNSRKKRVFFLDVNPICYKGSIPSLQSFAHWISIFFSQVSLTDPVIAVIDGERGNEYRRQLLPSYKASRRKYWHQLQGAVKSPRSTIERSHRLILDVLQSCNVPVVKIESHEADDVIATLVEQVLQRGHRVVVASPDKDFKQLISDDVQIVMPVPEFNRWSFYTLKHYVAQYNCDPRSDLSLRCILGDEVDGVPGIQHVVPGFGRKTALKLLKKHGTLENLLNAASVRSVGRQYAQDALIKYADYLRRNYEVLSLKRDVSIHIEEQWLNERDARNDSLVLSNFVTSLEDSRNLNLEKRSHSIG >Solyc12g096590.2.1 pep chromosome:SL3.0:12:66423047:66427297:-1 gene:Solyc12g096590.2 transcript:Solyc12g096590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASAFGQCSLLPRTVSLNPQQSHRQLCSLSFHRQTVNSSLPALSFTQSIGFGSAIERHCVDRNGSDLFKTDAVRQLNGSVISAKGHRVLLELTDRLLVLKVVARFNDLITKKLLEGALETFKNYSVREEDIDVVWVPGCFEIGVTAQLLGKSQKYHAILCIGAVIRGDTSHYDAVVNAATSGVLSAGLNSGTPCIFGVLTCDTLEQAFNRVGGKAGNKGSETALTAIEMASLFEHHLKPSE >Solyc02g031970.1.1.1 pep chromosome:SL3.0:2:27708463:27709110:-1 gene:Solyc02g031970.1 transcript:Solyc02g031970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLISRLRHHLPCVLLRQSVQSNVANSHFVTSTVFTRHFGQPARKEEEEEEEVEIDQRKLPADYDPANFDPTEHRSPPSDRVWRLVDEVSGLTLVEVSELSSILMKKLGMSEQPMVGVMKAGAAGLAAAAMKGPEAAKEEKKPEKTVFELKLESYESAQKIKIIKEVRSFTDLGLKEAKDLVEKTPAVFKKGVSKEEAEQIIKKMKAVGAKVVME >Solyc04g047780.1.1 pep chromosome:SL3.0:4:37610724:37615800:1 gene:Solyc04g047780.1 transcript:Solyc04g047780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQTPKVSTTEGKAASLCVHKKPRLQASSSKNGLIVKHKRILKSITRRKMHKFQTMKHLVTKKMILRTNVILDEEAIQEWKSLIGKPEHEGCKSEKCDERWGVERTLFHGRVLLFISRMHLIQGGKKKKETRNQQPEPMLRWKSQNADMESDAITRENMRKLSSFVNPEEINDSTLDAINWHAVHDATTDQVSKAINQRGMDKKLAERIKVNNVWLRIPCARLPLTGPGENSCANVNTALVPVDSAYSCTESSQENLVSSFGEASKSQHSTGDHQNKLITYPALGCIGEIDIEDYSASGIPTITFDCQAFMENLLSYIDEYDLSTKDTDLSNALVVATLEAPLPKLKHNGRLRMEHQVYELPDSHPLLEGLQKRELEDTCPYALAIRTPDEESTQKPIEVPRASIWNFPRKTLYGGTSIRGIFRGMSTKEVQNCFWRGYVCPRGFNHET >Solyc06g035775.1.1.1 pep chromosome:SL3.0:6:25106814:25107170:1 gene:Solyc06g035775.1 transcript:Solyc06g035775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRIPKDTQLMVNMWAIARDPKVWDDPSSFKPERFMNSNMDYKGRYFEYIPFGSGRRMCAGEPLASRFVPLLVASMIHKFDWFLPNDMNLDQIDMDEILDLIISKKDPILVIPKLRK >Solyc01g099380.3.1.1 pep chromosome:SL3.0:1:89541400:89542236:-1 gene:Solyc01g099380.3 transcript:Solyc01g099380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEKMDRIDAVSFDDDDDEGFRKSYERVRKLSSEKQKGIVETFPEVIAPLISDSTLDNDNPISVSDESQVVFTEIGDFVWGLQFDKLEQQQKPIVDVLPEENFEIKPERTIREVPTGKGLSEALRLLRERGTLKEGDMELAGRNTDKKKNKLIGIHGGDVKGEEEIQIIRTDEFGRNLTPKESFRLFSHQFHGKGPGKKKQEKRIRQHQKELKMKNPSLSVAERMTKSQAQFKIVLSGHIQPADKGMLGDKKVGHVSGIKRKSDSGQYSRCLKFHNV >Solyc07g005895.1.1 pep chromosome:SL3.0:7:724759:725728:1 gene:Solyc07g005895.1 transcript:Solyc07g005895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANARIARFVTEVAPPQFVNVMRNRASKMLETIKEEEREASTSESLSLKNYSTSSSSAYSASSSNAKSSKYFLKEVQRTGTKGLTSIRCFPPNHKKPPPLLSPSPQFSSVTLVSLDF >Solyc05g055220.1.1.1 pep chromosome:SL3.0:5:65808592:65809281:-1 gene:Solyc05g055220.1 transcript:Solyc05g055220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKLKISSIFKKKELGLATWQWPSCTHSKTLSFRGDDNIFKTINSVFFDPFDGIETPQSYSTNSSLDTNSISIESHEEIIKGARSERLFFEQVATSSIFQEPQEENQENDLPFKESVILAMESKDPYLDFKKSMKEMVESQGIKDWDNLQELLACYLKLNGEVNHGFVLGAFVDLLVELVIPTTPSTNSDNSITSYSSVASSSFSCPSSPLSSLGHKETEEQENAKVS >Solyc01g005120.3.1 pep chromosome:SL3.0:1:104207:107325:-1 gene:Solyc01g005120.3 transcript:Solyc01g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFFIFLSCILVLVSGFSRNLPILAFDEGYSHLFGDNNLMILKDGKSVHISLDKRTGAGFVSQDLYFHGFFSASIKLPADYTAGVVVAFYMSNGDMFEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTNVGREERYGLWFDPSEDFHQYSILWTENLIIFYVDNVPIREIKRTKAMGGDFPSKPMSLIATIWDGSNWATNGGKYKVNYKYAPYIAEFSDFILHGCAVDPIELSSKCDNTTPKTPTIPTDITLDQRRKMENFRKKQMQYSYCYDKTRYKVPPPECVIDPKEAERLRAFDPVTFGGSHHHHGRRHHRSRPKLKGDDDVSFM >Solyc11g044597.1.1 pep chromosome:SL3.0:11:32669407:32670969:-1 gene:Solyc11g044597.1 transcript:Solyc11g044597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFYCFRIQQRLKEGKTLLLAGRLLQQYIVGGYMAIEDERFCYIRNNQPKLRANLYSGLMDAILRGDSDCSLVEKNSYLIFISHWRTSLAGVKLSRCNGNLQGAGYPDLFLTFTCNPKWPEINEMLCLIEQSGDDNRVDIICRVFQIKLFQLMQDLKKQQPFGKIIACLYTTEFQKRGLPHAHILLFLHLTLKSPSIDHINTMITTEIPDMEVDPDGYKTLKNYMMHGPCGDLNPGCP >Solyc06g051760.3.1 pep chromosome:SL3.0:6:35456073:35464928:-1 gene:Solyc06g051760.3 transcript:Solyc06g051760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginyl-tRNA--protein transferase [Source:UniProtKB/TrEMBL;Acc:K4C5W5] MSEKKKMRSEASSSSSNSITAGNDSVVVEVGRRRNSCGYCKSGGPTSISHGLWARSLTVDDYQALLDRGWRRSGCFLYKPEMEKTCCPSYTIRLKANDFVPSKEQRRVLNRMQRFLDGTLDKSSDEFMDATDTSGNSEITTSSQNQSLAATKSLTGNLEEKYKTEPLIPHLESQIDNAVHVCIGSGDLSSDFQFPKASVKKVAPAKRKLSTEGSEDLLFTSSISFQIAATLRRQRKGVEHGKSSQLGAGERGQAADTPKVIAATLATHLNSLAESLGLLVRACNGHINFYSSERRVDKDAIVSSHNELKQFSSGSCNKQSFSSTVHENHELKKRKFEVRLKRSSFDPEEYSLYRRYQIRVHNDSPDEVAESSYRRFLVDTPIIFVPPSGDLTVPACGFGSFHQQYLIDGRLVAVGVIDILPKCLSSKYLFWDPDLAFLSLGKYSALQEIRWVTQNQVHCPSLQYYYLGYYIHSCNKMRYKAAYRPSELLCPLRYKWVPFDVAKPLLDMKSYMVLSDFATQNGQPLPPSNLENYDEQNDQQHFHGSNDIFVGEDEDEEMDEFNYEDSDDELIAESSNIQLPKVEDRDVGSILIGLKEVRLRYKDLRQAFGSRERRFMETQLHKYMRAVGAELSERMVYSLG >Solyc04g077350.1.1.1 pep chromosome:SL3.0:4:62369331:62369597:-1 gene:Solyc04g077350.1 transcript:Solyc04g077350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSMEQDMTRRAKMQWIKVSPSVYLKLFSACLLFYIVTLYRGSNNVIVTSFFELPVCDPDFSSSNTSRCMKNPGSFAFGSRLSLANI >Solyc05g006190.1.1.1 pep chromosome:SL3.0:5:866729:866947:1 gene:Solyc05g006190.1 transcript:Solyc05g006190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKVSSVAFNFIACVVFLASVVIAHEGHDHHAPAPAPSPSQSSGAIITSLPSMVVGFFSLVISFLVIKERV >Solyc11g056290.2.1.1 pep chromosome:SL3.0:11:45609179:45609454:-1 gene:Solyc11g056290.2 transcript:Solyc11g056290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYTLGSVVNSVQSEFPAVRLSTLVEHLDSLIRTHAPLMKDRRCGSFLWSLLPTRFTPVYRSNYSKLTSSLARMNSSLTLLSLNSLALTSQL >Solyc11g066450.2.1 pep chromosome:SL3.0:11:52499850:52504176:-1 gene:Solyc11g066450.2 transcript:Solyc11g066450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKRNVIVATGLLVFASAGLSFPFYMASRSSRATPVIDSSKPLPPQATFRGPYINTGSRDIGPDHQTYPKK >Solyc07g008780.3.1 pep chromosome:SL3.0:7:3757646:3765929:1 gene:Solyc07g008780.3 transcript:Solyc07g008780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKHENLSSTSEQQSSSSASAYQKSPEETLKKQPQLPSFQGSPMLNYQMLQAMYPTLLPGAFGMQPNQEELNHGPGLYAVPVAPFMGQYAGFPPNTLIPFTYAVPTGPSVPENGAVGEEQGQMGQQQPQQQQLGPQRQIVVRRFQIAFQLDLLLILKLAAVIFLFNQDGSRQRLVLLVFLASLVYLYQTGALAPLVRWLSQGMQRAAAPPQPPRPAVRADNVPGAGRQGNDNVAAGEGQPGGENENLLGNDVNRVGENEQAVEPGAADAGNRWWGIAKEIQMIVFGFITSLLPGFHNID >Solyc07g040815.1.1 pep chromosome:SL3.0:7:50338519:50338918:-1 gene:Solyc07g040815.1 transcript:Solyc07g040815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLDHSYKDFHLWTVGDGITLMVCIRLFISLITTVSDTSCF >Solyc05g018407.1.1 pep chromosome:SL3.0:5:20739831:20740747:-1 gene:Solyc05g018407.1 transcript:Solyc05g018407.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRLELHLFSGDNPYGWLNRAERYFHFNAIDDKDKLEATVVCLEGRAPNWFQWWETRTPIGTWDSGNLYEVLLGLQQTGSVAQYREDFELLSAPLKDANDEVLMEIFINGLREEIKAELFPSTWSNNTFHSARTTVTTIPRHFQEQKQGENVQPRAEISARRGGAFKRLSDAWYQNKLRKGLCFRCDENMALITGAIQNNSMFLLIAAAENDEDGGIEVTLDEIINTEMDQ >Solyc06g005190.3.1 pep chromosome:SL3.0:6:202558:211553:1 gene:Solyc06g005190.3 transcript:Solyc06g005190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQGDKMCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKENTDGRCPACRAPYNKEKIVGMEAKCDKAVAEMSTEKRLSSRKGKSKTADSRKQLSSVRVVQRNLVYIVGLPLSLADEDLLQRKEYFPQYGKVMKVSISRTAAGTIQHFANDTCSVYITYSKEEEAILCIQSVHGFVLDGSPLRACFGTTKYCHAWLRNVPCTNLDCLYLHEVGSQEDSFSKDEIISAYTRSRVQQIAGAVNTMQRRSGSVLPPPAEEYCSNNSASADKPISKNAATNSAPSVRGSSSPPNSSSGRSAALPAGALWGTRASNNQHPPASVPCSNGPLNKKPQTCNPTVSYTAVERTSQASLLPAYAGKKVVHTEESVTSQEKGKIDTLEPVKQHVGADPHIYTSENPTIPAPLDSQLHSVPSMSLKDRDKQVIPTSSTNALDISVKSSGPGFTKYFNDTTDAKIQNVCLDMSSLSIGRHEKTQGNCIDQNKESLTGEYATSADEICITREKSDLRLDTLSKVTQVTTSEMENDLLTFNEQRHRDPEVVIDKVYSPNLPPSLHSPAQPCWYSSQLTNGGGPVSANMQLDRRTDSVSQPSRESLTNGYPENVSNCVAGLHTIDRSYYPLPDEGKMMHVKRFQGEAPSENSSTNVDIGENSIISNILSLDFDPWNESLTSPQNLAKLLGETDDRQGSVRVSSSRKLTSNQSRFSFAREEPTTNALADYQPSLNYIEQSFNHYHHGHDFPNSRNDKLDYIGTRNGFSMANNEETVGFGNSFSHLSSNKLSVSRPQMSAPPGFPAPNRAPPPGFASHFERMEQNFDSLHANNLRDASSLHNLHQAPQVGHVSNGDIEFMDPAILAVGKGFPNGLHLSNLDMSSSCPPQSNTLQNEGRLQLLMQRSVAAHQNQSFSDTRNMFSLVSDAYGMSSRGVEQTLANNHPPFDGFSSRALEQTLVNHQSPYSQLTLSLGRNSVMSNGHWDSWNGVQSGNSLGVAEHPRTENMGFNKVFTGYEESKIHMPNSGNLYNRTFGM >Solyc01g016470.3.1 pep chromosome:SL3.0:1:20052680:20053774:-1 gene:Solyc01g016470.3 transcript:Solyc01g016470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGEIACTYACLILNDEDIPITAEKISTLVKTANVTVEPYWPLLFAKLAEKKNLGDLIMNVGAGGGGGAAVSVAAPVGGAAAAAAPAAEEKKEEPKEESDDDMGFSLFD >Solyc12g056295.1.1 pep chromosome:SL3.0:12:63189003:63189969:1 gene:Solyc12g056295.1 transcript:Solyc12g056295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRVDASRKAFIAWEQLCSSKTAGGLNLLDIQTWNKAAINKLQRSLSTKKDRLWVKWVHMYYGKQGTLWDVLAPQASWMERKILQIHKELEVIGWNEEYANQIDKFSIKQLYKALRGNYQKVEWRKLTCNDAACPKRISILYLALQSRLLTGDRLATSGCAEDVHCGVCGTEDESHNCIFFRCLFSSQVWQKERNQRIFRKITRTPAMLAKQVVQERLKVAAKLGAVQFACVTDL >Solyc06g059870.1.1.1 pep chromosome:SL3.0:6:37886733:37886933:1 gene:Solyc06g059870.1 transcript:Solyc06g059870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGRNGGKYTRETHEDDSNKGDDKEKSGVHDNKNTNGKSKGSFVTPKRGKIREKILKDLNPWATEG >Solyc04g072790.2.1 pep chromosome:SL3.0:4:59849599:59854990:-1 gene:Solyc04g072790.2 transcript:Solyc04g072790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4BTR6] MEVSAGLVAGSHNRDEIVVIRRDGEFTAKSMQQQSGHICKICGDEVGFTIDGEPFVACNECAFPVCRTCYDYERREGSQLCPQCKTRFKRLKGCPRVHGDEEEDNIDDVENEFSFHNDRVKHDFQSYGFDYFEQHMSSCDSRDQLPLPSVMHMHYHVDTDIDPEKHALVPIGSVEYGGKGVLSLPYHYNTRVPPRSLDPSKDLAVYGYGSIAWKERMESWKQKQEKQQMKKDGEEGEDEFDLSVLNEARQPLSRRLPIPSSQINPYRIIIMIRLVVLGFFFHYRVTHPVNDAYGLWLVSVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGKPCQLSAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVTCYVSDDGAAMLTFEALSETTEFAKKWIPFCKKYNIEPRAPESYFSQNIDYLQGKVLTSFIKERRAMKRDYEEFKVRINALVAKVQKVPEGGWTMQDGTPWPGNNVRDHPGMIQVFLGQNGGLDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKAIREAMCFMMDPTLGKTVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGLDAPKQKNAPSRICSCWLKWCCCQSCCSEKKKKNKKPKSEVKPLLNDEDSLALTVSQEVTQGENRSLISDHKLETKFGQSPVFIVSTLLENGGTLKSASTASLLKESIYVISCCYEDETEWGKEIGWIYGSVTEDILTGFKMHCHGWRSIYCMPKRPAFKGSAPINLSDRLHQVLRWALGSIEIFFSRHCPLWYGYGRGLNWLERFSYINATIYPFTSIPLVAYCTLPAVCLLTGNFIAPKLDNIASLWFLLLFISIFATSILEMRWSGVAIDGWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVETNFTVTSKSGDDEEYAELYAFKWTTLLIPPTTLLVINIIGVVAGISNAINNGYESWGPLFGKLFFAIWVILHLYPFLKGLVGRNHTTPTIFIVWSILLASIFSLLWIRIDPFLAKTDGPLLEECGLDCN >Solyc09g010530.3.1 pep chromosome:SL3.0:9:3902649:3907865:-1 gene:Solyc09g010530.3 transcript:Solyc09g010530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFNVTNSIKISSDGIWQGENTLHYAFPLLIIQTTLVVFLSRLLAFLLKPLHQPKVVAEILAGIMLGPSAFGRNKTFTNWIFPSWSTPILECVSNIGLLFFLFLVGLELDLNTIHKSGKKAIGIAFAGISLPFFFSIGVAFVLRKIIKGIDSVGYGEFFLFIGVSLSITAFPVLARILAELRLLTTQIGEMAMAAAAFNDVAAWILLALAVALARGGGGGGVHHSPLISLWVFLSGIGFVIFMFLIIRPIMILVAKKSSNGNNNIVEETYLCLTLVGVMLFGFMTDFIGIHAIFGGFIFGLVIPKNGDFSEKLILRIEDFVSGLLLPLYFASSGIKTNISQIHSFRAWGLVVLVVSTACVGKVFGTFIVGIMLCSMPMREALALGVLMNTKGLVELIVLNIGKEKKVLDDETFAILVIMALFTTFITTPIVMAIHKPSSTQHPQIEKPQKKTKKQNNLRILACLRGPRDARALINLIESLRSYKNNNNYASITKLYVMRLVEFTDRLSSISMVQRARKNGFPFIGRVLFRDDATDQVGAAFEAYSTLGKVMVRPTMAISGLSDLHEDIIHIAEKKRVELIILPFDKYWQMEGNEEVEIHAGHGWRMANERVMSQARCSVAVVVDRGLQLVNNGMRICIVFFGGADCSKALEICSRMVEHPAIRVTLVRFIHHGSTNFDEVERTLDDSTIAEFKMKWGKQILYVEKEANNLVNEVLEIGKSGEFELMIIGNNKNKFPQGIMAKLFDEQQLNNSELGQLANLLASSDKGIKSSVLVIQHQQQAKFGNSKVASNFIDKDIV >Solyc08g048520.1.1 pep chromosome:SL3.0:8:12411869:12413632:-1 gene:Solyc08g048520.1 transcript:Solyc08g048520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDAAHIFGASFLRKFCKFKLLCKEANNSKYVSHVKKLLYRRILFGTSDGRFFLSTFYYNDKQGNIILQDAIEYRSTKRSAPSPMEQRVLCLILIPYSCRKTCHVDCSINEQLSLRSLREQKS >Solyc08g081840.3.1 pep chromosome:SL3.0:8:64910975:64916049:1 gene:Solyc08g081840.3 transcript:Solyc08g081840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLNFMYSNTFSATTPTAVLGVMMVADKFEVASCMKYCSHLLRNLTMTTESALLYLDLPSNILVADEVQPFNRCCKTVSCSTFQGHNQVPRRCIESSSFGIEAVLSSGNLQIASEDAVFEFVLKWARMHYPKLEERREVWSSHLLHLIRFPCMTCRKLKKVVMCTDFDPKLASKLVFEALFYKAKAPYRQCSIAAEAGNVSYHRYVERHTSTDLLKLLSLNASSGFFLSAHCNMDHQSAFHCFGLFLGMQEKGSVSFAVDYELADRISPGEEYVSKYKGDYTFTSGKAVGYRNLFGVAWTPFLSEDSLFFINGILHLRAELRVRE >Solyc04g072830.3.1 pep chromosome:SL3.0:4:59872548:59880092:-1 gene:Solyc04g072830.3 transcript:Solyc04g072830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFESAIIFEMGSKGRALFDLNEPPAEDEQVNDGVLCLQPQRAVPSSSTNTSEFLASAVDPPRIVNNHAFSHASSVSGFQPFVRSKGAEASRAPEEHGSAGPSTSGGASLSKSSQEHTMKSLLQPDLNSLDMQVAEKEEGEWSDAEGSTYADKNCGFNDKSNTDVEKASQEKSAVESVSNSDKVGSVDNASHDNEKRNGENYNISSLELDRDTSDRKSNSSRNSETSSKADIAMDGQEDSGQVPKHREIRGVEASHALKCANNFGKRPKVDQQKEAMLGKKRSRQTMFLDLEDVKQAGSQKSIARRQNFPAPVTTRIVKESRNVPPPSEKNGEKHSQVLVKDVKQIDSTNEGNLPMESNDSRSESSADVNLAPLGRPRRLNSATDLTSEAQTPPLPRQSSWKHPTDQRQNRNSQLSGRKPALTSQNSMEPKLGAKKPPSKKQPIVSSPCQDTSVERLIREVTNEKFWQHPDEAELQCVPGQFESVEEYVKVFEPLLFEECRAQLYSTWEEMADTGTHVRVHIKNIERRERGWYDVILFPDCEWKWLFKEGDVAVLSTPRPGSVRSRRSGTSTFGDGDEPEISGRVAGTVRRHIPIDTRDPAGAILHFYVGDPYDTNSNIGSDHILRKLQPRGIWFLTVLGSLATTQREYVALHAFRRLNLQMQNAILQPSPEHFPKYEEQTPAMPDCFTPNFTDHLHRTFNEPQLAAIQWAATHTAAGTNGMTKRQDPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTALLKKLAPESYKQNNENNSDNVVTGSIDEVLLSMDQNLFRTLPKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQTRAAQAVSVERRTEQLLMKSRDEVYGWMHQLRAREAQLSQQIAGLQRELTVAAAAGRAQGSVGVDPDVLMARDQNRDTLLQNLAAVVENRDKILVEMSRLLILESRFRGGNNFNMEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVGVLPPLSLGAARCVLVGDPQQLPATVISKAAGTLMYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLSDSESVVNLPDEVYYKDSLLKPYIFYDITHGRESHRGGSVSYQNTHEAQFCLRLYEHLQKTCKSLGVGKVTVGIITPYKLQLKCLQREFGDVLNSEEGKDIYINTVDAFQGQERDVIIMSCVRASGHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSEDWAALIADAKTRKCYMDMDTLPKDFLLPKAASHAPPQTNMSNNRGLRSGLRHRIYDPHMEPRSGTPSEDDEKPNALYVRNGSYRPPKPSLDNSLNDFDQPADRSRDAWQNGIQRRQNTAGIGRRDL >Solyc04g079960.1.1.1 pep chromosome:SL3.0:4:64362839:64363930:-1 gene:Solyc04g079960.1 transcript:Solyc04g079960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMNLVDSWGQACLVINQSLPYNSFNGLMKINSKNRKILQQSLSYRTFSSVTVSAIATNEKVVMEKEEFNFKVYVAEKAICVNKALDEAIMVKDPPKIHEAMRYSLLAGGKRVRPMLCLAACELVGGNQGNAMAAACAVEMIHTMSLIHDDLPCMDDDDLRRGKPTNHKVYGEDVAVLAGDALLAFAFEYLATATTGVSPSRILVAVAELAKSVGTEGLVAGQVADLACTGNPNVGLEMLEFIHIHKTAALLEASVVIGAILGGGADEEVDKLRRFAQCIGLLFQVVDDILDVTKSSSELGKTAGKDLAVDKTTYPKLLGLEKAKEFAAELNGEAKQQLAAFDSHKAAPLIALADYIANRQN >Solyc05g016253.1.1 pep chromosome:SL3.0:5:15428322:15428977:1 gene:Solyc05g016253.1 transcript:Solyc05g016253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPPTVRMHKFYEGGFQSKMSRMKATLIFGKNTEADRVREEHRKVMVANHLDAGGNYYLASKINEAKYTLLGKMNNSGSPF >Solyc08g013805.1.1 pep chromosome:SL3.0:8:3254532:3256632:1 gene:Solyc08g013805.1 transcript:Solyc08g013805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVHKASSIEPVLQSAPWAELPRLGTIEIVKSAQRVCSTWWKVCHDPAMWRVIDLKYDPPCVKHCVLKKICRIAVDRSQGQLLKISIHKFDNKDLLYYIAESVAGSLSAAAKNLPLFEELRIHLTLITEEDIESVGRYCPLLKSFTLNARVRFNFGYSRLPDDGQALAIATSMPELRCLALILNPLTSVGLEAILDGCLHLVSLDLCRYNNIDLVGDIGRRCREQIANLKYPHDYEFDSEVSHYLSSYDNYKSRMMYSCLDSDTFTGYRNYHESSDDDDYFDIPAATRYQAELEVYGVPGDGDAQFPESNH >Solyc07g040663.1.1 pep chromosome:SL3.0:7:49410821:49412485:1 gene:Solyc07g040663.1 transcript:Solyc07g040663.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPPFFFPYNQCRCLNLAFLFVLAPNRVEISTISILIPSKERLNFKRKLNLIKKTYFTIHWSSSVVVESVLKLVVSLRSQLSLIINLLIVHLQSTFELQVPKLPLMMLVVPPQAELEGNLMKTEQG >Solyc04g008520.3.1 pep chromosome:SL3.0:4:2124503:2150347:-1 gene:Solyc04g008520.3 transcript:Solyc04g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKLELKSPPNPNSLIVSAQPSSPPESPPVTSGGGFVPAAWFRQRPKLRVTTEFDSDSSVFFHRISCKVLDSLAKLKFSFQNNGKGEISDPQLALTSKYLSLHYDIEEKNALVNASFDVAPGLQFKAVHEVKSQQGELAMVADLAGPAYKFELSSAVPAIGKPRATIRFPLGEVSLEEKEEEEEEQAKRILSVNGILKGQILNGVCTAKYKDEALDLRYCFKDEQMTFIPSISLPSNAMSFAFKRRFGPSDKLSYWYNLDTNYWSTVYKHNIGKDYKFKAGYDSEVRLGWASLWVGEESGKAKTAPMKMKVQFMLQVPQDDITSSTLMFRAKKRWDI >Solyc01g107415.1.1 pep chromosome:SL3.0:1:94885537:94892048:1 gene:Solyc01g107415.1 transcript:Solyc01g107415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWESDERELKAVGAKPLPDGRSGLRIHGWEIESRKHSILTSLQLQQWEEKLETSHLPEMIILYEDELADNGVSLLTVKVVMLFWFLSSHINLSDLRFTDDRFLCISRESCQVVGSCCCVYGRSSTKF >Solyc01g057777.1.1 pep chromosome:SL3.0:1:63729855:63740251:1 gene:Solyc01g057777.1 transcript:Solyc01g057777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKYEVAKFNGDSVSEVGTADDLSEKAKEKNGIVPNLVTIPSSFNHPISTESTIDVVAEHDEQPDEIIEQGEQLGQDKELIAKFKKDLSKSFDMKDLGPAQQILGMKIVRERTKRKLWLSQEKYIERVVKLFKMKSSKAVSMPLASHLKLSKQMCPTTKEEKEGMTKVPYSSAVGSLMYAMVCTRPDIAHSVGVVGRFLENPGKEHWEAIKWILRYLRGTTRDCLCFKGSDPILKGYTHGDMAGDLDNRKSTTGYLFIFSGGAISWQSKLQKCVALSTAEAKYIASTEAGKEMVWLKRFLQELGLHQKEIESMIQGKEARANATPSCQGTCVDHEILHDSWWPPQIVVPPLLVRHYLTKVAPRFSPSRATSRSAWKTTSR >Solyc11g039490.1.1.1 pep chromosome:SL3.0:11:44556168:44556326:-1 gene:Solyc11g039490.1 transcript:Solyc11g039490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFRNNVSVKHNYQHVLIHLVLTLSFKLVLTCLVVVISSNEFQLTPSLFES >Solyc04g008560.3.1 pep chromosome:SL3.0:4:2173081:2180061:-1 gene:Solyc04g008560.3 transcript:Solyc04g008560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRVPVQHYDMRSAADSYIETSLHDLNAEGIGGGGAGGDDVDRGGGDVTEDSMDNGEESTAVDCLHETFRNSLPLHGIVVEEDRTSIENSGSSTGSYNIVTIDDISPIETARTRFLDIIVDHFIRPHVVDVVDSEADFAAQSSQDKMSKRKSREIHYEGDATYVLPLMYVANMYETLVNEVNVRLSSLNGMREKTIGVALEAAGGLYRKLAKKFPRKGPCIFKRRELATSFETRARFPELVIQEEKRVRFVVVNGLAIVEKPTSLCIDDAEWFRRMTGRNEVTVSPRDYKFYAPRHKYRRASNSISNITGFSAFTSTDNASSLAAGQSYRSVSEDSQQTTSKQQMQPLVHQAQFHPLQQSHHQHHINQSQHIAHFSHNQQCGPQSHLPEVVHAQQSLTNSPHMACLQQLGHVGGRMHIMPTSPAKFCDECGTPYLRETSKFCSECGTKRLGI >Solyc10g081940.2.1 pep chromosome:SL3.0:10:62994051:63000557:1 gene:Solyc10g081940.2 transcript:Solyc10g081940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4D380] MASYAVVMENLKERSEFMNESMQKSQTITDNMISILGSFDHRLSALETAMRPTQIRTHSIRKAHENIDKTLKVAESILAQFDLARQTEAKILRGPHEDLESYLEAVNQLRNIVKFFSTTKGLKSSIGMINHISSLLAKSVLKLEEEFRQLLNLYSKPIEPDRLFDCLPQSLRPSTGSDSKGDGGGAKEHQRSLETVVYHPPDLIPPRILPLLHDLAQQMIQAGHQQQLYTIYNEIRSLVMEQSLKKLGVERLGKEDVQKMQWEVLEAKIGNWIHYMRISVRLLFAAEKKVSDQIFEGQDSLRDQCFAEVTGNSVAVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELQTEMDNIFGSQCCSEMREAAKVLTNRLAETAQETFVDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFGDADDGNSKDQLGVITTRILQALQSNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDVLGDDWVQIHRRVVQQHANQYKRISWSKILQTLSVQGASPAGNSSFTGEVVSSNTGISRSAVKDRFKTFNMLFEEIHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFAKRFGPMIEGGKNPQKYIRYTPEDLERMLAEFFEGKTWKGP >Solyc02g030315.1.1 pep chromosome:SL3.0:2:25992123:25996344:-1 gene:Solyc02g030315.1 transcript:Solyc02g030315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGTISDPIIPSLKQILPSLMYLQHHHQIVDSLAAISYPISSQDFIDHVLHGLGKEYDTLVGIITHFPGSLSLEELKTKLLLHEQQLQRFKDIDPVVSHQAFATQNLSSNSSNISGNHSPPQGSRGKGRSFSSKGRGRGGRSRVSFSRSQQHQVATGNSFTHGGFSRQSSSGIGSIPTASYISPSVNDKASSQILLQASSKAAYILSLLGQSLLLPRRVLLFLILSYLSSSSRSDPLVVVSLQNHRTPYTHLESFVSTSVPSLKNNSHVSQPSSSGSLDSTRCKISTIESNLTVPRLSDSLPVGFSPPLSTSPPVINFSPSSSTIPLHPMQTRSNHNSLLDQFISRLSHLFAIKDLGNLQYFLGIQAVRSSHTLHLSQQKYIFDLLLKFHMQTCKPVCTPLASRTSISLMEGELLSDPSEYWSMVGALQYLTMTRLYISYAVNVVSQFMHARCTTHMHCVKRIFRYFDLGLTLHTSSPSSMVIAYSNTDWAGCLDSRRSTSGFAVFLGSYLISWRAKKQLRVSK >Solyc10g078860.2.1 pep chromosome:SL3.0:10:60660230:60665989:-1 gene:Solyc10g078860.2 transcript:Solyc10g078860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:K4D2C7] MGYDSTMSNTTEDSNQGIDKQHLASHIKNLVDKYQLVPEFLKVRGLVKQHLDSFNYFVRTEIKKIVRANQEIRSTLDPNIYLRYRDVHIGEPSMVFDAVTEKLCPQKCRLSDRTYAAPIYVTIDYTTGSHGQTSVSTKKNVIIGRMPIMLRSSCCVLYGKDEDELARLGECPLDPGGYFVIKGTEKVILIQEQLSKNRIIIDTDKKGSVQASVTSSTEKTKSKTIIKMEKEKVYLELNMFKTKVPIMVVMKAMGMESDQEVVQMIGRDPRFSALLLPSIEECADLKLYTQQQALEFLESDKMLKMPSYSTGPIEKGARALSILRDIFLANVPVHQHNFRKKCIYVAVMMRRMMEAILNKDAMDDKDYVGNKRLELSGQLLSLLFEDLFKTMNDEARRTIDTLLARPSRSSRLDISQYIIKDSITMGLERTLSTGNWDVKRFRMHRKGMTQVVARLSYIGSLGHMTKISPQFEKSRKVSGPRALQPSQFGMLCPCDTPEGEACGLVKNLALMTHVTTDEDERPIMSLCYCLGVEDLEQLSPEELHMPTSYLITLNGLILGKHKSPQRFANAMRRLRRAGKVGEFVSIFVNEKQRCVYIASDGGRVCRPLVIADKGVSRIKEHHMRELRDGLRDFDSFLKDGLIEYLDVNEENNTLIALYEKEATPETTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLNRMDGLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKKYSAICQKYENGTSDRIIKPQRQGPEADRMQILDDDGMAAPGETIRNHDIYINKESPTVTRTPVTSPMGLPDSAYKSSKQTYKGPEGETAVVDRVALYSDRNNNLSIKFMIRHTRRPELGDKFSSRHGQKGVCGTIVQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADTVDAISETLVKHGFSYNGKDFIYSGITGMPLQAYIFMGPIYYQKLKHMVVDKMHARGSGPRVMMTRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIYERLMISSDPFEVQVCRKCGLLGYYNYKLKTGICSMCKNGENISTMKLPYACKLLFQELQSMNIVPRLKLAEA >Solyc04g081990.3.1 pep chromosome:SL3.0:4:65895839:65901137:1 gene:Solyc04g081990.3 transcript:Solyc04g081990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCCGLSHLFMTVFLSCFSTFMVIPPMTDITLSAICPGQDECSLAIYLTGVQQAIVGLGSLVMMPVLGNLSDTYGRKVMLTLPMTLSIFPLAILAYSRTKYYFYAYYVLRTLIAMICEGSVQCLAFAYVADNVPESRRASVFGVLSGIASSAFVCGNLSARFLSTASTFQVAAAMAVIALVYMKMFLPESITNGNICSKISETSCLLEKAPKKGFQFFKTLPSFSDMLCLLKTSSTFLHAAIVTFFANVAQVGLEASLLFFLKAQFHFNKIQFADLLIISGIAGSISQLLLMPILAPAFGEEKLLSIGLFFSCLHMLLYSIAWSSWVPYASAMISMLSIFAMPCLKSIASKQIGPNEQGKVQGCITGICSFASVVSPLIFSPLTALFLSQNAPFHYPGFGLACAAFGSMLAFIQSLMIKPDNNVTNWSVNDSNLA >Solyc06g065570.3.1 pep chromosome:SL3.0:6:41078069:41092840:-1 gene:Solyc06g065570.3 transcript:Solyc06g065570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVPEETTAIDYVMEAASGAHFSGLRVDGLLTSSSSSPRATPTPTHFSTPTPLDSTAPKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLINQDSFYRGLTLEEMKHVHEYNFDHPDAFDTEQLLECVEKLKSGMSVQVPIYDFKTHQRCSDSFRQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNVYVIQSTFQIRGMHTLIRDKEISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQIVTPTGSVYTGVDFCKKLCGVSIIRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDQSLNEEYRVIPGRRVRGAIDLEVDRNACIKNPAAGCRRMGVGREVAISLDGVRDKNMMQLKKINTAIFPVRYNDKYYTDAIASGDFTRLAYYSDICVGSIACRIEKKESGAVRVYIMTLGVLAPYRGLGTGTKLLNHVLDLCAKQNVTDIYLHVHTINEEAINFYKKFGFEVTDKISNYYTNITPPDCFVLTKVITQTKKQTA >Solyc12g013830.2.1 pep chromosome:SL3.0:12:4621639:4622487:1 gene:Solyc12g013830.2 transcript:Solyc12g013830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRGSYNYADINKCVKKENQYDMAFIDKR >Solyc05g015715.1.1 pep chromosome:SL3.0:5:11787141:11794103:1 gene:Solyc05g015715.1 transcript:Solyc05g015715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTEKTNNNSPSEGRSCVRPVTQADAQRQLQLRLAIESVFVRSDSVFVSISPVPVKSVRNRSCRVPKEERGKIRHEEGQLQDPILPRWKNRENQFPTLANIVRDVLAIQASSVASEQTFRGIWAPCTIKKGLFGLFGTNDFALLELAQTSSRAPFTVKMGVFDGLNFSNTLSAPKGIIFVKSTTFVDFSMPVPTLRIGLYGDAKSS >Solyc12g017350.2.1 pep chromosome:SL3.0:12:6592753:6594319:1 gene:Solyc12g017350.2 transcript:Solyc12g017350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINECGYLPGNLTEDELKQLAKGERIAIHASNIANMVLFIAKVYASIDSRSLAVISSTLDSLLDLLSGFILWFTSNAMKSPNQYLYPIGKKRMQPVGLVVFASIMATLGLQILFESGRQLITKSHPDRDPEKEKWMIGIMVSVTVIKFVLMVYCRRFKNEIVRAYAQDHFFDVITNSIGLATAVLAIHFYWWIDPTGAIIIALYTMSTWARTVLENVWALIGRTAPPDFLAKLTYLVWNHHERIKHIDTVRAYTFGTQYFVEVDIVLPEDMFLNQAHNIGETLQEKLEKLVQVERAFVHIDFDITHKIEHNTRT >Solyc05g007990.3.1 pep chromosome:SL3.0:5:2404012:2425150:-1 gene:Solyc05g007990.3 transcript:Solyc05g007990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYRTGVDDGNDMDLIDFDLLVNNLEDLISGHDTFIPVFDFQGRRRIGTKAIKSSSSGVVVVDGAYALHAKLRSLLDIRVAVVGGVHFSLLSKVQYDIGESCPLDSLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREPIYKLKCKSEQIEGEHASHVFHGKEAQVDNFIEMYLRPPSASEEARINDWIKVGRMTLGGLLTLGYNVVVSYKRASTSVVEGNFSLSLETIDTLGETYLVLRGINRKIVGAEASRMGVNGPWITKSYLEMVLERKGVPRLNTPPLSNAPNAVLASNQERLFTAPKPLRVNSNSVNRLEDLSQPWTRSPTKSKMEPVLATWQFVSPDPTLAHGFVIATDPTSSRDAMQLAPMPDSYDLDRGLLLSVQAIQALLENKGLPVIVGIGGPSGSGKTSLARKMANIVGCEVVSLESYYKSEHVKDLKYDDFSSLDLGLLSKNISDIRNCRRTKVPVFDLETGARSGFKELEVSEECGVVIFEGVYALHPDIRKSLDLWIAVVGGVHSHLLSRVQRDKSRVGCFMSQNEIMTTVFPMFQQYIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVAYQDILRILDPTKICSSVQNFIDIYLRLPGIPANGQLTESDSIRVRICEGRFALLIREPKVDFDISISTVAGLLNLGYQAVAYIEASAYIYQDGKSYLQLVLERLPALRGSSSGIHSQQAARLQELVEYIQSQAVGLMMVGLLIDLDDGLDMVVALLEMGSSSSSESSPSREISPLDGVIEDMQSRIKRLERWQMINTVLWTFFMSAFVGYSLYQRKRQ >Solyc07g049540.2.1 pep chromosome:SL3.0:7:59964701:59966585:-1 gene:Solyc07g049540.2 transcript:Solyc07g049540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANLSLWIITIFFCSYLVTFSSCQPSGFSLAVATFYTDAGSGGACGLENDVANSPYNSMITAGNQALFKQGVGCGACYQVFCNEAQNPHCSGNPITVTLTDECPGSCNDDPVHFDFSGIAFAKLAKPGEQAELHKAGRIPIYYKRVACNYNRNILFKVDKGSNPNFFAVVSEAVDGDGDLSLVEIQTGGKKNTWNSMNRMIGSTWSVGIDPNTQKPPFSLRLTSGTKQSVTALNVIPDGWQPTQFYNSNVNFPCKL >Solyc06g075710.1.1 pep chromosome:SL3.0:6:47187771:47188641:-1 gene:Solyc06g075710.1 transcript:Solyc06g075710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFRYSFLDHNTDALPSNGSIHCPNVRCREQIAWFKNYVRMTGIHRENEFIKQGRFCMRLDKLTYSNNLPLVRLIKNQFLQANEENADQGGGSDEDYDDNIPDYMMNLVELIAYLGNDQNADQHGGSDEKNAD >Solyc05g007020.3.1 pep chromosome:SL3.0:5:1607740:1612609:-1 gene:Solyc05g007020.3 transcript:Solyc05g007020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLGLIEKSFNVKYSDGVREALDELPDSFTITDPSISGHPIVYVSRGFLKVFGYSKNEVLGKNGRVFQGPKTNRRSVMAIREAIREERGIQISLLNYRKDGTPFWMLFNMCPVYSEKDGRVVHFLGIQVPILRRRNSLGGGIGRNGGVCYDGGNCRGYVYKCCRREVCSNSMMEMDRALSVDSVSGLDHTEVDVEGPCEASDQEKTKASVAVNNIMSVLANYSELNGRLVIDRRCCQSGTSLLSASLNISFGRIKQSFVLTDAHLPDMPIVYASDAFLKLIGAKAEPFFSSIGYLRHEVLGRNCRFLSGEDTERGTQFQIKQCIQNEQPCTVHILNYRKDGTSFWNFLHISPIRSASGKVAYFVGIQIEDTTEAREKQGLNPEMRHRSVVAAVKVAVRGWSMGASTS >Solyc07g005750.3.1 pep chromosome:SL3.0:7:602141:605338:1 gene:Solyc07g005750.3 transcript:Solyc07g005750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEEEKTKSVQIHNWAELTQLCLVNILSRLSIEDRWRGAMFVCKSWLQACKDPNLNSVIDLEAQFIESVTESSPLSSTRYWNREFESKIDSMVQSVVIWSDGSLNFVRVRHCSDRSIALIAERSPNLQVLSIQRCPHVTDETISKIASGCPLLRKLDISFCHQISHKSIALIGQHCRNLQILRRNLMTQVNPSQQEPFVPREYVDTCPQDGDSEAAAIGKFMAQLVQLELQFSKLTNKGLALISEGCINLEHLDLFGCTNVTSRDIANASSNLKQLKTLKKPTQLCLESQTERYGHWQLYDDRFQTDSFRI >Solyc03g112270.3.1 pep chromosome:SL3.0:3:64207674:64211685:-1 gene:Solyc03g112270.3 transcript:Solyc03g112270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNPTKFPILSYVMSKLPSMGRRTTATADEFDIEQPQNHPQPPPEPHFDITERMPHLTDPKVVSAMRSAVADVSQTRSMLKTLGERPFHELVDTARVKLAEIDADMSKRLEEIVLSPRPPEMERQDWRLDMAIKEDECRKGVEKEREEYKALIALDELHEAYEKMLKDAEQRLEKIYETAVAGGDVESIGESSGEKSSELKEEVNEEVIRILQEASGKSVERVDLSGRQLRMLPEAFGKIHSLIVLNLSNNQLKVVPDSIASLEHLEELHLSSNILESLPDSIGLLCNLKILDVSGNKLVALPDSICHCRSLVEFDAGFNKLSYLPTNIGYELVNLQRLSLSFNKLRSLPTSFGEMKSLRLLDVHFNELHGLPLSFGNLTNLEIVNLSNNFSDLTKLPDTIGDLINLKELDLSNNQIHELPDTISRLDNLTVLKLDENPLVIPPKEVVVEGVEAVKAYMIKRRLDILLAEDPEIMLEEVGQTPTGLLTRSTSWLSGTVSNVLGTVAGYLGGGGKSDPDHYLNQQL >Solyc07g016090.1.1.1 pep chromosome:SL3.0:7:6262936:6263772:-1 gene:Solyc07g016090.1 transcript:Solyc07g016090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNPFCLTIEHQKNLMENDDKNLSKGKLFILCGIRKVYLNYYFTQDGNWRFYDRTYFIYENTRRLCFDIEEHETWSSKFNQMVEYLYVPLMYQQEMVQDINDKALLIVQENDTSNNISIIIDIPLRIPQTIANIYQHHDHEEANEDEIGLIEEQVAMDLMTLEETRVFAPVIPTSKDAIEGLEKVKIETLNGDKGFGETGMICLGKLITKDIVELTRMLASMFFMEIALFNGLKKIMFVPYVALECQLINKIKASYVVVGLIKLSLSLASLSHSLIK >Solyc11g012680.2.1 pep chromosome:SL3.0:11:5444020:5446596:-1 gene:Solyc11g012680.2 transcript:Solyc11g012680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEKTTIMVLKVDLQCSSCYKKVKKILCKFPQIRDQVYDEKGNTVTITVVCCNPEKLRDKLCCKGCGVIKSIEIKDPPKPKPPEKPKEPEKPKPEKPKEPEKPKVVVIEKPKEPEKPKVVVTEKPKEPEKPKIVIIKEPQKPKTPEKPKEVEKPKPKEPEKPKEVPKPKPEPEKPKEVPKPKPEPVMPMPTPVPIQEYPQPPHGYCCGQCYGGQTGGPCYQGYGRSAPPPPEYCCGQCYEGQTGGPCYQGWGRPVPPPPCYDSYGPGPYGYGRGCYVSRCDQYFNDENANACSIM >Solyc02g030300.3.1 pep chromosome:SL3.0:2:25961827:25967635:1 gene:Solyc02g030300.3 transcript:Solyc02g030300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNISMTIICKKKQTPSAKITMKAINILFFFSSIWILSTALDTIPLNQPLTDGSTIISSGAKFKLEFFSPGTSGKRYLGILFNKVTVQTVVWVANRETPLNDTSSALNLTRQGILTLVNGSGRVIWSSNSTRHMENPIAQLLDSGNLVVRNDSTENYLWQSFDYPTDTSLPGMILGIDLKTGFRGFLRSWKSRNNPSEGEFSWVIDLRGFPQPFVMNGSIERYRSGPWNGRGFSNSPSQLPSPDYNYTYVSDPEKVSFMYQLTDRSILARVVMQVSGVLQLSIWNNQTQNWDEYVSVPADNCDIYGQCQAYGLCNSGNSPICRCPDKFEPKDPREWERGNWSKGCARKTSLNCQKEVKFLKYPGIKIPDTRFAWYRRGVTLNACEELCLRNCSCMAYANPDITGTNEGCLLWFNELMDIREFGASGQDIYVKLDASELGNSSTEKVKILSISLPVAALGLLLALCLILYVWRKKKDQNQQHFSKGRGTKSSETFSTNESKDEELDLPLFDFETISHATNNFSLSNKLGEGGFGPVYKGVLKDGQEIAVKRLSRYSAQGTDEFKNEVIFIAKLQHRNLVKLLGCCLQAGEKMLVYEYMPNNSLDWFLFDTDRRSLLDWPKCFHIINGIARGLLYLHQDSRLRIIHRDLKPSNVLLDTDMNPKISDFGMARSFGGNETGAMTKRVVGTYGYMSPEYAEEGKFSVKSDVFSFGVLVLEILSRKRNRGFFHPDHNHNLLGHVYILFKESRVMEVIDEQLRQSCNQYEVERSAHVGLLCVQQCPEDRPSMASVVLMLGSDVALPLPKEPGFFNGRSQSTATEADTSSSKHGETSINELSITQLDAR >Solyc06g007790.1.1.1 pep chromosome:SL3.0:6:1733176:1734177:-1 gene:Solyc06g007790.1 transcript:Solyc06g007790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELRLQYFQLIKSKFFYLCSFIISHPLYFSYFIFFSPYILKLLSFLSPLFITTTLLLLALFTISPSLILHNNTKGLTRNDDEVVLEELEEFEAYKVVFGASKVDHQENHVEFLDYKSAEESESPFLDQLGENQGEMESTKHVIEEKTLENFFNEVDEFENTTLSHNVEVKKVDKMIEKQKKEVLVGNEVRKKVENVGIGYGSMRKEKEWKRTLACKLFEERNNASHSNSEGMDMLWEKYEIDTNKNKAKRDNNVKKMKKKAELKEYDEDEQEMNDQLCCLQALKFSAGKMNLGMGRPNLVKISKAFKGFGWLHHVGKSNKKVHCGDLSCSDS >Solyc05g012510.3.1 pep chromosome:SL3.0:5:5761181:5767365:-1 gene:Solyc05g012510.3 transcript:Solyc05g012510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:K4BXX3] MATFAVSGLNSISSFNNNFRSKNSNIFLSRKRSLLFNLRRRRRSFYVSNVASDQKQKTKDSSSDEGFTLDVYQPDSTSVLSSIKYHAEFTPSFSPEKFELPKAYYATAESVRDMLILSWNATYEYYEKMNVKQAYYLSMEFLQGRALLNAIGNLGLNGPYADALTKLGYSLEDVARQEPDAALGNGGLGRLASCFLDSMATLNYPAWGYGLRYQYGLFKQLITKDGQEEVAENWLEMGNPWEIVRNDISYPVKFYGKVIEGADGSKEWVGGEDITAVAYDVPIPGYKTKTTINLRLWSTKLAAEAFDLHAFNNGDHAKAYEAQKKAEKICYVLYPGDESLEGKTLRLKQQYTLCSASLQDIIARFEKRSGNAVNWDQFPEKVAVQMNDTHPTLCIPELLRILIDVKGLSWKQAWGITQRTVAYTNHTVLPEALEKWSFTLLGELLPRHVEIIAMIDEELLLTILTEYGTEDLDLLQEKLNQMRILDNVEIPTSVLELLIKAEENAADVEKAAEEEQLEEGKDEETEAVKAETTNVEEETEVEKVEVKDSQAKIKRIFGPHANRPQVVHMANLCVVSGHAVNGVAEIHSEIVKDEVFNEFYKLWPEKFQNKTNGVTPRRWLSFCNPELSEIITKWTGSDDWLVNTEKLAELRKFADNEELQSEWRKAKGNNKMKIVSLIKEKTGYVVSPDAMFDVQIKRIHEYKRQLLNIFGIVYRYKKMKEMSPEERKEKFVPRVCIFGGKAFATYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFSMNGCLLIGTLDGANVEIREEVGEDNFFLFGAQAHEIAGLRKERAEGKFIPDPRFEEVKAFIRTGVFGPYNYEELMGSLEGNEGFGRADYFLVGKDFPDYIECQDKVDEAYRDQKKWTKMSILNTAGSFKFSSDRTIHQYARDIWRIEPVELP >Solyc01g105740.1.1.1 pep chromosome:SL3.0:1:93696390:93698297:-1 gene:Solyc01g105740.1 transcript:Solyc01g105740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHYKLSSILLVAFIYFIHDQMITTTTARHILQTPSFSTPTTPSFSNSPGLPKPASPSFSNSLSLSKPEIPSFSKPETPSISKPETPSFSKPKTPSFSKPETPSFSKPETPSFSKPETPSFSKPQTLSFSKSKAPSFSKSETLSFLKPETPSFSKPENPSFSKPETSGFSKPETPSFSKPETPSFSKPKIPSFSKSETPSFSKPETPSFSKPEIPTSPKPKIPTFSKPDIPSFSKPKTPSFSKCETPTFSKSKTPSSPKPETPDSLNLEAPSFSKSENPSLSKLEIPTFSNPETPSSPKSETPTFPMYEILSSPKFETSNSPKPETPSYSKPKTPSFSKPEIPSSPKSETPISPKFEIPTFSKPKNPISPNPENPSSPKHVTPSFSKPDTPSFSKPVTPSFSKPKTPSSSKPEAPSSPTPETPSITKPETPNFSKLETPSSPKPETPIFSKPKIPSSPKPENPSSPKPETPSFSKPEIPSFSKSETPSSPKHETPGSAKSDTPSFPKPKTPSSTKPEMPSSAKPEMPSLTKPENPSFPELENPSFVKPETPSSPKPHTPRFKTPNFPIPETPSSQKPDTPSSPKSETQSSPNLETPSFPKFEIPSFSKPEVPSFFKFESSNSSKPVIPAAPTEP >Solyc05g007670.3.1 pep chromosome:SL3.0:5:2160772:2164462:-1 gene:Solyc05g007670.3 transcript:Solyc05g007670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWSSSAIAVDEKKVLEEEEEEEGDEEVPLIGRAECRICQEEDSLDKLESPCACSGSLKYTHRACVQHWCNEKGDITCEICHQQYQPGYTVPPRPVAEETIIDIGGGWQISGTPLNLHDPRVLAIAEAERQLLEAEYDDYNSANASGAAFFRSAALILMALLLLRHALPMTDTDGDDEDPTAFFSLFLLRAVGFLLPCYIMLWAISILQQRRQREEAAALAATQFAVVLHSGQPRSVQFTIASAAPATPAAPASVDNV >Solyc07g021490.2.1 pep chromosome:SL3.0:7:18763720:18773277:1 gene:Solyc07g021490.2 transcript:Solyc07g021490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNPGKNVCVEFYAPSHGQCKQLAPILDEVDVSFESDADVMIATIDIFNRKLSRSNVILLFISSQQMDSSCSIKNYIPVHGIFTDVPPILYTTFVMQDPLSVLKTKDDKVFVPPTPIKLPEYQRGVGTVEEIKFMVCKHSGQDENPEDVIDKNCKILKVLRAMVMEGVELGSFRLRDVAILFYDGWKKSRDTNAPLAGGRSSPMPL >Solyc11g069620.2.1 pep chromosome:SL3.0:11:54556715:54562527:1 gene:Solyc11g069620.2 transcript:Solyc11g069620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKAVVCFASFSDYSCLRITVSIQQISEMEVVVAIGDAFLSSAFDVLIDRLAPQGDLLKMFRKHKYDVELLKKLKLTLLGLQAVLTDAENKQASNHFVREWLNELRHAVDSAENLIEQVNYEALKLKVEGKHQNLAERILKYCRFCNVCLGDHSFLNINEKLEKTIETLVNLQNQISDLGLQKHFGLTKQEARTPSTCLVDESDIFGRDKEIEDLIDLLLSEDASGRNLTVVPIVGMGGAGKTTLAKAVYNDDKVKNHFSLKGWYCVSEAYDALRITKGLLQEIGSFEPKDDGNFNKLQVKLKESLKGKKFLVVLDDVWNDDYSEWDNLRNVFVQGGMGSKIIVTTRKESVAQTMCADRCTITVGNLSSEDSWALFKQHSLENRDHPELEEVGRKIADKCKGLPLALKALAGVLRCKSGVEEWRNILRSEIWDQHFLNDILPALMLSYNDLPAQLKRCFAFCAIYPKDHEFCKDQVIYLWIANGLVKQFCSGNEYFDELRSRSLFERVPESEWKSERFLMHHLINDLAQTASSKFCIRLEENEGSHDMLEQSRHMSYSTGEGDFEKLKRLSELEQLRTLLPIKMYSVYLCKRVLHNILPRLTSLRALSLSSYEFKELPNDLFIKLKLLRFLDFSRTSIRKLPDSICVLYNLETLLLSFCQYLVELPLQMEKLINLRHLDLSNTSGLKMPLPLSKLKSLQMLVGAKFLVGGSGGLTMEDLGEVHNLYGSLSVFELENVVDRREAVKANMKEKEHVNKLSLEWSIGSSAYDSQTEREILDGLRPYTSIKEVEIGGYRGTRFSNWLADPSFLQLAKLSLSDCKDCDSLPALGQLHCLKILYIRGMHGIREVTEEFYGISSSKKPFNSLEKLLFEDMAEWKQWHVLGIGEFPKLEKLSIIMCPKLMGKLPENLCCLTELSISDTPLFDEAQVFRSQFEGMKQIEELYIDGCHSVTSFPFSILPSSLKKINISRCQELKLEEAVGYCDMFLEELRLQECDCIDDLSPEFLPRARKLSITNCDNITKTIGCLCSLHIFGCKKLKWLPERLQELLPSLKKLTLNGCPEIESFPQGGLPFNLQVLEIHDCKKLVNGLNEWHLQRLPCLTQLFIIQDGSDEEIVSGENWVLPSSIQRLKISNLKTLSSQHLKTLTSLQYLDIHNSPHIQSLMEQGGLPSSLSHLHLHDHEELHSLHLCHLTSLIHLDIFDCPNLQSLSESALPSSLSQLAISYCRNLQLLSESALPSSLSHLEIYHCPNLQLLSESTLPSSLSHLTPSEIALISNPFQ >Solyc05g013930.2.1 pep chromosome:SL3.0:5:7385802:7396315:-1 gene:Solyc05g013930.2 transcript:Solyc05g013930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNGIIHGNLDPRAQEFTPTYPFNNNFLPVFPNQFYYPFSCPPPPHPPPPYADVAPVPLLRPAYLSANPPVPTPILPPPSSMSTRTLLLCMVPVDVSESIIRRELEVFGDVRAVQMGRVREGIVTVHFYDLRNAQTALMEIQQQHMQQQMRLRRHYYEFIENQNSIPPVPPPTARGLIAGKAVWAQFTFPVTSALVDGNNQGTLVIFNLSPQTSADSLFHLFQAFGHVKELRETPMKKHQRFVEFYDVRDASRALMEMNGYDLNGQPLLIEFSRPGGNNSRRFSKGFQYSSPTKFNNNYSPPRIAPVYNTSPSQNQKSNYVKGNPSGCSGSESSGTGGSVQESFASLCVSNNSNVRIGKNKNARKSNHHSISASSSSVTSCSPKRPQKLFQQQANSSSSRPWKMGWSSKQAKDYDPRFLIKEDAIMESNCRDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADGDDQPKSSYDFVYLPIDFINKCNVGYGFVNMTSPQATLRLYKAFHLQNWEVFNSRKICQVTYARLQGIEALKDHFKNSKFPCEAEEYMPVIFSPPRDGKYLTEPNPIVGGGIINSSSSNSNDENEETAAAGRNGGSSSSNSNGENYNVGNDDSSTFTCVRMLAGK >Solyc05g052800.3.1 pep chromosome:SL3.0:5:63834618:63836981:-1 gene:Solyc05g052800.3 transcript:Solyc05g052800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYTRGTVLGYKRSKSNQYPNTSLVQIEGVNTKEEVDWYLGKRMAYVYKAKTKKNNSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMLRLEFSCTQAIYKARYLVDAYLEALRLSIEVFSGEGFESLKYS >Solyc04g063240.3.1 pep chromosome:SL3.0:4:55393360:55397793:1 gene:Solyc04g063240.3 transcript:Solyc04g063240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRFAPSSYIHSCQLKHSTTPAVLLNSFSGRKPPYNTLVFQAVRLLGPPARFEASKLEVLLKGEEVDTYSSIVPRTYTLSHCDFTANLTLTISNNIQYDQLKGWYNKDDVVAEWTEVKGNLFLDVHCYVSGPNPLQELAAEFRYHIFSKELPLVLEAVLYGDSDFFKEHEELMNATVRVYFHSSSKKYNRVECWGPLKDAAKGRQGDYINGLLSTSKEDFQPLKFQGSAKSIFQALFTFLL >Solyc09g008860.3.1 pep chromosome:SL3.0:9:2279158:2282029:-1 gene:Solyc09g008860.3 transcript:Solyc09g008860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFNSFLFLKFNFCVFFVHFPTSRALCLKPKKMNRFFSSILLLTLLISAVTAAGDGNDTAALLLFQSQTDIHGTLLHNWTLPVNSTTACTAQWLGVKCINNRVSAVILPSFNLRGPITALSSLPLLRLLDLRNNRLNGTLTPITQCTNLKLIYLSGNDFSGEIPPEISSLRRLLRLDVSNNNLEGSIPTQIANLTRLLTLRLQNNELSGTIPKSLNSLQNLKELNFSNNELYGSVPNGLYNHFGENSFDGNEGLCGIGNLPQCSYTGEIVPSNPSSLPTTSTATIEEPHEKSRKGLSRGGVVAIVMVNVVALLVVVSFMVAYYCGKYSRTQSFSMSGSECGKRRSSYSSEKRVYANNNGGDGGGGGDSDGTTATDRSKLVFFDRRKPFELEELLRASAEMLGKGCLGTVYKAVLDDGITVAVKRLKDANPCPRKEFEQYMDVIGKLKHPNMVKLRAYYYAKEEKLLVYDYLPNGSLHSLLHGHRGPGRIPLDWTTRISLVLGAARGLAHIHEEYADSRIPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYKAPEQSEIKRLSQKSDVYSFGVLLLEVLTGKAPSEYPSPTRPRDEGEELPVDLPKWVRSVVRDEWTAEVFDQELLRYKNIEEELVSMLHVAMACVVPLPEKRPTMVEVVKLIEEIRVEQSPLGEDYDESRNSLSPSLATTEDGLPGY >Solyc10g080340.2.1 pep chromosome:SL3.0:10:61746325:61749327:-1 gene:Solyc10g080340.2 transcript:Solyc10g080340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADISEKLLSEVQGVEDGEKEVNFKEKLWNETKKMWVIAAPAMFTKFSTFGVTVITHSFVGHIGPNELAAYALVSTVLLRFGNGILMGMASGLETLCGQSYGAKQYHMLGIYLQRSWIVLTVTTTLLLPLYIFTTPILQALGQNEEIAKEAGLISLWLIPVTYSFVASYTCQMFLQAQSKNKIITYLAACTLAIHVSLSWLLTVKFKFGITGAMISTILAYWLPNVGQLMFVMCGGCKETWKGFTCLAFKDLWPVIKLSLSSGAMLCLEFWYNSILVLITGNLKNAMVQIGALSICLNINAWEMMISLGFLAAACVRVSNELGRGSAKAASFSIWNTATTSFVIGFNLFLFFLFLRGRLSYLFTDSQDVAREVEKLSPLLAFSILMNSIQPVLSGVAVGAGWQSIVAYVNIGCYYLVGIPVGVVLGYVFKLQVKGVWVGMIIGTLVQTIVLLTITLKTDWNKQVLIAHQRVKRWLVEDKTNDYSQTA >Solyc01g090860.3.1 pep chromosome:SL3.0:1:84444690:84463233:1 gene:Solyc01g090860.3 transcript:Solyc01g090860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNFSSPLPQKSMNNSRQQLFDSLTSHISLYNSQKPPFPNHNPNPRSSLIKWFSSLSIPQRQAHLTIVHSNFVQILLQMLDGSDLPSVCFRKSHGLLARVAESNESERRVRQSVRIFNSKEGEGENGVSGLLDFVDALTVSEEFVGNVDTFVNAMDGVTNRKFLRGEESGLSSEWVELGWLKEKGYYSIEAFVANRLEVALRLAWLNHNNGKKRGVKLKDKVNSVGVGANAFWRKKGCVDWWGKLDEATRVKILRNGLGKAAKSLITDTLKGARGVSADKTWLCSSTLEQPLRGNPTLSDRRNFMNLSVSDARVAKKSMHHASVFGVSCSFNQLLDCLFMLEDISTVLLACPHSVCEPPDSEKLFFSSFESVNTLSDCILRKLRGLLMIISLDCTKYELLEDENLNSLPKQNKEILGASNRKKKGKNRKVKKSNSLPKPKTDGLRPAKSTEDKGDTSMRCDNVYNSSSTGLVDKFCGDNVHSSLPSGSVNREQQKDHVKESLPSLIDMGEGPDNQTVRSASRKKRKERNKIKNPSLITSGEDGKCPKRNSQKSFISVNSREMSILSRSSRDSGSAGSFEGYRNPCLTDHLPKEGVMENGTVAVAVETTNREGDSAISSVMPAIESGRTLSNGKEFKKLNRAGFLEQKIEVGDANTNLTSLQEKGSVDVYDTGPMNSPSYVSYEWPSVAPVHLPCGDSHLPRATDRLHLDVSRNWKSHFRHSFLRNVRHVRNSSIETGCPGIISGPLPMSLDWPPMVRSINRLAAPSVTCNYDAGFISRRTSFQQDIAAQSMHCNVVSTEDERVYSGDLMDFSDLANSHEVGEDHDYHWMSEEELEVHAVSGVDYNQYFGGGVMYWNPSDHLGTNFSRPPSLSSDDSSWAWRDADMNRAVDDMVAFSSSYSTNGLTSPSGASFCSPFDALGSGHQAVGYVIPGSEITSKVLQSSSSADLVTVENASGSLSSLPAEVEAKSVDSLAYPILRPIVIPSMSRERSRSDFKRSHDHKSPCVPPSRREQPRIKRPPSPVVLCVPRAPHPPPPSPVGDSRRHRGFPTVRSGSSSPRQWGVKGWFHDGINFEEACIRMDGSEVVWPAWRSKSLSAHQLTQPLPGALLQDRLIAISQLTRDQEHPDVAFPLQPPETLNSTAKKACLSMIHSRLHNEIENFCKQVASENLIRKPYINWAVKRVARSLQVLWPRSRTNIFGSNATGLSLPSSDVDLVVSLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKIVENTAIPIIMLVVEVPHDLISSSLSNLQTPKAEPTELTVEEGNTFQADSTCSDSSSSPQWSKMNECVKDVKAVRLDISFKSPSHTGLQTTELVKELTEQFPATTPLALVLKQFLADRSLDQSYSGGLSSYCLVLLITRFLQHEHHHSRPIDQNLGSLLMDFFYFFGNVFDPRQIRVSIQGSGLYINRERGCSIDPICIDDPLYPTNNVGRNCFRIHQCIKAFADAYSILENEIASLPCNDESNSVPQVKLLPRIVPSIEVSELIVITIKDSMINLNLQLPLLELD >Solyc05g007420.1.1.1 pep chromosome:SL3.0:5:1999496:2000647:-1 gene:Solyc05g007420.1 transcript:Solyc05g007420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 23 [Source:UniProtKB/TrEMBL;Acc:G3BGW2] METGHHHHDNIGNSIQRLNFPLQLLEKRDEVDHTTTICSSSLQLHPYNPTSLHMMTTSSSDAPCVNHNQKSKTHQDKKQQPQQTKKPTTTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANYSSLNISLRSSRHHSASNYLAHNHNNFGHVYHDRNYFNGVGLFSSENNSFFPSGNLNMLQAKEELCDDHDDNDNDNDNNTGRIKRRSEDQDLLQNNYHMSNMLQSSTYGSIPASHQIGQIPATTLYMMTNNNNNNNNNSSHHHDLNNCSMWGSSSNNNDSIENSNIRGGILNFMNFHQPILGTRGDGGGTAAEGQWGMLTAAMNSYRQSGGHASGSSNQRNEGDDHQHHS >Solyc03g019730.3.1 pep chromosome:SL3.0:3:62880786:62886928:1 gene:Solyc03g019730.3 transcript:Solyc03g019730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSKLQNWDSGGIYKQTREYNFSYFDELMHKRKSRIHYSSAKFLQLFCHLRMGTEGEQLTEQETAIYDRQIRVWGVDAQRRLSKSNVFVSGLRGTSVEFCKNIVLAGVGSLTLNDDRLVTEDLLFANFLTPPAENDFRGKSVAELCCDSLKDFNPMVSVSVEKGALSIFDADFFQKFDVVVVNCCSLLTKKSVNAKCRKLPKRVAFYSVECRDSCGEIFVDLQNYSYCKKKNEETIECKLHYPSFEEAIAVPWRSLPKRMSKLYYAMRVIERFEELEGRNPGETSVDDLPNVQKLRKELCEAHSLNESQIPDSLLRRLIASISEFPPVCAIVGGILGQEVIKAISGKGDPLKNFFFFDAMDGKGIIEDISNGKS >Solyc05g051910.2.1 pep chromosome:SL3.0:5:63149798:63152544:1 gene:Solyc05g051910.2 transcript:Solyc05g051910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMMKLKNYLRSDTLTLVLINVAAIMEMADETLLPGVYKEVGKDLNIDPAGLGSLSLYRSLVQCLCYPIAAFLAARHNRANVIALGAFLWSGATFLVAISSTFAEVAISRGLNGIGLAIVTPAILSLVADSTHESNRGTAFGWLALTGSLGAIIGGTMSVLIAETSFMGITGWRISFHLVGIISVLVGLLVYFFAEDPRFLDRDVNAKDQPPPKPFQEQLRELVKEAKSVIKVPSFKIIVAQGVFGSFLGTSMSFSTMWLELVGFSHKTTALITSSFVVSLSFGAVFGGFMGDVWAKRLPNSGRIIVSQISTGSAIPLAAILLLLLPNDPKTALLHGLVLFILGFCASWTGPAANSPIFAEIVPERARTSIYALDRCFETLLASFSPLLVGTLAQQVFDYKPIPEGSSTSGEIETDRKNAASLAKAMYTAIGIPMVICCSIYSFLYFTYPRDRDRVRLQQIDETGNFPSEEQQLLLEHNEHRLLSAN >Solyc01g073860.3.1.1 pep chromosome:SL3.0:1:81079403:81080113:-1 gene:Solyc01g073860.3 transcript:Solyc01g073860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSHPHALAIFEVQESNEIICSGCENKLCGTTNYKCTKSNCEFTLHKSCFELPRKILHNSHRDHPLTLYPTLPERDCMYFGCNACGEEPKSFVYECLECNFSLHAKCVTSVAENITREDHQHSLILQYEWPFPIEDCVNIFCKVCDGLCNDSNWLYYCTECKLGTHLQCATIKREDGSSLENEETDEEMTNEQKLTMATIKAQGQQARLTFQAQMAYMNAQTITNMWRSSHPPY >Solyc06g005140.3.1 pep chromosome:SL3.0:6:165451:169250:-1 gene:Solyc06g005140.3 transcript:Solyc06g005140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRLIRRSYAAVRPLSTEASTTVKSTGSGGGSGITTSLEGGTSTRGRDTLGRRLLSLIYAKRSAVIAIRKWKEEGHPVRKYELNRIVRELRRHKRYKHALEVCEWMRVQDDIELLSGDYAVHLDLIAKVRGMNSAEKFFEDLPDKLKVQTTCTALLHTYVQHKDTSKAESLMEKMSECGFLKYPLPYNHMLTLYISQGQLEKVPGLIQELKKNTSPDIVTYNLELAVFASQNNVEAAEKAFLELKKAKLDPDWITFSTLTNIYIKSSLQDKAKSTLREMEKRISRKGRTAYASLVSLHTNLQSKDEVFRIWKEMKSLFRKVNDTEYSCIISSLLKLDEFGEAMNLYTEWEAGSVTKDTRIANLILAAYINRSEMENAVDFHNRMAQKGITPSCTTWELLTRGYLKQKEMDKVLEFFKKTVTSVSKWNPDAKMVRKMFHVVEEQGDIQMAEQLLVTLRHAKFVNTEIYNALLRTYVNAGKMPMIVTERMKKDNVEMDEETRKLIGITSKMTVTEVPNGVA >Solyc11g006260.2.1 pep chromosome:SL3.0:11:1008272:1009440:1 gene:Solyc11g006260.2 transcript:Solyc11g006260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKYFGFFSVVLLIFASQIGIMMPQVEARVCMSPSHSYHGPCWHDHNCAIVCRNEGFSGGNCVGIQLKCYCTKLC >Solyc10g018020.2.1 pep chromosome:SL3.0:10:6504415:6505979:-1 gene:Solyc10g018020.2 transcript:Solyc10g018020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGMFFAEGNNVTELVRVLIGTLPGNDITIIQSHMLKENNMLLLEESTIDEMRAFLIYGPIDLPIVTSITNGGDVTKVDIFPLGIIISPDGRFASERGNNGSEKDGSILTVAFQKLICTNNNPISQEQRMEAVTSVLNLLSSIVLHIKAALGCFD >Solyc04g054145.1.1 pep chromosome:SL3.0:4:51740148:51745089:1 gene:Solyc04g054145.1 transcript:Solyc04g054145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYVTLRFHHGGKLQLKPRIKYEGGIVIDYFDVDDDKLSYFEFVDIAKEIGAFASVGEDLNRTSVSVGEHLRASTKSQSSTKRGRGRLRSSTKQLELEGIERETEIGNGIRTTVAFDGSGPTRRGRGTRADLVGRERETATSVSKRGIGRGTVVAATAIITDVDGATGGGKRPRIVGIAILHIQNNFKIHNPEMPMNSSIVTGHLRHHNQDHA >Solyc08g069150.1.1 pep chromosome:SL3.0:8:58277440:58278160:-1 gene:Solyc08g069150.1 transcript:Solyc08g069150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIYFASSRGNPRASAYLVFKICWVMWLKKFILKDRVVELYIEHLDSYIGIVDTIEFGCRTSRIPENEDVVGPVDKEFERENLSLGDDFEDYENEFSSDEMMVDKQGHYKHCFDDDRKLVTAEIQKKMLHEDGDPD >Solyc03g007320.3.1 pep chromosome:SL3.0:3:1873810:1890358:1 gene:Solyc03g007320.3 transcript:Solyc03g007320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDKEQEDAENVLIFQVWSLVRRFDQPQKYKPFISRCIVQGDLGIGSLREVDVKSGLPATTSTERLELLDDEEHILSVRIVGGDHRLRNYSSVISVHPEVIDGRPGTLVLESFVVDVPEGNTKDETCYFVEALINCNLKSLADVSERLAVQDRTEPIDQCMFRVQSYYLFVASQNHTFYKDLKTPCKWMKRLACFHSSNFDRGCVQLTVAFNSCPTQGLSLLTIHFDVSLVRMASASSQPQFRYTQPPSKVLHLRNLPWECTEEELIELGKPFGRVVNTKCNVGANRNQAFIEFAELNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVAGNVLLVTIEGNDARLVSIDVLHLVRIKILPICFGGEEIFFVERFPIVMVFSAFGFVHKITTFEKTAGFQALVQFSDAETATSAKDALDGRSIPSYLIPELGPCTLKITYSAHTDLSVKFQSHRSRDYTNSLLPVASSAIDSSGQFSLGLDGKKLEPESNVLLASIENMQYAVTLDVLYTVFSAFGPVLKIAMFDKNGGLQALIQYPDMRTAAVAKEALEGHSIYQGGYCMLHISYSRHTDLSIKINNDRGRDYTIPNTPMMNSQPSIMGQQPPQMGGPGVHPYGAPAQCTPVPGVAPPQHSAGWNSAPATATPSMPMQMHNHPYMPTASMPSQMGPGMMPMHGQNVMPHSTPMPPYHPQYH >Solyc12g095780.2.1 pep chromosome:SL3.0:12:65881400:65888692:-1 gene:Solyc12g095780.2 transcript:Solyc12g095780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEQNRFPQQQGPPLPSRHKRWGGCLGRLSCFGRQKGGKRIVPSSRIPEANSMPNQQNVPQAGGLNNQTAALLAPPSSPASFSNSALPSTAQSPSCFLSSNSPGGPSSAMFATGPYAHETQLVSPPVFSNFTTEPSTAPFTPPPELAHLTTPSSPDVPFARFLSSSVKVKTNTGANDFQTTYSLYPGSPASTLRSPVSRGPGERELPQLDPSIPSSEIKYPGPDSSTSKLTQDSNFFCPATFAQYYIDHSLFPHSGGRLSVSKETDAYATNNGNGQQSWQNKPSKQDAEEVEAYRASFGFSADEIVSTTQYVEISDVALDESFSMTPFTKPREEEIILTMSTAEGTKGGNISNMASPLLCKPGANNCMKDHTSPKQIEPANYAMSDDEGIFSKLGTLRLSRKHDYGLASSDAEIDYRRGRSLREAKGNIAW >Solyc09g007420.3.1 pep chromosome:SL3.0:9:990549:994371:-1 gene:Solyc09g007420.3 transcript:Solyc09g007420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTKKSFMGSLERSKKKVQLWKKAIFHFLLCFVMGFFTGFAPTGKGTTTTSMFSTRNAIDIHKTHHVSNQTYPILNMMANKPMLDETTTTITTTVTSEWSKLHETMHSKKVLIEDQEEEEENQQDQDQDQDQDQEPEEANDELLNTKRLLIIVTPTSAKDQNRGMLLKRLSNTLRLIPQPILWIVVEQQIEDSQVSEILRKTGIMYRHLVFKENFTNIHEEVDHQRNVALNHIEHHRLSGIVHFASLSNVYDLTFFDELRAIEGFGTWPMALLSANKKEVIIEGPVCDSSEVMGWHLKKSNNSNIDERPPIRVSSFAFNTSILWDPERWGRTSSIQDTSQDSLKFVRKEVLEDETKLMGIPPIDCSKILLWNLPLST >Solyc03g111550.3.1 pep chromosome:SL3.0:3:63633507:63635833:-1 gene:Solyc03g111550.3 transcript:Solyc03g111550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSRVVIPLKITLIIFTITLIFSPSLISSAFTPKKFKKIYAFGDSYTDTGNTHSATGPSSFNYVSNPPYGNTFFHHPTNRYSDGRLVIDFVAESLSLPFLPPYRDLKADKTYGVNFAVAGSTAIRHRFFVKNNITLNVTPQSLQTQLTWFNRFLESKGCVNSTSTPQQCEALFSDALFWVGEIGANDYAYSFASTVSPNTIQRLATRSFTGFLQALLNKGAKYVLVQGLPPTGCLTLSMYLAPDTDRDAMGCVGSANKHSILHNSIIQAQLDSFRKQFPQTVIVYADYWNAYSTVVRNPNKNGFKEVFKSCCGAGGGSLNFDIFSTCGSPSASSCPDPSQYINWDGVHLTEAMYKTMASMFLHGKYCKPPFSYLLAKKQ >Solyc07g051890.1.1.1 pep chromosome:SL3.0:7:60557445:60558434:1 gene:Solyc07g051890.1 transcript:Solyc07g051890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIRGHTIGRGSSAAVSAAKSILSGEVFAVKSVELSKSQLLQKEQKILSQLSSPYVVRYMGYDVTKEKDKLMFNVRMEYMPDGTLSDEIRKQSGRMNERLIGYYTKQMVQGLDYLHSRGIAHCDIKGQNILLGESGAKIADFGCARWIHPAEPIGGTPMFMAPEVARGAEQGCAADIWGLGCTIIEMATGGSPWTNVTNAASLLYKIAFSEQSPEIPKFLSLQATDFLNKCLTRDPKERWTAKQLLKHPFLEESNLNSTSIQHFATSSPTSILDQDIWNSVEESESTTLQTVSSPLQRVRELGSNSSELERIWNDDERWITIRSSSSE >Solyc04g080040.3.1 pep chromosome:SL3.0:4:64418089:64424235:-1 gene:Solyc04g080040.3 transcript:Solyc04g080040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNEIGVSTNSRQFLYLNLRQWADIHRLCTDYIHLAVIICLSVFSMERISQKEHVLVDYGPQRSFEGSPSDMDMDFNDVFGGPPRRFSVQEARTRHSFNDSVESEEDSAGVSRNSWNGFNEKPVFGEENVNRRRNKGGDFYDDIFKVDEKSYSSSPVRPLSPKIEVFGTSLPAQFSLPTKLTKATDLPTFASGSHSPHRKREKEMKNDVPVFYRQSPLSREGTVIGDDLRYMSESDEQDIGGHLKKSGENMEDSSSEYQFHFSIYKWAGKGVPMLVSLKGGKHFKSNEKIKFEKCSSSNARMEKDNTFTSSPLGGNVNFSRDANFHSFSTRSKNPESSDKGNGIVGETLGIPKSKSVQSFKDDVGVYDTMLPTEREVEEHQFRKKTGLSDGIQIEIKKNSEETKKAQLKPLRAFLVNVAEEKGDINMAQETERKSNTVKVTQAAKTNVKVKENVKKIDNEADNKLKKGDVEVSENTQKRDSQAKRKGKRGPDKKIVVDTGVNRSSPPSSPKRSAENSTKAGIKGKVQDFVKMFNHEVHSTPQEVDSRSKSYKWKCSSNSGVESEKSYSMPKANEKVQLPTVNKTQDATPNVDKNFDKLGKTTKYSQRKTEIPQTKDYSDQKAAPSTNESRRDDRKVSVGNMDELFGGNFVVEELFEDQDTASQTNGKSEDNQASDAKIKQWSQGRKGNIRSLLSTLQLVLWPESGWKPVALMDLMEGNQVKRAYQRALLYIHPDKLQQKGAAAHQKYIAAKVFDILQEAWDHFNLIAPM >Solyc10g081820.2.1 pep chromosome:SL3.0:10:62919856:62925582:1 gene:Solyc10g081820.2 transcript:Solyc10g081820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITCYLCLVEYNKLIIVVKAQIVRCLFHSFESSQNQSSLTMAMQRLPLLLVFLLISSLSLLAQSRSDTNHVYSPCADAKVQRSDGFSFGIAFSSRTSFFVNSSVQLSPCDKRLSLSSSNSQLAVFRPKVDEISLLTINTSNFFPDSYGGYMVAFAGRKYAARSLPAFVANSTFTVTSFTLVHEFKKGRLENLYWKRDGCSSCSGNSNFVCLNGQDCAIRTNNCKNRGGNVDCSLGIQLTFSGTDKHASVFNSWFEVKNLRQYSLYGLYSNLRGSLTDQYNKFF >Solyc10g074810.2.1 pep chromosome:SL3.0:10:58580331:58587836:1 gene:Solyc10g074810.2 transcript:Solyc10g074810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMEASGANSDAQRGISSTSNNIGSNSRRHGVQLSAANLFRSPLSTLLEYSGILRTRSSHTETDSLINSQFQPRLDDAGTSPASPGGSGEVSIRIIGAGEQEHDRVGNVVPSPAVGGTLREGDGENEVFGRTISRSASAASVGALESQLSDRGAGDGVTQQVNGNTETGTTDGAGVNNRESSYQRYDIQQAARWIEQIIPFSLLLLIVFIRQHLQGFFVTILIAAVMFKSNDIVKKQTALKGERKISILIGICILFSSYLFGFYWWYRNDDLLSPLLMLPPKAIPPFWHAIFMIMVNDTLVRQAAMVFKCFLLMYYKNSRGRNYRRQGQLLTLVEYLLLLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFFTALKALSRKEIHYGVYATSEQVNAAGDLCAICQEKMHTPILLRCKHIFCEDCVSEWFERERTCPLCRSLVKPADLKSFGDGSTSLFFQLF >Solyc01g005990.3.1 pep chromosome:SL3.0:1:653437:655153:-1 gene:Solyc01g005990.3 transcript:Solyc01g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKLVTFELSFFFLILLLGFSSANLDKDREECANQLVGLATCLPYVSGEAKSPTPDCCTGLKEVLDKSKICLCILVKDRNDPSLGLKINATLALSLPTLCHAPPNMSNVSMCPELLHLAPNSPDAKVFQDFAKSAKGSSAAPSAPVSGNSSGKPANSSTNDKNDGGHKRRWMGFVEMTMGFLVILMLSYLT >Solyc01g066870.3.1 pep chromosome:SL3.0:1:74906810:74910767:1 gene:Solyc01g066870.3 transcript:Solyc01g066870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHAQTIADPGEEIKISFGYNFTDDSGEDSDGIDNCHGIKLHRANNSFSCLSGAALSGNATLANTNICNGLFGAEILPALDSPTSFRRIPSSQSFSRLDLLSSSLQSNLSILSCSPSSPSALHDDESFSWRPTSAPRSEGFLNATEFKIAGGAAGEDRVQAVCSEENGSLFCGIYDGFNGRDAADFLAGTLYETIGNYLNLLDWEMEQESGKLSNHLGFCGTLHDAVQDDRSSIKVKDTSENVNQGSYVDCSSKVEKSCDSFKMKVLKSLELALFQAENDFLHMVEQEMDDRPDLVSIGCCVLVVFLHGKNMYVLNLGDSRAVLATHSDVLNISKDEVLQAVQLTISHNVEDESERNRLLKDHPDDPSTIVAGKVKGRLKVTRALGVGYLKKKTMNDALMGILRIRNLISPPYVSVQPHMTVHEISSSDQFVVLGSDGLFDFFSNDEVVKLVHSYILCHPSGDPAKYLVEQLVMRAADCAGFSMEELMSIPAGRRRKYHDDITVIVIILGMNKRTSKASTYQ >Solyc12g040300.2.1 pep chromosome:SL3.0:12:53900807:53903581:1 gene:Solyc12g040300.2 transcript:Solyc12g040300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQFFSIQLSSRRPDSFKSLIFDIKICDRIYSNTPFTSQNYVKEFS >Solyc02g062770.2.1 pep chromosome:SL3.0:2:35095189:35096714:-1 gene:Solyc02g062770.2 transcript:Solyc02g062770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTIKLANRAQIPPSVLSNPLPYHIARNNSDIRFRQFVTSAGQPDNNNMDQTNNKPQQDKAADTMSSSYGDAYATRSDEEGFGGIYGGNKEDEENIEHGKAPEYETKQGSEVKEKEKARNQPQAD >Solyc08g006180.3.1 pep chromosome:SL3.0:8:889224:893307:1 gene:Solyc08g006180.3 transcript:Solyc08g006180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRKDEILRRFYSPFNCKSYDICLPDVLDKRCWGSSHGWLVTLGANFEMHLLNPLSGGQISLPPLHKCPNLNLICTGKSFRDSFVYKVILSASPSSSDCVLFAIYSDNWKMAFTKPGDVAWTPLSCIHGHVDDAMCHDGKFYAIDTFGEILIWDLTGSLLERIAFPASRDLDNLVYATTYLVEVNDLIPSQSPPLHTQNVRATAYTSQMTSPVSITVQYMATIWVFTAFRISGYSLFFSKMYPTLHSRCHCG >Solyc06g066000.2.1 pep chromosome:SL3.0:6:41479730:41480401:-1 gene:Solyc06g066000.2 transcript:Solyc06g066000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMMMSSSKVLITSSSSTIPPSPRFKLSLTQIPFPKLPLPKSPKSLETLSIPSTLKSISVILASSLAMAPPSLAEEIEKASLFDFNLTLPIMMAEFLFLMFALDKIYFSPLGKFMDERDSAIKEKLSSVKDTSAEVKQLEDQAAAIMKAARAEISAALNKMKKETQQEALASLESQKEETIKSLDSQIAALSDEIVKKVLPVSN >Solyc01g017770.1.1.1 pep chromosome:SL3.0:1:25273862:25274908:1 gene:Solyc01g017770.1 transcript:Solyc01g017770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSQIPQEIMFEIFSWLPSNSLMRFKCVFTFCKSMLSESAFSDIRKCHSGGTKFLLHGDEVYYTAEEKKDGKDSVSVLQIDPFNNLYNCVPPYSRLNCVNGLFCGSASSYMPPAAIFNPSTKQVRFLPNPNEGKCWITFSLGFDLEENKYNVFRTIYHPQERHTKYSVFTFGIDKSWRDTKNIFPCIRYWLPSVSVSGIIYLFAMADYIFILAFNVEFEKIENIALWNAIEFVYYYQLIEVNGKLGVIDYRKWSSGYFDLWILEKTPKREWERHIIGVPSIWKTTEPRFASFFDGEIVFAVNSNHLCCLCYDFTRKIWRELKIKGLPKENNVKGIFSYVESLVPFG >Solyc10g081605.1.1 pep chromosome:SL3.0:10:62763020:62763287:-1 gene:Solyc10g081605.1 transcript:Solyc10g081605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFFLAWNHFCFDFSIYCGHNNLYKDLEYNTVFEQAKDQTTMTEVLDCIGDDSTRLKYIRSGFASRYRHMWYDV >Solyc04g012020.1.1.1 pep chromosome:SL3.0:4:4353166:4354506:-1 gene:Solyc04g012020.1 transcript:Solyc04g012020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acylsugar acyltransferase 2 [Source:UniProtKB/TrEMBL;Acc:K4BPQ4] MSSSVSRLVSSVCKKIIKPYSPTPISLRCPKLSYLDQMVGGIYIPLALFYPKLSNTWSNKPNNVVSQHLEKSLSKVLTNYYPFAGKLNDNISIDCNDNGVEFFVTEINCPMSEIFNHPYFEKHNLVYPTEVINNQYTYEGSLAVFQLTHFNCGGIAISMCLSHKVGDGYTFGNFMNHWATIARNPLSSEIYPISPKFDGSFYFPPAKDEDSSNVSNNNIVPQREECVSKGFSISSSKLTALKARVINDSEVQNPSDTEVVSAFLYQRAMATKKLVNSDSIRPSLLHQAVNLRPPLPKHTMGNICSLFSILTKEEKEMDLARVVSKLRKEKEEVKQKYKNAKIEELLPITLEQHRKANDLLVNNSCYDLYRFSSLITFPSYEVDFGWGKPEKVISPISTSNPPIKNMFFLMADKNRDGVNLTCSMKKQDMLAFERDEELLRFASPTS >Solyc09g061310.3.1 pep chromosome:SL3.0:9:58881278:58884279:1 gene:Solyc09g061310.3 transcript:Solyc09g061310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGHKVILNVYDLSQGLARQLSTTFLGKAIEGIWHTGVVVYGHEYYFGGGIQHAPAGTTPYGTPIKVVDLGVTHIPKDVFEMYLQEISPRYTAETYSLLTHNCNNFSNEIAQFLVGATIPEYILNLPNEVTNSPMGALIMPMIQQLESTLRANAVPQAPQFRPATVAPASQPTLSVGKSSSSGINQSKKPESQDGEKKNVNVPSAVKPVEEQEKTPANAVVKDPLGDARNKVQEEITREFAAIMATGTLRASEAAALATKKVMQRYGDTFAAMN >Solyc04g064560.3.1 pep chromosome:SL3.0:4:55738900:55754626:-1 gene:Solyc04g064560.3 transcript:Solyc04g064560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEKSSTETLDEQKSSKDHKANSFFDVYGPQAKADIVFNKPEANSTLNLQDVQGLVTWVLADGFMPSWAFIKVMCFIPFFACFPLVSLYLYQMHKHLSFLEYTKENLCLMNLQILPATHNKPLIPKVVMLYVPGLDASLYLSQSKVLKSFKECCGVPKPVLALRLFLFHGSCVSDGNQTIDALLTCKSKRKREVAENISPKITQSSEQGAEAPNKETMSFADLKKDIPFPISYYTLSDKELEENGYCYEQPEFLSTLPAPSGTPPHEVLALDCEMCITSEGFELTRVTLVDVEGQVMLDKLVKPSNDIIDYNTRYSGITCQMLEDVTTTLKDIQEEFLKLVHKETILVGHSLENDLLSLKINHKLVIDTAVLYKHPRGSYKAALRVLSRKFLGREIQDSDNGHDSVEDAKATLELALLKIKNGPDFGIPQSQQLLRRKLLSVLSDSGKGSSIIDNISIARRYAAELSHTIPVSSDDEALSKAIKEVRNDKVQFIWTQFAELYAYLRKQADDSEELNKKLAEMIALLTCEKQSNSRKSIKFDITPELKDILGRMNRRVKSIYSNLPLNAMLIICTGHGNTAIVQRVRKILSEQTDASMPRENIVKLLEELQAQAEVGLCFVGVKH >Solyc02g069440.3.1 pep chromosome:SL3.0:2:39902568:39905258:1 gene:Solyc02g069440.3 transcript:Solyc02g069440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKECGKNSSSPCAACKLLRRRCGHDCVFSPYFPADEPHKFANVHKVFGASNVSKMLQELPEHKRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDMLRTQLAMTQAEVVQLRLRQSTSITNSPPNSGSPIMGSQPKGYYHMDLDVDQSNTFNEPMWPY >Solyc01g016430.1.1.1 pep chromosome:SL3.0:1:19655788:19656129:1 gene:Solyc01g016430.1 transcript:Solyc01g016430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKIIPKIIIYMSIYSSFELQASTIIIPPSSSRIAPRSLSLRSSSSPTSVKVDVVDTVKFSRNYLQPILPSQITSSSSSSSCGNLYRRSGQVLLQLSLGIIQSLYKKCILGN >Solyc02g089260.3.1 pep chromosome:SL3.0:2:51763537:51768265:1 gene:Solyc02g089260.3 transcript:Solyc02g089260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIAKLVDVLSSGDDLSRRLRADSSLNLGFQKLCLILRQSVEPTADDANKLGLQLWDQSQIQALASLALALVNSTRSLSVERVEPVIVVAIQLSVEFALCCLEKWICNGDDSMFQSYILQLLEIALVDETDKELDLSQPCSSNVSMDMLPIAVTEDSVSKWQDDTRCMLQGGRCSKEEKTADSLLMTLASEWMHPDNVDTATIGQSVPYDRNKLIDLSQHWALVHLECVHRLVTVCKSLLKLPVPFDEKFPFPNLRKRFSFCVRVFKLLGRLTKNSSYAHFDPKLFQSVASFTEVLPTLFRLGFDFVIGNPAVESGFESQVMLLLDEFIQLVQAIFCNTYVFQNIQACIAAAIFDHLDPNLWKYSKSAAANLKPPLAYCPRVVSYVLNLILDVRNRTYQLFEYKGLDGEGASANQLVEPPSCQVHSAKVNLLKKHSAEELLRIIFPPSVQWVDNLMHLLLLLHSEGVKLKPKLERSCSSVTKTSVMSESESTICHEDEALFGDLFSEGGRSAGSVDGYDQPAVAPSSNISNMPIQAATELLSFLNDSIFSHEWCGPVYEDGCRKFTSHHIDILLSILNSEWCDAEERDQDDGIALNEQIKVSHRHLGDICLDLFHNLLSRHVVSDLVGESLVEKILVIENGAFAYNDLTLGLLAHAVVCLVDSAGSNLRTKIYNIFADFVLEKAKIICSKCPNLKEFLEILPSLFHIEILLMAFHLSSEDEKAVQVNVVSSTLKAAAVPSNGFDSTQLSCWALLISRLIVMLRHMAFCPHVCPSSLLLEFRTKLREAASSRLRPRVRGSHASSWVSILFEGVVGGFIKETPFYSALLSHLIDIAPLPPSACRDDPTIASLGLSWDEMCASFSRILRFWEGKKPEKVEDLIIERYIFVLCWDLPILKSTSEHLHLWLTSAEVPELSNAEHFVYFSQSLVGEMRKINYKPFSAMLLELVHRLHDLYVSENVRELGWDFLRAGSWLSMSLSLLTAGTTGHCLNKSLDSAVSISSVQTSRDGRFCAFTEGVISTLVDANQVERLIKVLSSLLKRYLEVYQRALIMTIDSDQLLANRFSPAMLFVHTGFDKCKQDELLEKMGSDPCHYKPLYGTLSKLDTTLKKLSLGGHSKVLWESLLHGFPCLLQPPSGVLLSSILNVAGVVNCIDGLMKVIDARGIACLESQVISQILELVCRIKCDRIFEDLHGKCNALYQRLTEGSGGVDYSSLFILKHMEEFLRCVNERDGADSSDIYDVLVVKVIDIVDSLKREPSRIGVLSYFLSLEDVSGQIKDLYGSQRGDLLVLVDALDRCNSELVNTRVLNFFVDLLSGDLYAHVKEKLQKKFLHMDMVYLSKWLETRLFGAESSGIACAKGASVSLRESTMNFITMPAVSSP >Solyc02g032337.1.1 pep chromosome:SL3.0:2:28755146:28756088:-1 gene:Solyc02g032337.1 transcript:Solyc02g032337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTCVICLGGMEVGKGKAIFTGECSHSFHFNCIGENVKHGSLLCPICRSKWKDIPFQFATDVATTERQVRVLSPVNASRPTTTVSRPPPRHVSSDIGVKAEDMSSFPVYTYGFGSNHDSSALYAISDASRGTFSFIE >Solyc06g005470.3.1 pep chromosome:SL3.0:6:482746:484337:1 gene:Solyc06g005470.3 transcript:Solyc06g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCGGSCNCGSSCSCGKGGGCNMYPDLEKSTTLTIIEGVAPMNNKGMVEGSIEKATEGGNGCKCGSSCKCDPCNC >Solyc03g116067.1.1 pep chromosome:SL3.0:3:67055208:67058370:-1 gene:Solyc03g116067.1 transcript:Solyc03g116067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLVSFFLLALIAISMVATTALAADAQYHLDRSRYGPGSLKPTQCLPQCTRRCSKTQYHKPCMFFCQKCCKTCLCVPPGFYGNKGVCPCYNNWKTKEGGPKCP >Solyc03g070400.2.1 pep chromosome:SL3.0:3:18351529:18359564:-1 gene:Solyc03g070400.2 transcript:Solyc03g070400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLFCHEMALRILLACIESHANRYKRNIVQYYLFIMDFYVRICVRIYTSASAMRNTPLKLSYVYQCNGCDSFHLQPVGRTISKSNNLIYHPGFGPAISQDCSDCGKKFNMGGPIRSAPIHDQEWVAAILADVKSIKERYPAYDRICATLTAVSEELPNIPLFLCLHNISATLKCTSPSAVMFRSAVINAGYRTSGTHVNPLGLKTDAPMHTIWDIMCCWVKKHPVKAQPSHHPGSVILVKEPVLQANFARAVKSSSMGKDNEVARFLPNPERHWGPKLRAGRQISGKHVSLLGTK >Solyc08g022223.1.1 pep chromosome:SL3.0:8:30124944:30133324:1 gene:Solyc08g022223.1 transcript:Solyc08g022223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNVADTPFADITTFAPHWGSTFAANRVAQRMHQPSEHDYHCLKRILRYIFCTLGRGLLIQPGDLELRGFSDSDWANDKNDRKSTSGFLVFLGPNLISWCTKKQPKVSRSSTEAEYRALALLAAETIKAAPINNYEIRLVEKFPLENKLMSIKYTKE >Solyc07g052457.1.1 pep chromosome:SL3.0:7:61086849:61087866:-1 gene:Solyc07g052457.1 transcript:Solyc07g052457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTTCGLMNLKLGPEIPEVPKKPQIILHPISLDEIESEILSRLSLNVSLRLIITIKNPNYGSFKFEDSIASLIYHGNNSVGEIVIEHGIVPSKGELNTRSYANITGDKLVKSPYFTKDIEAGNLNFTSNLTLHGKVKMLKIFKIHATVNSYCDISILVHSHLAIQLSCHSKVRL >Solyc01g095510.3.1 pep chromosome:SL3.0:1:86626426:86634055:1 gene:Solyc01g095510.3 transcript:Solyc01g095510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSSSTKQGLSSWIGAATATRTSVEFDKNVAVSGGDSPSHSDNFTDVNMGFGDRAMSAAGAAVLSAILVNPLDVAKTRLQAQAAGVPYDSLCNLGHLNSNTVLTGVKYNSAGTRAVLGAEPTCSPECFRYKGTLDVFYKVIRQEGFTKLWRGTNASLTLAIPSVAIYLPLYDIFRNTIEEYTLCNIPIATAYVPLVAGSLARSIACITCYPVELARTRMQAFKDTKNGVKPPGVWKTLVGVVSPVNSVNSFQNSIQSYRLLWTGLGAQLARDVPFSAICWSTLEPVRRKLLGMVGDEASATTVFGANFSAGFVAGILAASATCPLDVARTRRQIENDPERALRMTTRQTLVEIWRDGGFKGFFAGVGPRVARAGPSVGIVVSFYEVVKYCLSHRHMHQQNEGADL >Solyc02g089205.1.1 pep chromosome:SL3.0:2:51716453:51718095:1 gene:Solyc02g089205.1 transcript:Solyc02g089205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSNNNFKPNNSSNKCPKREWYDTWLDAVNEKVLYLQLCIKAYEVYFYNNKGKLQYLYFQQNTLIKLSKAQISEMNYNMAQETTWHLRSPLDFPAQYTTIMEQNLLNLEFSENSKSLIFKVILINHPSCSKHYQ >Solyc09g059320.2.1 pep chromosome:SL3.0:9:54461978:54462626:-1 gene:Solyc09g059320.2 transcript:Solyc09g059320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSHPLIKNKFNPKACAWAFGMNFFDFNAWRREKSTEEYHYLQNMVLDKSWHVLDPHFNPSVSMNDIGNVSVVHFNGNMRPWLDNAINKFRPLWSKYVDKEMSMC >Solyc06g071820.3.1 pep chromosome:SL3.0:6:44380728:44390984:-1 gene:Solyc06g071820.3 transcript:Solyc06g071820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFASDVDIITSAGRRIPAHSNVLAAASTVLESVLVCQQRSFEKKIQILGVPCGSVYVFVQFLYSFKCTKDQMEKHGIHLLALSHVYLVPCLKHRCTKALAEQLTIENVIDMIQLARLCDAPHLYLKCMKFLRSNFSKVKKTEGWKFLQRHDPLLELEILQFTDEAELRKKRRRRHTREQNLYLQLSEGMDCLEHICREGCTSVGPYDEEYSCQKKLPCSKFDTCQGLQLLITHFSTCKKRVKGSCSQCKRMWQLLRLHASICDQPDDCRVPLCSRTEYELPQITTILSRYAQQLSTTSCLISIIRDGIDKFSGEMSEVDIQIVTSGGLRIPAHSAVLAAASTVLENILARPGKRRSSERTIRILGVPCDAVSVFIRFLYSFKCTEEQMKRHGIHLLALSHVYLVPQLKQRCTKGLAERLTIENAVDLLQLARLCDAPDLYLKSMKFLSSNFKKVEETEGWKFLQHHDPWLELEILQFMDEAELRKKRTRRHKLERSLYLQLSEAMDCLEHICTEGCTSVGPLDKEPSIKRQPCSKFDTCQGLQFLIRHFATCKRRTNGGCLRCKRMWQILRLHASICDQPNDCQVPLCRQFKLKVQQKGDDELWKSLVRKVVSARAMSSLSLPKRKREEEPKMDFNHHQVMNFRLAA >Solyc12g096870.1.1.1 pep chromosome:SL3.0:12:66603819:66605279:1 gene:Solyc12g096870.1 transcript:Solyc12g096870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSQNTKSSLVHVFLVSFHGQGNVNPFLRLAKRLASKGMLVTFCAPELVGKEMRAVNMNLIIDQPTPYGDGMIRFEFFEDGSSDQSIIEDFDLRMQMLEQTGRRNLTKILKKQDDEGRPVSCIVHNPFFPWVCEISESLGIPNALLWIQSCACFSIYYHYNFNLVPFPSELEPEKDVILPSMPVLKHDDLPTFLLKSSGFELVLKRIMLNQFNNLSKPFCVLVDSFQELEHEVVRHMSKICPIRTIGPLLFNDPEIVSHIRGDCTNIEEDCIEWLDSRPTSSVVYISFGSVAVPDQEQTDEIAFGLLNSGISFLWVMKPTPGYSVFQPVVLPDGFLSKVDGRGKIVKWCPQEQVLSHPSTAFFLTHCGWNSSMEAISSGVPIIAYPQWGDQATNAKYLVDVFKMGIRLSKDENGSKIIRREEIEKCLREATSDDSKVAEMIENARKWKKQAEEAVAERGSSAINIQAFVDELKNIHAKKQQENA >Solyc02g067285.1.1 pep chromosome:SL3.0:2:38027021:38032639:-1 gene:Solyc02g067285.1 transcript:Solyc02g067285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPLCVSRAPRLFIKDMNMRKIKSYGGEQRVGPFHKGEIEQISLMKPKAQSAHDEGFLEYLEDIIGTNKYVEKIAESLKQSRLSFRRPNNRKTPWLPMEVF >Solyc01g108550.1.1.1 pep chromosome:SL3.0:1:95726563:95726727:-1 gene:Solyc01g108550.1 transcript:Solyc01g108550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLICTPVSGSDPGAIKSSHHPLDSTIVVNSNQWERSGSISKAKLQKEGLIVDK >Solyc03g119410.1.1.1 pep chromosome:SL3.0:3:69518341:69518796:-1 gene:Solyc03g119410.1 transcript:Solyc03g119410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTSPLKMNKDSVYIKKSPSSSFLNQHRPVIIYTHSPKIIHTNPCDFRALVQKLTGLSSHNSDPNTNYHDNNSCDAGIDSSSAAIFDPLNTNQDTNNIGTNSCCIPQERAIFDLPHTIDENENEDTDLDFLYSASYEPLCNYTDSFYFK >Solyc03g111410.3.1 pep chromosome:SL3.0:3:63481514:63495491:-1 gene:Solyc03g111410.3 transcript:Solyc03g111410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANVACDKCKKDCLLIHRKRVPSFYKAMTDKSCFQVLIVPPNFARSASHLVDKVTLVKDASGLRWPVTVCDYQGLLAIQQGWPEFASKHDLVVGDHLVFHYTQRQHFTVQIFDMNGYEKIIFCCDTDKGEKRAATRVEETTRAGDAQFDQDGRLCLHQSRFEMPASKPPAEGLLPLREDGIKATGMVSRPAEDILLLGPKDKKCKKASQFDSGEEEANGNGKVNKSESAGLGDTPSFPANNYSSLVEIYGPDFLELPGSWRDLLQRPTRERWIIFLRGPDKRIWPTYYTSLLPPYYSRRPSVDVLSRGWKEVAAAYGMNAKDHCLFELADKQNRIFDIRKI >Solyc10g008070.3.1 pep chromosome:SL3.0:10:2209423:2212299:-1 gene:Solyc10g008070.3 transcript:Solyc10g008070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNGEDQGSEEQQNMTESKVYRRKSFKGLNSIGDGLQHSHSEILGSGQENSARINLTFKSKREMREIRRKLQSELDLVRSLVMKIEAKDVQKTGPGIDKDSGVRRVHSDVSKMGQHLESRPLNQLSVSVLENSHGVGENLEKEKRTPKVNQFYRNSEFLLAKDKIPPAESNKKSKSNAKKVSGPESGPGIELVKFSNQMLKNCRALLERLMKHKHGWVFNQPVDTEGLGLHDYFDIIKNPMDLGTVKSRLETNLYKSPKEFAEDVRLTFQNAMTYNPKGQDVYMMAEQLSKIFEEKWPSIEADYMPGRIPAPKKPKAKDPNKREMTYDEKQKLSTSLQNLPSEKLENVVQIIKKRNSSLCQQDDEIEVDIDSVDTETLWELDRFVIYYKKSLSKNKRKTELADQEKKETEQNVQEKNANPVVVEIPKESKAEEKGTPSNLAQLENQGKNISQPGSSSTDSVSSSSDSDSESSSGGGSDAEHSPKS >Solyc08g075645.1.1 pep chromosome:SL3.0:8:59908834:59910253:1 gene:Solyc08g075645.1 transcript:Solyc08g075645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVSDLELQ >Solyc03g046590.3.1 pep chromosome:SL3.0:3:13203556:13225326:-1 gene:Solyc03g046590.3 transcript:Solyc03g046590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVQPEAILEWLQKEMGYQPLGSYAASSKAAMPTIDSLRKICRGNMIPIWNFLLNRVKSEKTVEKVHRNILVHGRDDGNGNGNVNAVDSGRSKGRRKEKVGVVRDSGSGSSSSVGLASAENSREFALQERDLAEKEVERLRQIVRRQRKELKARMLEVSREEAERKRMLDERSNYRHKQVMLEAYDQQCEEAAKIFSEYHKRLSYYINQARNVKRSSVDSSAEVVTTFQANEKDVYSTSKGTKSSEDVILIETTWERDIRKACECLAMQMAEKIRNSFPAYEGNGIHMNSLLQAAKLGIDLDGDLPDEVRDAIVSCLKSPPQLLQAINAYAQKLKTTITREIEKVDVRADAEILRYKYENDRVMDASSPDVTSPLHYQLYGNGKIGGDTSSKGSQNQLLERQKAHVQQFLATEDALNKAAEARKMSQQLLKRLQGTDDAISTHSLAIGGTSKSMSSLKQLELEVWTKEREAAGLKASVNTLMSEIQRLNKLCAERKEAEDSLRKKWKKIEEFDARRSELESIYSALLKASMDAAAFWGQQPLAAKEYSSSTIIPACTVLVDLSHSAKDLIEQEVSAFYQTPDNTLYMLPSTPQALLESMGVGGSTGPEVVAAAEKNAAILTARAGARDPSAIPSICRISAALQYPAGLDASDAGLAAVLESLGFCMKFRGSEASILEDLEKAINLVHTRRDLVESGRALLDHANKAQNEYERTTNYCLSLAADQEKTATENWLQELDVAIGNAKHCLDEECKYVRGLVDEWWEQPASTVVDWVTVDGQNVAAWWNDVKQLMAFHDQQLR >Solyc09g083120.3.1 pep chromosome:SL3.0:9:69222118:69239620:-1 gene:Solyc09g083120.3 transcript:Solyc09g083120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVASSASHGIRDCSLSSLLHHPVTEAIDLDYPSKYPKDHRYPVIVGSVNGLICLSISLFNGVQELYLWNPSIRKYNRLPNYRVHRPRGYCLEERRGKCNFGFGYDELKDDYKVVGIFPVYKRMQLCRVEVHIYSLRSNSWRRINDSALDFLHVSGKLVNRKLYWLQTCGNISSIDLGNEKWTEIEKPSNFKEYGHFVLGVLGSDLSVLCNYKNCHADVWIMKECEVKASWTKMLTICAENDGMLHIREPPLLVTNEGERFGKMTHLVPVVLVRDSIMHVPNEIVKDTCVGRSEGSLLFVERLNSWFRSAPPAVITMNNLSDCSEMENAEASPLKRSPRGLDETSEEDYSSLSNLLQHFASIPTVDKAWTFKSTSGAECPSFAFAAQKGSQCMFSISQPNLLANQNRRYILSSHISKGTNGVIFEWAAFPIEMPSGSIMVPSPSGSKLLVVRNLEKDSPTTFEIWGPSRVEKEFHVSSSVHGSVYSDGWFEGISWNDDETFVAYVAEEPASSKPVFTNSGYKKGSSSDMECGSWKGQGDWEEDWGETYPGKREPAIFVLNVNSGEVHHVEGTNNLSVGQVIWAPASRGLQQYLVFVGWPSDTRKLGIKYCYNRPCALYAVRAPFSKSEDREPGTNAIKEASPVKLTERISSAFFPRFSPDGKALMFLSAKCSVDTWAHSATQSLHRVDWSIDGKPTADADIVDVVPVVMCPEDGCFPGLYSVKLLSKPWLSDGYTMIFSSVWGSTEVILSVNMSSSSPSDVPEIKYGSIVGNSSADALASWQNISSPIYRCSEKVTSLLSSRQSSIIKIPVRNITENLTAGANKPYESVFISSKRKSHDLCDPLIVVLHGGPHFISLSSFSKSLAFLSSIGYSLLIVNYRGSLGFGEEALQSLPGKIGSQDVNDVLAAIDHVIDMGLADPSKITVLGGSHGGFLTTHLIGQAPDKFAAAATRNPVCSLPLMVGTADIPDWCYAETFGHLGKSMYTEAPSSEHLAVFHSKSPIAHISKVCSNKQNLDLEKLSILRNCVPRHSEVVKVLSFSRFQGSCPYGCQNSYTLLARCQGPSCTNMYRLAKPSALNMTHYCPNYGHSLISKAFLTLECGSRSTANRQIRFISSPRFPTVCLQMENSGASPFKDTPVGLDANSEEEYFSQSTLLKEFTEIHTIEKAWTLKSNSGASQVMFSISQPNILENKKRRYVSFSHISKERDDSVQFRWDAFPIEMGTTSLMVPSPSGSKLLVVRNAEKDSPTCFEIWSSSQVEREFHVPSSIHGSVYSDGWFEGISWNSDETLIAYIAEDPVFAKPTFTYYGYEKGNCPYKDSNSWKGQGDWEEGWGEAYDGKRLPKPFVLSINSGEVRAVDGIEKSLSVGQVIWAPSAKDSLQCLVFVGWPSGNRKFGIKYCTNRPCSLYVVRAPCFRYEPCQSRTDTKLSAVVLTESISSAFFPRFSPDGKFLVFLSSKSAVDSGAHNATDSLHRIDWSIDAEPYPRNKIVDVVPVVMCAEDGSFPGLYCSNILSNPWLSDGCTMILSSAWGSTLVVLTVDILSGDVSRISPCTSEFSWDVLTLDGDNILAVCSSPIDVPEIKYGHLVKNASDNTKWNWLDISSPVTKCSEKVRHFLSFKEFSIMSIPVRDASENLTKGASKPYEAIFASSKSKKHDACDPMIVVLHGGPHCVSLSSFSKSSAFLCSLGFSLLIVSYRGSLGFGEEAVQSLPGRVGSQDVNDVLAAIDHVIGMGLADQSKIAAVGISHGGFLTTHLIGQAPDKFAAAAARNPVCNFALMVGTTDIPDWCYFEAFGSEAKSSFTAAPSAEHLALFFNKSPISHVSKVKTPTLMLLGAKDLRVPITDGLQYARALKEKGVEVKVMRFPDDIHELDRPRTDFESFLNIGLWFKKHCS >Solyc05g050404.1.1 pep chromosome:SL3.0:5:61389710:61398972:-1 gene:Solyc05g050404.1 transcript:Solyc05g050404.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSPPSHPLSVLCLESNGIFTQCVLPSYDFVVPLNLQPLHYYHKTLKFLSHTASLAASEAATYSASMVESATQVCLMLLQTMAPPPKVLDYMLNCCPMFSSRIRLISANHAYDKTNVRLKYDSFIYLVLYVDDMSIAAKKKYDIQKLKGLHSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQNFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSIVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVVETLPRNMDH >Solyc01g057520.3.1 pep chromosome:SL3.0:1:61251165:61262163:1 gene:Solyc01g057520.3 transcript:Solyc01g057520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNGRREYQFSRAFNCFSQLFVSFYNSLPTSCVFVAAVFARVLPQRHHLYVMEIHQIAQLLNQTLSPNDAVINAATDALDHLSTLPEFPFTLLSIAIGGENGGQKVAAATYLKNFTRRNVDSIDTNSGITKEFRDAFVRALLQAEPMTLKILVEAFRSIIAVEFVQKDAWPELVPELRSFIQRSDLIDKNPNSEWKTINTLTILHSLIRPFQYFLNPKLVKEPVPPQLELISREILVPLLAVFHLCTEKVSDTQHTSEVQTETILLMICKCIYFAVKSHMPCALAPLLPSISQDLIRILNSLSFDGGLTCKDGYSLRMKTAKRSLLIFCALVSRHRKFADKLMPDMVKCVSEIAKHSTIINKLDPLSERTVSLAFDVISRVLETGPGWRLVSPHFSSLLNSAIFPALVKNEKDTIDWEEDPDEYIRKNLPSDLEEISGLRDDLFTARKSALNLLGVISISKGLPVKTSTASSKRKKGEKNKRKGYSSMGELLVLPFLSKFPVPTDNGENTVNEYYGVLMAYSSLLDFLTEQSPGFTDTLVRNRVLPLYETPSPQPYLIATANWVLGELASCLSEGMSADIYSSLVKALQMSDMGDVSCYPVRVTAAAAIAQLVENEYMPPEWLPLLQVVCHRISDEEEDSFIYFQLLSTMVEVATEKLSPHIPDIVCLLVKETSKNLPLDLEPWPQMVEQCFATLAVIAQCWENSASEENEQDDSSQLWLSGQTTMMRAFSDLLQHAWLRSAPLMEHEVAFSVPPSSCVDDCSTLLGFILQGLTQADDLLKLKVSELMLVWSYLIADWHAWEEMEDLSTFNCIKKAVSLDKKFAVKNFLVGKLPLPPAPPVPQKSILEGIGAFITEAFSQYPSAVWRASSCVHILLHSPSYLPEGEGVKQSLVISLCQAAFSRFREIRNQFVPLWNPLLLAIASCYLCFPDIVEKIIEGIEHEGFTSFLSALAIISTSRFDHSLSSVAEIKLVVMALAQSLDKLIGRQNEGSLLLHDSVASLMEAFLKFKELEEEEDEDEESEDQASGDEETEDDDDDEDSEDDELEETELEFLERYAKKAAEMENGTIVEEGDTEDQELEIELGCLEDVDLENTVLLVIQRYHQVLLRLQLPPELFSSFLEALPECKSYFQQAI >Solyc03g013510.1.1.1 pep chromosome:SL3.0:3:45846317:45846568:1 gene:Solyc03g013510.1 transcript:Solyc03g013510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRCIKPPMLFVKINRDGRCGDGICGGVVVVRDSIGALIMAYSIPLGAGTSNWAEAKALLCGLKCCIEKNYRLVIWETHFL >Solyc03g097370.3.1 pep chromosome:SL3.0:3:61116697:61124632:-1 gene:Solyc03g097370.3 transcript:Solyc03g097370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKLLKMEASCNFCSSIYTLAPSCLSLRFRQKRSNLSSFIAKNRTFLDSISIRATASSSSSGGGTKAVTTRRRKPKNGGTNGGSGKNAKVSEIPAVSTKGSSGKVVDKVQVKRKKQQEECFQDDGPVNVRALHQNGDPLGRKDLGKCVVRWLSQGMRAMALDFVTAEMQGEFAELKQRMEPGLTFVIQAQPYINAVPMPLGLEAICLKACTHYPTLFDNFQRELREVLQDFQSKSSVQDWRETESWKLLKDLASSAQHKAIARKESQPKSVPGVMGMDLEKAKAIQSRIDDFANRMSDLLHIERDAELEFTQEELNAVPAPDVTSEAQKPLEFLVSHAQPEQELCDTICNLTAVSTSIVFTASIEPGHVFALHTGLGGMHLVLFKLEGNHRLPPTNLSPGDMVCVRICDSRGAGATSCMQGFVHNLGEDERSISLALESLQGDTTFSKLFGKNVRIDRIQGLADALTYERNCEALMMLQKKGFRKKNPSVAVVATLFGDKEDHKWLEENDMADWAEVELPDSTCRKSFDASQRKAIALGLNKNRPIMIIQGPPGTGKTGLLKELISLAVKQGERVLVTAPTNAAVDNMVEKLSDIGINIVRVGNPARISPDVASKSLAEIVNNRLSDFRAEIERKKSDLRRDLRYCLKDDSLAAGIRQLLKQLGKSIKKKEKETVKEILTTAHVVLATNIGAADPLIRRLDAFDLVIIDEAGQAIEPSSWIPILLGKRCILAGDQFQLAPVILSRKALEGGLGVSLLERAATLHDGMLSTKLTTQYRMNDAIASWASKEMYDGSLTSSPTVASHLLVDSPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFFNEGEAEIVIQHIFSLIYAGVPPAAIAVQSPYVAQVQLLRDRIDEIPMATGVDVATIDSFQGREADAVIISMVRSNNLGAVGFLGDNRRMNVAITRARKHVAVVCDSSTICHNTYLARLLRHIRYVGKVKHVEPGSFWEFGLGMDPMLPTTS >Solyc05g046350.1.1 pep chromosome:SL3.0:5:59623652:59624569:-1 gene:Solyc05g046350.1 transcript:Solyc05g046350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGQIGVYHGAMSYLLQDEEGQIIIPHSISVGLFHFCVAAYKRLCRQEGIIPSLEASHAIAFLDKLCYTLKDGEKVTINLSGHGEKDAAFVFDHTPNHE >Solyc06g008835.1.1 pep chromosome:SL3.0:6:2781706:2786040:-1 gene:Solyc06g008835.1 transcript:Solyc06g008835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHLTRSRPYIRELKSQLHTLHRDNANIESYVQKAKGIADKLPALQHPFPNDDLVEFVLVGLGPSYRSFTRSLESRQEEITFDALYGLLLNEERQLKRDEAPTIIAPSAQYSQSSFATIYGRGRGRKGRGHGRSSNQRFQPSQNHGFHNSTQTNSTPSQVSYMSGIICHNCEGKGHIARVCPSFRNNNGNRVSGHPVSNLARTPPQNWLMDSGTTHLLTADLDNLGIHSEYQGPEEVTTGNGSKIPISHIGKSSVVVSGNKFNLNDILHVLTAAQNLLTWASRDILHKGPSEEGLYSLLVLKSFAPASYAASLGVWHARLAHTSFPTVRQALSSSVIVPSSKSYSLRSTYVVSKSHKVSISEEVDLDDTPTSPDLNTIGTEAPSPVGTTRPPIIPTRGAHGRVKHKLGLDSSSKYEFVKYLEQSSDDVTNDEGITQLLNWWRNRGTQFPKLSRMVKDVLIIQGSSVASEATFSATRF >Solyc04g018130.1.1.1 pep chromosome:SL3.0:4:9610317:9610481:-1 gene:Solyc04g018130.1 transcript:Solyc04g018130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSRSLPQKMIISHGLQLFRDGTLSLTCPRLSRGMRTRCPMKSQIRLLILL >Solyc10g085510.2.1.1 pep chromosome:SL3.0:10:64781342:64783103:-1 gene:Solyc10g085510.2 transcript:Solyc10g085510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSYTANVVEPPSLLFMRIAPAPPALFTVFAICSLYDSWFVSHNTILPLTSIGCNKSQYLPFTSGNNFREKFVGWKADSPSYSAPLPKTATAFIFLSVVLAPTVRIHGAPFSTVPLPVHYFLQSNIQKFPSPSPNMRQ >Solyc03g058882.1.1.1 pep chromosome:SL3.0:3:28754616:28754939:1 gene:Solyc03g058882.1 transcript:Solyc03g058882.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSEQSTVNAGQLVGVGQLNIEKNKQEQVKMFCTEKRREMENVNDLKNNLLLPPIRINKITKKDEDIRMIVVESSVLLTKICEFFIQELTLCFWLNASSMYFEEG >Solyc03g032060.1.1.1 pep chromosome:SL3.0:3:4597020:4597556:-1 gene:Solyc03g032060.1 transcript:Solyc03g032060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRFRFLLTSNASSTSPAAEEAEPPSATAAESDFIVILAALLCAVICVSGLIVVARCTWLRRDPPENPPPVKNKGLKKKVLKYLPKFKYDPSSGELPFAAECAICLVEYVEGDEIRVLPNCGHRFHLQCVDTWLLTNSSCPSCRQILVVARARCRKCGEVPAISGEASDGGQILTAV >Solyc04g080830.3.1 pep chromosome:SL3.0:4:64994491:64997872:-1 gene:Solyc04g080830.3 transcript:Solyc04g080830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVILPCKSIFPTISELPQNHHPKTKVPINFVPNTEESRLTDTHLDYLCKNGRLSEAITTLESISQYGYKVKTETFSRLIESCINEKSLYLGRKLHKEMNILLEKVDPFIETKLLGMYSKCGSLQEAYEMFDKMRKRDLFAWSAMIGACSRDSRWSEVMELFYMMMGDGVVPDSFLFPRILQASANCGDVETGMLIHSIAIRCGMSSEIRVNNSLLAVYAKCGLLGCAKRIFESMEMRDTVSWNSMIMAYCHKGDIVVARRLLNLMPLEGVEPGLITWNILIASYNQLGRCDEALEVMKEMEGNGIMPDVFTWTSLISGMSQHNRNSQALELFREMILNGVTPSEVTLTSTVSACASLKDLRKGKELHSLVVKLGFDGGVIVGNALVDLYSKCGKLEAARQVFDMIPEKDVYSWNSLIGGYCQAGCCGKAYDLFMKMHEFAVSPNVITWNVLITGHMQNGDEDQALDLFWRMEKDGNVERDAASWNALIAGYLHNGQKDKALGIFRKMQSSGLKPNTVTILSILPACANLIGAKKVKEIHCCVLRCNLENELSIANSLIDTYSKSGGLQYSKTIFDVMSTKDIISWNTLIAGYVLHGFSSESTKLFHQMEEAGLKPNRGTFSSVILSYGLAKMVEEGKRMFSSMSEKYRIVPGLEHCVAMVNLYGRSGKLEEAINFIDNMTMEHDISIWGALLTASRVHGNLNLAIHAGEQLFKLDPGNVVIHQLLLQLYVLRGISEESETVMRPRKRNHHEEPLSWSWTEINNVVHAFASGQQCNSEVPDSWIKRKEVKMEGSSSCNRLCIKEEENEDITRVHSEKLALSFALINSPQSSRVIRIVKNLRMCEDCHRIAKLVSQKYEREIYIHDSKCLHHFKDGYCSCALSQIVQWIVKHPAPCTGGEGGKPWDNGTSFGIKKVVLSRSEAIFCIAMMSGVFPSWLCK >Solyc09g050050.1.1.1 pep chromosome:SL3.0:9:35493781:35494437:-1 gene:Solyc09g050050.1 transcript:Solyc09g050050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSAGGRAVDSGSGSDNWKKYLNLSKENDSTASASPPSSSFFRGLLDMSNALDLGEEVQQVSPTHSISQTDLWNSPSSSAPTPGQDNPPPLIPELHPSLFDEDTRRAELASRLRTVLWGKAYREEMIESVVDTQVQIEKHIQAALVGRDYSVESLLAKRHQIRGLIFYPMGEALSERTYALHMKEILQLGKVQSLPFWRVERAIKDFNLFLELERA >Solyc12g011270.2.1 pep chromosome:SL3.0:12:4130512:4139045:1 gene:Solyc12g011270.2 transcript:Solyc12g011270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:Q9SWW0] MVFYRGVKRPIAIIYKSLNSSICSGHDYSTLTRYGNSIAKSGGYFHQHGNGPRSCAVSIRRYSAEISSSEQMNLIKQLRERTSAPIKEVKAALVTSNWDIEAAQKDLRKRGIVLASKKSSRTAAEGLLALAQNERKAAVIELNCETDFVARNEIFQYLALSLAKLALLLEGSQQSFAAFPIGHLEELKLNLDHPKLSGEKSVQNAITEVAAMMGENVKLRRGFAMSAPSLGVISTYLHTSPQPGVGRIAGILSLEVEDKNVSEDALQRVGSELAMHVVAAKPLFLTKEDVSSDALSNEREILKSQAESSGKPQIAVEKMVEGRLRKYFEEVVLMEQKFIVNDTMNVKTLLSNLSKDVGSPVKIGSFLRMEVGEGLQRLEASNESEPLANAA >Solyc07g032637.1.1 pep chromosome:SL3.0:7:40760713:40775337:-1 gene:Solyc07g032637.1 transcript:Solyc07g032637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKSRQRISKTTIDGSRYISNSVPVTSSLPDRDETRKNLPIYQSDPVPISERYRLRPGSETYRLKDDLFIYLVLYVDDMLIAAKKKYDIQKLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLERFGMSSSKPIDTPSAANIYLTAMFTPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTCYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLTLELAVLSRMAYLLSFPWKAHL >Solyc11g071340.2.1 pep chromosome:SL3.0:11:55144895:55153219:-1 gene:Solyc11g071340.2 transcript:Solyc11g071340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADTVTNLEPIHTFFNNLEARQTLLTTITDLNKTLTTHFTSINNTLCQKSETLDTRIRTFKEKTEDALLKIQNRENALPDRESSMGARIVEMKDSAISEIESLGDLSEKSLAEVLRSYCKRMDASGLVGFIQSKRKEPAGLRMEIAAALESSVDPMRLILDAAEEFVGRKVEKKAILADRRWACDMLIQSVVPVVEGGYGAGRSLKERAARVLEKWKGVLGGGDRNSGVCAAEATMFLQLVIAFALKERFEEKFLRKLVLEFANRKDMPKLAVAFGFGNKIGDIIEELLKSGKEVEAVYFATESGLSERYPPLSLLKLSLRNCRRNANNISKKGKFSPAAVEKANSIELEATKALIKCVEDHKLEQEFTLEGLKQRVTELEQAKAKKKIGTTPESKPSKKRGRGGGTGRSSGPSTSRPVKSGRSSNATPFRSRNPPQSHQAPPIRYTGAHSYTSQSVYEAPSSVSYAPAYSGTHTQSPAILAPQYGYALQEAGVSGVRSYHGSYGGEAVYSAYDYTLTPTAPAYPPSYPPR >Solyc01g098525.1.1 pep chromosome:SL3.0:1:88892361:88893122:-1 gene:Solyc01g098525.1 transcript:Solyc01g098525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLEPSASMKSLLPLFLAVPWYDTSGKIRPETWADMTSDLQAHHCRHHFVIMSRITY >Solyc04g071075.1.1 pep chromosome:SL3.0:4:58068329:58069018:-1 gene:Solyc04g071075.1 transcript:Solyc04g071075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWLHWWWWRLVHRFRMVWLHWLVVVICKRELGVVVTCTLELVVVETYKLVWDDMVLVEDGMASWVVVVTCTLGYVVGSVVLWVVVVTCKLGCVEGSVGPWVVVVICKQELEVVETCKRGDDGVEEETYKLGWWWRLVNRSWRWWGLVNGMVMVWWRRLVYRGVWRVVWLLRWWWRLDGDGVVEETYILDSGVVMDRHALVVEEMSIGNLLSFQKLS >Solyc10g045440.1.1.1 pep chromosome:SL3.0:10:33682242:33682403:1 gene:Solyc10g045440.1 transcript:Solyc10g045440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNVWTDAGNVVNQVYDILNVMGMTMLLFLWEVKGAYFLMVPFCMTLVDILV >Solyc02g069965.1.1 pep chromosome:SL3.0:2:40326289:40328895:1 gene:Solyc02g069965.1 transcript:Solyc02g069965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKGREVFVIIPLLVLVVFVEIGEAQTKTFLVNCGTNSSVNADGSKWIGDSDPGSNVTLSSSGIEASTDSFNGDPSYESLYKTARFFSESFNYTFKGSPGSYFLRLHFYPFTFGNRDANESYFAVAANGLKLVSEFNVAGEILLKNSLLEGSGGNSSIFSLVKEYFVTSDIDVFVLEAGGMNKAYHEDYFDAISSKSSSLWVQLGPDTTTGSAGTDALLNGLEVFKLSRNGNLAYIQKYEDVPEKSTSKSLILWVGIGAGVASIIFLAGLVMLIIWLCRRRSSKDDTKKNSPGWRPLFLHAAAVTNTGNGKGSIEYQNLGTLRSGRRFTLAEIKGATNNFDESLVIGVGGFGKVFKAELDDGTLAAIKRANPQSQQGLKEFETEIEMLSKLRHRHLVSMIGFCDEQNEMILVYEYMANGTLRSHLFGSDLPSLSWKQRLEACIGSARGLHYLHTGSERGIIHRDIKTTNILLDENFVAKMADFGLSKTGPSLEHTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVICARPVINPSLPRDQINLAEWAMRFQRKRSLETIIDEQLAGQYSTESLMKFGEIAEKCLSDEGKLRPTMGEVLWHLEYVLQIHEAWLRKNAGEDSASDIRVLETVEERGTETSEDQTHVESKNKEDDEPATSTSGTRDAMADGVDDFSQFISQEGR >Solyc05g041340.2.1 pep chromosome:SL3.0:5:52086374:52087766:1 gene:Solyc05g041340.2 transcript:Solyc05g041340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVAVPYLKHVRDKKSIHHNAVKYATCLCEKLENLNYEEVDSIVANSLLDAACYDNYELVERILKKFPHLTFYEDHNGKNILHIAIKNRCKNVFKLVCQMSQILNHLVIYFDSSGNTILDLAGKLAPQNKLNLVSGPAFQMQLELQWFKEVKKIVPSSFWRRLNLEKKAPYVVFTEEHEKLKVDGEKWMKDTSSSCTIAASLIATIVMHLSTSVLVSFDISLCRRRFPSYFAKESYHGIKKAWVLIPVATMACLPITSFVLLQFPLLVALISSTYGAGTFRN >Solyc01g095030.3.1 pep chromosome:SL3.0:1:86276351:86284326:-1 gene:Solyc01g095030.3 transcript:Solyc01g095030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVYPTPPGQDFHYMGDPMKTGLMGLHPVQSGTSIHGNLNLDSNTGLVVSDDHSKKTRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKASHPYPQKAPKKVISQVGSIQFQPPGALPVPGFGIGPDPLSVPGNTINFSPWTYDNVPAISTIQTRKDDAQLSSGGVKQNCSSSSNENNPRINKTKESNDQNESQKQMKVMPDFAQVYSFIGSVFDPSTRDHLQRLKKMDPIDIQTALMLMKNLSFNLSSPEFEDHRRMLSSYGLGAEKDKMDLPIKSDFRGNAIRAI >Solyc02g093040.3.1 pep chromosome:SL3.0:2:54611245:54621705:-1 gene:Solyc02g093040.3 transcript:Solyc02g093040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLITTLLLALFSSLSYAIDMSIIDHKNKEGILYEKWLAEHGKIYNALGEKEKRFEIFKDNLRFIEEYNASENRTFKVGLNQFADLTNDEYRAVYLGTKSDARRRLVKSKNTSQRYASPPNELLPHSVDWRKKGAVAPIKNQGSCGSCWAFSTVAAVEGINQIVTGEMITLSEQELVDCDRSQNGGCNGGLMDYAFEFIISNGGMDTENHYPYRGVDGRCDPIRKNSKVVSIDDYEDVPRNEKALQKAVAHQPVSVAIEASGKAFQLYTSGVFTGDCGEKVDHGVVVVGYGSEDGNDYWLVRNSWGTKWGESGYVKMERNVKNGHLGKCGIMTEASYPIKEAINKLRWWKLMVGYVKTTPMYVESMNEMLRRICRRNFCTCSPRPWLFVGLGNPGDKYRGTRHNVGFEMLDAFANSQGIHMETIHCKAMFGKGFVNGMPVLLAKPLTYMNLSGESIGPLAAYYKLPLNRVVVFHDDMDLPCGVLRLHPKGGHNRHNGLKSVMYHFRGNGEFPRLRIGIGRPPGQMDPKAFMLQKFNLTARERGHCADMADCIYHFLLLSFFVSEIDAALLEGAHALEQVLSKGFSESSNCFNTTQKYKHIRLQTLPT >Solyc09g090270.3.1 pep chromosome:SL3.0:9:70274497:70284374:1 gene:Solyc09g090270.3 transcript:Solyc09g090270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTRLDSVVFQLTPTRTRCDLFIIANDKKEKIASGLLTPFLAHLKTAQDQIAKGGYSILLEPDAHADDSWFTKCTVERFVRFVSNPEVLERVYTIESEILQIEEAIALQGNNDSGQGPAEYKEAKPAGNIAGTKSTADVNEEKAIVLYKPGEDQPQTDLQEENSRVQFLKVLETRKSVLQKEQGMAFARAVAAGFDIDRMTQMVSFSESFGASRLRDACVRFMELWKKKHENGQWVEIEAAEAMANQLDIAAMNASGILLSNIANKQFDSNSEMASENYVKSSTDGNLGERPPLDQQSPNGQQQYQFLHPMYPPWPMHSPPSGVPAFQGYPMQGVPYYPAYPGNGHLYQPPYPGMEDSRTGVTPQSRKKKQSSDRRESNSDSEEDEEMDNEGSYSQRKKAGRSRKNQSGKVVIRNINYITSKAKNSNDSESEAASGSENGADSEDLEGNGHDLVKKGTSRSSKTRRSRTESILYDDDTVCEKEADGGHWLAFQNCLLKGNEDDKDGMFAMEKDARRRLKSTISDDPLAIGSQDGIEMKDRLSDMHTIGAKMSRMSRGSNGEVLLSSRGYDNGQELGDHVDMQFTEINGRKIMRRTANDEFMLNGRGNQSGLRNSLDPNAYEHTNKLDKASSHNMTDESFVVPFRSMSLTDVGPDGRTAINMDSELPLAHQKSENSSAGIMSYEPNDFSLMSERGTEKRLGLYDPALDYEMQVCNEGSASKDKRKNGVSNDVKEGSKKSEKDRRSKATVDTSDKKRSGGPIRKGKMSKSSPLDDARARAERIRSFKADMQKMKKEKEEADQKRIEALKLERQKRIASRGGPSSGHSPAPTIQTRKLPAKSSPGTFRGSKFSDSEPGSLSPLQRTKIRTPLGSNGVQKGSKASKSTDGSKLAGNKLSRSASSLSEPKKENNDVTPDSKASMARIRRLSEPKAISSKPGTLRKAQSAELVSKPKARSAEPVSKTKRSDVPESKKISAIIDLDKKKAATLPELKIRTTKESSDLRQDKLTAENIATEKNDRPSVASEGIESYKNDLDENIIEKTVVMLEKEKKPSLAVPSSSSENLAVEECDKINSVERTDYASTRDPPSPFEGFIRAPVPSRLQELSNSHETGTNCADDTPKFANIGSTVYRAPYARVSSVEDPCTRNLEFAKALPSSSDTGSTVKEIAKAHAPDIHTVRVDNNPEAAERTQVKESPKGFKRLLRFGKKNHISGGAESNGANMNSMKQDDSVTNAPLPSEVFTLKNLISQDETPTASNVSQKSRLSLLSPFRSKTSEKR >Solyc01g020155.1.1 pep chromosome:SL3.0:1:27728460:27729553:1 gene:Solyc01g020155.1 transcript:Solyc01g020155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LISQIVYEALNRIQKLQNTFNKLKSQKLERLEENNIMLAKDESGVAQFSEAFTVEDMYKQVHSVEDERLSPYRHVYFSSSSV >Solyc12g035675.1.1 pep chromosome:SL3.0:12:44405544:44407022:-1 gene:Solyc12g035675.1 transcript:Solyc12g035675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVCVLPYNFPSNPGFVEYIQQTYNPDYRGFSRNTVKSDVFEYQDILPEEIPNCQACKSSIKVEAKLLYEKYRTIEKFQGEVGQTSNVEIDLSLPISCYMRGFLGLNSTNRDDFEEYLNQSLEVLEIKDGNEDLLGWWSRRSDALPTLSKMVRDVLAIQASSVASEDAFSAARF >Solyc02g077690.3.1 pep chromosome:SL3.0:2:43147959:43152319:1 gene:Solyc02g077690.3 transcript:Solyc02g077690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTIFIILFTAPFTALTASTAALVPPDESQIPSSSGQQNQNSVIYELQEAKIKIARLESILDESMPNLNAKIQYIRETEKKIEDISVEIDNLTTALSKLQHHSSRISALEEEVQLLWDVARKNNFEIHKLEFKAQDVEKRLEVLTSQVEKIAEVISEKWIQIQRLEQAVQMAEMRTQKVKRQVTFSKCPFVKFIKNVFGHHLETLKGILHPYGSYSAADPNSYWSQMLHHMQGAFSSAKQYHYKLQRFVKQEIERNDFPMALANEEVVFLVVRI >Solyc07g008600.1.1.1 pep chromosome:SL3.0:7:3553251:3556235:-1 gene:Solyc07g008600.1 transcript:Solyc07g008600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKQHYKSTHLLLICLSILILHQCSAFGSMLRGGHANVLCITKEREALLEFKRGLVDEHNMLSSWKNEECCSWSGVKCSNTTGHILVLNLRGNFDTSLTGNISSSLVKLQYLKYLDLSFNDFGGQIPKFIGYFERLEYLNLSSSFKNTGLIPIQFQNLAHLKTLDLSLNSLTVKSLEWLSNLVYLEYLDLRFSNVQAKNWLQEIIKLPNLRELYLSACQLPVIIPSSLVSTNISSSPLSILDISYNGYSSPAINSWLFNLTSLTSLDLTGNELGQLSSGFGYLKSLEHLKLFGSGIQGGIPKSFGSLSRLRYIDADSNNLLSQPFSELLDNLAGSNQSLEYLSFEENALTGSLINLTRFSSLRKLRLQGNSLNGIFHESFRQISSLEYLDLSNNQMTGPLPDLEFFPSLTELNLQSNHFYGTIPQGLGKLSELKILDVSFNRLQGLPDSLGKLFDLESFDASNNLLEGTISESHLSNLCKLKSLNLYSNSLTWNVSVDWIPCFQLQVISLSSCNLGPYFPKWLQTQNEYSVLDLSLASISDTMPSWFSKLPPMLTYLNLSYNQISGKIQDLSSNNISPIIIDFGYNNFSGPLPTFPQLVSQLRIDNNQISGSLNSICKIRSAVTLDLSDNLLSGEIPDCWTLMSAPMVLNLANNRISGSIPYSLCSSTSLSSLYVRNNNLSGQFPASLKNCKGLKVLDLGRNTFSGEIPEWIGTELAYLGILSLRFNEFSGSIPPSICQLQSIQILDLSGNRLSGRIPKCLSNFTTMQLLQDGSSVSYDFDPYTPRVGTLYHGNALVQWKNKESEYRNILWLLKTIDLSSNELVGDIPNDFSRMNALLSLNLSRNNLSGSIIEGIGLMKMLESLDLSRNHLSGKISVGLANLTFLSVLDLSNNNLSGRIPSSTQLQGFDSSTYEGNIQLCGPPLPECPSFAPPNLHVGHDSSFQENDDDDDEFLSREFYISMALGFIVAFWGVLGSLFFNNSWSNAYFQWLYL >Solyc12g006850.2.1 pep chromosome:SL3.0:12:1256444:1264960:-1 gene:Solyc12g006850.2 transcript:Solyc12g006850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRGLGVFGVSMCGAAQEIEQLSRESSHYSLSTGILPSLGARSNRRVKLKRFIISPYDRQYRLWETFLVVLVVYTAWVSPFEFGFLGKPAGPLAKTDNVVNGFFAIDIILTFFVAFLDRTTYLLVDEHKKIAWKYMSTWFLFDVISTIPSELAVKISPKPLRQYGLFNMLRLWRLRRVSALFARLEKDRNFNYFWVRCAKLVCVTLFAVHCAGCFYYLIAVHYPDPKRTWIGVAMDDFLNQSLWIRYVTSIYWSITTLTTVGYGDLHPENTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRKFRDTIQAASSFAQRNQLPARLQDQMLAHLCLKFRTDSEGLQQQETLESLPKAIRSSVSHFLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPKEDVILQNEAPTDFYILVTGAVDLVVLKNGVEQVVGEVRAGDLCGEIGVLCYRPQLFTVRTKRLCQLLRMNRTTFLNIVQANVGDGTIIMNNLLQHLKEMKNPIMEGVLLETEHMLARGRMDLPLTLCFATLRSDDLLLHHLLKRGLDPNESDNNGRSALHIAASKGIENCVVLLLDFGADPNSRDSEGNVPLWEAIMGKHESVIQLLVDNGAKLSAGDVGHFACVAVEQNNLSLLKEIVRYGGDVTLPKINGSSALHVAVCEGNIEIVKYLLDRGANVDQPDEHNWTPRDLAEQQGHEDIKELFESRVMMRTRSVDPIPEERGVRFLGRFKSEPTISPASHGVSFLGLDGSLGRSRPRRRSNNFHNSLFGIMSAKQTNEHDVLLSANDTNVSITTTKTYAPRVIVCCPEKGDNGGKLILLPQSFKELLQIGSSRYGISQAKVVSKDGAEIDEIELIRDGDRLVFVRDKENNIDEAKSS >Solyc02g068790.3.1 pep chromosome:SL3.0:2:39275919:39277455:1 gene:Solyc02g068790.3 transcript:Solyc02g068790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGANEKCADRFLIPTASNGNSAYAGDVGRQMAEVGSYPMNDFDGLVPGKHASVVKNDAEQTADSMMRVLKGSANEVLEETMNHILAETRMVDFKRRRETNRTSEQSTVKLNKEEETNANEHTVLQNAQGNSSQTAVAKDSADKPFKRRKLVRPVFDENNCRSDLNHQLPCQTLDTAKTAWNSNGAEVSSPSRNHNLVKVMTWGMMSSHCVYEAT >Solyc04g012180.3.1 pep chromosome:SL3.0:4:4462045:4470392:-1 gene:Solyc04g012180.3 transcript:Solyc04g012180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNVRHKNLVPVITTCSSDCIRAFILQFMLNRSLENRLYREDFPLSLHQRVTIMLDVAMTIEYLHHGHVTPIVHCDLKTANVLLDEDMVAHVGDFGIFKILAISKSMAHTETLGTIGYMAPAADFAEKSMASGYGDSSQKIDYVFKVVLIGDSAVGKSQILARFSRNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRAHADRNIVIILIGNKTDLKDQRAVPTEDAKEFAQKEGLFFLETSALEATNVEDAFSTVLTEIFNIVNKKNLAADDYPPNGNPASLSGKKILVPGPAQVIPEKRACCRS >Solyc09g025240.3.1 pep chromosome:SL3.0:9:65290522:65298721:-1 gene:Solyc09g025240.3 transcript:Solyc09g025240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMDKLKMASSSLGERLKTGGAQMSRMVSAKMKEILQGPTPESKMVDEATLETMEEPNWSLNLRICGMINSEEFNGTEIVKAIKKKLVLSKSPVTQRLTLDLLETCTSNCEKVFSEVASEKVLDDMVKMIDDPKTDSGNRIKAMELITAWGESEDLSYLPVFRQTYMNLKRQYPLESHMNEQLLSPPESYPIPDTGMRNHEQTTYIGESIEEKKELLVVTRNSLEILSSIMNSDVEPKPIKDDLAVSMLENCKQSLAVIQRIVESTSGDEGLMFEALNLHDELRQVISRYEEMEAALDSGEELPKTPENGSGLPNTAPESGERLPKTRENESGQPNLADTSEANLEKLSLNASESHAVAPTKGDSNQSLHEVVKPGISGEEKA >Solyc03g062660.3.1 pep chromosome:SL3.0:3:33901019:33912537:-1 gene:Solyc03g062660.3 transcript:Solyc03g062660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQCIVYTFCWYWLFVAVSFTKNPELVCPSPMATICKSSISLYFSLSLFVLSCAMDEGDILLRFKDSVNDPLNLLSSWSKHSTSECNWSGITCTSSSSSVSSINLVSFNLSGSISSSICELPNLVHLNLANNLFNQPIPLHLSQCATLQSLNLSNNLIWGTIPDQIYLFQSLKILDFSRNHLQGRIPQGIGSLKHLQILNLGSNLLSGPFPLVLSNLTQLIILDLSQNPLFLTRIPRDIAKLTKLQMLFLQSSGFYGELVPNLFQGLKSLVILDLSHNNITATLPIVGFSLPNMVSFDVSRNKLSGSFPCGICEAKGLVHLGLHRNFFNGSIPNDSINKCMNLETFQVHDNLFLGNFPSRLWSLPRIKLIRAENNNFSGEIPDSISKAAQLEQVQIDNNSFTSKIPHGLGLIRSLYRFSASVNGLYGELPTNLCDSPVMSILNLSHNYLSGTIPELMNCKKIVSLSLAHNNFIGEIPKSLGILPVLTYLDLSHNNLSGQIPQELQNLKLALFNVSFNRLSGRVPASLISGLPASFLQGNPDLCGPGFSSSCSHEKTMPKDVNLSKLTSVLISAVAISSIIAAAVGFYITRLCRKQRSKMNGGRSVFFYPLRVTENDVMMEMCDKNARGNGGTFGRVYIVNLPSGELIAVKKLMNFGTHSEIKTLAKTRHKNITKILGFCYSNDAILLIYEYVARGSLGDLIGKPDFELPWSVRLRIAIGVAQGLEYLHKDCLPHLLHRNLKSTNILLDADYEPKMTDFALDLIIGEASFKSSLGSDACCYLAPEYGYTKRASEEMDTYSFGVILLELITGQRTDKAECGDVVKWVRRKINIRNGALEIIDPKISSASQHEMLGALDIALRCTSVMPEKRPSMVQVLTILRSLHSTFNLPYIHQPSTSTSTHTHS >Solyc06g007550.1.1 pep chromosome:SL3.0:6:1556981:1558487:-1 gene:Solyc06g007550.1 transcript:Solyc06g007550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPLMPTTETGRLLSEYLQNDKALFYEFMEKELENLECMRKEALLRCVYSVETDEVILHRKIYEMKKVECQKVVEDVMYMFIVYKFSEIGVHLVPKLSNCMCNGRLEISPCKNLELESIHSDEVLAMVKEIRWEHKNKSNVKDNLGVTQIKKDYIRYVYGSSMLYGYFLKSASLRYHLEKSFDTTNSNNLSFSSSCHLKQKSVPIEGKKYDNLKSYVEKFDNQTMKMCRKPKFNVTMSLMEKHYSALFGDENQHEEVSTSFTSLKRFVLEAVPLGGRISL >Solyc04g007795.1.1 pep chromosome:SL3.0:4:1488469:1489680:1 gene:Solyc04g007795.1 transcript:Solyc04g007795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKTLVDGKEKVAKEVIEEIDEEKKLVKFKVIGGDILEAYNSFYLTVHVETKGEDNLVTWILEYEKKNCNVPDPHTLMEFCLNVTKDIETHHLN >Solyc12g036745.1.1 pep chromosome:SL3.0:12:47986827:47988569:-1 gene:Solyc12g036745.1 transcript:Solyc12g036745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGESNNISDSRLMKGVLDELNGQCTKSKFQYCLRGNNEFKHLFHKVLTPSDVENKKFVIPRRYAIKYFSHIHHNEEIDIYDSSTQLWRFRFFYCQSSKKFSFTKGWPKFVMVKGLRARDIIVFNLCESKNGTNETLNTFVIDVVKSNEGLKLDLALNNNAIDADAALTPVLLFGKQIVVIVKSSTCYIFKITNLALLLKLNLATSIHNDVSVFQKRLYYEVEDTLLIPKLLTLFQTITLS >Solyc08g081360.3.1 pep chromosome:SL3.0:8:64543404:64553054:1 gene:Solyc08g081360.3 transcript:Solyc08g081360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAHLNKAENRKFFQNLWRTDLMSTLKADPPFWCFALWCGPCSSYVLRKRALYGDMTRYKCCAGYMPCSGKCGESRCPEFCLVTEVCCCFGNSVSSTRFLLQDEFNIRTTKCDNCIIGFMIVLGQLACLCRIAACLTGDEGLQDAAHILTCLSDMVYYSVCACMQTQHKVELDKRDGKFGDPSPMSIPPVQQMSRIHQPYGPAVGYPPAYGAPYGQPLPPHQGYPASAPPLPSSQPPGFPPPSDSAYPPPGPGGYPPPSASGYPPPAPYPPQQQPGFWR >Solyc03g044028.1.1 pep chromosome:SL3.0:3:7879216:7880643:1 gene:Solyc03g044028.1 transcript:Solyc03g044028.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVERQKNVVNSNFASVVVTLDRLEWRAELKMKPFIIDVVMCLTKFPNEGNTYLIVLADVNDVELSTKGE >Solyc01g007790.3.1 pep chromosome:SL3.0:1:1874922:1884681:1 gene:Solyc01g007790.3 transcript:Solyc01g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFAHHLSPIARTLSYFSRRRISSKCRNLQMGSENLSLIDTRKRANFRLSNVSGYKMDLLEIHAKDPKFHVLFIPGNPGVISFYLDFLESLYVLLDGTASVTGKIQFHILNSEIPDQLEILICAISHIAQTEKVMPLGQHKFEYWQLVHNLHTQLKFASMSKITLIFFSSHVLNENWEHGRLFSLQEQTDHKKEVQRLYWCLRAWRNADAPNRTYSPISPSMSFCMPTPMNFIEHELQDVEVPIVLVGHSIGSYISLDIFKRFQGKVAYCICLYPFLAVNTKSSTQSIIKKIAASRTLSTGFSSIVAILGLLPVWISRILVKNSVGNSWSPAAVEALCSHVLRYHTVQNMLYMAMTEFEKLSEVPDWSFMREKKSQMAFLFGVDDHWGPLDLYEEISNKVPGAVVAVEKENFTHAFSCTEAGSLWVAKHVSGLIKNYFSKIDSE >Solyc10g076800.2.1 pep chromosome:SL3.0:10:59858953:59863384:-1 gene:Solyc10g076800.2 transcript:Solyc10g076800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNWLLIGAAIAVTLLIAQAIRLLLLVIDGRRNRKKAVGIFHPYTNDGGGGERVLWCAVKAIQDENPNLDCVIYTGDFDASPHSLTARALDRFGVKLIHPPKVVHLHKRKWVEETTYPRFTMIGQSLGSIYVAWEALCKYTPLYYFDTSGYAFTYPVARIFGCKVISYTHYPTISLDMLSRVRGRSSMYNNDSFIAKSAILSRFKVIYYALFGWLYSIVGSCAHLAMVNSSWTQSHIEKLWGIPARIRRVYPPCDTSGLQVLPLEKSMKPPKIVSVAQFRPEKAHPLQLEAFAVAIKKLDQDLPRPKIQLVGSCRNEADEKRLQNLKDLAIKLNVDDHVEFHKNVLYSDLVRLLGGAVAGIHSMTDEHFGISVVEYMAAGAIPIAHNSAGPRMDIVLPEDGKQTGFLAESVEEFAEAIIEVIKMPENERLEMAAAARKRASMFSEQRFYEDFKAAVRPIFYNDSK >Solyc11g007180.2.1 pep chromosome:SL3.0:11:1610636:1612863:1 gene:Solyc11g007180.2 transcript:Solyc11g007180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEVDVVLKRQHGRHKHGEIKLFVFGDSYVDTGNWPKSMSSSWKQPYGFTYPGYPSGRFSDGLVLTDFIASFLGIKSPQPYANRKKISSHEMDNYGVNFAYGGTGVFNTFVNQPNMTTQINYFQQLIEEEEQVYNKEKMSSSIAIVSVAGNDYATFITNNNMEDLVNVTKSMLSQLELNLRRIHGLGVQKIGITTMEPIGCLPKLTITSSYENCSEYANSISMFHNQMLHQIIDKLNNQTSKPNFFIIDLYNAFMTSLNIQQNHPGNSNFENPLKPCCMGICGDKKKYVLCKNPEFSFFWDAIHPSQQGWFAVFSALKPSLSFLL >Solyc03g097000.3.1 pep chromosome:SL3.0:3:60792927:60800748:1 gene:Solyc03g097000.3 transcript:Solyc03g097000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQSSFLCSTPLKSPSHKHTKKPKPRPTIVSCSVTPDPWTLSDGNSKNLNKPKPRSKNPKNPLSDDNARRIIKAKAQYLSALRRNQGSQAMTPKWIKRTPEQMVQYLEDDRNGNLYGKHVVAAIKRVRSLSVKAEGSYDMREVMGSFVTKLTFREMCVVLKEQRGWRQVRDFFAWMKLQLSYRPSVIVYTIILRAYGQVGKIKLAEQTFLEMLEAGCEPDEVACGTMLCAYARWGRHKAMMSFFSAVQERGITPSTAVFNFMLSSLQKRSLHKNVLSIWKQMTEKGVELNHFTFTVVICSLVKEGHPEVAFKTLNQMKSLKFIPEEATYSILISLISKSGNYDDAFRLYEDMRSQGIIPSNFTCASLLTMYYRKEDYPKALALFEEMDRYGIKIDEVIYGLLIRIYGKLGLYEDAQKTFEDVKKLGVISNEKTYTTMAQVHLNAGNIDEALDIMDDMKSKNISFSNFSYGILLRCHIMKEDLASAEAAFQALSKMQIPECDFCNDMLNFYVRLGLTEKAKDFIFQIRKIQVEFDEELLKAAMKVFCIEGMVKDAVQLIREFSSNKKFEDSVFTQTFSVAIHGNDRFTAAGIASKPLDQPGAMAFELALILYIADGNTTKAEETLNLLLKTANGLSVASQLIRKFTKEGNISKAEDLFKLLMKLGTKPEDVAIASLINFYGKQKNLKEALNVFASVANSSRSGSLIYNSIIDSYNRCDKQEEAYMFYREEMKKGHVLGPVAISMLVNGLSNCGRYTEAEAIIHNSLRANLELDTVAYNTFIKAMLQAGRLRLASRVYEHMLSSGVPPSIQTYNTMISVYGRGRNLDKAVKAFDIAQKMGISLDEKAYTNLICYYGKAGKYDEASNLFVRMQEAGIKPGQVSCNVMMNVYAAAGLHQEAEVLMHSMRSSGCKPDSLTYLALIRAYTRVVECSEAEKAIDSMQKEGIPPSCAHFNALLSGFAKGGLIREVERIYNNLMNADQQPDLESHSLMLRCYMDYGRVEEGISLFERISKSVKPDRFIMSAAVHLYRSAGLVLKADGVLRSMNSFGIPFLEKLEVGSKLKAD >Solyc09g090110.3.1 pep chromosome:SL3.0:9:70124836:70128014:-1 gene:Solyc09g090110.3 transcript:Solyc09g090110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRIRGTNASSGMGVADQSKATYMELQRKKVHRYVIFMIDEKKNEVVVEKTGGPAESYDDFTAALPENDCRYAVYDYDFVTSDNCQKSKIFFFAWSPSVSRIRSKMLYATSKDRFRRELQGIHYEIQATDPTEVELEVLKERAY >Solyc10g054800.2.1 pep chromosome:SL3.0:10:55904124:55905325:-1 gene:Solyc10g054800.2 transcript:Solyc10g054800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNNNAVFGDEENQFSCGTNKVQPCSSTPKRSTIDDEGKKLNFLSFSERLGVSDFFSLDVWRASIGELLGTAVLVFMLDTIVISTLESDIKMPNLILSILAAVIITILLLAVVPVSGGHINPVISFSAALVGIISMSRAIIYIMAQCLGAILGALALKAVVSSSIEDTFSLGGCTITIIAPGPNGPISVGLETAQALWLEIFCTFVFLFASIWMAYDHRQAKALGHVTVLSIVGVVLGLLVFISTTVTAKKGYAGAGMNPARCFGPAIVRGGHLWDGHWIFWVGPIIGCVAFYVYTKIIPTKHFNAEYGYKHDFVGVVKALVGSNV >Solyc01g005550.3.1 pep chromosome:SL3.0:1:382343:385475:1 gene:Solyc01g005550.3 transcript:Solyc01g005550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAVQFSCLKRKRGVFLVVFMLVYLWGSLISLSCAARLSVSRQKLEVEKHLKRVNKPPIKSIESLDGDIIDCIHISQQPAFDHPFLKDHKIQMRPSYHPEGLYDEEKMTTRSKDSTSPITQLWHMNGRCPEDTIPVRRTKKDDVLRASSVKKYGKKKPKAIAKPRSTDPDLTNESGHQHAIAYVEGDRYYGAKATINVWEPKVQQSNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQVSSAIAMGASISPVSALRNSQYDISILIWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLAESASMIEWGGEVVNSQPDGKHTATQMGSGRFPEEGFGKSSYFRNIQVVDSSNNLKSPKDLGTFTEQSNCYDVQTGSNEDWGHHFYYGGPGRNPNCQ >Solyc03g119210.1.1.1 pep chromosome:SL3.0:3:69355846:69356127:1 gene:Solyc03g119210.1 transcript:Solyc03g119210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTASKLAVLTVLVLLLAEAHISVAVTCSAIQLSPCLSAITSKSAPSKLCCSRIRQQKPCLCTYLKNPTLRNYVNSPGAKKVANTCGVPYPKC >Solyc12g062200.1.1.1 pep chromosome:SL3.0:12:31271176:31271487:1 gene:Solyc12g062200.1 transcript:Solyc12g062200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGRRMKASSEPASLAAAPISEQPGDQVVSVRQPQQHRDRATSCLPLRQKTATLATRSEQRWQSPAAACSSEQHQQTPESKAKITTQFMDSRLQIINHHFL >Solyc03g033430.1.1 pep chromosome:SL3.0:3:5022904:5023684:-1 gene:Solyc03g033430.1 transcript:Solyc03g033430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLAAELHVAQLDLKHRQNKKHYQRIILFAGRKAEKLEALVAPVNNNDNSHIIYIPPGARNLSDVNPIFTKDVDSRKRFVVVASGEGSGYDFGVDPNLDPEIDLALRVSMKEERDRQATATKKDAQKAQKQENGEMQSTSQTIIMTENVSARNSETKTKAVDILIGVPLF >Solyc06g064990.3.1 pep chromosome:SL3.0:6:40641451:40647872:1 gene:Solyc06g064990.3 transcript:Solyc06g064990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSLCIFVLVTHFATPIVCHERAALFVFGDSVFDSGNNKYINATADLQGSNYFPYGESFFKHPTGRVSNGRLIPDFIAEYANLPFIPPYFEIGKKQLIHGVNFASAGSGCLAETSGAIVLKTQLKNFENVIQLLKRKMGKTESHKILANAVYIFSTGSNDMFASLVPNSTFPYSDREYLQMIMGNLTSVLKGVYKGGGRKFVMLNLGPLGCLPSTRVLNLRIGVKNGSCLEEATNKAKMFNSALPKMLKQLEKQLPGFKYTIFNFFKVFADSIHNPTKYGFKISETACCGTGPFRGILSCGGKRQRSHQAALFVFGDSLFDAGNNNYINTTASFQANYFPYGESFFKYPTGRNSNGRLIPDFIAEYANLPFIPPYFEIRNKHLVHGVNFASGGSGCLAETARGAVMDLKTQLKLFQNVTQLLRNEVGETESKEILSNAVYIFSTGSNDIFSALFANSSFPHSDTEYVQMIMGNLTSVLKGIYKEGGRKFVMLNVGPIGCIPTIKAFNFQMGVTNGSCMEEITNMTKMFNSALPQMFKKLEKQLRGFKYTIFNFFKVFGDSIDNPTKYGFKISETACCGTGPFRGILSCGEKRQVKEYELCKNVKDYLFFDAVHPTELAYQQFAALLWNGTTDVMILRFDEMTS >Solyc03g034260.1.1.1 pep chromosome:SL3.0:3:5992296:5992850:1 gene:Solyc03g034260.1 transcript:Solyc03g034260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKTRVRQTFPISKIENQRVSDVTFFNRRSSLYRMANELVDFCDVDIGIVLFSPSNHPFSFFHPTSEAVIERFLNPDSQLSEKTRLDAEQARNKVNQLNNRLDAMEKRIEQIEEIEHAQTLLQLSQTEENGERSKWKSIDQLNANEIPTFEAWLRTTVSKMNYRLEKLENEASSLKNARGTS >Solyc04g005660.3.1 pep chromosome:SL3.0:4:428629:430177:-1 gene:Solyc04g005660.3 transcript:Solyc04g005660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRRTRGSRQSSGASRISDDQIADLVSKLQLLIPESRSTRSSDKVVEASKVLQEICNYIRSLHREVEDLSDRLSVLLESTESDSAQAAIIRSLFM >Solyc03g121280.3.1 pep chromosome:SL3.0:3:70918755:70921675:-1 gene:Solyc03g121280.3 transcript:Solyc03g121280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRVGSQGSLEEGKDSVTKVNVIPMVQSRFQPCFQQLSLESWIRPPSSLLHSMNDTQLFWRASIVPQIKEYPFNRTRKIAFMFLTRGPIPLAPLWERFFKGNELYSIYIHSLPSYRPDFPPSSVFHGRQIPSQEAKWGRMSMCDAERRLLANALLDISNEWFILLSEACIPLHNFKAIYHYISKSRYSFMGAADEPGPFGRGRYNPNMVPEVNITEWRKGSQWFEVNRKLAVDIVKDDVYYPKFEQFCRPPCYVDEHYFPTMLTIESPRLLANRTLTWVDWSRGGAHPATFGKADINDQFFKRISESSICVYNNQPTSLCYLFARKFAPSALGPLLEHSTKFLGF >Solyc11g044363.1.1 pep chromosome:SL3.0:11:33041486:33045724:1 gene:Solyc11g044363.1 transcript:Solyc11g044363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEGENADSIQNRSSQVMSGQSQAALESVALYSKASSSSNSKRKVQGTSPTYGNTGSNNYSQAQLTHANLTYGMNNNVPPPGWGNIPVNLHQRLPLPVLTEHNMWIIDSGATNHMVSSLNMLTKNTVHEVSKPVYLPNGFTTQYNDQEKEVGAAFAANDFKETNMVYGIEDWVMCPSTTVSHENNVSHESHTLSSMDEVEQVPTDGMSEEGTILVLVYVDDMLITSSSLKLIEDTKKALQQAFKMKDLGELKYFLGIEFTRSAAGILMHQRKYALELIAEVGLTTAKPAGTPININVKLTSKLYDEHMKQAESDDPLIDQTAYQKIIGKSHLDAALTVIRYLKNQPGQGLLLASDSEGQVTAFCDADWASCLLTRKSVTGYMIKIGRSLISWKAKKQTTVSRSSAKAEYRSLASTVSELVWLLGLLKEV >Solyc08g062870.1.1.1 pep chromosome:SL3.0:8:52321079:52321270:1 gene:Solyc08g062870.1 transcript:Solyc08g062870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPIRFFFFSTREERPKGNREVASTTCPYTHLLSHSSTPGNPTANRSSPNPYYSSFYPEESIT >Solyc03g063865.1.1.1 pep chromosome:SL3.0:3:38458747:38458752:-1 gene:Solyc03g063865.1 transcript:Solyc03g063865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding I >Solyc03g113470.2.1 pep chromosome:SL3.0:3:65092163:65103913:1 gene:Solyc03g113470.2 transcript:Solyc03g113470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTTHILNFYSSLLLTYNVQINKAFIGILCIISYVYMWRSDLSFVFINWSSSIPLSISAMVVVCSVFALNLLLLYGFVVSCTLSMQLKDLKNDAQTYGIRETRQAPNGVCLEKIENGSYIGMAPHPDGSNRVFLWNQQGKVWIANVPEDGSNGVLEIDESKPFLDITDHVLFGLQFGVMGMEFHPNFVSNGRFFVSYHCDKLRHSGCLGRCSCNLEIDCDPATLPIDSGIAPCQYQIVVAEFTANATATTPFLVESSKTLEVKRIFTMGLPHRGVYGGQILFGPADGFLYVMTGSGTQRGDPYNFAQNKRSLLGKILRIDVDQRNEVHDRGLWGNYSIPRDNPYNNDKQLAPEVWALGLRNPWRCSFDSERPSYFMCGDSGQDMYEEIDMITKGGNYGWPVYEGRYLFKPANAQEGSISNSSSNSLRFPVMGYNHSETCKTIGSASIIGGYFYRSQTDPCLYGRYLYIDLYPDGIWSGTENSRNFTSSKTPYRCARDSPIHCESIAEDVMPAIGYVLSFGEDNRKDIHMLTTTGVYRVTRPSRCNYHCPKETSVASKPGAPLPQKTPLTFCPYNGTSCCNSGDDKQLKNQYDAMNISDSGCASLLKSILCAKCDQFSAELFSTNSAPRQLPILCNSTTSANFTQSSQATNNFCSKVWTTCQNVSIVNSPFAASLKGRAPTPVKSNSTKLTDLWQSQDDFCNAFGGASGDESVCFAGEPVTLNTTEPLSPPGGLCLEKIGNGSYLNMAAHPDGSNRAFFSSQQGKIWLATIPEVDSGKVLELDESNPFLDLTDEVHFDTQFGMMGIAFHPKFSQNGRLFASFNCDKQSWAGCAGRCSCNSDVDCDPSKLPSDSGSRPCQYQTVIAEFTVNGTANQPSEAKSASPEEVRRIFTMGLPFTSHHGGQILFGPSDGYLYFMMGDGGGIGDPYNFSQNKKSLLGKIMRLDVDSTPSAEEITKLALWGNYTIPKDNPYIEDKDLQPEIWALGMRNPWRCSFDSARPSYFMCADVGQDHYEEVDLITKGGNYGWRIYEGDRLYTPSKNTSINPIFPVMGYNHSDINKDEGSASITGGYFYRSTTDPCMSGKYLYADLYAGAMWAGTETPEDSGTFNTTEIPFTCAGNSPINCALVPGSTVPALGYIFSYGEDNNKDVYLLASSGVYRVVRPSRCKYTCSKENATDIVTPAPANSPPSAAVMFTGSYKNLVLLLLSFWLI >Solyc05g050980.3.1 pep chromosome:SL3.0:5:62040509:62047524:1 gene:Solyc05g050980.3 transcript:Solyc05g050980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C1D0] MAQISKMTQGIQTLYPNSKIHKPQVPTFLPSLPFGSKNLKKSVKCLWVLNKDSVLTTRSCSSSFRISASVATTQKPSEIVLQPIKEISGTVKLPGSKSLSNRILLLAALSEGTTVVDNLLSSDDIHYMLGALKTLGLQVEDDSGNQQAVVEGCGGLFPAAKESKEEIQLFLGNAGTAMRPLTAAVAVAGGNSRYVLDGVPRMRERPISDLVDGLKQLGAEVDCFLGTKCPPVRIVSKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGISVEHNSSWDRFFVRGGQKYKSPGKAYVEGDASSASYFLAGAAVTGGTITVEGCGTNSLQGDVKFAEVLEKMGAEVTWTENSVTVKGPPRNSSGRKHLHAIDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCIITPPEKLNVTEIDTYDDHRMAMAFSLAACADVPVTINDPGCTRKTFPNYFDVLQQYSKH >Solyc06g072980.3.1 pep chromosome:SL3.0:6:45118131:45122641:-1 gene:Solyc06g072980.3 transcript:Solyc06g072980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRSNLQGGYGRFEQILAQYLLKSLHIILNLRVPSIRAYGGFEEVKRSDKWFNMVLGDRPAVFENLNLLHGEPMIIDIILVQDKDCTSLKHSSGKAFAGAYTETIIERWVVQCENRRSMVPQMGDSSYKKMYKKSIILLRSVYSMLKLLPAFKAFRKLSSSSQSSCFDINFKVSSFSEPFSRAEEEMMKQFTFTSVDAQQGRLSLSVTYRENLVDFNLESSASFPPEIITDYVGSPLTDPLRSFPLNSTDKGVHNTSFPLRRTQSSCSAPVQRPQSWTSGLFRAPSLPQPYVGSPPLYHAPYELSTSVSNVYGQRIPPNYKYSTHQKTTSFDDDQLSPPFSSSPSPPTYLSGANLVQTHLRSETDPVSIPHPLIGRTSRHLSPNLSDPNRHYLPPMSPRCTKHDSSSHESPSGIRSSRKIDLLRVPESDTTNPGQKVPTDARDDSGRFSGVLSSSDSPRVGVSRSSSSRLSFQDDLDDFDFSCPFIVDDVDTSVSRARENLESRKRPEASSRVSATTRKSQDAAVGALVHMLRTAPPLRQDSTCYTTDSIEGEVEGETGTASQFYVPRKASDALEELKAYTELKDMLLPKSTTRSDSEDGSYA >Solyc12g088660.2.1 pep chromosome:SL3.0:12:64918885:64924833:-1 gene:Solyc12g088660.2 transcript:Solyc12g088660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELKFLGMDFGCALGSLSNGQFPEKDCLLPLISKLLGYAIVAASTTVKLPQILKILQHKSVRGLSVVAFELELIGYTIALSYCLHKGLPFSAFGEYLFLLIQAIILVAIIYYFSQPLGMKTWMKGLLYCAVAPTVLAGQINPVLFEALYASQHAIFLCARIPQIWKNFKGKSTGELSFLTFFMNFAGSMVRVFTSLQEKAPMSVALGSVIGVLMNGTILSQIIIYQKPTPPKGKKKD >Solyc10g054910.1.1.1 pep chromosome:SL3.0:10:56014908:56015426:1 gene:Solyc10g054910.1 transcript:Solyc10g054910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4D184] MANPKVFFDLTIGGQAAGRVVMELFNDATPKTAENFRALCTGEKGVGKAGKPLHYKGSSFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFADENFVKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLDGKHVVFGQVVEGMDVIKKAEAVGSSSGKCSKAVVVADCGQIS >Solyc02g091737.1.1 pep chromosome:SL3.0:2:53617346:53618845:1 gene:Solyc02g091737.1 transcript:Solyc02g091737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITEMLSISTIGKAPPPRTNFSPSNGKPPRASCFICCVRKNAESNGSSSGPLQLEICHEVSSMKNTIPSCEKQPHEPTIRGAISFNSSNNNNRRLMSDNFSISAELLLRIDSMVLTSLIGSKEASEFRRLVMDSIDSIADYFSQIMHKQDTELLVELRHFPQEK >Solyc00g007060.3.1 pep chromosome:SL3.0:2:32712289:32717985:1 gene:Solyc00g007060.3 transcript:Solyc00g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGSENLDPNPSNKSNSSTRPSTPDTSKNYSGGVGFSTSSSTGRSRFSAAASEDSCSNGEILPTPNLKTYSFSDLKAATRNFKSDTVLGVGGFGTVFKGWVDEKTLTPTKVGTGMVVAIKKLNSESMQGFEEWQAEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMPKGSLENHLFRRSTAIEPLSWELRLKIAIGAARGLAFLHSSEKQIIYRDFKASNILLDGSYHAKLSDFGLAKVGPSAGNSHVTTRIMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLELLTGLRALDTKRPGGQHNLVDWMKPMLSNKRKLKSIMDARMEGQYSSKAAILTAQLTIKCLEGEPKKRPSMKEVVEVLEQVELIKEKSKPSKSKSESSSHRYKQSPRSYPSPRGASNHGSGAGSGR >Solyc09g031522.1.1 pep chromosome:SL3.0:9:30803083:30808011:-1 gene:Solyc09g031522.1 transcript:Solyc09g031522.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIIDHLPRYSPNIIEPSTSSKLEYCLASTSLLMEYYRAPILLDMRSPKVFKQKTSHYLRKLVNGLSSKFPLGFLVLGFSFVVEGPQLIALCLCSLYRKSFVRVKCLGHLKLHFFGVNPSWYGIKKETHSRKGITIATSCKHRRIFFYYKNNNETQKKSKPCPAATPIHDPAQSVDPNPPTFVSRRKLHSAIQRIKFQHAFFLLVQFLVRTCTILVATKHAFSSFEARDSATLPSQVNGSISSLPLALNSFEIISHWKNNGFPDKILDLEFIMGLGKIRILSIFFQKSKT >Solyc04g050720.3.1 pep chromosome:SL3.0:4:47984260:47993826:1 gene:Solyc04g050720.3 transcript:Solyc04g050720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLCLNCGDKGFTNAYVFCVKCLEVAVHRYCLENVTFDELVYWVCDDCEVKELDELNIKKSDAITVGNKDCTSSRHCKVSSEVNIDVPETTLKRCEGRLQQLQEPPSRIEMVEHRQIAGDTSGMKMSKKKSSPTSLRDEIYELRLVRSSSEQSHESQKSIGCNERTQSANVSPLPAKQSKEEVTVPLDKLARENFQAFHLKRSCEGDVQPSTQRNSYGMTEKTESISSSGNHQEKESVPGKYIRLTPQIGTVFNEEPSQFLKGEQPNEPQHAGQERALPLVDFIWRGSFNILNKEYETFDGLLAHLSVKACQKVYEEASLFPALLQLEMLPKSDVWPKSFTISEPTDDNIALYFFPSDTRCEKEFDQLVEQMIGEELALRATVTNAELLVFTSTELPLLYWRFQGKYYLWGVFKAKRDSSGNTTMPNGRSKPST >Solyc08g079870.2.1 pep chromosome:SL3.0:8:63414981:63423392:1 gene:Solyc08g079870.2 transcript:Solyc08g079870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKILFVFIFCSFPWPTIQSDLETYIVHVESPESLITTQSSLTDLDSYYLSFLPKTTTTISSSGNEEAATMIYSYHNVMTGFAARLTAEQVKEMEKKHGFVSAQKQRILSLHTTHTPSFLGLQQNMGLWKDSNYGKGVIIGVIDTGIVPDHPSLSDVGMPSPPAKWKGVCESNFTNKCNNKLIGARSYQLANGSPIDDDGHGTHTASTAAGAFVKGANVFGNANGTAVGVAPLAHIAIYKVCSSDGCSDSDILAAMDAAIDDGVDILSISLGGSPIPLYEDSIAMGAYSATERGILVSCSAGNDGPFHGSVDNSAPWILTVGASTLDRKIKATVKLGNREEFQGESAYRPQISNSTFFTLFDAAKNASDEFKTPYCRPGSLTDPAIRGKIVLCLAFGGVTIVDKGQAVKDAGGVGMIIINSPDDGVTKSADAHVLPALDVSDADGTKILAYMNSTSNPVATIAFQGTIIGDKNAPMVAAFSSRGPSRASPGILKPDIIGPGVNILAAWPTSVDDNKDTKSTFNIISGTSMSCPHLSGVAALLKSTHPDWSPAAIKSAIMTTADTLNLANSPILDERLLPADIFATGAGHVNPSRANDPGLVYDIPFEDYLPYLCGLNYTNRQVGNLLQRRVNCSEVKIILEAQLNYPSFCITELGSTPQTYTRTVTNVGDATSSYKVEVASPKGVAVEVKPTELNFSELNQKLTYQVTFSKTTSSSNFVIVDGFLKWTSSRHSVRTMGLLKILLVFIFCSFQWPTIQSNLETYIVHVESPESLVTTQSLLTDLGSYYLSFLPKTATTISSSGNEEAATMIYSYHNVMTGFAARLTAEQVKEMEKKHGFVSAQKQRILSLHTTHTPSFLGLQQNMGVWKDSNYGKGVIIGVIDTGIIPDHPSFSDVGMPPPPAKWKGVCESNFTNKCNNKLIGARSYQLGNGSPIDSIGHGTHTASTAAGAFVKGANVYGNADGTAVGVAPLAHIAIYKVCNSVGCSESDVLAAMDSAIDDGVDILSMSLSGGPIPFHRDNIAIGAYSATERGILVSCSAGNSGPSFITAVNTAPWILTVGASTLDRKIKATVKLGNGEEFEGESAYRPKISNATFFTLFDAAKNAKDPSETPYCRRGSLTDPAIRGKIVLCSALGHVANVDKGQAVKDAGGVGMIIINPSQYGVTKSADAHVLPALVVSAADGTKILAYMNSTSSPVATIAFQGTIIGDKNAPMVAAFSSRGPSRASPGILKPDIIGPGANILAAWPTSVDDNKNTKSTFNIISGTSMSCPHLSGVAALLKCTHPDWSPAVIKSAMMTTADTLNLANSPILDERLLPADIYAIGAGHVNPSRANDPGLVYDTPFEDYVPYLCGLKYTDQQVGNLIQRRVNCSEVKSILEAQLNYPSFSIFGLGSTPQTYTRTVTNVGDATSSYKVEVASPEGVAIEVEPSELNFSELNQKLTYQVTFSKTTNSSNPEVIEGFLKWTSNRHSVRSPIAVVSA >Solyc10g005340.3.1 pep chromosome:SL3.0:10:252374:255288:-1 gene:Solyc10g005340.3 transcript:Solyc10g005340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKMKGIIKGFKYMFNNFAVKERELEIGCPTDVKHVAHIGWDGQSGNAPSWMNQFKKGPDFAAASIGINSGSSPSPWTSQGVGESNEAQSTSETNKDNQTNKEVNVKKQRRRKSSPKSSSSSRASKSKAKFVKEQSKPTNIEVA >Solyc02g087110.3.1 pep chromosome:SL3.0:2:50256411:50262264:1 gene:Solyc02g087110.3 transcript:Solyc02g087110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVNLFLFPLRCFIHKDFHDVVDRMSLLNKLLFMMVHLIDKLNLWHRLPVFLGLLYLAARRHLHQQYNLINVDRTFTIDVTSNRVVEKYNDPFNEGCGSELPFFGRNMMPVVQHEKLKNPDPMVVATKLLARRKFIDTGKQFNMIAASWIQFMIHDWIDHLEDTQQIELRAPEEVASECPLKSLKFFKTKQTPTGLCDIKTGHLNIRTPQWDGSAIYGSRSEVSKKVRTFKDGKLKLSENGLLEQDENGKIISGDVRNAWAGLVTLQALFVQEHNLVCDTLKKEYPELKDEELYRHAKRVTAAVIAKIHTIDWTVELLNTDTLLAGMRANWYGLLGKKFKDTFGHVGGSILSGFVGMKKPEDHGVPYSLTEEFTSVYRMHQLLPDTLELRNIDATPGLNKSLPLTNEILMEDLIGGKGNENLSRIGFTKQMVSMGHQASGALELWNYPIWMRDLIAQDVDGTDRPDPIDLAALEIYRDRERSVARYNEFRRRMLQIPITKWEDLSDDMEVIKTLNEVYGDDVEQLDLLVGMSAEKKIKGFAISETAFFIFLIMASRRLEADKFFTSYYNEETYTKKGLEWVNTTESLKDVLDRHYPEMTEKWMNSNSAFSVWNSPPEPHNPIPVYFRVPRT >Solyc10g085400.2.1 pep chromosome:SL3.0:10:64712501:64727793:-1 gene:Solyc10g085400.2 transcript:Solyc10g085400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILINSISPCSKYLRCNRNYCFSASAALKGAISFPVKCSSSSNLSCERSCRFGIVRASCAEEVVVDDHEIDDVERKVLRVGIICGGPSAERGISLNSARSVLDNIQGDDLHVSCYYIDSNLHAFAISTAQVYSNTPADFDFKLESLAQGFRSLSDFTEHLASSVDIVFPVIHGRFGEDGGIQELLERSNIPFVGTGSIQCQKAFDKYDASLELDRQGFVTVPNFLIQGNETDESGLSKWFEQNLLDIKSGKVVVKPTRAGSSIGVSVAYGVSDSLTKANRVISEGIDDKVLIEIFLEGGSEFTAIVLDVGSGFNCQPVVLLPTEVELQSHGTVDVSEKDAIFNYRRKYLPTRQVAYHTPPRFSMDVISKIREGASLLFQRLGLRDFARIDGWVLPPSTKASTSAGNKFGRTDSGTVIFTDINLISGMEQTSFLFQQASKVGFSHSNILRTIIQHACLRFPDLLSHNIISCPSRKRSKSSPVTEDFIKQHKKVYVIFGGDTSERQVSLMSGTNVWLNLRASDDLEVTPCLLAPAMSYTDVSDSATQKVDEKLKTVWTLPYSLLLRHTTEEVLDACLEAIEPNQAALTSHLRNQVMDDLTRGLRNHRWFNGFDISDELPKKFSLEQWVKLAKESQATVFIAVHGGIGEDGTLQSLLETEGVPYTGPGAMASKTCMDKVATSLALQHLTDFGVLTINKDVKKKEDLLKMSISDHWLDLKSKLHCDTLCVKPARDGCSTGVARLCCEGDLAFYVNALQDCLPRIPPNSLSKAHGMIEMPNPPPELLIFEPFVETDEIVVASKSRNENAHNLLWKGDSRWVEVTVGVVGKRGAMRSLTPSVTVKESGGILSLEEKFQGGTGINLTPPPPSIMSSAALERCKKRIELIANTLQLEGFSRIDAFVHADTGEVLIIEVNTVPGMTPSTVLIHQALSEQPPLYPQQFFRTLLDLASERSM >Solyc12g042250.2.1 pep chromosome:SL3.0:12:58326824:58337346:1 gene:Solyc12g042250.2 transcript:Solyc12g042250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAIASFFFGTPLYRIQNPGGSPLTRMCQVLVATFHKWNFSVPDDSTLLYETPDKSSTIEGSRKLLHTDELRCLDKAAVVSDTESKTGDYSNAWRLCTVTQVEELKILIRMFPIWATGIIFAAVYAQMTLFVEQGMVMDTSIGSFRIPPASLSLFDIISVILWVPVYDRILIPIARRLTGNERGFSNLQRIGIGHFLSVLGMSVAAIVEFKRLQLARDRSLVDEAVAVPLSIFWQIPQYFILGAGEIFTFIGNLEFFYDQSPTAMRSLCSALSLLTVAMGNYLISFILTVVTFITTQGGKPGWIPNNLNSGHLDYFFWLLAALSCWNFVIYLFCAKIYKFKKSS >Solyc05g008280.1.1.1 pep chromosome:SL3.0:5:2659447:2659746:1 gene:Solyc05g008280.1 transcript:Solyc05g008280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMINNSILWVWNLNSVTEDEDIYTIFSRFEIVIFAQIIRDLETWDSHCYGFIEFEDNEACELAYFNMYNAIIDDRRIHVSFSHETILNIQQDNNQHE >Solyc10g086370.2.1 pep chromosome:SL3.0:10:65341804:65346856:1 gene:Solyc10g086370.2 transcript:Solyc10g086370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSREISMDTIMEDEDSFVSNTNAIIYAASDISGWTHSLFSDNNIPNSSSSTSVDEHHQQISTAAGDGGKEDDSMIVSSSASSSWFNDNKQIDDHQEIRIFNVDFSSLCNNTCSGFESHGGLLPDTGRTVNGDASVLLVNIIVACAKADKKNYSCLADRLIRNIIRRFEVAQFGGAMKKVATHFADAFDNKIHRLIPQDIVGLSYNHTSVYGNCLFLKFAHFTANQSILEAFANFNRVHVIDFSFNQGLQWQALLQALALRPGGPPAFRLSGISGQPKSDDGSDPLQEVGFKLAQFAESIGVEFEFCGFMAYALADLEASMLNIRPSNEEAVAVNSVFELQPLFSIPGAIEKVMDLIKQIEPKVVTITEQEVNHNGRVFSSRIIEASRYYSTMFDFLENLESISPNNLDTVMEKQCLDVMVSEIYNLVACEGTKRIVRRGTLGQWQVRIYSAGFNLVPLTSDTYKQGAMLLASFPNAEGYKVEVKDGSLMLSWNSLPLIANSTWRLCSQISMDTIMEDEDSFVSNTEAIIYAASDISGWTHSLISDHNIPNSSSSTSLDEHHHHHQQISTAAGGGGNEDDSMIVSSCASSRWFNNNKQIDDQQEIRIFNVDLRALCENRLKSCGGSESRDCLVYEESSVRLVNTLMACAEAIQDNNLSLADELISDIRRISVSQMGGAMKKEATYFADALYHKIHRTNSEDIDESSYPKDQVLSMSFYDSCLFLKFAHFIANQSILEAFADSKRVHVIDFSLNQGSQWPALLQALTLRPGGPPALRLTGIRGHSQPEDTTDALQEVGRELAQLAESTGVEFEFRGFVVHTLADLEAPMLNIRPSNVESVAVNSVFKLHRLFSIPGAIEKVLDLIKQIDPKIVTIAEREVNHNETVFMNRIKEAWYYYSTMFDLLENSEWTKRSTIDLEIAAEHLGREIYNLVACEGTKRVVRHETFGQWRVRFNSAGFNLVPLGSNTYRHANMLLALYTNGKRYRVEEKDGCLMLSWHSRPLITTSAWRGYVANSRD >Solyc04g007760.3.1 pep chromosome:SL3.0:4:1436136:1437197:1 gene:Solyc04g007760.3 transcript:Solyc04g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGKLIASVEVKCGGHLVHDIFHTKTHHISNICPSKIQNFEIHEGDSVKVGSVVSWKYNDDGKDKISKQMIEAIDHETKTITWKLIGGDLLELYNSFTIITSCDHEWTTWTFLYEKKTEDIPEPLVLLGFVLHVTKDIEGHLLK >Solyc11g027897.1.1 pep chromosome:SL3.0:11:19524344:19527277:-1 gene:Solyc11g027897.1 transcript:Solyc11g027897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSSTHTGRNGSNYPGGGRGGPGSSPSHYSSGTYNNRSRRGDVICDFCHIRGHTRNACYKLNGYPADYKPKKRFGAENQSTRDTSGSGGDKSSKVNGGTAGGTASSSVNFAGTSKLQQGGELSQDSNDMIPGLPQFTKDQYSKILQLLDSNESKHSAMAVGMIKSDDARDVSHYDDTKVHLPTGRLANVHHIGSSSAPSQTREIIGVDTKHISRQPVATNVTQHKTLTASIWHMRLGHIPAAPQLQSSTESTSTSTVVVPTSPSVESCSSSSSSTHPVSSASPSHVSVPEVRRSSRPHKPPVWMSDYISKGHVVAGDIVIVLVYVDDLLVTGSSVQLITQTRNDLKLQFKMKDLGELKFFLGIEFARSKKGIVMS >Solyc07g045105.1.1 pep chromosome:SL3.0:7:58345173:58347368:-1 gene:Solyc07g045105.1 transcript:Solyc07g045105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLEHVLLGCSAATETAGTLSDFIHNGDAGYGGIEKQKLLLLIHIYNNAIHAYCYEVLGKTVVVSWQIGSIVSNYRQSRPRSPKNCQTNDIGFKFDEVVLYWKIPYSSITKHNSKRGKFNVLHSGHIVTWIQNREVLYNGIALDLLDKCLFYNLIGWFSKIAHKTEIPVAARRRLPEHEQKQSHLQSEFAEEETPLKLGCSDATAQPDENKNRNNKLKK >Solyc10g078660.2.1 pep chromosome:SL3.0:10:60526701:60529325:1 gene:Solyc10g078660.2 transcript:Solyc10g078660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNVTENTLKAIKKIDKIRVDREERHIAKRMKGNKAKEQREATKELEQSIHMVKAPSVLFKEPSLTLPTKVKVLQKQSEENRMEE >Solyc02g087630.3.1 pep chromosome:SL3.0:2:50641862:50643977:-1 gene:Solyc02g087630.3 transcript:Solyc02g087630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFVSTLLGGGEAQAATEVSGSPSEPSRVIAFHSSNRWQLHFNSSKQLNKLIVVDFAAAWCGPCKFMEPAINAMASKYTDVDFVKIDVDELSDVAKEFGVQAMPTFLLLKQGKEVERVVGVKKMSSRKRFSSTGKPLNMLLSSSDILLIILELNFISNKFKLPYSDVI >Solyc01g057150.1.1.1 pep chromosome:SL3.0:1:59347435:59347713:-1 gene:Solyc01g057150.1 transcript:Solyc01g057150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNRVYFPLSLSKSDRVSLFFLKFVFLSFPHWYHFGYYFLSSLYLMSKLIVVLAVVRRTSNLFFSEMKHDCPVINSFTGMVQCFHLSLDSP >Solyc08g075920.3.1 pep chromosome:SL3.0:8:60110545:60120036:-1 gene:Solyc08g075920.3 transcript:Solyc08g075920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCKQKIEDDGGEEGPRGRAPTPHTKDSIKSLTAQIKDIALKVSGAYKCKSSTPTGTYRKGHRPYPDFDTISEGVPYPFQPASSSSTPAWDFTSAGNLRTPRPDSRFARGFSGGGGVESISQSGEVVAEDDGQKEWTAHVEPGVQITFVSLPNGGNDLKRIRFSRDMFNKWQAQRWWGENYDRIMELYNVQRFNKQALNTPGRSEDGVSQLDLIYSFLQRDSNYSRLGSAIESPMMTPATNKDWPPSNYHKPSGSTGLPKGDMSYMDASRTTTDSRDEASLSVSNASEMESEWIEQDEPGVYITIRQLVDGTRELRRVRFSREKFGEVHAKLWWEQNRERIQSQYL >Solyc06g074140.1.1.1 pep chromosome:SL3.0:6:45998882:46000144:1 gene:Solyc06g074140.1 transcript:Solyc06g074140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4C9D2] MEEVEIPKYFLCPISLQIMKDPVTTVTGITYDRENIETWLLMAEEKTSTCPVTKQILPRDTALLTPNHMLGKFIRSWTICNAEKGIEQIPSPKYPLNKSHVIRLIRQVKNRDLYLEALRKMVTMISENEENRKCLDEGGVIKAMVLFILRSFKEGELVMGIEEALRIFHFVWRPTHENKQLVKENHDLIEAILWILKSREVCYDNNQVVTSIKTHAMLVLKNVISVSSTNVLSSLTPEFFQQMLNSLRKNNVKYICQQATKAALHVLIDTCPGGRNRLKMIELGAVFELIELELSNNEKRVSELVFSLLSHLCSLADGRAELLKHAAGIAIVSKKILRISPATDESAIQIIGLIAKFSATKEVLMEMLRVGGVTKLCMVIQANCEASLRKKALEILRAHNNVWSNSPCVQIYFLTRYPGQ >Solyc03g098450.3.1 pep chromosome:SL3.0:3:62173189:62179241:-1 gene:Solyc03g098450.3 transcript:Solyc03g098450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKLKTEKKALSPVSSMASSNYTKRRSFSRPSWLLCTVADLDEKMNKVALKIPGKGSPDSFAERADAYYQKRPQLMALLQELYNSYVSLADRYCQALAKNHNHRRYSSPAPPLSYNQNGYCDEEEYGGDIIDSDAESSLSFQPSFPPSTQDKFDIEMIVADLVIRNVDYDFVLEELNQVERQSNESSRKIELQKSLLDVMESERLILLNENARLGYKVATLMEENKAVSSESLFMKRKVAELAGCILKMREDHRVCMLSRKIEDLQGQIYGLEKRNKEYYDQLVKHEEEKTRRSKSMKVKGEANMKYCFKVPEDVVAGITRSFSFGNLKKGSGEHKVNANAEVKKKVPKLWDRVKKFDIFFCGPNFNTVYC >Solyc07g015985.1.1 pep chromosome:SL3.0:7:6058490:6062098:-1 gene:Solyc07g015985.1 transcript:Solyc07g015985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQGEVGQTSNPEIDFEVRISTYMRGVLGLNSTNRDNFEEYLNQSLEALQIKDCNEDLLGWRSRRSVAFLILSKMVRDVLAIQASLVPPEVRNSLLLGKNKNYTSSVGYKGIPLTEISCVPSAVASSSELPPLVSALKASAEENAASFHFPGHNRGQAAPSSLTQLIGAKPFLHDMPELPELDNLFSPEGPILEAQKEAARLFGALETWFLVGGSTCGVHAAIMATCSPGDTLILPRNSHISAISAMVLSGALPKYIVPEYDFKWDVAGGITPSQASKTS >Solyc03g120740.1.1.1 pep chromosome:SL3.0:3:70509919:70510419:-1 gene:Solyc03g120740.1 transcript:Solyc03g120740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTKESESLLDKIRPPLLEDAGLEDCALPPELIKEAFFKAATAVRSMIPLTDDEFEGQCVKTPSPIEDSTKDALVGMTEGIDNIPGKCVTEKGGGGEVPEVTSDVVADNKDPEEGGDLVGGSSLPEGGQSCVDGLQGLEIGDKGGKIKKKEEEESEKPTLVEGFV >Solyc10g037873.1.1 pep chromosome:SL3.0:10:17923958:17937131:-1 gene:Solyc10g037873.1 transcript:Solyc10g037873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTMYTSTLRICRRPLENHKRTESAIAEDFPKVLKDIEQADSKERALSAIFMSITNSELKKNFLDRRTEGEGIVIKGRTQHTYFNRRRFNARLKSRGMKQNCYECGEQGHLKRDCPRLKEKRGNQKLITQQIYNYLENIVDNVTFNGYASIEGKSIPETHHDVSDYIELEVESLLAQRNSSNVEQVQDINQDDKDAPIQQQSYNIATSRKKRVINQQKQFANVVTENLFRYAKFIEFSF >Solyc06g064540.2.1 pep chromosome:SL3.0:6:40328790:40329449:-1 gene:Solyc06g064540.2 transcript:Solyc06g064540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRCAACKQLRRRCPSDCIFLPYFPPNNPQRFSFVHRIYGASNVGKLLQQVQEHQRADVADSLYYEAYCRIKSPVYGCVGIITILHQEIDRLERELVKVQAEISLVKGQTQIEGQLAQGQQVQLSSSSFHLPTTTPWF >Solyc01g095220.2.1 pep chromosome:SL3.0:1:86436723:86439412:1 gene:Solyc01g095220.2 transcript:Solyc01g095220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSSFFHRVSDNAARLYIKRDTHVMYYHRGSVKTLGLSWRP >Solyc10g051130.2.1 pep chromosome:SL3.0:10:51473844:51477038:-1 gene:Solyc10g051130.2 transcript:Solyc10g051130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALATLCGQAVGAGQFNMLGVYLQRSWIITGVTSLLLTPVFVFTSPILRLLRQDKDISHLAGKYAIWIIPQMFAYALNFPMQKFLQSQSKVWVMAIISVGGLAIHVLLNWILVVKGGHGLFGAAIAGNISWWLLDIAQLIYIISGYFPEAWTGFSCLAVKSLTNFVKLSLASAVMVCLELWYFTAVILMVGGLKNATVSVDAISICLGLQLWTLTVAFGFTSSTSVRVSNELGAGNPKAAKFSITVNVLTSAVIGLIISATILATRKEFPRLFTNEQHVIKETSKLGYILAAIIFLNGIQPVLLGVAVGAGWQLQVALISIGCYYGFGLPLGALLGYKFKFGVEGILSAMLAGSLLQTLFQFLIIMRTSWQKQALQAEQRVRTWGGEVENQSTS >Solyc04g014970.2.1 pep chromosome:SL3.0:4:5184164:5190400:1 gene:Solyc04g014970.2 transcript:Solyc04g014970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTMVKIMVARTKQEGAGCQANDERQTLRPRNDGNFLMYRIINCLC >Solyc08g075350.2.1 pep chromosome:SL3.0:8:59597958:59601499:1 gene:Solyc08g075350.2 transcript:Solyc08g075350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVYFTFNNHFVDTLLKLTLGLNIKNKECLPFEQLSLVLVYIPNICRLLVLSKFYHFHFQKYGSKALFFCPSLSNKISQHVPPLHLSSHTLFPKDNFFITYGKAQVNAYTSPATHQLFDKVSQRRIQDFKNGKGDEIFELVNMVKENPDILNASQVHGLVLKVGMLAHLPTVTTFLIIYSRAKNLSSSLTLFAEIINKDVVTWNAIMTACIENNSFAFAVNIFAEMVNGGKQFDSATLVIVISALSNMRDFRKGLVVHCLGMKMGMLWDSILGNALIDFYAKCSYLSSAECVFIDIECKDVVSWNSVISGCLYNGNPEKSLLYFRQMANLEKGADSVSLSCAITASACLDEFYCGHTIHGWGIKLGYEERCHLSVANSLISLYFRSGDTDAAEYIFNKMECTDIITWNSMISGFALNGKITDAFDALHEMQFIRTIELDAVTLISIIPLVAEFMLLREGKATHAFAIRREMGEELSMMNALMDMYFNCGRVKDAEQLFLNMPKKDIVSWNTIISGYSQNGWCREAQSLLKKFHSGSSECSLSTLLAILPACDSPNLIQFGKLIHSWEVKLGFVNNTILVNSLMYMYTCSGDLVASFKLLEEIAYTADVDSWNTVISGCTQNGHYWEALNAFKLMRLKSNIIHDTITLVNIIPAFGDLELTCEGKSIHALALKTSAGQDIRVQNALVTMYGKLSNVKSATKVFELCFYHNLCSWNCMISALAQNKNSKEAIELFRLLEFKPDEITIATVLSACRQLGIIRHGKQIHSHLIRSGFYKNAFVSAALVDMYSSCGRLDIALQVFQSSAERSIAAWNSMISAYGFHSNGQKAIDIFHEMINSGLTPSKVTFINLLTACSHTGLVDQGFWYYNHMLDEFGVQRSTEHHVCVVDMLGRSGRLHEAYNFVKELPIPPDPGIWGALLSACNYHGDLQLGKEVASLLFLLEPENVGYHLALSNIYVATGSWKEAGELRDIVHIKGLKKSAAYSIIDLGSKHLVECLQETIQLLLAFAVLPPFWRVHLRGVILILNYAFSIDQFAILWSYYLNVMYLKYFA >Solyc02g070910.2.1 pep chromosome:SL3.0:2:41056266:41068536:1 gene:Solyc02g070910.2 transcript:Solyc02g070910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLKTVVYALAIFSITFLIPLSSGQNPRFEVEVAALKAFKSSISDDPFSALVDWTDVNHHCNWSGIICDPSSNHVINISLIETQLKGEISPFLGNLSKLQVLDLTLNSFTGNIPPQLGHCTDLVELVFYQNSLFGEIPAELGNLKKLQLIDFGNNFLNGSIPDSICNCTELLLVGFNNNNFTGKLPSEIGNLANLQLFVAYTNNLVGFMPTSIGMLTALHTLDLSENQLSGPIPPEIGNLSSLGILQLHLNSLSGKIPSELGLCINLFTLNMYTNQFTGSIPPELGNLENLQMLRLYNNKLNSSIPASIFHLKSLTHLGLSQNELTGNIPPQLGSLTSLEVLTLHSNKLSGEIPSTITNLANLTYLSLGFNLLTGSLPSEFGLLYNLKNLTANNNLLEGSIPLSIINCSHLLVLSLTFNRITGEIPNGLGQLSNLTFLSLGSNKMMGEIPDDLFNSSMLEVLDLSDNNFSGKLKPMIGRLAKLRVLRAHSNSFLGPIPPEIGKLSQLLDLALHKNSFSGAIPPEISMLSNLQGLLLSDNKLEGELPVQLFELKQLNELRLKNNNFFGPIPHHISKLESLSLMDLSGNKLNGTIPESMTSLRRLMTVDLSHNLLTGTLPRAVLASMRSMQLYLNVSSNLLHGEIPDEIGVLEMVQEIDMSNNNLSGSIPRSLERCKNLFSLDLSGNMLSGPAPGEILTKLSELVFLNLSRNRLEGSLPEIAGLSHLSSLDVSQNKFKGIIPERFANMTALKYLNLSFNQLEGHIPKGGVFNNIRLEDLLGNPSLCGKKFLSPCHIKRNRTSSHGFSKKTWIILAALGSVFSLILLVLGIFLFHRYMKKKKVNDTEFTNPKCTAALSLQRFYQKDLEHATNNFRPENIIGASSLSTVYKGTLEDGKIVAVKKLNHQFSAESGKCFDREVKTLSQLRHRNLVKVLGYAWESKKLRALVLEYMENGNLDNMIYGQVEDDWTLSNRIDILVSVASGLSYLHSGYDFPIVHCDMKPSNILLDKNMEAHVSDFGTARMLGIHLQDGSSTSSASAFEGTIGYMAPELAYMRKVTTKVDVFSFGVIVMEIITKRRPTSLTGADELPITLHQIVQNALANGINKLVQIVDPNLASYVSKKQDVVEGLLNLALSCTSPDPEDRPDMEQVLSSLSKLSKMDCMPSHLLKFVKRVKLLSFEPMMSKTNVYALAISFSTFFIALSFGQTPSLEVEVAALNAFKNSVTDDPFGALVDWTDANHICNWSGIICDPSSNHVINISLVGMHLKGEISPFLGNLSKLQVLDLTLNSFTGNVPAKLGHCTELVQLILYRNFLSGEIPAELGNLKNLQLLDFGNNSLSGRIPESICNCTELLFVSLINNSLTGKLPSEIGNLANLQLLEAYRNNLVGSIPTSIGMLTALQTLDLSSNQFSGPIPPEIGNLSSLEILQLHHNFLSGKIPSELGLCINLVTLDMYNNQFTGGVPPELGNLENLHRLRLYNNKLNSSIPASLFHLKSLTHLGLSHNEQTGKIPPEFGSLMSLQVLTLHSNRLYGEIPSTLTNLANLTYLSLSFNLFTGSLPPELGLLYNLKNLTASDNLLEGPIPSSITNCSHLRVLTLTFNRITGKIPNGLGKLSNLTFLSLGSNKMWGEIPDDLFNCSMLEVLDLSGNNFSGKLKPMIGGLSKLRVLRAHSNSFLGPIPPEIGKLSQLIDLVLHKNSFSGVISPEVSKLSNLQGLSLSDNKLEGELPVQLFELTRLYELLLQNNNFFGPIPNQISKLELLSLLDLSGNKLNGTIPESMESLHRLMTLDISHNLLTGTFPRVVLASMRSMQFYLNFSSNLLDGEVPFEIGMLEMVQGIDMSNNNLSGNIPRSLGRCKNLFSLDLSGNMLSGPAPGEILTKLSELVFLNLSRNRLEGKLPEMVGLLHLRSLDLSQNKFKGIIPERFANMPALIYLNLSFNQLEGHIPKGGVFDNLRSADLQGNPSLCGTEFLSPCSIKRNQTSSHGLSKKTWIILGPVLVLILHVVGIFVCHLYMKKQKVKDSEDIIPNYTSALSLQRFYQKDLEHATDNFSPQNIIGASSSSNVYKGTLEGGKIVAVKKLNLQFSAEIRKCFDREVKTLSQLKHRNLVKVLGYAWESKKLMAVILEYMENGNLDSFIYGQMADDWTLSNRIDILVSVASGLSYLHSGYDFPIVHCDLKPSNILLDKNMEAHVSDFGTARMLGIHHQDGSSISSASAFEGTIGYMAPEFAYMRRVTTKVDVFSFGVIVMEIITKRRPTSLTGADELPMTLNQIVQNALANGINNLVQIVDPNLASHVSENQEVVEGLLNLALYCTYPDPDDRPDMEQVLSSLSKLSKMVIMHSQACLVKY >Solyc01g014560.3.1 pep chromosome:SL3.0:1:14270127:14271435:-1 gene:Solyc01g014560.3 transcript:Solyc01g014560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILAQTKFAIPLGSSLIANDETTSWLSQSGDFAFGFKKIRSQNQFLLCIYYAKIKEANIIWYANGGNLVPRGSVAELNPQKGLILRDPKGKMIMWSTGRIDSNVAYAVMNDTGNFVLVGVGSSVLWESFRYPTDTLMPTQMLEINNKLVVRKSESFFGPGRFYLHMLSDGNLVLVTQSKPTNFNYDAEYYNSHTSDSGDEANSGYQLIFDELGSVYILKRNNQRLVLTPPNVPSISENYHRLSLDFDGVLTHYYRPKSTSTGDQKWSTLWSLPNNICLAIVEDIGSGVCGFNNGNPEDLYDFDVVTDVDWPLSDFERIYPSAEEECKKACLEDCFCAVAIYRSNSCWKKKLPLSNGRVDTSLNVHG >Solyc12g042430.2.1.1 pep chromosome:SL3.0:12:58623980:58628615:1 gene:Solyc12g042430.2 transcript:Solyc12g042430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:K4DF36] MLKVPQHQVAGHEAGIGKLGPLVDESGRFYKPLQGDERGASEVAFYTSLSTNSGIPEHIQRFFPTFYGTQLVEASDGSGLLPHLVLEDLALGRVNPSIMDIKIGSRTWAPEASEKYIQKCLKKDRESSSLSLGFRISGLQIYRSKELGLWKPGKKAAQKLSREEVKLVLRRFVSSNTLNDLDSRPDCAFASTVYGGSTGILSQLLELKAWFEDQTIFHLYSCSILVIFEKELALKGKNPGAQIKLIDFAHVYEGRGVIDHNFLGGLCSLIKFISEILTAPNECGIEVSAKADHKNLTADNGVVADHKNLTDSDNGVVAIQKNLTTSDNGVVADQKNLTVSDNGVLADQKNLTVSDDNCVVADQNGVS >Solyc03g043855.1.1 pep chromosome:SL3.0:3:7544396:7545237:-1 gene:Solyc03g043855.1 transcript:Solyc03g043855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMAVGSPKVAVAVFIVKENKLLIGKRQACVAHSYFSVPSGHLEFGESFEECATREVKEETGLDIMKIKILKVTNNLFRDDEENLASHYVVILVRAVLVDPNQIPQNLEPQKCDGWEWYDWENLPNPLVPQFKSAIKQGFNPFQDNFKEY >Solyc10g006630.2.1 pep chromosome:SL3.0:10:1136093:1137588:1 gene:Solyc10g006630.2 transcript:Solyc10g006630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPIERRFFKKFVPVITYEDIQPDINRIANGDTSPILCSQPVSEFILREVVYVGSLFTSAFIRAMRFLEDHWSQLCKDIRTGTITNKVTDPSVRETRLGVGLISSRLNAATIHGKGFQVKYLTHSFRPWPISSSCQFTGAMNSSILSPFPTLLNVKDEQQLVDLIDVKTGQEYELVVTTYSGLYRYRVGYVLRVAGYKNNAPQFNFIRRENVILTIDFDKTNEFDLQNAVDNAEINLMPFDARVVDYTSYADTATIPGHSVLFLELNVNVSAPIPPSVIEDCCLTIEESLDKSIGPLEIRIVETGTFDKLMDYCCTSLLGASINQYKTPRCVAPFVELLNSRVVSSYFSPVCPKWVPGSKQWNDTN >Solyc07g055300.3.1 pep chromosome:SL3.0:7:63511325:63517140:1 gene:Solyc07g055300.3 transcript:Solyc07g055300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRSYTNLLDLASGNFPVMGRERDRRRMSRVMTVPGSICELDDDQAVSVSSDNQSSLAGDRMIVVANQLPLKAKRRPDNKGWSFSWNEDSLLLRLKDGLPEDMEVLFVGSLSVDVDPIEQDDVSSYLLDKFRCVPTFLPPNIVEKYYEGFCKRHLWPLFHYMLPFSPDHGGRFDRSMWEAYVSANKMFSQKVVEVLNPEDDFVWIHDYHLMVLPTFLRRRFNRLRIGFFLHSPFPSSEIYRTLPVREEILKALLCSDLVGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGHIESMKKIADKELKLKELKQQFEGKTVLLGVDDLDIFKGINLKLLAMEHMLKQHPSWQGQAVLVQIANPMRGKGIDLEEIQAEIQESFKRINKQFGKPGYEPVVYIDRSVSSSERMAYYSVAECVVVTAVRDGMNLTPYEYIVCRQGVSGAETDSGVGGPAKSMLVVSEFIGCSPSLSGAIRINPWNVEATAEAMNEAVAMAEQEKQLRHEKHYRYVSTHDVAYWSKSFLQDMERTCADHFRKRCYGIGLGFGFRVVSLDPNFRKLSIDDIVNAYIKSKSRAIFLDYDGTVMPQNSIIKSPSANVISILNKLSGDPNNTVFIVSGRGRESLTKWFSPCRKLGLAAEHGYFLRWELEQKWEVCSQTSDFGWMQLAEPVMQSYTDATDGSCIERKESAIVWQYRDADSGFGFSQAKEMLDHLESVLANEPVAVKSGQHIVEVKPQGVTKGLVAEKVFTSLAEKGKLADFVLCIGDDRSDEDMFEIIGDALSRNIISYDAKVFACTVGQKPSKAKYYLDDTSEVVLMLDSLADATDTPVTSDDDEPADSD >Solyc06g073330.3.1 pep chromosome:SL3.0:6:45322356:45330138:-1 gene:Solyc06g073330.3 transcript:Solyc06g073330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSEKKPASQPQKVEKKPAAQSQKNSAADDDMDPTQYFENRLKALAAEKENGQNPYPHKFQGENHMSIPKFVNKYGVLESGVHSEDEVSLTGRIMNKRASSAKLLFYDLHGEGAKVQVMTSARDSVLNEEDFIKFHSGVKRGDIVGITGCPGVGFLFPVSLVTVVICGSVGLGGKSKRGELSIFPKSFIVLSHCLHMMPRQKGAQTETAKNPEAWVPGSGRNPDSYVLKDQETRYRQRYLDLMLNLEVRHIFKTRAKIITFIRSFLDNRDFLEVETPMMNMIAGGAAARPFVTHHNDLNMRLFMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTENMLSGMVKELTGGYIIKYHAKGLESDPIEIDFTPPFRRIDMVEELEKIANLNIPKDLSSDETNKYLIDACAKFEIRCPPPLTTTRLLDKLVGHFLEETCVNPTFIINHPEIMSPLAKWHRSKPGLTERFELFINKHELCNAYTELNDPVVQRQRFADQLKDRQSGDDEAMALDENFCTALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPTSKESNKKPQEEAKPQDGQAKPQDELTMKDVEKQISDIKMN >Solyc10g039197.1.1 pep chromosome:SL3.0:10:20785056:20786038:1 gene:Solyc10g039197.1 transcript:Solyc10g039197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEKMRNVVAILLLLITIIILMIQHVEGIINCDEVEHSLSACISYLIEDVQPYPSCCNGVRNIKRMAPSVSDQKSLCICLMNAAKRYSNLKEDALQTLPHKCGIKLDFPISTTFNCQLLH >Solyc01g090990.3.1 pep chromosome:SL3.0:1:84556697:84563336:-1 gene:Solyc01g090990.3 transcript:Solyc01g090990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGEFINIYPTELKFQFELRKQSSCSMQLSNKTDQYIAFKVKTTNPKKYCVRPNAGVVLPGSSCNVTVTMQGQKEAPPDMQCKDKFLIQSAIAPTGTTNKDVTPEIFNREDGKVIDEFKLRVVYVPANPPSPVPEGSEEGGSPRTSLTEDDSKSTSLPEAVSRSLEESKAKSSPSEAWSLISRLTDEKASALQQNQKLRQELELVRKEINKSNAGGVSMLFVVLIGVIGLLVGYLIKKT >Solyc10g081910.2.1 pep chromosome:SL3.0:10:62977277:62983031:1 gene:Solyc10g081910.2 transcript:Solyc10g081910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSSMEAVQLMGFALTLFISLFSVVYSVTDLNDLAIINEFKKGLENSELLEWPVNGDDPCGPPAWPHIICTGNRIQQIQVMGLGLKGSLPQNFNKLSKLTNLGLQRNKFSGKLPSFSGLSELRYAFLDFNMFDSIPLDFFNGLMSLEVLALDDNPLNATSGWSLPNELQSSAQLTNLTLMNCNLVGSLPEFLGNMSSLDVLLLSKNRLSGTIPGTFEDSELKMLWLNDQIGDGMSGSIDVVSTMRSLTSLWLHGNHFSGKIPKEIGNLTYLQDLNVNSNDLVGLIPESLANMSLGHLDLNNNHFMGPIPNFKAINVSYQSNSFCQAKICAPEVMALLEFLDELNYPSKLVESWSGDNPCDGPWWGLSCDINQKVIVINLPKSNLSGTLSPSIAKLESLTHIYLGSNNISGSIPSSWTSLKHLVLLDLSNNHISLPLPEFTPPLKLILSGNSLLNSSPLRASPSQKNSTSAATSPSSSTIKSSSSKSKLVIFVVPIASFTLLVSLAMLLYVYVRKRSMDRHKGPTSLVVHPRDPSDLDRMVKIAISDETKGSLSILAERGSSSIHSGKYPVTEAGNLVISVQVLRDVTKNFAPENELGRGGFGVVYKGELDDGTKIAVKRMESGVISSKALDEFQSEISVLSKVRHRNLVSLLGYSVEGNERILVYEHMPQGALSTHLFNWKNLNLEPLSWKRRLNIALDVARGMEYLHTLAHQCFIHRDLKPSNILLTDDFRAKVSDFGLVKPAPNGEKGSVVTKLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGWMALDDDRPNESQYLVAWFWNIKSSKEKLIAAIDPALDVKQESTFESIYTVAELAGHCTAREPGQRPDMSHAVNVLSPLVEKWKPLEEDSDDDCGIDYSLPLNQMVKGWQESEGKDLSCVDLEDTKGSIPSRPTGFAESFTSVDGR >Solyc02g077360.1.1.1 pep chromosome:SL3.0:2:42885146:42885922:1 gene:Solyc02g077360.1 transcript:Solyc02g077360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHHVENNNQEQDQVACEEILENVWANFISKNDQNSQKVTNEYCCEQYWEQLPILERLPSLGRWISMGAETWEDILNGIIIPSHNNENSNDESTCKDVVNVEKKEEKKKMVHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFSTAEEAAMAYDKAALRIRGPKAYLNFPHEMVAQAIGISNGPCEKEWTFSSSSQYNSRKRVSRDWNMYENLDEINQLPMEKKIMRSMEEDLFNDLDILEFEDLGSDYLDSLLSSL >Solyc02g092820.3.1 pep chromosome:SL3.0:2:54429005:54432165:-1 gene:Solyc02g092820.3 transcript:Solyc02g092820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASWFNINGAIDRETFKSKVPVVTYEDLKPDIDRIANGDRSPIFSSHPISEFLTSSGTSAGERKLMPTIADELERRQKLYNLLQPVMNLYVPGLDKGKGLYFLFIKAETKTPSGLVARPVLTSYYKSEQFKTRPYDPYNVYTSPNETILCVDSFQSMYSQMLCGLLMREEVLRVGAVFASGLLRAIRFLQLNWKQLSQDIASGTLNPRVSDPSIRNCISQILKPNPQLAEFVAKECEGENWEGIITRIWPNTKHLDVIVTGAMAQYIPTLDYYSGGLPKVCTMYASSECYFGLNLNPLCKPCEVCYTIMPNMGYFEFIPHDPVNPVQISRDSPPHLVDLADVEIGKEYELVITTYAGLCRYRVGDILQVTGFHNSAPQFKFVRRKNVLLSIDSDKTDESELHTAIENATALLRPYDTTLVEYTSFADTKTIPGHYVIYWELLVKDPANPPSDDVLNQCCLAMEQSLNSVYRQCRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKAPRCVNFTPIVELLDSRVISVNFSPKAPHWTPERRR >Solyc07g032785.1.1 pep chromosome:SL3.0:7:41130789:41131074:1 gene:Solyc07g032785.1 transcript:Solyc07g032785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGVNSTLVYTHGAMFILSFIFVFEAMLTVFSRFYSDAISKKLVYIERNTCNLFEGSWVIDDNVINPLYNTTTCPFI >Solyc10g080680.2.1 pep chromosome:SL3.0:10:62019257:62023723:-1 gene:Solyc10g080680.2 transcript:Solyc10g080680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVGNPANIFWHENPVGKAEREKLLNQQGCVVWITGLSGSGKSTLACSLGRELQSKGKLSYVLDGDNLRHGLNKNLGFSPESRTENIRRTGEVANLFADAGLICIASLISPYRKDRDACRALLPDKKFIEVFMNMPLQLCEDRDPKGLYKLAREGKIKAPHFVGFTGIDDPYEPPLNCEIEIQLKDGVVPTPHEMAGQVVSYMENEGFLEA >Solyc04g011925.1.1 pep chromosome:SL3.0:4:4304775:4305951:-1 gene:Solyc04g011925.1 transcript:Solyc04g011925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILGTVDPAKVHYIMSANFPNFPKGLELKKIFDVLGDGIFNSDLELWKSQRKLAREMIIHMRFHKCLVKTNVDKVENGLILVLEMKAEFLICKILTFDTTCDPDCLSLELVNVPFLNAMDDAKDKLSKAEEDLDQVLGKYISMKREELSNAIKSEEDDEGYDLLTSYMVNDAETKTGLKFDNKFLRDTILNFMFAGHDTLSRTPAIEKKIREELKAIVLLGEGEKRRLFKADEVKNAIYLHAALCESLRLYPPVAIQHKTPLEPDIFPSGHHVHSNMRVMIPLYAMGTMEYIWGHDASKLKPERWISDRGTVKHEPSYKFFSFNAGPRTCLGKEVAFTQMKIVASTIIHN >Solyc11g039810.2.1 pep chromosome:SL3.0:11:42306884:42312472:-1 gene:Solyc11g039810.2 transcript:Solyc11g039810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKHQNIVELHEVMVSKTKIYFTIEYVKGGELLEKVVKGKLRENNSRGYFQQLISKIDFFHSRGAYQMDINPENLLLDEKGNPKVTDYGLIAFTNHLRQDGLLRTTCVLLLILPLKYVVIMDIILQHKIFCHVELFFMKIHKGYFKCPPWVSSDSRKLIGKMLDPNLRTRITA >Solyc07g020830.1.1 pep chromosome:SL3.0:7:13962274:13962561:-1 gene:Solyc07g020830.1 transcript:Solyc07g020830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTELVLGYQGLRLRGLRERVFGW >Solyc01g022780.1.1 pep chromosome:SL3.0:1:32868182:32868911:1 gene:Solyc01g022780.1 transcript:Solyc01g022780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDALVLIKYQQKGGIKHEDFPGGHPCYYYSRPNTLNFGVLMGSGAFVLI >Solyc06g050610.2.1 pep chromosome:SL3.0:6:33401615:33412366:-1 gene:Solyc06g050610.2 transcript:Solyc06g050610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGRKTSTVGLKEKSKYTSTVNGSTSARNLRKADLGSVIFGCKHLTYKECMFKQLFGLPASHFSYVKNINIGLTLFLFNYSDRTLHGIFEAASPGQLNINPYAWTSDGTESTPYAAQVRIRVRKLYHPLTEDQFISIIGDNYFAPKLFWFELDRSQTKRLVDLFSSLPAFDDVISLQIPSKLKHPFKSSPTTVPIDAVGKTEDWKHLDHGGWADTPRLVNTDTTENLNYEKSHASVLRSTSASTSVIEPMSNSQKLWSSLFKSSASDMDKMDPTSNMDKTDPVLNSSSSLSSPFPDKGRMDWDSCLPSSVDKDGHMYQAWGLVEHEEPVESISGSASCSMQNQSISSSQQSKLCERQYTVQESEHSELAVSELNLQKLNELNIEWASSCGGSQHAESSMDNDNVEVPDDGPTSLMGLKEEGQRDISQTSFANNISSEVLGILKQVNPSDPLAFVAKLIGEVEGLKRSKLEQDRQMMILEQELLNRTVH >Solyc08g066875.1.1 pep chromosome:SL3.0:8:55807884:55814822:-1 gene:Solyc08g066875.1 transcript:Solyc08g066875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIGEKYKLGRKIGSGSFGVIYLATHIETFEIVAVKIENRQTRHPQLLYEAKLYTILQGGSGIPNIKWRGVDGNDSVLIIDLLGPSLEDLVVHCGRKFSLKTVLMLADQTITRIEYLHSKGFLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDPTTSRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKADTKKQKYDKIREKKVSTTIEVLCKSLPVEFASYLHYCHSLTFDQRPDYGFLKRLFRDLFTREGMDFKFIF >Solyc05g026250.2.1.1 pep chromosome:SL3.0:5:40256734:40257587:-1 gene:Solyc05g026250.2 transcript:Solyc05g026250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPSIRRMITGCLKTRHKTINSQLKNQILGI >Solyc01g104670.3.1 pep chromosome:SL3.0:1:92984857:92989308:-1 gene:Solyc01g104670.3 transcript:Solyc01g104670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:K4B241] MSFRSIVRDVRDSIGSLSRRSFDLRLSGHPRDKSHGSFYDLSDQPPVIQDSCWANLPPELLFDVVRRLEESESTWPGRKHVVACAAVCRSWRSMCKDIVRNPEFCGKLTFPVSLKQPGPRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDAENISRSSNTYIGKLRSNFLGTKFIIYDTQPPHTGAHVPPPGRTSRRFTSKKVSPKVPTGSYIISQITYELNVLGTRGPRRMHCVMHSIPDSALEAGGSVPGQPELLSRPLEDSFRSISFSKSLDHSTEFGSARFSDIAGGSTNEEDDNKGKPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQQPAAAPTTSQPTSQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Solyc04g074550.3.1 pep chromosome:SL3.0:4:60617283:60621805:1 gene:Solyc04g074550.3 transcript:Solyc04g074550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNFVLSMELQQYLLKDQEEKSPMTAKPVEETEVKTPSAAPAEEVLSKTEEAPVVESIKTAPAPEESSEAVTESAEASPPVEASSDESSESTEDETSGDQESAVETPAIKLETAPADFRFPTTNQSRHCFTRYVEYHRCTAAKGEGAPECDKFAKYYRSLCPGEWVRARAEEFTATTLQTQLQAAAGDDDVDRWNEQRENGTFPGPL >Solyc01g010480.3.1 pep chromosome:SL3.0:1:5308755:5314476:-1 gene:Solyc01g010480.3 transcript:Solyc01g010480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQRGSMIEHSFDCYSAGFQESLDELPDCFTITDPYISGNPIVYASRGFLEMFGYSKYEVIGRNGRIFQGPKTDRRSVLEVREAIREERDIQISLLNYRKDGTPFWMLFHMCPVFDEKDGRVIHFLGVQVPILRRPKPSGVGLNLCQDVAGCRESVLRCYRREVHSMSVERALSLASGSGLDFTGIDVEGPCDANDLEKRKATAVINNILTVLVHDGESTGRLVRGKRHSQPGTGLLGASLNISLGRIKQSFVLTDANLPDMPIVFASDNFLKLTGLSKNEVLGYNCRSFSEKETDSSSQFRIKECIQNEQPCTLRMLNYRKDGTSFRLHISPVRNASGKVAYFVAVEGEDNNETKENQGLRQRGVVAAVKVAVRGLSMSVRTC >Solyc10g044820.1.1.1 pep chromosome:SL3.0:10:28175711:28175917:1 gene:Solyc10g044820.1 transcript:Solyc10g044820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRLMHWFNWFCKFRFHWFNNFRFSGKWCSFCCYIPNSSTVKRDLGTGATTSSGFSSNFSTTSSSNG >Solyc04g014900.3.1 pep chromosome:SL3.0:4:5145280:5147627:-1 gene:Solyc04g014900.3 transcript:Solyc04g014900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSIMKNNILLLLILLFLIQFSVSLSSSNETDQRALLAFHNLITTPNHFLANNWTKSPSFCSWFGVTCTPKRQRVVALDLPNLQLQGTISPSLANLSFLSVLNLQNNSFHGGIPYGLGHLPRLRVIDVKNNQLNGSIPTSLFQNRRVEVISLGEMWRGPWYVPQLRVLNLRKNSLTGIIPPSVGNATKMMNFSLSGNRVSGNIPTEVGNLSQLAFLSLRDNQLTGPIPPTLFNISSLLSVALKGKSIDVENIPEIRTHQLVSYQEIQRATNNFDESNLIGEGSSGSVYKGTLFGGTAVAIKVLDLENDQVCKRFDTKCQVMRNVRHRNLVPVITLCSSNYIRAFVLHFMPNGSLENWLYNEDRHLNLHQRVAVMLDAAMAVEYLHHGHVTPIVHCDLKPANILLDEDMVAHVGDFGISKILAVSKSVAHTETLGTLGYIAPEYGSEGIVSASGDVYSYGIILMEVLIKRRPTDEEICNENLDLRKWITQSISGRMMEVVNANLFSEKKQITCKSEMCITFMIELALDCTKETPESRITMKDIVKRLNKINNTFLET >Solyc12g096280.2.1 pep chromosome:SL3.0:12:66250738:66255298:-1 gene:Solyc12g096280.2 transcript:Solyc12g096280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAKEASSSISNFSNEFQSSQQMDWLRVQDSLKGKLITEDDFKWRLPTREKEGSCEILKYVGGVDLSFSKDDSSIACGTLVVLDLTTQKVVYEDSSIVRLHIPYLPGFLAFREAPVLLELLDKMKNNAHCFYPQLLMVDGNGLLHPRGFGLACHIGVLADLPTVGVGKNLHHVDGLTQSTVRELLQAADSPEHILPLIGDSGCIWGAAMRSSEGSLKPIFISVGHRISLASAIAIVRMTCKFRVPEPIRQADIRSRERLRNNQ >Solyc03g116710.3.1 pep chromosome:SL3.0:3:67484641:67485631:-1 gene:Solyc03g116710.3 transcript:Solyc03g116710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKVVVEDTFVHTEETSLTVRKTSLFFTGDGFTAYDCKGQLVFRVDTYGPDSRDLGELVLMDATGRCLLTVRRKRPSLHNRWEGYLGEREEGKKPIFSVRRSSIIGRSNVTVEVYSNPTEEYQIEGSFAQRNCTFFNADKVSVAEIRRKVDACAHVVLGKDVFSLSIKPGFDCAFAMGLVLVLDQIQVDDFAENRIDADPIITDDPNFSS >Solyc02g078020.3.1 pep chromosome:SL3.0:2:43394929:43401036:-1 gene:Solyc02g078020.3 transcript:Solyc02g078020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSAAAFNDQKEKLSTFLEIANTEKQLLTSIYSKGLLHKDVQELYHKARASYENIIVNNYEVVGLQEVEFSLWKLHYKHIDEFRKRIRQANAEKKKIETQEGDSSAAREIDNHMEGLKSFLSEATEFYQELTKKLRQSCGLPRELLLCKNGSMSLPLVPMKLPQCQYACHRFLICLGDLARYGELCKKPDAFKWSLAATYYFEASRIWPDSGNPHNQLALLATYTGDPFLALYHCVRSLAVKEPFPDAWNNLMLLFEENRSSILHSYSGGACLDLLKPSVWCSMDAINRVTSGSFNKNMPETTETVTSGKADIWLLFVRLMSFFLVYSSLEDFQSTLASTVRQLECLVVMDVDELKASLESYQLMDLSRKGPYRALQLVSVFIFIFHSLTESGDGVDPKKDNKQQSALTELAVAATFICAGRLVEKASTRNSTQTCPLLPTVCVFVEWLVNILDRAEAHARDEKVQSAISYFFGALADLLNRLDPCENELALESTALWEDYELKGFHPMAHAHKSLDFTSHLECIDNFSSKSVCRSQRIFRAATKLAHRSSHSRKWISYDKTGKRFHIMDSELADKGKPGVAESVSTLPLKETYQNNCGMAMENGESQDHSCLSSQSVTTDEEEVILFKPITRHNSEPIYTSGTSCDQFSINVINGTAASDESLRRATSLISEQSNPQNDIFSFRPESTNLRYSKPLKQSTAFPAGPPSLNAWVLEKETPRNERGLRDINRQQLSPIDELASESLSGLSLKETRDHNVRSMLVSAAIHDTPSPYVTPVPSAPLLPEDASWFKGNSSVFPNKSAFGTKEGDGILGASPVGGYSSPSTVRGPLDFVAGAPRFVEGYPPLLGMSSSEWLYHYRNSQNFERVSNPVWPVHSNAPATYGNLNATNLTRFDVLDQWGNHLASSPMVYLESPQLHPSPPLAYGAEEQIMGKHFLGYERASPYVCGTGMDFRQEQPTLLNYLKERERQIPPESQYKGPNFMGN >Solyc11g011800.2.1 pep chromosome:SL3.0:11:4780900:4783448:-1 gene:Solyc11g011800.2 transcript:Solyc11g011800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISVSTESSVSMASSVSTANSASMAINSESAKALFGPESYPLVRLLNNLNSDDDDLRKPAKDLIDYTKKNYTTSLVEKLFETIQRSQPSSLTGILCYNLLSDTLPPFWPKLSSTTQNKSMINLYNRVRRESDYETLKACCSCTSSLAGLLFPKYEWNGLFYYMLENLGSVSKRNLGVLLLWDELIPKCPEIFQSHVDGLIEGFTYLMPTVTRDHRSRVIAARASVKLILHSSNPASYCKFYGLLGSVLMTLIMSCSNEEDLVCSVLEDLIVLAGVATEFFENDIGVLFESMVKLAECEKLGEKLRQLAIEFVVTVVENREIGRGIMEKVPKEEVTKLLNVLIKMLVHIEEDPRWENAISDDDRNEEEFSICSYGMESLERLAIALGGDAILPSCPACLFKFLDDQDWRIRHAAVTAIGLISEGCSEALLAEMVKFGQSIVNLMFDSHTRVRWATIRTIGQLSTYLSPRYQDEYLWQLLPAFLEVLDDSRNPRVQTRAASVIWLFSHNCSADDLKPYLHKIVNKLVGFLQRGMTMMKEAALETLASLATSSQQDSAYIYDSIMPYLKVILETATKDTSRTLLAKSIECITRVTMAFGNQAIRDYVEKVIAVLISLQETQTEIEDPTRKLSLLVC >Solyc09g011870.2.1 pep chromosome:SL3.0:9:5152637:5154659:-1 gene:Solyc09g011870.2 transcript:Solyc09g011870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKNMKWYYKKVTSKESTISTRFHHHRLLFPLRAQNSDLTTATTNNNYVDLDDNLTRLDKFSKSLSISNIEENTSLNPLLCSNNKLKIAIIGFGNFGQFIAKSFIKQGHVVLAHSRSDYSLIAQSLNVHFFQDPNDLCEQHPDVILLCTSINSLENVIRSLPIQKLKRNTLFVDVLSVKEFPKNIFLQSLPKEFDILCTHPMFGPTSGKDNWKGLPFMYDKVRIGQEESRIKRVNNFINIFVKEGCRMVEMSCSEHDKYAAGSQFITHTIGRMLQRLGTQTTPINTKGYESLLNLMENTTSDSFDLYCGLFMYNNNSMEVLEKLDAALDSLKRELFGQVLQKLEKRVEKGSRLALPTPDFSKKIEKLKVERKELEALS >Solyc03g026415.1.1 pep chromosome:SL3.0:3:3879141:3880668:-1 gene:Solyc03g026415.1 transcript:Solyc03g026415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRKFNNHHQFDDRNSYILQGSREVITFLVSKEFRRLKTYGVSGKKKIEVVAMENEDSSVRRWEDLDIKILLIYAIPQVFRAWRLTCCDPRLWKTLDLSVEFRRLKTYGVSEQKKD >Solyc04g057997.1.1 pep chromosome:SL3.0:4:55060554:55085309:1 gene:Solyc04g057997.1 transcript:Solyc04g057997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNGNHLEGHHLQMPRGSLHLQTSRGSSPLNVESHCIFKRQEDHCIFKHQEGHHLHLQRESLQLNTERVIASLIAKKEITSFIIERSIASSNAERTIIYANAKRVIVHSNAERVIISKPPRGLLHLQPPRGTSSSIFKRAITYSNRQEDHYIFKPPRGSLHIQITERIIISNAERNIIFKCQKVIAFKPPRGSLHFQTLRGPSSSYAKRVTASSNVVRASSNDEKSITFIYQEGHSNAERAIIFICQEGYCIFKCREGHCIQVAKRAIEYPNFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Solyc03g119500.1.1 pep chromosome:SL3.0:3:69572982:69574753:-1 gene:Solyc03g119500.1 transcript:Solyc03g119500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDELFIITQTAAEDNSPNLVDPALQLPHHILHYIFSYLTFHDLFHVRLVNKNWYLNTPTYFKIHFNECLFRDKNPTYYSLNQFELWDSIRSSIDTIKNKLINAEKRVLHVEFINGKRVRDIMKLLEEYNFHEVYFRTTEYEYNFPYILQSKCLNVLHLNKGYLDKHVLCDEVTIPTLKELKLKYFNLSEETLSKYIHKFPNIRVLSLVKCYLDKHGLCDEEVTMPTLKELKLEWFNLSEETLSKFIHKFPNIRVLSMVKCWGINSIVLTNLACLEKLYVNVSDSSSFTNIPSSFTNIQVIAPRLQVFHFILRNKYPESKNVVTMDIRACKMLREFHLNCTRFPNGLDPRNLCSDFPHLETLLLGPCRTKKKPIRTSVRKLILSIPKMYECTRKTLVSSPNLSYFQYKGITFQPYLAPSKLLETNYTIVLVPKLCEIMTRAWFLKWRSHLENFSNHNTTLEIRIKTSLCHPSSKPSGRAPTQPLHYIKHLKLDMNKLKYQEEHLMRYIIDNLLWMSHPNTLTLSIPTSFSKTALVSFFPFLPVQFVSFFRKRK >Solyc05g042184.1.1 pep chromosome:SL3.0:5:56308426:56310431:-1 gene:Solyc05g042184.1 transcript:Solyc05g042184.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRTIQYKKDQVLLIEIHGEGTKVLNAFDVVVKLLRKFLVHHSMIPYQPSRPTSATVSNYQDPYLLDHGTAVDSKTPRSTLPRYGWDIVKKVNVSILFPSRITKLMQVPLGYAEEIIVGQLLLCKGAEYLKKSLLRLRVPHQRLKQLNTLFRSPLTTTKSQPQECMGRVSLSLVLFHFMETLILHHLRFHIK >Solyc12g049617.1.1 pep chromosome:SL3.0:12:62338793:62349020:1 gene:Solyc12g049617.1 transcript:Solyc12g049617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPIAAWITHSDQSMTAFLVTEMLKVGLIVREWQKREEMVTASTMRNVVRKLMTSQDRVVNYVLDLCIYLLYVCIIYAKFDVGRKLQESSVVLRRAREKRSVSMLHQTDGEFIHYAECGEEADDITG >Solyc08g006540.3.1 pep chromosome:SL3.0:8:1150009:1154139:-1 gene:Solyc08g006540.3 transcript:Solyc08g006540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIPFSIVTYIPSKQPLLHCTKTSQTTTDPIPHFIKSSILSSSSKSVNKLAEKISPLPSSFSRRETLSACGLGFSAVLFEALLQPQSNIEAIAEEAAATECEFTVTPSGLAYCDKAVGYGPEAVKGQLIKAHYVGKLENGKVFDSSYNRGKPLTFRVGVGEVIKGWDQGILGGDGFPPMLTGGKRKLKIPPELGYGIRGAGCRGGKLIQRTIPSYILSFSV >Solyc02g065490.3.1 pep chromosome:SL3.0:2:37210061:37218716:-1 gene:Solyc02g065490.3 transcript:Solyc02g065490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEYHHQGLASNEFERPQDQHHHQQQHIGQQIQQEKLRVEQGFEPRGGESSGIQVYETDGMLSEMFNFQTTSTAAMTATELLQEQLSHSNQQPHHQPWFGNRQEIVVGGSLQVPFGDNTKDDVNAKVLSNRDSVTAYYQRQHNQVPSISTTESMQLFLMNPQPNSPSQLSTPSALHQGFTSPVGGHFSQFMCGGASTSSNPIGGVNVLDQGQGLSLSLSSTLQHLEASKVEDLRMSSGGEMLFFNQENQNHHNISFGSSLGLVNVLRNSKYVKATQELLEEFCCVGKGQLFKKINKVSRNITSPTINPSGSNNNNSSSSKDIIPPNLSTADRLDHQRRKVKLLSMIDEAYKRYNHYCEQMQMVVNSFDLVMGFGAAVPYTSLAHKAMSRHFKCLKDGVGAQLKKTCEALGEKDVSNTTSSGLTKGETPRLKLLEQNLRQQRAFQQMGIVMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSKNQVANWFINARVRLWKPMVEEMYQREANEDDDMNSRNTQRPTPDITTTNSKITETKSAAATENDPSMNTHYSSSMQTQLNNSPTIQHESHRSGAEYDGTTDIGSKIMTFGTTTPADVSLTLGLHHAGNLPHFYN >Solyc05g009270.3.1 pep chromosome:SL3.0:5:3413776:3416750:-1 gene:Solyc05g009270.3 transcript:Solyc05g009270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETNHFFSSYFPKKKKKIHLFQIIPKMPENSHSIKLRYIKLGYQYLVNNFLTFLLVPIMATILIQFLQSNPNELIKFYNSLSFTSIHLVCSLFLVTYVTTCYIMSRPRTIYLVDYACFLPPITNRIPFASFMEHAHLILSTEPKSVRFQMKILERSGLSEETALPPPMHYIPPTPTMDLAREEAELVIFSAMDSLLAKTGLKPKDIDVLVVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGVISIDLARDILQQYPNSNAVVISTEILTPNGYLGKERSMLLTNCLFRMGGAAILLSNKKSDRGRSKYRLLHIVRTLKGGDDKSFRCVQQQEDPEGKVGINLCIDLMQVAGEALKSNITTIGPLVLPASEQLLFLLTLICRKLFKSNMKPYIPDFKLAFEHFCIHAGGRAVIDELQNNLQLSTEHVEASRMTLYRFGNTSSSSLWYEMSYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNKTIKLPVDDHNPWADCIDRYPVDIPDVVRL >Solyc01g094000.3.1 pep chromosome:SL3.0:1:85445934:85456728:-1 gene:Solyc01g094000.3 transcript:Solyc01g094000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGGGDAASPPLSSQSTPSNGGEFLLQLLQNHPHQLHSQPQPPLRPELQNLPHDPAVAAVGPSMPYPPLFHTPTNPSVLPYSHSPPLFVPHNFFIRGFLQNPNSGHTTNPNYSSPPAPSGFSQYHHASPLGFGSVGENMGNLGIFGANAKASNSNNEFDHNLIFGSLRSHIQGNVSMMNDRFSDDLASKVGNFEQKNHESRLANVRMLNGVEGKLENVIGSGRKQLGNLRGLEQQNSGGGGGESESESGGLGWGRQFHSGTVRGVVPPPGFSSKPRSRDFEHNVDNEKNNFVELNHRGIGLNHKYERESKHLSRNGKNYAIGSDDQRVFRRLDSPVPPAGSKLHSVLASDVEDSTLELRGEDAESGEETVSVMRDVLGRSSAQGQSELDELGEHVISSLGLEDEPNERSDKKNHHASRDKDYRSDKRGAYILGQRMRMLKRQIACRSDINRMNGAFLATFQSLIPPEEERTKQKQLLALLDGIVSKEWPNARLYVYGSCANSFGFSKSDIDICLAIEDANIDKSEVLLKLADMLQSGNLQNVQALTRARVPIVKLMDPETGISCDICVNNVLAVVNTKLLRDYAQIDVRLRQLAFIVKHWAKSRGVNETYQGTLSSYAYVLMCIHFLQQRRPAILPCLQGMEATYSVTVGNIECAYFDKVEKLYGFGSQNGESLARLVWAFFSYWAYCHDYANDVISIRSGSTVSKRAKDWTRRIGNDRHLICIEDPFEVSHDLGRVVDKFSIRVLREEFERAAEIMQYDPNPCVKLFEPYIPS >Solyc07g041170.2.1 pep chromosome:SL3.0:7:52080727:52081283:-1 gene:Solyc07g041170.2 transcript:Solyc07g041170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSSISSVKCVGNVIARPGCWSFLKGGFVLDLPSSYGLLYLQRSDGEKLNISISSVSLQPFTYQLWKKNQQDAIDKVNS >Solyc06g073870.3.1 pep chromosome:SL3.0:6:45743873:45757135:-1 gene:Solyc06g073870.3 transcript:Solyc06g073870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEENAAELKIGDEFLKAKCLMNCEVALILEHKYEQIQQMSDDPTNQISQVFEKSLQYVKRFSRYKNPDAVRQAREILSRYPLAEFELCVLGNLCPETVEEGIAMVPSIKNRGRALDEEAIEKMLTDLSLIKKFE >Solyc01g058550.1.1.1 pep chromosome:SL3.0:1:66945548:66945853:-1 gene:Solyc01g058550.1 transcript:Solyc01g058550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHLVKGFEEKRIARKSSKITIGKHRETKRIMKKPLKEKLIVGFYRSGGCCEEILVPVKIASNDREIKNTKNIAIFPTLRIGGRVYVHPPADHRAKSKHA >Solyc10g045390.2.1 pep chromosome:SL3.0:10:33200102:33236680:-1 gene:Solyc10g045390.2 transcript:Solyc10g045390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASHLTAPGRSRSSQSPSPSHSASASATSSIHKRKMASDDHAPPFPSSFSDTRDGALTSNDDLESISARGGGADSDSEDDSEEVGDDDEEDYDASSIRNFTASRLETSVAMVPSGRNTKIKSDNSVKIESSEIAKEVGTGCSGNVAPPTATGSVSGAVVKDESMKNIFTENLQTSGAYTAREESLKREEESGRLKFVCVSNDGVDEHMIWLVGLKNIFARQLPNMPKEYIVRLVMDRNHKSVMVIRRNLVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFVKQGFTKEIYLEKERWQGYIKDYDGGILMECKIDPKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEAGIPKKAIKVEDIPGLREAGWTPDQYGHSRFKTVNSLSDQKSLTAFMRSLLKIMHDHPDSWPFKEPVDARDVPDYYDIIKDPIDLKTMSKRVESELYYVTFDMFLADVRRMFANARTYNSPETIYYKCSTRLESHFSNKVQAGLQSSIKIQ >Solyc12g009980.2.1 pep chromosome:SL3.0:12:3123662:3130130:1 gene:Solyc12g009980.2 transcript:Solyc12g009980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSAFTAGISNLHSFKKPCPNANQVGMWTLSRPGCRKVLDNPRPLQICSLFGGKKDNNEQNNDAPSKAGLFGNMQNLYETVKKAQNVVQVEAVRVQKELALAEFDGYCEGELIKVTLSGNQQPIRTEITEAAMALGPEKLSLLITEAYKDAHQKSVLAMKERMSDLAQSLGMPAGLGEGFKQ >Solyc07g017575.1.1 pep chromosome:SL3.0:7:7582184:7583412:-1 gene:Solyc07g017575.1 transcript:Solyc07g017575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTILEEVPYIFRSQGMFMICEQNRRRLPRFYVLKGIRDALCIRVCFYEDSPHQKPRTCEGRKSWEVEVKHASWIVEKILKAVQWLEEGLQVLEIMEAEEFNIK >Solyc05g047430.1.1.1 pep chromosome:SL3.0:5:59737787:59738014:-1 gene:Solyc05g047430.1 transcript:Solyc05g047430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKGGRGRRPMIALSLFLRASRTPLSIVFFSGRYVSANAFVSNKSICSFGISFACDFNDTIFANFIFFSFINRN >Solyc06g033860.2.1 pep chromosome:SL3.0:6:23402499:23407200:1 gene:Solyc06g033860.2 transcript:Solyc06g033860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4C4L0] MNALAATNRNFRKASRILGLDSKLEKSLLIPFREIKVECTIPKDDGTLVSYIGFRVQHDNARGPMKGGIRYHPEIDHDEVNALAQLMTWKTAVADIPYGGAKGGIGCIPKDLSISELERLTRVFTQKIHDLIGINTDVPAPDMGTNSQTMAWMLDEYSKFHGHSPAIVTGKPIDLGGSLGRDAATGRGVVYATGALLAEYGKNIKDLTFVVQGFGNVGAWAAKLIHEKGGKVIVVSDITGAVKNPNGLDIAALMNYKEATGTLTGFSGGDSMNSDELLTHKCDVLIPCALGGVLNRENAEHIKAKFIIEAANHPTDPEADEILCKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWNEEKVNMELEKYMTNAFHNLKNMCKTHNCNLRMGAFTLGVNRVARATMLRGWEA >Solyc12g014410.2.1 pep chromosome:SL3.0:12:5352591:5355372:1 gene:Solyc12g014410.2 transcript:Solyc12g014410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFIQSTDKSLFLHNHHQNRVPLTHRIMMESPESCVILTNPINKSIALIPDDDYIITDMAASIRAVEEILDYNFKNKKLLEQALTHSSCTDSPSYQRLEFVGDAALGLAISNFVYLTYPELDPGQLSLLRSANVSTEKLARVAVKHSLYKFVRHNTTTLDEKVKEFVIAVEQEEEAEVHGGVMKAPKVLADIVESVVAAVYVDMHFDLKLLWKKINRLLEPIITLDLLAQQPQPVTMLYELCQKDGKHVDIKHWRKEEKDIASVYVDGQFVVSATSENKENAKLHAAKSAITKLACNHTSKLDLEVEPNTEFGGAKQKLNELCGRKKWPAPTYRIEKQVGPSHDRRFICSVQVAVAKGVVFVMGDEKTRVKDAENSAASAMIWGLQGSNLS >Solyc04g015075.1.1 pep chromosome:SL3.0:4:5266908:5268371:-1 gene:Solyc04g015075.1 transcript:Solyc04g015075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWTQDRPKTRIVGRFHGIFLLRIANFRRSFTLLRLKAVTVAGLLLQKWLESLTEAELQVLLEQVEEEKTRLTSGEEVK >Solyc12g006830.2.1 pep chromosome:SL3.0:12:1248074:1251632:-1 gene:Solyc12g006830.2 transcript:Solyc12g006830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4DBM0] MSGKGAKGLIMGKTAANKDKEKEKKKPTSRSSRAGLQFPVGRIHRLLKERTNANGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Solyc03g121680.2.1 pep chromosome:SL3.0:3:71260695:71264762:1 gene:Solyc03g121680.2 transcript:Solyc03g121680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYVRSVWLGVFVFISIHGYGNIRLFEASSRVIQTPDHIYRTAYHFQPAKYWMNDPNGKFLKVSLCLVRQKSFMKIVMHAGPVIYMGIYHLFYQYNLYDAQTSNIVWGHSTSTDLVNWTPQPPALLRSEPYDFKGCFTGSTTLLSGGKPAVLYTGLDFSGIQVQNLAVPKNVADPYLTEWVKSPYNPLIAPNSVNKIDGQNFRDPSTAWLNPDGNWRMVVGNQQNGSGIGLLYRSKNFIDWIQAENPLHFLNNSGMWECPDFFPVSKISKNGLETSTIGQNVKHVFKASTANTDYYTIGTYNPTNDIFIPDNRSLDIGLGFRYDYGKFYASKTFFDSSTSRRILFGWVNESVNNLEINSMRGWAGLQGIPRRIWLHKSGNQLLQWPIVEIEKLRMNPVIEDTTVLMPGSIREISGVNATQADVEMSFSAKTLENAEKWEANWNNPQLVCSIKGATVKGGLGPFGLLVLASKDMQEYTAIFFRIFKGDNNNFIVLMCSDQTRTHFCRSSLRLPSSDYDKTTYGVLLNVDPLQEKLSLRTLIDHSIVESFGGEGKACITTRVYPTNLAVDGSTHLYVFNNGSQSVDISKLTAWSMKTAQIN >Solyc08g041680.1.1.1 pep chromosome:SL3.0:8:26291700:26291858:1 gene:Solyc08g041680.1 transcript:Solyc08g041680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTMQLLYHSRRVGYTLISTCLFHIIYLLIKGIMIISIHSQVISIVLHVVM >Solyc06g054250.3.1 pep chromosome:SL3.0:6:37185002:37190693:1 gene:Solyc06g054250.3 transcript:Solyc06g054250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNGSECKPSVMVTNDDGIDAPGLRSLVRVLVSSNLFNVLVCAPDSEKSAVSHCVSWQHPLSVKKVDISGATAFAVSGTPADSTSIGLSKVLFPFVPDLVVSGINKGSNCGYHIVYSGTVAGAREAFFNGVPSVSLSYEWIAGKSKDDDFVLAAEACMPIITAISTEIKNNTYPQNCFLNIDVPADVANCKGYRLTKQGKTIFKMGWRQVVSEAEGGRMLSTMTMDSSASKEASHILYVKVLFPVCLFSEEREVRGIHMDEGDNDYSFLRQGYITVTPLGTLSPPPMDGVEFFEGWLPGVH >Solyc11g072090.2.1 pep chromosome:SL3.0:11:55656168:55663916:1 gene:Solyc11g072090.2 transcript:Solyc11g072090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQVVVLAGGFCKSLVPLVSKDVPKALLPVANRPVLSYVLEHFEENNLKDFIVVVEGENAALLVGGWISNAYVDRLHVEVAAVPEDVGTAGALRAIDHHLTAKDILVVSGDLISDIPPGAVAAAHRRHDAAVTAMLCSTPISGPSEPGSSGGKDKAKKPARHNIIGLDPTKQFLLHVAAGAEVEKDIRVQKSILRAVGQMEIRADLMDAHMYAFKRTVLQEVLNKKETFLSLRRDVLPYLVRSQLRSELSQNGAVAEENGNSKGVSDSTIMLSQLLTNASTQSFHELYALGSDGSALSPRKTHKCCVHIASKSNYCVRLNSIQAFNDINRDVIGDASHLSGYSFSPQNNIIHPTAELGSKTTVGPHCMLGEGSQMGDKCSVKKSVIGRHCRIGSNVKVVNSVIMDHVTIADGCSIQGSVVCSNVQLQERVVLRDCQVGAGYVVSSGSEHKGESLAKKEKQ >Solyc06g060950.1.1.1 pep chromosome:SL3.0:6:39052847:39053080:-1 gene:Solyc06g060950.1 transcript:Solyc06g060950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSPFTGIIRNCVTSADEEELRNRPFTVEFENFPESFTAQLPSWSRQNNSELSCCHFKLLTQLTKIPLVKMKDF >Solyc12g040812.1.1 pep chromosome:SL3.0:12:56282608:56283308:-1 gene:Solyc12g040812.1 transcript:Solyc12g040812.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEPLWMACVPMFCLEIVEVHSPDRVMRQFGHSQHVPVIPSGEPTITCMISVGGMRTRNMLPITGCSK >Solyc06g069395.1.1 pep chromosome:SL3.0:6:43268046:43268551:1 gene:Solyc06g069395.1 transcript:Solyc06g069395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLSTMCTSAQFGILSGLADLNEPDELEVAIIPSSVGFLGYGNSHKETISLNVSAKLNPAFLALPRETTWNSIIQCLVAL >Solyc10g054090.2.1 pep chromosome:SL3.0:10:54517893:54518573:1 gene:Solyc10g054090.2 transcript:Solyc10g054090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQKHVAFGTILLLFSCSSSFNWSLCLVVYKEWHYIPQLVGALLDHNEHSKEYKFNNKGVAIGNPLLRLDRDVPANYEYFWSHGMISDESVRL >Solyc06g065230.3.1 pep chromosome:SL3.0:6:40794009:40797366:1 gene:Solyc06g065230.3 transcript:Solyc06g065230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRRFSCNDLLRFASVNLDHLTETFNMSFYMTYMARWPDYFHVAKAPGGHIMGYIMGKVEGQGESWHGHVTAVTVAPEYRRQQLAKKLMYLLEEVSDKIDKAYFVDLFVRASNTPAIKMYEKLDYVVYRRVLRYYSGEEDGLDMRKALSRDVEKKSIIPLKRPVTPDELEYD >Solyc02g030466.1.1 pep chromosome:SL3.0:2:26554391:26554915:-1 gene:Solyc02g030466.1 transcript:Solyc02g030466.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSRTQKNLNIVLCLIENLNANVADNLYGQSEAYMEEREDEDILRYFNKRIHHVVFPKDGVKNPTNTKEICSICLGKFKHEETIGKIGCEHEYHACCIRKWLLRKKNCPIWRASVSPFP >Solyc01g087610.3.1 pep chromosome:SL3.0:1:82431481:82451021:-1 gene:Solyc01g087610.3 transcript:Solyc01g087610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLKSWFLLAILSVFLCTTLGSDAVESVLRRLDSKRAQSVVQESAAKGVLQRLLPAHLHSFEFKIVSKDLCGGRSCFRITNYKSSHRNSPEILIQGTTAVEIASGLNWYLKYKCGAHISWDKTGGVQLASVPKPGALPLVEAKGVTIQRPVPWNYYQNVVTSSYSYVWWDWQRWEKEIDWMALQGINLPLAFTGQEAIWQKVFMDYNITTQELNNFFGGPAFLAWARMGNLHAWGGPLSQNWLNIQLALQKRILSRMQELGMTPVLPSFSGNVPAALKKIFPSANITRLGDWNTVSGDSRWCCTFLLSPSDPLFIEIGEAFIQKQIKEYGHITDIYNCDTFNENTPPTDDPTYISSLGSAVFKAMSKANSNAVWLMQGWLFYSDSKYWKPPQMEALLHSVPRGKMIVLDLFADVKPIWKSSSQFYGTPYIWCMLHNFGGNIEMYGVLDAVASGPIDARTSENSTMVGVGMCMEGIEHNPVVYELMPEMAFRGGNFQLQGWLKSYSRRRYGKVNDQIEAAWEILYHTIYNCTDGIAFHNTDYIVKFPDWDPSGKTETGISGTDMSNQNGMQQLAGFQWNRRFLFSEKSSSLPKPHLWYSTEDVIKALKLFLDAGKELSGSLTYRYDLVDLTRQSLSKLANQVYLDAISAFHHEDVKALSLHSQKFLQLIKDIDKLLAADDNFLLGTWLESAKNLAMNSDEMKQYEWNARTQITMWYDNGKYNQSKLHDYANKFWSGLLEAYYLPRASMYFKLLSRSLEEKVDFNLLEWRKEWIAYSNKWQESKELYPVKAKGDALAIATVLYEKYFS >Solyc01g008830.2.1 pep chromosome:SL3.0:1:2788577:2797403:1 gene:Solyc01g008830.2 transcript:Solyc01g008830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLGILYLFLLLSSISAKNRDYKWEVEYMHWCPDGVDGVVISINGQFPGPTIRAIVGDTIFVHLTNNLHTEGLVIHWHGISQIGTPWADGTALISQCPINPGETFLYKFKVDKAGTYFYHGHYGMQRSAGLYGSLIVEVPKDEKEPFHYDEELNLLLSDWWHKSYHDQQIDLSSKPFRWIGEPQTLLMNGRGQFNCSIAAQFSKLPIPQCKLRGDEQYAPQIFKVHPNKTYRLRVASTTALASLNLAIEGHKMMVVEADGNYIQPFLVENMDIYSGESYSILFKTDQNPSNNYWISISVRGREPKTPQGLTILNYIPNFASKIPNSPPPLPPLWNDYNYSKTFSNKIFGLMGLSPRPPTRQNRRILLLNTQTRIEGYTRWSINNISLVFPTTPYLGSIKHGINNAFDTKSPPNTFSKNYNIMKPPPNPNSTYGNRVYMLKFNTTIDIILQNANALGENVSEIHPWHLHGHNFWVLGYGDGKFDHKKDVDKFNLKNPPLRNSVVIFPYGWTAIRFVANNPGVWAFHCHIEPHLHLGMGVVFAEGVHLVKNVPNEALNCGLTRKMFVKDKNK >Solyc09g005850.3.1 pep chromosome:SL3.0:9:591846:597063:-1 gene:Solyc09g005850.3 transcript:Solyc09g005850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHHGRFSHSKHHHHHHNQQGPPFFVPTQQTQNTQMGIALPYANVDSNLRGLAGQAEGFGGSSIGGRDGHVYQVLNLNDDGPGSLRDGCRKKEPLWIVFEVSGTIELRSHLSVSSFKTIDGRGQKIKLTGKGLRLKECEHVIICNLEFEGGRGHDVDGIQIKPKSRHIWIDRCSLSDYDDGLIDITKESTDITVSRCHFSKHDKTMLIGGDSSNCGDRCMRVTIHHCFFDGTRQRHPRVRFAKVHLYNNYTRNWGIYAVCASVESQIYSQCNIYEAGQKKVAFKYLTEKAADKEEACTGSIKSEDDLFVCGTQAGLLSTCSENNVFNPSEFYQTWTVERPSDDLKHYLQHCTGWQCIPRPN >Solyc01g080620.3.1 pep chromosome:SL3.0:1:79703732:79713849:1 gene:Solyc01g080620.3 transcript:Solyc01g080620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQYPNRQQHQRTYGGGGTGTGGGGGSLPMETTRQPSTQLQSQHSDNDRTSNELRALDCNLTSLCDHIQLEGFNNGSFSDVIVQAMGSTYHLHRLILSRSSYFRNMLQGPWKEAKAPVLTLTVDDSNVNGEAIEIALAYLYGHHPKLNDNNAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLTYQVFAESQDYGLHGERVRNACWGYLCQSGAIELKEVLPKLSAPTLNALLISDELWVPTEKKRFELALCTLIAKSALCKAENHEEKCSGSGVGTSTISDVSRVVPTNLTDDRRVESGLGHLSLKDGIDSCNNGQNILVELADSIVDSLTEVPNSKQKMQESAGLQSDSDSRYPCNSGRPSSNNSFLYADEVRSSCSYFEMPSSTGASGLGGNNMGVEGPSEEDSCYQLNNNSWLCGDQRNFSSMGSSCNLMTPNEWERCNFTPLSWGGRTVGRREVKSCLNAHSGVSREDYDAFANIFEGGSLLYCNMSFDALLSVRKQLEEMGFPCKAVNDGLWLQILISQRVQEIGADTCKSCCLVSMACACRQPFGNSRGVAATGYYMSDHDQSNPSNNIGNMYATDSPHREGSGMFRPVRVHVRGPNDGLAGIGRGSTFVPAVAWPPTRFVFSRVPLGMGNRNCQQSPANDDPENRAEQSGDLAGDGLTALVGLSQEGSNSANIHVDRGFETELQSRPEIPSTVGPSSSSISPQMPGSSEHAMGIEWENGSTAISLDMKTPLSHFPPFRFGVEFHDVLRLNDGQVKHSQEFFYAGSLWKVSVQAFSDEDPQGRRTLGLFLHRRKAEIADPVRKVHMYVDSREKVTARYQLIFPSKREVMVFGSFKQTGTLLPKAPKGWGWRSALLFDEVSDLLQNGALRVAAVVQLI >Solyc05g056180.1.1.1 pep chromosome:SL3.0:5:66413052:66413264:1 gene:Solyc05g056180.1 transcript:Solyc05g056180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKNNFLTNLFVICMLVMLMQNANVAIASLEDRARECMPSCRAVPTSTLSGCEKACLDFAKRTYDKYS >Solyc01g060380.1.1 pep chromosome:SL3.0:1:70807052:70808739:-1 gene:Solyc01g060380.1 transcript:Solyc01g060380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHVRVLWMDESESTESSNNGIVVDRGDLMRVETCSGFTVGDYVVLGPLRGRIDDVLDNVTVMFDDGSVCKVMKADPLRLKPVGRNGLEDGHFPFYHGQRVKASSSSVFKNSRWLSGSWKANSYNGTRAYAQSKLANIIHAKELSRQLKVLTTGKQKKCTTK >Solyc06g075800.1.1.1 pep chromosome:SL3.0:6:47245163:47245603:1 gene:Solyc06g075800.1 transcript:Solyc06g075800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:K4C9U5] MAPKAEKKPAEKKPAEEKKSTVAEKAPAEKKPKAGKKLPKDAASSEKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc01g057780.3.1 pep chromosome:SL3.0:1:63859065:63864896:-1 gene:Solyc01g057780.3 transcript:Solyc01g057780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFISLLGTPFPTFLNHLRCPSFLHLRRLACGSRRFTAYSHPHISPLSPSQQQFHAQPINNNTPLDSSSSSSSFTSHPWPEWRSLITLLTGNDQLPPAVEDSDSFVTYEELSQDFLRAATLCLDFARERPNFIGLLSRRDIEAVVSNGTPFLFKGALETARRMRAFLGIDGSTVLDHGNANTVDLMKYILCYASKPSVSSEKNSLYSRDLIESSCRSLLRELVEVSCGAPAVNLPPAEQYDFSGRYGQTPRPIRQNIVMKRGDWICQKCNFMNFARNNKCLECEEPRPRRQLTGGEWECPQCYFFNYGRNVVCLRCDFGRPAGASPRTTHSSAEDGYNGNSAYSNGMDLKLAENEEKAQRWFSKISQMKNASDMDSAAADEDFPEIMPPRKGENKFVVSTRKTPLERRLANTQYERNFGYNGIPEGNTLTGGAHSVLATSMKQNMDQNSGTSHKGVAADKNSESVIQHSGRGSNYVPFVPLPKDMFQKNNPTSVMDEKVKEEVGVSHTPEVSHQTCSASLGNDFGKSMEKFQSNDLLSEEKEREQAEKSESWFKKIAELHDVKDLSSSISDDDFPEIMPMRKGENRYVVSKKKDRSLTSPMYKRQVAMEQANNSSFVPFVPFPPGYFAKRDTQQEDITGSSSLSRVGTSSTFSNSDHKGSPMNPLSKTYSEKEDAEKTHHESDDAGFLFRDTITAQTPVDQFTNSRFASPSFSGDQGVPCVGNSVETSLALDACRTNSKDRRNSVNEDVSLAETLGVGSTKLPRNQNVRNGWTGKSLEGSAVKETDLLDMSEEAKAERWFQRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSTQYRRNLPVVTSDPMKRERDNNDK >Solyc10g084045.1.1 pep chromosome:SL3.0:10:63840777:63845648:1 gene:Solyc10g084045.1 transcript:Solyc10g084045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDPSETGYDMEKTPLIRKQEFSERASGFRRDEEKNDKKRRAELILRQATENQQELTHFIDHPHGQELQLVENITATSGYPTGRSKNINKYSECSRIMRFQIQTPMKQQMGALKYVTQ >Solyc02g014090.3.1 pep chromosome:SL3.0:2:15437711:15441106:1 gene:Solyc02g014090.3 transcript:Solyc02g014090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEGRVNGGDCNLTSSSSSSGCTSMSAGGGTTYIQHTVSKFDTLAGVAIRYGVEVADIKRINGLVSDLQMFALKTLHIPLPGRHPPSPIISNGGQGPSSSEQTSSSRRHSDMFDSFQSLKLKSSPQPKVSPAMSSLQGYYGLKPPDQKAASEGFEMSVYCKGGSHYLEDGPFYNSSSLSNRPLSLQRKSKSVANGFVTENGAPANHLSTQDTRDNGSDRWFEKLVRRRQKSETDFTRTPEMLLKEDNSNSGWFSAVTGKGLALRPKSANRTLSGADAEANSIYPIPIGLGDSLLNDSSSVVRKSSSTSSLQDSDSSALSSLWNLKPDFQAISTAAITKPIFDGLPKPITGRRNKAALD >Solyc04g025473.1.1 pep chromosome:SL3.0:4:22793395:22793968:-1 gene:Solyc04g025473.1 transcript:Solyc04g025473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKDEIFVPKQTSWFWYYPDGSFTTLSPDQKVIINILYVLFFCND >Solyc10g044430.1.1 pep chromosome:SL3.0:10:26313572:26314091:-1 gene:Solyc10g044430.1 transcript:Solyc10g044430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDIIIAGLNSIGLILIGPLIQIQHNLQPLSLKVAELCMKGKEEGWRMWKKKADNNQLEKQNHGEILIIDEAIEGQKHREEETVFSDTLEIKYAESKPISLQIDSSSQEEDMEEKAIEWVQANMLNLSMLFGV >Solyc02g094130.3.1 pep chromosome:SL3.0:2:55397154:55402531:-1 gene:Solyc02g094130.3 transcript:Solyc02g094130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMQSYWQFGDELRGQSKASEDHKWSTAAIKLSEQMKYKGERRNNLDLSKSSAEIRPRGNHMFQEDNKWESLNFNMLNLESKMTENMSKNRIMDSIFNANPVYLKPNFNSLGNSSLSKFNASNYTKEPSKNNNNNVESTNGNNSVDKRFKTLPAAETLPKNEVLGGYIFVCNNDTMQEDLKRLLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEASSFGGSNIDPTAWEDKKCKGESRFPAQVRIRVRKVCNPLEEDAFRPVLHHYDGPKFRLELSIPETLDLLDLCEKAGV >Solyc01g080810.3.1 pep chromosome:SL3.0:1:79944614:79967704:1 gene:Solyc01g080810.3 transcript:Solyc01g080810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATFFPKRITASKSLVFALARAKMAMQTSSYKLWSLKSCSSLREPTSVSLLNLRSSSSARVFSLMNMTRYSTYSNDDSCPSGKRRSRGPVMAAKKRSEGAKQDDGKYKDTVDLPKTAFGLRANSTVREPELQKIWDDNQVFKRVVERNNGGTFVLHDGPPYANGDLHMGHALNKILKDIINRYKLLQNFRVQYVPGWDCHGLPIELKVLQSLDDDARKELTPLKLRNKAAKFAKSTVQSQMASFKRYGVWADWDQHYLTLHPEYEAAQIEVFGQMAIQGFIYRGRKPVHWSPSSRTALAEAELEYNEEHVSKSMYAIFRLVGVPASCDSLKEFLPNLCLAIWTTTPWTIPANAAVAVNNKLQYAVVEVSSASVDGSTSSVDGKKRFGNFMKGDKSLHLIVALDLVSTLESKWGLKLTLKKTVLGSDLENCRYTHPIDSRECPVVVGGDYITTESGTGLVHTAPGHGQEDYVTGLKYGLPLVSPVDDDGKFTEEAGQFRGLDVLGNGNVAVIDYLDEHLSLVMVEPYKHKYPYDWRTKKPTIFRATEQWFASVEGFRDAAMDAINQVTWIPSQAVNRISAMTSGRSDWCISRQRTWGVPIPVFYHVESKEPLMNEETIDHIKSIISQKGSDAWWYMAVEELLPEKYRDKASNYEKGTDTMDVWFDSGSSWAAVLDKRESLNYPADLYLEGTDQHRGWFQSSLLTSIATKGQAPYHGVITHGFVLDERGLKMSKSLGNVVDPRMVIEGGKNQKENPPYSADVLRLWVSSVDYTGDMLIGPQVLRQMSDIYRKLRGTLRFLLANLHDWKADYTVPYSDLPMIDQHALFQLANVVNNIRESYDSYQFFKIFQVIQRFVIVDLSNFYLDVAKDRLYVGGSASFTRRSCQTVLEAHLLSIGRIIAPILPHLAEDMWQHLPFQYTAEDGHVAKFVFESRWPELDTEYLSFPEEEVDFWGKILELRTEVNKALEVARSGKLIGSSLEAKVYLHCSNERLAERLNNMCEPTNEADALHRIFITSQVEILNSLQDERIKDVQYTGEYLMEEGDKIWVGVSRANGSKCDRCWNYSPQVGSFTEHPLLCGRCHNVVISLQTRDLDDLSKVTQSEAKEAIAQ >Solyc06g009787.1.1 pep chromosome:SL3.0:6:3789287:3803463:-1 gene:Solyc06g009787.1 transcript:Solyc06g009787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPMLSFGAIPWLTGKETVTESSPSSLSSHTTSPTTCLIALYSASAEDSDTDLMDRLSKACWFTELDLRARYWQEIKFLGHLVSKNQVRLDPKKVQAIVDWKAPRHVNDLRAAALTDLLKKDTKWVWSERCDEAFQNLKNAIASEPILKLPDFELPFEVHTDASDKVIGGILGRGQVKQLDVD >Solyc08g083510.2.1 pep chromosome:SL3.0:8:63058184:63060453:1 gene:Solyc08g083510.2 transcript:Solyc08g083510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISRNYLILSAKSEEKKKHLLAVQNLSHGSFNNSMWTLLWFFISNSNKGLPPGPKALPLIGNLHSLDPELHTYFASLSKTYGPICRIWLGKKLGIIITSPELAREVLKDKDIIFSNRDVPDAGREFSYGGNSILWTPYGPKWRMLRKVCVRDMLSCSTLDSVYNKAGSPVNVGEQMFLTILNVITSMLWGGTVKGEERAMCSVPNLSDFYPGLAWFDFQGVAKKMKVLVKRFDKIFESIINQRQKLDRNGVGQESKDFLQVLLKLKDEADPNMPLTMIEIKALLMESKIIVIRGVGYKVCSEELLVGQDMILGGTDSTSNTIEFAMAEIMNKPYVLRKLQEELETVVGKDNIVDESHIKKLPYLYAVMKEVLRIHPTSPLLVPRCPSETCTVGGYTVPKGSCIFIDVWAIHRDPSIWENPTEFLPKRFLDNKWDYSGNDFNYFPFGSGRRICAGIAMAEKMVMYSLASLIHSFDWKLPEGETVEVTENFGIVMNKKMPLVAIPTPRLSNPKLYE >Solyc06g048510.3.1 pep chromosome:SL3.0:6:31247892:31259435:1 gene:Solyc06g048510.3 transcript:Solyc06g048510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSSLNSSTVSPSGGTISPAAFGTYESPMPGDSHSGHSEPAITDNDETELHFVSWNQDYSCFAAGTSRGFRVYNCDPFKETFRRDLKSGGFGIVEMLFRCNILALVGAKANTQYPPNKVIIWDDHQSRCIGEFSFRSEVRAVKLRRDCIVVVLEHKIYVYNFMDLKLLHQIETVANPRGLCCLSHQLSTSVLACPGLRRGQVRVEHFGLNMTKLIKAHDSQIACLTLTIDGLLLATASTRGTLIRLFNTIDGTQLQEVRRGVDRADICSIALSPNVQWLVVSSDKGTIHVYSLRVRVVGEDASADAAVRTPTLLCQNSSSSLDALISPSTGANPGSSLSFMKGVLPKYFSSEWSFAQFHLPECTQYIAAFGSQNTIITAGTDGSFYRCSFDPVNGGEMVQQEYVRFLKTESRPR >Solyc09g008750.1.1.1 pep chromosome:SL3.0:9:2181170:2181778:-1 gene:Solyc09g008750.1 transcript:Solyc09g008750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSYAISFSSSNSSSQQMKKNKKLASYHSSLHGVRRLPLKPMTKLPIAPLPPTPPKIYRVESNDFKDVVQMLTSSPEFQSVSNDSISRSDSGSDFDSGSGSDSSSFNSRRLQNVAPPPLDLSPVSLQRNNKNNAQWRECIDLTCEAQERSHVTPRIPSENYVGLCSPLANFPLSPSSFAWCSLILLSPGTLTSPSAVQII >Solyc01g020450.1.1.1 pep chromosome:SL3.0:1:30831214:30831429:-1 gene:Solyc01g020450.1 transcript:Solyc01g020450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPTLKERNQWEALTLSPTLFSDDVPWAKGDESHLTVRKGDNILFLSSSNKEKWVGSFHPPIYAILCLRS >Solyc07g041195.1.1 pep chromosome:SL3.0:7:52096756:52098069:1 gene:Solyc07g041195.1 transcript:Solyc07g041195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIDYDRRYRRFDHGNKGFHIEPWGEQLADTAVRKGLFFKAVRYNSLKTTKMYKRSAKKNQV >Solyc11g070160.2.1 pep chromosome:SL3.0:11:54969598:54978732:1 gene:Solyc11g070160.2 transcript:Solyc11g070160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPRKRQVQSITGFTQAEVEKMENLLIESREQVCDSEVCKKLAKTFTRSKGRAGKPIAKWTEVQAWFQNRLVCSSKDNSAEDNQKLPDYTEGCTLNKANESSHIPKGQKDPALSDIEFEARSSKDGAWYDIDTFIAHRFLSSEEPEALVRFVGFGLGEDEWVNVRKAVRERSVALENSECNKVQVGDIILCFQEGKEEEKYLEAQVIEIQKKLHDIRGCRCLFVIRYTGDDTEETIRLRRMCVRPNILGRP >Solyc06g072060.1.1.1 pep chromosome:SL3.0:6:44554623:44554832:1 gene:Solyc06g072060.1 transcript:Solyc06g072060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWAPIIIGYLLFVLLSPGLIFQLPGNQRHVEFGSFNTNGKAILLHTLIFFVFFTILILAFRIRLLFG >Solyc03g080165.1.1 pep chromosome:SL3.0:3:53488653:53493436:1 gene:Solyc03g080165.1 transcript:Solyc03g080165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVSKSSELLEGAAFFSKKGSQPNNNGRVLNSNRSFHGGGSNSGGNHNGRVSSARGNRPQKREPVVCEFCNYNGHTKEQCYKLIGYPSDWPKNRRQGSSQFANQVGNYFSSCSDEAKNSSSYDTNQEVGGTAAQSSILPSFTPEQYQQILHLLNKTNDDSSPTIQTANVGTQRSISVNSGSVKWIIDTGASNHMASNSEILHTCHKMSQYADNKVHLPTRQIDLSNGMGKGIGREEQGLYILKSNSEKSAICGFTTRSGINQDKPFESSILWHRRLGHASMNIIRHSFKMKDLGELKFFLGIEVARSNEGIVMCQRKYALELVAETGMSGAKPASTPFEINQKLTSAEYDKHVSSKAEIGDEVLENHASYQKLVGKLLYLTMTRPDIAFVVLSQYMHCPKRSHMEAALRVVRYIKGTPGMGLMMPAGTTNQLMAYCDSDWGACVETRRSVTGYLVKFGGAVISWKSKKQETVSRSSAEAEFRSMAACTAELTWLFSFSSYILLLPWFENSGRLQL >Solyc01g110950.3.1.1 pep chromosome:SL3.0:1:97318456:97319601:-1 gene:Solyc01g110950.3 transcript:Solyc01g110950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKNISVMAVYIKYKSCKDYDSIPILDHFISVGNLKSKIFESKRYGWGKDFDILLTNLQTNEDYVDHATLIPRSTSVLIRRVAGLPCFPIVIKEEPKQVLCKQEEVHSVKKESKYSQDIDYNDFGDDVYVIPKIAVPIQLGSPESSCSSSIIKNSVGNISYNNTRSAGSSVGQGRTEWKNPPPGPGYVCHRCKVPGHFIQHCPTNGDPNYDIKKLQPPSASVAVLRPYVAADTKVVQGSSSKHSYTAIPPELHCSLCKGLMKDAVIASKCCFSSFCDKCIRNYIMSNSVCVCGATNILVDALLPNLTLRATVNRFLQSNSTTSSESCRTVEEFPRAVEEVNMKKRKNSNDAANMQWGVETRNLGFKRNKCEMSSEFSSVITY >Solyc02g085020.3.1 pep chromosome:SL3.0:2:48689775:48691570:1 gene:Solyc02g085020.3 transcript:Solyc02g085020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEAHAVVDAHSPPKTTTVCVTGAAGFIGSWLVMRLLERGYNVHATVRDPENQKKVKHLLELPKADTNLTLWKADLAVEGSFDEAIQGCQGVFHVATPMDFESKDPENEVIKPTVRGMLSIIESCAKANTVKRLVFTSSAGTLDVQEDQKLFYDETSWSDLDFIYAKKMTGWMYFVSKILAEKAAMEEARKNNIDFISIIPPLVVGPFITSTFPPSLITALSLITGNEAHYGIIKQGQYVHLDDLCEAHIFLYEHPKAEGRFICSSHHAIIYDVAKMVRQKWPEYYVPTEFKGIDKDLPVVSFSSKKLMDMGFQFKHTLEDMYKGAIETCRQKQLLPFSTRSTADNGKDKEAIPISTENYSSGKENAPVANCTGKFTNGEI >Solyc10g006090.3.1 pep chromosome:SL3.0:10:807647:819069:1 gene:Solyc10g006090.3 transcript:Solyc10g006090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDEAEAVPSTVSNYEFVDDKDEPVSFAELTFQWNDTESLDGNKRHIFLRGTADNGLQKIYKQVTTWKIDSSRIEPAISVLSKENDWIKLEKPRKAFQDTIRSILVTVHSLHFLKRNPESSGRALWDHLSKVFSVYEPRPSENDLVDHMNFINEIVKRDGKLAQSKVLLTFMEEKPKKKKIFDEVGSISEFIVDEIINDDEEEEEDDESDYNHFESLCAICDDGGELLCCDGKCLRSFHATVDDGAESQCKSLGFTKAHVKAMKYQDFYCKNCEYQQHQCYACGKLGSSDQSSNAEVFRCVNATCGHFYHPHCVARLLHPDAQSKVDELKKKIAAGESFACPLHHCCVCKQREDKDKPELQFAMCRRCPTSYHRKCLPKEIVFDKSKNEEVSDEEEEEEEEDDVLPRAWDGLIKNRILIYCLKHEMDEELATPSRDHIKFPGDRTREKQTSEQLRKFKGMPAEVTNGERVIAKKSEIVEKLSKAVKVDFSRKREGSSLPDSSKKQKIIDVTRKSLNKSSSAKLNKATKSEGKASLGDKLYALVSRESQPGESGEEGKAKIVKSDKREKNSSQTLDAASKSRILSMMKDVKSSITMEKIVKQKVPTTHTYSSKFDKSITLGKVEGSVEAIRAALQILDGGGKVEDARAVCEPGLLAQIMKWRGKLRVYLAPFLYGMRYTSYGRHFTKVEKLREVSLAFKHFCCQKIFLMCVILPLCLVVSEDRRYASLIVDFCCGSNDFSCLMKKKLDGIGKGCLYKNYDLFSPKNDFNFEKRDWMTVKSDELPEGSKLIMGLNPPFGVNAALANKFINKALEFKPKLLILIVPKETERLDVKRSPYDLIWEDDTLLGGKSFYLPGSVDQNDKQMDNWNVSAPPLYLWSRTDWTTIHKVIAQQHGHPSNIKLEENCSHTTAHRSLKHEEDVSTRINNDTGFEDMKQHQHQEYKERSRNNCGKEVSDKRIHGKKNSDEKSMNGSEDIIKSKSDKKSMRESQDRSKYQRDLDEKSRQDKSTAKRKRELDEKATDDKSIGKRSLSSSPNMTNHKSLDRTILSSEENEHYQRFAGQSAAASLREQETGYGVHQDRDFERRHILRTEEPYSGLTHQYLQSASPGPEYMGHRVHQDGDVARRNGLPMQEPYSSLNHQYSQSSSPGREYAFRSSDERFVGYQRDHADIPGYRPYTSHSNGGMYARESDVRPQGNLYGQLGDGYLPPRSNYVAGAVSGYRPSPTDPTYGVINTPVRQYAPQDELYPGRMSNMGSEGRRDIYGGGIARPGFQGNSLGFAPRPYQPYSQQNSSGWLNE >Solyc11g040040.2.1 pep chromosome:SL3.0:11:40264836:40268706:1 gene:Solyc11g040040.2 transcript:Solyc11g040040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLQAPCDLQIHVNGQQTFFLHQKVLSRFSGKLRKIIKQEKKKTQIKNSGIEILDFPGGAQGFELISRFCYNNGSSNSIKITVSNVCLLICSADFLEMTEKLSCCNLLYKAETFFEGLCYWSFHDILKSLKSCETFFDYAISCGLIEKLITSLLGTLFGSSCSSSSSCSSSEQVKPCSWWFEEMTILPPKMIEEFLRTLGAFGSENNSLLLTRFLLYYLKTSSHCQNMISRSEYSGLADTVVHGVVKTTFSCRNLFWVLRIVSGFGISKECRGCLEKLIGGVLDQATLDDILVCGHNNNIGGVYDVNLVMRLVRVFVYHDKVTITKFRKVARLIDKYLREIAPDQTLKISKFLAVAESLPDYARDSFDGVYRAIDIYLESHPVLSLEERSRLCRCLNYEKLSLEACKDLAKNPRISPRIAIKALASQCSSSIPTIEDNNNQMVLYKTNKSDHHSSSVASDQQSLQEEEHEYMRLNLQKMQWRVVELEKVCRDMKGQMTKMVKTGTQTRALPRLCRSQNF >Solyc12g096330.2.1 pep chromosome:SL3.0:12:66277195:66281599:-1 gene:Solyc12g096330.2 transcript:Solyc12g096330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPVAALNSKLSLPSGKQQQSKFAAQSVRAIPIRILTVGKNRSKGVQFIVDEYMKKLRNYCSVDDVRIKSNPKNARDVVAQIEHEDIAVMSLIRPDEWVVMLDERGHDVGSEQMASLIGEAGNKGASTLLFCIGGPYGHGRHLRERANVSVKLSSLVLNHEIALVVLIEQLYRGWTILKGQKYHH >Solyc03g098170.3.1 pep chromosome:SL3.0:3:61934828:61942937:-1 gene:Solyc03g098170.3 transcript:Solyc03g098170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQLQIQGDESVLLRVTHSNMKSFSPEIRFSLQMSVEAVKDKLWKKCGTSVNSMSLELYDETGTKISVLSDNARPLGFYSPLDGYRLHVIDLDPASVTSGGWLEDTSLVEKYTISEEAYEKLGGTFRKFKETLPLKQPPGQESKISDNNNREDLCANIKIGDRCEVEPGERRGMVKFVGQAETLAPGFWVGVQFDEPVGKHDGMVKGKRYFDCPPLHGAMVRPDKVKIGDYPEKDPFEDEEI >Solyc03g034180.3.1 pep chromosome:SL3.0:3:5906558:5912965:-1 gene:Solyc03g034180.3 transcript:Solyc03g034180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSREENVYMAKLAEQAERYEEMVEFMEKVAKVDVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSSIKEYRAKIEAELSKICDGILSLLESHLVPSASTAESKVFYLKMKGDYHRYLAEFKTGAERKEAAENTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDDAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDTTDDAGDEIREASKQESGDGQQ >Solyc02g022934.1.1.1 pep chromosome:SL3.0:2:24957814:24958395:-1 gene:Solyc02g022934.1 transcript:Solyc02g022934.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPSENRPNPLIPMFIFFVTKRKSRNTQQSSLHPRNHPRKRATTLTATEHAAKRQPITIAVSFLPFSGISHLCVEKGHMNSNFPKFDRIFAFYKYFVVITVRKGIEKKQKKKRERKLVERNGGGGKQRGIKYSPFVSKFRGSSRLDFLGLLFLFEFCGRRIVVLSSSSSQNAAPKKVTSSLLLSHIGCFYTQ >Solyc03g083110.1.1 pep chromosome:SL3.0:3:54355295:54357128:1 gene:Solyc03g083110.1 transcript:Solyc03g083110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDETNLISSTTNVTVGDCDSSGSNHLLPKKRNINNAREGKCCISGSKFKGVVGQNNGHWGAQIYANHQRIWLGTFKTETDAAMAYDSAAIRLLGPDHSHRNLSWTNSTIQEPNFQTQFSTEDILRMIKEGSYTSRFDEYLKDKFEDHFQSLKNLQKVNEGNAEFSYKQLFQKELTPSDVGKLNRLVIPKKYATKYFPQIQDEEMIFYDTSRRLWKFRYCYWKSSQSFVFTKGWNKFVKDKGLRAKDTIVFNLCEFKNGTKENCNAFVIDVVKSIDGVPMNLALNHHEQEETIDDHEVDTQEFTKAQPFDDDLVPVWLFGKQIGWMETKGAMEFN >Solyc05g021390.3.1 pep chromosome:SL3.0:5:27162954:27164888:1 gene:Solyc05g021390.3 transcript:Solyc05g021390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLYVCLVCVFVFLVSLLLLYKKKSGEGLPPGKTGWPVFGESLEFLSSGWKGHPEKFIFDRVAKYSSSVFKTHLLGEEAAVFCGASANKFLFSNENKLVQAWWPNSVNKVFPSSTQTSSKEEAIKMRKMLPNFFKPEALQRYVGIMDHITQRHFATGWENKEQVVVFPLTKRYTFWLACRLFLSVEDPKHVAKFADPFDVLASGLISIPIDLPGTPFNRAIKASNFIRKELVRIIKQRKIDLGEGKVSSTQDILSHMLLTCDENGKFLGDLDIADKILGLLIGGHDTASSACSFIVKYLAELPHIYQRVYTEQMEIAKSKGPGELLRWEDIQKMKYSWNVACEVLRLAPPLQGAFREALSDFMFNGFYIPKGWKIYWSANSTHKREEFFPDPEKFDPSRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHHLVKRFKFEKIIPHEKIIVNPMPIPANGLPLRLYPHHHNP >Solyc09g009740.1.1.1 pep chromosome:SL3.0:9:3186607:3186807:-1 gene:Solyc09g009740.1 transcript:Solyc09g009740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRMHRQGLYRCPRKILAFFTSESGSPKKWCAIAHENRRNEAYARFGLRLTLQIGRKNRDGQLYA >Solyc10g006140.3.1 pep chromosome:SL3.0:10:864493:870580:1 gene:Solyc10g006140.3 transcript:Solyc10g006140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEVLTASDASSSARSYSSSIAPVNVPLFSALLACAIAQFLKLFTTWYKEKRWDSKRMLSSGGMPSSHSATVTSLIMAIYLQEGAGGSVFAIAVVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVANVRPLRDSLGHTPLQVLAGAVLGCVVPLLLRSSI >Solyc01g065985.1.1 pep chromosome:SL3.0:1:72633653:72639765:-1 gene:Solyc01g065985.1 transcript:Solyc01g065985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSKMDPTIHTVASSEMILTPSIAADPNASVAAESPMEVIARLERQISTLNLLVAQYQSASPNQPSDARERGPVPPVYPTSSEFHQGDHFATFQQTQSASLANLTQDIPLVYTFAPPKAPTVTHHTPPVYTYVTTPPVTKAPEFHRPEVNHYIEIEGDRKSIDAEMMNKKMKSLDAMRGLRGFDSSQSMSPSPSKFVLGSKSDDSPPQSVRVWYELQFTPYPPENLKSQLVPHRFPLNFNPEFSYLIPCICFIKLRDDLIRRLPEQWLEKVFLCL >Solyc10g074380.2.1 pep chromosome:SL3.0:10:57786672:57786932:-1 gene:Solyc10g074380.2 transcript:Solyc10g074380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQSPKPSRHDVIIGRWNPSAANRLPGFGVIINIINGGLECGRGNDNRVQDRIGFYRRHCRILGVSPGDNLDCGNQRPFGS >Solyc03g096910.3.1 pep chromosome:SL3.0:3:60709554:60717165:-1 gene:Solyc03g096910.3 transcript:Solyc03g096910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVIFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKLEFQAIIRDPFMLNAIILVFANKQDMKGAMTPMEVCEGLGLYELKNRKWHIQGTCALRGDGLYEGLDWLASTLKEHKAAGFSSIGPSF >Solyc01g079170.3.1 pep chromosome:SL3.0:1:78119440:78121430:-1 gene:Solyc01g079170.3 transcript:Solyc01g079170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AXG3] MAPAIARVTEKMAKPATNGPGPATLDRAYVTFLAGNGDYVKGVIGLAKGLRKVKSVYPLVVAVLPDVPPEHRRMLEEQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYKKLIYLDGDIQVYDNIDHLFDLPDGYLYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVKWPSEDLGQPPSLYFNAGMFVFEPSLRTYHDLLKKLQITPPTPFAEQDFLNMYFKNIYRPIPLVYNLVLAMLWRHPENVELDKVKVVHYCAAGSKPWRYTGKEENMEREDIKLLVKKWWDIYNDESLDYNRSVGMNQVNVIGAAGAVNQLQPLIAAAMSQASAVKYVTAPSAA >Solyc10g062110.2.1 pep chromosome:SL3.0:10:24491064:24492888:1 gene:Solyc10g062110.2 transcript:Solyc10g062110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGPWLIMSEVFPLHIKGLGGGLVTLVNWFGSWVISYTFNFLLLWSGHGTFFLYAFVCLLAIIFIYQIVPETKGRSLEEIHASLNS >Solyc06g050870.3.1 pep chromosome:SL3.0:6:33800848:33801501:-1 gene:Solyc06g050870.3 transcript:Solyc06g050870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALQSWISEHKLSSIGTVWATAVGASLAYSRARTPLKPSLRLIHARMHAQALTLAVLSGAAVYHYYEKNSNDK >Solyc04g050565.1.1 pep chromosome:SL3.0:4:47528827:47529400:1 gene:Solyc04g050565.1 transcript:Solyc04g050565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDQRENLQNQRGVEVISTKDFPPVSLGKQLEKEKCMFMHCLRENRGANDSSGRSELSSVGIRTERSCSKKSGVLKKPPFRDVGNSSPLLVRQNSKAVYPLHNP >Solyc11g069090.2.1 pep chromosome:SL3.0:11:53970230:53975288:1 gene:Solyc11g069090.2 transcript:Solyc11g069090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDAAKKRDAQKKAAAAARRGGKGAAAPKSAATASKSAVESNGVDNLTNGVGDLQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLTAIGLRELPIPDHMDIFHLSREIEASDMTSLEAVINCDEERLQLEKEAEALAGQDDGGGEQLERIYERLEAMDAATAEKRAAEILFGLGFDKKMQAKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEESLKNFERILVVISHSQDFLNGVCTNIIHMQNKKLKLYTGNYDQYVQTRSELEENQMKQYKWEQEQISAMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVGRDSVLVFRFTDVGKLPPPVLQFSEVAFGYTPDNLIYKNLDFGVDLDSRIALVGPNGAGKSTLLKLMIGDLFPTDGMVKRHNHLKIAQYHQHLAEKLDMEVSALLFMMREYPGNEEEKMRAAIGRFGLTGKAQVMAMKNLSDGQRSRVIFAWLAFRQPHMLLLDEPTNHLDIETIDSLAEALNAWDGGMVLVSHDFRLINQVAHEIWVCENQAVTRWEGGIMDFKKHLKKRAGLGD >Solyc04g051350.3.1 pep chromosome:SL3.0:4:50344020:50350048:1 gene:Solyc04g051350.3 transcript:Solyc04g051350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribonucleoside-diphosphate reductase [Source:UniProtKB/TrEMBL;Acc:K4BS95] MYVVKRDGRQETVHFDKITARLKKLSYGLSTDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYACLAARIAVSNLHKNTKKSFSETIKDMYYHVSERSGLKAPLVSDEVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKIQGKIVERPQHMLMRVSVGIHKEDIESVIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLICMKDDSIEGIYDTLTECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVFEFLDLRKNHGKEENRARDLFYALWVPDLFMQRVQSNGIWSLFCPNEAPGLADCWGEDFEKLYTKYEKEGKAKKVVQAQNLWFEILKSQIETGTPYMLYKDSCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRYVREKGVPGESQPSKLVGSRGSKNRYFDFDKLAEVTALVTSNLNKIIDVNYYPVETAKRSNLRHRPIGLGVQGLADTFILLGMAFDSQEAQQLNKDIFETIYYHALKASSELAAKEGPYETYAGSPVSKGILQPDMWGITPSDKWDWHALREMIANNGVRNSLLVAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTETGLWSPAVKNRIIHDDGSVQKIPEIPDDLKVIYKTVWEIKQRTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFHAWSRGLKTGMYYLRSRAAADAIKFTVDTSMLKEKPKTAVDEETKLAQMVCSFTNREDCLSCGS >Solyc09g064273.1.1 pep chromosome:SL3.0:9:61680199:61682272:1 gene:Solyc09g064273.1 transcript:Solyc09g064273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEKLAMFLNILAHHEKNRSIKVDYIRSGWSVSRAFNECLRAILKLTPVLLVKLNPVLEDDSDDRWKWFKGCLGALDGTYISIRVEAIYKPRYRIRKGDIATNVLGVCDRNLNFIYVLPGWEGSAADGRVLRDAVVRRNGLKVPHGNYYLCDGGYTNGNGFLSPYRGYRYWLKDWQGDNPSPRCREELFNMKHARARNVIERTFGLLKGRWGILRSPSWYLVKVHNRIISACCLIHNFIRREMEADPLDVEMDFHMENQHEHENINTIETSDEWTTWRDELAQSMWNERLGNQSL >Solyc10g017480.1.1 pep chromosome:SL3.0:10:5420399:5424042:-1 gene:Solyc10g017480.1 transcript:Solyc10g017480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLLEVYVVSARGISHSNIIGNPSYYVIVECGSQSYTTKASSAGNHKEILWNEKFKFELATNKIEECEHLKLKIMDEEFFTAGGFAGETIINLKGIIMEGNEKRFIEVIPVAYNVVLEDDTYKGQIKVGLRFTPSNKVVQTVGEKNAPKENENGIGQIIYSKIINLWPNTWRSFFPCENANNIIDKNKPN >Solyc00g007050.1.1.1 pep chromosome:SL3.0:2:32729153:32729311:-1 gene:Solyc00g007050.1 transcript:Solyc00g007050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNTNWSEQGRGSRRCQRNDGAIASSPFLTVASMFASVIITFTGEIKVTTT >Solyc09g060187.1.1 pep chromosome:SL3.0:9:58360883:58365960:1 gene:Solyc09g060187.1 transcript:Solyc09g060187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTKPTPACVCPPMREIQKINPDYRKSGSPAASSLLLESRCLYLVDLRPLLIKSDEYHSHSIEKDVPTMWNSTYFMLDIEQQFELAFEIYSFYDIAYLNHLRTFGSDSSKNKDGTGVEDGTSFEDETSVEDGTNANILSSVDWKNVSNLKEMAESMNEKFKKYWGEPQKMNKVIFISSVLDPRNKLDYVLFVIVDMFGKKLGKSYV >Solyc07g021460.2.1 pep chromosome:SL3.0:7:18005522:18007073:-1 gene:Solyc07g021460.2 transcript:Solyc07g021460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEENNVTLHGMWASPYVKRVELDLKEKGIPMKSTNTVHNGNQICESSVIIEYIDETWKNESPLFPQDPYQRIKVRFWASYIHQVYDCMLKVFRGKEALEGFYAKLSVLEDGINNFSLGITSNMNNIGMLDIMIVITLGAYRVQEEVFGFKLLEEENTSLLYSWVTTLIELPIVKGITPPHEKVVSFLQYLKNKVFKAPPHAS >Solyc08g080100.3.1 pep chromosome:SL3.0:8:63534075:63554312:-1 gene:Solyc08g080100.3 transcript:Solyc08g080100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTELKRIENATSRQVTFSKRRSGLLKKAFELSVLCDAEVALIVFSPKGKLYEFSSSRKLLGHGLESSTFDELQKVEEQLEKSLSNIRARKVARLFGPAIFEASKLKVLFLGVDEEKHPGKLPRTYTLTHSDITSKLTLAISQTINNSQLQGWYNRLQRDEVVAEWKKVKGKMSLHVHCHISGGHFMLDLFARLRNYIFCKELPVVLKAFVHGDENLLRNYPELQEALVWVYFHSNIQEFNKVECWGPLRDATSPSSSSGGVGGVKSTSFTSNSNKKWELPKPCEEACACCFPPVSVMPWLSSNLDGVGEENGTIQQGLQEQQS >Solyc01g097720.1.1.1 pep chromosome:SL3.0:1:88326034:88327122:1 gene:Solyc01g097720.1 transcript:Solyc01g097720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGKLGQWSFLTVALAICLVASTVVADYSYEYTSPSPSHNSNKYYKSPSPSNYHMPTPYYKKPYLSHYYYKSPAPSKHTYYKSPSPAKYYKSHVPSKHYYYKSPIVTKYYKSYVPSKHYYKSPITTKYYYKFPTPSKHYYKSPAPSKYYYKSPSPAKYYKSPSPTKYYKSPTPSTNYYYKSPSPTKYYKSPVPSKYYYKSPSPAKYYKSPTPSTNYHYKSPSPTKYYKSPTSSKYYKSPSPTKYYKSPVYYKSPPPPPKYYEKPPTYYNSPPPPYYQESTPSYKSSPPPPKTYEQSPTYYSPPPPPYYKETPTYASPPPPVKYEEPVTYASPPPPEKYEVPPTYASPPPPSPSPPPPTYY >Solyc02g082600.3.1 pep chromosome:SL3.0:2:46805349:46808296:1 gene:Solyc02g082600.3 transcript:Solyc02g082600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTNLYAANLELLERIIPKLPDVLKGVIVEENRPGLGARRSDGCEVPVVPGSSAESAGVKHNDVIVHFGGTRIHSFLELFENMWNNVGESMELAVIRASHDVPVHLRMVVEEMATLEGMMTFEDSNILRVAGNGKMYGGSNMQCELS >Solyc09g092060.2.1 pep chromosome:SL3.0:9:71695234:71697135:-1 gene:Solyc09g092060.2 transcript:Solyc09g092060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPNNLSRDVLFSILVRVPVKSLLRFRCVSVSWNDIIFRREFKKDHIDQSRALGRVMGCVYWYKVIDYSKNSAVIYFDMKSDEFKELPTPSFVRDSRKKYLFHLTVSKGRLSFYRLKKKTGLELSMWIMGDDGWKLLMKIAEVTQFLLDKGTED >Solyc09g059085.1.1 pep chromosome:SL3.0:9:53446217:53447133:1 gene:Solyc09g059085.1 transcript:Solyc09g059085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLLTGLLLTKRAILFFATQDMSQVFSILPYRFDQAILVLQLYIFTYICLSFQIQRQYAFQSVGTIIACKV >Solyc03g117320.3.1 pep chromosome:SL3.0:3:67964395:67969372:-1 gene:Solyc03g117320.3 transcript:Solyc03g117320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASRNLISHLRIFLSSKVSETQFRTFSACSSQVDELRTRIIEGKPGIMTPNSKRTGAIAIKCGMTALWDKWGERVPITILWLDDNIVSQVKTPEKEGISALQIGCAHKKEKHLTKPEVGHFRAQGVPMKRKLKEFPVTEDALLPVGTSISVNHFVPGQYVDVAGITRGKGFQGGMKRWGFSGMPASHGASLSHRSIGSTGQRDAPGKVFKGRKMPGRMGGVQRTVKNVWVYKIDPARNLMWVKGQVPGAEGNFVFIKDSVYKKPDISTLPFPTYFAPENEDQADLEPLVADLGDTDPFMAAD >Solyc06g009530.3.1 pep chromosome:SL3.0:6:3493531:3530424:-1 gene:Solyc06g009530.3 transcript:Solyc06g009530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYFYVCTIISIILYVSATAAADSIQGCGGFVESLKPVELVFVEGVIGYRKLVDFESENAKSFLVLKILSGSLASSELIKSRKSSDPKLDYSNIIVELRTLDGLVKERTHCAPNGYYFIPVYDKGSFLIKVNGPEGWSWDPEQVECLQCYIDDNFVPVSIDHTGCNGNEDINFRFTGFTVSGRIVGNDGGESCALKDGGPSNVKVELLSPTGGVVSSALSTPRGTYSFSNAIPGKYKLRASRHDLNVQVRGSAEIDLGFENRILEDFFFVSGYDIRGSVVAQGNPILGVHIYLYSDDVTKVDCPKGSKNSPGDLGLGEALCHNVTDANGIFSLKSIPCGVYKLIPFYKGENTVFDVSPSSMSISVQHDHVIVPEKFQVTGFSVGGRVVDGDGNGIEGVEILVDGQKKSITDKEGYYKLDQVLPNMASISDIKAASYDVCGVAQTVNSEFKAKVALTHGPQNVKPQVKLTDESGHFCFEVPPGDYRLSAIPAKLENAKELLFSPSHIDVSVRSPILDVKFYQAQVSIHGSVVCKEKCGSSVSLTLLRLDGRNKDDKKTIGLANESNEFFFSNVLPGKYRVEVKNNYPIASSGQDKWCWEQSFIDLEVGAEDVKGVDFVQKGFWVNIVSSHDVEGLLTQSDGSGMNLNIKKGSQHVCVESPGVHELSFPNSCISFGSSSVIIDTSNLSPIYLKGESYLLKGHVHVESSSFSSVEGLPENIPLDILDSEGSVVDGLLARRVPYGVDQSSAAIYEFSMWASPGGKFTFIPRDARDDGGKKILFYPTQQHVAVMEDGCQSSIPPFAGRLGMYIEGSVSPPLNDVVVKIIATGDSQSAPLKQGDLALQTTTGTDGLYVAGPLYDDISYTVEASKPGYHVKQAGPHSFSCQKLGQISVRIYSREDANEPFPSVLLSLSGEDGYRNNTVSGVGGIFVFGDLFPGSFYLRPLLKEYAFSPPAEAIELGSGESKEVVFHATRVAYSAMGVVKLLSGQPKEGVSVEARSESKGLYEETVTDSTGFYRLRGLLPDTTYVIKVARKVASGGAMIERASPEFLTVQVNAEDSRGLDFVVFEQPERTIISGHVEGHKIKEFNSHLHVEIKSAADPSKIEYNSPLPLSNFFQVKDLPKGKYLVQLRSSLPSRTHKFESDVIEVDLEKNSQIHVGPLKYKIDFNHQKQDLTPAPVYPLFVGVSVIALFIGMPRLKDLYQVMMGMSSSVVSAKKEVKRPLDLLPRLTATLYYDTESSFVSPDFSGRRSTRFVSKMHFGRAKISGNGRHSSFAQEALEEAIRCCNNEAGLDQVLLTFGSKLVGSDDYTFLFRELGNRGEWLAAMRCFQFAVGRERKRNEQGKLASSMISILGRSGKVDLAEKVFENAVSDGYGSTVYAYSALISAYAKSGYCNEAIRVFETMKDSGLKPNLVTYNALIDACGKGGADFKRASEIFDEMLRNGVQPDRITFNSLLAVCSGAGLWETARGLFNEMIYRGIDQDIYTYNTFLDVACNGGQIDVAFDIMSEMHAKNILPNQVTYSTVIRGCAKAGRLDKALSLFNEMKCAGIKLDRVSYNTLLAIYASLGKFEEALNVSKEMEGMGIKKDVVTYNALLDGFGKQGMYTKVKQLFAEMKAEKLSPNLLTYSTLISVYLKGALYHDAVEVYKEFKKQGLKADVVFYSKLIDALCKKGLVEYSSLLLNEMTKEGIQPNVVTYNSIINAFGESANNECGSDNVTHIVSAISQSKWENTEEDNIVKIFEQLAAQKSASGKKTNAERQDMLCILGVFHKMHELQIKPNVVTFSAILNACSRCSSFDEASLLLEELRLFDNQVYGVAHGLLMGQREGVWSQALSLFNEVKQMDSSTASAFYNALTDMLWHFDQKQGAQLVVLEGKRSEKQGAQLVVLEGKRSEKQGAQLVVLEGKRSEVWENTWSTSCLDLHLMSSGAACAMVHAWLLSIRSIVFEGHELPKMLSILTGWGKHSKITGDGALKRAIEGLLTSIGAPFQIAKCNIGRFISTGAVVTAWLRESGTLEVLVLQDDTSHLRATRQRVTTYNVRHVKRCKSVVMKRRIRVERSRRFEDEIGSKVKILKKLIPINCEDLGLEGIFRETADYILALEMRIKAMQDMVNVLSHSNH >Solyc02g065085.1.1 pep chromosome:SL3.0:2:36817715:36823348:1 gene:Solyc02g065085.1 transcript:Solyc02g065085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENSSSEADGDNINGSGHVDTSRSISSFDSFDPLFLQNSDIPGSSHSRPHYEESESSALAAAAVSRYGSDSKQKQRSIVSSQADGDNINGSGHVDTSRSISSFDSFDPLFLQNSDIPGSSHSRPHYEESESSALAAAAVSRYGSDSKQKQRSIVSSRYDKFLPSSIHWIKIKFSRHDYSLFTKKVDQKIVMILVYVDDLIITGNDLQLIKESKNILQQNFKIKDLGELRYFLGIEFLRSSKGILMTQRKYILELISEWGLAGAKPAITPLEQHMKFTTSDYDKHLRKHDNNESDDPQLIDKHVYQRLVGKLLYVAVTRPDISYAVQTLSQFMHDPKQSHLEGALHVVRYLKGRPGLGILLSSKRDCTLRGFCDSDWASCAVTRKSVTGYCMKLGNSLISWKSKKQETISRSTAEAEYRSMASAVAEVIWLVGLLEEMNMKNLLCSWLLLLSTSNLSVLNKSNSRKIKSTK >Solyc03g098640.3.1 pep chromosome:SL3.0:3:62378416:62383561:1 gene:Solyc03g098640.3 transcript:Solyc03g098640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNASIFTRNSLLSVQVVGFPRLPVSQLHARTRIQPNPPHTTRLGLSSSSISSSTNGLKSLYLSDVGARWFVSRSKFQMSAVSGDGGGGYGGSGDGNSGGGDNGSNSGGGEGGKNWSLLAWYLSLLEKYPVWTKAVTSALLTLFGDLICQLWIDQVASVDVKRTLLFTFLGLVLVGPTLHFWYLYLSRLVTTPGIAGTLMRLVLDQFLFAPIFVGVFLSSLVTLEGRSSQVIPKLQQEWFSSVLANWQLWIPFQFFNFRFVPQQFQVLAANFIALVWNVILSYKAHKEVIVK >Solyc03g071700.1.1.1 pep chromosome:SL3.0:3:20497670:20497822:-1 gene:Solyc03g071700.1 transcript:Solyc03g071700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIDIPIAAGKEDDNLGGCNRCLFLCSVDLVSVFAAFSLVLYESLIWMV >Solyc04g076715.1.1 pep chromosome:SL3.0:4:61707073:61707362:-1 gene:Solyc04g076715.1 transcript:Solyc04g076715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSYEERQPASNSKHQGYTNPDFQHARRYIVAGVIAASLLLIVVLPTTVFLWQPLVHSPSSEVSLKQIEGF >Solyc02g070030.3.1.1 pep chromosome:SL3.0:2:40384527:40384937:-1 gene:Solyc02g070030.3 transcript:Solyc02g070030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQDACLFGDIVLRSFCPQILIVSTPNYEYNVILQKSTPQYQEDDPDEKSQQQLCKFRNHDHKFEWTRQQFCEWASELALRHNYDVVFSGVGGEANKEPGFASQIAVFRRNDRSPVNADFPEHYDVIWEWSSDNK >Solyc01g099190.3.1 pep chromosome:SL3.0:1:89368523:89372043:-1 gene:Solyc01g099190.3 transcript:Solyc01g099190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGGIVDAILGKDDRPKVKGRVILMKKNVLDFINIGASVVDGISDLLGQKVSIQLISGSVNYADGLEGKLSNPAYLESWLTDITPITAGESTFSVTFDWDDEFGVPGAFIIKNFHLNEFFLKSLTLEDVPNYGKIHFVCNSWVYPAFRYKSDRIFFANQAYLPSETPQPLRKYRENELVALRGDGTGKLEEWDRVYDYACYNDLGDPDKGEEYARPILGGSSEYPYPRRGRTGREPTKADPNCESRNPLPMSLDIYVPRDERFGHVKKSDFLTSSLKSSLQTLLPAFKALCDNTPNEFNSFADVLNLYEGGIKLPEGPWLKAITDNISSEILKDILQTDGQGLLKYPTPQVIQGDKTAWRTDEEFGREMLAGSNPVLISRLQEFPPKSKLDPTIYGNQNSTITTEHVQDKLNGLTVNEAIKSNRLFILNHHDIVMPLLRKINMSANTKAYASRTLLFLQDDRTLKPLAIELSLPHPDGDQFGTVSKVYTPADQGVEGSIWQFAKAYVAVNDMGIHQLISHWLNTHAVIEPFVIATNRHLSVLHPIHKLLHPHFRNTMNINALARETLTYDGGFETSLFPTKYSMEMSAAAYKDWVFPEQALPADLLKRGVAVEDLSSPHGIRLLILDYPYAVDGLEIWAAIKSWVTEYCKFYYKSDETVEKDTELQAWWKELREEGHGDKKDEAWWPKLQTRQELRDCCTIIIWIASALHAALHFGLYSYAGYLPNRPTLSCNLMPEPGSVEYEELKTNPDKVFLKTFVPQLQSLLEISIFEVSSRHASDEVYLGQRDSIEWTKDKEPLVAFERFGKMLSDIENRIMIMNSHKSWKNRSGPVNVPYTLLFPTSEEGLTGKGIPNSVSI >Solyc09g008190.3.1 pep chromosome:SL3.0:9:1630399:1634670:-1 gene:Solyc09g008190.3 transcript:Solyc09g008190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVAASTSVSFSTERITCRFTNSLFKSNFITKLNNLDSPLQQWQTRSRTSFIMHNHSVIDSYKGVKRVSFQLPLLRLPLRVAPSSSLPFLHKFISSPMSSLSSDANPDVKTVRAVIKGRVQGVFYRDWTVENAKELGLKGWVRNRRDGSVEALFSGSPEKVQEMEQRCRRGPSSAIVTGLDVVPCDDDPGAGFERKQTA >Solyc06g070990.3.1 pep chromosome:SL3.0:6:43736967:43741543:-1 gene:Solyc06g070990.3 transcript:Solyc06g070990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENKNKAEYYSPDDEDRDIDNQENIIHQFGKGRKEREDDKSKPSSPHHKDYMNIDNIEGGAVNVMVKRERSPPEHNSMASSSTHKEQDDQLASAKDEMREVMEENQRLRMHLDRMMKEYRNLQNQFHDIVQKETDQKSSSTTVNTSTTHHDHESDQEADQLVSLSLGRTTSDMKKDDLSKILKKDKVHDDEGVSNNNKSLDLGLDCKFETTPTECSPVNYSPENSLDDIQANKDENEETSNKNLKTMRNNGDGDDVSQQNPTKRARVSVRVRCDAPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPNCPVRKQVQRCAEDMSILITTYEGTHNHTLPLSATAMASTTSAAASMLLSGSSNSSDPNPQVTATTTTTPTTTTSANINGLNFYLSDTSKHHKSPYYFPNSSISASAPNSLPTITLDLTSTSSSSPSSLSHLNRMTQNFPPRYNYNNNNSTTNLNFSSVLESNSLPISWTNNYPNQTYNKNNQNFGPLTFSSRSSQDNIFQSYLQKNTTQSSLPPDTIAAATKAITSDPNFHSALAAALTSIIGNSPIENKSVHNLNVTEPFPILSSLPSSSNPNKCSSSFLNKPTSSASANNNNNSNSNSNSTQQPGNNSMVFFGQSSSSLPFSTSNKGKSTSPGDSKFD >Solyc09g090360.3.1 pep chromosome:SL3.0:9:70337479:70350032:-1 gene:Solyc09g090360.3 transcript:Solyc09g090360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFSKELEAQLIPEWKDAFVNYWQLKKQVKKIKISRKPHHVHDGNSSLIHDFGRSIFDSIRSFTMTSHMKFHKSHEVSQVKSIIKEGENGEEQEEQEEIYETENELVQLFSEEDEVRLFFEMLDEELKKVNEFYKTKESEFLERGDILNKQLQILLDLKQVLSDRSRKTLRSRSGSGSGFFSRSHSSSGRNSDFSETPSDCESPTGTQTEEVIAALEKNGINFVNSASTRAKTKKGGKPKVAMRIDIPATTPTRTIAAVTSMLWEDLVNNPKKDGPREYINKKKIQCAEKMIRSAFVELYRGLGLLKTYSSLNMVAFVKILKKFDKVAKQQSSANYLKQVKRSHFISSDKVVRLMDEVESLFTQHFANSDRKKAMKFLRPQQNKESHMVTFFVGLFTGCFVTLFSVYAILAHLTGMFSTRTEAAYVETVYPVFSMFALLSLHLFMYGCNLFLWKGTRINYNFIFEFQPKTALKYRDAFLIGTCLMTSVVGALVVHLILLSNGFSPSQVDAIPGILLLTFLALLICPLNVFYRPTRFYFLKVIRNIVCSPFYKVLMVDFFMADQLTSQIPLMRHLESSACYFLAGSLTAHGLPMCKSGRMYRELAYVISFAPYYWRAMQCARRWFEESDINHLANLGKYVSAMVAAGARLTYAREPDSQLWFSIVLVTSVIATVYQLYWDFVKDWGFFNLKSKNFLLRDELVLKNKSIYYASIALNLVLRVAWVETVMHFNVGFFESRLLDFCLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKAVPLPFRETDSDG >Solyc08g007800.3.1 pep chromosome:SL3.0:8:2311077:2321989:-1 gene:Solyc08g007800.3 transcript:Solyc08g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFGKKLKERQIQEWQGYYINYKLMKKKLKQYANQSQAVVPDRRFVLKDFSRMLDNQIETIVLFLLEKQGALASRISGLNEQKDLLQEVPDIAKIDELREAYQAVGRDLLKLLYFVEINAIGLRKILKKFDKRFGYKFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLADLQDRKGSYLSIYDQPALPLQDPVVDSMKAAVDRLSHSTNFLNFLAQHALIMQDELPTPVEEGVDDQRYHFMSLLLNLANTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGAMAVAQIFSSVYFSAWSNRSYFRPLIFSSIVLFIGNVMYALAYDLNSIPVLLIGRLFCGFGSARAVNRRYISDCVPLKYRMQASAGFVSASALGMACGPAVAGLLQTNFKIYKITVNKETLPGWLMAIAWLIYLIWLWFSFKEPVRDTEIKNVPQESKAEPDSLEKGIVEPLLLKSPENQQDEDEQEGDESEEASEDSHKPANSIVAAYRLLTPSVKVQLLIYFMLKYAMEILLSESSVISTYYFSWSTGHVAVFLACLGLTVLPVNLVVGSYISNMFEDRQILLASEIMVCVGILMSFNVIIPYSVPQYVCSGLLLFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTIARVIADATITLAGYLGESMLLNVTLLPSFFICIVSILATFWTYNSLY >Solyc12g005615.1.1 pep chromosome:SL3.0:12:325008:327164:-1 gene:Solyc12g005615.1 transcript:Solyc12g005615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFDHADDNIPSNPVDSIEDHVEEINPIQSEVDASLENNLLEPQHSEITDDGDLTPARRTARNTRPPLWHKDYIISTNQRKYALELISDCGLGGSKPASTPLESGVKLTTVEYDEATAKTDDPLYANVTAYQRLIGRLLYLTTTRPDICFAVQVLSQFMQKPKVSHWEAALRLVRYIKGCPGQGILLSSEDSNEMEAFCDSDWASCPNTRRSVTGYVIKLGNSLISWKSKKQHTVSRSSAEAEYRSMAAAVSEISWLLGVLKELNVNVIVPFLTVISLILQ >Solyc01g014562.1.1.1 pep chromosome:SL3.0:1:14323657:14324049:1 gene:Solyc01g014562.1 transcript:Solyc01g014562.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPQIHLVEEENHQGQKSKRQKSICKEIIIKNGKTKVTSKMVSSKDKIDTKPHQDNVKKYRGVRQRQWGSWVSKIRDIIMNKRHWLGSFATADEAALAYDKAAIKINGSNALTNILKPPPKESDPIHH >Solyc06g066170.3.1 pep chromosome:SL3.0:6:41599849:41604417:1 gene:Solyc06g066170.3 transcript:Solyc06g066170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKVLVVGGTGYIGKRIVKASLAAGHTTHVLQRLEIGLDIDKLQMLLSFKEQGARLVEASFSDHRSLVEAVKEVDVVICTMSGVHFRSHNILLQLKLVEAIKEAGNIKRFFPSEFGMDPALMGDAIEPGRVTFDEKMEVRKAIEEAQIPYTYISANCFAGYFVGNLSQLGTLVPPKHKVCLYGDGNVKVVYMDEDDVATYTIKSIDDPRTMNKTVYLRPPENIMTQRELIAKWEKLKGTQLHKISISQQDFLSSMKEMDYAGQVGVGHFYHIFYEGCLTNFEIGQNAEEASALYPEVCYTRMDEYLKRFLN >Solyc09g059650.3.1 pep chromosome:SL3.0:9:55241723:55245581:-1 gene:Solyc09g059650.3 transcript:Solyc09g059650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHIVLEGTNHFCTFFHRQIQEQLKVPLFLFSSKPDFLALFSSILQFYCLLNNIFRNQDVLEFVSMYSQFDIEQDQMNIDNTT >Solyc04g051093.1.1 pep chromosome:SL3.0:4:49452160:49452543:-1 gene:Solyc04g051093.1 transcript:Solyc04g051093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKCEFAQQEIKFLGHLVSKNNVRMDPKKVQAIVDWQASRHVNYLRSFLGLANYYRKFIAGYSKRAAALSDLLKNDTKWNLKEAIASEPILKLPDFELPFEVHIDASDKVIGGVLV >Solyc06g084130.3.1 pep chromosome:SL3.0:6:49397850:49398926:1 gene:Solyc06g084130.3 transcript:Solyc06g084130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFYYCKMWQQTWNTPYRKDDVEGGARPLYPAMLENPQLRWGFIRKVYSILSLQLLITIAVGAVVVTVHPIAHFFVSTGAGLALYILLIIAPFITLSPLYYYHQKHPVNFLLLGLFTVTLAFAVGLTCAFTSGKVILESVILTAAVVLSLTIYTFWAARRGHDFNFLGPFLFGALMVLMLFAFIQLLFPLGRLSVMIYGCLASILFCAYIIYDTDSLIKRYTYDEYIWAAVGLYLDVINLFLSILTIFRAAE >Solyc02g078250.3.1 pep chromosome:SL3.0:2:43564606:43566540:-1 gene:Solyc02g078250.3 transcript:Solyc02g078250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4B950] MTLANRITIFLLRLLALGASVAATIIMVTSHDSAQVLGMTFEAKFANTPTFKYFVGVNILASGYSLIVLFFPTKKMLGRILLITDILMTLLLDSSISACLAIAQVGKKGNTHAGWLPICGQVPKFCDRVTGSLIAGFAAAILYFLLLLFSFRNVLNLYTLKA >Solyc10g081260.2.1 pep chromosome:SL3.0:10:62510754:62516348:1 gene:Solyc10g081260.2 transcript:Solyc10g081260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4D313] MDNSVQERLLDSQIEKVDDNLKERIYDESKKIWRVALPGVLSRVASFGSIVVTQSFIGHISGLDLAAYALVQTLTVRFVNGILIGMSSATETLCGQAYGAGQYHMMGIYLQRSWIVGLITLTALLPFFVLATPIFHLLGENGSIADAAGYVSYWFIPFIYNFVFSLTIQMYLQAQQKNIIIAWLSIIQFVIHIPLSWLLVIQLNYGVPGAMIALSISSWFVVVGEFVYILGGWCPNTWNGFSLAALKDVLPVMKLSISSGVMVCLELWYNAVLVLLAGYMKNAEVAISAFSICLNINGWEFMISLGFLGAACVRIANELGKGDAKAAKFSIKVLVSTSLIIGLFFWVVCLIFGRNLGYLFSDEKAVADSVADLSTLLAFSILLNSIYPVLSGVAVGAGLQSTVAIINLCCFYLIGVPIGALLGYVAHLQVKGIWIGMICGVVTQSAALCYMTWKTDWDEEVSKAKQRLNRWYLKSSEECNQNDQA >Solyc05g007240.1.1.1 pep chromosome:SL3.0:5:1896488:1897012:1 gene:Solyc05g007240.1 transcript:Solyc05g007240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELIQPIKRFREEEEQLQVQTEEYKRHKSSYNDILSILEEEEEYGIESDPDIKLSGSDIFTTLQQELDLLPSDNNNGLGSEPVGDTGSGNSQIHCNSNSTEDDRSSVIRHLLEASDDELGIPSGDGINGSDFPIVEENGINGGDFPFAISDGLWELEDEAANYYSLLQSELFM >Solyc02g030385.1.1 pep chromosome:SL3.0:2:26177279:26178588:-1 gene:Solyc02g030385.1 transcript:Solyc02g030385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKPSTSIILWTRPPCQWKEVSLNTNYTLKQWCISQLDVNKGFLHGVLNVEVYMEAPPSLIVTNPDLNLKLNNSLYGFIQASRSWYAKIADALCSGGQRIHSLYERLLLVLQNDLNQDKFLILRITDMFYTEWIESLSHKGSLMDLNTREVVLQGQNKNDVYVLPTSSPPIVQTPLKNLSLIYIVVLDILRIGFFVTSSTLTLYHCYHHILS >Solyc09g092565.1.1.1 pep chromosome:SL3.0:9:72085745:72086338:1 gene:Solyc09g092565.1 transcript:Solyc09g092565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVAGTDTSAATIIWAMIALIANPSAVKKVQVKFRESVGEKSIVSEYNVQNLRYFKAVIKETFRLYPPVPLLVARETMQNSMLEGYEIKPKTIDYVSAWAIGRDPDIWESSEEFIPERFLNNDIDYKSQDFELIPFGAVRRGCPGRALSVANVELVLSNLFYAFDWELPRGMKREDIDTDVLPGIIPHKKNTMVPCS >Solyc11g039720.1.1.1 pep chromosome:SL3.0:11:43170285:43170512:1 gene:Solyc11g039720.1 transcript:Solyc11g039720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDLLREIYNLTLFIEKGQTQSHSLRLKTLTPMPNQDNKKGKALRKEESLQMFQNEYMKALLATVHNNIKQPTC >Solyc02g082613.1.1 pep chromosome:SL3.0:2:46814774:46823531:1 gene:Solyc02g082613.1 transcript:Solyc02g082613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFKAFELIRVLASRRSVSFPPHQTTLFVKLHVSSSFLILLLCFINLEDVEVLFSVEETRAVRRLQKLSGVSAAAKCANETCYLLTPGIANYKLKGSAQVHVMGSKKKELKHTSLCFQNCISVNICAGTAFDDIPINYEIRRKRYRAQSCKFDTSTSFPSAKKLTGKCTVRIEIRSSQNILCGSALKSMIPNSDSSVRSKVQKMIFWTTNSVLLLVPVINLTAGQNRLEDDYNVDFEIANDVIQTKNYGSDLPFFVQTVSSAIRSFARDQDIASIGRHDPWDVSGSGSSEFYNDGNDLTHMYSHGEEKLKNYLILCSPLGWMGINGLMLEIGLLLLTLGGDVRPKPPINFCGRSICASNMVFFERKFKKLDYLRILHIGKNQELVGFLKCMF >Solyc02g087620.3.1 pep chromosome:SL3.0:2:50617091:50642357:1 gene:Solyc02g087620.3 transcript:Solyc02g087620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLSNALVVVRTNNSFQIWKWCMSMAEKCNNMRQLKAIHAIYITLGLQRNTYAVSKLLDFCALSNSGDLSYASRIFAQVQTPNAFLYNALIRAYSSSPQPQVSLNYFNLMVQTSNAAAPDSFTFPFLLIACANGPLEVEGKQIHSWIIKNSFSASNAHVQTALIRFYTNCKALDDARKVFDEITDIDVIQCNVLMSGHLQSGLAKEALSIFQDMLGRGVGPDEYCVTTALGACAQLGALEQGKWIHEHVTKSEWLEYDVFIGSALVDMYAKCGSINLASEVFESMPTRNKHSWATMIRGFAVHGRPELALSCLERMQVADGLKPDGVVILAVLAACAHSGLQKEGQGLLDEMESLYGVTPEHEHFSCVVDLLCRAGRLDDALKLIRRMPMKPRASVWGALLSGCRNHNNVNLAELAVKEILLVEDGNEAEEDSAYVQLSNIYLAARQCDDARRIRRRIGDRGLRKTPGYSAIEIDGMVNEFISGDVSHICLADIHKVFSAPMEQILERLQCFGEFEVVYFGDKAILEDPIECLLCCGDSWPLCDCLIAFYSSGYPLKKAEAYAALRKPFLVNELEPQYLLHDRRKVYERLEMFGIPVPRYACVHREVPNQHLDYFVEDDDFVEVHGNRFWKPFVEKPVNADDHRIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPDYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPAEKQMAREVCIAFRQAVCGFDLLRSEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKMFLDAKAPHLSSTIPPILPWKVSEPVQPSEGLTRQGSGLIGTFGQAEELRCVVTIVRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGKPRSETKLKTAVQLQDLLDATRALVPRSRPGRESDSEAEDIEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWAKVAKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASIEMEDAKARLNDIITSETKTLHINGSAEKPWMVDGAGLPPNASELLAKLVKFTKKVTEQVRLLAKDEDEELAEASPYDVIPPYDQAKALGKTNIDVDRIAAGLPCGSEGFLLMYARWRKLERDLYNERKDRFDITQIPDVYDSCKYDLLHNSHLNLGGLNELFKVAQSYYDRLLKEPVIVFEFQLLADGVIPNEYGINPKQKLKIGSKVARRLLGKIMIDLRNTREEALSVAELKGSQDNLAVNKTTKEDTEHHTKPHTRNEESRRTSFNSERSMDQDDDDDKEPKYRLDPKYANVRTPERHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCDNALDRLYRTKELDYMSYIVLRMFENTEVALEDPKRFRIELAFSRGADLSPLEVNDEIAASLHQEHTLPIMGPERLQEVGSYLTLANMEKLVRPFAMPAEDFPPPSTPQGFSGYFSKSASVLERLAKIWPFHKHVNNNGK >Solyc08g042050.3.1 pep chromosome:SL3.0:8:24039822:24050189:-1 gene:Solyc08g042050.3 transcript:Solyc08g042050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSIIGVSSIYQTNPSLELSRRPTATPPLSLPFSIEKSNFHVHVRLRRPFLASAVVTPTSSVLSEEAFKGIGGFGKDSLNVSESEYDSEDEVEDNESNEDELSVSKLGLPHRLVDALEKRGITQLFPIQRAVLVPALEGRDIIARAKTGTGKTLAFGIPVLKKLSTDEEMRNTQRRGRLPKVLVLAPTRELANQVEKEMKESAPYLNTVCIYGGVSYATQQNALSRGVDVVVGTPGRLIDLINNNTLKLGEVEYLVLDEADQMLAVGFEEDVEVILEKLPPQRQSMLFSATMPGWVKKLSRKYLNNPLTIDLVGDQDEKLAEGIKLYALSATSTSKRSILGDLVTVYAKGGKTIVFTQTKRDADEVSMALSNSISSEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLVIHYELPNDPETFVHRSGRTGRAGKEGIAILMYTGSQRRTVRSLERDVGCKFEFVSPPSVKEVLESSAEHVVAALNGVHPESVEYFIPTAQQLMEQQGVNSLAAALALLGGFSKPPSSRSLITHEQGWTTLQLTRDSETSRGFLSARSVTGFLSDVYSPAADEVGKIHLIADERVQGAIFDLPEETAADLLNQELPPGNTISKITKLPALQDDGPAGDFYGRFSSRDTRGTRGGLRDRRGRYSQGSSSGRYSDNDDDNWGNDSRSRGGRTRRGGSDWLISGDRDKRSSRSFSGGSRDRSFGGACFNCGRSGHRASECPNKRDY >Solyc02g079100.3.1 pep chromosome:SL3.0:2:44272177:44277250:1 gene:Solyc02g079100.3 transcript:Solyc02g079100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVERTFKKLVSGVILDLDGTLLNTDGIVSEILKVFLVKYGKQWDGREAPHIVGKTPTEAAAAVVEDYGLPLSRDEFLSQFYPMLSDQWRNIKALPGANRLINHLSGHGVPMALASNSSKSNIEAKIFHHAGWKESFSAIVGGDEVKAGKPSPEIFLEAAKRLNMDPSSFLVIEDSIPGVTAGKDAGMAVVAVPSLAKQSHLYTSADEVINSLLDLQLEKWGLPAFQDRIEGTLPLEPWYIGGPVIKGFGRGSKVLGIPTANLSPQGYSAILSEHPAGVYFGWAGLSGRGVYKMVMSIGWNPFFNNTEKTVEPWLLHDFNEDFYGEELHLVVVGYIRPEANFSSLEALIAKIHEDRKIAERALELPQYLKYKDDPYLKSSLHQQN >Solyc02g068890.3.1 pep chromosome:SL3.0:2:39339533:39342012:1 gene:Solyc02g068890.3 transcript:Solyc02g068890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFAFHRLYRLHHFTASTTLLNGLLFSYPHHFFNFNSNPFWRYTQFHSFTTNPLSSDFDSVVRRVCSLVSESYCKVQENTHFKSRHPKLKLPIDSECLTQEQAITVVASLADEGGSMLALSFFYWAIGYVKFRHFMRLYIVLAIYLIKNGNFERTHEVMHCMLRNFCEVGMLKEAVDMVFEMQNQGLVLNAGSLNSVVSVVTEMGHVEMAEKVFGEMCDRGVCPDSFCFESMVVAYCRMGRVVEADRWLSAMLERGFLVDNATCTLILSVFCEKGSINRVLWIFNKLIELGLAPNVINYTCLINGLCKKGIIKHAFELLEEMVRKGLKPNVFTHTALIDGLCKKGWMDKAFRLFLKLVKSDNYKPNVHTYTAMIAGYCKQEKLNRAEMLLSRMQEQELVPNANTYTALIDGYCKVGNFDVAYKLLRVMDEKGLAPSIFTYNAVIDGLCKKGRVQEAYQMLKKGMQIGISPDLVTYTILMSQSCKLGDNGQAFALFSKMVKAGIGPDMHTYTTLIAALCRQKKMKDSEKLFDDAVILGLIPTKETCTSMICGYCRDKNVAMAKKYFQRMGEYGCVPDSLTYGALISGLCKESKLDEARDLYNSMVDKGIPPCEVTRLTVAYEYCKNNEPTITMGLLDKLEKKLWVRTVSTLVRKLCSEKNVNVAALFFHKLLDKQQSVDRVTLAAFMSACYESNKYDLVSSMNERITKDFGESTAE >Solyc07g063630.3.1 pep chromosome:SL3.0:7:66178767:66182300:-1 gene:Solyc07g063630.3 transcript:Solyc07g063630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIADHHKSHNSDGKLWKLCPLWQSGTTSSSSSSTQNLHSQNHSHQNGVGSNSSRASTSVSSVARSLLPARRRLRLDPANSLYFPYEPGKQVKSAVKIKNTSKSYVAFKFQTTAPKSCYMRPPGGILEPGESVIATVFKFVEHPENNEKPVDQKSKVKFKIISLKVKEGVDYVPELFEEQKDHVTIERILRVVFLDPERPSPVLDKLKRQLAEAEAALESRKKPPVETGPKVVGEGLVIDEWKERREKYLARQQVEAVDSV >Solyc01g097430.3.1 pep chromosome:SL3.0:1:88160689:88164117:-1 gene:Solyc01g097430.3 transcript:Solyc01g097430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVTTSYMKMISSYVMQDDQLFPMLTVHETFMFAAEVRLPPSISRAEKKKRVHELLEQLGLTSATHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAHSVVEKVKDIAKSGSIVLMTIHQPSFRIQMLLDRITVLARGRLVYLGSPTGVAAFLAGFARPVPDGENSLEYLLDVIKEYDESTVGLDPLVLYQRDGIKPDQAAKTPLRKPPKTPRAPRTPYAKSPWTKHISLRSSHFSVGNMNSQRDPKDQSESNSGIHPRLASHFYKDFSVWLYNGVKGTPLRPPTWNHGGRTQISGAKSSMSSGHPFPMSQQTPSRVKTPVVSAIFTPVREGIEYSSYNPSYEEVFEIEEVLDEPVHRHKFANPWLREVIVLCWRTTLNVIRTPELFLSREIVLTVMGLVLSSFFRRLNHFDFTTINHLLNFYIFTICLVFFSSNDAVPTFIQERFIFIRETSHNAYRSSSYVISSLIVYLPFFAIQAFTFAAITQYILRINSSILSFWIILYSSLITSNAYVMLVSALVPSYITGYAIVISTTALFFLTCGFFLKRTQIPLVWRWLHYISAIKYPFEALLINEFKGTKNCYNGDLADLSPGPLGDVKISQLHKDSIDLSQNCTLIGEDVLFSMDISKENIWLDIAILLAWGVLYRLFFYVVLRFYSKNERK >Solyc12g044640.2.1 pep chromosome:SL3.0:12:60630067:60635104:-1 gene:Solyc12g044640.2 transcript:Solyc12g044640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTPVMAPMGNANFDGGAPALPPQPGTDMTGICFRDQLWLNTYPLDRNLVFDYFALSPFYDWTCNNEQLRSRSIHPLDTSHLSKMTGSEYMLSEVMEPNLFVIRKQKRDGPEKVTPMLTYYILDGSIYQAPQLCNVFAGRLGRALYHISKAFSFASSKLEKTGYVASENESAASEPKAAKETIDFKELKRVDHIFASLQRTLPPVPPPPPFPEGYVPPSTAEASENEQEETQLPPVDPIIDQGPSKRMKV >Solyc09g015260.1.1.1 pep chromosome:SL3.0:9:8417389:8417892:1 gene:Solyc09g015260.1 transcript:Solyc09g015260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLCCAKDLIIIFVALDCFSLCSYLFSVYTKKKLRSNETTMKYLLMSGAISSIIVYDFSWLYVSSRGDIELKKIVNIHINTQMYNSAVISIALIFITVEIGLKLFPTPSHQWTPDVYEGVRFVLLPLYLSLRCLDFLKLHGRAEVCWRSLKEVLISSRTFKTLSISG >Solyc06g009250.1.1 pep chromosome:SL3.0:6:3206731:3209005:-1 gene:Solyc06g009250.1 transcript:Solyc06g009250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDSSVRRCEDLDINILVIIFLSFGLFQLIYAIPQVCRARRLTCCDPRLWNMSLGDWRLMEFQKKRKIEVVAMENEDSSGRRCEVLDINILVMAFLSFGLFQLIYAIPKVCRAWQLTCCDPRLWKMLDLSVLYVD >Solyc03g121830.1.1.1 pep chromosome:SL3.0:3:71357783:71359078:1 gene:Solyc03g121830.1 transcript:Solyc03g121830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycylpeptide N-tetradecanoyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BMY2] MADNSKSTENHNQSSDDNLAPENGNEAIDSLARKVQESLSLAKRHKFWETQPVRQFKDLGDSSLPEGPIEPPTPLSEVKQEPYNLPSQYEWTTCDMDSEEMCNEVYVLLTNNYVEDDENMFRFNYSKEFLRWALRPPGFYRSWHIGVRVKTSKKLVAFITGVPARIRVRDNVVMMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHMENIWQAAYTAGVVLPTPVSTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDQTVTPGFRKMEPHDVPAVTRLLRNYLKQFVVAPDFDENDVEHWLLPKEGVIDSYLVESPQTHEITDFCSFYTLPSSILGNQNHTTLKAAYSYYNVSTKTPLIQLMNDALIVAKQKDFDVFNALDVMQNDSFLKELKFGPGDGKLHYYLYNYRTKHVLRSSELGLVLL >Solyc05g017893.1.1 pep chromosome:SL3.0:5:19075404:19129812:-1 gene:Solyc05g017893.1 transcript:Solyc05g017893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSPLEYLYFTEHSIKELKNGNTSFKFAQPLPTLRFLYELCWVMVRGELPFQKCKLALECVEFVDYASQEELGSSLADIVTQLAQDLSLPGENRQRVNKLAKWLVESALVPLRFFQERCEEEFLWESEMIKIKAADLKSKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCQIPEDSSQNASAATVGIIKSLIGHFDLDPNRVFDIVLECFERQPGNSIFLDLIPIFPKSHASQILGFKFQYYQRLEVNDPVPSELYQLTALLVKRDFIDVDSIYAHLLPKEEDAFDHYNAFSAKRLDEANKIGRINLAATGKDLMDEEKQGDVTVDLYAALDMETEAVAERSSELENSQPLGLLMGFLEVNDWYHAHVLFGRLSHLNPAEHVQICDGLFRLIEKSISGPNDLVCKMQLLGSHPGVVTDNSMEVANSSSSRSYINLRKELFEMLSSVGPHLYRDTLLLQKVCRVLRGYYICAHELVTSGETGFISQTVTIGDRTPQMHLKDVTSRIVEALGGCLLPSLQLIPANPAVGLEIWELMSLLPYELRYRLYGEWEKDDEQFPMLLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQSGREKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGNGIELVFMQELIQQMANVHYTENMTEEQLDAMAGSDTLRYQATSFGITRNNKALIKSTNRLRDALLPKDEPKLAIPLLLLIAQHRSLVVINAEVPYIKMVSEQFDRCHGALLQYVEFLSSAVTPTAAYAVLIPALEELVHMYHLDPEVAFLIYRPVMRLFRCMRYSDVFWPSDSDEAVNAANAEKESERSEVSAYLLLDLGSSRKPISWTDLLDTIKTMLPSKAWNSLSPDLYATFWGLTLYDLHVPRSRYESEIGKQHAALKALEELSDNSSSAITKRKKDKERIQESLDRLTAELQRHEEHVTSVRRRLTREKDTWLSSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAVFVNTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEVGRLGRFLYETLKTAYYWKGDESIYERECGNMPGFAVYYRYPNSQRVTYGQFIKVHWKWSQRITRLLIQCLESTEYMEIRNALILLTKISSVFPVTRKSGINLEKRVAKIKSDEREDLKVLATGVAAALASRKPSWVTDEEFGMGYLELKLAAVPASKSSAGNSVAIANGSGASVSQGEPSIGRTVVAGRVVDGKLDRPDSSMPKPDLGQAKHKGSQSINGLDVQSMPSATLQSDTPSQNSMCRPLEESTIKAASKMSGEQEGRGTGKRSTPVGSLSKQQKHDIAKDEKSGKTVGRASGAASGDVSYPSESRASGSVNVSTTVSGNGSMFSAAPKGAAPLTRLLDPSNESNAEHTTTKSADLRVSAGKDDVTESSDVHKESTLRLVHSPRQDASKANEKVQKRSIPAEELDRLNKRRKGEIDGRDTECADARSSEKEWLIDARAADKLHPADYDKHGSDDQILNRASEKPLDRSKEKGGERPERDPRERGDRPDRSRGDDAFEKSRDRSTERHGRERSIERVHERVADRNFDRLSKDERIKDDRSKLRHNEASVEKSLTDDRFHNQNLPPPPPLPPHLVPQSISAGRREDDSDRRFGTARHSQRLSPRHDERERRRSEENNALLQDDLKRRREDDFRDRKREERELSIKVKE >Solyc08g028670.1.1.1 pep chromosome:SL3.0:8:42577254:42577538:-1 gene:Solyc08g028670.1 transcript:Solyc08g028670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRKLLDRCPISEPNSFWLKNLFLVALEQLRDSLEEIRGSASGGNMLGPAYGVKSIRSKKKDWNINRIEIIDLIGTRHPSVKPSTVVHEHLK >Solyc01g005370.3.1.1 pep chromosome:SL3.0:1:259884:260402:1 gene:Solyc01g005370.3 transcript:Solyc01g005370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIKSLFNRKKKNDPTPANSPPVNTPVMIEEELQHVFNKFDINGDGKISFSELGFIMASLGTAVTEEESIEMINEVDGDGDGFIDLREFIELNTKNIDSDEVMENLKDAFSVFDVDKNGSISAEELQKVMKSIGEECSLDECRKMIGGVDCDGDGMIDFEEFKVMMIGKRK >Solyc05g052070.3.1 pep chromosome:SL3.0:5:63259927:63263613:-1 gene:Solyc05g052070.3 transcript:Solyc05g052070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:UniProtKB/TrEMBL;Acc:Q41340] MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDITDQESFNNVKQWLSEIDRYASENVNKLLVGNKSDLNDNRAVSYDTAKAFADEIGIPFMEASAKSATNVEQAFMAMAAEIKNRMATQPASNNAKPPTVQIRGQPVNQKNGCCSS >Solyc01g058020.3.1 pep chromosome:SL3.0:1:64957778:64958776:1 gene:Solyc01g058020.3 transcript:Solyc01g058020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMPLKICYPALEHEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGRPELAQKAVDLAEKRLSADHWPEYYDTRHGRFIGKQARLCQTWTIAGYLTSKMLLQNPDMASKLFWNEDYELLENCVCALRPNGRRKCSRSAARSQVGL >Solyc10g009270.3.1 pep chromosome:SL3.0:10:3248348:3250830:1 gene:Solyc10g009270.3 transcript:Solyc10g009270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPIFSPSSSQSSLQHRLQYIVKNQTNYCSDWAYIIFWQSSNNRSCLTWGDGHLNMKITNNKDVEWFYLMSLAQSFCVGEGVVGKCFSSGSLVWLAGDQQFEFCHCERAKEAHYVHGINTFVCIPISSGVLELGSSTMIKQDLNLVQQVKSMFFGYETIDQFDDFGLFNCLELYGEEAKKGEVVVGTTPHENKAGLKNKTSKKRRREICETQGNHVEAERQRREKLNSRFYALREVVPNVTKMDKATLLSDAVTYITQLKAKVDELESKLHSNNYHYYYPEMKIKHKMENHDINVVDNQSSITTSRDHTMEIEVKMVGQDAMIRVQSENVNYPSTRLMCALQEVELHVYHANISSVNDFMLHDIVVKVPQGLETEDEVKYALLRSLDQQTCS >Solyc12g049480.2.1 pep chromosome:SL3.0:12:62034976:62036374:-1 gene:Solyc12g049480.2 transcript:Solyc12g049480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTYYKDKPLNSDRDHQKRRHNYILLRFLSRQRFKSLQK >Solyc09g097850.1.1.1 pep chromosome:SL3.0:9:72343075:72343479:-1 gene:Solyc09g097850.1 transcript:Solyc09g097850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4CWV7] MAKSTKILSSILILFFIFSLFSSSNALGGKLGGRTQIKNVKTNQEIQDLGKYCVEEHNRDLRHINGALSSLLSFSQVVEAEKQVVSGVKYYLKISAIVKSSSSPKLFDAVVVVKAWEKKKELLTFSPSPSPATK >Solyc06g059820.2.1 pep chromosome:SL3.0:6:37832793:37839893:1 gene:Solyc06g059820.2 transcript:Solyc06g059820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKFGVDYETSPLPTRQVHLYLLPRNLIPGCVPTHQRIYYCDGVNDFRHMVGPINGIFLLENGHFLNVRFAWWNPAIKQCRVVPKIEFDVEENFEDCSRSLGIGFDRTNQDYKIIYFRTFSIKFTSVVYPKIFTALYSTKNDSWKFLEPNFSHESQISFSQNFTCQNGVYYWLASSNWSCDKENVYSVLSFDFETELFKIMPGPPIPGEYWARLVLRGRSIAVMASKDVSIAMTAEYDIWQRIGENNWIKVYTVNPPIPYHMPNGMWEYDKYVYELTQSYRLMWYDQNAKQATSLGFDFFIRLLSGFAWPLDYKESLFPIPRLYRGEGVTDFRCIYGPVNGLFILEKGHYLENVRFCWWNPATRECRLIPKWNFELLDFFDDHTRSAGVGLDLVNNDYKFIWIRVFYDNDKYEVYPQAYAAIYSLNDDSWKLLDEPDLPYDCNLCASFTCTYLDGLHYWMTVTRDSDSNLTYGIRTFDFATELFGQREAPPIPSDHWGNLMLRCDSIAAISSKDTARAYISFYDIWVMVGEDKWIKVFTINPQSYEQALIFLIHLHVIRGLYGPVNGLILLMKGHYLCNVRFAWWNPATKECRIIPIVEFELERFFDEHDTVTAVGYDAVSKDYKVLSLRVYTNEHKREIHPRTFGAVYSMNSDSWKHIEPNFHYNDSLYESQDCTHIDGVYYWLCLIRDVGYVISTFDFTTETFGQMEGPPIPRNHWGTLMMRVGSLAAMSSDQLSESETSCYDVWAMIGENNWIKINTVILPMKCHSPLGMWGYDKYMFELNRAYNLAYYDSTAKQTTNFGLHMTEICSGSVYAISYKESLVPIKRENSIEEDTVEYFLITF >Solyc08g081457.1.1 pep chromosome:SL3.0:8:64632078:64634669:-1 gene:Solyc08g081457.1 transcript:Solyc08g081457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTKTLVPEISKFKNIFPSGSRIVGIMTPKKQANDDAGEAQIKAEKIEPLVAFSRPPPVPPFLGPLVALSLLESWSSRDSNDD >Solyc04g058080.3.1 pep chromosome:SL3.0:4:55137025:55140068:-1 gene:Solyc04g058080.3 transcript:Solyc04g058080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKDWIFLSFLIVKCFFVVKVEGMVQEKEDATIPITTLSPPEGNTTFLGGTTWCVARAGARQFDLQNALDWACGLGMADCRPIQAGGPCFEPNTLLSHASFAFNTYYQQNGNSDIACNFGGTAILTKTNPSHEKCIYVTSSPRVENMKSEAPPFTKERLSIVWWKIAIILLLLYSGS >Solyc07g063733.1.1 pep chromosome:SL3.0:7:66244109:66246314:1 gene:Solyc07g063733.1 transcript:Solyc07g063733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQWFPLLCIFFISASAAKVQTKVTDNPADELVSALNSNRTANKLSSLYSNPGLACLALQYIKAYGGDCKVVGGPDGKKPAESEFAQEFAPNCGVQASSLAQITGRFLACQSKYAEPSEAFNDILIRNTKSLDILYSKNHTEVGAAVSGSGGGGPYFWCVLFSNGKPKSSFSTGGVEPKVSRPGCFSGSNDQCSGANTLSQTIYLWTITVGASVALLYALGV >Solyc03g120955.1.1 pep chromosome:SL3.0:3:70674955:70678744:1 gene:Solyc03g120955.1 transcript:Solyc03g120955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIILLIAILALSSTPITIISGSVTNHTYSTTNSYTNVALSARKVVFPPPRQLGKDNSDDDDLICKTCKRLSEHRSTVAPVASSVSLEQDAAVGSVWTSRKTTEIVASVTMYALLVRTAHLAFVSVPNLMYFSICTNNQFPYPLGEMLHLVQVF >Solyc12g098920.2.1 pep chromosome:SL3.0:12:67259931:67263883:-1 gene:Solyc12g098920.2 transcript:Solyc12g098920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYLSDYTLNFPSNTKKSPHLLFSKTPNYFRRRRRRNRSCKTQISSSARVSAVVAAPEQSGGKMVVELVGAFNELTERMDTSVLSTSSSRLLFKTLKLCIPILQSLPLAPDGRSPISKALSVAVILADLQMDAEVISTGLLREVLETGAISIYDVRDRIGTSTAHLMHESLRVKHMALKVEVLDDDSATALRKFCLTYYDVRALVLDLAIKLDMMRHLDYLPRYRQQMIALEVMKLHAPLAHAIGTNLLSLELEDLSFRYLFPYSYLYLDAWLRSHESGNKPLIDICKEQLLQSLKSDPPLNEMVSKISVEGRYKSRYSTMKKLLRDGRKLEEVNDILGLRVVLTPISGVDESEIGEKACYRAREVVQSLWEEIPSRSKDYILRPKANGYRSLHMAVDTSENGRTRPLMEIQIRTEEMDMLASGGPASHALYKGGVTDPEEARHLKAIMIAAAELAALRLRDFPSANQKGLEIDKRGRVFRLLDKNGDGKISIDELMEVMEELGAPGDDAREMMQLLDSNSDGFLSSDEFDIFQNQVEFIRNIEDRDDHYQTLLNAKLQLANDTGLIQLYSKEQGSLVTN >Solyc09g009345.1.1 pep chromosome:SL3.0:9:2709431:2712289:-1 gene:Solyc09g009345.1 transcript:Solyc09g009345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLSPLVDSLWSRGKSTGVGSAVSFSDDEDGSMPSASKSTAGKGRKESSQSFRSSREASEVGKTSTRGRGRGRGRGRASNSLKQTTLDASLGFRQSQRSASVAASASVRSIAADDENVESPSSDEDAKQDTTVIDESSDDDKTVQAKGRKRAAPRGRSRGSATAAKRGRKPDSSSSSLQRMLMDVNEDDDDDDDDMTKKENKPRPRATRNYGALRR >Solyc01g105770.2.1.1 pep chromosome:SL3.0:1:93713424:93714930:-1 gene:Solyc01g105770.2 transcript:Solyc01g105770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHYHVSSLLLLAFLNIFFIHGNISGATARHLLETPVPEIPKPQLPKVPAIPTVPKPELPAIPKPELPTLSKPQLPTLPKPKMPEIPTMPKSELPSMPKLEIPPLKKSEIPAVPKTEVPPVMKKPEVPTLPKPELPSLPKPEIPELPKPKVPELPKLKIPTMPKPEVPTMPKHEIPKPKVQELPKPEIPTTPKPEIPELPKTKVPELPKPEVPTMPKPEIPELPKPKAPELPKLKVPTMPKPEIPELPKPKVPELPKPDVPTMPKHEIPELPKPRVPELPKPEVPTTPKPEIPEPSKPKSLELPKLKIPTMPKPEIPELPKPKVPELPKPEIPKLPKPEVPTMPKLEIPELPKPKIPELPKLKVPTMPKPEIPELPKPTLPSLSPPYKPATP >Solyc09g061240.1.1.1 pep chromosome:SL3.0:9:58620200:58621087:1 gene:Solyc09g061240.1 transcript:Solyc09g061240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISTREEGLLKLVHPGRRVETHTKPIIAAEVLKKYPRHCIARPDVFKFPWIVVRPEAVLLPGKVFYIVPKKTIYDLLKAKRQQNQLMPLAIPTLINSYDSHDFTSISTSQTSLLRESQFLKNRDNRPLNVQNLNLKEKQFLKKHSVSDWSSSFEGIKSMKNPGKHQFPHRNPLPKSTAGMTPKHLTHGKHPNRLQNSPWYVASYEDGDFKENRNGSDNFSQQSIDDSSFDSTFMHSKRYYNSSHRHYPASRVANHRCQYTICSNQVELKSCLRKPDSERGQINLRVTFCHANCY >Solyc10g083670.2.1 pep chromosome:SL3.0:10:63569413:63572196:-1 gene:Solyc10g083670.2 transcript:Solyc10g083670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALEEAGGWKDRTTVEDMDLAVRASLKGWKFLYLSALKVKNELPSTFKAYRYQQHRWSCGPANLFRKMFMEIIRNKKVSLWKKIHVIYSFFFVRKVVAHIVTFVFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSLHLIIFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDVLKMKSAIKAVKKPRFRFGDRLLLLELATGAYLFFCGCYDVAFGKNHYFLYLFLQAFAFFIIGFGHIGTFVPNS >Solyc01g044370.2.1 pep chromosome:SL3.0:1:43235132:43236198:-1 gene:Solyc01g044370.2 transcript:Solyc01g044370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAVSSLTYPVHFVKDLWKDVKIGENTFHSTELCYRCKVPTINQETAEAGSDKVLKTNKKPQGRIYFGQMLVWEDSVAQEKRKTIKVGDPIYVLKMVLSYDNVSV >Solyc03g025560.3.1.1 pep chromosome:SL3.0:3:2986727:2987599:1 gene:Solyc03g025560.3 transcript:Solyc03g025560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alkyl transferase [Source:UniProtKB/TrEMBL;Acc:K7WCI9] MEGVNGKKVGHLCENISSFVRQCIFSILSVGPVPSHIAFIMDGNRRYSKKQNLLDGNGHRAGFSALINMLKYCYELGVKYITVYAFSIDNFKRRPEEVVSLMKLMQEKIDELTKEESIVNRLGIRIYFQGNLKLLSDHVRLAAERAMVKTSGNSKAILSICVAYTSTDEIVHAVQESCEEKWDEIRKLDVNNDGSNLIRLEENVKDKNEHRIGVTNVDRHMYMSVCPDPDIIIRTSGATRLSNFLLWQSSHCLLYSPAALWPEIGLRHLIWVILDFQRNYLYLKEKKKQS >Solyc06g069150.1.1.1 pep chromosome:SL3.0:6:43046565:43047302:1 gene:Solyc06g069150.1 transcript:Solyc06g069150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYILKKNGNKRTREDSGLDSVFDSHESKRVHIEANAGSSSVELNGVEMELEVNSSESNQEGHVCSPEFDEYDADVDVDSPEAKQIREDILDILDEPETVRDGTIPENQDLDSVIKSFEEEILHPSTQPSPQTFIDLTFSDSGRTQSDLGYLLEASDDELGLPPSFSPERNVDSESRLGNTIGFENELLRHDSFDFGMLAGIMDGDNYGSENGGDFVTVGGLFDYPDPSNFSELSRLPESLPAL >Solyc12g017610.1.1.1 pep chromosome:SL3.0:12:6985930:6986904:1 gene:Solyc12g017610.1 transcript:Solyc12g017610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHDIEEGEIYDDSHINIDAKLQTVLGNYTKDFEGTVSAEKLGPKFGVYGSFLSIDQLVVQPQISQKLPSPTPISSHQPIRIRIKLRSSNTAAPKCSDSHTTSVKTHCPELTTSGKESPLAPFIIQEDWIQCSTCRKRRLLPYGTKPNQLSQSWVCTMLDWLPGMNCCDISEDDTTSALHALYQSLIQSNFQNRGSKGTSIDLKAHNGREVSVKKRKSREQDYLGNELGESDANAFESKFRKQKKSKVIQTEIKKSSRSKGEGKSTSRDRGIKDSAPIEREQQTNKYGVKQQSQAECGMMRKPVYKKAIGYGKVARPYIAFW >Solyc11g045185.1.1 pep chromosome:SL3.0:11:31756188:31759942:-1 gene:Solyc11g045185.1 transcript:Solyc11g045185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLREKHKLEEEREEPWDAAEITGVIGNPMGFARSMGLGLKDFLSAPVQSVFQTRAGLIKGMAQGTASLLSNTVYALSDAATQFSKAAHKGIVAFTFDDQAVGNMERHQKGISTHSKGVINEFFEGLTGLLQSPINGAERHGLPGVLSGIALGVTGLVARPAASILDITGKTAQSIRNRSKLHNLGSHRFRVRLPRHLNRELPLRPYCWEEAIGVSVLREAEDHVKLKEETLVVCKALRHDGKFVILTERLILIVSCPSLVKYRIPEFQGVPASPEWLVETEIGMDSVIHADNDYDEVHIVGSSSDALLRQNHISHKRSWGPKGKRWNNNPRTSLPLLQTNLVFTSKDEAEDFLRVLLSTIDKAKEQGRSSVHLLHQSSLRQI >Solyc01g044277.1.1 pep chromosome:SL3.0:1:43056027:43059802:-1 gene:Solyc01g044277.1 transcript:Solyc01g044277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSSKNHKTQKEEHAKTPKKRGRKLAMAISRPPLPPHNCTNIQPTGEECTALQLPQPSYVTHDEPGTSNVNIDVGKPQEVPGFEDFSSEPPDQLLRRSTRVSGIGSTPPPKRRKVVHPHKTKVSKSTTVEKQRTQNVYTPDLPTFQADNVSNVPVNSDFQKVIFENSQLEGLKQYLKGYKHNFDQDIGGSTVDADEQTDKVDQQSVSPNHMDCSKEQQMEDVVEVIHSPQRSHVLIEKVSLNNENHNIEKHTTSIFSVDTSTEVENNVQPLCLISHVEQNESAFWLSDSQLPTQLRVKKSSLPPDTETPAPRHRMPARILRSLYLTDFGSND >Solyc09g010760.2.1 pep chromosome:SL3.0:9:4056251:4056606:1 gene:Solyc09g010760.2 transcript:Solyc09g010760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFTCSIEKGHFPPYLWFNHQFLKPTDSTNLDSGHKKPTMGFFGLDEHFSSLLFIHMWIAKAEYDESCPSNVHRKCF >Solyc09g065780.3.1 pep chromosome:SL3.0:9:64290874:64292412:-1 gene:Solyc09g065780.3 transcript:Solyc09g065780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4CUK4] MELILTYPKLFFITFTLVILFFYRKNSKRRVFLVDFSCYKPPKNQQVDRQTFVNKSTKTLCYNKDSLEFMDKMLERSGLGDKTYLSKGLFKEPIDMSAEAAKEEVEMAIFGVIDELLLKTEVQCNDIDILITVFGVYNIIPSVSSVIVKRYNLRHDIRTYNITGMGCTAGLVALGLVQNLFKVHDNSCALVVTSESTTANVYKGNDRSKLLTNCIFRVGAVALLLSNKPSDLNKSKYELIHTVRSQTSDDDRSYNCIFMEEDVEGHRGITINKDLLYAAMKTIRLNISTVAPLVLPLSEKFRYLVNLFSTRSNFYSPDFSKSIDHFFPHVGGKPVLDDLQKKLGFSDEQMEASRMTLYRIGNPSSGSIWYEVAYAEAKGRVEKGDALWQIAFGSGFKCNSVIWKAIRSVHRDEMNPWRDEIHEFPVNVSDIEVVPDLFVASK >Solyc04g011763.1.1 pep chromosome:SL3.0:4:4213267:4215435:1 gene:Solyc04g011763.1 transcript:Solyc04g011763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKDITKEVTNTDYSVSSPFVLDNIVGDNIQTPIVSLTNMHTEPTTTQSVSAPTIPTETQNEPVLRRSTRTHTVPKYLRTDLAEIESLKAHLHDQFKIKYLGKLHYFLSLEILYKDNGGLISQKKFATDLIKEFGCSNYSTTSSPLDPSVKLSSIEGTLLTDPTYYRKLVGKLNFLTNTRMDITYSVQHLSQFLQAPREPHLKAAFHVLRYLKNDLNQGIFMTKHADCTITAYCDSDLAACSDSRKSVSGYIVFQGDSPIGWKSKKQTTIYLSSAEAEYRAIRKVVGELVWLERNCSSSPEIQSSSHGVLMRY >Solyc01g095890.3.1 pep chromosome:SL3.0:1:86888254:86902282:-1 gene:Solyc01g095890.3 transcript:Solyc01g095890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRMMVKRTVKVEMPKLKRCKAEGNDSGGEGESCSASPKKLKTDELFTVPIRELEDYRTSLVDSFCREALSYAGEVESSLVLAGASRSLDKALEVSNNKPPLLKSSRGRIQVLPSKFNDSVLPSWRKEENQEEQELLCLNEKDEEAVLPRKKRFKLERSNVDIHFFKNQLIHLPSSIKIQDREFSSMQSKDCSRSSVTSIGDGGSSVVVESGECKLRVKRGTVRADNFTKEKVGKKKDFFEPADFVSGDIVWAKCGKNYPAWPAVVIDPLCEAPEAVLRACVPGTICVMFYGYSRSGQRDYGWVKAGMIFPFQEYMDRFQEQTKLYGSRPSDFQMAIEEAILAEHGYTNKCPEMEQEASPATNDSGVEEATGSNQELEFCFSDQQDGYDKRKDTRPCDSCGLVVLRRTLKKVKDKMSKAQFSCEHCTKLKKSKQYCGICKKIWHHSDGGNWVCCDGCDVWVHVECTDISSNALKNLQNTDYFCPKCKGISNKKLLGSVQGGPKARLRESSGSVMPDKITVVCTGVEGIYYPDIHLVQCKCGSCGIRKQTLSEWEKHTGCRAKKWKCSVKVKGSMITLEQWLSDNNAHNVSYQKLDQQQLFAFLREKYEPVHAKWTTERCAICRWVEDWDYNKIIICNRCQIAVHQECYGVSNGQDFASWVCRACETPEIERECCLCPVKGGALKPTDIDSLWVHVTCAWFRPEVAFHNADKMEPAAGLLRIPPNTFLKACVICKQVHGSCTQCCKCATSFHAMCALRAGYHMELNCSEKNGIQITRWLSYCAFHRTPDTDNVLVMRTPFGVFSTKSLVERQSQEHCSGGKRLISSKTLELPDASDAGRSSFEPLSAARCRVFQRSSYKRAGQEAVFHRLMGPRRHSLEAIDCLSAQELTRDVKAFSTLKERLIHLQMMENRRVCFGKSGIHGWGLFARRSIQEGEMVLEYRGEKVRRSVADLREARYRLEGKDCYLFKVSEEVVIDATNKGNIARLINHSCMPSCYARILSLGEEESRIVLIAKRNVSAGDELTYDYLFDPDEHDDVKVPCLCGAPNCRKFMN >Solyc02g089415.1.1 pep chromosome:SL3.0:2:51890122:51895964:-1 gene:Solyc02g089415.1 transcript:Solyc02g089415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVSSPTCGTSPVLIERRNQWKSLRREGMLAVNNLTGTNLSPVVLFNYLRHATFKEKKSSTVLGQFHWLSFFSGRFITDFKNQSVEHFLEVLDHGAQRHDLVCPGAGDSHFGAKEPVPKAHLGASACACVISVQTLYSISFFSVYILMEGQAKEIGFEVRMITQDRFSAFLRVFYRVPYGLSKNLPLCFCSNCSLHFPLASVLEVKISKLYTVSRFTHTTKVMFFGWWWKEEVSSTMKKRFPMHPKPELPQSLGIMLYPFQITAECTYTYTKNNNISTCSAINLGWRYYTWKVRKTLDSFHKSFPWKTLLKHHNTERYCPLKKLVHVSISYLSSRNYGHIPLFYPLYPSNSKSFSSEIPRNTSYWDSALNYRPRSCTKGTKAGRQRKQRCTVGFCRGTLLVGCAWLSCAILWPIGYQSHQTEAIIFECFRCRGHDDGELNFVVQSIKFDKLCDGATFHYYLLRVLGISLKFAYVLVKV >Solyc02g079815.1.1.1 pep chromosome:SL3.0:2:44796415:44800118:-1 gene:Solyc02g079815.1 transcript:Solyc02g079815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIRCAKMEFPSTPFDNSNNSEEREVGRRTDKRKQIDGEVKEYKSKNLKAERNRRQKLSERLLQLRSLVPNITNAMNQKPSFFT >Solyc03g097760.2.1 pep chromosome:SL3.0:3:61540716:61541722:1 gene:Solyc03g097760.2 transcript:Solyc03g097760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHQSPDDGGEMAAHDNIGSKRYRRPSVRLGDIGGEHSSFDQRKSKSLWKDSSKSNRHRTNLSSNQTLTLVDTNPNESSNSRKKWSNVDEEDVGDANLDDVTIGSWKIKNLKSKKGIVTKKMRSGWTPKVNDSENDKMLSTDVEDSENNEHSPVHSRDNNKTNDNVNMSTIDIDRRIDNVNSRKREIRVSEDGPTDTKAPDWKRNGIKVWLNQLGLGSKGYGNHCSRIAKEDVLLNSEPQ >Solyc07g049640.3.1 pep chromosome:SL3.0:7:60106188:60106645:-1 gene:Solyc07g049640.3 transcript:Solyc07g049640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NINCIAAIICRSNYSMTEGSSKKQRCDRVVASHDLNRKPRFRWTVELHEMFVKAVNELGGPYDATPKNIVKLMDDEDITPEHIKSHLQVSKPLYACVFSFLFLSIRFLRLIDYMEHECI >Solyc07g005600.3.1 pep chromosome:SL3.0:7:487982:492410:-1 gene:Solyc07g005600.3 transcript:Solyc07g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVRPQLNHRWISVFVLICLFSFEAQCFYLPGVAPQDFMKGDPLMVKVNKLTSTKTQLPYSYYSLPYCTPKQIVDSAENLGEVLRGDRIENSPFELSYSLNKGEFVLTGKCVMQFHMREPQMCNVVCRVVLNAKNAKELKEKIEDEYRVNMILDNLPLVMPIKRPDLDTTVYQHGFHVGLKGQYAGSKEEKHFIHNHLTFTVKFHKDPQTDAARVVGFEVKPFSVKHEYDGEWNGKNRLTTCDPHAKRTVTSSDSPQEVEDKKEIIFTYDVEFEESDIKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPINSDLLCVYVGTGVQFFGMTVVTMTFAVLGFLSPSNRGGLMTAMLLLWAFMGVFAGYASARLYKMFKGSEWKKITLKTALMFPGVVFVLFFVLNALIWGEKSSGAVPFGTMFALVFLWFGIAVPLVFVGSYVGFKKPAIEDPVKTNKIPRQVPEQAWYMNPVFSILIGGILPFGAVFIELFFILTSIWLQQFYYIFGFLFIVLVILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLEITKPVSGMLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Solyc05g007200.3.1 pep chromosome:SL3.0:5:1811012:1815203:-1 gene:Solyc05g007200.3 transcript:Solyc05g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPGGYTVEVTGLSPAATEKDVQEFFAFCGAIEHVEIVRAGEHASTAYVTFRNPHALETAVLLSGAAILDQRVCITSWGHYQDDFDYWNHSSWKPQEDCHSSDSQGHHFVSSAGEAVTLTQDVVKTMLSQGYVLGKGALGKAKAFDESHGLSATAVSKVADLSERIGLTDKFCAGVEVARSVDQRYHISDTTRSAVSATGRTAISAATAVINSSYFSKGALWMSGALSKAAQAAADLGSRGTNK >Solyc07g038133.1.1 pep chromosome:SL3.0:7:45476532:45481041:1 gene:Solyc07g038133.1 transcript:Solyc07g038133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLLYCKDLFDPIDVDKTKKDVQPTKPEKMTDKEWEKLKRKTLGTIRQWIDISIFNHVSQETEPLELWRKLEGLYERKTAHNKASLIKKLVNLKLKPGKSVSEHLSDFQDIINKLNVMKIVLDDELQALFLLSSLPVSWETLVVSISNSAPDGTISLDVINESMFNEELRRKEMGVDISQALVVENRGRSKSRGPKGRGKSKYRSKSKDGREPTICHYCRKPGHIQKFCYKLKRDQRNKKNDHHKEGDDKNTTATTSSSDDHVFLICAIGECCHVDSSDTEWLIDTGASYHCVPNKEYFIDYRAGDFGSVKMGNQSSTSIVGIGDIRVQTNVGCYLTLRDVRHIPDLRLNLLSANVLDKEGYKHTFGQGKWKLSNGSLTVARGKLCCTLYKTHLKVCSGELNAIEEKTSPNLWHRRLGHVSEKGIKLLADDQLTGDAPEDGHEIAHEHDHIEEVQPDVVVPQPDDEAVDVQHGESSNHGEKSSPQQILGMEIARDRKAGKLWLSQEKYIERVLERFNMKNAKPVNTPLAAHFKLSKRCCTTTEKEKESMSHIPYSSVVGSLMYAMVCTRPDIAHAVGLVSRYLANLSKVHWEAVKWILRYLRGTSNLSLCFGGGEPILEGFTDADMAEDLDNRKSTSGYFFKFAGGAISWQSKLQKCVALSTTEAEYIAAVEASKEMLWLKRFLQELGLKQTGSEHKTLHPDGSDRQSELLIVCYCSWCNLRFLGDISQFLFSFVLALMYVVVGGL >Solyc08g068960.3.1 pep chromosome:SL3.0:8:58095177:58104415:1 gene:Solyc08g068960.3 transcript:Solyc08g068960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCEMESDQTEDMEMEVEVLSSMWPEDMNENGKQFNIDWPGEDQDMLEEVNINEDSTIVDFKRLVELTNYSEKGSSQLAYLVKNWEYKQENAVRLLREELDNLSKQQQESELKKLEILEKYQFEEERYGGDKRPISILDEDLKRYIFQEAPRKRNDVKIQDKLEIDAEYDSIKYWKQRATHLEKLLETSGQREQILTEKLQESIENLERQSSPVEELSQVLKRADNFLHFVLQNAPVVIGHQDNELRYRFIYNHFPSLREEDIIGKTDVEIFTGAGVKESQDFKREVLERGIPAKREITFDTGLFGSKTFLIYVEPVFSKAGETLGVNYMGMEITDQVRKREKMAKLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMTEILSTTKLDKEQRQLVNVMLSSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGHIAEDVPIEVVGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVSGPCPDVKQGSHKRMASDHSKVLAKICKEDKCTSPSNNALDRKGSGGCKDADGANERLLQKGEGNYSEQNGASIEDEVDDPNQQETTVWIRCDVYDTGIGIPENAIPTLFKKYMQVGADTARKYGGTGLGLAICKQLAELMGGHMTVSSKEHHGSTFTFILPYKVSPVCDSSDDNEELTEMDNHDTSSEGNDDDINSGFFQFQPRTLGSLFSSHSSGRAPKLSPNGFGYNTLNKTNGFQVNSHSFPLDSNTSKETGSVEDACSVADAVETCSDPESTFKHTRNSGNPSTSGREQKINGVLDHTPCLSSPNSETTNSDQGEITEKIEKSQIQEQPDKSSECSSSKDAQISKTSLKPKILLVEDNKILVMVTRSMMKQLGHDIDIVNNGVEALRAVQRYRYDLILMDVCMPVMDGLQTTRLIRSYEESGNWDAAKDAGIDVEVSPSNTSQRSDESSTKRIPIIAMTANAISESAEECYANGMDSFVSKPVTLQKLKESLQQYLP >Solyc05g012060.3.1 pep chromosome:SL3.0:5:5283374:5294645:1 gene:Solyc05g012060.3 transcript:Solyc05g012060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFIDERSDGLEILSIGKLYTGLWDKKYWSSSRGKDRYPYPIGYNALRTQNGVSYKMEIHEGLNGPLFTISSTDRQSCSGQTPDIAWESFQKKGCQIKLLHGKRHSSKIDGVEFFGFKNTFVQRLLRELVANTRGTPEQNFLSSISLRNETSEAVNQTELTVSKTDPSLLTHTEKPQSTGKRNMKGRTNNIKSTANTSLKKPRRQNKSSDIKGLNPVQQEYGDHLPSFSSSKRSCNSSEALQESETLPTINEREKVLVTIVESFDKDDHLKVDSLISRGGRKHLNTKTEVPLEEVVANTSGTPEQNFLSSISLRNETSEAVNQTEHRVSETDPNLLTHVENPQSAGKRNRIGRANNIKSAANSSLKKPRRQNKSSDIKDLNSSQPEYGEHLPPFSSSKGSCNSSEALQESETLQTINEREEVVVPVVESFYKDDHLIVDSLSSQGGRKHLNTKTEVPLQELLANISGVSEQNLLLSNSLGKETSEAVNQTEHRGSKTDQNLLTHMAKPPRAGKRNSKGRTNNIKSAANVSLKKPRRQNKSSNIKASHSGQQEYGEHLPPFSSSKGSCNSAEALQESENLQTIIEREKVLVPVVESFDKNDHLIVDSLSSQEGRKHLNCETEKPVEEYTEVQKDGKLFDRSKVLDGQVIENQMTAEKDGESPVENGTQRNNDANLWAPDTLDHQSGTMSYYLPGPFFGAASCITSYLTSTSLINSLNGCLFTFLDESFSLTAEIVKDVAAAVSTNVPDSPIIGSRPESEMGTSSLEVNSQKSEPDSAGHEIANTMMTFLLPRALPLIRTYTRKKKNDTKSSVISIHNSQDENKKIGLYLDSTSVSELDKNSLLRQKKENASSPFRGCVPASTACSLAEAIVPDSFDNYVSGYTPSQGLAQILQVAEVAKEDHSVQGLCTCRPEIMEPSKSDNLEEAACNGETGTERENDFLPRTHKFLQASRKEVSGSKSTGAIVTGSLTKIPLSGESMMQHVSLSESIICRDFRDDSVPESNADIKAMHTSHFLQGSSSKQCQIEQSISTDEPHIEGRSLNFYTKERSTSTNGAPFYLASRSQDEEMDQMLDHIQTSKFLDSTATNSEGNLTKMLSRDQQSVRFTGHLLDKQNQKIIFSADTTEKKENNENANMEAQQDLKSESERSGVLKVIAGYAHPMPISSVLLRRQENDLYICVLCGQPLHEDRTIFMYKAPLEGEEKGCPSFIGQIELDSAAVQLTPFGQSLVLFNSVIAPSCREGDIKCQCSLCALNIFEENAVKIMQIRNGYLSLITKLKTTLRVCCILVCPPDHLVAVEESGKLYVWVMNTNWSAETEKRCLLPPDCPPFSTMKLKRIPNSASLVLGYNGFGEFRLWDIKKCMLVSNFSAASTSVFQCLPVSLFSWQRKFTAPAGVTEEIINEITDVTKMSFLEKCDNRPFCLLEDKDVAIWVLISTAPDSNSSAYQSSDQQTDPDHWWRLALLVNNTMIMGNSLDPRATAIGYSAGHGIIGRSDGLVYTWELTTGKRLQTLHHFKDAAVSSIVSDNSSHRAVAIASDGGQLLVYLPC >Solyc07g047850.3.1 pep chromosome:SL3.0:7:59191810:59209628:-1 gene:Solyc07g047850.3 transcript:Solyc07g047850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVKSAPQSIWYGEDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPEILSKNGVKFGEAVWFKAGSQIFSEGGLDYLGNPNLVHAQSILAIWACQVVLMGFVEGYRVGGGPLGEGLDKIYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLSDHINDPVANNAWAYATNFVPGK >Solyc11g063680.1.1.1 pep chromosome:SL3.0:11:50023293:50023508:1 gene:Solyc11g063680.1 transcript:Solyc11g063680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEVFKKKVIFIIGAVGTGKSCLFVDLATHFRGKIINSNKMQVYKRLEIVTNKITHKEKKVYDTIS >Solyc12g019870.1.1.1 pep chromosome:SL3.0:12:12040717:12041775:-1 gene:Solyc12g019870.1 transcript:Solyc12g019870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSRNNFNNSIPCFLGNMPNIMVLNLKRNNFTGSIPPLCAENTSLRTIVLNGNQFEGPVPMSLLNCDGLEVLDVGNNVIYGTFPAWLGTLRELHVLKLKSNKFYGPISIMKKFYFPRLQIFYLSYNEFTDSLPTEAFQNFKWIIKLDDRMQYIRLVFDGEFGDLMYEDSMRLVIKGQDIEFERINTIVAANIDLSRNHFEITEMHMLEELDLSWNRHTGKMLWQLVGLISLGNLNLSHNLFIGSIPRGLLFANDSYGGNLDLCGFPSSMQCDQSHIPQPLDLEDKDDELYFGSGFTWESMFIGYSCGLVVGTVVFTLIFNAGKTKWLVKILDDINPQQTRRPKKRAHRRQT >Solyc02g062420.3.1 pep chromosome:SL3.0:2:34567658:34571030:1 gene:Solyc02g062420.3 transcript:Solyc02g062420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Green ripe-like 2 [Source:UniProtKB/TrEMBL;Acc:Q1HTV3] MVPEVDADHALMIEENYPNPMLIDPKRDRFPCCIVWSPLPVLSWFIPFIGHIGICREDGVILDFAGPNFVSVDNFTFGAPTCYFQLSREQCCCLSPYSAEPTGEYVENHDESGGNVDTWESAIRKSIQEFQHQSYSIFTCNCHSFVANGLNRLGFQSGGWNVVNLAIFIFLKGRWVNRTAMVKTYLPPLVVLGLGLIFGGGTFLTYLLIFMFVLIGWFLLGTYCFKKLIHV >Solyc10g048080.2.1 pep chromosome:SL3.0:10:43954965:43955852:1 gene:Solyc10g048080.2 transcript:Solyc10g048080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIQYIKLLKIIKVVTQVSLKNHSIFMGFSLFSQMPSYFLLALIQIVSHSCHAQQDYLDAHNKSRANVGVRPLTWDDRCVMMATKAVEMWVDEKQYCNHESNTCDEGKVCARVQCNNGGYVVSCNYDPPGNFIGKTPY >Solyc01g095500.3.1.1 pep chromosome:SL3.0:1:86617230:86618111:1 gene:Solyc01g095500.3 transcript:Solyc01g095500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQKIKKKLVHKTITTKYDHHNKWTPKVVRICYTDCDATDSSSDDDDDERNRVKKYVTEIKFEKKMAAADVRKSLNSNKKKKKAIDLKRDENVKKFRGVRQRPWGKWSAEIRDPVKKTRVWLGTFDTAEEAAMKYNIAAIQLRGADAIINFIETPFPKENAITSVSDYDSTGECENLCSPTSVLRQNNNNNDKDNEDAIAIDTKIMNDESKKMEMDENGFMFDDNLPLMDQSFLKDFFDFRSPSPLMDDVLLPGFSDGMGLLPEVLSIHGNRMLDEDLETCKWANDFFQDVC >Solyc09g010562.1.1 pep chromosome:SL3.0:9:3931668:3935885:1 gene:Solyc09g010562.1 transcript:Solyc09g010562.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRLFLASIIAYGSKGWIAQGIELFQPINVWTKDIRMETMQDKTKERKRDGNWKEKKVTCNLTATLNEEKARQEKRGDSAMYHSSAPVLQMIDDQDLGFFANFLGIFIFVLVVAYHYVMADPKYEGN >Solyc03g026155.1.1 pep chromosome:SL3.0:3:3581799:3583620:1 gene:Solyc03g026155.1 transcript:Solyc03g026155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLSPKIKQKGKKAIYKDELTVKNPNSSSFLKKSCFAEFPGDWPEVGVAIVICFVLQLYSTETTIAISIKDQYCFGTATQLLGSYCGRKTV >Solyc12g035790.1.1 pep chromosome:SL3.0:12:44483798:44486350:1 gene:Solyc12g035790.1 transcript:Solyc12g035790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKVRMINFAKFLESIGLQIVHLKKLKPLLLDDRDTSQKSKFVINGGTISPFLFNKIPMWVIDSFHTRNNRRKSFNDTDSYFSMIFHDQENWLNPMKPFHRSSLISSFYKANQLRFLNNQHNFSFYWNTRFPFYMEKAHINNLDFTYGKLLNILFIHTISPIESQVSNIFRPKNFQQSGDETYTLYKSFNFPSRSDPFVRKAIYLITDISEKNNFFIGNIPLMNFLGTSVVNGIYRIVINQKLLGRRSELEIDRKARIWAHVSWKQELSILVLSSAIGLNLREILENVCYPDFLSFINDKEREKMGRKKFPFWSFINNLFIFEVFFIFYIFPIGAYLIPFIKHNDVNQPLMISNKQHHAVPLSSSEKWIVVTRFERQETLDSGDLSIAERKGRIVYTNTHKILLASDVVATVCGKLALGKNVLVAYMLWEGYNYEDAILISESLVYEDIYTSFYIRKYEILLSERLMRGRQAHMERTKENFVVA >Solyc08g076390.3.1 pep chromosome:SL3.0:8:60520299:60526570:1 gene:Solyc08g076390.3 transcript:Solyc08g076390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGRVCMSREAKLEFLKRKRLQRMKTESLNDLSCVSNMLSRSGGDALRSSASCGVRIQVNTDSYPGSGASFNGKDNFSKHKVAKFDTSNLDWIDKIPECPVYCPTKEEFEDPLVYLQKLAPEASKYGICKIVAPITASVPAGVVLMKEKAGFKFTTRVQPLRLAEWDTDDKVTFFMSGRNYSFRDFEKMANKVFSRRYYSAGCLPPTYLEKEFWHEIACGKTDSVEYACDVDGSAFSSSPNDQLGKSKWNLKELSRLPKSVLRLLEKSIPGVTEPMLYIGMLFSMFAWHVEDHYLYSINYQHCGAAKTWYGIPGHAALDFEKVVREHVYTNDILSADGEDGAFDVLLGKTTLFPPNILSEHGVPVYRAVQKPGEFVVTFPRAYHAGFSHGFNCGEAVNFATGDWFPMGSISSRRYALLNRVPLLPHEELLCKEAMLLCTSLKLEDPDYSSSDLITHRSIKVSFLNLMRFQHRARWCLARLKAFSCISLFTHGTILCSICKRDCYVAYLNCNCYAHAVCLRHEPRSLDFPCGSNRTLCLREDILDMETAARQFEQDKVVLHEVQQQHRKTDDFSKLLKMFPRAEDDGYVPYCEINFEWPEDSVEQTIYEEAPNGSGPVVSDLNSSMEPKDYLSTGVNVQGNANCNLGDSSSMKLHGDVFSCGSERSEISSSASSKVHQKVAQETDCRTIIDQDSDESDTEVFRVKRRPRAEHRSVHDSMSINVENQSFKRLKKHQSGRLGSLCLPEHSSTCDINHRSVAISSQSKEALDFHPRDKSVRGGTVPVCIKLKKGVGYEQDEHKRDDRLPFELGQSKRREPGRTESGSKRLKVRGPSVLGFGGRMDGLNR >Solyc11g007935.1.1 pep chromosome:SL3.0:11:2154731:2159253:1 gene:Solyc11g007935.1 transcript:Solyc11g007935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYNQILRLLNRPQLNETSANANMTVDSGATNHMVNDNSIFNTGLTVARTGKVQIPTDDNVIKPIFHPTKIAEEFPIARLGECDTEPLIDSIENETMVEAASSVDSVEQPPVRRISTRISRPPIWQKDFITKTCSKSASSCLYPIEDNVAYDNLVIPYQRSSKRLIDNAKQVLKNNFKIKDLGDLRYFLGIEFERNSEGILMHQRKYAMELISDSGMSGSKPCVTPVEVNQKLTTSEFDDHFKLDNGNDLLDSGEYQRLVGRLLYLTITRPDIAFAVQSLSQFMHAPKSSHMEAALRVVKYVKTSTWFWYLDVC >Solyc07g065930.3.1 pep chromosome:SL3.0:7:67648700:67651296:1 gene:Solyc07g065930.3 transcript:Solyc07g065930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSAYRVDTTSRLAQWRIDNLASCTYRKSDPFKIGKWNWHLAVEKNRTLSIKLYPEISNFTRENPPVASFIIRLISSLGDRQTLIHPEVVDKQLKSSEDFVWPIEIPLTGKFIIDVEFLDLKTATPNSGELCSIWAEGLTEKQSNATALSSLGRMLSESIHTDIIINASDGSIGAHRAVLAARSPVFRSMFSHDLKEKELSAINISDMSIEACQCFLNYIYGNIQNEEFLTHRLSLLQAADKYDLSDLKEACHESLLEDIDAKNVLERLQTASLYQLPNLKACCMRYLVRFGKIFDIRDDFTAFLQYADREIIGEIFHEILAAWKGF >Solyc03g096935.1.1 pep chromosome:SL3.0:3:60748820:60752806:-1 gene:Solyc03g096935.1 transcript:Solyc03g096935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLQTYAGLSLIATLAVIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVVMCILWQMTKKIFLGPLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTALLLIKGLHWLAQKRVEYIETTPTVTRLSHIRIVSFLGFLLFIDSLFLYDSMNYLIQTRQASVSLFFAFEYMILATTTVSTFVKYVFYISDMLMEGQWENKPVYTFYLELIRDLLHLSLYLCFFMVIFMNYGVPLHLIRELYETFRNFRSRVADYVRYRKITSNMNDRFPDATPEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPTENGTNVAGSRPDVQQGIIYSLIMFR >Solyc08g075160.3.1 pep chromosome:SL3.0:8:59425363:59431734:1 gene:Solyc08g075160.3 transcript:Solyc08g075160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSASSAATTPATAIAAKAFRTQIPQLHTIRTRTDHCFGPQQFSKTSYRLIVHPVKAMAETVATPQSSPKLSTSGRKQALISLSDKTDLAKLGNGLQELGYTIVSTGGTASALEGAGVSVTKVEELTRFPEMLDGRVKTLHPGIHGGILARRDQEHHMEALEKHEIGTFDVVVVNLYPFYAKVSSSSGISFEDGIENIDIGGPAMIRAAAKNHRDVLVVVDSEDYPDLLEFLRGDNDDQQFRRKLAWKAFQHVASYDSAVSEWLWKQTVGDKFPPSLTVPLSLKDQLRYGENPHQKAAVYVDKSLSEVNAGGIATVIQHHGKEMSYNNYLDADAAWNCVCDFNQPTCVVVKHTNPCGVASRNDIIEAYRLAVKADPVSAFGGIVAFNVEVDEALAKDIREFRSPTDGETRMFYEIVVAPKYTEKGLEVLRGKSKTLRILEASKNNKGKLSLRQIGGGWLAQDSDDLTPEDIQFNVMSDKTPQENELIDAQFAWLCVKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRIAMRKAGDEVKGAALASDAFFPFAWNDAVEEACQSGVSVIAEPGGSIRDKDAVECCNKYGVSLVFTNVRHFRH >Solyc04g025845.1.1 pep chromosome:SL3.0:4:20779308:20789839:1 gene:Solyc04g025845.1 transcript:Solyc04g025845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGPRRTIFLCPSWIRCWIDLQERGGTVFLMVIIENSIASEDQEKTTFTCPYGTFAFKRMSFGLCSASATFQMYNLFESSLDRMEENIVVITTKLVKHLPIHLVQEAQLGGPVQTR >Solyc02g063330.3.1 pep chromosome:SL3.0:2:35943052:35947022:1 gene:Solyc02g063330.3 transcript:Solyc02g063330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSPSSCTDSHNSHSSNTQNLAIGIHTGNNYELNMKTLIYKMIWDLGLACIVPPRRRRISVNNQNDDKNGKILEHNKAWLLAEAGGCGGPELINADPYSVHSSFRFTLCTQVEVESVNGNNNFSSSSSPPAATVLMVNLDNGLTDPKSQEVKWRKVESLERNISPVVHSLIRFSYNEIVSATRNFSKGRVLGRGALSYVFRGRVGFLRTTVAIKRLDREDEESPKAFCRELMIASSLHNPYIVPLVGFCIDPEEGLFLVYKYVSGGSLERYLHGKKKGSKGGPALPWSARYKVAVGIAESIGYLHNGTERCVVHRDIKPSNILLSSKKTPKLCDFGLATWTPAPSVPFLCKTVKGTFGYLAPEYFQHGKVSDKTDVYAFGVVLLELLTGRKPIEAKRGPGEENMVLWAKPLLQQDVLEKFLDPRLKIPRKNLHQISWMIQAAAACIHSEESRRPDIDEIIDMLRGRESDSIYTKKNILPGNSCLIDSYNHSQQTRSEMKSHLALAMLGVAEFDNDDLYRS >Solyc09g009030.3.1 pep chromosome:SL3.0:9:2377815:2382571:-1 gene:Solyc09g009030.3 transcript:Solyc09g009030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWGAEVKSGEPLTVQPGDGMVLHLSQASLGELKKDKSESACLSVNIDGKKLVLGTLNSEKVPQQQFDLVFDKDFELSHNLKSGSIYFSFDVKFCVFVSLTKLLWFTEEDDEDDYDDSDEDIPLTLANSGKPDPKEAGKSNAGKDSASGKQKVRIVEPTKDDEDESSDDDGSDLGEDEDDSDESEEETPKKAEPAKKRKADSATKTPVTDKKAKLTTPQKTDGKKGGVHVATPHPSKQASKTPKSAGSHHCKPCNRSFGSEGALESHSKAKHSAGK >Solyc07g021152.1.1 pep chromosome:SL3.0:7:16394137:16394346:-1 gene:Solyc07g021152.1 transcript:Solyc07g021152.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWIGEVKVFDTMISQAESRLLTPLGCSVLTGRRQALRPTMFFMPLCDVELYENHLEENWRHDL >Solyc03g079970.1.1.1 pep chromosome:SL3.0:3:53303365:53303802:-1 gene:Solyc03g079970.1 transcript:Solyc03g079970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLGKSMVAVPTNVIYLSTILGQDGPNPVHKCDWKCENEHVCGNMFRCRLTGLTHICDKNCNQRILYDNHNSLCRVSRQIFPLTQVEVQAVKGVRRKFDADSSPSDSCAFKRRRDAHFHPSPFERSFTAVSPICSQVGEGMDLN >Solyc03g078620.1.1.1 pep chromosome:SL3.0:3:52664790:52665398:-1 gene:Solyc03g078620.1 transcript:Solyc03g078620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYSSLSCEIQIIRARNVDRTLLGGSLFVRCYLYSGDDQRVEIKSQEIIPSKSGDHLFWDESFSLDCSGSQDSINRLKQGSVVVELHSRKNLLLLLPILGGSNMFGRAEIPWRSVFESKNMEIMEWAIMDKVNDVKPMAVQIAMKIRVIETIKVKKNINSKLRRSSSSSCACMDYCGCNSSNVFRVDDYQVFALGAALGAL >Solyc06g066540.1.1.1 pep chromosome:SL3.0:6:41914250:41914828:-1 gene:Solyc06g066540.1 transcript:Solyc06g066540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRIRESDEKGNKHPIYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALSIKKDSSILNFPHLIDSLPRPISLSPRDVQAAAAEAAAMEDLNYVSSTSSVSSIDKITSASEELGEIIELPSLDGSFESEESKTELKISDSVDGWLYPPWWASDGDFDGYLFEQDAVGNSLILSNFEMMK >Solyc04g080400.2.1 pep chromosome:SL3.0:4:64674077:64675950:-1 gene:Solyc04g080400.2 transcript:Solyc04g080400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQLSFATNSVNLVTCLMSYKVSNFSVYKTGDDDSSYSNLLDFSIQNLRFAESKIPKPIVIIVPESKEQLVSSVMCCIRGSYEIRVRCGGHSYEGTSSVSLEGGSLFVIIDLMILDNVSLDLESGTAWVQGGATLGQTYYAISRASNVRGFAAGSCPTVGVGGHISGGGFGFLSRKYGVAADNVVDALLVDAEGRIVDRESMGEDVFWAIRGGGGGVWGVVYAWKIQLLEVPKIVASFILSRPGSKRYVAQLVHKWQLVAPKLDDEFYLSVSIRANARRDIHEIKAQFNGFYLGPRTKAISILNKVFPELGIQKHDFKEMSWIESILFFSELDNTSNVSLLKQRYFEKKSYFKAKSDYVKTPISMDGIMATLDVLEKEPKGHIILDPYGGAMERISEDAIAFPHRKGNLYGIQYLVEWEEKDNSITKSNAYIEWIREFYNTMAPFVSSAPREAYVNYIDLDLGVMDNLLINTNAGHAIERARAWGQKYFLNNYDRLVKAKTIIDPLNVFRHQQGIPPMFASIQEHNYSIE >Solyc08g076200.3.1 pep chromosome:SL3.0:8:60334879:60345750:-1 gene:Solyc08g076200.3 transcript:Solyc08g076200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEGNLDDGSDVTVVNGKVKESSTNVVVTGKGANELKYKALEKFVDAGLPSEVLECCKNFEKPSPIQSHSWPFLLDGRDFIGIARTGSGKTLAFGIPAIMHITTERKSKKSKNPVCLVLSPTRELAQQISDVLCEAGKPTGVQSVCLYGGVDKHHQKASLRSGVDIVIGTPGRLQDLMEMGACNLKEVSFVVLDEADRMLDLGFEPAVRAILSQTCSVRQMVMFSATWPPEVHQLAQEFMDPNPIKVVVGSEDLAANHDVMQIVEVLEDRARDERLQCLLEKYHKSRRNRVLVFVLYKKEASRVEIMLQKRGWKVVSISGDKQQHARTKALSLFKDGSCPLLIATDVAARGLDIPDVEVVINYSFPLTTEDYVHRIGRTGRAGKKGVAHTFFTKDNKGLSGELINVLREAGQTVPAALLNFGTHVKKKESKLYGAHFREIDANAPKATKIKFGDSDNED >Solyc05g055040.3.1 pep chromosome:SL3.0:5:65659116:65671336:1 gene:Solyc05g055040.3 transcript:Solyc05g055040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C2I1] MKEIDFRHGFLFFLMFLSSNWVIGAIKSQSTEEAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDMVVLVSDGVSQYANDLLRADGWIVEKISLLSNPNQVRPKRFWGVYTKLKVFNMTKYKKVVYLDADTIVVKSIEDLFKCGKFCANLKHSERLNSGVMVIEPSDEVFKDMMSKVTTLPSYTGGDQGFLNSYYAGFANARVYEPNQPLDVLNSRKVPEMERLSTLYNADVGLYMLANKWMVDEKELRVIHYTLGPLKPWDWWTSWLLKPVDVWQNVRVRLQESLPGTGGGKNPRDELLVKFLFLVPLLLMVFSYYKSCLQTRSLFDHIRQLYYKIRAGCVLAYSSVPSSSILSDQQPKVPAFLGGISVCVCFAAVLVSLGLSLVIIPRQVMPWTGLFLMYEWTFTLFFLQFGSYLYLVYQWGKAVANRAGQSRANSTSLDHESGKGHQRQQSCCDIAACYYGLGMAFLAILAPALPSIFGITALFLRLGLMVVGGLVLASFMTYAAEHLSIRSFTRGFEEKDMHRSRSICFFC >Solyc01g008100.3.1 pep chromosome:SL3.0:1:2164619:2168876:1 gene:Solyc01g008100.3 transcript:Solyc01g008100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSTVSPTSVLFVVAAILISYYSDVSSAKSDSDPTPAPWPHQFHSILFVNFSGSLSLIDLWYDWTNGRNFNIIQDQLGELLYDLEWNNGTVFRYTLDDGKKKCSSALVDVGILRPNWLDGATYLGQQFIDGFHCNVWQKVDFIWYYEDIVTKRPVHWVFYTGRSIHVMTFEVGAVLEDIKWQAPVYCFDKKQVQDHPASDNDILEIGSKGTLREFI >Solyc07g006940.2.1 pep chromosome:SL3.0:7:1773393:1775437:1 gene:Solyc07g006940.2 transcript:Solyc07g006940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILVISKREMQPLSALFFFLGTLLVLLLLIFILCKFFKPGKLTALITSTFKPLPEMSNAVSVHLHTISYFNFHTLEKATKNFHSDNLLGCGGFGPVFLGKLGDGQLVAVKKLSVDKSQQGDREFLAEVRMITSIQHKNLVRLLGCCSEGDQRLLVYEYMKNRSLDQILYGKSKIFLDWKTRYQIILGIARGLQYLHEDSHIRIVHRDIKASNILLDDKFQPRIGDFGLARFFPEDQAYLSTAFAGTLGYTAPEYALRGELTEKADIYSYGVVVLEIINSRKNTDLSLPSEMQYLPDYAWKLYERSRLIDLVDPKMLENGVAEKDVMQTIHVAFLCLQPHANLRPPMSEIVAMLVTKAGTLITPPMPPPFLDPELRIGRTITVHG >Solyc05g056440.2.1 pep chromosome:SL3.0:5:66582245:66582591:-1 gene:Solyc05g056440.2 transcript:Solyc05g056440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKKGWLAIQVGLEEEDVGIQKFVIPISYLYHPLLQKLLDKAHDVYGYHVDGPLKLPCSVDDFLHISSEVIL >Solyc03g033245.1.1 pep chromosome:SL3.0:3:4840753:4842306:1 gene:Solyc03g033245.1 transcript:Solyc03g033245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFCDFFFWGFHFDRDCDMVMIWTLRDLRTMEQPLWEEISSNLLSIGIEVLDSVNLSGLLWVKSMRYCQMSLCVGFPSHYMNSEINDIL >Solyc07g056525.1.1 pep chromosome:SL3.0:7:64442040:64445121:1 gene:Solyc07g056525.1 transcript:Solyc07g056525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKHHHTIYVIVASVSHGLSADGTLTSSVVMRAYCHQSSEDMFRWGNNLDGLYLVKANYQLMSSNKALIDQWPWKLSGLDMQHYWVDHEALLGRFAGEALFG >Solyc07g052260.3.1 pep chromosome:SL3.0:7:60883787:60884407:-1 gene:Solyc07g052260.3 transcript:Solyc07g052260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLCITKSLCAIVFLLSVYVIEGKDCNEGLPWVTTHCRGEVAQGNCWVACQQRHGMDVKASCRDIIGIPTQICWCTWPC >Solyc11g006050.1.1.1 pep chromosome:SL3.0:11:854696:855295:-1 gene:Solyc11g006050.1 transcript:Solyc11g006050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSSYSYSQYHDHDLGFNENDTEDMLLLNVLSEAKEDSSSNNIHEETNEGSSKEVATSYRGVRRRPWGKYAAEIRDSTRNSVRVWLGTFDTAEEAALAYDQAAYALHGRVAVLNYPVEMVYRSLMEIDCRFEDGCSPVLALKKWHSMMKKGNNKSSSSNKKKEEKEMSYENVLVLEDLGADYLEEILMLSESNS >Solyc07g063240.3.1 pep chromosome:SL3.0:7:65881860:65895919:-1 gene:Solyc07g063240.3 transcript:Solyc07g063240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEAPPSTILFTDDDSKNLEQLLDVFGSVVSLDDIAAAYCKAGRDPIIAGDILGDQKPSSSGTTNSEMEENIEDSVTLTSEFEEVGDSMISTSASVSEIDAKRVGPRSSKQKKLSVSMGTVSSMIPKDYLTNRPLITETSTRIKPLKLSADDFLTPELREEKAPLGAAAMSETLPSSVEEFLFNMLGSGFSLDRSVIGDVVGQCSYDLNKSMDKLLDMSASTLGKSDDIINIGVKKSVESSDFASVVSKETSSMTDPAIRSKANEKTKNGLKLSMKEQERYEIQKEVLHALFDVPAASRYDNVDEVIRPVKEVGKSSRLNLVVDKPLQETLERQILIRTPQSDAKNGEEDEESYEVLRKAVKEYWITMKEYYNAASEAFSKGDHDQARKFLEEGQFFMTKAQVTDEKSSQKLLETRYLQFQQRNCDTRPSRFGAKGCSALTQTSVDFSLWILVYSISQNLSWDHC >Solyc01g109380.3.1.1 pep chromosome:SL3.0:1:96265713:96266825:-1 gene:Solyc01g109380.3 transcript:Solyc01g109380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHMSKKPGETSAAIDNLQYKIELNSYEAACRIDTDLQSFDTNLQARTSHVINTLADGVEVRALSFDSLREVTGCLLEMNQEVVKVILDCKKDIWKNQELFELVEEYFDNSLKTLDFLAALEKCLKRARDSQLLILVALQQFEEESGVEGNRYTKTLEELKNFRAAGDPFTEEFFQIFQSVYTQQMLMLEKLQLKKNKLDKRLKYIHAWRKVSNIIFVATFAAVLICSVVAAAIAAPPVASALAAAASIPLGSMGKWIDSLLKNYEDAVKGQKELVNTMHVGTFITIKDLDSIRVLIDRLEIEIESLLKKVEFAIDEDAVKVAIEEIRKKLDVFMKNVEDLGMQADVCSRDIRRARTVILQRIIKPPNH >Solyc05g050695.1.1 pep chromosome:SL3.0:5:61686189:61688051:-1 gene:Solyc05g050695.1 transcript:Solyc05g050695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNMLLCAQEYIKMLYYLAVWVSNKVHRSNRVKLLSDIMEEGIEPESLLFETSRFSSFERTPMSSTRLPEKKFS >Solyc08g080550.1.1.1 pep chromosome:SL3.0:8:63946014:63947660:1 gene:Solyc08g080550.1 transcript:Solyc08g080550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALVLTRALLLIGDSLAFRKATQFTRIAPSQGNVRFLSSSGSLTLASLGLKSEVKTVSANEKNKLQQGISTIEVPKSREKKRVSGNKQGLVKEKNPVDIMTAPFAAKSFSELGLPPLLVERLETEGFTIPTDVQAAAVPTVLKNHDVVIQSYTGSGKTLAYLLPILSRVGPLKEELPDGYETGNKIDIEAVIVAPSRELGMQIVREVEKLLGPANKKLVQQLVGGANRSRQEDALKKNKPDIVVGTPGRIAEISAAGKLPTHGCRYLVLDEIDQLLAFTFREDMKRILDHVGRRPGARGGESNSPLVKRAARQTIMVSATVPFSVIRAARSWGCDPLLIQANKVVPLESVTPSGSVNIPGTPSTTDSSSSVQPMPDVQSLPPNLQHYYTITRIQHKVDMLRRCVHALDAKCVIAFMNHTKQLKDAVFKLEARGMNAAELHGDLSKLVRSTILKKFRNGEIRVLLTNELSARGLDLPECDLVVNLGLPTDSVHYAHRAGRTGRLGRKGTVVTICEESEVFVVKKLQKQLSLSIEACEFSEGNLVIIED >Solyc03g113160.1.1.1 pep chromosome:SL3.0:3:64897418:64898287:-1 gene:Solyc03g113160.1 transcript:Solyc03g113160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSETSLLHPFSGVEIELPHPNTTKNYEDHEVGYPWTFFHKAVLSASPSHTSNYILAVIDGNMKFFSFWRPGDLRWTRIDNVNSPYKHIHRDLVYYTEKFYAVDWSGRVLVYDVTGSSPTHSQIIAILPPHHKGDEFYILESMGSLFVVVRYGVKSRAPIREDSSRISLTPIYEDDNDGETYGTTSFGVFEVDLAAGRLRETKEVGDRALFLGANASISVQASHFPGVKPNHIYYTDDFVESYLSYEEGGGMDMGVYNIANCSFEPHYNGVSLSRVCPPIWVTPTLY >Solyc07g016100.1.1.1 pep chromosome:SL3.0:7:6269416:6270177:-1 gene:Solyc07g016100.1 transcript:Solyc07g016100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPYCWTIEHQKDLPENDDQNLSKGKLFILCGIRKVYLNYYFTQDGNWRFYDRTYFIYENTRRLCFDIEEHETWSSKFSQMVESLYVPLMYQQEMVQDIKDKALLIVQENDTSKNMSIIIDIAHRIPQTIANIYQGHDHEEANEDELGLIEEQIAMDLMTLDETRVFMPVVPTSKDAIEGLEKVKVETLNGDMSFGETCMICLGKLITNDIVELTRMHCKHVFHGDCIIQWLEINHVCPLCRFRMPIDKEN >Solyc06g082430.2.1 pep chromosome:SL3.0:6:48291442:48293407:-1 gene:Solyc06g082430.2 transcript:Solyc06g082430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRERERLDEMGKKLKTEIDISQLQMQQQMGRRHVLGPVGGGTTLNNVTPCAACKLLRRRCAEECPFSPYFSPHEPHKFAAVHKVFGASNVSKLLMEVPVGQRADAANSLVYEANVRLRDPVYGCMGAISTLQQQIQNLQVELNTIRAEILRYKYREAANSLIASISTSVPPELPQAPPTPPPPPPPPSVVVVSSSSSSASSTSSNLYTPASSSVANFSAITNNNISYFDQQ >Solyc05g050010.3.1 pep chromosome:SL3.0:5:60739403:60742400:1 gene:Solyc05g050010.3 transcript:Solyc05g050010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:Q659H5] MDLETSEISNYKSSAVLSKLASNEQHGENSPYFDGWKAYDNDPFHLVNNLNGVIQMGLAENQLSVDLIEEWIKRNPKASICTNDGIESFRRIANFQDYHGLPEFTNAIAKFMEKTRGGKVKFDAKRVVMAGGATGANETLILCLADPGDAFLVPTPYYPGFNRDLRWRSGVQLLPISCKSCNNFKITIEAIEEAYEKGQQANVKIKGLILTNPCNPLGTILDRDTLKKISTFTNEHNIHLVCDEIYAATVFNPPKFVSIAEIINEDNCINKDLVHIVSSLSKDLGFPGFRVGIVYSFNDDVVNCARKMSSFGLVSTQTQHLLAFMLSDDEFVEEFLIESAKRLRERYEKFTRGLEEIGIKCLESNAGVYCWMDLRSLLKEATLDAEMSLWKLIINEVKLNVSPGSSFNCSEVGWFRVCFANIDDQTMEIALARIRMFMDAYNNVNKNGVMKNKHNGRGTTYDLTPQMGSTMKMLLA >Solyc05g026260.1.1.1 pep chromosome:SL3.0:5:40281242:40281577:1 gene:Solyc05g026260.1 transcript:Solyc05g026260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHTEVLKHHLENMCEGGEIVIIDGGRFLLSGDSENSNSKRKGKSKRRGSSSNTQKKQQHKEKDEDLEHPKKQGRGRPTKNKEHGAKKGDGTTSALETIEPDDQRDRDFKG >Solyc12g009240.1.1.1 pep chromosome:SL3.0:12:2519891:2520637:-1 gene:Solyc12g009240.1 transcript:Solyc12g009240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPNSKDTEFSQSSSSSLYRGVRKRKWGKWVSEIRLPNSRERIWLGSYDTPEKAAKAFDAALFCLRGKGANFNFPENPPEIRNGRTMTPSEIQSAAAQFANNTEPELIRVGPRENSDLSSSSSEIFRAESPSVSVSDRVESEKTEITLGNDFIDVYRVESRVESEKTEMSLDNGFVDMFSSLGTVNDMSDFGIFPGFDDLSGEFFIPPPSSPQPSPLQMPNLESLEEENYLNYDGFQSQGTSFLWNF >Solyc02g011970.2.1 pep chromosome:SL3.0:2:14175701:14176742:-1 gene:Solyc02g011970.2 transcript:Solyc02g011970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRKNIYLIGLFPIPINSIGTINDTLEEFIGSSSIHRLIAYIFPKEKRSTRVVLRWWRNSIVKKRDSRCKMSNETVAGIEILFNEKDLNIWSFFLYIIWMIRSKRTMIGKINLNSGSLFIILVKHWISYLMSAFKEKIPIEVDCFFKQQGAGSTIQSNDIQHVSHLLSRNKWAISLENFAQFHIFIQVTNSSQLKACSDQSTDHLDSISNVYSEYKNIIYTKRDSTTKIKMDSLGTFLSSNGTKRD >Solyc06g068530.1.1.1 pep chromosome:SL3.0:6:42589803:42590027:1 gene:Solyc06g068530.1 transcript:Solyc06g068530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKIIERPSISSPPPPKPSPNSKQISTYDFANNNELAVYYWDAPNKGNSLGRSSKSPPPPPRPAPSTGQLFSS >Solyc02g091623.1.1 pep chromosome:SL3.0:2:53500390:53501029:1 gene:Solyc02g091623.1 transcript:Solyc02g091623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISSYFSVSILYRTKTMGSRCQHSLQFLKIKEGERLSIFISNCFILCNSRTKIMLLTARTCEILEKVQFHVNLFLAAMLRFKAF >Solyc02g014077.1.1 pep chromosome:SL3.0:2:15432932:15436847:1 gene:Solyc02g014077.1 transcript:Solyc02g014077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDDFHNQLYKNIGNKSYEICLQFLNCVRNDTNDMILFADCKVIEEKYHWGMARQLKKSHTSVL >Solyc08g079960.1.1 pep chromosome:SL3.0:8:63469702:63470531:1 gene:Solyc08g079960.1 transcript:Solyc08g079960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLQWLLHCLPEVLVMQSPGILKSDIIGPGVNILAAWHISLENNTNTNSRFNMISGTSMSCPHLSGVAALLKSVHPDWSPAAIKSVIMTTANVFNLGSNLIEDETYLPANVFATGAGHVNPSKANDLDTEVGFFFPGKVNCSEVTSISDGQLNYPSFSIQVRVNSAAQVYSRTVMNVGQTNSTYRVEIGSPPNLDVKVEPTTLVFSEVKQALSYQVTFTPLDTIPNSTFNQGSLRWISEKHIVNSPIAVRFFLF >Solyc04g078770.3.1 pep chromosome:SL3.0:4:63529251:63530421:1 gene:Solyc04g078770.3 transcript:Solyc04g078770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPSTDHIVSWGEDDSTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKRGEKHLLCEIHRRKTAQPVQSMSVNHPHSYHTGSGFFPNYPSNYNPRLSVSPPDSDDQLYQQQNINWCDSPCSNNNNASNNNTNTVTALSEDNDRLRRSNNMLMSELAHMRKLYNDIIYFVQNHVKPVTPSSSYNTCSLLPASATPIVQKNMNIHHHQFGYQQITNPKNIVISNINTNNIVSPSKTSQSSSVTILDEGNGGDNTSTKLFGVPLMSKKRVHPEYSSSYYSTTNMVEKNKARLMVLEKNDLGLNLMPPSSS >Solyc05g053050.1.1.1 pep chromosome:SL3.0:5:64055850:64056074:-1 gene:Solyc05g053050.1 transcript:Solyc05g053050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRDNESMEIDEGCKTPRHDKHRIMVNSMFPPPTPRKKRIYVKQQSSPPKEGYFHPPDLEILFTIVSRRETRV >Solyc03g116815.1.1 pep chromosome:SL3.0:3:67559872:67560513:-1 gene:Solyc03g116815.1 transcript:Solyc03g116815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHRPRLFSLDLILYSCCRNTHSIKTKWPEVLITTVMQFKMTRLLIAGSVLCRKIHNNSKFHPNRKKNGRI >Solyc01g094750.3.1.1 pep chromosome:SL3.0:1:86048316:86049896:-1 gene:Solyc01g094750.3 transcript:Solyc01g094750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAIALLLFSFITCYLLWFTFISRSLKGPRVWPLLGSLPGLIENSERMHEWIVDNLRACGGTYQTCICAIPFLARKQGLVTVTCDPKNLEHILKTRFENYPKGPTWQAVFHELLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIQLRFCPILKTAQVEGKPVDLQDLLLRLTFDNICGLAFGKDPQTLAPGLPDNTFASAFDRATEASLQRFILPEVVWKLKKWLGLGMEVSLNRSLVQLDKYMSDIINTRKLELMSQQKDGNPHDDLLSRFMKKKESYTDKFLQHVALNFILAGRDTSSVALSWFFWLVIQNPVVEQKILQEISTVLVETRGSDTSSWLEEPLAFEEVDRLTYLKAALSETLRLYPSVPEDSKHVVVDDVLPDGTFVPAGSSITYSIYSAGRMKSTWGEDCLEFKPERWLTLDGKKFVMHEQYKFVAFNAGPRICLGKDLAYLQMKSVAAAVLLRHRLTVAPGHKVEQKMSLTLFMKDGLKVNLRPRELTPFVNSVKEVQLIQI >Solyc05g015430.1.1.1 pep chromosome:SL3.0:5:10517954:10518103:1 gene:Solyc05g015430.1 transcript:Solyc05g015430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFTFVFKILLRSINNFEFSRKNDDEQIQFRILIMMHIILIIIQFCIF >Solyc03g111320.1.1.1 pep chromosome:SL3.0:3:63417084:63419081:1 gene:Solyc03g111320.1 transcript:Solyc03g111320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4BJZ5] MAATIEGQDRVLAAAQQIVKSLNTSTNVDTEDMLMILSNFDNRLSKLSNMMTTTTTSSSSTPTSARSAAALAGTDHSSTDLVFEEAAKLVFEWDSPPNADPESTSEYLNAVDEIIRKTEDLSVLSPEMDRAEAALQHAMAHLEEEFRHVLIGNTVPFDASRLHESSFIRRCSISSSAVAIPDFETGTLSEDQEDVSSARYNHVKGKSLGADDFSLDLVYADAIIDLREIANRMIKSGYEKECCQVYSSVRREVLDECLAILGIEKLSIEEVHRIDWQSLDEKMKKWIYAVKVLVRILLSAEKSLCDQVFGDSELIKEVCFMETAKGCVMQLLNFGEAVAIGRRSSEKLFRILDMHDALADVLSDIELLFSDEDGELVCGEAKGVLDGLGEAAIGTFVEFENAVEREISKKPTQGGEIHPLTRYVMNYVKLLVDYSDTLNGLLEKLESDTEYGSSAADNGDNLELENVAPLARRLMLLIKSLEGNLEGKSRMYEDCGMPYIFLMNNVHYIVQKVKDSELQKLLGDQWVRKRKGQIRQHATSYLRASWSKVLSCLKDEGLSGSSSNASKVALKERFKNFNACFEEIYRIQTGWKVPDAQLREELRISISEKVLPAYRSFLGRFGGHLESGRNAGKYIKYTLEDLEGYLLDLFEGTPLVLHHMRRKGT >Solyc10g005500.3.1 pep chromosome:SL3.0:10:410191:412910:-1 gene:Solyc10g005500.3 transcript:Solyc10g005500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRSNVGGGSNDGPLFDSFRTELLSPAAQAVVDQIQSSSSASWRLNVSEFHLPEQRRSDPRCFSVRRLLPTPRKQGKIAEYYKRQERLVEGFNEMDTINESGCLPASLTEDEMKQLARSERMAIHLSNMANVLLFVAKIYASVQSKSLAVIASTLDSLLDLLSGFILWFTSNAMRNPNQYHYPIGKKRMQPVGIIVFASVMATLGLQILFESAKELINQSRPEMDHEKEKWTIGIMVSVTMVKFLLMVYCRRFKNEIVRAYAQDHFFDVITNSVGLVTAVLAVRFYWWIDPAGAIIIALYTISTWARTVAENVCSLIGRTAPPDFLTKLTYLIWNHHEEIKHIDTVRAYTFGVNYFVEVDIVLPEDMFLNQAHNIGETLQEKLEQLPEVERAFVHIDFEFTHRPEHKITV >Solyc10g079180.2.1.1 pep chromosome:SL3.0:10:60908748:60909704:-1 gene:Solyc10g079180.2 transcript:Solyc10g079180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYISSLGHDQYSSINFDELFNLPRYKRYLDFTSHQANDQLENHSNKKLKFSQDNEFVNVEKRVVESSNKELLPCLKIMAGSVYIPKDDPKKPLGDDANFIHELYQTIGVADGVGGWAKHGIDAGIYARELMKNSRIATDSEAMKGHVNPKRVLEEAYRNTHSRGSSTACIISLNSERSSIVAANVGDSGFLLIRKGKIIYKSPIQQRGYGCPYQLGNCKDNPSVAHEMELNVEMDDILMAGTDGMLDNMNDSEIEEIVQRAINDKLKPKELAKKIANIALYNSFDRYADTPYARASKGRHRGGKVDDITVIVAYIQ >Solyc12g044190.2.1 pep chromosome:SL3.0:12:59925722:59930105:-1 gene:Solyc12g044190.2 transcript:Solyc12g044190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSVFVDKVTDCLIKPVVQGIGYFVYYKRNITCMENESEKLKNIRIGVDQRAKANRRNLHVISPNVEAWFASVATITAKVADVTRRGRNEVDRYDWCPNFKSRCLLSRRAKKIALDLIELQNEGNSYAVFCYPAVENEPLPINSAEEFDSRKLQEDEVMAALNDDGVTIIGTCGLGGVGKTSLAEKIRRKAKQEELFNDVVMVIVSQQQDPKTIQEEIARGVGLTLQGDDLWSRGDLLRTRLMVHNSRTLVILDDVWEALYDLEKLGISTGSNHNYRCNVILTTRLRPVCDIMKAQKIMEIETLPEEEAWMLFKEKVGNSVDDPSLLDIAKDVSKECKGLPLAIIKVAGALKRKTKPSWEDALKRLCSADTKNIPGVHAKVYGPLRLSYDHIESDEARYLFLLCSLFEEDSDIWIEELLRYGKGLGIFSEMKNLEHARNRVCLLIEILKDSFLLSQCSDKNYVEMHDVLRDVAIYIASEEEHKFMRLSSLRTLHVINLKLEDISIIGKLVNLEILSIRDTRLDELPEEIGNLTKLIVLEFWNESKTLERISTGVLSRLIRLEELHLTRVNDSSCSILMELESLSELTALPLYECSEDVTYSKLVLPSKLTWYNIKVGSVYEDRMYDYDKSIALEVTETTPLAGWICHLLKKSEFVSSSGEGSNNVLNELQPNEFQNLKCLHLSACNLVTHIFNISRTTHEVIKFPNLYALKVIDLECLTHFCSDNVDGIEFPQLRKLTFRDLPKFQNLWPTANNFITHPNPLFHEKVSCPNLEKLYIDVANNINVLCSDQLPTAYFSKLKRLRVWNCGNLRNLMSPSVARGLLNLRNLRIVRCSSMEEVITKEEQQGEEIMTLFPLLEILILNDLPKLRHFFLTKSVTKFPFLIEVTIHKCPEMKMFVQKGITVSLESTVNNDDEVKVMFNSQVSFPNLEELTISKLESVTLCSDQLSTAYFSKLVMLHVSNCGNLRNLMSPSVARGLLNLRSLRIEGCSSMEEVITKEEQQGEEIMTLFPLLEELHLDDLPKLRYFFLTKRVTEFPFLIQVTIRECPEMKTFVQQGIFVSTPSLKWVNGGYEVKVDDLNKWTQQWFNSKEQKASQGTTNGDKSEAVDD >Solyc09g018460.1.1.1 pep chromosome:SL3.0:9:14948321:14949823:-1 gene:Solyc09g018460.1 transcript:Solyc09g018460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSEILHNSWSSTNQIHPFYEYQNHVISNYSSLNSKDQDSSEISLSDFTSLFSDDVLNDLPMDDIEFVDVSRWLNDSENEGNENTKITSETKKDGDSCSPALSSISIDTSTTIQSRNDVISQSREIDNQMRIHHLLSVYGEAMENGHKELAEVIIRCIKGKINPLGESLERVASNLFQHTEEDDQESYLKQESNKIIEAAFKAFYQIFPLGKFAHFAANSAIIEAMPDESQTIHIIDYDMGKGIQWPPIIKAMSQKRKILRLTSIKKSTSDHWRFEETKRRLVDYANLFGLTLQVDEMTFEELASELRRMKKRGKRSEWLVFNCMFQFSYMGKRMRRIDALGFLKLAKELLLANSSTHRGLVTFGDGEAMDNSHHNTNNTFSSYFNKNLLHYQSIFESLELYFPSHLAQARVAMESLFLAPEVCSFSWFQNWEEMTNVSDSCSEMGLQGMRISKENLLQAKEVVNERETPFKVRIEEERQHEMVLEWKGISIVRVSTWM >Solyc08g082910.2.1 pep chromosome:SL3.0:8:65685277:65694180:1 gene:Solyc08g082910.2 transcript:Solyc08g082910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSQWPQEIVMKPMEDIIGNTSKPTNCVERKLVRPQKDQVVNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKKSSSPYNHHVIVNNKKLPDLVVPPPQPDNIEEYPERHFGNRPHDQNPSKIILEGSQDLNLGFSSDFKTITDLIQVPNYDGSNKDNNNISTILPPPSSSSSASSPSQLSVMELINGITNNNNNNNNNSFMTMPNSVYNSSGFSLMPSLNFSLDHGIGNDVHHSSSYGNNNNNLQDTNANGRFLFPFVGLKQVSNNTSDGGANEPSLGDQSTNGYWNGMLGGGSW >Solyc02g067410.2.1 pep chromosome:SL3.0:2:38169800:38173427:1 gene:Solyc02g067410.2 transcript:Solyc02g067410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSSSTGTMGFVPGAPEDNELPPPFPSVYPHQEFQGISSVLMRRAMSFDHQDSRADDIDMSDDDGSSQLLGEKKRRLNMEQVKALERSFEIGNKLEPERKMQLARALGLKPRQIAIWFQNRRARCKTKQLEKDYEILKRQCDKLKSDNDALKTQNKNLHSELQLLSLRNRESTGGGTPILFNLNKENEGSNWNNGSGDENSTIIDVNLGRTSSTNSPHNNNNNDHVFQTPNFKIEEQTQSHDVPEEGFCNIFTNVEDQTNYWPLPVQQHFY >Solyc01g014568.1.1 pep chromosome:SL3.0:1:14371495:14372394:1 gene:Solyc01g014568.1 transcript:Solyc01g014568.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNMGRRNPTHNKQSSTLFGTFDTAEEVALAYGKTAIESKEVASKEELQVKDGVVKPSLIDVVEKFSNQVGKISQVFPRLVGIHVPDHDTTDSPRD >Solyc01g108650.2.1 pep chromosome:SL3.0:1:95779629:95782688:-1 gene:Solyc01g108650.2 transcript:Solyc01g108650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFHVEKTLSFMAVTQTQFPAMMALKLNSPLANGVQILPLLTKSSTCYLSIRSNGTRPISRPKIICNMNIAAGRSDEPHKFNLENVIDQARKLWDNTPAPVKSFPWNRALDHFVQLILDIVLTVTKYLYVPVLVVTSISELSYCAHERKLYITLLPFLAGVAIAGILKSAALESSPYLKNADVPWHLIVIGLFFTLLKLPGPYYPYWGRIFIPHLANGALFRTLWFAFLWYRRPRKSAETTLPDSVVADPEQNRL >Solyc04g074270.3.1 pep chromosome:SL3.0:4:60354393:60362757:1 gene:Solyc04g074270.3 transcript:Solyc04g074270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICNCFSGPVGRRSKKDKGEKGAKKTADVVVVVEGSVNSSTKTDDSKSSSFVVPLSFGSSRSNVKVMNHDSPVKGDTKEVANHDSPIRGDTKEVANHDSPVRGDTEEVANHGSPVKGDVEEVAYEGEDEHDESLSMKRDNSDFDLQARGRSSGGEYDQSFDEGIRRNCSFGSEMNEQDHNKSEKDAEVVKSGHISDPGFGKVESWASPKLQRSCSDLAMRDMVNKLSEQLSLSKTKSFDEMRRLAEKMTLGSPASVLTHRSADKVMLKKHSSSQLLPSRNRKLWWKLFLWSHRNVQGTGGIQQLPILAKTALNQQGGYSSDTLELGKAMDSSNLGSPGSFIAESLNKGRNDKGKEVLDGFRGVSGFWPQNQWVAFPEESSRFMRINEWVNELPSHPPCLIDEHDHVEDEVDISPSPDAGKSPLISPYPNMNVPEEVAHANTVIRSLNSSSTVAHIASAGLKVIPAISHLCSLRSVNLSGNFIVQITPGSLPKGLHVLNLSRNKIHTIEGLRELTRLRLLDLSYNRISRIGQGLSNCTLIKELYLAGNKISDIEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLLALNLLGNPIQINISDDQLRKAACSLLPKLAYLNKQPINSQKARDVGTEAVAKAALGSSTRGTHRRATRKVSTGSSSSASVHRSSAGVSQKSRHRLRSRTQL >Solyc04g054880.3.1 pep chromosome:SL3.0:4:53290665:53299044:1 gene:Solyc04g054880.3 transcript:Solyc04g054880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAASSKSERSEALRLCKERKRFIKQAVDSRYALAAAHVSYVESLRNIGIALRRYAEAEVLIESSLSTSATELDKTPSHSSYPSPSPSHVGGVSDSPVLNGSPLSPPIATRLSYMRSAGPTAVTVKVSPSSTNMYVDDVDFSTPLPPPPPPDSGSWDFFDPTDNESFRFVTHNGRQLNFDELGEKDNEGDNGIQEEFLTPKSEPRSNGHGKLEFHDSSPVMPKRAENNSQQVADGEGNNVRSEPKANGSVGTTIGKSALQVSVSKGDKPSVDEREDPSEFITHRAKDFLSSIKDIEHRFFRASESGKEISRMLEASKIRVGFSEAKGKSSVSAYLSSMGSGCCRRVGENMSGEADHVTKVIIWKRTTSSRSSSSRNPLNSKDDNDDSGSDFVEDFCMIAGSHSSTLDRVYAWERKLYDEVKTIESIRRDYDRKCNQLRHQFAKDVSAQIIDKTRSVVKDLHSRIRVALYSVDSISKRIEKMRDEELLPQILELIQGLIRMWRAMLECHHAQYITISLAYHAKASASSPQGETQKLIMSQLQDEVECFGLSFANWINSHTSYVEALNSWLQNCILQPRERTKGRRAFSPRRVLAPPIFVLCRDWSTGIKSLPSEELSDAIKDFLYDLRHSVGHHSEELQKKETTPEPGNEELEGKDEEKNDEKSSNLNCIHSSLTRVLDRLTKFSEASLKMCEDIRQKCDTARNAYLNYRPAPRSFSI >Solyc01g034027.1.1 pep chromosome:SL3.0:1:35595836:35597503:1 gene:Solyc01g034027.1 transcript:Solyc01g034027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEHPGRLRLYGAGVTKTTLKKKVGNWESTLSATTDGMQQMQERMQKMEKQMEEQKKIVRQEVIADVIAQLKHAGLIDPNILEALSTPSLRESTSIQGAKQGLSCFCSCSLIFAAGLSCFCSCSLVSAAALLFLLLKFECAQLAVECYWHVLDFQVLGLNNSKS >Solyc02g069470.3.1 pep chromosome:SL3.0:2:39910312:39914856:-1 gene:Solyc02g069470.3 transcript:Solyc02g069470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPLLFHLFFSKFTFVTFSSILQNPNPNINSPSLSTLSLSLFLLKELKERMSGSWSKLQKALSFRQPKSPPENASSDAKSPPSTRPSSAASSSTFSRLSRSFSSTSRSSKRTCAICLGSMKAGNGQAIFTAECAHSFHFSCIANSVKHGNYLCPICRCKWKEIPLMSSFSTDVNTNNAGRTRVSPLEDNFLDNIPRVPPPVSLPLPEPLHFSDDEPLPSITVDQTPSPSSVHPETAVLKAFPEYSAVAASGSMSRFAVLVGVKAPPLADDVRHQERAPIDLVTVLDISGSMAGSKLTLLKQAVCFVIDNLGPSDRLSIVTFSSGAQRNFPLRRMTEQGRREAAQAVNAISANGGTNIVEGLKKGVRVLEERRERNPVASIILLSDGRDTFNGDIANQRRSPRNRRSSNATSGPDFLNLLPSSICPRNREGLAAGQQPTFHVHTFGFGLDHDSSAMHAISDASGGTFSFIETVGTVQDAFAMCIGGLLSVVVQELKLTVRSASRGVEIGSIPSGRYASEISEQGKQGVINIGNLYADEEKEFLVYLSVPSAEIEQGATKTSLLQITCSYKNTISEEMVSVEGETVEIRRPPVLSPTDVVISREVDRQINRLAVAETIAEAQRLAEMGNLEAAQAALTNRRATLLSSVSAQAGDALCSWLETELTEVRERMVSRERYEQTGRAYVLSGLSSHSWQRATTRGDTTSQILLQGGSSSNSGATMYETPSMVSMISKSQNLSLVNRSEQVPRPNKSSNLPPPPS >Solyc07g064090.3.1 pep chromosome:SL3.0:7:66505318:66510725:-1 gene:Solyc07g064090.3 transcript:Solyc07g064090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVPLGCEPVVGSLTPSRKKEYRVTNRLQEGKRPLYGVVFNFIDSRYFNVFATVGGNRVTVYQCLEGGVIAVLQSYIDEDKDESFYTVSWACNIDGSPFLVAGGINGVIRVIDAGKEKLHKSFVGHGDSVNEIRTQPLKPSLVLSASKDESVRLWNVHTGICILVFAGAGGHRNEVLSVDFHPTDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDLPSKFPTKYVQFPLLIASVHNNYVDCNRWLGDFILSKSVDNEILLWEPKMKEQSAGEGTSDVLQKYPVPECDIWFIKLSFDYHYKTAAIGNREGKIFVWEVQTSPPTLIAKLSHVQSKQPIRQTAMSFDGSTILSCCEDGTIWRWDVVATTF >Solyc04g050370.1.1 pep chromosome:SL3.0:4:46820518:46820772:-1 gene:Solyc04g050370.1 transcript:Solyc04g050370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKEAKRDRKKTKNIKHNGNISLDVAIEMSKEILGTCVSVGCTVDGKDPKDLQQEIIDGDEEIPQD >Solyc01g090270.3.1 pep chromosome:SL3.0:1:83803704:83809238:-1 gene:Solyc01g090270.3 transcript:Solyc01g090270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAASKLDNEDTVRRCKDRRRLMKEAVYARHHLAAAHSDYCRSLRVTGSALSTFAAGEPLSVSDHTPAVLLRTSFSTTAATNIKTPPPPPPIHIPSPSPSLHLPPPPPPFFPSPSPTIASSKLPHILSASSVSSHQRQPPVQQKKPLKLPHILSGSSLNSYNEDYTYDAKANSTYSSTPSQASSVWNWENFYPPSPPSSEYFERVHNKNNFSREADPEDDEEDKAINDEKLANGSVRNQKKVGENKQNYAAHHLNNWESEAEREENEEGSNINVNSKNFSVKKSDKMSEDGGSSSMSWGNGNGKVEMVSDRSIVVRHKDLAEIVAAIKEHFDKTASAGEQVSEMLETGRAQLDRSFKQLKKTVYHSGGVFSTISSTWSSKPPLAVKYRFEPSSIDEGGQKSLCSTLERLLAWEKKLYQEVKAREGVKIEHEKKLATLQSQESRSDDIAKLDKTKASITRLQSLMVVTSQAVSTTSSAIIGLRDSDLVPQLVELCHGFMYMWKSMNQFHQVQNDIVQQVRGLINREIKGQSTSDLHRQATRDLESAVSAWHSSYCRLIKFQRDFIRSLHGWFKLTLVSVNTEPTNGNREFSDSFMFCDEWKLALDRIPDTVASEAIKSFINVVHSIYVKQTEELKIKKRTESASKELEKKASSVRNIERKYYNSFSMVGIGLPDGGPDNGNILDVRDPLAEKKAELAASQRRVEDEMVKHSKAVEVTRAMTLNNIQTGLPGVFQAMTSFSSLMTEALEAVCTRSYSIK >Solyc04g080540.2.1 pep chromosome:SL3.0:4:64762143:64763686:1 gene:Solyc04g080540.2 transcript:Solyc04g080540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLMAGWDSPVSDPQAMKLRTNKSLTKKEIDAYWRSKKLIEEEHQKYISTLSPRSQKQANIVFEEATKTAEESLSNLENEESLDQLIRKNGWWISSNWAHLNEPPVEVREGPAYKYVSQFHVANMATGSNTKPGPAGINA >Solyc11g050710.2.1 pep chromosome:SL3.0:11:15785629:15799661:-1 gene:Solyc11g050710.2 transcript:Solyc11g050710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIRDGTQSNRTPLALDVDDFKGDFSFDDTFGNLVTEVLPSCLEKQVDSLEGHGIIDGISNGHMRAPSMSNAGKLLSSPLFPEVDALLSLFKNSSSQLVEQKRQVDAKLSNLTKEVSVQDLKHRKTLAELEKGVDGLFGSFARLDSRISSVGHTAAKIGDHLQSADTQRESASQTIELIKYLMEFNRSAGDLTQLSPLFIDDNRVAEAASIAQKLRSFADEDIGRQKTTVSSAVGNATLHIGLEVAITNLQEYCNALENRLLARFDTATQKHDLSTMGEYGKILSQFNRGTSAMQHYVGLRPMFDVAVMNADAKLVLGDEGAQPSPSNVAHGLSSMFKEIADTVRKEAATIAAVFPSPKDVMSILVQRVLEDRVPKLLEKLLLKPSLVNPPPMAEGGLVLVSDVQRLFISIRRGKYGLWLTLLLPLNVQYLRLLAVAYEKTQEFDKELRSVGCGDLDVEGLTESLFLPHKDIYIECEQASLKQLYKAKMDELRSESQLSSSESSGTIGRSKGASIVLSNPEISVTVVTEFVRWNEEAISRCSLFYLQPAALAASIKPIFTFLLDQVSQYIIGGLERAREGLTEAAALREKHLLGTSVSRRVAAAAASAAENAAAAGESSFKSFMASVQRCGSSVAILQQYFVNSISRRLLPVDGAHAASSEEMATTMSRAESVACKGLQQCIETVIAEVERLLSTEQKATDYRSPDDGIIPDHRPTSACACAVAYLSRVLESAFTGLEGLNKQAFLTELGNRLHKGLLNHWQKFIFNPR >Solyc06g005850.3.1 pep chromosome:SL3.0:6:871255:873433:1 gene:Solyc06g005850.3 transcript:Solyc06g005850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLGVNKADTEANGGSSRHSSPPRRASSETTTTDGSFHLTDSDSDQSWHSPLGSVDDISVYCDQQPLRRDTSLSDDEIDLESGELEMKLHSKEEKDCRICHLSLLRSGGISSGEDQVQEDSGGMAIELGCSCKGDLGAAHKQCAETWFKTKGNTICEICGTNALNIAGEQTNEANNASVAPLAASAAPIAFSESQGCWHGRRVMNFLLASMVFAFVISWLFHFNILP >Solyc05g016250.3.1 pep chromosome:SL3.0:5:15411378:15425061:-1 gene:Solyc05g016250.3 transcript:Solyc05g016250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHYGNSRASSSSPSSLNSSSQGTEDDHAIAKILEEEEEIASRYGGKLGNRLSHLDSIPHTPRVIGQIPDPNDATLDHGRLSGRLAAYGLAEFQIQGDGNCQFRALADQLYHNPEYHKHVRKEVVKQLKHFRKFYESYVPMKYKSYLKKMKRLREWGDHITLQAAADQYGVKICLVTSFRENGYIEILPKDIQPSRELWLSFWSEVHYNSLYVIGEVPARVRRKKHWLF >Solyc04g058060.2.1 pep chromosome:SL3.0:4:55113860:55117506:1 gene:Solyc04g058060.2 transcript:Solyc04g058060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIYITLCFIILVWTTIAFCLVEGYGSSRNADLVLESEIDLCLQTIHGDIYDCVDVYKQPTLLHPMPHKERIKVVFSKQQMTIAKELEKQRLIKGERLQKAKNIYFKAEEFWLNKKGCPIGTVPIRRLTEEQLQNAKDATLSISLAEDIIDVTFAGISMNASPEIKSFTSATATITLYNFYVNGAGQYSSAAIFHQSADNAPNFEQIQPQLYGDSRTRLYSHWTVSGGQKTGCYNNICPGFVQLDTDVPIDYAFPKISRPMYDDYELEIQIYKDEDYYLLFQGLFSIGFWPETLFNELRNGSQVVRYGGQAFTPAGQQFSPPMGNGNFEDGNPHTTCHMRQVMYGVGNDQDVQPDESLVQTHQSRCYHEGSQHNAHDDYWDYNFVFGGAGFC >Solyc05g005670.1.1.1 pep chromosome:SL3.0:5:498018:500075:-1 gene:Solyc05g005670.1 transcript:Solyc05g005670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BW95] MINKSNEFIRRILNFPAIRPSETVCLSSLVASLIQLGQTICEFKSRTFYTNKKNVRNSIRLIEDILIVLKEIPNGASRFASSSIVSLSEIHFIFQKFHFLLNDCSREDSRIWILAKSEDVSCQFKMLARAVAVALDVLPLEEMDVSVEGREVVEFVRNQAVKLKFEVEMDDGRMQLNVLKILDLLEDGIVPESCDLKRVLDYLGIKRWSACNREVKFLDNEMLLEGNTEEKRDMGILSSLMGFMIYCRGTLFEVLDNGASRQINGGSTNVDQVIRFLNLDDFRCPITLEIMSDPVTIASGHTYDRSSILKWFRAGNSTCPKTGERLTSIDLVPNLALKVLIKQYFSANGIPFVETGTRNRDAVRFVGSSTVANEQAMKLLASFLVGRLVAGTMEQQNKAAFEIRLLTKTSIFNRSCLVEAGAIPPLLNLVTSRDSSCQENVMATLLNLSKNSKCKSTIVGNGGLFLILDVLKGGLKMEARQHAAGTLFYLTSVEEYRKMMGDNPEFIPSLLELLRNGTDRGKKNALVTIFGLLMRPENHWRVIAAGLVPLVVNLLKSFEREDLITDSLAVLSTLSERLDGAMAVLYAGALPIIVNVLSSCNSRASKEYCVSLLLALCINGGADAVPVLVKNSSLMGPLYSLLAEGNSKASKKASTLIRILHEYNEKTSSGLITPVFIEDQFINVW >Solyc09g055660.2.1 pep chromosome:SL3.0:9:43751648:43755038:1 gene:Solyc09g055660.2 transcript:Solyc09g055660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGTMRAKLHEGMYQNLQQFERDAFLIPKNAMHFNSSGTVYFRQARAIYDLAKKAFHVLKTNPKNIEVEFPVNRRRSMRRLQNEARDTGYVIPDGALDVSSKNIGLGPSVPSTYRRSKERPSLSTKDAAPTDGAFLLGNRHAQSFSSLGAGRCSAYEFFRPSPYHDTSSFLCNQNPESLILNRNGSYRESLMSFARDLGPTAQTVANAKMQGSYPFLLSHTTKGPPTCLGFAAFAHVQNQSNTGVLTSYKNLSSPVRGFCSVLKSTSDRIDVCNVAKGDETCKIAGMRSLKEPLPCTEYSEKKHTSILCYKRNVHKVTEGAKKTLNGSERKENYLGTHIITIGTSGDTKKPGRRTAKVEENKVLPVVLALEQSHSSLSEVKWRNKKSSNFTSRKTRSQSKIAEPNVTGTISGQTKNKSFRSKHENKAAICAQNNNFGSSINPTFLEPMSQASESKLSKTIPVMPFPGIMSSRFTFDMPFLKAQLNQMNPVGKNDMPQVSRHNMEWSLYGQGSNNRMGREVNLSVSSLQDKPNSTLQTMPTFNYNYQSSHLSIDTDLALQL >Solyc12g088960.2.1 pep chromosome:SL3.0:12:65116941:65125004:-1 gene:Solyc12g088960.2 transcript:Solyc12g088960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLHLARPRSKCKFGFQYITSSIGFSYVASEKQTQDFDTYHICMCWDCGNNANHNQLSEARLGVAPSKSSWL >Solyc08g080680.3.1 pep chromosome:SL3.0:8:64014163:64016879:1 gene:Solyc08g080680.3 transcript:Solyc08g080680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKLVVQLVGAKGLENTDFLNDMDPYVILTYRSQEKKSTVASGKGCEPEWNETFVFSISEDAEELFLKIMDSDSIGEDDIVGEAKIPIEPVFSEGSIPITCYNVVKDEEYCGEIKEYSEREYEEENLGGWKESSY >Solyc06g072090.2.1 pep chromosome:SL3.0:6:44570448:44573706:-1 gene:Solyc06g072090.2 transcript:Solyc06g072090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEEESEPQNANGIVVSAHVAWKDVNVMVTLNNGDTRNVLEGLTGYAEPGTFTALMGPSGAGKSTLLDALSGRLGSNAILTGKILLNGRKAKLSFGTAVSSPKAIVCETQAYVTQDDTLIGTLTVRETIYYSAQLRLPDRMPLSKKRTLVESTITQMGLQDCADTFIGNWHLRGISGGEKRRVSIALAILMRPRLLFLDEPTSGLDSASAFFVTQALHCLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTLELNLVFGLQFFAEAGFPCPSFRSPSDHFLRCSNSDFDKVKATLRELIKYNDDPLNKMTTAEVIRSLVDFYRHSQYCYVANETVEEMSKVKGTVLDSNGSHASFFMQSYSLTKRSFVNMSRDFGYYWLRIVIYLVVSVCLGTIYFNVGTKYNSIQARAACSSFVFGFMTFMSIGGFPSFVEDMKVRSLYDSTLETSTLSYIIDTLPHKSNDLVFQRERMNGHYGVIVFVISNTLSAMPFLILIAILSGTVCYFMVHFHPGFSHYFFFVLAIYASITAVESLMMVIASVVPNFLMGIIIGAGILGISMLVSGFFRLPYDIPKPVWRYPVSYLTFDFWAVQGQYKNDLKGLIFDNHSPHPPKITGEYALKQIFQIDVNRSKWVDLSAIFSLIIIYRVIFFFMIKINEDVTPWLRGYIARRKMQHSGPNQH >Solyc02g077565.1.1 pep chromosome:SL3.0:2:43033924:43034897:1 gene:Solyc02g077565.1 transcript:Solyc02g077565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDTVEERKFGVYVPVTLMYYVTIGSCVCCIKDICCELTRAPINRLEKVEELVSDTLLIFFTALATVGLCKCSFACHRKRDREYCTTSQQRHGLRILFGFDQNLTIFSTQLP >Solyc11g030900.1.1 pep chromosome:SL3.0:11:23580856:23581200:1 gene:Solyc11g030900.1 transcript:Solyc11g030900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKNVSNGDIVYWSGGIICWYAIFTPIYKHKASARKIILKYSKDSNIVNQEGGKTLAEFPNIIELGKLGSSKDPNNNEHSTTSKRGER >Solyc05g044590.1.1 pep chromosome:SL3.0:5:57993422:57993683:-1 gene:Solyc05g044590.1 transcript:Solyc05g044590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHIMCIRLVVKLFYLFYCLNFLIAMNT >Solyc03g063053.1.1 pep chromosome:SL3.0:3:35303587:35308348:1 gene:Solyc03g063053.1 transcript:Solyc03g063053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRMKAIMLQSLPAAENESFGQLFVISRSSSILDFSNRLEVTEQISKFGVNCMTSPTIRLLGALKTSTEEEKKAMHFLRIETWRGDRKSAIIIGWCEIASKTVGQTDEPSSSKVQNPGWKITTGLRVAPISDKPIPI >Solyc06g008590.3.1 pep chromosome:SL3.0:6:2496979:2500127:1 gene:Solyc06g008590.3 transcript:Solyc06g008590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPW5] MSSNKLDFEETELRLGLPGGARKNVYGDNDTCNVNGKRGFVDLKLNLSSDINNIKNSTHKTPAAKAQVVGWPPVRSFRKNILTSQKLDRENDNILVKVSMDGAPYLRKVDLNMYKSYQELFDALTKMFNSFTIVQGMKDFMHEGKLMDLLNSSDYVPTYEDKDGDWMLVGDVPWGMFVDSCKRLRIMKGTEAIGLAPRAMEKCKNRNG >Solyc09g074070.1.1.1 pep chromosome:SL3.0:9:66184355:66184504:1 gene:Solyc09g074070.1 transcript:Solyc09g074070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVGSSHSQRKTSFVGLCFCFGLTLYDILDCFYNVGPKMCRYTEHKN >Solyc00g308535.1.1 pep chromosome:SL3.0:2:32353943:32355029:-1 gene:Solyc00g308535.1 transcript:Solyc00g308535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYYHLYHCRWNPGHSKLSSTSSQHNAFLQGQLTEEVIMSQPQGLVNSQFPFHNLVATVYVLFYVDDILIRGSHHGLIRHVIDSLGSRFSLKDLGKAVKRILRYLKATATSGLHIIHNSDYNFSIYADVDWAGDPNDRISTSGYALFIGRNPDPVFHSRMKHIVVDYCYVRNQVQAH >Solyc04g007140.1.1.1 pep chromosome:SL3.0:4:860644:860952:-1 gene:Solyc04g007140.1 transcript:Solyc04g007140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQKRKRIDDDDEAIDNKEVKFTKEDEENNKEAIVDDDEVEEFFAILKRIRMATKYFDEKAKIVNGDGGDGEKLMAAEKPRNPALLPEDFEGQECVEDNGS >Solyc04g026315.1.1 pep chromosome:SL3.0:4:17107600:17108454:-1 gene:Solyc04g026315.1 transcript:Solyc04g026315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFITWKETYEAATRGISPVVPPHPLEFTLSDPWDPFSLKPRSLVSSPCSSKTSKLNNRSENFVAAIADLELLQLSFLRMAIPHPIQSR >Solyc12g057040.2.1 pep chromosome:SL3.0:12:64059218:64062136:1 gene:Solyc12g057040.2 transcript:Solyc12g057040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 1b [Source:UniProtKB/TrEMBL;Acc:Q93VS0] MSSGGCSIVWFRRDLRLEDNPALAAAVRAGSVIAVFIWAPEEEGYYCPGRVSRWWIKKSLAHLDSSLKKLGTSLITKRSNDSVSSLLQVVKSTGATRVFFNHLYDPISLVRDNCAKETLSAEGVSVCSFNADLLYEPWEVVDDESRPFSTFSDFWEKCLTMPYDPEAPLLPPKRIISGDASRCPSDNLVFESELEKGSNALLARAWSPGWSNADKALTTFINGPLIEYSKNRSKADSATTSFLSPCLHFGEVSVRKVFHRIRTKQTLWANEGNKAGEESVNLFLKSIGLREFSRYMSFYHPYSHERPLLGQLKYFPWLVDEGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVSSFSVKVLQLPWTWGMKYFWDTLLDADLESDALGWQFITGTLPDGCEFLGIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWDAPESVLQAAGIELGSNYPFPIVEIVAAKERLEEALSQMWQLEAAARSAIENGMEEGHGDSTDEFVPIAFPQAMQIEMEANNVPVRNNNPTITALRRYGDQIVPSMSSSFFRNEDEETSVDIRNSVVDSRAEVPIISM >Solyc10g055545.1.1 pep chromosome:SL3.0:10:56858319:56858863:1 gene:Solyc10g055545.1 transcript:Solyc10g055545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFCLTPLCFFLWASSILLLSSLVISRASVLALIMLPLDLREDTQFFLDHPGAGEELKKSIGAAAYIECSEKCSRKLQNVKAVSDATIRVVLQPPRKPALFCDFSRRVAM >Solyc09g018690.3.1 pep chromosome:SL3.0:9:16665127:16693400:-1 gene:Solyc09g018690.3 transcript:Solyc09g018690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTCRRKYLLDYFADEYAHDDCGNCDICTSSMKEKDLAREAFLLMACIQSCGGRWGLNLPIGILRGSRSKKIVDAQFDKLPFHGLGKDLSANWWKGLAYQLISRDYLVETFKDMYKTVSVSEKGLQFLRSSSPDHQPPLFLAETPEMDLDEKNIDIPSETSEINGLAFREFEGFSEAETRLYKILIEERIKLARATGTAPYAVCGDQTLKRISLTRPSTKARLANIDGVNQHFIKLYGDNFLLSIKHLSEACNLSLDGEPTSQTSVPSKTLTVPINKKLTPAKFEAWKMWHEDGLTFKEIANFPSRAVAIKEQTVLDYILEAAREGCKMNWTRFCEETGLTRETFLSIQNAVSKVGREKLKPIKTELPEEIKAYLTMQEAGVSAEVFSYKSEQSCNGDECLTEISEVLQNSIIQSDMQGDDDIGEAPGITCASSPGETEGAESHLLTESRKEAASSEGDFLIHTKRQKVEAAEKESFRALDATEESILSWLKNSDDGVTLSDLLEHFNGSTEKSLVNLLCCLEGEFLIYRKNNVYKLL >Solyc11g069920.1.1 pep chromosome:SL3.0:11:54821268:54821913:1 gene:Solyc11g069920.1 transcript:Solyc11g069920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIIITKRKESVAQTMCADRWTITVGNLSSEDSWALFKRHSLENRNHPALEEVGRKIGDECKGLPLALKIVAGTLRGKSKVDEWRDILSSEIWEQPSCLNDAGVPKIHFNCIQKGGCDFQVVQLSTVPSLTCCKWDI >Solyc07g041630.1.1 pep chromosome:SL3.0:7:53891903:53892575:-1 gene:Solyc07g041630.1 transcript:Solyc07g041630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPDIYKDSPAPFTTFLSIALKTLFLRIFHVFVFMVIMELHCNKSSFSPDYGKTRVKYIADLGALAKTNPILAITFAITMFSYVKIPPLAGFVENSICSLLIWVVGLLPSPVGVVTSVIGRWAAERFPRVSLRDQRQFSVHQTSTFPFYDWFIKN >Solyc03g043780.1.1.1 pep chromosome:SL3.0:3:7371534:7371704:1 gene:Solyc03g043780.1 transcript:Solyc03g043780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHRLPDPSLPAPNLTTQFYFTYSQYFSTLLLKFENSFLIPMILNRYFFNFLPWN >Solyc10g083230.1.1.1 pep chromosome:SL3.0:10:63212144:63213319:1 gene:Solyc10g083230.1 transcript:Solyc10g083230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSLHSPPITTTITTTVTEEDGSATGFADLHPYIIEAHILTRLDGPTLASTSCSSSTLHHLSSENHLWSRVCHSTWPSTATPRISHVISTFPDAGHRTFFSQSFSHPSSENKQIHDVESVSLTSLRSTIYIILSNFFSVCLFDRNRKQWIVQQTNSIASRSSSPPELISAVDIHYKNKAIFSNVQETETTSSWFQSSPFRIDMIDPKEVISTPIKHPSDDGTCTDLIDHMTLSWILIDPIGRRSINLSSFKSVSVQRHWLTGEVQVRFTSILTVDQKRGHVQCDIVVTCGGSEVGEMQVREVCLGVEDMDGTHLNGRESLVILQNVLEGKRGNGANRGEIGRKRYKEFLEMRRERKEKKLRREGALDSLCVAFGISIFVAFWCFLFCLSR >Solyc11g020973.1.1 pep chromosome:SL3.0:11:13075742:13076054:1 gene:Solyc11g020973.1 transcript:Solyc11g020973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCMCETQYIRTVVQLFGLVKLFTESRENRKKGCNYYGTDGTFICEEDSEYISKIKNDAGKACLFNNDPRISYEVCPRSKITNKINF >Solyc08g066390.3.1 pep chromosome:SL3.0:8:55063608:55069661:1 gene:Solyc08g066390.3 transcript:Solyc08g066390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRPRHRQPFSGFTEAEIEKMENELKQSEEHDFDQHFCKKLAGAFSHSKGRAGKPIVKWTEVQTWLQNRQKSCLSKYNSAEAKRKLPDVTKECSLEEEKQRFHMPEGKKIPDLSDLQFEARSSVDGAWYDVDTFTSHRFLESGEPEVLVRYVGFGPEEDEWINVRKSVRERSVALENKECIKVRVGDSALCFQEGKDNARYVEARILEIQKKFHDIRGCRCLFVIQYDHDNTQEIVNLRRLCFRPSILRRLSQI >Solyc05g050490.3.1 pep chromosome:SL3.0:5:61484723:61488309:1 gene:Solyc05g050490.3 transcript:Solyc05g050490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINGGKVLMAWNNPDLPEGTVRNLMEQDTLKWVFVGGKGGVGKTTCSSVLGILLSQVRSSVLIISTDPAHNLSDAFQQRFAKTPTVVNGFTNLYAMEVDPSIENEEGGSEGVDGFFSDLANAVPGIDEAMSFAEMLKLVRTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKIMSLKSKFGGVLSQMTRVFGVDDEFGEDAILGKLEAMRDIIEQVNRQFKDPDMTTFVCVCIPEFLSLYETERLVQELTRFEIDTHNIIINQVLYDVEVVESQLLKARMRMQQKYLDQFYTLYDDFNITKLPLLPQEVCGVEALKEFKYRFLTPYRPSRARCSAEEMESGITKLKEQLKDAEADYEKIRKGKGQV >Solyc10g076353.1.1 pep chromosome:SL3.0:10:59404902:59407799:-1 gene:Solyc10g076353.1 transcript:Solyc10g076353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDFQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGFSDSDYAGDVDTRKSMTGYVFTLGGSVVSWKATLQPIVTLSTTEAEYMALTEAAKEGIWLKGMRAGLLGLTSEGTIFIVEMRIMPRSTE >Solyc01g101010.3.1 pep chromosome:SL3.0:1:90752582:90761484:-1 gene:Solyc01g101010.3 transcript:Solyc01g101010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGEAVAVKDAVHKLQLCLLEGIKDESQLIAAGSLLSRSDYQDVVTERSIANMCGYPLCSNSLPSERSRKGHYRISLKEHKVYDLHETYMYCSTNCVVNSGAFAGSLQDERSSTLNPAKLNQVLNLFKGLHLHSLDDVKENGDRGSSKLKIQEKVDLKGGEVSLEEWMGPSNAIEGYVPQRDRSVNPALLKNINKGSKNKHARLQDEKNMILNEFDFSSTIITQDEYSVSKFPAPVNADSNVKFKETQAKTRYKVRDDDVYILGKQVDALQLRSGEETEKSDKNTRFLKVDKFNSGEVSSGPSQHDVKNKSVLIMSDDGRKYASHGEHDKLKSSLKSSNSKKMSRSVTWADESIDGGIGKKTESSSKISEYESQAYGGSASTDMEENDDSYRFESAEACAAALSQAAEAVASGSDVPDAVSKAGIVILPPSQEVDEAILQETDEMLDLETAPLKWPRKPGMPNYDVFESEDSWYDSPPEGFNMTLSPFGTMFNSLFTWISSSSLAFIYGHDESNNEEYLSINGREYPRKIVLSDGRSTEIKQTLAGCLARALPGLVADLRLPVPISTLEQGMVLLLNTMSFVDPLPAFRMKQWQLIVLLFLDALSVCRIPTLTPYMTGRRTSFPKVLDGAQISAAEYEIMKDLIIPLGRVPQFSMQSGG >Solyc07g063310.3.1 pep chromosome:SL3.0:7:65935593:65938748:1 gene:Solyc07g063310.3 transcript:Solyc07g063310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDRFFPNTMPSFVDETTVKESFLEGAKDPLLELLYLPYGTVCDKLKRVAFDLKETIVKETWVCKRGRVSDYTIYTGALGTAFLLFKAYQVTLDKNDLALCSDIIKACDSASRGSGRVTFICGQAGVYSLGAVVAKQSGDEQLCDHYLTKFKEIELPKDLPNELLYGRAGFLWACSFLNKNIGRETISPTQIRAVVAEVIKSGRKMGKGKCPLMYEWHGKRYWGAAHGLAGIMHVLMDMELKADEVEDVKATLHYMIRNRFPSGNYPSSEGSESDRLVHWCHGAPGVALTLIKAAKVFSNDEFLQAAIEAAEVIWNRGLLKRVGICHGVSGNSYVFLSLYRLTGKVEYLYKAKAFACFLHTRAQTLISEGVMHGGDRPYSLFEGMGGMAYLFFDMIDPIETRFPAYEL >Solyc03g115580.3.1 pep chromosome:SL3.0:3:66722268:66723531:-1 gene:Solyc03g115580.3 transcript:Solyc03g115580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPDYLYFRIRELQKNFRLRIILCHVDVEDVMKPLLEVTRTSLLHDCTLLCGWSLEECGRYLETVKVYENKPADLIQGQMDGDYLSRLNHALTAIRHVNKTDVVTLGSTFGSLSHVMDASMEDL >Solyc01g010260.3.1 pep chromosome:SL3.0:1:4998181:5000146:1 gene:Solyc01g010260.3 transcript:Solyc01g010260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIQGYLILFLIWLFSTLFLKYVVFRKRNTCHLPPSPFGLPIIGHLHLLSPIPHQALHKLSTRYGPLIHINLGSVPCLVVSSPEMARQVLKTHETSFLNRPQTSVIDYLTYGSQDFSFAPYGVYWKFMKKICMSELLGARTLDMLLPVRRDEIKRFIELLLHKAESSEEVDVEAELLRVSNNVISRMLMNERCSEDKDEAGSVRMLVQDITELTGKFNLSDYIWFCKNLDLQGFGKRTKDVRKRFDEIMERIINEHEEARSKRNSESIVIKDLLDILLDISEDDCAEMKLTRENIKAFILDIFAAGTDTAAITVEWALAELINHPNIMQKAVEEIDGLIGKNQIVEESDIVNLPYLQAIIKETLRLHPTGPMILRESTEDCCVGGYHIPKNTRLIVNTWAINRDPEYWENPLEFIPERFLTEEEEGNTKSQLDVRGQHYHFLPFGTGRRGCPGTSLALQVVQISLASMIQCFEWKVSGGEVSKVDMEEAPGITLPRANPLVCVPVTRFNPFTSTSTYA >Solyc05g050406.1.1 pep chromosome:SL3.0:5:61409155:61417250:-1 gene:Solyc05g050406.1 transcript:Solyc05g050406.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILMYIFGTLGRGLLIRPGDLELRGFLDSDWANDKNDRKSTSGLKDDSFIYLVLYVDDMLIVAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIYTPSAANIHLTAMFAPQLEEEKEYMSRVPYASVAGSLMYAMVCTTPDLAHTVSVVSRFMGQPGREHWQAVKRIFRYLRGTSYVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTRYVFTLGGSVVVWKATLQPTVTLSTMEAEYMALTEAAKEGIWLKGLNPQNHLPKISPTTSSFSIMRLVLQPSPIGSSIPI >Solyc01g099740.3.1 pep chromosome:SL3.0:1:89773149:89777378:1 gene:Solyc01g099740.3 transcript:Solyc01g099740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEETKSRGDRKPPGVGGRGRGRGGRDEGGAAGRQGKGIGRGMEDAGAKGRGKGGPGAKSGGKGGGRGRG >Solyc05g018730.3.1.1 pep chromosome:SL3.0:5:22909361:22909570:-1 gene:Solyc05g018730.3 transcript:Solyc05g018730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTNPNQPSPFHFSSFSSEQTPTSSELANQNLSKNRCQQVEIDQISHRQVPMDNSKQHQKLQPTQNQCKPS >Solyc07g045470.2.1 pep chromosome:SL3.0:7:58732385:58736203:1 gene:Solyc07g045470.2 transcript:Solyc07g045470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLDKSNTISSLINSDIYESTESIEIIEPKIPKLLLQNELSVSLNKRNQIPPRNLDLYPKLVKDHVVIVLYVHNRPQYLQIVIDSLSRVEGISETLLIVSHDGYFEEMNKIVEGIKFCQVKQIFAPYSPHIFSNSFPGVSPRDCKDKDDPVEKQCEGTPDQYGNHRSPTIVSLKHHWWWMMNTVWDGLTETRHHSGHILFIEEDHFIYPNAYRNMQLLTELKSKKCPDCYAANLAPSEVKSRGEGWESLVAERMGNVGYAFNRTVWRKIHNKAAGFCTFDDYNWDITMWSTVYPSFGSPVYTLRGSRTSAVHFGKCGLHQGHSRNLACMDNGNVNIVVDELDKVANIKPEWEVRKYDHQAGYQAGFKGWGGWGDVRDHELCVEFAKICNISVYRPFDTRAHKP >Solyc03g044710.3.1 pep chromosome:SL3.0:3:10405473:10410256:1 gene:Solyc03g044710.3 transcript:Solyc03g044710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:K4BG88] MGRLTLLGSPIILTLLVCLQVGIVCGATNEKKLVTVLSIDGGGIRGIIPGTLLAFLESKLQELDGPNARIADYFDVVAGTSTGGLITTMLTAPNRDNRPLYAAKDISTFYMEHGPHIFPQTRRRNFVNNVAHLFGGPKYDGEYLRLLVDSILGNLTIKQMLTHTVIPAFDIKRLQPIIFTTVDGRTNGLKDALLSDICLSTSAAPTYFPVHYFETRDAAGRIHTFDLIDGGVAANNPTLMAITHISKEIMMGSLKYEEMERMDSKKMLVLSLGTGIGKHQGKYNAASATKWGLLGWVYNNGDTPIIDVYSDASADMVDIHVSTMFQTLHNEKNYLRIQDDNLIGDAASMDIATTENMETLVQIGNNLLKKPVSRVNLETGQYEPVHGEGTNEEALIRFANLLSHEKKLRS >Solyc07g008860.3.1 pep chromosome:SL3.0:7:3833065:3838557:1 gene:Solyc07g008860.3 transcript:Solyc07g008860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPSPHHEDDEHEDEVFLEDSDIIEEINVDEEELVDADDDDEEDEQGGGEFIDEADDSLHTFTGHTGELYTVTCSPTDASLVVTGGGDDRGFMWKIGQGDFAFELQGHTDSVSSLAFSSDGQLLASGSLDGNIRVWDITSGGLKGTLEGPEKGIEWVRWHPRGHVVLAGSEDSSVWMWNADTSAFMNTFLGHAGSVTCGDFTPDGKLICTGSDDATLRIWDPKSAQSIHVVRGHPYHTEGLTCLSISSDSTLALTGSKDGSAHIVNIITGKVVTSLSAHTDSIECASFSASAPWAATGGMDNKLIIWDLQQSLPRSTCEHPDGVTCLSWLGQYRYVATGCVDGKVRVWDSRSGECVRTFSGHADAIQCLAASSNGEYLVSVSIDETSRVFEIAEFN >Solyc09g092220.3.1 pep chromosome:SL3.0:9:71833696:71838544:-1 gene:Solyc09g092220.3 transcript:Solyc09g092220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4CWP8] MEGVSNNVHGVILAISSSIFIGSSFVIKKQGLKKAGANGKRAGSGGHSYLLEPCWWAGMLSMIVGEGANFAAYAYAPAVLVTPLGALSMIVSAVLAHFILKERLHMFGIVGCLLCVVGSVTIVLHAPLEKSIQSVKDVWYLATAPGFLAYTFTVLVLILILIVRYVPRFGQSYLVVYIGICSLAGSLTVMGVKAIGIAIKLTIGGQNQFKYFETWVFIVLVLIFCLLQLNYLNKALDTFNTAVVSPIYYVMFTTLTILASMIMFKDYVHQNATQIITELCGFVTILCGTFLLHKTKDMGNNPSIPLPVIVPTTDMDCKQESKTTKVTVEV >Solyc05g008720.1.1 pep chromosome:SL3.0:5:2983552:2984135:-1 gene:Solyc05g008720.1 transcript:Solyc05g008720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSISAIKPAPITSSARCLDLSRLGYYQRQPDVFLASSTRTRSYYLKFAGLNQLEDRHISFYYTVTDKLVELHGDFLESLIVNGCIHVTDATFSRNFKLLMGQCCTIPFADHLTGRLPFDIGQKLTLLARVKGKKLDCMNIQHCPGISSSTVDVLVEQH >Solyc04g049871.1.1 pep chromosome:SL3.0:4:44026238:44026750:-1 gene:Solyc04g049871.1 transcript:Solyc04g049871.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NLNIRLISDILSRLSFRDFFRLSTLSKDWKYICWRIPNVKFDETVWKTPEDLTSPTIGFIPNIDSFLRLPNFFFNCYAFRHLYLKECEIQLPCFFKEFNNLIRLILKFVILSSDTF >Solyc10g012370.3.1 pep chromosome:SL3.0:10:4997229:5005608:-1 gene:Solyc10g012370.3 transcript:Solyc10g012370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:K4CYL4] MASLVRRRFYSSEASFVQRLRDLPKYLPGTKIKTQVSQLIGKTPLVYLNKVSEGCGAYIAVKQEMMQPTSSIKDRPAFAMINDAEKKGLITPGKTTLIEPTSGNMGISMAFMAAMKGYKMILTMPSYTSLERRVTMRAFGADLVITDPTKGMGGTIKKAYDLLESTPNAYMLQQFSNPANTQVHFETTGPEIWEDTQGNVDIFVMGIGSGGTVSGVGQYLKSKNPNVKIYGIEPAESNVLNGGKPGPHEITGNGVGFKPDILDMDVMEEVLMVSSEESVNMARELALKEGLMVGISSGANTVAALRLANRPENKGKLIVTIHPSFGERYLSSVLYEDLRKEAQNMQPVSVD >Solyc06g050880.3.1 pep chromosome:SL3.0:6:33814577:33818528:1 gene:Solyc06g050880.3 transcript:Solyc06g050880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQRNNPNCSKSMADQRNNQNPNNSKSMNKKRQPFADISNFNLIPTSTLRKLCSFSSPSIPKPPISDSNSSKPNYKEANAETSVASSDFNSVQNPNAGRGNEAVLYNRRHTTKKSNLEATALPFTSSHENKKDKRKEIDVPCLSLPPPTVMKDKGKAIAEPFSSLSPETRQDKGKRAVVLSTPVSGNKAVDNNRRQTAKRSNLETTALPFTSNHENKKDKRKEIDEPFLSLTPPTAMKDKGKAIAEPFSSLSPETRQEKGKRAVVLSTPVSGNKKTKGIHEPFMTSSPQKVKSKAQAISLEHFPSDEKAAEETFGASTGSCSSLKKTRGKGMVDASVFSCPTLPKKRTNRREFGGVGDIKPSGSWTDPTGKRKKKKKPVVEESLPQDFVNYWREHFKEIDEFELPEEEASYSDLE >Solyc10g055080.2.1 pep chromosome:SL3.0:10:56265743:56269410:1 gene:Solyc10g055080.2 transcript:Solyc10g055080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKECENLKNIKSKVQERAEVAQRNLQRISHNGEAWLTSVDTTTEHVETIRGSLDFFKQKVGIFVNNPSLLDITKEVDKECKGLPLVIIIVAGALKNLKTKPSWDCDLEQLRSDKTRINPEVTKELYKPLSLSYDFLECNEAKNLFLYGRGLCIFSEIGKLEQARSTVCLLLETLNDYFFLAQCSDKNYVKMHDVVRDVAISIPSEGEHNFMDDFFDGMDKLNVFSLSVYREYPVLPLPVSIRRLSSLRTLCLSNLVCGDISIIGNLVTLEILSIRDSRLVEVLVEIGKLTNLIMLELRNECKTIERISTGVLSSLVQSEELHMVVVEYCSYSTLSELKFSSRLTALTLSKCAKDVIYSNLSLSSKLIRYNLTVSDMWTSIMDDYDRNITLEVMETRPLGNWICHLLKESEFIHSIVKGSNNVLTELQQNKLQNVKCLRLARCNLVTHLLTSSRRRHEIIKFFNLYELKLQYLKCLTHFCSDNVEAIKFPQLRKMIFDELLKFQNFWPTANDSITISNPLFHEKVSCLNLKELDIYSSNISSLCSHQLPIAYFSKLETLEVKNCRKLRNLISPSVTRGARNLRILLIENCVSMEKVITQEEQRGEDIMNNEPLFLLLEELQLERLPKLKKEGILKKQHKPKVVAYIILSSPFDILLIKP >Solyc10g039217.1.1 pep chromosome:SL3.0:10:20869003:20875983:-1 gene:Solyc10g039217.1 transcript:Solyc10g039217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKQLTHIDPTKHSQILGQTRPAYLDTHSVASSIDPLELHHARSLISSTPSLSLASPPTLDPKQLTHIDPTEHSQILGQSRRRNITIAERITPTSSTLTPPKELSETKKNTNMLCCLCNDNGSAKAKITLWEDYGKGFYPYLFPPEFDPYIVIVTSTTVKEFRGEINFATPTATKTNVNLPMDNFTSMIEKFASKQVHIQIIESANGSNIPIAEAMFQNRMTITKLLNSYWSYDIELFNTSAAKLINQMYEGDTHVPLQIESLCGKEFVFKIKLSNFNLKEEPENYTVTKLFVPDEELEMQHRIKKEK >Solyc02g063280.3.1 pep chromosome:SL3.0:2:35899418:35901844:1 gene:Solyc02g063280.3 transcript:Solyc02g063280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GNESSSGMFNLMICICSLPVLFADSLLLRGYESEDSSFNEVNLDSSGLPTSDEDVTTLKDTKFDGESYLSEKVVAESDLKQNNSIPEEDEIPSDASDYVLKCKSVYKCRLCPRIVCLTVQTLIAHLKSKRHARSVKLLKEGRLKLMLNEDGKIEGEIHPEVEDSPVREQNPSASKKKSKGLKRQLREKKYKNKENFLPVENTVESRRNRSRKRQKNK >Solyc05g012735.1.1 pep chromosome:SL3.0:5:5908943:5910515:-1 gene:Solyc05g012735.1 transcript:Solyc05g012735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHNPHRACGTQSHNPSPFADMLTLKSIKVISCKETLVESAKDIWKTQVEDVQNCDFKLDIAIESVQVSTRC >Solyc06g075740.2.1 pep chromosome:SL3.0:6:47197549:47198396:1 gene:Solyc06g075740.2 transcript:Solyc06g075740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDSRVMEARVQFVKSRIQPVIEDMDKKIKHLELSLATMNGEMKLKSLTQPITEYVDNEIKHLELLIAIINTKIKLHTAHRFFFPEVGTICHDSTKYHTSRYAKRRN >Solyc05g016628.1.1 pep chromosome:SL3.0:5:17789703:17791270:-1 gene:Solyc05g016628.1 transcript:Solyc05g016628.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEAISIILANGIYIWSSSTVFFHRHTFKNFQKGQLEMYMCQVENPPLVQSTQASDRIPVINAINFTHASYLHSSYHPGMNLLSTVYDGRSYEGWRRSMMIALSAKNKLGFIDRSLVIPTDTSLQKAWSRCNDMDITLINAINFIVSLQILNSLRTKGTKKGVMANNAFSTNKGNNQMMENSTDP >Solyc11g039750.2.1 pep chromosome:SL3.0:11:43064647:43065763:1 gene:Solyc11g039750.2 transcript:Solyc11g039750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLFSSLFFSPHNCVYSMCSRGHWRSHEDERLRELVEKYGPHNCYAIAQKLQGRSDL >Solyc12g019623.1.1 pep chromosome:SL3.0:12:10964738:10976919:1 gene:Solyc12g019623.1 transcript:Solyc12g019623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVVFCLSFVIISVLLFLNEHGDSTLKQLMNFDRIHDHMTSLAAKYKTYRLESLFRREIYTSDPIEYILKTNFDNYGKVCFRILLWRWYFRRMDGDKCKEQRKLSSPDFSKRVLRDVNSIVFRRNAAKLANIMNETANSNNTVDIQSSMDSLFQVALAFGIELESVCRSNKEGSNRFIRALDEVSELSFWRYIDLFMKIKKFLNIGSEAKFKRSRKEENILSRFWHYSTTNPKYLRDILVNFMGIGKDTTGTTLVWFIWSLCKHPQVQEKVAQVIKDATKSNIGTTISDLASHLNEEAMYKMHYLQTVLSETLRLYPCIPLNVLLLPDGYNVNKGDMVAYQPYAMGMMKYIWGDDTREFKPEYTYAGPRICIGKEFAYRQMKITAAVLLRVFIFKLTDELRTVIYKTMIQLHISGGLHVRAMQRIDQN >Solyc07g042405.1.1 pep chromosome:SL3.0:7:55663173:55665226:-1 gene:Solyc07g042405.1 transcript:Solyc07g042405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKLRRSSERTPDLRQLQDGTTLKFPSRAALISTNPQRWKPFTTVGNAKLRRNLTRHHTQIVVILVHVDDMLIAGNDLVLIEHTKQELPARFKIKDLEILRYFLGIEFSR >Solyc01g006735.1.1.1 pep chromosome:SL3.0:1:1334863:1335201:1 gene:Solyc01g006735.1 transcript:Solyc01g006735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTQDFIKNVIDKRLASSAPIDHYKKDCFKTCKEVYEDAIDSMKKATQDVKELNYYKANMDIGASYTYLDTCRDCIVDTKDNEPAFEQFDRWAKAIASDCLSKVSKEYYKN >Solyc03g033570.1.1.1 pep chromosome:SL3.0:3:5151873:5152421:-1 gene:Solyc03g033570.1 transcript:Solyc03g033570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKKILGRRKIPFEKIKNKAARSSSFSKRRSSLYKNDSKLVREFDVNFGIVLSSPSGKYYSFVHPTTNVVIDCFINPTTELGLGAQFVAAEARNKVIQNNDRLNKLDARKKAAKKKIRFMNQINEARVKCWWESMDQFNAEDITKFEGIMDTVEELLNAQLKQLEDGASSSLHSLPKDANE >Solyc08g005165.1.1 pep chromosome:SL3.0:8:109345:110030:1 gene:Solyc08g005165.1 transcript:Solyc08g005165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHETKTALHHAFKIKDLGELRKYTLELLADIGLSGAKPVSTPRELNLKLTSTEFDDHINPTHIDTLLEDPTSYQRLIGRLLYLTITRPDISFAVQCLSRFMHAPKASHMDSALRLVRYLKTEPGLEFLMSSTRGNNLQVFCDADWGSCINSRRSITGYLIKYGESLVSWKLKKQVTLSRSSVEAEYRAMASSPQLLQRLFGLLVCSKN >Solyc01g099160.3.1 pep chromosome:SL3.0:1:89343875:89360844:1 gene:Solyc01g099160.3 transcript:Solyc01g099160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFQFSTQNAYLPSETPELLRKYRENELVALRGDGTGKLEEWDRVYDYAYSMTWVIQTKAKRSSQYPYPRRGRTGREPTKTDPNTESRIPLLMSLDIYVPRDERFGHVKMSDFLTFALKSISQLLLPEFKALFDSTPNEFDSFADVLKIYEGGIKLPQGPLFKAIVDAIPLEILKQLLSTDGEGLLKYPIPQVIQEDKSSWRTDEEFGREMLAGVNPVVISRLQEFPPKSKLDPKIYGNQTSTITREQAIKTNRLFILNHHDILMPYVRRINTTTNTKMYASRTLLFLQGDGTLKPLAIELSLPHPDGNQYGAVSKVFTPSDQGVEGSIWQLAKAFAAVNDSGVHQLVSHWLNTHAVMEPFVIATNRRLSVLHPIHKLLLPHFRDTMNINALARQTLINGGGLLEFTVFPAKYSMQLSSVIYKDWIFPEQALPADVIKRGVAVEDSNSPHGVRLLIQDYPYAVDGLEIWSAIKSWVTEYCNYYYKSDDAVQKDAELQAWWKELREEGHGDKKDEPWWPKMQSVQELIDSCTITIWIASALHAAVNFGQYPYAGYLPNRPTLSRKFMPEPGNDGEKVKGTVVLMKKNVLDFTDVTASIVDGALEFLGRRVSFQLISNSVHDANGLEGKLSNPAYLENWITNITPVVAGESTFSVTFDWDDDEFGVPGAFIIKNLHFSEFFLKSLTLEHVPNHGKVHFVCNSWVYPASKYKSDRIFFANQAYLPSETPELLRKYRENELVALRGDGTGKLEEWDRVYDYAYYNDLGDPDKGQEYARPVLGGSSQYPYPRRGRTGRKPTKTDPNTESRIPLLMSLDIYVPRDERFGHVKMSDFLTFALKSISQLLLPEFKALFDSTPNEFDSFADVLKIYEGGIKLPQGPLFKAIVDAIPLEILKQLLSTDGEGLLKYPTPQVIQEDKSAWRTDEEFGREMLAGINPVIISRLQEFPPKSKLDPKIYGNQTSTITREQIEDKLDGLTVDEAVKTNRLFILNHHDILMPYVRRINTTTNTKMYATRTLLFLQDDGTLKPLAIELSLPHPDGDQFGAVSEVFTPSDQGVEGSIWQLAKAYAAVNDSGVHQLVSHWLNTHTVIEPFVIATNRQLSVLHPIHKLLLPHFRDTMNINALARQILINGGGLLELTVFPAKYSMELSSVIYKDWIFPEQALPADLIKRGVAVEDSNSPHGVRLLIQDYPYAVDGLEIWSAIKSWVTEYCNYYYKSDDAVQKDAELQAWWKELREEGHGDKKDEPWWPKMQSVQELIDSCTITIWIASALHAAVNFGQYPYAGYLPNRPTLSRKFMPEPGSAEYEELKRNPDNVFLKTITPQLQTLVGISLIELLSRHASDTLYLGQRDSPEWTKDQEPLSAFERFGKKLGEIEDRIIQMNGDNQKWKNRSGPVKVPYTLLFPTSEEGLTGKGIPNSVIKSNRSFVLNHHDIIMPYLRSINMSANTKAYASRTLLFLQDNGTLKPLAIELSLPHPDGDQFGAVSKVYTPADQGVECSIWQLAKAYAANDTGIHQLISHW >Solyc06g073240.3.1 pep chromosome:SL3.0:6:45272805:45274918:-1 gene:Solyc06g073240.3 transcript:Solyc06g073240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVENVAYSPKNVFILSGQSNMAGRGGVEKHHWDGVVPNECHPDASRIFRLSAHLHYEVAREPLHHDIDAKKTCGVGPGMSFANAIKDRVEAIGLVPCAVGGTAIKEWAHGQHLYVNMINRARAAMSHGGEIKALLWYQGESDTLSQHCVDTYKANMEKLIHDVRADLHLPSLPIIQVAIASGDEKYIEKIREAQKAIDLPNVVCVDAMGLQLKEDNLHLTTEAQVKLGQMLADAYLTHFAPQEPCVATS >Solyc04g011750.3.1 pep chromosome:SL3.0:4:4202802:4204122:1 gene:Solyc04g011750.3 transcript:Solyc04g011750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFIEDTKNKNEDPSISIVSDGKSSNEPSKVDQVVETQAENSTQNQEKENIIAQQGQEVDKSKENSQKQEQEQTEEEEEEEENANEEDRANFSTRPGKRSAPKPKTG >Solyc05g041260.1.1 pep chromosome:SL3.0:5:51807711:51808053:1 gene:Solyc05g041260.1 transcript:Solyc05g041260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPEGGKLIIIAIDLDRDAYEMEFPIIKKANIEHKINFIQSS >Solyc02g064880.1.1 pep chromosome:SL3.0:2:36563269:36564333:1 gene:Solyc02g064880.1 transcript:Solyc02g064880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAQRRLMVHGWKKAKYKWRRGRTLGNGSYGFVSLAFTNTDDTPSPTIPSLIAVKSSRLNSSRSLRNERKFLRMFEDCPQIIRCFGFQVTCKDGLYVYNLELEYASAGSLADRIHERGGLLEFQVKKYTKNVVLGLSLIYKKGIIHCDIKPHNILLTTDEVTKIVDFWLSITLQQINCGDEVGLIGTKRYMAPEALLKEEYSPRFDIWSLGCTVYEMITGKPIWES >Solyc05g007210.2.1 pep chromosome:SL3.0:5:1820635:1822289:-1 gene:Solyc05g007210.2 transcript:Solyc05g007210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRSRSRISDDQIADLVSKLQQLIPEIRNRRSDKVSASKVLQETCNYIRNLHREVDGLSERLSQLLESTDSDSAQAAIIRSLLM >Solyc03g096070.3.1 pep chromosome:SL3.0:3:59346939:59354999:-1 gene:Solyc03g096070.3 transcript:Solyc03g096070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:K4BJ29] MGSYNYYKVLGCFNRKFKISETGPPPDVSNAFSLYTEKGSHMTGDQLGRFMAEYQGEKECTVNDAEQIIQEVVNRRHHLTKYTKNAHSFELEDFFYYLFQDDLNAPIKSQVHHDMNAPLQHYFIYTGHNSYLTGNQLSSDCSEIPIIRALERGVRGIELDLWPNSAKDNVHVLHGRTLTTPVPLLTCLRSIRDHAFIKSPYPVIITLEDHLTPDLQAKVAEAVIQVFGEMLYYPRSECLEEFPSPEELKHRIILSTKPPKEYLGSKHHPDIESGSPVKKDSVEGDILVKETSEVKTEGHEANYRNDTDQDDKDGDTSNYQASEQGVPHYKRLTALHGGKANSDLKHELMPEDLKHQTVHATKPPREDPDLKHGRDKENATMVRKDSVERDALVKDTSEIIVKEHEADERKVMDQDDNDSDPSNHRSSQLGGPHYKRMVAMHAGKANYDLNNAQIPEELKNETPPSPKPPKEYVESKHQKDRESASPVGKDSVGNDLVVKETSEIKAKHEAHERSDIDQDDLGSDHFDCRSSPQGAPQYKRLVAINAGKAECGSRNSPTPQELKHHRSRERNSLQDLLVKDTSKILEEGQETDERSDTDQDDEDNDTSNNQSSQKGAPQYKRLIAIRAGKAKHGVKRALKDEVIKFNRLSLDEHALEKAATNNGKDLVRFTQRNILRVFPKGTRVTSSNFKPMIGWMHGAQMVAFNMQGYGKSLWIMHGMFRSNGGCGYVRKPSFLMERGPCNELFDPKVTLPVKKTLRVRVYLGDGWRMDFSHTHFDAFSPPDFYTKLYIIGVPADAAKSKTRIIEDDWGPIWGEEFKFPLTVPELALLRIEVREYDMSDKDDFGGQTCLPVSELRPGIRAVPLYDKKGEKLKSVRLLMQFRFI >Solyc05g023853.1.1 pep chromosome:SL3.0:5:29609495:29611173:-1 gene:Solyc05g023853.1 transcript:Solyc05g023853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAPAIIITLGSHESSPSVSSPDVFFGLRISKEHDHYLLAYLDSDWVGDPLDQTSRTGYFVYLGSSPISWFSKKQRFISRSLTEVEYRMYSRDTLSTKFYGHNYPIRKFHFKDFIRGKGLLGILDGSKVVPTKEKEKEVWEADNGKITWLVNSVAVDISMDLTSFEKA >Solyc07g054630.3.1 pep chromosome:SL3.0:7:63023770:63028543:-1 gene:Solyc07g054630.3 transcript:Solyc07g054630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNEQIVPFNFSQRVKKNWPTGKSVSACGVMMESCSNSYSAGSFYVIVEPSVMDDRQLRLPDKFVREHGDELLDTVKIIVPTDDFWCVGLKKAGKMIWLHDGWQEFMEHHSVNCWYFLLFKYGQTSCFNVHIFDLAATEIDYQLRSHGYAKSRDVAQDLSHRKDKIVGDNGFTSSIEIVDLLETEQGPESSAKSSELPHRAKRRKIASGKIKITRCYETRSKTNKLHDNGQLLNAKNLNISGNGSLTKPVGGKSVRRSIKTPIHSAVATGGTRKLFTSKQREKSLGRGRYDRRERAQQKVSETSRAIQTAKMFIPENPYFLQILEKYNVERNYILNIPVEFVRKYMPKTSELIELQDTDGNKWKVRCIRRKLRVLLSKGWLNFVTDNSLLVGDVCVFELLKNFQAVALILKVHMFRNRVEENSKNLHTGSLFEQTLSTGKNCVLQFDESKHTKSSDAFEPLSSDRTNHKTDTENSFGQQQEKSVVHGSSGNRRKRGRPMDAEANTDTENSFGQQQEKSVVHGSSGNRRKRGRPRDAEANTDTENSSRQQEEKSVVHGSSGNRRKGRRLRDAKGNSATTAAKMFTPENPYFMITLGEYHVVRNYILNIPPDFSRDYMPKTSEPIKLQNSDGSKWTAHCLRRKTCMFLSKGWVHFVRDNSLVLGDACVFELIKDIQADELILKVHIFRNKVEQNSTN >Solyc03g007260.3.1 pep chromosome:SL3.0:3:1812134:1818306:-1 gene:Solyc03g007260.3 transcript:Solyc03g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclic nucleotide gated channel CNGC6 [Source:UniProtKB/TrEMBL;Acc:A0A0B4U3H6] MNHRQEKFVRFQDWNSEKSSEGNFPYKDGAHRGRFGVFSNELHKSLESGSGRIKSIIHALSSCLSCLLVKSLGSEKKMLDPQGPFLQKWNKIFVLSCVIAISLDPLFFYIPVIDNNNKCLHLDRKMEVTASVLRSITDIFYLFHIVLQFRTGFIAPSSRVFGRGVLVEDAWEIAKRYLSSYFLIDILAVLPLPQVAILIVIPKLRGAKSLNTKTLLKFVVFFQYIPRLLRVYPLYKEVTRTSGILTETAWAGAAFNLLLYMLASHVLGAFWYLFSIERESTCWQRACGNTSACHHSSLYCDDDHTNFIKLLNDSCPIETPNTTLFDFGIFHDALNSGVVESMDFPQKFFYCFWWGLQNLSSLGQNLETSTYVWEICFAVFISIAGLVLFSFLIGNMQTYLQSTTLRLEEMRVKRRDAEQWMSHRLLPEHLRERIRRYEQYKWQETRGVDEETLILNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLRPVLYTENSFIVREGDPVDEMLFIMRGKLLTVTTNGGRTGFFNSDYLKAGDFCGEELLTWALDPHPSNNLPISTRTVQALSEVEAFALVADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRHCRKKLEESLCEEENRLQDALARGGGSSPSLGATIYASRFAANALRALRHNTAKKARMTDRISPILLQKPAEPDFTAEDK >Solyc07g042135.1.1 pep chromosome:SL3.0:7:55246417:55248239:-1 gene:Solyc07g042135.1 transcript:Solyc07g042135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFLSTLCLQEEDYELEENATSCDFWLWKDDYIDPRSKFVIPKLLGRIAELEHIVESFEKVETSTKEVNKPIKSTKSMESRLDMNKIDSEMDNFDDDLKKMKAVEKNEKTNWPSPRKARNNFGFLCFVCKFSISKSIVSERKTIGPEGGLELGPAAGPVGGLELELAAGARVGPATGLKSEAGALEPEA >Solyc10g083430.2.1 pep chromosome:SL3.0:10:63360694:63364030:-1 gene:Solyc10g083430.2 transcript:Solyc10g083430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISGNLCDGLKAKNREKIIIDTDPGIDDSMAILMAFQSPEVEILGLTTIFGNATTKAATRNALILCETAGYPDIPVAEGSLETLKRGEPHVADFVHGSDGLGNLFLPSPNSNKIEKSASEFLVDKVSEYPGEVSILALGPLTNLALAVKRDSNFASKVKRVVILGGSFFALGNANPAAEANVCDLLSLWIFGDPEAADIVFTSGANIDVVGINITTQVKMTDADLDELRQSEGKHAKLLCNMCKFYRDFHVKSEGVYGVFPHDPVTFVALVRPDLFTYKKGVVRVETQGICVGHTLLDQGLKKWNTSNPWTEFSPVSVAWTVDVDEVLNYIKKMLMKP >Solyc11g065750.2.1 pep chromosome:SL3.0:11:51638347:51653585:-1 gene:Solyc11g065750.2 transcript:Solyc11g065750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASASSAPPPPTHSRREDLIGRLSSSSTEAKLKALRDLKNQIIGNRTKKLCFLKLGAVPSVTSILSSSSATAAASAAVCSGNDVELNDSLIIQSAAAIGSFACGFDDGVKAVLDAGAFPLLLRLISYPNDKVVGAAARSLKFIYQSKLAPRYDFLQGNNMEFIQSLLNSENENVTGLGASIITHSCWTNMEQKALSDAGIIKKLTCMLGGSLTQKDASLESLATILKGNPEVISKFIEPENGGALGTVTELTKDKNARTRLLACICLIVIRNSAPSCLQDLRIKTKLILILLELLDDDQVGDEAPFALSSLIAEKEDLQVLAFEANVIDKLVNHLRKGPLLSRRLEGILIALANMCSRLERCRDRLLSLEAMKFVTDALSQDSGEVRAAACVCLKNVSRSVKNLSAGLFMNESFVVPLVQLLFDDLTFVQVSALDAISNIVVDFLAHKTMFMKCGGLKQLVQLSKSMDATIRVKAVCALRNLTFLVSDKCKEEILSELTQLTLRSLICDPEACVQEQALALVCNLVDGPLDSIQHVFAEDALLLHAVGQQLQSASKAEVLIQGMYVFNNVASGNEVHKEAVMQELFPPLANECESIMSKFLHSDDSRLRTAAVWALVNLTFPSSSGAFGRVMKLRNAGIVSLLKNMVNDPCLDVKLRARTVLGQSVTSGDGST >Solyc08g078720.3.1 pep chromosome:SL3.0:8:62596020:62603925:1 gene:Solyc08g078720.3 transcript:Solyc08g078720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALTIRKAAGASTLFKLLSSKSKFTTAAPSITRFFSSITTADSNSLSNEQKNSTEPILVTGSPQEFKMENPFQSAGPKEVLEVDTLKDGILVRVAMPSVGEDGIKVWLENNTVYFTGKGDIEVESEESGRKYGGSLEFSTDCCKAEKVEAQMKNGILRMVIKGEMGED >Solyc04g051157.1.1 pep chromosome:SL3.0:4:49756555:49761302:1 gene:Solyc04g051157.1 transcript:Solyc04g051157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKDHFPMPCMDQMKKGGIVFLMVIRVTIKSLSNRRLKRKPLLLARVELSRSRGCLLGCRCMISILSDMVEDTIESPHAYFGKFRDAVQEFRLKMSKMYVLDLLAET >Solyc07g055260.3.1 pep chromosome:SL3.0:7:63473994:63479439:1 gene:Solyc07g055260.3 transcript:Solyc07g055260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLSPVCTDSLKLQNTVQCFYTRSKVPILGNNFSSMGVFGKKRGGLGRIKVAYQDSAAASEEIADDFYAVLGLLPDATPAQIKKAYYSCMKACHPDLSGDDPETTNFCMFINEVYEILSDPVQRRVYDEIHGYTATAINPFLSDSSPKDHVFVDEFSCIGCKNCANVCSKVFGIEEDFGRARVYDQCGHPDLIQQAIDSCPVDCIHWTSAAQLSLLEDEMRRIERVNVALMLSGMGSGAMDVFRMASTRWEKRQSKVLEQAKVRMMKKKNSEKTESYWDNLWGDRKDYTKTEEEVEDRSKRAAAAARKWREYSRRGADKPPTFKLPEAISNDN >Solyc04g074280.1.1.1 pep chromosome:SL3.0:4:60360524:60361753:-1 gene:Solyc04g074280.1 transcript:Solyc04g074280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWRLFWTKSTAISSNSKPSQHFSLNPNSTFSQFTAHFRQFSTSFLVTKIPKKFRKKRKKKESPRTKLVQTQPNINPHFENILFRDTHFRFLTKTKEFLSKQPHHVLPLDDAGKLHQQLGFPRGRKVVRSLQRHPSIFEIYRHDDGKMWIGFTDLMEQLLDEEGKVMNEMESDRVSVVRKLLMMSKDKRIALSKIYHNRLLFGIPEDFRDRIRKYEDYFKVVVEEDGKRVIELVNWDPTLAVSALEKEYMVDEDKVKKAFKFPIKHGKALDLDEGDERKLNLLYTLPLVSPYSDGSKLDLWTVEAEKFRVGLIHEFLSLTLEKRAYIHNIVEFKEEFCLTKHTYQMLLKQPRTFYLAGTEMNWSVFLKDAYGEDGVLLNKDPQVLFNEKLHRYADMKVVKPSSDAYET >Solyc06g075310.3.1 pep chromosome:SL3.0:6:46890044:46892851:1 gene:Solyc06g075310.3 transcript:Solyc06g075310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQNSSGRKRPNILITGTPGTGKTTTAAALAEVTALRHINVGDFANEENLTNGWDDTFDCYYINEDLVCDALEKLMEEGGNIVDHHACDFFPECCFDCVVVLQTDSSVLHERFTKRGYSDHKLATNTECQIFQALLDEVKENYPDDILVILRSDFLEDITKNVETLTSWISNLSPAV >Solyc05g006610.3.1 pep chromosome:SL3.0:5:1260442:1264421:1 gene:Solyc05g006610.3 transcript:Solyc05g006610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIIKFSKVFLSFFVFLVFFLLLLIEVLENGGVTTKMNYVDDQVMKNSKVVMKTHKRSSGAHHVHQKIDFNLVSKRRVPNGPDPIHNRRARSSRRPPGQASKGKLNIKP >Solyc01g087140.3.1 pep chromosome:SL3.0:1:81943395:81947857:1 gene:Solyc01g087140.3 transcript:Solyc01g087140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYRMDSEEEALFRNSPYPLYFVQSPSTLSHANSGELCRHNNNINNTTNANEYSSGCHSPMPRPETGPLSLSRYSSSRGSNHSFSHHEKKISCDSLQSHGTGIDENIEICPEKRCGAVLLVHQGGKNGRIRVNEEEDEDDDGEDYYYGKGNWMRFFSLGYSDSTAWIVVQVTWRLIVSMIVALVVFYIATKPPSPKVSLEISGVREFGLREGVDGTGVNTKMLTCNSSMILQIDNKSKLFGLYINPPTMEMYFGRVPFIKAQGEELYAGSYGPTYFKLTIGTKDKALYGAGRLMQDMLQSSKGLPLLIRVHLSSTFHVVWGLIKPKFHHQIECLVLLHNTYNKKHRTQKYNSTCLLIPS >Solyc01g081420.2.1 pep chromosome:SL3.0:1:80470996:80488479:1 gene:Solyc01g081420.2 transcript:Solyc01g081420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPRVKSLPFKVKGMSRESPLQKASHVLDSDLRNHWSTGTNTKEWILLELDEPCLLSHIRIYNKSVLEWEISAGLRYKVTVSESAGFLRRLIFLRTSVDGIGDGGRWFAESLIIVTVLSEGTFSQKIYWMPETFPKVRPRCEAPRRDMMYPMNYTPCRYVRISCLRGSPIAIFFVQLIGITVTGLEPEFQPIINYLLPHIISSKQDGNDMHLQLLQDITNRLGVFLPQLEADLNSFSDAAEYATRFLAMLAGPLYPILQIVKERETARSVGNISESEASRNSQPVIALTVSSNFEPRRSRIMSSLIFPTSCYLAFRPDAIFILLRKAYKDSNLGNICRVASWILWKFLEPIKPPEASHSCSEITTSVPDEGSQSEPSAPPSFADYSDLFGDDFKIPEYMWDSIFSNVLDIGLVEEGILHVLYACVSQPLLCSKLADNASDFWLALPLVQALLPALRPSINSSDLIDEDLSLWKQPFVQKALSQIVGTSSSSVYRPLLRACAGYLSSFSPSNGRAACVLIDLCSGVLAPWMPQVIAKIDLALELLEDLLPVIQGAHHSFARARAALKYIVLALSGVMDDILVKYKDAKHQVLFLVEMLEPYLDPALTPVQSIIAFVKELPGILNSVC >Solyc08g067580.2.1 pep chromosome:SL3.0:8:56641273:56644729:1 gene:Solyc08g067580.2 transcript:Solyc08g067580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLTVIENRCNHDIEIRVWVSPARPDKFQSIIRIEGEGGWKEVNSKNFIHADATILDDDIEFVLSTLLMIYMDGVYTGYYFLPIHLVKYAKVICDINEDGLFIVQGIKPTFDFCRFNSQIVFTDPTNSLPASFQVPPNRSDAFDVHIFRTRVICDRIMEDRVIKGLLGVSFFILSSYQINAKPKK >Solyc07g062670.1.1.1 pep chromosome:SL3.0:7:65481393:65481659:-1 gene:Solyc07g062670.1 transcript:Solyc07g062670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFSNKMELILMLLCTLIVTLMIQGCCHEVIKGPIIRPHGLSLREFGYDESTLEYYKRRAMLLDVGTMRVAPQGPDAQHHSQSPTMS >Solyc04g015390.2.1 pep chromosome:SL3.0:4:5599762:5603522:-1 gene:Solyc04g015390.2 transcript:Solyc04g015390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAVSVVDKLKSFAKSTQNLASVVFRNRNPNEILERLQRESFSDIMKLRDRQEKLERVLAFFKSSKESPVQETSTHVRGEFDAVGALLMIGTIDGTKCRAIENAIRTGIDSRLTFETTIREKDSLVAEFVGSERGQRDILGSPLSLAKVLYAAHISDWCTAVAIPVCGRCRDVAVRTSSREERGLTDYSSFGPPLLNQLNGSGISVMVKKSNIVGCFAQFVSALPESESGSLLYCFGTFGQVVCQLSSNTKLSILGIHKMAMLSRQQPELAAMSLPFCFLQRSGHPDAAFVENSALDGYHAMTLESEVYESTKIGGWVEMKRSNPKHLQWAVTMSDTSKDDFGWGLSLGGLQQGRRNYDRFQIESFLNFKVGKTCKCQPGLLYVMNEGATPFPALVFRTSWSL >Solyc06g060260.3.1 pep chromosome:SL3.0:6:38342030:38352926:1 gene:Solyc06g060260.3 transcript:Solyc06g060260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTGAVSCFLPAARLTVSRATARLYFSSSSVSPLKCPKSSPLLSHVFRYQKQSLVRVSSGSFSTVASAKSVASDPDQLKSAREDIKELLNTKFCHPILVRLGWHDAGTYNKNIEEWPQRGGANGSLRFEIELKHGANAGLVNALKLLQPIKDKYSAVTYADLFQLASATAIEEAGGPKIPMKYGRMDVSVPEQCPEEGRLPDAGPPSPAAHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKDGPGAPGGQSWTVQWLKFDNSYFKDIKEKRDNDLLVLPTDAVLFEDPSFKEYAEKYAVDQDAFFKDYAEAHASLSNLGAKFDPPQGFSI >Solyc01g044374.1.1.1 pep chromosome:SL3.0:1:43792175:43792237:-1 gene:Solyc01g044374.1 transcript:Solyc01g044374.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLEIVMLKNRLEDQIWFKVS >Solyc03g116720.2.1 pep chromosome:SL3.0:3:67491109:67493534:-1 gene:Solyc03g116720.2 transcript:Solyc03g116720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLGVERLRADMNRLLALLFHQGVLDEQFLQLQQLQDESSPNFVSEVVNIYFQESEKLLRNLRSLLMDRELSDYKKMGVHLNQFIGSSSSIGAKRVRNVCVAFRVASEQNNRLGCLRALELLEHEYCYLKNKLHELFQIEQQRLLAAAVRYPVQH >Solyc07g015870.3.1 pep chromosome:SL3.0:7:5629867:5633553:-1 gene:Solyc07g015870.3 transcript:Solyc07g015870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVATLSLSWQAFEATWIEACKVEGSVMMVPSDYDFLVGPITFSGSHCEKNIVFQLDGRIIAPTNPKVWGVLQWLEFSKLNGIYVKGKGIIDGQGSVWWKNTPLLIEEYEGDYYSEEQDDYAPKIALALKFHGSFNVVVTGITIQNSPKAHLKFDHCVAVQVYGITVSSPGDSPNTDGIHLHNSQNVVINSSDIACVKTVTHYAGDDCISIQTGSSAVFIHDVNCGPGHGFSIGGLGKDNTKACVSNITVQDSTLQNTMTGVRIKTWQGGSGSVHGITFSNIHVSDVKIPIMIDQFYCDKRKCGNHTSAVAISGVSYQSIEGTYSYKPVHFACSDSVPCTGVSLADIKLKPSLEKKHFYGPYCWETYGELRTNTTPPIDCIQPDKRIPSYDSC >Solyc01g107580.3.1 pep chromosome:SL3.0:1:94974799:94980384:1 gene:Solyc01g107580.3 transcript:Solyc01g107580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNPRRTTVMARPWLFRRVFHRSRQLFAASDDVQTLYSAFYRLQCHYATTVTDAQVCNRNENEKAKWLTLPPFTAAVNGAALGRELAGVKMDVNENSTNTMTALKWVQRCCPELPKSLVQKLFRLRQVRRDSSNVEEQRPKRVSAKESMNVGDRIFLPITVQKFPSEKVVYYPSSEEERKFVHSLELYKDAEIIVVNKPPGMPVQGGIGIKRSLDELAAKYMSHQYSEAPRLQVVEAVVISIHPQHILFIVVKRNMCIEWKKNKEVHLLGYRLSCVHVMLWEKTLYSSLYGWILRKLDRDCSGLLVMGRTQLSASALHSIFREKTFDSQNEDLESKKRILQKKYWALVIGCPRRSGGIISAPLGKLVLDNGKSERITIMSDVREPSAQYAVTEYRIIGSSEKGKLHMARVISTYGQKASGTGNCFSVMLRVHCAEALGTPIVGDYKYGWQAHRKLKHLPLPTSVLNLVVEIPRQKPDPFNLRLGNGSISDKQPHLHLHCKEMVLPNISLALQRAQVVSDADLVDVESIKLVAPLPFHMQKSWDCLSA >Solyc11g069480.2.1 pep chromosome:SL3.0:11:54367748:54371596:-1 gene:Solyc11g069480.2 transcript:Solyc11g069480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4DAA4] MSVSNGSVHPVDAPPLQTEAANVPRVRMKDVQGMPGTVGGSFLRFAQFVFAVVALCVMATTSDFPSVTAFCYLVAAAGLQSLWSLSLGILDVYALLVGRCLQNSQVVSLFAVGDGVTSTLMFAAACASAGITVLIGNDLGVCSQNHCLEFETATAMAFLSWFCALPSFLLNFWSLASR >Solyc10g085130.2.1 pep chromosome:SL3.0:10:64544898:64548268:-1 gene:Solyc10g085130.2 transcript:Solyc10g085130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSFKDSLKALEADIQHANTLASDYPKEYDGASLQMRLSYSHCAHIFLFLVQWTDCHFAGALGLLRIHIYKAYEDGNTSMYIHERKASIKQFYGVIFPSLLQLQRGITDIDDRKQRELCATKYRRGDETSKGKLSEIEIEREEECGICMEMNTKVVLPSCNHSLCLKCYRNWRARSQSCPFCRDSLKRMDSGELWIYTNICDIKDLSTITRENMTTLLMYIDKLPAVYPDPVCSSELLI >Solyc12g036220.2.1 pep chromosome:SL3.0:12:45363423:45368986:1 gene:Solyc12g036220.2 transcript:Solyc12g036220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAGPSTPQETTMGSGEDRSEIVFFDVETTIPTRTGQKYALLEFGAILVCPRKLVEQHSYSTLVRPSDLSLISTLSVRCNGITRDAVTSAPSFADIADKVFDVLHGRIWAGHNILKFDCPRIREAFAGINRPAPESKGTIDTLTLLTEKFGKRAGNMKMASLATYFGLGEQTHSVNCKVWNIFHCLKVDVLLFRSLDDVRMNFEVLKHCATVLFLESSLPDVLTNNSWVSPNAAIRSRANGNTNSEGMGLSIDTPSSSVKTGRPMKSVAEAYIESDNPILPLVSRNMEEVPDLIETNSSRPDPFNLVQFSAEVRESIQLDAMEEEPASCSQDFSASIATEGYIGFTDFLEPNKISISSVSSILSPIHRGMPKIQILHNNTELQVCSKFLKVRFGISTKFVNYAGRPRLSFVVDTSSELCQLLDAIDNLAQKLNEDSGSMSEWRPLVNRKPGFMNCPTVRLNLPTVIDGNISRWVTEIYQKESSATQKLMFSRFDVTELDSLITPGTLLDAYFLVDSYDYQQIAGIRLVAKKLIVHSSLIDKVTCPSK >Solyc05g005337.1.1 pep chromosome:SL3.0:5:277879:279660:1 gene:Solyc05g005337.1 transcript:Solyc05g005337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESCNLCTFVGLEMSLELPAHLNQEVVEIIFLEQDQVIPNVARIMHSTHCPHVKISDDAKRTMYHCISEFICFVTYEANAHCNNKFGFDDYIEPLPLYFPRYGEDDGGECGSLESLLKRPMVNSTSSCNITPYHQHPNFPMAHHRLAYPPPMGNGDIQGDASNWKHFSVCNG >Solyc11g005570.2.1 pep chromosome:SL3.0:11:426544:431564:1 gene:Solyc11g005570.2 transcript:Solyc11g005570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKEQRMDFKSIMKEAEFLGSAHMTWKEKKELENKRVVALGGKPQKKQRLPLSVARVMMKKQKEREEKMQEENLVLGRFGGASSSRKAAGRRRPEDRVLKSTEGNFRNGVLDVKQLLKPSAPKASFDDGKKPFSSGKGKKKKKGGKKNKGKKNKGGGPGKKRH >Solyc01g110800.3.1.1 pep chromosome:SL3.0:1:97262848:97263108:-1 gene:Solyc01g110800.3 transcript:Solyc01g110800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSTAGDVPKGHFAVYVGEKQKKRFVIPISFLSQPLFQDLLNQAEEEFGFNHPMGGVTIPCSQDVFIDLTSRLSRI >Solyc10g080900.2.1 pep chromosome:SL3.0:10:62193048:62200074:1 gene:Solyc10g080900.2 transcript:Solyc10g080900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHAEVRRQLEPWGELAGKVVMVTGASSGIGREICVDLSKAGCRIIAAARRIDRLNSLCDEINGISSNSSNESTISSQEIQAVAIELDVSANDAAIEAAVQKAWNAFGRIDVLVNNAGIRGSVHSPLNLSEEEWEKIYNTNLRGAWLVTKYVCIHMRAANQGGSVINISSTAGLNRGKLPGSLAYASSKEALNSITKLMAIELGPYKIRVNSVSPGVFKSEITERLIQKDWFKTVALKTIPLRTHGTSNPALTSMLEPWGELAGKVVMVTGASSGIGRDFCSDLSKAGCRIIAAARRIDRLQSLCDEINSNSSNGSTKSSQDLRAVAIELDVSANGSAIEAAVQKAWDAFGRIDGLVNNAGFRGSVLSPLELSEEEWEKIHKTNLRGAWLVTKYVCMHMRAANQGGSIINISSIAGINRGQLPGSLAYTSSKEALNSITKVLALELGPYKIRVNSISPGLFKSEITEGLIQKDWIKNIELRTIPLRTHGTSHPALTSVVRYLIHDSSEYVSGNMFIVDAGATLPGVPIFSSL >Solyc06g054500.1.1 pep chromosome:SL3.0:6:37367481:37370604:-1 gene:Solyc06g054500.1 transcript:Solyc06g054500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4C6D6] MKPIIFFLFIISVMFLGICNADNKKLKMNYYHKSCPSVEKIVKEITWSKVAADPTLAAKLLRLHYHDCFVRGCDASILLDSTPNNSGEKTALPNRSVGGYEVIDDIKKKVEQVCPHQVSCADILTLAARDAVSYQFGRSMWQVPTGRKDGRVSIASEALNNLPSGFANFSTLLGQFEDNNLDIVDLVTLSGAHTIGVTHCTLVARRLYNFTGKGDVDPSLNPNYATALRKLCPNPINRSTILELDPKSSFSFDSHYFEALNQHMGLLGSDAALVTNSLSALIVKKMQNPHVFLAYFGRSMKKMGGIRVLVGGEGEIRKNCRVVNA >Solyc03g120510.1.1.1 pep chromosome:SL3.0:3:70374219:70374905:-1 gene:Solyc03g120510.1 transcript:Solyc03g120510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSTNLKFTLQHSSVTLPPPKSTTRRKISVRCGPRSNRGPLVKGRILSIEAIQAIQALKRAQRTDPSQIEAQVSKTLNRLIKTDLIAAYKELLRQDLCDLALKVFPAVQSECDVPDLGLYADMVLALTRTGFAEPIDELICDLEKVGRIECDDKSLVRLVRALVEGEQVESTVRVYELMKKSGWGSRFEIDEYVAKVLRRGFKRFGKEEMADEVDQQLQRSSRLV >Solyc02g072420.1.1.1 pep chromosome:SL3.0:2:42197880:42199016:1 gene:Solyc02g072420.1 transcript:Solyc02g072420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNARRITGGMRGLNDEILGVIFSRLPVKTLMRMKCLYKDYSELISSPWFINLYSKRFNSIPDNHCIFVQTGEINRLIRIQYPGDLIKLNEPCTTDYTVIGSINGLICLVTTIDSRQWICLWNPAINQYKMFRVHNENPQEKRKCDISMGFGYDKDSDDYKVMRILSYKTGRPLTILEIYSTNSECWKEVKSNRRLKMSTSFCNVIVEGFTVWVAEDLDGDIVLASFVWSKEKFFIIAFPEEVILTSQNFVATNYHGSFAVLAYSSPTKFKARIDVWEIELDIAAEQCKWIKKNTFHTDFGLSTHWGLTGGDIVVENAPNMPFLFNLTTKQRWELGINPILSLTNYTQSLVSIKGFRRVGNQLKRKRKDSNPVRARLN >Solyc08g062623.1.1 pep chromosome:SL3.0:8:51655145:51656033:-1 gene:Solyc08g062623.1 transcript:Solyc08g062623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIASVLNPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAIHVSKHGKGSKSQPSSCDSSDSSGSGISQNMSKNSLRTKLHMKNQKNDSGSLGVKSKLDKYLLDDQKVNSPRFPVLSQLARDVLAIPMSNVASECAFSTGGRILDPFRSSLTPKCVQYLLCIQDWLRQETKPICVEESPRVKTMKK >Solyc03g005630.3.1 pep chromosome:SL3.0:3:451133:456308:-1 gene:Solyc03g005630.3 transcript:Solyc03g005630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQFHLPQTIKTQPAKKFDPNDDPIIVVDLVWPFGDLEGLDRDDFREAAYEIFFTACRSSPGFGGRTVAISSSSDGSGEGNGSGSGTGLSGPGSPKPTGVGMAITSRVKTALGLKMLKKSPSRRKSGGGSGVNGPSSPAGVASPRTPSGSTMQQAKMRRPLTSAEIMRLQMRVSEQSDNRLRKTLMRTLVGQIGRRAETIILPLELLRHLKPSEFNDPQEYHLWQKRQLRILDIGLLLHPSIPVEKDNASASRLLEIIQACEIKTIDTSKNSETMKSLSNAVVSLAWRSVDDTPSDTCRWADGFPLNIHIYTALLGSIFDLKDDTLVLNEVDELLELMKKTWSTLGITRSIHNLCFTWVLFEQYVVTNQVEPDLLGATLTMLTEVANDAKKLDRDPIYLKMLKSVLASMKRWCEKRLLNYHASFHAENIGLMENIIPLMFSASKILEEDVPGYVSSAAEKGDVKDDSTGNQVNHFIRSSLRTAFNKMLEERNINITTFENDDVIETLIKLANATEEMATKEKKVFTPVLKKWHPIAAGVAAVTLHTCYGTLLRQYLAGTTFLTSETALVLQRAGKLEKVLVQMVVEDSVDCEDGGKVMVREMIPYEVDSIKINLLRKWIQDSLKKGKDVLVRSKDSETWNPKSKSEPYAQSAIDLVRHSKEAVDNFFEIPTIITENLVNDIADGIENLFKDYVIFVASCGAKQNYMPALPPLTRCGQDSKFVKMWKKAACSVGSNDPNQHLTDEDNNPRPSTSRGTQRLYVRLNTLHYLLQQLNSLDKTLSLSSRVIASPGSRYNKNRQLACCSFFDQTRSSIQASVQLVSEVAAYRLMFFDSNSVFYASLYVGDVENARIRPALRILKQNLTLLCAILTDRAQALALKEVMRASFGAYLMVLLAGGPRRNFFRMDHQMIEEDFESLKKVFCTCGEGLILEDVVEKEAAIVEEIVSLMGQSTEQLVEDFSNLACETSGAGVVVGNGEKLPMPPTTGKWNRSDANTILRVLCHRNDKIANNFLKKTFHLAKRRG >Solyc07g032055.1.1.1 pep chromosome:SL3.0:7:34098999:34099340:1 gene:Solyc07g032055.1 transcript:Solyc07g032055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLNGWRVCTDYQKLNAWTENNHFLMPFMDQMFDRLALKGWYCFLDSYSGYNQISIAPEDQEKKTFTFPYGTFAFKRMRLGFCNTPFTFNKCMMSIFSDMVEDTIEVFMDDF >Solyc01g079060.3.1 pep chromosome:SL3.0:1:77992077:78007868:1 gene:Solyc01g079060.3 transcript:Solyc01g079060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIQEMEKDVTGVKEESIMEYLRKQVPDWDDEIKCTARFKAFSGQRTDWEPLYVFWRDLILNVARHLHIFIIRPSQVNVWFDRGGLVPLCLDQVLLEMYAAGELLRTVGVADTAGGWVPQIFWRVVNLGGLLRPSTQENLAEDYYIIPVLLKEKALQVVQALSESHWTAFCVITWRKFQEISGGLKEAHAVLNHLCEHRKAKHLVINKKDLIEFFHTGSESLSFSKSTFWHNKSRS >Solyc06g072190.1.1.1 pep chromosome:SL3.0:6:44634046:44634234:1 gene:Solyc06g072190.1 transcript:Solyc06g072190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAAIRPVPPHQDETMSENGFRLYDMVNVFANYGWWFGFISGKIELYRDAFMFCSNKLNA >Solyc03g112430.1.1.1 pep chromosome:SL3.0:3:64320937:64321527:-1 gene:Solyc03g112430.1 transcript:Solyc03g112430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYNSPCAACKFLRRKCLPGCIFSPYFPPEEPQKFINVHKIFGASNVTKLLNELHPNQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVERLQKELDAANADLIRYACNEFTSSTAPPPLPVHQNTILRQRSVEFTRRQYHHDVGAGGGGGFYQTSSYPIPYSNSYPNWNDHQNSTGDHHQHIHGGGSI >Solyc05g041610.2.1 pep chromosome:SL3.0:5:53328215:53329884:1 gene:Solyc05g041610.2 transcript:Solyc05g041610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSNSICKGLLHSPELREYMLETFVYPREPEFLKEIRLITSNHPRCLIATAPEAGQLIALLLKLTNPKKTIEIGVFTGYPLVLTDLTIPDDCKDSVSQFYTTKIGLMYNIFSNSCVEHH >Solyc07g008330.3.1 pep chromosome:SL3.0:7:3114670:3120655:-1 gene:Solyc07g008330.3 transcript:Solyc07g008330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETKTRQQQNPSTSSSSTAAAAALLLAFKPRQVVLAESSKSNLVMAKKEQNQENKSGLQQSILHYLHLNGFSKTLKYFLKETQTEGDSWKSCSLSLEDLYGKYVSNSTDNDTISKGDKEPVLCEDGMKGNNISSNDADSQEKVSKKKKKKRSEDNDIAVPDVSHLESVDKSMKNGAAAQEVLAVDKADLPLKKQKEKKKKKTEENPESVDPIDNDNNDLTAETTKKDKKKKSKEKSGATDSKDSKKRKRLASDENANQGVDGVETEESKRRKTEVLEETKALCTDNGIEQNGEVVEANSNGNNKDELNNSAKQKSSRKDFNGSAEPKTVNAFQRVKIDQVEFKDDRLKDNSYWAKDGADIGYGAKAQEVLGQVKGRDFRHEKTKKKRGSYRGGIIDLQSHSVKFNYSDEE >Solyc08g008530.1.1.1 pep chromosome:SL3.0:8:2921762:2922817:-1 gene:Solyc08g008530.1 transcript:Solyc08g008530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMAGFSSSSSSSLSTLRKSFTSTSPIFPSFHSLLPRIPKPSSLKTVNPTFKPSLPRRFSSAVAATADSAEVRQSLKTRLKNGETLYGIFLLGFSPTLAEIAGLAGYDFAVVDMEHGHGGISDALPCLHALAATQTPAILRIPESSATWAKKALDLGPQGIMFPMIDGPKSARKAVSYCRFPPNGVRGSAHTVVRASSYGIDEGYLSNYEDDLLIMCQVECVDGVKKIDEIAAVEGVDCIQMGPLDLSASLGYLWDPGNKKVKEMMNTAEKGALKKKPLDGGAYLSGFAMPHDSPENLKSRGYHMVSGAVDIALFRNAAVEDVNKFKMSLDKGFEDQKDHKDGEEKYWSE >Solyc10g045770.2.1 pep chromosome:SL3.0:10:36022926:36029949:1 gene:Solyc10g045770.2 transcript:Solyc10g045770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPCVFLFGDSVTPQQESRLVDEAWRSHGFFLVENHIVYANLISSVHRYMDTLFYMPLSEKTKSLEENLCALLQCLSFTRSCLGKRLSLRYFAKQDSSHIVEEYFQRSLGESFNNLELTFETRCHYDPTPLTVHHQDCIIGLHVFVDNKWYSIPQNFNRYVVNIGNTYMEVLSNGRYKNCLLRVVVVNPPTKLVDYQKPQLYHDFTWPALLEFTQTHHRAYTNSIQAF >Solyc01g097130.3.1 pep chromosome:SL3.0:1:87968676:87970751:1 gene:Solyc01g097130.3 transcript:Solyc01g097130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISMRNLEKVAIFKPSEHTIPLLSFKGYQLLNSAATQLSSVDDDQQEASLRGRFRRREQAFCIFGSSLPLSQVERVCKVIDELFSLDRNMEAVLDECGINLSHDLVVDVLERFKHARKPAFRFFCWAAMRPGYAHDSRTYNVMMAILGKTRQFETMVSVLEEMGEKGLLTMEAFLISMKAFAAAKERKKAIGMFELMKKYKFKVGVETINCLLDALGRAKLGKEAQLLFEKLEHRFTPNLQTYTVLLNGWCRVKNLMDAGKVWNEMIDKGFKPDIVAHNTMLEGLLKCKKRSDAITLFEVMKAKGPSPNTRSYTILIRDLCKQGKMDEAVAGFEEMLSSGCEADAATFTCLVTGFGNKKRMDKVFALLTEMKEKGCPPDARLYNALIKLLINRRMPVDAVTLYKKMIRNGIQPTIHTYNMLMKSFFMTKNYDMAHATWEEMSLRGCCPDENSYTVFIGGLIGQGRSMEACKYLEEMIDKGMKAPQLDYNKFAADFSRGGKPDILEELAKRMKFSGKFEVSSLFARWAEMMKSRVKRRDPS >Solyc09g066430.3.1 pep chromosome:SL3.0:9:65082809:65085348:1 gene:Solyc09g066430.3 transcript:Solyc09g066430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFKRFVEIGRVALINYGKDYGRLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIDIKRIPKKKTLVEAMEAADVKTKWENSSWGRKLIVQKRRAALNDFDRFKLMLAKIKRAGVVRQELAKLKKIAA >Solyc02g072570.2.1.1 pep chromosome:SL3.0:2:42302804:42303556:-1 gene:Solyc02g072570.2 transcript:Solyc02g072570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSKKTDREEQEYAKCVWMSLQHEELTELEDAAAQIQDGEKDEGKLTQLAEKIIQHFQEHSDNRLRLARKDVSPFFAPVTCSPLENSVLWIAGCRPSSFIRLIYALCGFEPDVQGTDPCLEGIVTEDLRELSEKQLRMINELQGKTIREERRISTKFASLQEDTVDQPLAGKMKKEGHGCEKADEALDEHSGHMADVIEEADRLRMKTLKEIVNILEPVQAVEYLAAAKKMRFCVQQWGEKRDQQHKE >Solyc03g123530.3.1 pep chromosome:SL3.0:3:71857919:71867449:1 gene:Solyc03g123530.3 transcript:Solyc03g123530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMDSEAFKSELASVVSSLGFATSAVPSSGFDDSDFRKKGRIKSEKKPTSKDNNNSTNKDSQHGNENNNKKRINNDKFGKKPKPQQLKAELQVDNNLWNTTPGKYKNMPKLPLVKASALSVWYADAGELEDKVIGSDSTNKIAEFKNVNEWKSKVEKKKELGERLLAQYAQDYESSRGQSGDIKMLLTTLRSGTASDKISAFSVMIGDNPTANLRSLDALLGMVTAKVGKRHALAGLEALKELFVSSLLPDRKLKTLFQRPIDHIPDTKDGYSLLLFWYWEECLKQRYERYIAALEEASRDVLDILKDKALKTVYVLLKCKPEQERRLLAALVNKLGDPKNKVASNADYHLSKLLADHPNMKAVVIDEVDSFLFRPHLVLRAKYHAVNFLSQIRLSHRGDGPKVAKRLIDVYFALFKVLISEAGEGRTMNKKSEGHKEVSGTLKDKKEKDLSESHVEMDSRLLSALLTGVNRAFPFVSSDEADDVIQAHTPVLFQLVHSKNFNVGVQALMLLDKISAKNHIVSDRFYRALYAKLLLPTAMNSSKEELFIGLLLRAMKNDVNVKRIAAFSKRLLQVAIQQQPQYACGCLFLLSEVLKSKPTLWNMMLQSESVDDDLEHFVDITEEDDDQPNPPIQKDNASEVAQEAKHLENGNHSLPEEGNSSSESDDDSLQAEESPARGDLDEPKNARLMSGFNKLLPEGSNDKLLLPGGYDTRHREPSFCNADRVSWWELMVLASHAHPSVATMARTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQSTWHGASQIEPAKKVSIFSMT >Solyc05g054570.3.1 pep chromosome:SL3.0:5:65307391:65313363:1 gene:Solyc05g054570.3 transcript:Solyc05g054570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPCPPYSNKRLEARMISKEKLPKICLVASATKHFSAKTLKCISKVKQSVQTSGTAGDVVIFLATTAVLEVVRRLSKARCPFIWHGLQALQALCYPPFKWVQKWVPLEPLVRQLQKLSRPMLLLSIATVFSDQSSSTGETTPNDFHHSQAYPQARSHDEVQDDGYPQRWLLELHKELREESISVPERLNDDELRQFYAAANGDFARLLSSVKKTIKWRQNYTFLSPEELKAWSPFIFWHGHDANQRPCLIIRLGLACSNLRSNGKSLLIKAVVSQIEHGILRMVNVEHPQITVLMDCEGLSPFGFPIHMMRSCAMLLQDHYPNRLSSLIIVRLPQVAQIIMQTFFQVLKPSTRQKVRIIGRNHLEFLSNHLNSIPPFLGGNCSCSKCSDQTDAESESDEATRTDRTPDQASRPETPDHTNESNEVTPTEPAADQVKDSPEFNHHNVSNTSVYREELIKTIFIGILMVWVFIAVIVAMDYPERWPLLRST >Solyc02g076750.2.1 pep chromosome:SL3.0:2:42424540:42428238:-1 gene:Solyc02g076750.2 transcript:Solyc02g076750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKGTYLLFFLFLILAGLSSQVYSISSDFSILNRSNEFISDESVFQLFQEWKQKHGKVYKDEKEEEMRLEKFRWNVKYIVEKNSERKSASEHFVGLTNFADMSNEEFREVHGSKIKIPFNKRNIIQMKNVEEKPTSISCDAPRSRDWRKHGAVTEVKNQERCGACWAFSACGAVEGINAIITGELISLSVQELVNCDNSTNTGCYGGYMDHAFEWVISNGGIASELDYPYTSSQGACKITKAYDRSISYQILCSFSK >Solyc03g045083.1.1 pep chromosome:SL3.0:3:11508802:11511955:-1 gene:Solyc03g045083.1 transcript:Solyc03g045083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYCLFLPLGMKQRVDVMPIYVLAEDRVLKINPLNVGSSETAVELFFGSEYERVGDIVGLAVSISSSCVLINVKNRGLFAYRLQGKLYWSVGPVLYQHGYRQGCRKNITECYFSSVPVIDHCEASIYVRFSYSKLFNLVLCTKLYLAFSKPFFFQLQISNNQGEIYALSTRSPHFKWIQDFSSFGSTLTMKAGNNGLLYVTEATKALILAVDVSRGSILWQKSFGPLSMEDYAPAVDFNGWISIGSLDGYLYSFSPKGVLKKFPIVLNMHSVIQVSPVLDCSGYAIYASQTQTEGKVTRIIGDYTYISAMKPKGVIFTLTNPATGIIFWSEQYPGNYPVLPLDPKSSSLQHQWINLHSNMHPLFTIASGRFSLELLKSDLQYFLLDESILLAFFAASSEFLHNYQCSLDNHDKLPSIVSCQMSHNSFASGQKFALSCSQVTPENFSTYTGNKKTILLFLIFESIILLVLAITVRFCYMFWKKKKLQNQHLGKFLDKRRSLRLQKKVFDRSITELQQKAAEEAIANDMLEKMGNLVKQRENIERKLSTSYSLGRDEIGSHSPSLLPLSDRKTRSFSFQGAKKESITLFHTVSDTSSETSWSEWDSDTNISEDEDEIDKGKSPIEIFSSSDDEIYQEEYQSTTPSSFASTSRQVNEIEEMKPGDQEDSVDHPLQNRIRKRKSY >Solyc05g056310.3.1 pep chromosome:SL3.0:5:66493943:66501460:1 gene:Solyc05g056310.3 transcript:Solyc05g056310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:T-complex protein 1 subunit gamma [Source:UniProtKB/TrEMBL;Acc:K4C2V5] MHAPVLVMQDSMKREQGGKVQRANIQAAKAVADIIRTTLGPRSMLKMLLDAAGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLAGEMLHVAEAFIDKKYHPTVICRAYAKALEDAVAVLDKIAMTVDVEDRETMLGLVKSCIGTKFTSQFGDLISDLAIDATRMVGVELGQGLREVDIKKYIKIEKVPGGQLEDSVVLKGVMMNKDVVAPGKMKRKIVNPRIILLDCPLEYKKGENQTNAELLREEDWTVLLKMEEEYIENMCAQILKFKPDVVITEKGLSDLACHYLCKAGVSAIRRLRKTDNNRIAKACGAVIVNRPDELQESDVGTGAGLFEVKKIGDEFFTFIVDCKDPKACTILLRGASKDLLNEVERNLQDAMSVARNIIKCPKLVPGGGATELTVSAMLKQKSSSVEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGEITDMKERKIWDSYAVKAQAFKTAIEAACLLLRIDDIVSGIKKKQAPGAGQGPSKPKIDEEGDADNDQLIPE >Solyc02g087400.1.1.1 pep chromosome:SL3.0:2:50489625:50490731:1 gene:Solyc02g087400.1 transcript:Solyc02g087400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALFVNSSLSRLKLKFSSQFPTFSPLPHHSYLPLKKLNLPLVFATLQNQHQQQSAAEEVAQEEFEDYDADETYGEVNKIIGSRAIEGGKGMEYLIEWKDEHAPTWVPSNFIAQDVVAEYETPWWNAAKKSDESALRELIEAEDDRDVDAVDDDGRTALLFVSGLGSEPCVKLLAEAGADVNYRDRSGGLTALHMAAGYVKPGVAKLLIELGADPEVQDYRGQTPLSLARMVLNQTPKGNPMQFARRLGLENVIRVLEDAIFEYAQVEEILEKRGKGENVEYLVKWKDGEDNEWVKAWLISEDLVRDFEAGLEYAEAECILEKREGDNGKGEYLVKWTDIEEATWEPEENVDPLLIEDFEKGQQKVVS >Solyc08g061746.1.1 pep chromosome:SL3.0:8:49528885:49529761:1 gene:Solyc08g061746.1 transcript:Solyc08g061746.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFNKKKVIFIMGATGEIEPDSNFTAEDFCLQAIVYMEKIMKTQRVPIIVGGSNSYIEKLVEDPVFTFKYKYDCCFIWIDVEQSVLNRRVDMRVDQLVKVGLVDEVRQIFIPDADYTKGIRPSIGVPEWTDI >Solyc10g055040.2.1 pep chromosome:SL3.0:10:56231627:56232476:-1 gene:Solyc10g055040.2 transcript:Solyc10g055040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHTRVLCWQPNAGATINNQILIEVSKCVESINGVKEGEWKNTFCFYKPMLKEQANASEFPQNFLGDSLQE >Solyc08g005080.1.1 pep chromosome:SL3.0:8:57015:59961:1 gene:Solyc08g005080.1 transcript:Solyc08g005080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVNLENVQTSPDHDALKSQEIEKNNIDLSLVKIEVEEKNSDLNEYRSPVRVDSDSMGCIVSSDGNELLYSGWAEWSPSIVAPITSIKPENFKETVEETRSIENKPSKMVGIGLSNLGNTCFLNAVLQCIMHTVVLLQLLASIDHISPCNNHTIGFCVLCMIRNLVDLSIVGAYDYVSPRKIVSHLRDFSPDFDLYQQEDAHEFLQCFLNKLEYCCSNLEPQDNIVEESFGGRFVSKLRCCNCEYFSVTQEPFIDISLDIEDIDSVPEALNSFTKIEKFEFSCEMCEIHGSFEKQLLVDRAPSVAALHLKRFKNNGTDIQKVEKHVSFPLELDMLLYTNEINNEEMKYDLYAIIVHSGPSISSGHYYSFIRCAPNEWYKFNDKQVDFVEEDFVLAQEAYIIFYAKRDTLWFSDYTQIYRSFINLVIPSTSNDHLLDVDDQVYCEDQLQDVEIKKVCSKDEEESMDVNEKKRKLEDSPSKDVKAD >Solyc03g083310.3.1 pep chromosome:SL3.0:3:54558591:54566658:-1 gene:Solyc03g083310.3 transcript:Solyc03g083310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHMSHHHQYERRPIYKSKAPASKWFKEWVPQDVVATGGKCYVLKWVNEATLKALREKPKEAGVPVSEPEPTTEVLFLCSYEGCGKTFIDATMRVVERNSWIVPS >Solyc01g067980.1.1 pep chromosome:SL3.0:1:76838578:76842522:-1 gene:Solyc01g067980.1 transcript:Solyc01g067980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGPRGGGRASGNSASGSEGSGRASGNDALRSGWGVGGGGRALGTGPRGLGGSGGSADENLYSKTLMEDEHIRIDDLSAHLGSLVMFPKPSAFYGATASYLHILFALCIFKGRACLTFSFIGFDGHGGPEAADTNFPKTSEVDDAFLGCAESFLRKGFLLADLALAEDCNVCSSSGITAKLTALMLGSKIVIHCDAPINK >Solyc11g064930.2.1 pep chromosome:SL3.0:11:50535177:50545348:-1 gene:Solyc11g064930.2 transcript:Solyc11g064930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERERNGSPSVPQFGAWHHKTADDLNFSMVFSQARANKKQSRQNIAHHNPGNEQEMLGKHQDVSPRKSSSTPVPQVGPRDSKDRANKKPHKPDKTHRSLGNEQELGKHQQASTMVRPKSVPQFGEWDQKSGGSPDYSKVSPQACANKKQHKHDSAHRSLGNEQELGKHREVSPRKNSHTAEPHCGAWDQKTGNSPNYPMGLSHDRAKKKQHRHGLARHSMGTEQELGKHRDVSPVKIGWMSVPQFGEWEQKTPSETNYSMVFSQARANRKKHKSDLTHRSYDFEQDLLSREREKAATRKKKKFMTYLSCCLPA >Solyc07g054110.2.1 pep chromosome:SL3.0:7:62628107:62629881:-1 gene:Solyc07g054110.2 transcript:Solyc07g054110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLVQQSWWKLRTCFVRVSSSSYSTIAETIASLDLTNKDEQICKPLTSDALYNRLLYLNRSKESVISVLEKWDTEQNPIKYEELLSIIKQLRSYSRYKQALQIFEWMKKSKNFDISPRDFAV >Solyc12g098330.2.1 pep chromosome:SL3.0:12:66859688:66862282:1 gene:Solyc12g098330.2 transcript:Solyc12g098330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding REKERREKMVSGSGISARRIVVDARHHMLGRLSSILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKIKRMVIPDALKVLRLQSGHKYCLLGKLSSEVGWNHYDTIKELENKRKERAQVAYERRKQLAKLRVKAEKAAEEKLGPQLAVIAPIKY >Solyc05g015460.3.1 pep chromosome:SL3.0:5:10589537:10590992:-1 gene:Solyc05g015460.3 transcript:Solyc05g015460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILLVVDPWITRLLDFIKGDALTDMIEIMSSCGGLILCCCHKQRIREYYVCNPSTNQFSIVPSSGGARHFMNGLHTDDGWIPHVSVFELGNEYLWILKYRNDPL >Solyc08g013860.3.1 pep chromosome:SL3.0:8:3293886:3318508:-1 gene:Solyc08g013860.3 transcript:Solyc08g013860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:K4CJ21] MANFSNQMRLSSTILRRLHQRVAAAVNSSSSRNFTTTEGHRPTIVHKRSLDILHDPWFNKGTAFSFTERDRLHIRGLLPPNVMSFEQQIARFMADLKRLEVQARDGPSDPYVLAKWRILNRLHDRNETLYYKVLMENIEEYAPIVYTPTVGLVCQKYSGLFRRPRGMYFSAEDRGEMMSMVYNWPADQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTDNENLLKDPLYLGLQEHRLDGEKYIEVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNNYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKMKIVVAGAGSAGIGVLNAARKTMARMLGNTEVAFESARSQFWVVDAKGLITEARENVDPDARPFARKIKEIERQGLSEGATLAEVVREVKPDVLLGLSACGGLFSKEVLEALKHSTSTRPAIFPMSNPTRNAECTPEEAFSVLGENIIFASGSPFKDVDLGNGHVGHCNQANNMFLFPGIGLGTLLSGSRIVSDGMLQAAAECLAAYMTEEEVLKGIIYPSISRIRDITKEVAAAVVKEAIEEDLAEGYREMDSRELRKLDEAQISEFVENNMWSPDYPTLVYKKD >Solyc04g026057.1.1 pep chromosome:SL3.0:4:19115540:19116129:-1 gene:Solyc04g026057.1 transcript:Solyc04g026057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNAAFHIPSRRTVTILEETKLWKKVFEETRPRVCLTTDTWTSIQKIHYMFFTAHFIDRNWILHKRIINFFPTSSHKVDKASSNDVTVKEMSKTLRNWGTNIFDGDHIHVRCMTHILNLIVQDWLKEIGKSVKLVRQAVKYIKQSPARNRKFKEYRESELITCKKSLCLDVPTR >Solyc08g062395.1.1 pep chromosome:SL3.0:8:51130977:51134211:1 gene:Solyc08g062395.1 transcript:Solyc08g062395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEVAEHEEQPDEIVEQGEQLGDNTEQMEYPEEEQSQPLRRSERQRVESTKYPSSEFSDDNFIILLLYVDDMLIVEQDKELIAKLKKDLSKSFYMKDLGPAQQILGMKIFRERTKRKLWLSQEKYIERVLERFNMKSAKPVSTPLAIEEVHVLALDEVVMLKVVVEVVEL >Solyc03g119660.2.1 pep chromosome:SL3.0:3:69708462:69711618:-1 gene:Solyc03g119660.2 transcript:Solyc03g119660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGAKPIHQFQVQNQNDQHPLQPRQDYASQVESFDYYQAPETIDEIPPPSIESFHEDLYPEESQDEPSQELTMQSESHENHEDSVQQQQYVQAVTTQVSQQNGKTQRPRKQPKPKSGTLRSPIHPSQHNSFPIHDPQPQAEAFSTPQSQPQPHPFPPAQDYNEPHTFPPMQEEAFPPAQDSYEPHSFPPTPNKVHAFPPPQVDPQLYSRPHAFVPQNHNVPVFASSQPQAGTNFPQHSLAQGSQIGMGMGQYQQGMQPAPPMMGIPFKPILPTESWKTGLFDCMEDPTNALITACFPCLTFGQIAEIVDSGQTPCTTSGLIYGAILMFIGMPCIMSCTYRTKLRSQYGLMESPAPDWVIHCFCECCALCQEYRELHHRGLDPSIGWQGNQAQKQNMQLQQAMVPPVHQAMMG >Solyc11g061730.2.1 pep chromosome:SL3.0:11:48615316:48616556:1 gene:Solyc11g061730.2 transcript:Solyc11g061730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSVKLFVLKLGKTTPKVLCARLICTIIVERVKIVVLTAIHFRAEFILYCPKKWICLFNA >Solyc02g050280.3.1 pep chromosome:SL3.0:2:4943772:4956612:1 gene:Solyc02g050280.3 transcript:Solyc02g050280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDHVDPVGYFLECTCSFFRKVVGSTFSPMDAIDISSDDSDLREIDNYTDESPLRDSATSRILPSWATDHGTMSDSLPTQKVSSPTRPSYLNGGSSNYHSNRSMNPPTITDESGTSSSRAIRDVNFEYSSGNDGRKHFLQQTLRRALPTSLQPLDLPGSQNRQSHERSYQSAWASSSRGNHNELVLYENKGSRVLPPSLMHRKATSGVQYTSVNDPLHYPGTAEERAAAADERLIFQAALQLIVSHTRALFWQDLNQPKVEARLPEGLLSVSLLRHQRIALAWMLQKETGSVHCSGGILADDQVKYIAAGCLLYSWTSAGIHHGLGKTISMIALIQMQRSAQDKSKAKDLDAIKAEALNLDDDDESGVPASQETNQCGEIDGVEVITDARTSIKGFRRRRPAAGTLVVCPASVLRQWARELDEKVTDDAHLSVLIYHGGSRTKKPAELAKYDVVLTTYAIVTNEVPKQALVEEDDDDQKNGERFGISSDFSSSKKRKKPSLNKRGKKGRTGFDADDFDPNCGTLAKVSWFRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNAIDELFSYFRFLRYDPYAEYKSFCSQIKFPIAINSINGYKKLQAILRAIMLRRTKAHYLNVTDLGTVIDGEPIINLPPKTIQLKKVAFSSEERAFYNKLEAESRSQFKSLNFLLNKTSRKSLAVHVVLCELSSQVVAYAAAGTVKQNYANILLMLLRLRQACDHPKLVKRESYNSVGRASSEMAKKLPKEMVENLLKQLETSLVTCSVCDLCLSFSDVPEDAVVTICGHVFCNQCVSDYLTGEDNTCPTPGCREQLGPEAVYSKAALKKCVTGDVNGDPSSLSEFDEKSIMENEYSSSKIRTAIEILESCCKSKDTYLESDILVQCNGDSSNLGERDSEMQSKGPIKAIVFSQWTGMLNLVEHALNQSGFRYERLDGTMSLAARDRAVKEFNTNPEVGKFLYACLLCSDLLNISKVPLFSLLIYQ >Solyc02g081040.3.1 pep chromosome:SL3.0:2:45659302:45664512:-1 gene:Solyc02g081040.3 transcript:Solyc02g081040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFQENRSFELVLGLLVLNILWVGVKSQCSDDCDALASFYVWNGANLTFMSNTFSTPIKNILSYNPQITNPDIIQSQSRVNVPFSCSCVDGKFMGHQFDVQVKTNTTYPRITRLYCSNLTTVEKLQESNSYDPNNVPVNSIVKVIVNCSCGNSHVSKDYGLFITYPLRPGENLVTLANDFSLPQKLLEDYNPEANFSSGSGLVFIPGKAQKVSLILLLQRHCFQLIKSEVSKSSECDCNLLKTMLLTGFSGGAITGISVAVVLVVALLAVCIYITFYRGRKTEENLNLEPYKHSSNKHIPAMFWFILRINLVVSLYDSGHANFENSSEGGSLKQGASPEVPRIAVDKSIEFSYDELAKASDNFSTAYKIGQGGFASVYYGELRGEKAAIKKMDMQATKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEYIENGNLSQHLRGFVPGKVPLPWSTRVKIALDAARGLEYIHEHTVPVYIHRDIKTANILIDKNFRAKVADFGLTKLIETEGGSMNTRLVGTFGYMAPEYGQFGNVSLKIDVYAFGVVLYELISARKAIIKTSEISTESKGLVGLFEDVLNEVDPKEGICKLVDPKLGDDYPLDSVWNVALLAKACTQENPQLRPSMRSIVVALMTISSTSTADWNLGEFYENQGLAHLISGR >Solyc11g061810.2.1 pep chromosome:SL3.0:11:48826874:48829590:1 gene:Solyc11g061810.2 transcript:Solyc11g061810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYLRDKTNIDGDDESKKMILQTSISSYKCNTRMLICNQLDKIQLLVREKMWSVHHIIGADVFKEDREEDLDEAWTNTILQQCLGEIEPDSDFTAEDFFLQAVICIEKILKTQRLVDEVRQIFIPDADYTKGIRLSIGVPEMDRYLREETNIDGDDESKKMILQASISSIKRNTRMLICNQLDKIKRSISEKMWSVHHSIALDVFKEDREEDLEEAWKNIVLQPCLDIVKRFLKNDHRNIIIECT >Solyc08g021980.1.1 pep chromosome:SL3.0:8:34100157:34101350:1 gene:Solyc08g021980.1 transcript:Solyc08g021980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDVSSNASKKDFAWKYTILTLDEKYFKCRFCEHTCSGTINRLKHHLEGTHKGINPCLKVSNDIAEECKKALLKVQNVKTMQSATLEEMRSVEIGSGNIGSKAGSCQISENLLPKARGPIDNFVNTQTRQVTLNSKMEKRRNKRRFVSELVGFSSQVVFHLILQMIHIIFLCLKELLIIPCFVSPSMHELRTWILQDEVTNINKMLDEHKISWKQYGCSIMSERWTDGKSRCFINFLIKSMETPCALHCIDLLLEDIGKLKIHQDTLTKAKAVVRFIYGHICVLDLMRSFTNNHELLRPAVTRFATAYLTLQSIQNQKQGLRSMFSSEAWNKSA >Solyc08g082050.2.1 pep chromosome:SL3.0:8:65083383:65084512:1 gene:Solyc08g082050.2 transcript:Solyc08g082050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLISSFLDIAVDQTVDTAREFLQATNWNLEEAIQRFFSGNNNGATAAAESFNYSPLIENTPTLREWEDDDDDVHLPSPVRREVFYGETSGLYEDERDQISSSTFDSYSNNALSDLFRPPYEFLYNGPFDHAKEAGAKRNQWLLVNVQCRGEFASETLNRDTWANDIVAKMIENNFVFWQEGDHTEEGRKVCTYYKLDSRPVILVIDPITGQKMRSWNGMVQPERLLADVMTFIDRTPSEYHSDLIRNQLERLTHRDRTEESRNDRELLIYLPLPEEPNGSLICDKNLVCRIAIRLPDGRRIQRNFMKTDPIKLLWSFCSTQFEEAKTRAFRFRQAMPGPTNFWNYDSNLTFEESGLDNSIIALVMA >Solyc06g009000.1.1.1 pep chromosome:SL3.0:6:2945560:2946426:-1 gene:Solyc06g009000.1 transcript:Solyc06g009000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSSNCPSQNFTQNHTNKPISSFLGSPRIFNGLLTRSLSDIGTIRTSRDRLYDTEIISSPNSLLDDMQNLNIGNPFGYDRKSPNPITKNPPTINTTPKIMPRFQTSQDQLYDVECIVNSNSTLDGMQNHNLGSPFGYDRKPPNPFTKRPPNNELKSEIITSQFQTSLSYDRKSQNPITKKHPNSKMESESIGLALIDPKVTSEKFHVLFGAKLKIEIPCSIIEVKNNTCEDLDEMESSEDYTCVITHGPNPKTTHIFDNCIIERCCGVRKFRENSCKVDHIKGKDI >Solyc12g017720.1.1.1 pep chromosome:SL3.0:12:7301554:7301808:1 gene:Solyc12g017720.1 transcript:Solyc12g017720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHKSNQKITIKFDRHKFSRQLLDSKPLLDKPIKKITFKMGNHKFVRQFPDLKEVDKRIKLVEGYTKMYLYANKKMLRTSEC >Solyc03g118790.2.1.1 pep chromosome:SL3.0:3:69097284:69099314:1 gene:Solyc03g118790.2 transcript:Solyc03g118790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFCKFSFLIPLQNSAKTFTSSLSLFSTFEFHLTNQQSFEVEEFDRAYWTRRIHKLCAIDGDVDEALRLLDELRLQGYHPDSLNLSSIVHALCDSKRFSEAHRRFLLAVSSQSTVPDERTCNVLIARLLYAANPQETVRVISALFYQKPQFVPSLMNYNRLIHQLCTLERNRDAHQLFVDMRKRGHSPNAVSYTTLIDGYCGAGEVGEAEKLFDEMSECGVIPNALTYSALIRGILRKRDIDHGKQLIRKLWDVMLTEDDMHVNNAAFCNVINCLCKEGFFHEVFNIAEDMPQGKSVVQDFVYAQMIDSLCRFGRYNGAARIVYMIRKRGFDPSLVSYNTIVHGLVKEGDCFRAYQLLEEGIQFGYLPSEFTYKLLVEGLCYVNDLVKANEVVNMMLYKKDNDKTRIYNIYLRALCVVDNPTELLNVLVTMLQTQCQPDVITLNTVINGFCKMGRIEEAQKVFKDMMMGKFCAPNGVTFTTVISGFLKLGRVEEALELLHRVMPEKGLKPNVVTYNAVIQGLFKLHRIDEAMEVFHSMVSGGIVADCTTYTVIIDGLFESNKVDEAKRFWNDVVWPSKVHDSYIYAAILKGLCRSGKLHDACDFLYELADCGVTLCVVNYNIVINGACTLGWKREAYQILGEMRKNGLEPDAVTWRILDKLHGNVEKQFREDSTCN >Solyc03g083930.1.1.1 pep chromosome:SL3.0:3:55293218:55293577:-1 gene:Solyc03g083930.1 transcript:Solyc03g083930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQAACVDRLNLTSQDILNYLQIRRQKDLDKGDAQLMLQYFQRCQYENPDFFYAIQMDVDDHFANCFWVDVRSRITYKNFGKVVVFYFTSMINKYKMSFIPFTGVNNHYQSILFGYALL >Solyc09g042726.1.1 pep chromosome:SL3.0:9:25440194:25482420:-1 gene:Solyc09g042726.1 transcript:Solyc09g042726.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLLARGDKEAGIEGGGEAKQDINNGATPLDLPRAPLTDLLKKNCAWEWSDVCQAAFKRLKAAVTEEPILALPDFTKAFETRLG >Solyc11g021100.1.1.1 pep chromosome:SL3.0:11:13388089:13388241:-1 gene:Solyc11g021100.1 transcript:Solyc11g021100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGFEREKEVRNPLFDSDSPTPVVAFVSVTSKVAASASATRIFDIPFYFS >Solyc08g080930.1.1.1 pep chromosome:SL3.0:8:64202326:64204284:1 gene:Solyc08g080930.1 transcript:Solyc08g080930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSISKKKAKINKKVELHKEESYFFSSFIAKFLFRIPSIVLVLILIFLWSSSTTIISGKVVHVCISSRKLNDLYCISAGTEPHFDTAVSSLNGTSPSVFVDQKDIASRESTLQDTGVAVGDESFTSNVVDGSVQNYSPLDIPTPHVNDSSTSNVVDVSSPLDIPIPRVNDSFTSIDTVSNVVDVSLQKSSPLDIAIPRVNDSSTSIPSAKQESATFVDSFAGNRSVSFRNKMVDEELVIAYNDVEDQLQVHRSWAATSNTNATCDGRGIYVYDLPTKFNKDLVAQCADINPWVNLCKYFSNDAMGEPIQNLGKGWYQTHQYSLELIFHSRVLNHPCRVHNADEAKLFYVPFYGGLDVLRWHFKNVSNDVKDSLGVELVRWLESQKHWFQKSGNDHVFVLGKISWDFRRYSDTIWGSRFLELDEMQNPVKLLIERQPWQVNDIGIPHPTYFHPQSDNDIIAWQDRIIKSNRKHLVSFAGAARPDAPENIRSILINQCTSTTDQECRFLNCNSGSCNQPESIIQLFMESEFCLQPPGDSPTRKSVFDSLISGCIPVIFDPFTAYYQYSWHLPQDHNKYSVFIDQEDVRKMKVNVVERLMQIPTKEKEKMRSYIVYELLPGLVYGDPNSKLEKFQDAFSITINNLFQRLNKLEL >Solyc09g014160.2.1 pep chromosome:SL3.0:9:5595829:5599741:1 gene:Solyc09g014160.2 transcript:Solyc09g014160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFRNLNLKIYKGIKVISHFKCRVKLKNLTQKKEEENSRERKKNKYIYREIFLFLLICLFFQQILLFFFFFFTLLLFSVKHKFSIFFSHEQSGHCCKPMNPTTVDPTQPPPQPPQVAQPPRSSFSCDRHPDEQFTGFCPECLCERLTTLDNNSNNNNPSSSSRRPSTSSSSAAAAAIKSLFSKPSVPSSSTSVVVNNLPPKPVKPTSFFPELRRTKSFSASKNEALGFNTSAFEPQRKSCDVRGRNTLWSLFSIDDETKTGKPTCSLNQNANDIGDGFVGRPVKEEEEEEDEFENGEEFENVGDEIIEEELPVENEMQPEIVVEEEVLKPMKDHIDLDSQGKKGSGGKDLKEIAGSFWSAASVFSKKWHNWRKKQKLKKRNNGENSGTLPVEKPISRRYRDTQSEIADYGFGRRSCDTDPRFSLDAGRMSFDDPRYSFDEPRASWDGYLIGRTFPRMPPMVSVIEDAPVVHIPRFDNQIPVEEPRLSMTSINEDESMPGGSTQTKEYYSDSSSRRRKSLDRSNSIRKTAASVVAEMDEMKSVSNAKVLPAMGDQFLGAKVLVGERDTYSNSNSLRDDCSETFELTGFRDNNSSVIGNGERKDSKKSRRWAWNLWGFINRRVSGNKDEEDDRYSRSNGVERSFSESWQDLRTNGDVRGGVNRKVFRSNSSVSWRNSTGIGGSFGSMRKSSVDMNGHVKKKRDDIVLERNRSSRYSPNHIDNGLLRFYLAPMRGSRRGLPGKSRPNGSHSICFLLNQNINFSLHLFSYHSCLCNLDDAYVICVILGFFLITGKILSFDYRIRFHFVVMKSIISIL >Solyc01g081580.2.1.1 pep chromosome:SL3.0:1:80629777:80630139:-1 gene:Solyc01g081580.2 transcript:Solyc01g081580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSSTFSLVVIVIISLLLSRPYSSDGAVKCKEIAQRIKPCIDWMSIGYKSTGVVPKTCCNEMFRLNGMGVNREAELAICECFKSEMQDLNIDDKVSISISGRCGVLLPFPIVPNVNCSE >Solyc08g045730.1.1 pep chromosome:SL3.0:8:17046058:17047093:-1 gene:Solyc08g045730.1 transcript:Solyc08g045730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRVERLDLGNTGTTREGTNGTVASSSVKRAMKNGEKVIELKKEEVDKATEEWKQSLILFVVGDSPTIVVVERVLIEMDVAREFPRKLKVENLNGMVFEKIVQYEWVSEYYDKCMLVEHKWHAREGTKHVAQYKKVKKCQPEVDGSKVGELEMQGSKELMEVLIEEIWKQIPTKTIIKSPNKQWKPQVLVRNGFNILSTFEKGSTSNEVGKK >Solyc02g032860.3.1 pep chromosome:SL3.0:2:29913880:29916448:1 gene:Solyc02g032860.3 transcript:Solyc02g032860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFTSSTAIHGSISSSSHQHPKVGNIQLLDQPKKSLNFTQRRCAVKPLYAEPKRNESIVPSAATFVAPEVAEKLIEAEDFEKLAKDLENASPLEIMDNALEKFGDDIAIAFSGAEDVALIEYAHLTGRPFRVFSLDTGRLNPETYQLFDAVEKHYGIRIEYMFPDAVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEVPVVQVDPSFEGLDGGSGSLVKWNPVANVEGKDIWNFLRAMNVPVNSLHLKGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKAESVNGNGNNATQTNDTVADIFDTKGIVTLSRPGVENLLKLEDGREPWLVVLYAPWCQFCQAMEGSYVELAEKLGGSGVKVGKFRADGEQKTFAQQELQLGSFPTILFFPKHASQPIKYPSEKRDVNSLLAFVNALR >Solyc06g060680.2.1 pep chromosome:SL3.0:6:38823882:38829504:-1 gene:Solyc06g060680.2 transcript:Solyc06g060680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPTFTMKVVGNSICVEFNAQIKIAASDWRKKEKDCYLKNGSCVLQELLALCDGNCRVPIRYFSAIEINNAIKNSQTKMELADVSMVTGLLGNRPVLVRFNKWTYNIHRDIAITAQMSHLKNVLRLIGCCLEFEEPVIVYEYVKGISLSDLLFKKGNLNRKSSLSWGSRLRVARDVASAVVFLHTEFTTPIIHRNIKSSKVIIDEICGVAKIVDFSLSISLPPGELEVQDQACGTMGYVAPENLASGISTQKTDVYSFGILLLLILTGKDVYDIIDRVDSIKPEEKIHFGEDSGNSTNSKETIVDFKNLPTSYVLLDHYIKEANVMDITDPIILEEHGIDIQQQLEDYLDLVKKCTALKGDNRPYMIHVARELCRMEKSFRALALAISAQMSHLKNVLRLVGCCLEFEEVVMVYEYVEAISLFDLLFKKDNLNRKSLCWGNRLREVASEIVFLHTEFTKPIIHRSIKPHNVMIERHCHNIELLTLHIITSRGIGEKTIVRRKKEHDCCLKNGSCVLQELLALCDGIPIRYFTAIEIDKAVKHSTTKMELRGVVLVTSSLDNRPILVRFNRYKFFNIHRDIAVTAQMSHLKNVLRLIGCCLEFEEAVMVYEYVEAISLSDDLLFKKFSLNRKSLCWGNRLRVARDVASAIVFLHTEFTTPIIHRDIKPSKVIIDDKSGVAKILNFSLSISLPPGELEVIDNGVCGTDGYIAPEYEQQLIITQKTDVYSFGILLFQLLTGKDVYDIMIRVRDIELVPTLNYIDRVKNATNVDRYVREDNVLDIVDLTILEEHGIEIEQPLKDYLDLAKKCTADKGDDRPYMIHVARELCRMEKSFRSCSK >Solyc12g099380.2.1 pep chromosome:SL3.0:12:67528955:67531925:-1 gene:Solyc12g099380.2 transcript:Solyc12g099380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSRSSLVTTCNRAEDALSLLRDNKNNFHLVIIDVHIPDMDGFELLKHIVLEMNLPVVMMSADDSRSVAMKCVIDGAHYCLIKPVSMESLQNIWQHIVRQNKDEWKCKILDQSGDVEQPKEVEYSCVTNEVRLKSSKKKRAEGYEMEERSDTTTLKKARTTWSAELHRKFLEAVDFYGINKVVPKKILERMNVAGLKREQVASHLQKHRLIQRKNQSEQNNACMGDSEATIGTMSNRFQPLAPISQFPAQNLAIWGRPATNSPMFVPLADQRNHFSFENSMLRYQGQQQMNNRNEQTNLLYGIPTAVEQNPSYFGMNMPVGRISQPQLQAHNMLRESNKFLSQNGLVHNPRDSMYNQFPRASSSAIDCSLNQNISFAGSTFPMSGNSATSTTKEDVNSDDIFNELYQENVGSTLDTSYHSSIHHGIWSMEQSGQNVNSNTLIAEAVLCSGQEIGHVNPVPRPYVNAERYPSSSYQSATFPEPSDQDLMSAMLEQQQQESDEPVAYAQFCDDNEDALGNFPT >Solyc10g006970.3.1 pep chromosome:SL3.0:10:1380715:1383237:-1 gene:Solyc10g006970.3 transcript:Solyc10g006970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLETIAIPRASVFSSSSARSVPIADLSVSGGRRSSAVNFSQFSGLKVQLNRSPVSLSSRSESVRRGGRIVCEAQESTTVTAAAVTDKTWKSLVIESKLPVLVEFWAPWCGPCKMIHPIINELAQEYAGKFSFFQLNTDESPSIASEYGIRSIPTVMIFKNGEKKDAVIGAVPKTTLATSIDKFL >Solyc01g079460.2.1 pep chromosome:SL3.0:1:78374442:78375458:-1 gene:Solyc01g079460.2 transcript:Solyc01g079460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAQLLSLATILVLSFIAEKCRQLVGEESASRSRTERFTFINCFDMSYGTIGCVLKELVKIYLHYIRATHVHKVRNEATKEAVQESLSRGLSLEDAVKTGQQVGNAVAKRASLQAKHIMGPMVSSGWDFFETIYVGGTLYEAVIRSVGTLMGSYIGGIIGEGKNRWLGFLMGSQFGSWIGGRLGLILYDVGNGLQFLLQLTKTNKSDGESNSLLLISS >Solyc01g066810.3.1 pep chromosome:SL3.0:1:74803610:74805354:-1 gene:Solyc01g066810.3 transcript:Solyc01g066810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATQTMIFALDDTDHSFYALEWTLDHFFGQFDSLFKLIIVHVKITPTSVVGLAGPGTSDVLAVVENDIKKTAQRVCEKSKQLCEAKGVMSGVEFDVVEGDARNVLCDVVDKHHASVLVMGSHGYGAFKRAVLGSVSDYCSHHARCSVMIVKKPKAKK >Solyc11g008630.2.1 pep chromosome:SL3.0:11:2820376:2823259:1 gene:Solyc11g008630.2 transcript:Solyc11g008630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLYQPLESTIQDLKVTIHNTSLVFPSQETPKKPMFLPNIDQVLNFDVQTLHFFNANPEFPPEIVTERLRIALSRVLVPYDFLAGRLRMNQESKRLEFDCNSDAGAVFMVASSELTLNEIGDLVYPNPGFRQLIVHENIDILEKDDKPLCILQVTSFKCGGFAMGFSTNHITFDGISFKTFLQNLASQAFDDDNNNPKPLAIVPCNDRTLLAARRPPRVTFPHVELLKLDVPIGEELNAKVFETLQEELDFKIFKFNPSDINSLKEKAKDENTPNAKITSFNVVTSYVWRCKALSYDDENNSERVSTVLFAVDIRPRLNPPLPQSYAGNAVLTSYASATCHELEEGPFSKIVDLVSQGGKRMDDEYARSAIDWGEINKGFPNGEFLLSSWWKLGFSQVEYPWGKPKYSCPVVCHRKEIILLFPNIDDGKSNNNDGVNIFVALPPKQMNKFESYFNKFLLD >Solyc06g030540.3.1 pep chromosome:SL3.0:6:19452728:19464366:-1 gene:Solyc06g030540.3 transcript:Solyc06g030540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRGRPRKRLSRMDAATDSMTAFGFDERLVQKTVKQLLKEYGGDVGWAFIEEYGYKELIEAILRDQESNDEDSTKQKGVSSQVERAEDPALQSILGPSGTLVDSTDNKAGITVGETSCSELVNAPAPIYVELCRNKQAICSTVFCPPPVEGDGNSWKDVVEGQISTQKEMVNAVWCDGGNSKNQVQSGSNSHDFASPPTSSPCPVNYLKLCSGSHVQLGNNYPTASLCPVNHVARPVDHHPTALPSSKGFSSLDERAEDPALLSTTCPSGALISTNNESGNTLGETGCSQLADAVEPIHEELCGNKQDIGSTEALCLPPAEGVEQRCKDIEEDQTYYIQKRLANVFSSDGGNSGGRGSNVPPTSVNSVPTPADYIPTTLPSSKRVCLRPPRSFPCYGWIESDSEEDADDFIQLQPMKCVEL >Solyc01g008520.3.1 pep chromosome:SL3.0:1:2555045:2564589:1 gene:Solyc01g008520.3 transcript:Solyc01g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAARKWIEADETAKQFLARVFSERPFLPLPPPLHRIPLRPGNVVEIVGPSPSSKTRILMQAAINCILPKEWKGVNYGGLERLVMFVDLDCRFDVLSLSRLLKQRIIRENGNGVGHKLSKVDNSPSRSKDAHTEYDKELFAVSMRRFLYIRCYDSSEFLATLKTLHFQLQKEKEAHGSGVYLLMIDSIGAFYWMDRASPSIPPGSNNRRSLSLQNVSDIAVQEIQKILVVHPMLVLTTKAASLQDKVASREVIRSTGQLSIESNLDSRIIRSSTNAQLYREYMPSVWQSFVSHRILVRPSDDSEYPKQHIYLSEWLLPSLKLPDKFTINDDGTYIVL >Solyc02g076710.3.1 pep chromosome:SL3.0:2:42408905:42411647:-1 gene:Solyc02g076710.3 transcript:Solyc02g076710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKQSPPLFLFLIPLFLIFGALSSQVSSFTTDFPILERPTEPLSEETVFQLFQEWKQKHGKVYMNKKEEEMKLENFKRNVKYIVEKNSKRKSDSDYLVGLTKFADMSNEEFRQVHTSKIKIPFNKRKTIRMKVAEKETTSFSCDAPPSMDWRKHGAVTKVKDQGQCGACWAFSASGAMEGINAIVAGELISLSEQELIDCDTSNNSGCKGGLMDPAFEWVINNGGIDSAADYAYTAHSQGHCNYNKVNHKVVTIDGYRDVPKEESALLCAAAQQPVSVAIDGSSPDFQLYLGGIYDGECSDDPNKVSHGVVIVGYDSDGYDDYWIIKNSWGTEWGMEGYGYIRRNTNLPYGVCAINSLASYPTKESSPSPYPSPAMPPPPPPSPPPPTPKPSECGDFSYCPGDQTCCCVLEFSGLCLEQGCCPYENGVCCDGSNYCCPADYPICDVYDGLCLKDHGDKIGVEARKRRMAKYNLPWRITEATEEMSQTLKWKRNHVAAMR >Solyc11g006920.2.1 pep chromosome:SL3.0:11:1435253:1438744:1 gene:Solyc11g006920.2 transcript:Solyc11g006920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQVLTLTEAAASRVRQLLEQRQRSFLKLGVKSRGCNGLSYTLNYADDKGKFDELIEDKGVKILIDPKALMHVIGTEMDFVDDKLRSEFIFVNPNATGQCGCGESFMTTTSSEAAKLRGKSS >Solyc01g091550.3.1 pep chromosome:SL3.0:1:85041756:85044839:1 gene:Solyc01g091550.3 transcript:Solyc01g091550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENCSAPTRMENKNSVISEKLSAESISKFNRFLKGMGVFNLNFPPQYDTKDSFSELSRSLLKVQHIHRGKISCIVSVKPPIMNIYGSLHGGAGGDVAVRVATACARTIVGKDKELFLGELSISYLSSAPENAEVIVNASVVRSGRNLTVVALDFRLKDSEKLCYISRATFYHVPVASL >Solyc04g076355.1.1 pep chromosome:SL3.0:4:61347913:61352979:-1 gene:Solyc04g076355.1 transcript:Solyc04g076355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLCLFNFTPNKKDLRGILDRGLAGCFFNPSSSSREIIEAKSFIEILNPEKFFLQLSDPLAHALGIFNQSSLLLFRFGQILSISWSASDFFKPDLGRAAAISSSTLNFISPFFDINLDGLAFRLANEGTFGKLNFGAAGELLEGSFDSFGGPNSA >Solyc08g007850.1.1.1 pep chromosome:SL3.0:8:2365212:2365439:-1 gene:Solyc08g007850.1 transcript:Solyc08g007850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYINDVVWIKIPIDATVALGLTNNPHNKIIISYHHHSKQTLTQLGIAKSNNDFHPLKQSNEIELQVLIYTFDTTM >Solyc10g074580.2.1 pep chromosome:SL3.0:10:58202334:58214827:-1 gene:Solyc10g074580.2 transcript:Solyc10g074580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSGELVPFPLLTTPIESNYRACTIPYRFPSDNPKKPTPTELSWIDLFMNSIPSFRKRAESDDSVPDAPIRAEKFAQRYSAILEDMKKDPESHGGPPDCILLCRLREQVLREVGFRDIFKKVKDEENAKAISLFKDVVSLNDAIEDEAKRVENLVRGIFAGNIFDLGSAKAVIFVDNSGADVILGILPFARELLRHGAQVVLAANDLPSINDVTYPELVEIISKLKDEHGKLIGVDTSNLLVANSGNDLPVIDLTTVSQELAYLASDADLVIVEGMGRGIETNLYARFKCDSLKIGMRSISIPALAPKTTENSASQLQSTSDSVKDSENINLKGWAEFAKNVSGEWDGFGADFSKQGEPIELPESVVPGAYREWEVKVFDWQTQCPTLARDDDAFSFMYKFIRLLPTVGCEADAATRYSIDERNISDANVAAFAYQSTGCYVAAWSNNHDGNYNTAPYLSWELEHCLIDPGDKESRVRIVQVVRLQDSKLVLQNIKVFCEHWYGPFRNGDQLGGCAIQDSAFASTKALDPAEVIGVWEGKHAISSYNNAPEKVIQELVDGSTRKTEIAIACESAAPAQ >Solyc06g054360.1.1 pep chromosome:SL3.0:6:37274190:37276260:1 gene:Solyc06g054360.1 transcript:Solyc06g054360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPATLLSLIIILKKLWQGCSSNRHNFIEELFNFIASKTPSHNLAWDIGTGNGQAAKSLAKLYKDVIATDKSPKQLEFAANVPNVQYICTNPKMSICELEKKVGKKSSVDLVTIAQALHWFDDRLHFYKQVIKKPNGIATWCYKLPNINNSVDSVFNKYYNVQPDWEPTRKSVDDKYKTIDFPFEPICDNNNNVVHLVFQTYLE >Solyc01g017820.2.1 pep chromosome:SL3.0:1:25467408:25467656:1 gene:Solyc01g017820.2 transcript:Solyc01g017820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLNISHCIITEYFPISAPLKILTDLDESLLEKASRLDKFRTQNDEGFLTWYKYADRWKVDDGKSLAI >Solyc01g088630.2.1 pep chromosome:SL3.0:1:83257567:83267098:-1 gene:Solyc01g088630.2 transcript:Solyc01g088630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQVKSPPLPMPFTATVNGGDVPNTAIDNTALINDQTPSPSSSSSSSATSSSFVIVVIVIASAIIVSASIYLILRLLSRRFHRSFRTYATADDVVSHSSSSATAAAAVTGNRLENQRSSEDEKLLESLPLFSFRSVTGNLTGVDCAVCLSKFEPEDQLRLLPLCLHAFHSGCIDAWLVTNQTCPLCRSTVYPTDADVLSKVLAAENNDARPGNNELRNSGSFRIEFGSVSRRRGGSDSLGGDGQRSYSIGSFEYIVDDGYELSVGSIHQRGASECTDKESIGVAIPAPPGESIVSDVSGGGRSWLRDYVDRIASLSLSSRSVSFRSSGRFFSGSSRRSDTVVPIDDLEAGRVGEEISELFRWLSGV >Solyc12g038080.1.1.1 pep chromosome:SL3.0:12:49538735:49538959:1 gene:Solyc12g038080.1 transcript:Solyc12g038080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLYSLRRFYHVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHIAHAGLIVFWAGAMNLFEVEE >Solyc10g006800.3.1 pep chromosome:SL3.0:10:1233682:1240096:-1 gene:Solyc10g006800.3 transcript:Solyc10g006800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHHEHTYDINTEDEDEDEEVLEEDEYSHSECNKTIDHEPCNDGGDSRDDRNNRVGLNMIFSRSKLIDPKAQWVKEWNRVFLLVCAIGLFVDPLFFYTISISESCMCLFVDGWFAVAVTVLRCINDGLHVCNMWLQYKMMIHRRTNNIPYLKANKGFFFDLFVILPLPQLVMWVGIPILLEKGLTTTVMTVLLIIFLFQYLPKIYHSICLLRRMQNLSGYIFGTVWWGIALNIIAYFVASHAVGACWYLLGIQRAAKCLKEQCIVTKGCNLKLLACEESIYYGTSDLVKQKNRLMWGETINARLTCLKNEQNFDYGAYKWTVQLVTNENHIEKILLPIFWGLMTLSTFGNLESTTDWLEVIFIIIVLTSGLILVTMFIGNIKVFLHATTSKKQSMQLNMRNIEWWMRRRRFPRELKQRTRNFQRQKWAAMRGVDECDMIRNIPEGLRRDIKYHLCLDLVKQNICDRVKSLIFTKGETVSREGDPVQRMLFIVRGHLQSSQYLRDGVKSYCMLGPGNFSGDELLSWCLRRPFVERLPPSSSTLVTLETTEAFGLEAEDVKYVTQHFRYTFVDEKVKRSARYYSPGWRTWGAVAIQLAWRRYKHRLTLSSLSFIRPRRPLSRCSSLGENRLRLYTALLTSPKPNLDDFDF >Solyc05g007900.2.1 pep chromosome:SL3.0:5:2345416:2346253:-1 gene:Solyc05g007900.2 transcript:Solyc05g007900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFESESESSLMENLMNSGNRDSSLFLPFILSITNNSISSNPDEESDESTTRSEFSDRIILVNPLTQNMIVMETRSSTTLDSFLNELMSKEGQPPASKASIDALKSVEICEEGEKGECSICLDDWEIGEIAKEIPCIKCLKKMVNRKIEGGGERFG >Solyc09g092250.3.1 pep chromosome:SL3.0:9:71863432:71872307:1 gene:Solyc09g092250.3 transcript:Solyc09g092250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRNNSSAITVTAAVKPIWAKQAEEAKLKSEAEKDAAAKAAFEATFKNVETSATAAAAASDSDLDDEEYEQKRLAAKPIGPVDPIKCTAAGTGIAGGTACAPSTFTVVTKDSDGRKVPHGGAQVKIRVSPGVGVGGSDLEGIVKDMGDGTYTVSYIVQKRGNYMVNVECNGKPIMGSPFPVFFSTGSTTGGLLGIVPSATFPNMVNQNMPNMPNYSGSVSGAVPGLLGMIPGIVPGASGGVVLPGIGASIGEVCREYLYGRCAKSDCKFNHPPHNLLMTALAATTSMGTLSQVPMAPSAAAMAAAQAIVAAQALQAHAAQAQAQSGKDSSGDKDGKAESLKRTLQVSNLSPLLTVDQLKQLFGFCGAIIDCSITESKHFAYIEYSKPEEATAALALNNIEVGGRPLNVEMAKQLPPKAAVLNSSMGSSSLPLMMQQAVAMQQMQFQQALLMQQAMTEQQAANRAATMKTATDLAAARAAEISKMLKANGLVSEDKETDDKAKSPSPSRARSRSRSPSKSRSRSRSPISYRRRRRSRSFSPPRRSRDYRSRSPVRSRRYSGYEDERRSYRDVRYANERSRRRDFVRFYDRRSPVSRRNRSRSTSPRTRKSYHDDSGSPKHRRESPALKTSRASRHESLSPEHRRKSRSSPKDGERKSKYSRRSRSKSAEFRDQSDDKLERSKGEKTRQKDQRRSASPQVDEDAQKKMSPEVVEESKSKQRRASRSRSPEEKHLSSRSREEKRKHHARRRSRSRSKSPEEKVHSSDKLDRNKGDKRKHRSRRHSKSRSRSPEEKQHSSAKLERSRKEKSKSRSKRRSRSRSVDEKQHGTKQSASHSDSRRSKQRARSRSNSSESRHGSGQDETLKDEGRGHRGHQKRSRQDDNNHGTTEISLMEEEGM >Solyc01g056420.1.1 pep chromosome:SL3.0:1:54105428:54106337:-1 gene:Solyc01g056420.1 transcript:Solyc01g056420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRLPIYAKISRLCIPLSPTWCRGGEILWNALREVIMAFSIKLGEGTSSWVESRSMLYGMQLCTKSVVNMIIGETDSILLAKAISGNLSIPSRMYITVKKIQKIIEDHGFTIHHSLREANQPADKLASTSLSTDVNHVSMQ >Solyc01g097425.1.1 pep chromosome:SL3.0:1:88152343:88153342:1 gene:Solyc01g097425.1 transcript:Solyc01g097425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLDPPDPVRCNYSTFDFTSKLVRAHDRIAFSVGPPQISGGPEEYAKVSVTANGKPSGIATTMMVTAAAIIRIKEFIISSAL >Solyc12g098520.2.1 pep chromosome:SL3.0:12:66980364:66984399:-1 gene:Solyc12g098520.2 transcript:Solyc12g098520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVISAAVAAGGGGGPAPFLSKTYEMVDDSQTDDIVSWTPTGHSFVVWNPPEFARILLPTYFKHNNFSSFIRQLNTYGFRKIDPERWEFANEEFLKDQKHLLKNIHRRKPIHSHSHPPGSTVDPERAAFEEEIDKLTREKSGLEANVSRFRQQQSAAKLQLEELTGRVGSIEQRQESLLIFVEKAIQNPDFVERLAQKLESMDISAFSKKRRLPQIDSTQPVQESMSVDNHSSSRVEFGNLSHQDFSNKLRLELSPAVSDINVLSCSTQSSNEDGGSPAHRRISEGWSREVQLRTVGAIYTPEAIELSDTGTSFMLKMDSSLPHASSNAESSRLHSLPQSLTSNEEVDGHISCQLNLSLASCLSQVDKNQYSARMPQIGQEIGKCFESQSDANDKIPPTDDKSLPPSHDATTNKQVPAAAPVRVNDVFWEQFLTERPGCSDNEEASSSYKGNIYDEQDERKSNQGVASNTRKVEHLTL >Solyc07g039381.1.1 pep chromosome:SL3.0:7:47500883:47502342:1 gene:Solyc07g039381.1 transcript:Solyc07g039381.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRIGSGLFLHLSKYARDLLQKAGLEKFTSQPTPMAVSSSTNGADTPFADITHFRSLIGAIQYLVITHPDIPSCQPSCSAHASTKYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNNRKSTSGFLIFLGLNLVSWCTKKQPKVSRSSTEAEYRALAILAKKANKDSELWKQMISARDNVVCRIFGKSMKKTTTFGGIVGVEWETWQILFLTLEDILRILLAIISKMGNGIAPKSEKVSLVLPICQVDIGYQYLRDDACYLDGDFRWQIFK >Solyc02g014030.2.1 pep chromosome:SL3.0:2:15290983:15294372:1 gene:Solyc02g014030.2 transcript:Solyc02g014030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSQLFILLLCIQSIIIFAATNIHENVAISCGASGNYPASDGRLWIGDSTFTSSFLHLTGKSIHSTVPHQAPDPYKSARMSRHQFTYQFSVKPGHKFIRLHFKPALYKGFIKSKPIFTVKTNQHTLLSDFIPTHAAGINYFKKEFCINVKESETLSITFIPSRKSEDTYAFVNAIEIVSMPSGLYFTPDGDQGVPVVGRNYRFYIDNSTALETIQRINVGGNSILSLEDSIMFRDWEDDTNYLIQVGAFSVNRAPKFSTISKHTNAILNGIEVFKISNPDNNLGSVSPVHLVTSSTPEKSEESVLFYTKNQIATVLTFMVTLVNVAVYYIRCISEMNSGKTNNRISSGEHQCRQFSLDEMERSTNNFDPQLIIGSGGYGPVYKGEIDGGETTVAVKRLKRGSSQGEREFWMEINMLSTHRHDNLLSLIGYCIEGHEMLLVYDYMPRGSLADNLYKMDRNCSSLSWERRLKIAMGAARGLDFLHTSQNRVIHRDMKTSNILLDENWESKISDFGLSKMGPGNESATHVSTQVKGTFGYLDPEYFQTNRLTWKTDVYAFGVVLFELLSGRPAVDMSLPEEQHGLVAWAKQCIREGEVNKLIDLNLAGPISSTCLKVFVGTAGKCLDDNPRERHPMSKVVKSLESALVFQQSADAGIIPFDDISTSQSKAEAERSSIKEGCNGIDIAKRSVISRRWWNFLGLFPKTPPKPIALPTSPQVLYCFTLSDILKATKDFHESLKIGFLGSDNAYVGFINGRRVSIRRSHTAESRLHMFSELQAKSEMSTLPSHINMVSSIGFCRNIKEMILVYDYAAGGTLHDFLRNPDRNPLSWKKRLEICIGAAEGLNYLRSILKITVLHCIFNSSYIFLDENLVAKVSEVSWSKIKGISTAGVIHEGGYLDSDYLRDANLTEKSYVYSFGLVLFEMLCTNEALEHWLNQGQVSLAQWIKTCFLTVTSHSIHPCLVGRISPDCFELFLDTAINCLHDEGNKRPSMNDIITNLKEALKLQEAAKIT >Solyc10g047083.1.1 pep chromosome:SL3.0:10:39533967:39534411:-1 gene:Solyc10g047083.1 transcript:Solyc10g047083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSHFIHLQKSHCSSCAYHAARKRTYNWSLKLYNVHRRFLDNFRERTEATPRKKRVASA >Solyc04g024545.1.1 pep chromosome:SL3.0:4:30095110:30097736:-1 gene:Solyc04g024545.1 transcript:Solyc04g024545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGITGQHCAPSKIARKLGYPENERDGWMEVKLESFDSKKDIDGPVEARLFEMIHIYNGGLVVEGVEFRPK >Solyc12g096340.2.1 pep chromosome:SL3.0:12:66282696:66287956:1 gene:Solyc12g096340.2 transcript:Solyc12g096340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRNLLTNRTHVLNGSLTAAFSYGIASMAMVFINKAVIMQYAHSMTLLAVQQVTTALLIHLGRVMGYTKAKDLNAETAKRLFPVSLFYNANVGFALASLKGVNIPMYIAIKRLTPLAVLVAGFFTGKGRPTTQVTLSVLLIAAGVLIAALGDFSFDLFGYSLAFISVFFQTMYLVLVEKSGAEDGLSSIEIMFYNSFLSLPFLLFLIIATGEFPNSLSLLFAKSSSISFLAILILSLVMGIILNYTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTAGGVWYSLAKYQQKKNKLPKIMSDIDSHRK >Solyc10g008220.3.1 pep chromosome:SL3.0:10:2324873:2328255:1 gene:Solyc10g008220.3 transcript:Solyc10g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLQGECTLLREMADAVVEFLLLNLKQLLLYHVDLLSGVKDEVESLHRELSLMKAFLKDSREKRSEYEYVRELVSQITIVAYEAEDIIDTFVTNAAMQKARSTVRRAFHVFDHSSKLRNVAKEIESIKVKVKEIYDKKMFGIQSLHGGESSHRSPPQKRVPMVEEENVVGFDDEARKISSRLTNGSEELEIISIVGMGGLGKTTLAKKAWDDLQMAFPKTATGSRILLTTRNTEVALHANPEGLPHHLRFLTHEESWELLSKKVFRKGICPLELEDIGLQIAKKCYGLPLAIVVVSGLLLKKEKTRDWWKKIANDVSSYVARDPKQCMDVLALSYKHLPDHLKVCFIYFGVFPEDFEIPVWKLLRLWTSEGFVQKMGQECLEDTAEEYLEDLVDRNLVLVAKKRASGRIKTCRIHDMLRDLSVKMGSEEKFLEVFKESAQNHSLSPISKYHRRLCVHSHFLDFITSRPFGPNVRSFLCFASEEMELLREHTSFLHEAFRLVRVLDLKYINFPRFPTEIVQLVHLRYIALSGNFRVLPASISKLWNLETLIVGTKSRELDIQVDIWKMSQFKHLYTSGLSCLRGPPAKTRKDNADPFVRRNIQTISTVLPDCCKENILARTPGLRKLGIRGKLATLVATNGDSSLFDNLAKLDNLETLKLLNDTFPLPPSQCQIPGLPQSYKFPPNLKKLTLSDTFLDWSHISTLGMLPNLEVLKLKDYAFKGTQWEPLDGGFRLLRVLHIGRTNLEHWNASGHHFPRLQQVFLKHCSSLNEIPFGLVEVSSLQNIELFWPTPAAAASARIIQQEKQEGDIKDNVFKLVIYPPDI >Solyc05g050432.1.1 pep chromosome:SL3.0:5:61456799:61458183:-1 gene:Solyc05g050432.1 transcript:Solyc05g050432.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNGLIIIHSVSQSSFSKPRHADNGNDIYLIWSAAHGNLLNCQIDSEEAHIESLMGKSTQHEPWVGYGDSRGVRPKVQPTDPHSWQQNDDDLRF >Solyc01g098410.3.1 pep chromosome:SL3.0:1:88809375:88813347:1 gene:Solyc01g098410.3 transcript:Solyc01g098410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLRRRSITILVLIYFFSNCTTCYSTSCTNGCDLALASFFIWPESNLPLINQLFDNISYSDILEWNTQITSTFILTESRVHVPFRCDCLNNGEFLGHVFSYNVSANETYDLIATRRYSSLTNKELLMRDNRYPDNNIPDHVTLNVTVNCSCGNKHVSKDYGLFITYPMRPGENLSYIALVTNTSSKLIEMYNPMVNFSAGSGLLYIPGRDKLGNYPPISTRKGGLPFPSLYFVLIPPSSLVIALKSSSSGKTIAALAVASLAGVLLLVGIIYVGIYRRKEQKVAANIPVSSGQCYPPSPGTDLVDGASAGLSGIHVDKSVEFSYQELAESTDNFSISNKIGEGGFGAVYYAELRGKKAAIKRMNREGRTEFLAELKILTRVHHLNLVSLIGYCVERSLFLVYEFIENGNLSQHLHGRDVLTWSTRVQIAMDSARGLEYIHEHTVPFYIHRDVKSANILINKNFHAKIGDFGLSKLVESGNPTLNTRFMGTFGYMPPEYGHSGVISRKVDVYAFGVVLYELISSKDAIVKEDGVDEARSLVALFDEAHSHPNQIEAISRLIDPKLCDDYPLDSVYKMAQLAKSCTEKNPEMRPTMKSVVVALMALSSSHA >Solyc05g041310.1.1 pep chromosome:SL3.0:5:52052323:52052583:1 gene:Solyc05g041310.1 transcript:Solyc05g041310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNSISKGLLYSPELREYMLETFVYPREPKLLKEIRLITSNQPR >Solyc02g078720.3.1 pep chromosome:SL3.0:2:43954524:43959296:1 gene:Solyc02g078720.3 transcript:Solyc02g078720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEGWGWPPSPTGSPMYLTKDDHWTHFDNSVNAVSFGFVATAILISMFLIMAIFERFLRPPSPPELNPSGGHRRHADIESQLGIYVSTNAKEVSVLMPGNDIPTFIAHPAPVPCQPERNPWPSHQQNPIHPVS >Solyc02g067090.3.1 pep chromosome:SL3.0:2:37887399:37889886:1 gene:Solyc02g067090.3 transcript:Solyc02g067090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVRLRLTFEDPNILSDLQKSEGLRCSWFLLKPQLLHKTISDLSSHILRTFQLHGSCPHGLLLSMDGFLLPPFESTCILKDKCIVSVKKKGGVLAIEGNDAPSIVENIRIVEKQPVNTRHLLLANKEFDNESGGYESEDEEGADPTKVSGSHLENSLGCNIISEKRKATETLPVSKKKKHCSEVAEKVDEQTKKSQQGLTSKKSHCKQKKLADPDSKDLDNIKGSAESSKVNDSISGMKKEVTRFKRRVWRM >Solyc10g047180.1.1 pep chromosome:SL3.0:10:39928162:39929080:-1 gene:Solyc10g047180.1 transcript:Solyc10g047180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKVVQPDEITSIGARVKDIVCVVTQNKVPEKATKLS >Solyc07g044830.3.1 pep chromosome:SL3.0:7:58003915:58010775:1 gene:Solyc07g044830.3 transcript:Solyc07g044830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWSPFLGIPLIVALLSFLFYKNFPIKSLNSAPQNFPTLFTVEELALYNGSDPKLPIFLGIIGSVFDVSKGKSHYGVGGGYNHFAGRDASRAFVSGNFTGDGLTDSLRDLSSAEVKSIVDWRDFYFRTYTYVGKLVGRYYDSEGNPTKYLKGVEAKAARGAQLMEKQKNEEAKLPSCNSRWSQQEGSEVWCDDGYPRLVQRPTEIALTGKMSKRCACFKEEDLDQPGLEVYKGCDYSAKTCRL >Solyc07g041290.2.1.1 pep chromosome:SL3.0:7:52320730:52321452:1 gene:Solyc07g041290.2 transcript:Solyc07g041290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKYSQSSGHFSSDSSSSAESSMFSSSETESRSINTLPKTTTQVRRPDRVVTFSTETKTPKCEGGGRFMRTKSRALKMVKQPISPGGKIANFLNSIFNSKNIKKNHQEDWSSVRKSRSVNDSTTMTTSSCLNKTPSSTSISNKSKRSVRFCPVTVIVDEDSQPCGHKSIYRNEEPKHQYRGFYQDEDEDDGRSCASSDLFELENISMIGHVHANRDGLPVYGTTSFKMNQAIARGLLI >Solyc12g049170.2.1 pep chromosome:SL3.0:12:61523324:61527875:-1 gene:Solyc12g049170.2 transcript:Solyc12g049170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQLLQFACVLAFFLIATCTMAYSPYNSYESSDSTYNKVPTTVVKSEDFKVPLESEKEYKSSFLPKNNYYKKPSISEDNYKKVSFVPEHESFLPKNDYYKKPLFSEDNYKKESYVPEVPSMAKPEYKESFFPKFDYFKKPSVSEDNYKKTSYVPEVPSMAKPEYKESFFQKFDYFKKPLAPEDKYKKAPYVPKVSTEPKPEYKVPSLPKNDYYKKPTILEDNYKKVPYVPKVPSVPKEEYKAPALPKNDYYKKPSVPEENYKKVPLVPKVPSVPKEEYKVPSLSKKDYYKKPLVSEDNYKKVSYVPKVPSVPKEEYKAPFLSKNDYYKKSSDYYKKSSPSPSPPPPPYY >Solyc11g066650.2.1 pep chromosome:SL3.0:11:52712802:52723721:1 gene:Solyc11g066650.2 transcript:Solyc11g066650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEERNGGERSETSDYTSEDEGTEDYRRGGYHAVRIGDTFKQGRYVVQSKLGWGHFSTVWLAWDTQKSRFVALKVQKSAQHYTEAAMDEITILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLSIHKVKEICFHILVGLDYLHRELSIIHTDLKPENILLLSMIDQSKDPTKSDAPLILPSSKSKFLSESGASKEVKVYNGDLTKNQKKKIRKKAKRAAQRCMDKEASEETEQDNEASSPEKSNSDEKPNKDSSEGKTNAKASENGSTTDGTRDGQQRTQRSKRGSRSMRQKLLTDVDLRCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRELFNRSGDLRHIRRLRFWPMNKVLREKYEFSEQDAHELADFLVPILDFVPEKRPTAAQCLNHPWIAERLRDLSPSKTNSTFQATENGVSEKKREKDEREAMEAGVGNIVIDGTAKLVKVSQSVNLAKES >Solyc02g093830.3.1 pep chromosome:SL3.0:2:55173064:55179178:1 gene:Solyc02g093830.3 transcript:Solyc02g093830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASWCIEKRGSIRNDSFRDNDNIPETGCLSIIVLGASGDLAKKKTFPALFNLYRQGFLQSNEVHIFGYARTKISDDDLRSRIRGYLSRGKENEGEVLEFLQLIKYVSGSYDSGEGFTLLDKAISEHEFSKNSTEGSSRRLFYFALPPSVYPSVCRMIKSYFDFKELPAYCITYVKYPQVTVLADLGGWTRIVVEKPFGKDLASSEQLSSQIGELFDEPQIYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNIQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSQKPEHIRDEKVKVLQSMLPIKDEEVVLGQYEGYKDDPTVPNNSNTPTFATMVLRIHNERWEGVPFIMKAGKALNSRKAEIRVQFKDVPGQKQGRNEFVIRLQPSEAMYMKLTVKKPGLEMSTVQSELDLSYGQRYQGVVIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEVKPIPYKPGSRGPAEADELLQHAGYVQTHGYIWIPPTL >Solyc01g067870.3.1 pep chromosome:SL3.0:1:76661367:76675580:1 gene:Solyc01g067870.3 transcript:Solyc01g067870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFSVAWDDAKNEDYSRKSTWGARNDEDVPRNEENGERNAWGLSNAWDALKNVWGPRNSNVPRKNYYQSTHCPNVEQLIRDITWSKVAQDPTLGAKLLRIHYHDCFVKGCDASILLDKVGSDDSEKEARPNLSLAGFEVIDDIKREVESKCPGIVSCADILALVARDAVSYPFKTSMWEVETGRKDGFVSLASNVNGNLPSPFSDFATLKQIFANKGLNVDDLVALSGAHTIGVAHCGAFSRRLFNFSGKGDMDPSLNATYAKDLKDVCPNPANPATIVEMDPMSSTSFDSNYFNILINQNKGLFQSDAALLNDKDSVIVIKKLQDDNTFFSEFAKSMKKMGAIELLTGNAGEIRKNFVAGARNVLRNNFYKSAPCPNAEQLIRDITWSKAKIDVKLGARLLRLHYHDCFLRGCDESVLLDTEGTEQSEKEAVPNLSLGGFDVIDDIKKQVEAKCPGIVSCADILALSARDAVSFPFKTSMWGAETGRKDGNVSLASEVLIQLVVAHCGTFSKRLFNFSGKGDIDPSLNATYAKDLKDVCPNPANPATIVDMDPLSSTSFDSNYFNILINQNKGLFQSDAAFLNDKDSVIVIKKLQDDNTFFFEFAKSMKKMGAIEVLTGNAGQIRKNCRVKN >Solyc01g107700.3.1 pep chromosome:SL3.0:1:95050180:95055945:1 gene:Solyc01g107700.3 transcript:Solyc01g107700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLESKMRAVCKLLVLTELLFVSTISLAFSSPNDAYPTGYGDEPGSCVGASDDLRPLRREVYEDGQIFDITHQFNPNTPMGDSDEGIGQFLTLLSSMKNGSDYNFSELRLGVHAGTHVDAPGHMYEDYFDAGFDVDSLDLRVLNGPALLVDVPRDKNLTADAMQSLHIPKGVKRVLFRTLNTDSEIVGSFFMRHCFDLCFCLSLLIVNNIGVSPEFTDSSKSSRRLMWKKAFDTSYVGFMKDGAQWLVDNTDIKLVGIDYLSVAAFDDLLPAHHVFLKSREIILVEGLKLDDIEAGLYTVHCLPLRLLGAEGSPIRCILIK >Solyc06g006053.1.1.1 pep chromosome:SL3.0:6:1068196:1068813:-1 gene:Solyc06g006053.1 transcript:Solyc06g006053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSSTLLFFLIIFILLLLHANANNISTDEAALLALKSHISNNIIATNWSSSVPVCSWIGITCSSRHHRVTALDISSMQLHGTIPPSIGNLSFLSSLDISNNTFHGDLPVELARLQRLKLIDVSDNNFTGPIPSFLSLLPNLHSLILLRNQFSGEIPSSLSNLTKLQELVLDRNFLEGEIPREIDDLRYLTILDLQFNHLSGYIP >Solyc10g081050.1.1.1 pep chromosome:SL3.0:10:62357064:62357993:-1 gene:Solyc10g081050.1 transcript:Solyc10g081050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNACVSRFSSIQKTAVPFPGRSYHGIVFLRNFSTLTAPCISPSIPLSYPPPSVVSVACLTQSDAPQRSEEWFALRKDKLTTSTFSTALGLWKGNRRYELWHEKVFAPEVQLLPSPSRSAMDWGVLMEAVAIERYKSITGRDVSSLGFAVHSDERLDWVGASPDGLLGSLPGGGILEVKCPYNKGKPEKGLPWSTMPFYYMPQVQGQMEIMDRDWVDVYCWTPNGSAIFRVYRERSYWELMHGILWEFWWDNVVPAREALIMGNEEGATAYKPTSTHKKTGLVISRSLKLAGESKMLCRDIAGHIEFFR >Solyc08g062543.1.1 pep chromosome:SL3.0:8:51308616:51310420:1 gene:Solyc08g062543.1 transcript:Solyc08g062543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGIEIIRDRERRKLFLSQRSYIQKVLVRFGMSSSKPIDTSSAANIHLTAMFSPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSSKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLLALSAITYEDDVGP >Solyc02g071320.3.1 pep chromosome:SL3.0:2:41359573:41365282:-1 gene:Solyc02g071320.3 transcript:Solyc02g071320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGAKKRKAAKKKKQVQAVEPPSRSTGSFPGEEDLKRDDKESDSEGSSPASQDYQNHQNQFTEGEVEDGEKQLDDSRDRSIEENEFNGVKHEGKEVEIAGNEEGGLVQVERELKVECESESQKISVENAEIMIESNPGGLQRSSSSSSSSSSVEKYDVADKNDVVVDDAPTVELAKGIESLPAVGLVKDNESLPDEQVADILVGTISACDLDKAAISEDIVQVTASASDADNVIASAVESVVEEKGEENLCVVDEKDTASDTVVENWKENLGAIVDKATISEVLMETGSEKRDETATAVSCNASAISSGNTLESTDAKAFAIVENEEKIEAPHSAPKIDASVSADVKESPARECHGHQRYLHDQCKQLPGKVAVACLSCLQDQIDESRIRA >Solyc08g076680.2.1 pep chromosome:SL3.0:8:60730667:60733187:-1 gene:Solyc08g076680.2 transcript:Solyc08g076680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRSKLSIHIREELGSLNRNPTFAFCTCVTDDKCDNAKARGDGSESENEWERLLKPFDFKQLQRSLNKITPYQLNKLLALPLDVPTSMELFQWAGSQTSYCHSFDVYFTLIDKLGAAKEFKIIDRLLLRMKDEGTVFKESLFIMIMRHYGRAGLPGQATRILLDMWNTFSCEPTFKSYNQALDILLAGNCPKVAPNVFYEMLGKGISPSVFTFARVIQALCIVNEVDSACSLLRDMTKHGCVPNSVIYQILIHALSKSNRVNDALKLLEEMFLMGCMPDVNTFNDIIHGLCRADRIHEAAKLVDRMLLRGFTPDAITYGILMHALCRTGRVDEAKVLLNKAPEQNNVLFNTLINGYVTNGRVDEAKTILNENMLIKGYQPDVYTYNILIRGLCKKGILSSAHEAVSEMSSQGIQPNAITYTTLIDGFSKAGRLQEAYDLVTEMSAKSLSLNIMGYNSLISALSKQGMIQQALEIFGDMSNNGCKPDIFTFNALILGFCKIDKMDEALGIYRDMFQEGVIVNTVTYNTLIHAFLRKGKTQEALKLVNDMLFRGCPLDEITYNGLIKALCNDGAVERALGLFEEMMRKGSKPNHVTCNILINGFCRIGKVQNALEFLRDLIQRGLTPDIVTYNSLINGLCNNGRIREAQNLFEKLELEGVCPDTITYNTLISSYCKMRMLDDAYTLFTRGIAVGFIPNSVTWYILVRNFVRKSYESCSFVVRSKEQTTSCDRVSFFFLNACDSCLRCAVIEEFFVILCKESYETLTSVIKKIT >Solyc03g123680.1.1.1 pep chromosome:SL3.0:3:71955919:71956641:-1 gene:Solyc03g123680.1 transcript:Solyc03g123680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGKLGDSGIIAITAKIMMAVVVFLFFTVLLVFFLHIYSKFFTTEDANPNDGTRRRRFDFAGGYQEVNALRRGIDPSILKTIPVIPFDTKDFKDGLECSVCLSQVCEGEKARLLPKCNHGFHVDCIDMWFQSHSTCPLCRNPISDTQSTNSGAISVASTETPNLPTNVLFWGEETTTSSASTSNRPDGVLMIDIPRRNDEEEQKTPTPTQLRSLTRLFSSLNPCSPRNVDVERGSRDLS >Solyc02g032040.1.1.1 pep chromosome:SL3.0:2:27836000:27836575:1 gene:Solyc02g032040.1 transcript:Solyc02g032040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4B5F0] MEKFLLISFLFLAITIILPSTHGLDQSPKGVDKWFKNLPHAKTKMTKLHFYFHDIVTAKSPSAIQIAQANNTFQSPTFFGFVRMMDNSLTVNPEPNSKIIGRAQGIYGSASFEDIGLLMTLNLVFTNGKYNGSTLSILGHNQVFHEYREMPIVGGSGVFRLAKGIATAKTYQVDNTTQNAIVEYHVVVLHY >Solyc05g050560.1.1.1 pep chromosome:SL3.0:5:61560625:61562364:1 gene:Solyc05g050560.1 transcript:Solyc05g050560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLWSDEDKTMVAAVLGTKAFDYLMSSLVSAECSLMAMGSDENLQNMLSDLVERPNASNFSWNYAIFWQISRSKLGELVLGWGDGCCREAREGEESELTRILNIRLADEAQQRMRKRVLQKLHMFFGGTDEDNYVSGLDKVTDTEMFFLASMYFSFPRGQGGPGKCFTAGKHVWLSDVMRSSVDYCSRSFLMKSAGMQTVVLIPTDIGVMELGSVRTIPESLELVHSIKSCFSSFLAQVRAKQAAPLAAVVAEKKNGNNSVFPSSFPFDQSKENPKIFGQNLESGSTEFREKLALRKPVDGPLEMYRNGNRAPIINTQNGVRPVSWASFGNVKPGNSVDLYSPQAPPNNLREFVNGGREELRLNSLQHQKPGGMQIDFTNSRPVVSPVPTVESEHSDVEVSCKEKHAGPADERRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAIAHITDMQKRIRDAEYKLEKRGSTSVDAADINIEAASDEVIVRARCPLGTHPVAKVVEAFKETQVSVVESKLAVGNDTVYHTFVVKSSGPEQLTKEKLMAAFAGESNSL >Solyc01g106585.1.1 pep chromosome:SL3.0:1:94305631:94306156:1 gene:Solyc01g106585.1 transcript:Solyc01g106585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNRLGACCQLILLLVTANIAGFSMSRPKTLESVARNPMSICTKKCAVFIRIDFGKINEFSSPPII >Solyc06g030650.1.1 pep chromosome:SL3.0:6:20390317:20390927:-1 gene:Solyc06g030650.1 transcript:Solyc06g030650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYQNGVLRTEKSDSEDQNLVPRNSVQHLAALDDYSSPNQQSCNSADHVEFEEKLVVDTSKSNDAKFAQKINKIKEKGVVAENSSMRQGDKHTLEKLHDAIQKPKSDVQLENLAIDGQKFDGSKIGDLQPFEPVDLQFEISGRD >Solyc09g061880.2.1 pep chromosome:SL3.0:9:60798510:60799974:-1 gene:Solyc09g061880.2 transcript:Solyc09g061880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYQYQSVEGRQDSIPCLASEEGISEEEGRLGLDRIVRCNSHFIASIYVVQIQVGLVRATAKVTEWVVFFDGDFGGFRWSAGVVLVLLVVVREKKMEQKGCWFIFGGGRLIGKKKEMTMGFWEWLMFTGKKQ >Solyc08g074880.1.1.1 pep chromosome:SL3.0:8:59142539:59143093:1 gene:Solyc08g074880.1 transcript:Solyc08g074880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSARRCDVDTISNNLPRDILDGILGRLPLKDAAKSSILSKDWRYKWATRKELEFDYHFFESFAHFQEAKTIIYQVLLLHKGPILKFRLGGSNLIRFRDIDHWILFLSKKNVEELTIRVRSDNDYHLPSNLFTFEKLRLLEVENCLFHPPPDFKGFKKLVNLGLHCVTFLPDSIKESYLSMPIS >Solyc10g074890.2.1 pep chromosome:SL3.0:10:58650044:58680780:-1 gene:Solyc10g074890.2 transcript:Solyc10g074890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREKEVILLIVVLSTCFSVYGQDMLTDPDEVTALEAIHGSLEDPMGYLRNWEKEKDPCTSWSFVHCLQNETDGYQHVQELRLMNLSLSGTLAPELGQLKHMEILNFMWNRISGSIPKEIGSITALRLLLLSGNQISGSLPEELGYLPNLNKFQLDLNDISGPIPKSFANLPKVAHFHMNNNSISGQIPPELSVLPRLQHFLLDNNNLSGYLPPELALMPNLTILSLRNCNLQGTVPDLSTIPHLLYLDLSRNQLTGNIPSNKLSDNITTMSLNNNRLSGFVPTTIWENKTFSPGAKLRLNFQRNFLSDISGILDPPPNVDIMLYGNPVCGNANERQITQFCKSRDGGEEYGRLNNSIPSCAAQLPCDVDFEHVPALMNDCFCAAPFGVGLRLRSPSISDFPPHYSDFEQWITKSVNLNDYQLHIDSVAWQNGPRLRFFLKFFPPRANDSGTNDFGKFNDSEIVRIANKFAFFNLTGNDIFGPYDLLNFTAMAYNSVLFPPLGEEGSRKNRGTVVGIVLGSIFAAAVLLMAIIFVLFKMRRRPHGTHSDSTFISFVVPKFPMRIEGVKALGFKELEAATNSFSSTAEIGQGGYGKVYKGTLAEGTIVAIKRAQQGSLQGEKEFYTEIELLSRVHHRNLVSLVGYCNEGSEQMLVYEYMPNGSLHDLLSARYGERLSLGTRLYIALGAARGILYLHTEANPPIIHRDIKANNILLDSKFTAKVSDFGISKLAPLPDAETSGHVSTVVKGTPGYLDPEYFFTHKLTEKSDVYSLGIVFLELLTGMRPISQGRNIVREVNAACESGMMSSIIDKGIGPYSSDCVKKFLDLALRCSLDEQKDRPLMLEVVRELEDITYMLPSVFDYNIPPDTDVSTSGMSSSPPTSTYSRHTTTYTTMEGIELVSGVIPTIRPLSALVSIKKGLVDNMNYLEDWEKGDPCTSNWTGIHCFNKVGANGYFHVKELRMMAMNLSGSLTPELGQLSQLHFLLLTGNKLSGSLSDKLGYLPNLRIFQIDENQISGRIPKSFSNLNSVQHIHFNNNTLIGQIPPELSNLSTLLHMLQGNPVCRNVNVRNIVKFCGSEAGAEHKKNNSVIVTGACPIAACPKDNYYEYVPNSPVPCTCASPLIVGWRLKSPSFSYFDPYVRHFEQYMTRDLRLDLYQMLIESNYWEEGPRLRMQLKLFPVVGVSTFNKSEVIRISDILQYWEISVVDLFGPYELLSFTLEGPYSYLNPDIQAKHKNKGVFAAFVSAILTVLIKKRHTKYQSILSRKRLKIDEHKMFNFTMPAAKLSIKLDGLRSFTFREMTLATNNFNHSNQVGEGGYGAVFKGILADKTIVAIKRAKEGSVQGQKEFLTEISLLSRVHHRNLVSLLGYCDEEGEQMLVYEFMCNGTLRNWLSANCKGALSFATRLEIVLGAAKGILYLHTEAHPPIFHRDIKASNILLDSKMTAKVADFGLSRLAPVQDDEGVLPNHVSTIVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLEILTGMRPISHGKNIVREVNLAHGSEKMFSVMDSTMGSYPSECVEKFVALALKCCEDKPEDRPSMLDVVRELETIQSILNMMPDIDADSVDSKAKFNEPKTSSSFSDCTSKDAFLSSSNVSGAYSISGVSLTMPR >Solyc08g065620.1.1.1 pep chromosome:SL3.0:8:53847778:53848269:1 gene:Solyc08g065620.1 transcript:Solyc08g065620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPKTGAGVLDLFCFDRGTITVSGITIIRSIALILPSLTQKRESTPQTKDECQREDAQAMERSEHGNRSDTNTDYLFQLLCFMKLHTYTRVQVSIDICGVDYPSRKQKFEVVYNLLSIRYNSRIRIQTSADEVTRISPVVSLFQSAGRWEREVWDMFGVSSH >Solyc07g055480.2.1 pep chromosome:SL3.0:7:63625509:63628329:1 gene:Solyc07g055480.2 transcript:Solyc07g055480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPYNRIIEAICVVTLLVYTWRVLNWAWFRPKKLEKYLRKSGLKGNPYKLFYGDLNEITNKVNEARSKPINFSDDIAQRLIPFFLDSINKNGKRSFVWLGPNPAVLITDPEHVKEIFTKNYAYQKIPHPNPFANSFAKGLAFLEEDKWTKHRKIINPAFHFEKFKHMLPAFYQSCSEMISKWKEINPKGTSVELDVWPDIQLMTGEVISRTSFGSCYEEGRKVFELQREQAEYVMDMTHSVYIPGSRFLPTKRNKRMLEIEKQIQTTIRHIIDKRLRAMEAGEASKNDLLGILLESNMKEIEQHGNKDFGMTTTELIEECKLFYFAGQEGNSVLLVWTMILLCLHPEWQVRAREEVLQVLGNERPNLEGLNRLHIVTMILNETLRVFPPVAMFRRRIKDEVKLGELSLPAGVLLFIPTVLIHYDKKLWGEDANEFKPERFSEGVSKATKGQASFFPFGRGPRICIGLNFAMMEAKMALAMILQNFSFELSPSYAHAPFAVVSTQPQYGAPLLMRKL >Solyc04g078480.3.1 pep chromosome:SL3.0:4:63298572:63305329:-1 gene:Solyc04g078480.3 transcript:Solyc04g078480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLLLRGLPLLRLRFCYQRLPNPSFVQLSSRLFSAASESAQPFDDAASDGDQTTAVLSAKDPPNYPRWSDPDYRKWKDQETQILKDIEPVIFLAKEIIHSDRYMDGECLTAEDEKIVVDKLLAYHPHSEDKIGCGLDSIMVDRHPQFKRSRCLFVVRLDGGWIDFSYQKCLRQYIRDKYPSYAEKFIKEHFKRGS >Solyc10g078230.2.1 pep chromosome:SL3.0:10:60211217:60212320:-1 gene:Solyc10g078230.2 transcript:Solyc10g078230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGYLGSVAFNNITRLTFGKRFINSQGEVDEQGKEMKSIVTNGIKISGKPNLGEFVPWLRWVFKDDNEALEAQDKHLDEFTRIIMKEHTIAREKSGETKHHFVDALLTLQKEYDLSDDSIISLFWDMIAAGMDTVAITVEWAMAELVRNPRVQQKVQEELDKVIGSNRIINETDISNLSYLQHVVKESLRMHPPTPLMLPHMASNNVKVGGYNIPKGSIVHVNVWALGRDPNTWKEPLQFRPERFVEEDVDMKGHDYKLLPFGAGRRICPGMNLAINLVTSMLAHLLHHFVWSLPNGVKVEDIDMMESPGTVTYMQTPLHVVPTPRLPRNLYNTCSMNM >Solyc08g007160.3.1 pep chromosome:SL3.0:8:1710698:1715624:-1 gene:Solyc08g007160.3 transcript:Solyc08g007160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSSKVARGHQFPGYEDPIFLASKTAFTVSEVQALFEMFKTISSTVIDDGLISKEEFQLALFENRKKEDLLANRIFDLFDLKQRGVVDFEDFVLAMNVFHPNASQEDKLTFSFKLYDLDGSGYIERQEVKQMLIALLRESEMKLADETIEIILDKTFSEADSNQDGKIDKSEWRSFVDRNPSLLKIMTLPYLRDVTTTFPSFVFHSEVDEGAT >Solyc12g056150.2.1 pep chromosome:SL3.0:12:63059060:63062926:1 gene:Solyc12g056150.2 transcript:Solyc12g056150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGSTNLEPNKLEMYEFGPFEEDEGFQIGFLIGQKFSKLIQNRLSTDLILQNQLLPFAQLPNSQTLIHTLSQTNKNKFPNYWNELKGIAQGSGVPFLNILLLNFRKEILPFIPKTEECPKEEDINDDCSDILVVSNSMAMAVHNEDANVALVGHTYLVKVTLSNGTTFTAYTYAGELPSCAFGFNNHGVAFTLNSVPPCEEEIVGGAIGRNFVSRDLLEATSIEDALARIHSSEVSVGHSYNLIDTRTRRILNVETASRNRISVREIGETPFFHANMYLHLQVKQAQDENSLSRQTRASSLAKESKSDFLALVGDMNNEKYPIYMTGPLLYTLCTAVIDLDEKILSIIEGNPKEKQESYVFSLS >Solyc11g012910.2.1 pep chromosome:SL3.0:11:5699115:5705145:1 gene:Solyc11g012910.2 transcript:Solyc11g012910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-glutamylcyclotransferase [Source:UniProtKB/TrEMBL;Acc:K4D695] MVMWVFGYGSLIWKPGFNYDDRLFGFIKGYRRVFYQGSTDHRGTPEFPGRTVTLEPAEGEICWGMAYKITKEEDQEVALTYLEVREKQYDQKAYVDLFTEPAASAPAVSDVLVYIGSPDKKLNENYLGPASVEEIASQIARAEGPSGPNKDYLFQLENALGLLGCEDKHVIDIAKEVRRILSEDEVTIS >Solyc05g019985.1.1 pep chromosome:SL3.0:5:25466396:25467870:-1 gene:Solyc05g019985.1 transcript:Solyc05g019985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEDHSFSNCSVENEEQTPLLENNPENKIVISKIDIKFTSNIDNIMIQFMSNKKMNLKSLPSGDMLIIYVISLKNHATNFGEYSVDGCREFMEKGDDGTKEEYICANCGRFRSFHGMNSQSFYIHGILRSRFFYPHVNPHGGGNVPIIFNPC >Solyc05g006603.1.1 pep chromosome:SL3.0:5:1236275:1237342:1 gene:Solyc05g006603.1 transcript:Solyc05g006603.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFFVDVRQDLVYAYGCPSRPLRPIWKVKRAPKRAYPSFRRFSCSIAHDFLGDPYSDVKNAKFFRGRLSRPCLCIRLAITACPTHLEAHNFLGDRDSDVKNAKFFRGRPSRPFLCIRFAITACPIHLADQTIPEVSIPLISTIFDYDVKNAKFFRGRPSRPCVCIRLAITACPTHLEAHHFLVDPDFDVKNANFFRGRLSRPCLCIRLAITACPTHFEGQTSPEASIPLISMIFMCYSTPSFG >Solyc12g096560.2.1 pep chromosome:SL3.0:12:66404402:66409599:1 gene:Solyc12g096560.2 transcript:Solyc12g096560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTMKRNNRQYGGESFTDKIQRYKGVILVICVPLFLVSLVLYVMPTRYPSDSMESLNRKFSPNLGSMKYAVIFDAGSSGSRVHVFCFDENLDLVPIGNELELFVQKKPGLSSFASDPVAAADSLLPLLKDAENVVPRNLRSNTPVRVGATAGLRQLEGDASDRILQAVRDFLKSKSSFKAKADAVTVLDGNQEGAYQWVSINYLLGKLGKKYSDTVGVVDLGGGSVQMAYAISESDAQKAPKVSDGEDTYVQEMFLKGTKYYLYVHSYLHYGLLAARAEILKVSGESGSPCILGGHHGTYKYGGAVYPASATSQGSSMSTCREVALKALKINEPACTHMKCTFGGVWNGGGGDGQKNMFVASFFFDRAAEAGIINPSLAVAKVRPADYESAANRACATGLEGAKSEFPRVEADNLPYLCMDLVYQYTLLVDGFGLEPHQEMTLVKKVEYKNSFVEAAWPLGSAIEVASSLS >Solyc01g007750.3.1 pep chromosome:SL3.0:1:1846891:1850407:1 gene:Solyc01g007750.3 transcript:Solyc01g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETLHFSSSSSFSLPKSSISPPKSFKSSTISYPQHPKPPTLISTTPPTPTPQNFHLQEKLLFLDSLGVDSLHCLSSQPLIVSSSLSDLKSVIDFLYSVNLTILDVRRVLHMCPDILTAGISTTLRPAVTFLLREALVTGEKLPGVLRRRPRLLTKCVEKNLRPTLYFLQSTIGIEDVSKCATLLSCSVETKFMPRLDYLQRIGFSRRDAKVMFRRFPSLFCYSIEENLEPKFDYFVVEMGRELKELIVFPQYFSFSLENRIKPRHKMCVEKGVCLSLPVMLKSHESRFRDRLEVCCSSSMPVAECGVQTILLSRVY >Solyc11g065400.2.1 pep chromosome:SL3.0:11:51135050:51144276:1 gene:Solyc11g065400.2 transcript:Solyc11g065400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARVVLVSCVFLYLASIFLFKDPNCSSSELLHYSSTPKFSDDSSPTNLRHLVFGLLGSEEAWHFRKDYIESWWRPNKTRGYLFLDVAPKGDDLLPWSSSSPPYRVSDNITQLLEESKHVAPVMARMVHGIMEVFREDHEGVRWLVMGDDDSIFFVDNMVDVLSRYDHTKYYYLGAQSEFIMSNHWYSFNQAFGGAGFILSYPLAKAMSKYIETCLRKYPFLRSADQITMVCISDVGVIFTPLKGSHQIDLRGDISGLLSSHPKAPLMSLHHLDATDSIFPSIERRQSVRHLMKAANLDQSRMLQQVICYNRPTNWSFSISWGYSLHIYENILPRSHLQLPIETFQPWGVTPKDPPYYMLNTRSRTNDSCQAPHIFFMKNVEKTKTSSEILTTYSRSSPRELLSCSYTGSEEAWHHRKPYIESWWRPNITKGYLLLDVPPQGDDLLPWSLNSPPYRVSDDVSPLVNETNHVDPRVLRMVHGIMEVVREAHEGVRWVVMGDDDSIFFVDNMVDILAQYDHTQYYYFGGHSEFIMANYFFSFHQAFGGAGIILSYPLARAFANNVISCLKRYAYFRSADRTTMSCTADIGVNLSPLRGSHQIDLRGDLSGFLSSHPKSLLVSLHHFDTIDPIFPSMDRAQSGYHLLNAAKFDQSRMLQQTICYKRSNNWTFSISWGYSANIYENMMPRSWIQRPIETFNPWGNITLPPHYNFDTRNFSWDPCETPHMYFFQSIEITPRNKILTKYIRAWPRGIGVCLNPGSYPAEYVNEIHVYSPTTKRLKINRCECCDVIHKAGSFKAAVRYRECKLDEIIA >Solyc08g041780.3.1 pep chromosome:SL3.0:8:25846843:25863013:1 gene:Solyc08g041780.3 transcript:Solyc08g041780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHASSSSSSSQLQPSLDDCLKLFRGERDEQRLAGLLVVTKFCNKDDHTAIRKIYDALGPQFLHRLLRTGIGKGSDVGSSDNRDAYLQLSITVLAAFCRVPQIAASEEMINKIPLILEAISREAGSPIIEDCYEYLFLVSTASEEGVQTLYKSGGLNVLASQMMILPDGSHMIELALRLVQIIVIKLPSENVYSEHPTDLSLVVCAVAKQFAVLQNALKFEALHLLSTILSNRYSAPVYDALRLVENDVWSTNLWIGILAILQNRVAPSHKLHALVLTECVISIVGERWLNGEMNLTGSQVSLPADRCILLILESSRVEIAVLLNDLAYLKYEASNASSNRENILVKQRNLGVAFSLVEKIIKLISSFGGEESTANAIISESTFTKIISGLNETIGVVLDYIRDAKEHGQMKGDDLLAAVRVIGSPFYSICFLLPMLCQITLETGGCKILASSGAFREVVGYLIALIDQNNFTSEDNGSIFLACDTILNLLLKQEQIKFPSDDPSFIRLLVALSRWAEGMDDASIIMMASSICSLILDLTSEEALLNHPDFISGDVGNLSKLIRRSFVMCGQDLISDDAKAEVDLFQIITAAYSSWADRFPSIKQAVESSGPFGFRCVS >Solyc06g082170.2.1 pep chromosome:SL3.0:6:48123761:48129030:-1 gene:Solyc06g082170.2 transcript:Solyc06g082170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRTKSKIWIHNNDSPSFSCSSFKDINTLFSDDSDYNSPVSTTKKSNIFHRVLRVNAVLRAFSTRPAPEPEPESTTPKSIQIPAAEKKIVIYFTSLRVIRSTFDDCKAVRSILSSFRVSVDERDVSMDAGFMEELKTILGTRVKTKLTLPRVFIGGSYVGGATEIIQLHEAGELKKYVEGLTPAEFSTCQVCGGNRFVLCEECSGSQKCYSEKSGFRTCTVCNENGLISWAFPPEGCIKINTDGSFMPDSGLAGFGGVARDDLGRWLGGFYGRLGMRVTSSLTAELWAIHGGLILAKKYNLKKVIIETDSSEALKWICRMGNVSKVHPEKNVVIEECKSLISELGIVLIRTLRQGNNCADHLAKLRRIQQEDMVIIDRPPHSVQLLLRADMNHVAYPRYPKHGSSSCKSFVKI >Solyc05g018360.1.1 pep chromosome:SL3.0:5:20607023:20607914:-1 gene:Solyc05g018360.1 transcript:Solyc05g018360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVMTGKILVLSSKLAYEVTNEHIINMSSWIDLEMRLHKNKIIDKYVGQGYDNGTNMKGNHQGVQKRLFDVNPRAFYTPCVCHNLNLVLCDMNCTKVVSSFGVIQRIYTLFGFLPSGGKL >Solyc12g027750.2.1 pep chromosome:SL3.0:12:25992418:25992785:-1 gene:Solyc12g027750.2 transcript:Solyc12g027750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKAAAYYDELTRKGEGAARFKQGLGFSSTSNDAVPIRGSALGFHKSKSRKTFQPEKPESKPQVKATESKPEQR >Solyc11g007020.2.1 pep chromosome:SL3.0:11:1509821:1516539:1 gene:Solyc11g007020.2 transcript:Solyc11g007020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diphosphomevalonate decarboxylase [Source:UniProtKB/TrEMBL;Acc:A8WBX7] MAAEQSKKWILMVTAQTPTNIAVIKYWGKRDENLILAINDSISVTLDPAHLCTTTTVAVSPSFQQDRMWLNKKEISLDGARYQNCLREIRARANDYEDEKKGIKISKNDWQNLHVHIDSYNNFPTAAGLASSAAGFACLVFSLAKLMNVQEDNGRLSAIARQGSGSACRSLFGGFVKWVMGKEEDGSDSIAVPLADEKHWDELVIIIAVVSSRQKETSSTSGMRETVVTSALIDHRAKEVVPKRIVQMEEAIQNRDFPTFAQLTCSDSNQFHAVCMDTSPPIFYMNDTSHRVISCVEKWNRAEGTPQVAYTFDAGPNAVLIARNRKAATLMLQRLLFHFPPNSDTDLDSYVIGDKSILKDAGIKDLNDIEALPPPPEIKDKVPAQKCKGEISYFICTRPGRGPVLLPDESQALLCLETGLPK >Solyc06g050300.2.1 pep chromosome:SL3.0:6:32959960:32962314:-1 gene:Solyc06g050300.2 transcript:Solyc06g050300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNGNVVMQKYELGRLLGQGNFGKVYYGRDLESGQTVAIKVIDKEKVQKAELTEQTKREISVMAMVKHRHVVQLYEVMATKSKIYFVIEHAKGGELFNKLTKGRLTEDVARKLFQQLINAVEFCHSRGVYHRDLKPENLLLDENGNLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVIGRKGYEGAKADIWSCGVILFVLLAGYLPFYDLNLMNLYRKICRAEYKCPNWFPLEVRKLLSRIFDPNPRKRISIAKIKESSWFKKGLESRHVGTKQVVNQNVIADGNAVSSSNSENSTSSSDTKLELIKPAIFSAFNILCQFNLSGLFINNDQKEELLFTSVEPVPVIISKLVEVGRSLNLEVKKKEGGFLILEGLNESRYETLCIVN >Solyc01g006970.3.1 pep chromosome:SL3.0:1:1541026:1550378:1 gene:Solyc01g006970.3 transcript:Solyc01g006970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMNENEWWGKMEQFKRGGEQEMVIRRNFSRDDQQKLSDMAYQLELYFHAYNKGKALVASKVPLPSYRADLDERHGSTQKEIRMSTEIEERVGNLLSSSQDAVSAGTSSSTSGTSAKLLSKAVETTKPKLSIEDDIATKRLNVELKQKQEKTRGSEKVKEMISFREKLPAFKVKSEFMEAVANNQVLVVSGETGCGKTTQLPQFILEEEISSLRGVDCNIICTQPRRISAISVAARISSERGDSLGDTVGYQIRLEAKRSAQTRLLFCTTGVLLRRLVQDPDLTGVSHLLVDEIHERGMNEDFLLIILRDLLPRRPDLRLILMSATINAELFSKYFRDAPTIHIPGLTYPVAELFLEDVLEKTRYLIKSEADNFQGNSRRRMRQQDSKRDPLTDLFEDVDIGSHYKGYSMTTRQSLEAWSGSLLDLGLVEASIEYICRCEGEGAILVFLSGWDEISKLLDKIKANNFLGDARKFLVLPLHGSMPTVNQREIFDRPPANTRKIVLATNIAESSITIDDVVYVIDCGKAKETSYDALNKLACLLPSWISKASAHQRRGRAGRVQPGVCYRLYPKLIHDAMAQYQLPEILRTPLQELCLHIKSLQFGAIESFLAKALQPPDALSVHNAIELLKTIGALDDTEELTPLGRHLCTLPLDPNIGKMLLMGSIFQCLNPALTIAAALAHRDPFVLPINRKEEADAAKRSFAGDSCSDHIALLKAFEGWKDAKRYGKERTFCWENFLSPVTLQMMEDMRNQFIDLLSDIGFVDKSRGAKAYNEYSNDLEMVCAILCAGLYPNVVQCKRRGKRTAFYTKEVGKVDIHPASVNASVHLFPLPYLVYSEKVKTSSIYIRDSTNISDYSLLMFGGNLTPSKSGDGIEMLGGYLHFSASKSVLDLIKKLRVELDKILKRKIEEPHFDVSVEGKGVVAAVVELLHSQDIRY >Solyc10g077005.1.1 pep chromosome:SL3.0:10:60032156:60033079:1 gene:Solyc10g077005.1 transcript:Solyc10g077005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIPQYLDSGRWDRTQLSCAEHQDMITEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRLRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Solyc06g050920.3.1 pep chromosome:SL3.0:6:33881622:33884568:-1 gene:Solyc06g050920.3 transcript:Solyc06g050920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPSSSSIVRKNRLSPFLFTLLAFIVFVTILYSEDFSCIFSQLEYSSLSAPPNSISRINKNKKLPFAIGETEDGCDVFSGRWVHDDSRPLYEEEECPYIQPQLTCQKQGRPDKDYRQWRWQPHGCSLPSFNATLMLETLRGKRMLYVGDSLNRGQYVSMVCLLHRLIPENAKSMETFGSLTVFTAKDYNATIEFYWAPFLLESNSDDAVVHRISDRVVRKGSINKHGKNWKGADIVVFNTYLWWMTGLEFKILQGSFDDEVKDIAMVSTEDAYRMGMKSMLRWVKKNMDPKKTRVFFTSMSPSHQKSIDWGGEPNKNCYNETRMIEDESYWGSDSRKSIMEVIGQVFRRSKVPITFLNITQLSSYRKDAHTSIYKKQWSPLTAEQLANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >Solyc03g116200.2.1.1 pep chromosome:SL3.0:3:67187102:67187254:-1 gene:Solyc03g116200.2 transcript:Solyc03g116200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETLIISVLCVVTLQYLFLVSADRLSLPHNETFGMPLSSPPPHEPSPPPP >Solyc09g057760.3.1.1 pep chromosome:SL3.0:9:50724546:50726831:1 gene:Solyc09g057760.3 transcript:Solyc09g057760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSFLVCRMVLQNTLVSMYDSRQVIEDVTIPVLYNIELDLFQNSLSTVVTDALELFSFLNYNKSILRQSVMKYLRDFGYNAAICKTKWGSSGGVTSGNYEFIDVIRSDSSNQMINHYIIDLDFAAEFEIARPTNYYKRMLQSLRKAKVKK >Solyc08g061690.2.1 pep chromosome:SL3.0:8:49484587:49487006:1 gene:Solyc08g061690.2 transcript:Solyc08g061690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPDSDFTAEDFCLQVVVYIEKLLKTQRLQIVIGGSNSSCVHVKYKYDNCFILIDVEQSVLNRIVDMRVDQMVKAGLVGEGRYIFIPDVDYTKGIRRSNGVPEMERYLREETNIDRDDESKQMILQASISSIKHSARMLICNQHDKIQRLLSKKMWLVHHIIATDIFKEDKEEDLDEAWMNTVLQPCLHIVKLNQIQTSQLKIFVCKLSSI >Solyc04g058045.1.1 pep chromosome:SL3.0:4:55104489:55107267:-1 gene:Solyc04g058045.1 transcript:Solyc04g058045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELRYFLGIEFARSQEGIVMHQRKYSLEIISEAGLGAAKPASTPIDPYVQLTTKEYDETNGTGKEDKLLEDPTAYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNAALKVVKYVKGQAGLGVLLSSKNNKQLKVYCDSDWGACLHTRRSVTGFIVKLGDSLISWKSKKQGTICRSSVEAEYRSMASAVAEVVWITKLFKELGADVITHSSKFSNLIPQARRSIEEVASMSKREWSVVASMSKREWSVGLK >Solyc09g014710.3.1 pep chromosome:SL3.0:9:6770295:6775194:1 gene:Solyc09g014710.3 transcript:Solyc09g014710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCIRCPKKCGNLTVPYPFGIGLGSGCALDPNFEINCDTDTIDSPTPFIGNIRVYDISDAEMRVSTNINQRCYSSTGRLLRNDPSWMNLGTSSPYSFSTLNRFTVVGCDEVAIIFGGGFANGCPAICINTSQVTEGSCMGTGCCQITIPKGLRIFNTTMQSSPQNHTGVWSFNPCGYSFLGEGSRFEFKGLQDLRDLNFKKRILDNVPIVLDWAIGTLSCVEARKSNDYTCLNNSQCVDSNTGLGGYRCACNPGYEGNPYIGPGCQDIDECLNPNTNLCEQICINLPGSYNCSCPQGYRGDGRKNGRGCIAPNSNSEFPWIKFSIGMGVGFMSLVVGTTWLYFSIKKRKLIKLREKFFQQNGGLLLKQRISSNEGGVGATKVFTAEELKKATNNYASDRILGRGGNGIVYKGILPDNRIVAIKKSKFVDEDQIEQFINEVLILTQVNHRNVVRLFGCCLEAEVPLLVYEYVSNGTLYEHIHNQNGAPWLSWKNRLRVASETASALAYLHSSAQMPIIHRDVKSANLLLDDVYTAKVADFGASRLIPLDQTHIATLVQGTLGYLDPEYFRLSKLTEKSDVYSFGVVLAELLTGIKPISRDKNNKDKNLAECFILSMRKNQLFQILDRRVVKEGSLEQLQKVAELVKNCLRLHGEHRPTMKEVAMKLESLRKFTKNNPWANGHGPEENEDESTDLYTIPIDSNTGINKFSGQNSSISNTNTSMFSSLIYTKTNIPR >Solyc01g010420.3.1.1 pep chromosome:SL3.0:1:5278737:5279462:1 gene:Solyc01g010420.3 transcript:Solyc01g010420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFHKAKVVTLKSHIDKYLVADDDQETACQSRHNDSSSRKSWWLVELVSGTSHLIRLKSCYSGKYLMASEEPFLLGMTGNKVIQNYSNNDLRIEWEPIRDGFQVKLKAFGGTFLRANGGMPPWTNSVTHDSPYTGSTHNWILWNVEIVDVSGNEISRIGYSSVSSVLSISDEVFGLEMRSRAMSIRSSFSSSPKISM >Solyc01g067990.1.1 pep chromosome:SL3.0:1:76848921:76849819:1 gene:Solyc01g067990.1 transcript:Solyc01g067990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIVFSQYYEYLPYKRRQKENVASVLHMGETGRAIISKKEARAFNQDSLSITPLINPSLTAFGTLDGVVNHDTGNIFSYIPLFGVTTSILGLCWLNKNPSKILALQYQGFCFDSIFPLESPILVQSLTAVSFRKLT >Solyc07g043510.3.1 pep chromosome:SL3.0:7:57495159:57501505:1 gene:Solyc07g043510.3 transcript:Solyc07g043510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEYYGNSRASSSSASSLNSNSQQGTEDDHAIATILAEEEENARKYGGKLGKRLSHLDSIPHTPRVIGEIPDPNDATLDHGRLSSRLATYGLFEMQIEGDGNCQFRALSDQLYHNPEYHKHVRKEVVKQLKQFRKLYEGYVPMKYKRYLKKMKRSGEWGDHVTLQAAADRFGVKICLVTSFRDNGYIDILPKDIQPSRELWLSFWSEVHYNSLYEIGEAPVRVPRKKHWLFF >Solyc01g100750.2.1.1 pep chromosome:SL3.0:1:90586535:90587280:1 gene:Solyc01g100750.2 transcript:Solyc01g100750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVTEEMRASATEFYTGNDICQEKSKLLLTEVGLPNGLLPMQDMLECGYVKDTGFVWLKSKKKTEHKFEKIGKSVQYATEVTAYVEPNKIKKLTGVKAKELLMWLTLNEICVDEPSTGKIHFKTPTGLSRTFPVAAFELNEPKKEVKEVSDVVAPAVEVKEV >Solyc01g017210.1.1.1 pep chromosome:SL3.0:1:23809749:23809922:-1 gene:Solyc01g017210.1 transcript:Solyc01g017210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-ubiquinone oxidoreductase chain 3 [Source:UniProtKB/TrEMBL;Acc:K4AUQ2] MFALFFVVFDVETVFLYPWEMSSDVLSVSVYINASIFVLMLIISSVYAWRKGALEWS >Solyc05g014160.3.1 pep chromosome:SL3.0:5:7896634:7901964:-1 gene:Solyc05g014160.3 transcript:Solyc05g014160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYLIKKPLNDRCHMGTLWIIIVMGLHALYVVALIAFAGAVHHVEFRFHELKDLWRGILVSSASIGVQEDAMKDPDTPHDVKLAAKDYSSSTLMHTHPAYKKIKIFLLRHFPRIFCVDTSLFSLYQGIWVAAYVMNEVREDISSLEIASRFLLLVMTSVLVLAFFSFSISQPLVSVMSLRKKNQKEYKTMSQALGIPDSGILLQRESTSILDPNEPLEKLLLNRRFRQSFMEFADSCLAGESVHFYDEVQHFDKIPIQDSVRRIYMARHIIEKYIAAGAPMEVNISHRIRQEILNTNDLSHTDLFKNALGELMQLMKLVGLLHSEPLLA >Solyc08g067060.2.1.1 pep chromosome:SL3.0:8:56040302:56045825:-1 gene:Solyc08g067060.2 transcript:Solyc08g067060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALLPSSSQIPPWLILYCRRHLCISSTSSSLTIQQPKTPPPPPPDSTTNSLDTDHSCGRPNSEDVKFTKNHVVDVLLSHRDDPDSAYRYFQTARLQRGFLHSKSDPFFVLLHILVNSAMHQHKSRRLLDYYASSDSGPSATVVFNGLVKCGKTFDFGLNPKIFNFLVSSCMKANRLNDAIDCFNAMLEHDIMLWIPIMNSLLKKLVRQGMVGVAEDLYTDIVSRGTHYDCGTVHILMEACLREGKMKEAVKLLEETKMSGIKFDAGLYSCGVYVACKEQNLSLALKLLEEMKCGGWVPSEGTYTNIILACVKQGNMVKALRLKDEMLSNGHLMNLVVATSLMKGYHLQGNLSSALDLFDKLVEYGLTPNKATYAVLIEGCCKNGDVEKALLVYRKMKLAGIKSNAYIENSLIKGFLNVDLLDEAMNVFDGAINSGTANVFVYNSIIAWLCKKGQMDKAQNTWDKMVANGILPTIISYNNIILGNCRNGNMDKALDFFSQLPERHLKANVVTYSILIDGYFRKGDADKAENMFDQMVSSGISPTDYTFNTVISGMSKVGKTSEAKDLLKRIVEGGDLLPTCMSYNSLIDGFLKEDDVSSALSVYREMCNSGISPDVVTYTTLIDGLCKSNNINLALKLLKEMRNKEIKLDVIAYAVLIDGFCKRRDMKSASELFDEILQVGISPNLFVYNSMMSGFINVNNMEAALVLRDKMINEGVPCDLKTYTTLIDGLLKDGKIDLASHLFTEMLGKGIMPDDITYTVLVHGLSNKGQVENAHKILEEMYKKSMTPSVLIYNTLIAGYFKEGNLQEAFRLHDEMLDKGLKPDDATYDILISGKLKDNSFGRGSSMPQ >Solyc04g047700.1.1 pep chromosome:SL3.0:4:35852174:35852580:-1 gene:Solyc04g047700.1 transcript:Solyc04g047700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METAAQALDRMNLKEVNTTHLKDLSETKSMTI >Solyc07g041720.1.1.1 pep chromosome:SL3.0:7:54164774:54165409:1 gene:Solyc07g041720.1 transcript:Solyc07g041720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQALFLFSLVIISSSQAAVLDFCVGDLSLPDGPGGYACKKPSKVTADDFVFSGLAATGKIIPLIKAAVTPAFAPQFPGVNGLGISMARLDLAISGVIPMHTHPGASEVLYVVQGEICAGFISSSDNKVFFKTLKQGDIMVFPQGLLHFQINSGKTSALAIISFSSPTPGLQITDFALFANDLPTELVAATTFLDAALIKKLKGVLGGTN >Solyc04g072910.3.1 pep chromosome:SL3.0:4:59962366:59974097:-1 gene:Solyc04g072910.3 transcript:Solyc04g072910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVSKIRSLDAYPKINEDFYSRTLSGGVITLASSIIMTLLFISELRLYLHAATETKLVVDTSRGETLRINFDITFPAIPCSILSVDAMDISGEQHLDIRHDIIKKRIDVLGNVIETRKEGIGSPTIDRPLQRHGGRLEHNETYCGSCYGAEGSDDHCCNTCEDVREAYRKKGWALTNPDEIDQCKREGFLEKIKEEEGEGCNMYGFLEVNKVAGNFHFAPGKSFQQSNVHVHDLLTFQKDSYNISHKINRLTYGEYFPGVVNPLDGVKWTQETPHGMYQYFIKVVPTVYTDVSGHTIQTNQFSVTEHFKGADFGRFQSIPGVFFFYDLSPIKVTFTEQHASFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMELGKFS >Solyc04g007685.1.1.1 pep chromosome:SL3.0:4:1363054:1365598:1 gene:Solyc04g007685.1 transcript:Solyc04g007685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTKYLSLLLLFLLLFLDFIASTYGQVCPYPCYPPPTGHVNNLPAAITPPYPPQGSNYNNYPPPTSGGGGGYSNLPNYPPPNNGYVNGLVPPPPDPILPWWPYRYRNPQNQ >Solyc11g007970.2.1 pep chromosome:SL3.0:11:2186370:2189458:-1 gene:Solyc11g007970.2 transcript:Solyc11g007970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEGELISTQCNYHHQPSFDRKNGYDPETGIYHSVLQHKISNDYSNLDTARFVLSQFPSPAQSMSQIALIDSSTNQKLTYTQLNRSILSLATGLYRALGVRKGDVIFVLSSNSIIYPTICLAILSIGAILSPANPLNTESEIGKQVRLSRAKLAIVAPEEARKLVHTGVPTLLTTRSNDENSISVQELIENCDPLELPKQSLKPLDTAAILYSSGTTGVSKGVVITHVNFIAIMKLLKYYVEVTSSQDDVFLCFVPMFHVYGLAFFGLGLFCSGVTTVLMQKFDLQGMLEAIQTYKISHIPAVPPVILGLVKYNKGSHYDLSSLRSVTSGAAPLSKEVADAFREKFPWVELKQGYGLTETTGAATFFVNYEEAKARPSSVGELFPGFSAKVINHETREASPPFKEGELWLKGPGIMKEYFGNEEATSATITKDGWLKTGDLCYFDDKGYLYIVDRIKELIKHNGYQVAPAELEAILLSHHEIIDAAVVPLEDEVAGQIPLAYVVRAANSGLTQDQVIQFVSSQVAPYKKVRRVNFINAIPRSAAGKILRKELVLQSNISILSKL >Solyc03g115760.3.1 pep chromosome:SL3.0:3:66857180:66863036:1 gene:Solyc03g115760.3 transcript:Solyc03g115760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVFEGYERQYCELSAILTKKCNSATILDGEQKKQKLSEIKAGLDDAEALIRKMDLEARSLPPNVKATLLAKLREYKSDLNNLKTEVKRITSGNVNQAARDELLESGMADANMVSADQRQRLMMSTERLNRSSDRIRDSRKTMLETEDLGVSILQDLHQQRQSLLHAHDTLHGVDDNISRSKKILTTMSRRMSRNKWIIGTIIVVLVLAILLVLYFKLAH >Solyc09g008250.3.1 pep chromosome:SL3.0:9:1718611:1720341:-1 gene:Solyc09g008250.3 transcript:Solyc09g008250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSKISSQEKKIIIIIIIMGRAPCCDKANVKKGPWSPEEDAKLKEYIDKFGTGGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGEFSDEEDRIICSLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGFVSSSHKIRPLNHHDYHHQIPTNCYNNYSSLVQASSLLISSNYPNNTTFPCYETNIPSTTPSSTSFLSAGASTSCTSGITASTFAGRTTSSDESYDISNFNFHSYMYNNNGVISEGEKLISGNNASGCYVDEQQNPLDYSSLEEIKDLISTNHGTCNSTSFLLDHEIKTEEKVIMYY >Solyc01g087990.3.1 pep chromosome:SL3.0:1:82703856:82711093:-1 gene:Solyc01g087990.3 transcript:Solyc01g087990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIDIKLIENLNNRQVTFSKRRAGLLKKAGELSVLCDSEVAVIIFSSTGKLFEFSSTSMKQTLSRYNKCVASTDNSAVEKKSEDNEQPQLQQQTHVLKQEQKEVDSLKDELAKLKMKQQRLLGKDLNGMGLNELRLLEHQLNEGLLAIKERKEELLIQQLEYSRKQEERSALECETLRRQVEELRGLFPLSASLPPPFLEYDRPLEKKYSILKESKESLDSDTACEDGVDDEDSNTTLQLGLPTICRKRKRTEQESPSSNSENQVGSK >Solyc08g066260.3.1 pep chromosome:SL3.0:8:54826167:54829120:1 gene:Solyc08g066260.3 transcript:Solyc08g066260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKEFDLMAVSTKGDDTPLILRKNLCVNVVEPNIKNEISSQKLDIILTQFMEILSKRKKYHIGYPINVCYEHHATLAPLLQFHLNNCGDPFTQHPTDFHSKDFEVAVLDWFAQLWEIEKDEYWGYITSGGTEGNLHGLLVGRELLPSGILYASKDSHYSIFKAARMYRMELQTINTLVNGEIDYEDLKSKLLVNKNKPAIININIGTTFKGAIDDLDFVVQTLENCGYSSDRYYIHCDAALSGLILPFIKHAKKITFMKPIGSISVSGHKFLGCPMPCGIQITRKTYVSTLSKIEYIASIDTTISGSRYGLTPIFLWYYLNMKGHAGLQQDSITCIENARYLKDKLVKAGIGAMLNEFSIIVVFERPCDHKFILRWQLCYLSGLAHIVVMPGITREIINSFFNELMQERKRWFQDGKTKPPCLADEFGSQNCMCSHNKMHN >Solyc10g005210.3.1 pep chromosome:SL3.0:10:166971:169800:-1 gene:Solyc10g005210.3 transcript:Solyc10g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQPLHVPFNKPPHTYPSKKGSHFFYKILHILSYHKISPFINHITLKILFQENKNMCSYKFKKQGGVVDISSQSISHINGRPVLQPYNNSHKKNNSCNVKISPSPKIKKVNVLDTNTSNEKSMTPKITKSSPPISPKIKPTIKKVKVDSKNTILGKDSSFMMVEVAASIAAATREQVANRQVQRKQRIAHYGRTNSPKLLSVAVDSTSREAKRCSFITPNSDPIYIAYHDEEWGVPVHDDNLLFELLVLTVAQVGSDWTSVLKRRQDFRDAFSGFDAEVVAKYNEKKIYSTSIEYGIELSQVRGVVDNSKRILEMKKQFGSFHKYVWGFVSNKPIRTQYKACNKIPVKTSKSETMSKDMVKKGFRYVGPTIIHSFMQAVGLTNDHIITCPRHAQCATQKQIAM >Solyc05g054550.3.1 pep chromosome:SL3.0:5:65293670:65299138:1 gene:Solyc05g054550.3 transcript:Solyc05g054550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC7 [Source:UniProtKB/TrEMBL;Acc:K4C2D3] MASTSPSQASLLLQKQLKDLCKKPVDGFSAGLVDESNLFEWSVTIIGPPETLYDGGFFNAIMSFPQNYPNSPPTVKFTSEIWHPNVYSDGKVCISILHPPGDDPNGYELASERWSPVHTVESIMLSIISMLSSPNDESPANVEAAKEWRDNRDEFKKKVSRCVRRSQEMM >Solyc09g091950.1.1 pep chromosome:SL3.0:9:71629639:71631270:1 gene:Solyc09g091950.1 transcript:Solyc09g091950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQSLKSESIMQKELPTCYKQVAIESLSRFFKDPHIFSDLNHEITFINSSPTKFSEFLPLNFHQNESSLSLSSSSSSLSTSSSYQHHQDQGNNNNIFPLNNFLDHQTCASSTNSLSSNYPTLGLFLQEPSILEISKRAAESLSNKNHKSSSAALFPMSSSIGHESQIHYKEVATTNPTNWLKMNQTITNCTTTKGFSDYWLSTTKTQPMKFKGSSRKSSLVHYEKSSSSSSSSSSSSSMIASQGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEEAAFAYDTAAYMLRGDYANLNFPHLKNQLKANSINGNITSLLEAKIRAISTQSKKKANNSSDRDEIIISPKGLSDDDATIKNTIVQNQEKEINCEKLMEITKVKNINNQENVDGVQLSRMPSLDMDMIWDALLVSDLS >Solyc06g017867.1.1 pep chromosome:SL3.0:6:14551816:14552123:-1 gene:Solyc06g017867.1 transcript:Solyc06g017867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDLKLGINFGFRAELVTIGYITISVQGRTSYDWLHHQFMNGIRYVNICKNMLQQPSLILDGQGRNPKVSVNARVEDKNLKGVILP >Solyc06g009120.3.1 pep chromosome:SL3.0:6:3069939:3073371:1 gene:Solyc06g009120.3 transcript:Solyc06g009120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKTLDSRHAIESCTYHLHSWKPFQFPSPNSKTLDLDSPKTYSPSTHGGLHTKRQCRADRTTSIPIEALDMSKLSLFEEDKPLSVHKRENLRLIAGKRRRRGSRSVSGRSSDRSGTRRRCCSVGASAAYGTCSDFPVAAGTDSSGELFVNGDMHWTLDVSEVTKSLRKEKEGGGVGERENNLNGLSVQSGNFEGLGNESGYGSEPGYRGDAEFGYGDEFDEEEDDQRLSFWGDEFGALSRMEKVGENSLQKVHHRCRRRKQDCRMVIP >Solyc11g013463.1.1 pep chromosome:SL3.0:11:6482673:6483234:1 gene:Solyc11g013463.1 transcript:Solyc11g013463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEPLLKYLRYKICVSASYSPVNCNDRNVLVEYVFLNNENHLADGIVREAAEETEFEQLEYWSCSSSLVLFSK >Solyc09g011720.3.1 pep chromosome:SL3.0:9:5007467:5013846:1 gene:Solyc09g011720.3 transcript:Solyc09g011720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRLETRRHHESSSAAKEIKSSLANQSSKLRDKNKVESTEDKTYISRHHGLSKTVNQNVSQLTSSGDHQNQWLGSKENKDDELVKYMSNLPGYLQHTEKGKNVQGKALNFGVLDWERLEKWKYNERMPASCHRKTLSGSSSFVAVKPPKAYGLSSQRKQMPLPSIPSCKQKLAEPVQQSQSEFIQTHDMQTTRCPTKHGKQKQHLRKEVPPRNRNSELKPDEEDLSWIPIKNVSVPSSHTKSVQVCKNEIKFDNEGKFSSQNYAAEPKNIVLLVPKHRSKKSIEASQLSELRTSFDEQPADAMRAGFSDCSSLDSLSSELLAVPHSCPLPASSATNTESHVKQRQLSSARDITDLCSSPCPTGRITNRTSFDAKCLNHNKVDVELRLPAETSQREDLDTAEEAVVKGRHPSPNKRFSFSLSRMSRSFSFKETSAAPPLNSTNSIPKSGPAGASSSADLSNREKPNANIRGKSSPLRRLLDPLLKPKGVHSAETFPLSNENSNGNTLPTNHSKHVHAKKHLPPTLQALLQLSLKDGVPFFKLVVDDDGGILAAAVKKLPTSGKGGSSLVYAFYAVHEIKRRSGGWMSHGPKEKSAGFGYKVIGQMEISCSEVQNSSVHEQKSISVQRESVLYSIDCGQVEKQVPDSCQKRELAAIVVMNSSQYKEEGMQQLPGETCETYSDVVVILPGGTHNLPNDGTPSSLLERWRSGGLCDCGGWDVGCKLKILEQDKNCKSQDFLNLLIQGGNRRSKPIFSMAPLKNGLYSVEFDSSVPLLEAFSICVSALTSHKLADIFEIGSLGRKASSDATMGTKASTAVQGQVPQRYVSSPPPSPVGRI >Solyc08g079080.3.1 pep chromosome:SL3.0:8:62843244:62847281:1 gene:Solyc08g079080.3 transcript:Solyc08g079080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQKKKMSIPNSETNNGVPYTHLPESDNDSGNTPARCRKTNRSTFIILTGLVAFLLFFVAVKYGNNDGTDDIIPGPVPPHETVCNMIGSNLMPLTTMRTVARGVEEGVSAKSHGHFLGVRPFPWTQKMLAWQRTSFHFQPKKNWMNDPNGPLYYKGWYHLFYQYNPEAAVWGNIVWGHAVSRDLIHWQHLPVAMVADQWYDINGVWTGSATFLPNGDLIMLYTGSTNESIQVQNLAYPADPSDPLLRKWIKYEGNPVLIPPPGIGLKDFRDPTTAWTTPEGKWRITIGSKINKTGISLVYDTIDFKKFELLKGMLHGVPGTGMWECVDFYPVSKIAENGLDTSENGPAVKHVLKSSLDDDRNDYYALGTYNAGAGKWVPDNPIIDVGIGLRYDYGNFYASKSFYDQEKKRRVLWAWIKETDSEAADICRGWASLQPIPRTIQYDKKTGSNLITWPVAEVDNLRSNNNEFNKVVVKPGSIVPLEVGSATQLDIMAEFEVDQNMLKKVDGSNATYDCIKSGGSGERGALGPFGLLVLTDNSLSEQTPIYFYIAKDLTGNFNTFFCNDLTRSSEASDVRKLIYGSTVPVLQGEKLSLRTLVDHSIVESFAQNGRTAITSRVYPTKAIYENAKIYLFNNATDISVTATIKIWQMNSANIKSN >Solyc01g010650.3.1 pep chromosome:SL3.0:1:5598793:5604422:-1 gene:Solyc01g010650.3 transcript:Solyc01g010650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHGAGIRRVMVLAFCVAGIWSAYIYQGVLQETVSTKRFGPNKERFEHLAFLNLAQNVVCLIWSFMMIKIWSSGNSGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGAIVYGIRYTVPEYVCTLLVAGGVSMFALLKTSSKTISKLAHPNAPVGYGLCFLNLTFDGFTNATQDSIKARYPKTSAWDIMLGMNLWGTIYNMIFMFGWSNASGFEAVQFCKQHPEAAWDILMYCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFVSIVVSSVLSGNPLSTKQWTSVIMVFSGLSFQIYLKWRKLQRMPKKKKKSN >Solyc02g082210.3.1 pep chromosome:SL3.0:2:46458033:46461081:-1 gene:Solyc02g082210.3 transcript:Solyc02g082210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIAASNLFGKPDDLHHRPNVFGELMRLLIFPSENIQHAVNWALRSGADPDIALHMRMLMNRSIRAVQAAFSCIRKSVESLKLISKPRIILVSDNPSLVRDIAPDLNQFAEVLHFDFKHFKGNISGNSNFHTVDFRTKDWGTAPRWVAFVDFFLASRAKHAVISGAHRRVGTTFAQLVAALAAANNLEEERSSAGSNFTFLSSFQSNLLREGLKNQIGWGHVWNRFAGTLSCHNQSRQCARTPILPPAWWDGLWQSPIPRDVNRMEAYGIHLSGFGTFDDNQLHSFCSTRKKPVLTIPLI >Solyc03g111160.3.1 pep chromosome:SL3.0:3:63293447:63301768:1 gene:Solyc03g111160.3 transcript:Solyc03g111160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLQNLPRSSGLPLRSFTGNSRKPYPTVVSLGMTKFADQRLSIVAQVVSGPESSTAKDEDAGKSDTYSHGMTAAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRSIGVKTIFCLQQNPDLEYFGVDIKAIREYANKCGDIEHLRAEIRDFDAFDLRLRLPAVIRKLNNAINRNGGVTYIHCTAGLGRAPAVALTYMFWVQCYKLADAFSLLMSKRPCFPKLDAIKSATADILTGLKKTPVTLTWRGDNCTTVELSGLDIGWWQRIPLKFDKEQGLWTLQRELPEGHYEYKYIVDGEWICNEFEPITSPNKDGYVNNYVEVLDETPDNITSAAIRKRLSSDDPDITSYEGLIIGQFLEGYADVE >Solyc09g072780.2.1 pep chromosome:SL3.0:9:65898570:65902510:-1 gene:Solyc09g072780.2 transcript:Solyc09g072780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSEKLENNIRDHEDYTQDGTVDLKGRPVLRSKTGRWRACYFIVGMFNFNNSHLHTRNKVIKVSFIYVYIGYEVFERMAFYGISTNLVIYLSNKFHEGTVKSSNNVTNWVGTVWLTPLIGAYIADAHLGRYWTFLISSAVYLVGMCLVTLCVTLKSLRPPSCGKGIKDIDCPKRASSFQIGIFYCALYIIAIGTGGTKPNISTMGADQFDDFEPKEKFQKLSFFNWWMFSIFLGTLFSNTFLVYLQDNVGPGVGYGLPTAGLAVSIVVFLLGTRYYRHKTPSGSPFTRIAQVVVAVARKWKVVVPNDPKELHELSYEEYASTPGIFRIDHTNSLRLNLDYQCIYRLLDKAAVKTGDSSPWTLCSITQVEEAKQMIKMLPILIATFIPSTMLAQTHTLFIKQGTTLKRGIGPNFEIPPASLTAFITISMLTTIVVYDRFIIPILRKYTKNPRGITLLQRLGIGLVLHITVMVVASFVERKRLSVAREHGVTELKQIVPLPISVLFPQFILMGVADNFVEVAKLEFFYDQAPNSMKSLGTAYATTSLGVGYFLSSFLLSTVADVTKKNGHKGWILDNLNVSHLDYYYAFYAVLSFINLLFFIVVAKLFVYNTEINKELQESMQSKSIIEEDKELLK >Solyc09g042670.3.1 pep chromosome:SL3.0:9:24988930:24992353:1 gene:Solyc09g042670.3 transcript:Solyc09g042670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVSSLGIYFVFACLCSHPSLHVASMPDLYLYCMLSFMLKKIRRSLAESTMKTILREWSLLHSRDNMGRRVEDYAYASAALDGEALTSVALNFQAKEESNLWSLELNKLSYM >Solyc11g073170.1.1.1 pep chromosome:SL3.0:11:56523998:56524582:-1 gene:Solyc11g073170.1 transcript:Solyc11g073170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPDSTPRIGPSHWDPLLIVSVGIVCFILLLFSYFKIIQTRCCGFLTVHFYRNPIQRRPLNDHILEDHDLQLQSRGLDSYIMHSLPITQFKKNEELTAPLNNADCAVCLGEFQEGEWLKHLPYCSHVFHVACIDTWFQIHSSCPLCRSNVFSVKMQQGHSITMNTLLETLRREDFNRERSVHNENMRSQNHSF >Solyc03g095420.2.1 pep chromosome:SL3.0:3:57968992:57976666:-1 gene:Solyc03g095420.2 transcript:Solyc03g095420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGHNTCRIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKAKKLVLSQKKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVGSLMYAMVCTRPDIAHAVGVVSHFLSNPGREHWNAVKWVMRYLCGTSSLSLCFGTWKPILCGYTDSDIAGDVDTRKSTSGYLVTFAGEAVSWQSRLQKCVALSTTEAELIAIVEVCKELLWMKRFLGELGCAQERFLACRLSVIEMPRNCDCHKRSTFRKYSIDTTWTKLMVVSTPLAMHFKLSAIHCPSSDGEKEDMKQVPYVSVVGSLMYAMIYTRSNISHVVGKPIVCGYTNSDMTGDVDTQKKPMHPALTDTCLSCADTALPDELSEEYDGFPTSFSHDIVSMRYDRLRMIAATIQTVVDD >Solyc03g122330.1.1.1 pep chromosome:SL3.0:3:71675443:71675793:1 gene:Solyc03g122330.1 transcript:Solyc03g122330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSDQNSVKKSKSHANSTSIFSQARRKMSFRWKKLSVVQQEAGGKKKFLISRRDGLKGKNLHYLSMKKIKECYWLAVNEILEASDTFDQRLVSNTSFALPMMGLPFATFPNRLGI >Solyc04g057895.1.1 pep chromosome:SL3.0:4:54949377:54951865:-1 gene:Solyc04g057895.1 transcript:Solyc04g057895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDPQKQNNTKDLEAPEGFNLWKLAKTKNCVVNDSVGVVCPKFSILRNLAEACAREAWGIMSRQAMLWILRWLAKNSSSIICRRYRISSIMGICRQRRKRMKRCPVTTGCGCFLQLGSWRLQSALEEAPHEILEAFLGIENPGGASSMSCVRSSTALNNRRSKEKVESIAICMIGGPSTLHIKPAGNEQVKPRSPIKSKKRKHQSKLSPNYNAILGEKNRPFYFTYTHRFLQSSRAQNGNHFNSQ >Solyc10g077136.1.1 pep chromosome:SL3.0:10:60140873:60142461:1 gene:Solyc10g077136.1 transcript:Solyc10g077136.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSKDPMFPVLDFLTDFPPVPNLLPTTTTLIPSPADPLVSLPADASVQPLFIPLRQSQRQKIPSKWFTDYVVNNCAYPMSHYLCYDSLSPAYAENAFGILLNQQKYSIELIADSRQGGAKPASTPLDFNQKHTSYEFDVSTGCTTDDKMLEDPGGYQRLVGRLLYLTMTRPDISFVVQALSQFMHKPKESHMHAAIRVIRYIKNAPGLSLHMSSTTSSHLFAYCDSDWATCPKIRKSVTGYMVGFGTSLISWKLKKQETISRSSVEAEFRSMASTVAELSWLT >Solyc09g082060.3.1 pep chromosome:SL3.0:9:68325515:68330837:1 gene:Solyc09g082060.3 transcript:Solyc09g082060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:K4CVI4] MAGEKTGIAKDVTELIGNTPLVYLNNVVDGCVARVAAKLESMEPCSSVKDRIGYSMITDAEEKGLIKPGESVLIEPTSGNTGVGLAFMAAAKGYKLIITMPSSMSLERRIILRAFGAELVLTDPAKGMKGAISKAEEIRGKTPNSYILQQFENPANPKIHYETTGPEIWKGSNGKVDALVSGIGTGGTITGSGKYLREQNPNIKLYGVEPVESAILSGGKPGPHKIQGIGAGFVPGVLEVNLIDDVVQVSSDESIEMAKLLALKEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVVFPSFGERYLSSVLFETVRREAENMTVEP >Solyc03g098075.1.1 pep chromosome:SL3.0:3:61861773:61862280:-1 gene:Solyc03g098075.1 transcript:Solyc03g098075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNNPSSSSDPFNSSENATNGSRRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNL >Solyc01g018025.1.1 pep chromosome:SL3.0:1:26757996:26774919:-1 gene:Solyc01g018025.1 transcript:Solyc01g018025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGESVMTGEDAPLTELEVQTWKARARVEEFTATTLQTQLQAAAGDDDVKVFSLVKFCSFKVACQLFMSIEDHNDVEKLFLQFNIFLKGLYAIPLNLPGTTFYKAIRATDSGKEEKPWNKKQLHLHKTFCLICCHFLMKMESICLSTLKFIKTFCKKMKYSWNVICEVMRITPPILGAYREAIVDINYEGYDIPKGWKNSYFNSFMLEQLHWNTGLTSLDPEIFPNSWRLVPSRFEEDGPAPYTYITSGG >Solyc01g034060.1.1.1 pep chromosome:SL3.0:1:35748918:35749250:-1 gene:Solyc01g034060.1 transcript:Solyc01g034060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSPSKRFTRGISLHVEIDVEHTSFSLGLTQEFGKISGSLSKSTTIQEIRSKFNNDPSRFVDGSVKNLVDVVTGSSKKRKHKADVYTVHNDKNETVGSGIIEYHKVCV >Solyc06g008315.1.1 pep chromosome:SL3.0:6:2184946:2185665:-1 gene:Solyc06g008315.1 transcript:Solyc06g008315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISYSEGNRQVTDTPGTRDTGLFKRCTVGSFDEGETQPPSLPDIRRWIAGWNPVEGCEDTNQKIKSTWIRALGVPLQLWSQRIFTEIGNLCGGRVETEEETGLKNYLKWERIKIRGDGKNYPSEISIERGGINFFITIWVERKVRLQILTPAVEENHQLVMPELGECSKETGGLPLSLDQQVIELSPTEKG >Solyc06g048960.3.1 pep chromosome:SL3.0:6:32144617:32157643:-1 gene:Solyc06g048960.3 transcript:Solyc06g048960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSLKTNSSPLTLCLLLEGCYQLEALETALKQNTIVYLETGSGKTLIAIMLLRSYAYLLRKPSPYIAVFLVPTVVLVTQQGDSLMMHTDLKVGKYWGEMGVDYWDAATWQRQVDAHEVLVMTPAILLAALRHSFIQINMIKVIIFDECHNARGKHPYASIMMEFYHRQLTRQSLQLPRVFGMTASPIKSKDHKHTFRCIFGSSNCDLPLQMKVYTCGSESVLAEYIPFSNPKLKIYKHVDIPCTLFLSLAHDLEQLKEMHDCSISKSNLSFMSAGTARRRLSKLYSAFLFCLSEMGAWLAFKATEFLSREEVDFLSWGELDVCAQTIVRDFSWGASKIFSARLPSGPYWSIGGDIQANVDAGYLSSKVTCLLESLLEYRDQKDLRCIIFVERIITAIVLRSLLNELLPELCGWRTEYTAGHISVVQSQSRKLQNKIVEEFRKGLVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSFIQSRGRARMQNSDFLLMVRSGDDSTLTRMHNYMASGEIMRQESLCHASIPCSPLDDELYDEPCYKVESTGAIVTLSSSVSLLYLYCSRLPSDGYFKPNPRCVIDKETGTCTLQLPKSCPLQRIISVQGNSKISKQLACLEACKELHRVGALTDNLVPDIMEEETINKELECQIHTVEELKYFPPELVSHCGNDSEAVYYCYLVELQHDACNDFQLHGIILAVRTRLKFDDEIMAFDLDIDRRGRLQVQLNYHNVVTLTSEEIQRCRRFQSSIFRILLDRDLSKLQGALAAVQSPIGSAVSDYLLLPSLGTTPEINWKCVNSLLFPSQALEAKHMDCCSTQGRKRSVSTKTGVICSCMLENSLVFTPHNGHIYCITGFLDNLDCNSLLDTRTGEPITYIEYYKKRHGINICFEEEPLLRGKHICKVHNYLQRCRIQKAKDSTDSSVELPPELCSLIMSPVSISTLNTYSYVPSIMHRIESLVMALNLKRMHLDHCTLDIFIPTIKVLEAVTTKKCLEKFHLESLETLGDSFLKYASSIQLFKTYENHHEGLLTIKKNKIISNDALCRLGCARKIPGFIRNEPFDLKAWLIPGDNSQIQTFDEEFLTSSDKMYSRGKQKFRSKRVADVVEALIGAYLSSGGEVAALSFMKWLGVDIDFVDAPLPRNFPMNAEKLINVRYLESLLHYKFNDPSLLVEALTHGSYMLPEIPRCYQRLEFLGDAVLDYVVTAHLYFKYPGLTPGLITDLRSASVNNECYAQSAVKAGLHKHILHASQDLQRQILSTVEDFEKLDLLSMFGWEAETTFPKVLGDVIESLGGAIFVDSGFNKDITFQSIRPLLEPLVTPQTVKLQPVRELSELCDQKGYIKKKDVISRENGLAYITVEVEANGVIHKSTCSGRDKIMAKKVASKNVLKSLKECPSNA >Solyc08g081160.3.1 pep chromosome:SL3.0:8:64354377:64358362:-1 gene:Solyc08g081160.3 transcript:Solyc08g081160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRSSRNPHQIDKSQLNCMWGLISSLYFGQNQRKQKLLSNGKGSTKNVIGKNSRKIDALTYCSDQLYGYEDGAEVEALGVRTGDKRIKTSIHEEISGEMQKSTQIIARNEQHEEVDYGLFDHMISKYKPSPKKGCKNQSPVYDWKDTETGDIQQASSSAEMSIHKLKLASILEAIGSQIHREDGDSKRSSIKNDQLDEISLQVLQTSAKAFIDQMFIDRKYISKGNMSYEPEQFSNALEMLNSNGDLFLKLLQDPNSLLAKQIRNMQNVQMARDSIKSFMSNRLPDCNISKSEHKHHQSAFEESSNSRPSNKIVVLKPIPRTVRCSENVYCYCSSIQSHHSTSSKGGNLQHKNFSLKDIKRKLKYAMGEKWKEKHLISVGSTVHKLHSVSDRKNLEVDEGGSSCLTTARSTNSFTESNNKNEAQNKQISTSEAPKVSFLTEKVRKKLDASAISYTKKRELDISMEAKRHLSQRLNFVNTTDEAAMSTQPSRTLERILSSPEHDRLFNYCSKQDRKSNPEQPCYNDTSIAELPRDPTHTSFQSPQRHKDSQHLKSSMLASPSEVWSPGSSTDVSSTSPYSMYKLRGVDSIMDRGDHPSPVSVLEPVFTDDLISPSRNEPSGTVLQPRRINFEGCLNKESTENAILNRAEPDTLRTYIQSSLHTLHLNWEELWLNRHLSEQMLDAMLSDELETLALQCHSEPKLLMDYTNEALLEAYDSHFRYPPWLSFFQPKLWSFPPEKHLLEKVMNEVKQHLVPLMDQPTLNDHVETDLAKSGSWLDIRDDTEDVLTQITDDVLEESIMCTVLQLQNLFLV >Solyc05g017940.3.1 pep chromosome:SL3.0:5:19272217:19275260:1 gene:Solyc05g017940.3 transcript:Solyc05g017940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIRNGKKRSEQILIIVINAAYSILWFLTESARVISWMAAMSNVSATETAIIYGLEPIWGAGFAWFLLGERWGLSGWIGAAFVLGGSLSVQILGASSSSLSGQVEKVIQDDKLSISHKRNNIHASPLVISCKEDPTDLLRKS >Solyc07g006260.3.1 pep chromosome:SL3.0:7:1055917:1060714:1 gene:Solyc07g006260.3 transcript:Solyc07g006260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRQVPASYGRSLQAPGMVLHEELAAGRRRVDPFPHPELRESRFAARAAEIEHLAGDHHRLAASYVALKQEYSVAQRELQELEEYIKSTQTEGDIQVRLLHDKIAKMDVDLRTMESMRKDVEEAHLEARSLVSANMELSGKVHHVMEKLEKAHADVKKLPEMHAELDSLKKEYQELRKTFQYEKGLNIEKVEQMKLTEKELIDMANEVERLRAQVVIAERRARGIDPYGHPYLNSNPMYPAPPMHLPAHIDSYQRSHLPAAPGTMGDSTYPYGSSVAVIAQGRTGVPPPPVTDGNVAQGGNLDAPQGGT >Solyc06g011530.3.1 pep chromosome:SL3.0:6:8017678:8020839:1 gene:Solyc06g011530.3 transcript:Solyc06g011530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFCFELHLKVFEAAIAARAKEVAVFASTSESFTKSKINCTNKESLVRYQVVTSAAKKLEIPIRKYVSCAIGCPVKGVISPSKVAYVAKELHDMNQRLLLLMIYAFSFRASVGFLTKYLFEIYQKGT >Solyc10g011770.3.1 pep chromosome:SL3.0:10:3994892:4003912:1 gene:Solyc10g011770.3 transcript:Solyc10g011770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAAASTSMASTAVFTTHLSLCSTAKAASFCCSALPYLPPRVSASAISTSFKFSESKRSSLHQVKASSSEETSVDTSEFITELKEKWDAVEDKTTVLLYGGGAIVAVWISSILVGAINSVPLTEILSLIDLFCDFAQPSESLMFLPFLQLPKVLELVGLGYTGWFVYRYLLFKSSRKELAEDIEELKKKVAGSE >Solyc09g074100.3.1 pep chromosome:SL3.0:9:66201584:66208250:-1 gene:Solyc09g074100.3 transcript:Solyc09g074100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRATMSTIPLPFQYAHLFSLYPFQKPLIQVFSQPRKITTTARRLFSLKPLAVSSPIRNYGDERIDPTYLSCSMPHKNPLKVAVLVSGGVDSSVALRLLHAAGHSCTAFYLKIWFQEDFENYWSECPWEDDLKYAKGVCDQVDVPLEVVHLTDEYWNNVVSYIIEEYKCGRTPNPDVLCNTRIKFGQSCYMKSNPLFFSFVSVIVKNLFSGAFMDAISGMEFDFVASGHYAKIVHASTEQLDEPSVLELSKDMVKDQTYFLSHLSQAQLKRLIFPLGCIQKDEVRMLAKSFNLPNQERKDSQGICFLGKIKFSEFVARHIGESEGIILEAENGDYLGNHRGFWFYTIGQRQGLRLPGGPWYAMSSFLVYVLSVVSRG >Solyc11g063730.2.1.1 pep chromosome:SL3.0:11:50117059:50117208:1 gene:Solyc11g063730.2 transcript:Solyc11g063730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSHLSVNLATHFQGEIINSDKMQVYKGLEIVTNKITHTENKVY >Solyc10g085570.2.1 pep chromosome:SL3.0:10:64807761:64834950:1 gene:Solyc10g085570.2 transcript:Solyc10g085570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVPVRRSNYAILQQQPPYDEFNSDEKSKSRGDKGLYWDLIDRRKGTTPFQASIVLPTQSSEGSFAESSISGVSFGYMNAYSDVGGSLSKSWAQQTEESYQLQLTLALRISTEATCADDPNLLDYVPDESVSHASASSASVEAMSHRFWVNGSLSYFDKVPDGFYFIQGMDPYIWTVCSDLQESGRIPSIESLMAVDPSVVPSVEVILIDRQSDPRLKELQNRIHSMYRSCNTTKEVVDQLAKLRLIFIPFIRGAASVGEGDFIPIWKECCNDLKDCLGCFVFPIGSLSVGLCRHRTLLFKVLADIIDLPCRIARGCKYCKESDAFSCLVRFGLDREYLVDLIRDPGCLYEPNSLLNGPSSISIPSPLRLPRFGQVEPAMDFTSFAKQYFSDCLSLNLAFDDSSAGTAVDGDAGQTDRSSMDKSSAVPSSSNRDEVSRLPLPSINAWNKGCDKGSQLPAKYHPPNMSISMSQEKDLIHLKNVPPIRYVDAHLIAISEARTDTINDQRYFEGVGRLAPAKPSRGLVLDVEDLDIPWNDLVLKERIGAGSFGTVHRADWNGSVRQFPFSSECLKRIPHDVAVKILMEQDFHAERYKEFLQEVAIMKRLRHPNIVLFMGAVTEPPNLSIVTEYLSRGSLYRLLHKPGAREVLDEKRRLCMAYDVAKGMNYLHKRKPPVVHRDLKSPNLLVDTKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDIYSFGVILWELATLQQPWSNLNPPQEASLKEHGLCRELIIHVAVNREVTGILLSIVMGLGCSIMLLISSQIVSQHCKSKQIWVETVPAVGGEHHITLFVKLLCVILHPLFCFGVCTSIAVSLLDILAFGSSFILKDQNGTVVAAVGFKGMRLEIPRDLNHPVTTIIEACWVKYVAPILTKLVWDCIDACIHTGETFALGIWLSDDVDASNMKPAASNKQFHRAAAGCHHRSPSGETQSTAINGVKMYTVAGQHRSVATWLPPKKLRALRKDPGYMQRVDLIQDLKFETATTRIKVTPDGEYLIASGIYPPQVKVYELRELSLKFERHLVSEIVNFQVLGDDYSKIAFLCADRSVCLHAKYGSHYKLRIPRMGRDIIYDSWSCDLLCAASSPDLYRINLEQGRFLSSLCTRSPALNVVSRSKVHGLVACGGEDGALECFDMRVRSSVGRINAVAPAGGGDQEVTAIEFEGDGGYLMAVGSSDGKVLVYDLRSSQPMRIKDHMYGSPILNIKWHKTLNTERTKLITADSHIVRVWDPETGEGMTSIEPTGGRINDLCAFTGSGLMLLALDSSQIPSYFIPSLGPAPKWCSYLENLTEELEEQPQTTIYDDYKFLTKEDLEKLNLTNLIGTNLLRAYMHGYFIDYRLYKKAHAASNPFAYDEYIEQRKKEKFEKERDSRITKTSKKKKGLTMDVLEDERFKRIIENKDFEIEEDSHEYRALHPMPSLKRPSLVEEHFEPVMEGEEASDSDAQSSEDEQENDRNTRKKARVPRMYEVKDDRHAEAFSNHISLAKEDALSLGERVAGLSNGRASHDMNNIKVGPGGSREVSFFSRSSAKYVEDDGEKETRTEKRRGVQSLKLKPERSGFQGSVLL >Solyc06g076950.3.1 pep chromosome:SL3.0:6:47966632:47970690:1 gene:Solyc06g076950.3 transcript:Solyc06g076950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEAKDSSVSTTHRCLIVGNYCHDVLIKDDAVIAESLGGAASFISAVLDGLSVSSDYISKVGPDFVYSVNHRPITSSSSKTTVFHAYFSTESTRQDRILKRVTACDPVTPSDLPNSKFDFGLAVGVGGEILPETLERMIEMCKVVFVDIQALIRVFDPVDGTVNLVHLNQTGFWPLLNRIGYLKASEDEAPFVDVEEARKWCCVVVTKGKDGCTVYSKDEELSIAPFPAYQVDPTGAGDSFLGGLVAGLVDGLAVPDAALLGNFFGSLTVGQIGLPKFDSRMVQKVKDEVLKRSLQHFGSHEKHEDEPKRLKPLDHEEFLAALSAAKVVPSYPIKECKWDLHSSPEALEQPACNGHRRLPLNPVCEEPIKSVDSKP >Solyc02g069203.1.1 pep chromosome:SL3.0:2:39732919:39733695:-1 gene:Solyc02g069203.1 transcript:Solyc02g069203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDRPDKALKYFQEMTLKDIQPAAVVSFYASSIARTIKFPPLRIVEEDDHARLTSMSFVQMLLSGMPILLLTESLWKYRAG >Solyc08g076645.1.1 pep chromosome:SL3.0:8:60703613:60706198:1 gene:Solyc08g076645.1 transcript:Solyc08g076645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNYSQAIFYSSTSVSSLTRIPLETERVTITLADKSHQNVHTSPERSCFHRTSDCPIPNNYASYSRSIKSRGVKSHVLYQSSKLWFMEK >Solyc12g011400.2.1.1 pep chromosome:SL3.0:12:4220217:4222382:-1 gene:Solyc12g011400.2 transcript:Solyc12g011400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKLNPLHGSFLLSISEFSLPNLKYSTSSKTLFNAGTKTNKFLIYCNTQIAENGRNGYIKESESIFYSMPSKNIVSWTAMLTAYSQNRQLKNARELFDKMPERSVASWNAMLTAYMRNRVDINEIFSFFQLMPERNSVSFAAMITSFVNAGRVDMAEDLYNRTPMVFREPVCSNVLINGYLKVGKLNDAVRVFDGMVQKDIVSCSAMIDGYSKNGRVIEARELFDTMKERNEVTWGAMIDGYMKVCCFEDGFDLFLRMRRGGDVRLEPTILTIALEACGRFSKHPQGYQVHGLVSRLGFEFDVFLGNSLITMYSRFGCVNAAKSVFDSMLRKDVISWNSLISGFVQAGKLEEGYELFKRAPEKDVVSWTAMITGFSEKGLTEICVELFKMIPEKDDVAWTILISGFVNKGEYEEAFHWFVKMLQSAVRPNPLTLSSMLSASAGMVMLNQGLQIHALVLKMDMELDLSIQTSLISMYSKCGSLDDAYRIFKFINYPNIVSFNAMITGFAQNGYGEEALRLFHQLQNEGEQPNGITFLGVLSACMHAGLVEEGWNYFKSMKSFYNIEPEPDHYTSMVDILGRAGLLDEAVSLINSMPFKTHSGVWGALLGASKTHLRLDLAKLAAQKILDLEPSSAAPYVVLSDLYCIVGKKKDEERVRLAKKLKRIKKIPGCSWVLLKNNIGLFLSGDQSHLNFDEISCTLWTIMDDMKQVSCIDHDLLSL >Solyc03g082480.3.1 pep chromosome:SL3.0:3:53829688:53833956:1 gene:Solyc03g082480.3 transcript:Solyc03g082480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQQPQPQTLNSAPPLPLSAITTEQIQKFLDENKNLILAILENQNLGKLSECAQYQAMLQKNLMYLAAIADAQPQQSAATSQAQSTPQLGNQMQQTQAALQQQQQQGTMDVRGNKQGSLEGNPSDGLGKSGGRHVNGERE >Solyc02g089720.2.1 pep chromosome:SL3.0:2:52096047:52099444:1 gene:Solyc02g089720.2 transcript:Solyc02g089720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIKRQVKSIIEKPFNNPTKPYITHSQPTSSSSQSFAMASSSQPFIFPGTQSTVLPDPSPFFAPHLLTHPLPTNSFFQNFLLKNGDQPEFIHPYIIKSSLSSLTLCYPSQFHNPAFIYQIFIADLTISALNNPNPNAPHVISSFDDLSVTLDLPSSKLRFFLVKGSPFITCSVIENVAISISTIHAILECSPNGNRTKYTIKLNNGQTWVLYASSPIDLSNDVSSITSGVFSGIIRIACLPSSDQTSEAVLDRFSSCYPTSGNAVFKQPFCLEYKWEKKGWGDLLMLAHPLHLQLLSAEDSSVTVLEGFKYNSIDGELVGVVGDSWILKSDPVSVTWHSTKGVKEEAFLEIIDALNKDVASLDSKGISTTSSYFYGKLIARAARLALIAEEVCHLDVIPAICKFLKDTVEPWLEGTFQANGFFYESKWGGIVTKQGALDSGADFGFGVYNDHHFHIGHFLYAIAVLAKIEPIWGRKYKPQAYALMADFMNLSRRSNSKYARLRCFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVAIGSTLSALEIHSAQTWWHVKEGSTLYGEEFTKNNRVVGVLWSNKRDSGLWFAPPEWKECRLGIQVLPILPITELLFSDVQFVKQLVEWTLPALAREGVGEGWKGFVYTLEAIYDKVGALNKTRSLTGFDDGNSLSNLLWWIHSRDNEVAEGDRGSNTMLCDMIAVIFRQIAMNKSPTLPKFWIV >Solyc11g028103.1.1 pep chromosome:SL3.0:11:20308632:20312705:1 gene:Solyc11g028103.1 transcript:Solyc11g028103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIFINLSAAASSGTGGGYSVIDAYHPIPRGYKAYFLVLAEESQRILGKSNVALIPDLLKSSIQIVISIVTTATRKLHGYPDDWKGKRRFTGLTPEDNLARAATRMNDSSSKITEADTWIIDAGASQHMFVDEPTCLPPIGTGDIITPATADSLECPSVDTQSSSIVSLVGEKVLVEPPDSSDAVSAIAPTKLVIVLVYVDNLLVTGSCTTLIDKTRNDLKLNFKVKDLGELKFFLGIEFTRSKEEYVMNQLKYALELISEMGLSGAKPVYTPPDANVRLTTIEYCTHTQGSEAVITDKPFDNIERYQRLVGRLLYLTMTRVDISFTVQVLSQFMHAPKESHMEATLRVVKYIKESPGLGLFMPGKISELLTTYCDSDWGTFLQIRRSVTGYLLKFGDAIISWISKKQDTMA >Solyc10g083940.1.1.1 pep chromosome:SL3.0:10:63766329:63768074:1 gene:Solyc10g083940.1 transcript:Solyc10g083940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLTENGGYKANMIGFSIHFLMSKWFMVFASLLIMSMAGATYLFSLYSNEIKSSLGYDQTTLNLLSFFKDLGGNVGMISGFINEVTPAWVVLLMGAIMNFFGYFMVWLAIVGRIAKPPVWQMCFYICIGASSQTFANTGVLVTCVKNFPESRGIVLGLLKGFVGLSGAIITQLYHAFYGNDVRSLVLLIGWLPCVVSCLFLRSVRVMKVDRQANELRVFYKLLIISLGLAGFIMVVIVVQNKVSFTRVEYAGSAAVVLILLLAPLILVFKEEFTLWKCKRQALHDTPQVNVVTETPCSVQPEPEEISCLKPNIWWYSNVFNPPPRGEDYTILQAIFSLDFLILFTTTTFGVGGTLTAIDNLGQIGKALGYPSTSITTFVSLLSIWNYLGRVVSGFVSEIFLSKYKFPRPLMLTIVLLLSCTGHLLIAFGVPNSLYISSIIMGFCFGAQWPLIFAIVSEIFGLKYYSTLYNLSGGASPFGAYILNVRVAGQWYDKMALRQMKTNGLIRGVGEDLTCIGVECYKMAFLVITGATLISCVVSLVLAIRTREFYKGDIYKKFRVQREAMVSKELVTSTTKNIDE >Solyc01g090190.3.1 pep chromosome:SL3.0:1:83682914:83688834:-1 gene:Solyc01g090190.3 transcript:Solyc01g090190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLNPFDLLGDDDNDDPSKLIAIHQQKVDPAKKASAPAPAAAKKQPAKLPTKPPPPTQAVREAKTESGRGGGRGGGRGYSCGRGGGGFNREGSNNENFARNREFSGGIAAPEYVEGGRPSERRGGYGGPRAFRGGRQGGFGNGEMPEGDRPRRTFERRSGTGRGSEIKREGAGRGNWGTEADEVTLMTGEVADEGEKNLNVEKPSTEEEAGVDKKENPAAEAADKEPEDKEMTLEEYEKLLEEKRKALQALKTEERKVDTKVFESMQQISKKSSDEIFVKLGSKDKRKESAEKEEKAKKAVSINEFLKPAEGERYYAPGGRGRGRGRGSRGYSEANTMSNVEAAPPIEDPGHFPTLGGK >Solyc07g032265.1.1 pep chromosome:SL3.0:7:36596221:36598732:1 gene:Solyc07g032265.1 transcript:Solyc07g032265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCSNSSMGNGEAVDVKGKGTVSISTISGTNKFRIFFSRSSKLTMNLIEYSNIDWASGVDDSRSTSEYLFVWVQVFLVGALKNKKLQVNQ >Solyc08g015840.2.1.1 pep chromosome:SL3.0:8:5998441:5999105:1 gene:Solyc08g015840.2 transcript:Solyc08g015840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIPNDIIFSKWLANQMMKYYLSSLPFSKTTLRTRAFYSIFYSSIFKNKFQKLIQTNQIFLCSPWHSNPRFIHQKNKISRQYHL >Solyc03g095900.3.1 pep chromosome:SL3.0:3:59009171:59010710:1 gene:Solyc03g095900.3 transcript:Solyc03g095900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDLYCHLVYDIREKKMAVYSKKDDFQATIQNSYDKMSDLIAFDDTKAGVKGLVDSGINKVPQVFILPPKYRVKTCETHISFPVIDLKGIDEDPIKYKEIVDKVRDASETWGFFQVVNHGIPTSILEKTLLGTRQFFEQDTEIKKQYYTRDIGEKVIYTSNLDLYSPSVPAASWRDSIFFFMAPNPPSPQEFPTACGEILMDYSKYVTELGFSLLELLSEGLGLDRSYLKDYMDYCHQSCLCHYYPSCPQPELTMGISQHSDTEFITVLLQDDIGGLQVLHQNQWFDVPPIRGALVVNIGDFLQLMSNDKYMSVEHRAIAKKDGSRMSVACFFGENPLQSSKLYEPITELLSEDNPPKYHATTVIDYKNYVLNKGLDGTSALLSYKI >Solyc08g078410.1.1.1 pep chromosome:SL3.0:8:62342863:62343306:1 gene:Solyc08g078410.1 transcript:Solyc08g078410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSKHPIYHGVRKRSWGKWVSEIREPRKKSRIWLGTFSTAEMAARAHDVAAIAIKGHLALLNFPELAHQFPIPSSKCAKDIQSAAAKAAALNISPRNFMEKQSTDELMEMPCSSEETESAESSLTSNSEDPFLDLPDPSYGSHSKI >Solyc03g115490.1.1.1 pep chromosome:SL3.0:3:66655215:66655481:-1 gene:Solyc03g115490.1 transcript:Solyc03g115490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSRVEVLTLFRSLLRTAREFPDYNVREYTKRRTIDGFRQNKDLSDPSKVTAAFSDGRSQLEVAKRQAVVYSLYAPKVKSVMELNQ >Solyc08g007430.2.1 pep chromosome:SL3.0:8:1992822:1999089:1 gene:Solyc08g007430.2 transcript:Solyc08g007430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPETQNDAKTLPDAWDYKGRPSLRSSSGGWASGAMILGVEAVERLTTLGIAVNLVTYLTGTMHLGNATAANNVTNFLGTSFMLTLLGGFIADTFLGRYLTIGIFATVQAIGVTILTISTIVPSLRPPKCEQGSSSCIPANGKQLMVLYIALYMTALGTGGLKSSVSGFGTDQFDDSNEKEKGQMIKFFDWFFFFINVGSLGAVTILVYVQDNLGREWGYGICACAIVIALVLFLFGTRKYRFKKLVGSPLTQIASVFVAAWRNRHMELPSDSSLLYEIDDNVFGEGHKKRKQKLPHSKEYLFLDKAAIKEDGLESNVVNKWKVSTLTDVEEVKLLFRMLPTWATTIMFWTTYAQMTTFSVSQATTMDRHIGKSFEIPPASLTAFFVGAILLTVIFYDRVIVPICRRFANKRHGLTPLQRIFIGLILSIIAMIASALTEVKRLNTAHLNGLTNDPNATIPLSVFWLIPQFLLIGAGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLALGFFFSSILVTIVHMVTGTKHPWIADNLNQGKLHDFYWLLAILSVLNLMVFLYTSKTYVYKEKRLAEMGIELEDSGPVCH >Solyc03g006530.3.1 pep chromosome:SL3.0:3:1104572:1111310:-1 gene:Solyc03g006530.3 transcript:Solyc03g006530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVFCGVVPFIFRRKPSLLKEEDTRKTRRLHTRVIKKLPSMLVPRRSKANKGSKSRNAYGVKAPKSPRLQGSNVAKAPKSPRMYSSNGVKTITRSATFQNSCGVASNFRIMTGIRNKIITLRHLLDLSPCDGSESANELLISTLRDLHKLFPSINPNFSLSKIDGTSIHEKVRCFCDILKSIGEMWTGNDEWMITCKENSNSKLNDFEYVLALLDDIIKLASERMPEMMDEDEEDEDEDEEEVEHEHEHEHEDETEDEDDQRIETSTSPDAFEKNFSEIYSSNNSSLSSSPTSVLPEIITNSSKKNGKASITSPLLLSLKVQPVGNQNPIEVRRLSFHMIPNANQDSGYSVQLGSDVDEKGSNTEANQDCELMDLPEILLNSLEKASENGGIFWTGASNGQAVPARVTFDVLLPPSSVSRLQSNVTEQSSVPPSPNILSPKIIESQVPTSPQPDSSCENNEPEPASPPACITSGNTVTSPPPPPPPPITSKNRAVPCPPPPPPRKSENVHSPPPPPPPPCSPHKGLKGMVTPHPLPPKESNRATPPPPPPTPMGKVAAPPPMPMGKRAANPPSPRSAPPPPMQTKKGGAPPPPPPTFAGAKNPRLRKAATKLKRSSQMGNLYRSLKGKVEGSSLNGKQKGGKGNFNASKGGKPGMADALAEMTKRSAYFQQIEEDVKNHAKLIKEMKKAIASFNTSDMSELITFHNYVESHLEKLTDESQVLARFEDFPCKKLEALRTAATLYSKLDSIVSTLQNWQPASPVGQHLARAERYFNKIKGDVDTLERTKDEESKKLKTHKIQFDFGALVRIKELMVDVSSNCMELALKEKREAMAGGKEGAGPTNEGTKKESATQLWKAFQFAFRVYTFAGGQDERAEKLTKELAEVIETDLHH >Solyc10g076450.2.1 pep chromosome:SL3.0:10:59516300:59526319:-1 gene:Solyc10g076450.2 transcript:Solyc10g076450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNIFATMRSLNMIEGCKGTQIYAFNQSGKTTTNGYGGVGEKFLQHLHERVNSVRSKSNRNYQAMQPKDNAVLVEALASYGIPQTDFIEPQIESYLKSVNFVETLADVYRRMKGCSMFEISGMYLEQCAIFKGLSDPKLFRKCLKSGREHAVDVHSKVVLSAWLRFDRREDELIGVSAMDCCGRSIECPRSSLVSGYNPELATDPCSCHQRPVQDEDTEVFVGDDECSTSISHGYEEEDYDMSFCIGEEEVRCRRFNMASLSRPFEVMLYDGFMESRREKINFSQNGISAKGMKAAEMFSRTKSVESFDPETVLELLSLANKFCCIEMKSACDAYLASLVFDMDSAMLLIEYGLEETAYVLVAACLQVLLRELPNSMHNPNVSRLFCSSEGKDRLASAGHASFLLYYFLTQTAMEEDMKSNTTVMLLERLGEFSSLGWQKQLAFHQLGCVMLERKEYKDAQKWFEAAVEAGHVYSLAGIARAKYKRGHMYKAYKLMNSLISDCTPCGWMYQERSLYCHGKEKTMDLSTATELDPTLSYPYKYRAMSMVEENRTEAAISEIDKIIGFKVSPDCLELRAWFLIALEDYEGALRDVRALLTLDPQYVMFHGKLQGDKLVELLCHTVQQRNQADCWMQLYDRWSSVDDIGSLGVVHHMLANDPGKSLLLFRQSLLLLRLNSHRAAMRSLREARNQATTEYERLVYEGWILYDTGYREEAISKAEQSISIQRSFEAFFLKAYVLSETNSDSESSLYVIQLLEEALRCPSDGLRKGQALSNLASIYVDVDKLDNAIDCYVNALTIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKASYNASAYEKRSEYCDRELAKSDLTMATKLDPLRTYPYRYKAAVLMDDHKESEAISELTKVIAFKPDLHLLHLRAAFHDSMNEPTSTIRDCEAALCLDSSHADTLELYSKARQRSNE >Solyc10g080950.2.1 pep chromosome:SL3.0:10:62262618:62265235:1 gene:Solyc10g080950.2 transcript:Solyc10g080950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARNVLQQQNRGEAVPGAIKQKNMAGAQGRNRKALGDIGNMVTVRGGVEGGKPLPQVSRPITRSFCAQLLANAQAAADNQKKSMVVNGDGPIVANGALPVKAAPAARKPAQKKAAIVKPMPEVIEISPDTVEQVKENKQKKKAANDSSMKKAATLTSTLTARSKAACGLSHKPKNQIVDIDATDVNNELAVVEYVEDIYTFYKIAENESRIHDYMDSQPEINEKMRSILIDWLIEVHQKFELNQETLYLTINIVDRYLAVTTTSRRELQLVGMSAMLIASKYEEIWAPEVNDFVCISDRAYDHEQVLGMEKRILGQLEWYLTVPTPYVFLVRFIKAAVSDAQANVTVLNSCNVTSMENMVYFLAELGLMNYATNSYCSSMIAASAVYVARHALNCSPFWNETLKLHTGFSESQLLGCAKLLVSYHMEAPQHKLRVIYRKYSSSHRGAVALNPPAISLLGSS >Solyc03g044950.2.1 pep chromosome:SL3.0:3:11138332:11140419:-1 gene:Solyc03g044950.2 transcript:Solyc03g044950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLQQRIFSGYKFCAPSLTLTCRITSSTISEAKSTDKNIDPCRFLYQSSVYSPEIRQRLHGMDISSRDKMRFINEVLLLPRPPLQSESLDVGSMTGVQLELLKTRLRKMEKNWILFAEFIKICKETCNLDDDKSLKFAKKMDESGDVIVMGNFVFLRPYQVVEAMQEVMRMHKPNHEKERMKELERMEEEKTSIDKKVVSLVRKEMWFGLGCFIIQTIAFMRFTFWDLTWDVMEPICFYLTSTYFITTCIFFLKTSKEPSFQGFFQARFSTKQNRLMKVRNFDLQRYNELQRAHYPPKK >Solyc01g049765.1.1 pep chromosome:SL3.0:1:46272318:46272614:1 gene:Solyc01g049765.1 transcript:Solyc01g049765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIDFVENKIPSSLFWIQTMTILDVLYRFTYYFEYFKNYDSTNWAIKFMKRKIEMLYIEENPKVLVNNLII >Solyc09g092580.3.1 pep chromosome:SL3.0:9:72087508:72091554:1 gene:Solyc09g092580.3 transcript:Solyc09g092580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFPLFVTLVIITILSFILPKTRKNRKNILPPGPLGLPFIGNLHQFDSVTTHLYFSKLSKKYGKIFSLKLGSSTMVVVSSANLAKDVLKTQDLVFCSRPSLLGQQKLSYNGHDIALSPYNDYWRELRKISVLHLFSLKKVQYFSPIREDEVSMMINKISQQAATSQITNLSDIVISLTTTIIYRTAFGIRYDEETREGRRFDELLKVTEEMLAGFFVTDYFPLLGWIDKLSGKINKLEKNFKDLDEFYEGLIEQHLNPKRPKSMEGDIIDLLLELKKEKSTPIDLTLENIKAIIMNMLVGGTNTSAAAVVWAMTALISKPNAMKKVQAEIREMVGKKSIVNEDDIRNLPYFKAVIKETFRLYPPGPLLIARETMQNSILEGYEIKAKTIVHVNIWAIARDPEIWENPEEFIPERFLNSDIDLKGQNFELIPFGAGRRGCPAVALGVATVELVLSNLLYAFDWELPCGMNKDDIDTNVLPGLTRHKKQPLCLVPRNYLQKLN >Solyc05g051130.2.1.1 pep chromosome:SL3.0:5:62169480:62170093:-1 gene:Solyc05g051130.2 transcript:Solyc05g051130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPHISLAFLDQDDPYDMGGDHNFLSGGNGRLVYPLTENVPIIFLKIVHIIRYGRNIVKVTSRADYLMEI >Solyc03g096960.3.1 pep chromosome:SL3.0:3:60771146:60773174:1 gene:Solyc03g096960.3 transcript:Solyc03g096960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKRYRIMAKFNEVQKKRRAIISESKRARHGDPNTRKLKQKVQPLAISGKRKRKLFKKWRREQKEAVEKGVITMEDVEMAVADAAEGKTQDSNKAHVKFPMKKSSKLKMKQLKKKGKSKRKSVKQATESSTDAMME >Solyc08g067600.2.1 pep chromosome:SL3.0:8:56655913:56657809:-1 gene:Solyc08g067600.2 transcript:Solyc08g067600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYMSPTQHFSQYIYYKIEGLIFHSWKKKKEKMGAKLTVIENRCNDAIEIRVWVPTTRPDKFQSIIRIEGNGGWKEVNSKNFIHADATVRDDDDIEFTMLMIYIDGVHTGYYFLITDLVKYAKIIICNRKNEHGTVVVQGIKPTFNFCRFKGCAFFPYLKANKKEMI >Solyc06g036770.1.1 pep chromosome:SL3.0:6:27729822:27730386:1 gene:Solyc06g036770.1 transcript:Solyc06g036770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGTSVFIHKIFSTPTLVIDNRASVGGGGYLYKYFGDGGEAIWVSMRDDLGEFSSSLSKENQLGVN >Solyc11g044360.2.1 pep chromosome:SL3.0:11:33018863:33020386:1 gene:Solyc11g044360.2 transcript:Solyc11g044360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSNSHSSGIMWFFKDKGFDDTSINEMFQKCKRLEGVQREKASENWDYLRSIGIQERKLPSVVRKCPKILTLGLHEKLFPMVNCLETLGSKPQEVASAITKFPHILAHSVEEKLCPLLGFFEALGVTEKQLGKMILINPRIISYSIEHKLSQMVEFLSSLHLAKDGMIGKVLVKHPYIMGYSVDNRLRPTSEFLKSIGLTDMDLQKVLVNYPEVLCRDVNKILKPNLSYLTSRGFGVGQIAAVVTCYPPVLIKSVRNSLEPRIKFLIDVMGRGLDEVVDYPDYFRHSLKRRLQLRQKLLMQKNISCTLSEMLDCNQKKFLFNKVRACNVAYSDRESKVNIHLEG >Solyc07g051870.1.1.1 pep chromosome:SL3.0:7:60528463:60529452:-1 gene:Solyc07g051870.1 transcript:Solyc07g051870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWIRGHTIGRGSSAAVSAAKSILSGEVFAVKSVELSKSQLLQKEQKILSQLSSPYVVKYMGYDVTKEKDKLMFNVRMEYMPDGTLSDEIRKQSGRMNERLIGYYTKQMVQGLDYLHSRGIAHCDIKGQNILLGESGAKIADFGCARWIHPAEPIGGTPMFMAPEVARGEEQGCAADIWGLGCTIIEMATGGSPWNNVTNAASLLYKIAFSEQSPEIPKFLSLQARDFLSKCLTRDPKERWTAKQLLKHPFLEELNLNSTSTNQNFVTKSPTSILDQDIWNSETMYSTILQEVSSPLQRLRDLSSNSGECNWRWNDDEMWITVRSSNNE >Solyc05g006540.3.1 pep chromosome:SL3.0:5:1151308:1165565:-1 gene:Solyc05g006540.3 transcript:Solyc05g006540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLKRPVVVSSRGEPSGQSPMMGGGSANKLTTNDALSYLKSVKEIFQDRRDKYDEFLDVMKDFKSQRIDTSGVIARVKDLFKGHRTLILGFNTFLPKGYEITNPEDEAPVKKPVEFEEAISFVNKIKTRFQGDDFVYKSFLDILNLYRKENKAIAEVYNEVSYLFRGHADLLEEFTHFLPDAMAAARARNAQAHRAPIMRYDEKSSSMTAARHMHVEKATSLVVRENAVDRSDPEYEETTMRTERERRERQYEDRELDRKSVHRDVAVDQFEPSMQDHGFAYCERVKERLQDMGERKQFFKCLNFYCKEGVTRTQLQSGVSSLLQKHPDLMEGFDEFISHCERTDGYLAAILSKTQSLWSDEPNPKSEKVEDRDKDRDCEWEERNRLRETRERDRPVAYATRDAQGQKMSLYSKDKYAAKPIHELDLSNCDSCSPSYRLLPKNYPIPLASQKTDIGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNATTRRVEELLNKINDNTISSDSHIRIEEHFTALNLRCIERLYGDHGLDVMDVLRKNAPLALPVILTRLKQKQEEWARCRSDFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKCKEDDVLLSVAAGNGQPIITHLEFEYPDSDIHEDLYQIIKYSCIEVCTREQLDKVMKIWTTFLEPIFGVLRPPQGEVDGVDVEKAKNLNAKDKTAIEGKKVGSPASGSGMNCRQSSSRNGDELPTSEHVISSRVQIADGENGFKDDSSPNVNGVMLKIATSKNLLHHGKSDANLNMADGASGLSREAFCADPLVLSNSTTVGESHGRVCIETASGHGAGTSRPSGSTIKREPEIVSSNDSKDGGFVDPKAEGIKVEKCLEESVGKCKLEREEGELTPNGDFEDNFTPSSEGGQNVSHSLKGSSSSKLYQSGHGEEKNCGGDRGCENDADEEGEASAHGTSEDSENASENCDVSGSESANGEGSHEEREEDGDNDVNDNKVESEGEVEGTADAHDAEGDGAVMPFSERLLHTSRPLTKHVPSIFHVREKESRIFYGNDSFYVLFRLHQTLYERLQKAKSHSSSAEHRWRVSNAINPTESYSRFRSALYNLLDGSSDNAKFEDDCRAIIGAQSYLLFTLDKLIYKIVKQLQTIATDELEGKLLQLYTYEISRKSSTFSDVVYHENVRALLHDETIYRIACSSKKTRLSIQLMDYVYDKPEMSAVTMDPNFAAYMSNELLSVIPQKENPGVFLTRNKRKSGVRDETFTSEAMEGLKFFNGLECKIACSSSKVSYVLDTEDFLIRSRKRRRILKENLLSNGHSECLNKSNKIQRFRRLLSVEHAHFA >Solyc01g067125.1.1 pep chromosome:SL3.0:1:75300596:75301196:-1 gene:Solyc01g067125.1 transcript:Solyc01g067125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKPTLSFEQGWPILQEEAINKVILLVEEGHTSNQFTSEETVYNMCDNNPAGPEAQKLYQKYKKSIEDYVSTKAS >Solyc10g085890.1.1.1 pep chromosome:SL3.0:10:65042168:65042419:1 gene:Solyc10g085890.1 transcript:Solyc10g085890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLNLFAFKCVVTIVIITPRCDSNRAISIIGIVWPCAMKGNNTKCGSIVRTPIVKSKEKNERIFFFVVILLHYGFINILFNL >Solyc03g113500.3.1 pep chromosome:SL3.0:3:65105974:65118049:1 gene:Solyc03g113500.3 transcript:Solyc03g113500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPQPSSPIQHQTNHTHNENSQNKPSHSPEKKSSQNNFNSNSNANNSSSNIVRRERPARECTKRAAARLQAAAAAEAEAATKVRKKKTAGRKERLAARLLREEEDEEKSQEGDDEEEVEEGENGSPSSRQQCGKIVTQLVGEPEPSQLPRWNIRSMWQLASILNFLNVFRPLLNIRVEFSAEEFETALITPNDTLGHIHMPLLKAIPPVTRMALGHNTWITVLCRKLRDWWHWVAEGELPIVAAQGAEIEAYSALDPAVRVVILKALCDIRVEQEDIRNYIDENLKQGVHLSLFRKERIGGDSHGISYWYENDPIIGHRLYREIRKIEIKKGKGKTVPQIPSSCYQWETVATNLDEFQDVSEKLFSSKNRTEASVGKKLKNDMLAEVESVHKKKEKLLKKQHRQALMLDNMLNMDGLSGGRSLRDRKPVTYTFDDYDRSINEAIKITKNGQPSAEPALRRDPATRHETSTNGRLGSPPHLSQHVSFRVRSPKSPEYDEYDEDHNDEQLDRSNRRRQRPQRYSASEFIEELSENEADFDSDDDIMGEAVYDEEYLRKRKQRKVSSSSEGDDEYHWDEENPEDEEEEYEDEDSPSASEESDEPRSRRFKKLPGRTRRETKLRSVGNLQSGLRRSNRATRNRINYSNLEMSESESESTKPEKSNGSEEHYDASDNAEFSMGSDETEENNDNEETKVEQPVNDVPSEMATVDQNDVPKRTSSPDQDQEDVESGGKRHFLDLNELAPGPGFNDGPNSVMKDEGADDF >Solyc08g065630.2.1 pep chromosome:SL3.0:8:53887313:53895965:-1 gene:Solyc08g065630.2 transcript:Solyc08g065630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFQLVITLLSSSLQASAQKVALEIKEVSANVYVVMRYWHPFIEEAVHQRAEEKIGVLLLNLGGPDTLYDVQPFLLNLFADPDIIRIPRLFRFLQCPLVQFISVLQALKSKERYAAIGGDSPLQKITDEQASALKLALEIKEVPANVYVVMRYWHPFIEEAVHQTLIFPDCQLLSLNPCTNGKVTSKPMESLIEQELHNFTKPEEVIIFFSPHGVPVCYVENASDPYRDRMEEFIFLIMKEVKGREINNDHTLDYQAPPQQQQTPGGSAASTDTTAYGYNQPSATGYNQGKSYSQDGYDGYHAPAAQSGYLGTSYDQQQGYSDTPSYGNVPNPTSDSHNTSHGKQGDGSQAPAPAQSSMGQQGYQSGQQPSTTPSYPSQDSTQAGYGMTPTSQGGYGTQSTIGYGTQPAAGYGPTQSQKPPTSHPAYGQPQQSPTTQDGYAKPPPL >Solyc03g096040.3.1 pep chromosome:SL3.0:3:59284888:59286761:1 gene:Solyc03g096040.3 transcript:Solyc03g096040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTIGDDLPNLQVETNHGKMKLHDYVGDSYTILFSHPGDFTPVCTTELAMMAAYANKFAERGVKLLGLSCDDVQSHNEWIKDIEAYNKGHKVTYPIIADPNRELIKQLNMVDPDEIDSSGYNLPSRALHIVGPDKKIKLSFLYPASTGRNMDEVLRVVESLQKAAKYKVATPANWKPGEPVVIAPAVSNEEAKEMFPQGFDTANLPSGKGYLRFTNV >Solyc12g056330.1.1.1 pep chromosome:SL3.0:12:63243765:63244043:1 gene:Solyc12g056330.1 transcript:Solyc12g056330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTRANKAKTNTSDGKEILKLPVAITENEKTKSPPKKGNEKLKSCENIRSGVLTRGMINRAKAKAIDDERAKVKALSEPSCKVKLNFDHL >Solyc07g017297.1.1 pep chromosome:SL3.0:7:6710748:6721725:-1 gene:Solyc07g017297.1 transcript:Solyc07g017297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPESVSYPTSSPQAHAHPDITSPDTETSSPIIPNKKRKTKSGEAKPYHRRPARGRKIVAFVQKKRKTKSGEAKPYHRRPARGRKIVAFVQVIVFWDFVNDCQ >Solyc04g081170.3.1 pep chromosome:SL3.0:4:65270168:65271980:1 gene:Solyc04g081170.3 transcript:Solyc04g081170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GAGA-binding transcriptional activator [Source:UniProtKB/TrEMBL;Acc:H1ZN91] MDDSGNRDNGRHKPPQGQWLMQHQPSMKQIMAIMAERDAAIQERNLALSEKKAALAERDMAILQRDSAIAERNNAIMERDNAFATLQYRETSMTAGQIVRGVKHMHHPQQHVHHQPHMGEPTYNPREMHMVEAIPVSQPAPEPAKPRRNKRAKEPKAATGSKKTPKASKKVKRETEDLNQTTYGKSPEWKGAQEMVGASDDLNRQLSVAKPDWKDQDLGLNQVAFDETTMPVPVCSCTGVLRPCYKWGNGGWQSSCCTTNLSMYPLPAVPNKRHARIGGRKMSGSAFTKLLSRLAAEGHDLSNPVDLKNNWAKHGTNRYITIK >Solyc12g094600.2.1 pep chromosome:SL3.0:12:65754946:65759160:-1 gene:Solyc12g094600.2 transcript:Solyc12g094600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSNENSQGVVRPSILRGVMGNNRRALSTINGNIVEAPQYPCKLRKKNGVTDKYVDGEMNPIHRLVTRSDFDSWLRLQIALTVKYFYHFVCFSCNITVDSCLRKYAAQVADKRQQPSLEVTKPVVETAPNGNELEGAINTDVEDYKATSDYVVPMSVQHTEAMMEEIDRMDEEIEMEEIEKALIVDIDRADKKNVLAAVEYIDDIHAYYKKIESSACAPPNYMEQQFDINERMRAILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVVRKELQLVGVTALFLACKYEEVSVPVVQDLILISDKAYTGMEVLEMEKLMINTLQFKLSVPTPYMFMKRFLKAAQSDKKVELLSFFMTELCLVEYETLRFPPSMLAAAAIFTAQCTLGVAKEWTKTCEKYSKYTRDQLLECSKLMVTFHQKAATGRLNAVHRKYSISKYGFVAKMFPPASFLLEASFF >Solyc07g017820.2.1 pep chromosome:SL3.0:7:8134894:8139071:-1 gene:Solyc07g017820.2 transcript:Solyc07g017820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISSFLSYISSNGLPQPMSIHIDGGWWVNLPQILKKMSTLQFVDVNGIVYKIAQFFLLDRKMGKRKFKINNISRSFDGNKEIVLVGPSHSSYTSALRIKVFLMCGGALALSPLPDFSEEGRTARYRGKREQLNPPSPAFRKECRKENHLEETLVLGRMPDFEAQPSPRLGNKAKERCPESLDPELLTGEGKPYRITHFSHGGHERKTHLKSYRAQKVVSLVIGTSIGQVSPLNPSWEESGLSQRQDFEDRTLRENNG >Solyc12g099410.2.1 pep chromosome:SL3.0:12:67547983:67551778:1 gene:Solyc12g099410.2 transcript:Solyc12g099410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4DHW2] MAEAGKKKIVIAGIASILLVACVVGAAVTFTKKNDDSTSNGGEISSSTKSVQAMCQPTQYKETCAKSLGSAKNTTNPKELIKAAFDNTLTEITNSIKNSAPFKEAANDPRTKNALKVCDEVLDRSIEEIKRSFSKIDSNDLDKLIKEYIYDVRSWLSSAVTLEETCIDAFANTTGDTGEKMKNILKTASELSSVALDIVSSFEEQMVGLQGLGITNRRLLVAEAGNRRLLQIATLKPNVVVAQDGSGQHKSINEALKTVPPNNAQPYVIFIKAGIYNENIEVANTMTNVVFIGEGSNKTKITSNKNYLDGLPIFQTATVSISGQGFVAKDIAFENSAGPLKKEAVALRVSAEMTAFQNCQIDGYQSTLMTHIGRQFYRDCTISGTIDFIFGDAVAVLQNCKIIARKPEEMQTQAITIAAQGRMEPSGTGIIIIQNCTITAEPALVAINPPRNKAFLGRPAKMYSRTIVMQSQIDGFIEPEGWTPFFGTFGLETLYFVEYQNRGPGANTDERVTWKSYMKNPPQDVIAKFAPGVVLKGGDNTDGWVTKTGVPYEPAMMKM >Solyc09g014550.3.1 pep chromosome:SL3.0:9:6176811:6178036:-1 gene:Solyc09g014550.3 transcript:Solyc09g014550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVLCAKIEMKANKDVFHDVFTNKPHHVSTMCPLHIQGCELLEGVFGTVGSKICWTYILEGEKKISKQVVETVDHETKVLTFKEFEGDLVNKYDNFKATLRIETKDEIDLVSWTMEYERPNENVPELVNLLDFVVGMTKGIDDHHVKMN >Solyc11g040267.1.1 pep chromosome:SL3.0:11:38388418:38391963:1 gene:Solyc11g040267.1 transcript:Solyc11g040267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVTVLQFNFERSLTLTKICEIGMDERRHVQITLSGSSESRAKGQGRRKFPTAHAIWVHLRGTYAGTSTTHLQQLTIKFDTYKKRHDQNIKQYFRMMSNMIPQLKSVGHVLSYEKKVQAVIRSLPNNWEHLKTNLTHNDSIKTFFDVALHVELEDEHLGATNARKAGKNGKDKETREGPSKKKKKPNSKKGKRVFQEERQEKNEVLQFSNVDAFRLSTDHVSHDREAFVEFRRVSSKSRWMYVGNNARLEVKAGNNLEYVKIVKSWLSKSIDMEVMGEAYYILGVKIQRYHSKKF >Solyc02g093220.3.1 pep chromosome:SL3.0:2:54791376:54797286:-1 gene:Solyc02g093220.3 transcript:Solyc02g093220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKGMEELDDQNRPIDRLPFDLLAHIFAMLTCFKDLAQTSAVCRKWRQGVKESLARREKMSFSGWKVDDDSTTRLVLHAYSLKELDISRSRWGCQITDRGLYQLSTAKCVSNLSSLSLWGTTGITDTGVVQLISRANSLQHLNIGGTFITDTSLFAIAGSCPHLKSIVLWGCRHVTENGLLALVNKCRKLESINAWGMRVTVDCFVGLLTISPALQIEPKGMLPNIAVF >Solyc10g049420.2.1 pep chromosome:SL3.0:10:45703587:45704279:1 gene:Solyc10g049420.2 transcript:Solyc10g049420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGSLVLKTMLTSPTFKPCLHKTIIAMRCMATTRRPPPRPPIKTKPPQNNIIKDKKENVMIEEKSNIKLQQDNSMVKAN >Solyc05g047510.2.1 pep chromosome:SL3.0:5:59848083:59850495:1 gene:Solyc05g047510.2 transcript:Solyc05g047510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKLNNITEKKYLQEDNLSEECKKLLSILPKGKAWIGSYIYNYQGCWIPPRLLQGMIACQQQFQAEDSDIILVTTPKSGTTWLKSLLFALVNRVRHPIFEPNHPLLVKNPHVLVPFLEHELYGDGHVPDFSTFTSPRLLATHMPFATLPKSVLDSKTKLIYLCRNPKDTFISMWHFANNLRCHHKDTNSIHEMFDLFCEGLSFSGPFWNHVLDYWKQSIENPNKVFFLMYEEIKEKPKIQLKRLAEFLESPFSTEEENCGVVDEILRMCSFEKLKSLEVNTNGKLSSGEENKVFFRRGEIGDWRNYFTTEMNDKLNHIIEEKFQGTGLKFQYV >Solyc07g047720.3.1 pep chromosome:SL3.0:7:59061049:59065816:1 gene:Solyc07g047720.3 transcript:Solyc07g047720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Membrin [Source:UniProtKB/TrEMBL;Acc:K4CEX8] MATAVGIGEGNSGAGGGTLSELYQSSRRLLLKSRDGLERLERFEYTSSSSSSSFSSAVVTDPSEQPFDAVRQDIAQIQSLCSKMELLWRSISAKSQRDLWKRKVEQVAEEADSLKASLDKYNLRHQRRMQEARERAELIGRSNGDSSHVLRIFDDEAQAMQSARNSSRIMEETLATGVAILSKYSEQRDRLKRAQRKALDVLNTLGLSNSVMRIIEKRNRVDRWIKYAGMVLTIVILFFIWRWTR >Solyc09g031630.1.1.1 pep chromosome:SL3.0:9:28937581:28937817:1 gene:Solyc09g031630.1 transcript:Solyc09g031630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNSEGLQASAMSSAHQLRNIIRGLHALGRHHRLMVGNIIQGLYALFMAYVHTMGDISHGLHAFDRRRRPTTCTTS >Solyc01g080590.3.1 pep chromosome:SL3.0:1:79683850:79689808:-1 gene:Solyc01g080590.3 transcript:Solyc01g080590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMTFRVVIAILVVLLLYYVGRPLYWKISATVHDIRHNKQTVSGGFSQIVQAAQNSVSWFHDESDSGVLDVGIAAARRIVLRKVL >Solyc04g050576.1.1 pep chromosome:SL3.0:4:47604969:47615125:-1 gene:Solyc04g050576.1 transcript:Solyc04g050576.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFGTHTSLVHDAEKWKLKNYCGINSKRDEASLIKHQAKTTSLLAIKLPEVTEDFLSTKVMCPARMIYKESIKARASHTITQCYSQLFFNILIYNVDYMKTEALSHTYLRTSLLECYL >Solyc06g008240.3.1 pep chromosome:SL3.0:6:2114212:2118638:-1 gene:Solyc06g008240.3 transcript:Solyc06g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVKGLLKGLRYISQVFDEDKEKDIQIGFPTDVKHVAHIGWDGPSVDNPSWMKEFKAPGAFQSAPLMPPPGEHKENPDIKWVSEDSNRRSRNANSSPPKEKQEKPRTSRRHSTTENGSNENSTNKEPGTKSRGSRRHHNKDTADGTKSSDSSSKNNPDIPKKSRRKKSKEDGGLNRPSKSKGTTQITESGPKSENENSEISKEK >Solyc04g054155.1.1 pep chromosome:SL3.0:4:51769699:51771883:-1 gene:Solyc04g054155.1 transcript:Solyc04g054155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHWDGMKAEWTIFKQLMRGDTDYDEERQNGIDNDDMEHFINTNNEGGDESDDPEDMNSSMFPKPSIKRPNSTDGIGTNEEDEELEQIRREQNEKEWMSLCRIAAVSKLARDIIKPHLNYNDGVGAHKPCNERYLPFFKDCIGALDDTHVKARLPQDQ >Solyc04g074390.3.1 pep chromosome:SL3.0:4:60459903:60461707:-1 gene:Solyc04g074390.3 transcript:Solyc04g074390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BTX7] MGSTGNHDKPHAVCIPYPAQGHINPMLKLAKILNYKGFHITFVNNEYNHRRLLKSRGPDSLKGLPSFRFETIPDGLPPCDADSTQDIPALCESTTKTCLGPFKELLAKLNDTCSSNVPTVSCIISDGCMSFTLAAAQELGIPEVFFWTPSACGSLGYLHYHELAKKGYFPLKDVTDLTNGYLETALDWIPGMKGIRLRDLPSFLRSTNSDDFMFNFLIQETDRSKLASAIVINTFDPLEKEVLESLQPLLPPVYAIGPLHFLVKHIEDKNLEHLGSNLWKEDPKSVEWLDSKKPNSVVYVNFGSITVMTANQLIEFAWGLANSQMEFLWIIRPDIVSGEEAILPPEFVEETKERGMLTSWCPQEQVLSHPAIGGFLTHSGWNSTLESIGNGVPMICWPFFAEQQTNCWFKCTQWGIGMEIDNNVKRDEVESLVRELMVGEKGKDMKKKAMEWKKSAEEAAAKPTGSSYVNIDKLINEILFKH >Solyc02g093070.3.1 pep chromosome:SL3.0:2:54643394:54645921:-1 gene:Solyc02g093070.3 transcript:Solyc02g093070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDFKSIPLIDISALLEKWDHPNVAQDEGVAQVVRQLDHACRHAGFFYVKGHGIPISLMEEIRSVSREYFYQPYEEKIKIKLSAATGYRGYQRFRENITKGIPDMQEAIDFYREVKHGMYGDLGEVMQGSNIWPSNPSKFKKLMELYIDLCTDVSRKIMRGIGLALGGSADEMEGKIAGDPFWVLRTIGYPASSILDEHDKANDVVGCGEHTDYGLLTLLNQDDDIVALQVKNKSGEWISAPPVPGTFVCNIGDMLKILSNGIYESTLHRVINNTPRYRVCVAYFYEPNFDAAVEPLDVCSQKTGGTKSFEGAVYGKHLVSKVLNNFVM >Solyc09g072873.1.1 pep chromosome:SL3.0:9:65977406:65979243:1 gene:Solyc09g072873.1 transcript:Solyc09g072873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVWPTGPVMWPNSLGLLSGSELRVFFRKNYILTELHKFEANIQVSGSRATVYGKGKASSQANATDLQGEISLVLASLSAEGITEISGTSHADRGYENLEMKLQKELLKSVEPPMLIGVMKIWK >Solyc08g067380.1.1 pep chromosome:SL3.0:8:56482600:56483954:1 gene:Solyc08g067380.1 transcript:Solyc08g067380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVGFPDHYGNQKGLFLFNSGQRKDIGNLSIILQEYHHGNFDGVKSLYVDDQCADIAQLIKLGNELPNQPVFPQLEKLNIHHMQKTQGICVEELLPGCLQKIRTLEVGECPNLKDSLLPPNLIQRMPNLEEIKVTGTSINAVFGFYGITFQGGQLRKLKRMTLQNLSQLTSL >Solyc06g036234.1.1 pep chromosome:SL3.0:6:25805253:25806512:1 gene:Solyc06g036234.1 transcript:Solyc06g036234.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALFCLLAAVGRNLIVANTLGSFALLTVMVMGGFIVSRGNISSSVEKLQVQYTTYMFTLSEWWIWGCWFLPLIYAQNAIAVNEFLGKSWAHFPPNSTDTLGETHLKLCGLFPSLGVGALLGYVLLFNFLFSVALTYLNRKDSETPFGKPQPILSEETVTDKDSQHKGFTEDRLELLKGVSGAFRPGVLTALMGVTGAGKTTMIDVLARRKTGGHIEGSITYIRVPHEARNICLNSRILSAN >Solyc09g066220.1.1 pep chromosome:SL3.0:9:64884590:64884985:1 gene:Solyc09g066220.1 transcript:Solyc09g066220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNNSDDAEDNHIAYEVTDCGIYLLAFAEYLSEGEGIPVQYLDSKLYRIRYGALLWEYAMKKMKDGADSDNEAPPRRTRTPARSIIVNLF >Solyc11g063720.1.1.1 pep chromosome:SL3.0:11:50090769:50091062:1 gene:Solyc11g063720.1 transcript:Solyc11g063720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:K4D984] MTRVKRGYIARRRRTKILLFASRFRGAHSRLTKTITQQKIRALVSAHRDRDRKKRDFHRLWITRINAVIRERGVSYSYNRLIHDLYSCFSTVKYLHK >Solyc07g052390.3.1 pep chromosome:SL3.0:7:61030682:61035958:1 gene:Solyc07g052390.3 transcript:Solyc07g052390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRFLWGVLPLFVFIWLLQNKHGNCEKPDVVNVGAVFAFDSVMGRAVKKAMELAVSDINGDPSILNGTSLNLIMEDSECSVFKGSIGALQVTEKQVVAIIGPQSSAIAHMISFISNGLHVPLISYAATDPTLSSLQFPFFLRTTQSDQSQMEAVADIVYFYEWKEVIAIFLDDDYGRNGIAALNDALTNKMLKISYKLPLPINYDITDIMYVLNQSKSLGPRVFVVHINPDSQLRFFNAVHKLKMNGSNYVWLMTDWFSTTLDSFSPKNRSLLSTLEGVVSLRPYIPQSAQKRAFLSRWRKLLQNELVHSGLTAYGLYAYDTVWVVARSIDNLLQQGGNISFSLSNMLNGTTSDKLQLGKLKEFDGGGLLMNILSLTNFTGLTGKIHFSQDRNLIGSGYEVINIVKQEIHIVGYWSNFSGLSVLPPKPLQNKETAVTNLNQNLKSVSWPGGKSETPRGWVIANDERPLRIGFPRRASFTEFVTLNASHNVQGYCIDLFYEARKLVPYDIPFTFVPFGSGLANPDYNAFVNMVATDVFDAAIGDIAIVTNRTRMVDFTQPYVSTGLVIVAPIDTSESSAWVFLKPFTLEMWGVTALSFLIIAVVIWILEHRVNEDFRGPPKRQITTMFLFSFSTLFKTNQENTVSTLGRMVMVVWLFLLLVITSSYTASLTSILTVQQLSSPITGIDSLIASNSLIGYQVGSFAYSYLKDILNIAPSRLKSLRSPEEFEAALRQGLGNGGVMAIVDELPYMELFLQNRTDFGIIGRPFTKSGWGFAFKKDSPLANDMSTAILKLAESGKLQEIHEKWFCQLGCPTDRRKDSVPDQLHLSSFWALYLLSGAVTVLALLIFLLKSIRQYIRYKRNHTDLSSPSNTRCSHVIYSFFDFIDEKEEAIKRIFAQQDNAQPQTNGS >Solyc01g111120.3.1 pep chromosome:SL3.0:1:97406471:97412560:-1 gene:Solyc01g111120.3 transcript:Solyc01g111120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASTSLASQMSGPKSVTSISCPQFSGLRKSLSKLDNSVSFSTSQAFFQNVDSHLRISSDRKGCRAVVAMAGSGKFFVGGNWKCNGTKDSISKLVSDLNSAQLESDVDVVVAPPFLYIDQVKNSLTDRIEVSAQNCWTGKGGAFTGEISVEQVKDLGCKWVILGHSERRHVIGENDEFIGKKAAYALSQGVGVIACIGELLEEREAGKTFDVYAIPSWDNVVIAYEPVWAIGTGKVASPEQAQEVHVAVRDWLTKNVSAEVASKTRIIYGGSVNGSNSSDLAKKEDIDGFLVGGASLKGPEFATIVNSVTAKKVAA >Solyc11g069450.1.1.1 pep chromosome:SL3.0:11:54326581:54327102:1 gene:Solyc11g069450.1 transcript:Solyc11g069450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKSYFARSNYRFLSSDRNVSVTSDTMFELDESDVWNSPATARSSSPEFRKTNTRISRKQSIAKSDRNSTGVTVKSAAAVAAASSMPVNVPDWSKILKDEYRENRRRDSDDDGEDDDDAENRIPPHEFLARQFARTRIASFSVHEGVGRTLKGRDLSRVRNAIFEKTGFED >Solyc01g056870.2.1.1 pep chromosome:SL3.0:1:57345616:57345894:-1 gene:Solyc01g056870.2 transcript:Solyc01g056870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QFIWDPADPLFFLFKDQPPSSVFSHRELFADEEMSKGLLTSQIYPPTSLYKRWFIKNTQEKHFELLINRQRWLRTNSSLSNHVVRLIKVYVL >Solyc03g121100.3.1 pep chromosome:SL3.0:3:70807313:70808485:-1 gene:Solyc03g121100.3 transcript:Solyc03g121100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKQYRDDCYNVVAAAIQYAKTIKIGKNGKDIWVFDIDETTLSNLPYYARSDVAFGSIKYNGTKFDEWTREGKAPPVPAALFLYNTLLSMGIKPVFISGTKEEFRQIRITNLNKVGYHSWIRLILKGVNDTGSSVKYKSGKRGELVKDGYRIVGNIGDQWSDLLGDYVGQRTFKLPDPMYYIA >Solyc01g107215.1.1 pep chromosome:SL3.0:1:94747395:94750658:1 gene:Solyc01g107215.1 transcript:Solyc01g107215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMISDGMNVKCIPTVEKNIVRYALPGSFKLQVEAAQCLMGGFSPSSYAYASSIGSKSLHSNFSQSLKYIFLKYGDLIVSAISPYQRLTFNYLLEKKQNTATRLDRMKIYIEAESITQWPLTSSNSIVSLIVLADSIITIDVFVSLISNEYWENSDHHEG >Solyc10g007960.1.1.1 pep chromosome:SL3.0:10:2110666:2112141:-1 gene:Solyc10g007960.1 transcript:Solyc10g007960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AOS3 description:Allene oxide synthase 3 [Source:UniProtKB/Swiss-Prot;Acc:Q8GZP5] MANTKDSYHIITMDTKESSIPSLPMKEIPGDYGVPFFGAIKDRYDFHYNQGADEFFRSRMKKYDSTVFRTNVPPGPFNARNSKVVVLVDAVSYPILFDNSQVDKENYFEGTFMSSPSFNGGYKVCGFLGTSDPKHTTLKGLFLSTLTRLHDKFIPIFTTSITSMFTSLEKELSEKGTSYFNPIGDNLSFEFLFRLFCEGKNPIDTSVGPNGPKIVDKWVFLQLAPLISLGLKFVPNFLEDLVLHTFPLPYILVKRDHQKLYNAFYNSMKDILDEAEKLGVKRDEACHNFVFLAGFNSYGGLKVFFPSLIKWIGTSGPSLHARLVKEIRTAVKEAGGVTLSAIDKMPLVKSVVYETLRMDPPVPFQTVKARKNIIITNHESSFLIKKDELIFGYQPLATKDSKVFKNAEEFNPDRFVGGGEKLLKYVYWSNGKEIDNPSVNDKQCPGKDLIVLMGRLLVVEFFMRYDTFEVEFGKLLLGSKVTFKSLTKATS >Solyc03g013390.1.1.1 pep chromosome:SL3.0:3:46393622:46393993:-1 gene:Solyc03g013390.1 transcript:Solyc03g013390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 3 [Source:UniProtKB/TrEMBL;Acc:K4BER0] MIESRRHSYHLVDQSPWPILGSLTALATTVGGVMYLHSFQGEATLLILGLIFLLYTMFVWWRDVLCESTFEGYHTKDVQMVLFFLSYRPPTPINRSFFLYFAKQREKWIQLPLEEFLKLIKEF >Solyc07g021625.1.1 pep chromosome:SL3.0:7:20367507:20369110:-1 gene:Solyc07g021625.1 transcript:Solyc07g021625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKTAVAPLESVGLSVIFVHPYQHQRSFTKKCYDRKSSRSFQVMDPQLVSIELGAEAKKGKDSGVYVGFEPTTCWAIVAAPTNSFKGNGARSFAAGTAEIYAYPLDLLRAQLAYQ >Solyc02g073574.1.1 pep chromosome:SL3.0:2:42325921:42330341:1 gene:Solyc02g073574.1 transcript:Solyc02g073574.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFRAGFASYTFSILFANFTEFAIDEVSKILNVSTELRKLDRMFLKLQGMVYRMECSRAYLWDSTHYKSSIAWVEEANGLIYHISDILEDISLNLGLREGEKLVNGNLLIDKFLLLIPRKISGIVEDLEDLIKEMGTDFLVDLVKQVPKVVARKQCCGFAGLSNPTHTIGRESQIEKVVEILTQNDVPLCITGMAGIGKTVFTHCLCENKEVKKAFPLLLWVSVSAEFELVRILRAAIESVSKESCYLTDLNVLRSTLQELLLSGDNFLVVLDDLCVEDLEDWNLLYAAFKVESKSSRLVFTTRNSKVASFIGPKMFCLQPLSDEDCWKIIKQRPFINNKMHNLEVIGLEIAKKCKGVPLVAKTIGDILHCLPVNEWDSLVKGNLWDLPQIENHVFPIFLLSYCCLPQHIKKCFSYCCLFPPEYVYKMKDIVLLWMAEGLIHPIDGRRIEDIGREYFEELVWGSLFNSGNEYFHDFDGSYTMHEFNHHVAASVSSSICQRIKDNQSSYSLDRVRHLSICHGNTQSNGLHSFSKCHNLRTFTLLCATNIGPNVPTLFKNFKVMRVLNLKCGGITEIPEIVGNLKHLRYLDLSSNNINTLPSSLCELSLLQVLILENCTSLMCLPDKFSRLTNLRHLFFDVKHQIHQMPVNFRFLKELQTLNAFVVEAENGHTIEELEDLNSLTGSFSLIGLENILNGQLAATANLNEKQGIIELELQWRSHHERNIEYEILTGLQPHKNLERLVISDYRGTTFPSWLWYGPHCMLRSIYLRNCECCKGLPPLGKLQFLESLTIENMTLLVSAFPSLVDLGVFPSLKSLVFSTMPQLIGWGSGEYDMPQLTDLKFYTCPKLKCLRRT >Solyc08g082350.3.1.1 pep chromosome:SL3.0:8:65299455:65301032:1 gene:Solyc08g082350.3 transcript:Solyc08g082350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMVDWSELHPELLILIAKRINLIEDYLNFRTVCKPWYSVATRDNFSSNLPRAPWLMLAEEEDDRTHRKFVSLYNGMILKKRIPGASEKRCFESKGWLVTVGKDEGEISLLQPFSGVRIELPHQNTAAFYEQNRTHDLWTYIHKAVLSANPSHTSDYALMVVEGRFESLSLWRPGDSLWTRIWIPEHIGHISDVVYFSGHFYAVTFGRCVLVCDVVGTDLTKVYCVAHLAPWADGKNYILESLGSLFVVAQQFVDIRYVKEDRESIPPTRIPGGDEEKDQICTYRTRRFLVFQIEFSSCKAIPVMDLGDQAFFLGANASLSIQASQFPGIKPNCIYFTDNWIGAYLFFEKGCGLDMGVFNLADGSIEPFYDGISVSRVCPPIWVTPNP >Solyc06g062600.3.1 pep chromosome:SL3.0:6:39638635:39643420:1 gene:Solyc06g062600.3 transcript:Solyc06g062600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVIGAVMPVIVKLLLLLLCLNFNSSLTQARKWESWKDKYPFIKPASSFSSSNAWSSGSSKGHNYDYIIVGGGTAGCPLAATLSQKFNVLLLERGGVPFANANVSLMQNFHISLADTSPHSASQIFASTDGVFNARARVLGGGTCINAGFYSRASQSYIKKAGWDSKLVNESFPWIEKQIVHKPILAPWQKAVSDGLLEVGISPYNGFTYDHIYGTKFGGTLFDRFGHRKTAADLLTSANPEKLDVLVHAMVQKIEFDTSGRKPRAVGVIFKDEKGNQHTAFLSKRKGSEIIVSSGAIGSPQILLLSGIGPKAELKKLNISVVFDNKFVGKGMSDNPLNTIFVPTNRPVQQSLIQTVGITKMGVYIEASSGYGETEDSIHCHHGIVSAEIGQLSAIPPKQRTLEAIEVYKRNKKHVPQEAFRGGFILEKIATPLSTGHISLKSTKVDDSPSVTFNYFSHPRDLKRCVDGIRIVEQIVKSKHFTTYAQCDKDTLDKLLNMSVQANINLIPKHTNDTESLEQFCKDTVTTIWHYHGGCQVGKVVTPDYRVMNVNRLRVIDGSTFNESPGTNPQATVLMMGRYMGVKILRERLGRAAGL >Solyc08g061905.1.1 pep chromosome:SL3.0:8:49852203:49853352:-1 gene:Solyc08g061905.1 transcript:Solyc08g061905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSSFLQDMGFRTCTHDTSLFTLRHPRGLVIILLYVDDIVITGRSSTLISIITKAMHQNFHLKDLGHLHYFLGIEVLRTSSSLLLHQSKCTEELLPRAGIAESKTAPTPMAVRPLSASDNRLFDNPTLYQSIVGGLHYLAVTRPDIQYAVNRVSQSMHAPTEQKFQALKRILRYLKGSSRRGLLFQKGNLDLSVYSDSDWANDKDDRPSTTGYILFHGPNLISWCTKKQTRVSRFPPKWLDHIMDALGLPPFRQKIYCDNESTICVTKNPVLYDRMKHVGSDCHFVREQVMAGTMSTHHVPSSEQLADILTKPLPPAAFHYLATKLPLVPVDHGCTPLNQ >Solyc08g006380.3.1 pep chromosome:SL3.0:8:1003495:1005237:-1 gene:Solyc08g006380.3 transcript:Solyc08g006380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTHKAHCLVLLYPLQGHINPMLQFSKRLQSKRVEVTIATTKSFLKEMKELPNSVSIEAISDGYDDGGIDQAKTFEAYFIRFKEVGSDTLSQLIQKLANSGCPVIDWMSKIYPIKTIGPTIPSMYLDKRLHDDKEYGLSMFKPMTNECLNWLNHQPINSVLYVSFGSLAKLESEQMEELAWGLKNSNKSFLWVVRSSEESKLPNNFLEELTSEKGLVVSWCPQLQVLEHESIGCFLTQCGWNSTLEAISLGVPMVEMPQWSDQPTNAKLVKDVWEIGVRAKQDEKGIVRRGVIEECIKLVMEEDKGKLIRENAKKWKEIARNVVDEGGSSDKNIEEFVSKLVTISQVIKNHKK >Solyc04g039707.1.1 pep chromosome:SL3.0:4:12816405:12821717:1 gene:Solyc04g039707.1 transcript:Solyc04g039707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYGSIESYRSIDEPLTNMLHKNSFIWTKHSTQAFEKLKVAMTTTPLDAKTIKDKLPIPVIKQLLDELFGDKYFSKLDLHKGYYQVWMKEDDVEKTGFRTHYRHFEFLSKPKNLKGFRGFLGLIGYYRKFVEYCGSKENQGSIAEPLTTMIYKNSFIWIDQSTQSLEKLKVTMTIMPVLALPDFSQPFVIESDASDLRHKTETSQPAGLLQPLPVLEKTWSDISLDFVEGLAISRGKN >Solyc03g123840.3.1 pep chromosome:SL3.0:3:72046966:72051123:1 gene:Solyc03g123840.3 transcript:Solyc03g123840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNAIHPFHQQWPPAPAPAPPLPSGPPPHHHHHSMPIDEVKHLQLLACFFRYSQVRTIFISGLPQDVKERELVNLLRWLPGYEASQLNFKGELPMGFALFSNHQCAIGAKDAIQGLVFDTEGKCVLHTEMAKKNLFVKRGIVVDSNAHDQSKRMRTGGDYTHSGYSSPSPFHPPPAPVWAPHGYITQAPPPYNPYGGYPVAHMPMAAPAPVPAPSSYAPVQNTKDNPPCNTLFIGNLGENINEEELRGLISGQPGFKQMKVLRQERHTVCFIEFEDVNSATNVHHTLQGAVIPSSGSVGMRIQYSKNPFGKRKDFGHPAVAPNANGAPSPLTY >Solyc04g051283.1.1 pep chromosome:SL3.0:4:50222908:50228911:1 gene:Solyc04g051283.1 transcript:Solyc04g051283.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDASDDLDLSKMASGMKEKFKKYWGTPKKMNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSGISQNISKNSLRTKLHMKKQKNDSGSLGVKSGLDKYLFEDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAICMSSVASECAFSTGGRILDPFRSSLTPK >Solyc11g071575.1.1 pep chromosome:SL3.0:11:55293797:55300361:1 gene:Solyc11g071575.1 transcript:Solyc11g071575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADWAHLFVSSLQHHKWYTIEAEKRISEIQGILELSVQRSSCEGDPYKDRLYVYVKGSSMTNISVSARGTFYGIYSFDFLGLGYRVDWPLNIILSPGALRIYSDIFGFLMQVKLAVFSLSDVWRSLKDLSQLNKKNQHSVFDNAEPKQLSILTEMRCFLSEETQHIASIIRSILQSAVDFRSCLKGDISQVLNMRKSFSKNIKELYLCYVKSPKHGEFGLSSFWERLNYNDHYSEVIGWTLVMIMGSDFPQAIALILTFHDPQKWSSTPGGLSGNPMLFL >Solyc03g006810.3.1 pep chromosome:SL3.0:3:1320324:1322256:1 gene:Solyc03g006810.3 transcript:Solyc03g006810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BEA4] MAPFSTILFLVLLIAPLCNATLGVQYYDQTCPHAEDIIYQTIRNASFFDSKVPARLLRMFFHDCFIRGCDASVLLDSTPENKAEKDGQPNLSLGAFYVIDAVKTNLEKACPTTVSCADIVAIAARDVVAMSGGPYWNVLKGRKDGRVSRANETVNLPAPSFNTSQLIQSFANRGLAVKDLVALSGGHTLGFSHCSSFEGRLHNFSSVHDTDPSLNAVFAQSLKQKCPKPNSDRNAGQLLDPTSSVFDNNYYKQIISGKGVFASDQSLLNDYRTGLIVKAFANDQATFFREFAASMIKLGNVGVLEHGEVRLNCRAVN >Solyc04g054290.2.1 pep chromosome:SL3.0:4:52217236:52221651:1 gene:Solyc04g054290.2 transcript:Solyc04g054290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQNSQSFASQSHFYVSFLQDSLKSKVPFPIKLIHGRIIKSGIHLSVFLMNNLINGYAKTGFLSYARKVFDVMPVRDSSSWNTLLSGYSKGGLINEAHSIFREMPYQDSVSWTTMIAGCNFVGSFQVAIQMFLEMVSVSDVSPTQYTFTSVLASCAEIRALNEGRRVHSFVVKFGLSSYVSVANSMLNMYAKSGDRNAAQMVFDGIVVKNTSSWNTLISLYMQTGQVDLALEQFEQMNEHDIVSWNSMITGYNQHGFDVLALSMFSKMLKESLLEPDRYTLASALSACANLGELNVGKQIHAHLIRTEFDTSGAVGNSLICMYSRSGGVDIARRILEKSRESNLNVIAFTSLLDGYIKLGDISPARKLFDSLKDRDVVVWTAMIVGYVQNGFNDDAMELFRLMVKEGPDPNNYTLAAMLSVCSSVASLNHGKQIHSAAIKAGEALSVSVSNALVTMYAKAGNISCARRVFDLIHLNRDTVSWTSMILALAQHGLGAEALQLFENMLALGMKPDHITYVGVLNACTHVGLVAQGRNYYKMMKEIHGIEPTSSHCACMIDLFGRAGLLEEAQDFIENMPIEPDVIAWGSLLASCRVHKKMELAKVAADRLLSIDPENSGAYSALANVYSACGKWAEAAKIRKSMKDKQVKKEQGFSWIQIKNVVHVFGVEDGLHPQRDAIYKTMEKIWKDIKKMGFIPDTESVLHDLDYEVKEQILRHHSEKLAIAFGLINTPENTTLRIMKNLRVMNQILSKIPHQSISGENSEGGSRNSYIKTQTLSWSIDSAGFHTTNLLDELWSLLHLSVHQVRCRIHDFPLLLL >Solyc08g075180.1.1.1 pep chromosome:SL3.0:8:59440332:59441123:-1 gene:Solyc08g075180.1 transcript:Solyc08g075180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFVSDWASIAREANIIPSPTMTGSSIFPPCTNLPSTDIYTNTIVNVPIENIKKRYRFSNSKLEMLKSQVTSEAEVQNPSRVDVLSALIYKCAVTAARAQANSFKPSMLTLAVNLRPILDPPLATRAIGNMVSFIKVETTSVDEITIAGVVRELRKAKDEFKKEDHVNANKLVALHSENLPISNEFETYRSHSMCNFPLNNLDFGWGKPNKVTIPPIGVGFCFILMDSPSGDGIEAIVAVPETYVTQFENNKELLQFATPIN >Solyc10g079620.2.1 pep chromosome:SL3.0:10:61254736:61258684:1 gene:Solyc10g079620.2 transcript:Solyc10g079620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRTRIITRAFSNLTHKPKLQVLGNGSSDVIRVDPTGSPVSNCGSSFSTAAAAVEAPVERLFGLVKEYEDYRRGLYGGLTHKALFVDAVGTLVIPSQPMAQIYKQIGEKYGVEYSEDEILQRYRWAYGQPWRRSSLRYVNDGRPFWQHIVSCSTGCSDSQYFEELYNYYMTEKAWHLCDPEAERVFQALRAAGVKLAIVSNFDTRLRPVLRALNCDHWFDAVAVSAEVEAEKPNPTIFLKACELLGVNPDDAVHVGDDRRNDIWGARDAGCDAWLWGSDVVSFKEVAQRIGVEV >Solyc03g112880.1.1.1 pep chromosome:SL3.0:3:64653247:64654530:-1 gene:Solyc03g112880.1 transcript:Solyc03g112880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAIFISHFTPLTFLYFLLLSTSYIPILAINITHLLSSYPELSEFTNLLSATTVAADLAGRSSVTIFAVPNTFIRNSDVIDNHSPSSSSPISLGDVLRYHVLLEYYSWPDLRLIPPAGKLVTTLYQTTGRAPSNSGSVNITRDPISNAITIHSPNSNATVINSVKTVPYNISIFTVDSVLVPDGFDLMASETRPTLGLNITQTLIDGHDFNIAASMLIASRVEDEFEDDERGAGITLFMPTDQAFSDLNSSKIFQSLPAEKKTDVLRFHVLHSYYPLGSLQSIVNPVQPTLATEQNGAGSFTLNISRVNGDVSIDTGIVQASVTRTVFDQNPVVIFGVSKVLLPKEYFQKNSVEVINKPSSGAPPSADPPEISISPVNSPDIDGSTNHLSSPPGFGEKESSSANRKSTVRIFLGLWCIGFYLATGY >Solyc04g051820.3.1 pep chromosome:SL3.0:4:51068358:51071815:1 gene:Solyc04g051820.3 transcript:Solyc04g051820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRKEKTAPWLSVPQFGDWDQKGVMPDYSMDFSKIRENRKQNKSRASLGNEEELISTANSKSNTVHSARSDDLQFHQTHPSTTRRSIFSYFNCCVKA >Solyc01g111310.3.1 pep chromosome:SL3.0:1:97505714:97509268:-1 gene:Solyc01g111310.3 transcript:Solyc01g111310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX2 protein [Source:UniProtKB/TrEMBL;Acc:F8SS63] MESTDKVVETVMVGNYVEMESEGKPNNNNDIKSKFSNLFWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGVSFQLFYGLLGSWTAYLISILYIEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINNNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTVASLLHGQVEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLWATLYVLTLTLPSAASVYWAFGDLLLDHSNAFSLLPKSPFRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSMCKRAAARLPVVIPIWFLAIVFPFFGPINSSVGSLLVSFTVYIIPALAYMFTFKSAAARENAVEQPPKFVGRWAGSFTINIFVVVWVLIVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPPPGSPPPFLPHVGAPRPSPANITHHHHL >Solyc03g005430.3.1 pep chromosome:SL3.0:3:289494:317879:-1 gene:Solyc03g005430.3 transcript:Solyc03g005430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAYEEAGTARSSEGVSSGQQQCQNSEALAEWRSSEQVENGTPSTSPPYWDCDDDEDVGPKPSELYGKYTWKIDKFSQINKRELRSNAFDVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVLDGFVDADTLIIKAQVQVIREKADRPFRCLDRQYRRELVRVYLSNVEQICRRFVEERRVKLGKLIEDRARWSSFCTFWMGMDQNSRRRMSKERSDSILKVVVKNFFIEKEVTSTLVMDSLYSGLRSLEGQTVGKKSKAKNSDAEEQLVPIVRLEKNMFVLVDDVLLLLESAALEPLPPKDEKGPQNRTKDGTSGDEFNKDSIERDERRLTELGRRTIEIFVLAQIFSKIEVAYQEAVALKRQEELIREEEAAGLAETEQKAKRASGKEKKSKKKQAKQKRNNHKIKDKAIDVKSAVVELYKTDLDGPICDGNEYINDEPEAVLGKPDVLEAVSDVSDSIDCVPEVINPDSDDRDASPVNWGTDSSEVHPSTETSCSGLSDLSAVQNGLAGRRSPSVMDDSSSTCSTDSIPSVVLNGPCRWTSSNHKNQKSPSRARNQRNKSTCKAADWASETLSQPLDAVSDVGQQSDMSCRAPAAEPQSSVLLSSEQQDTKKEVVASPQRKSRKADTERPSKEESSVQSSPRSPPKVAGSDVQQKSQMKIPVTSDPILVKRSSSDGPKLADKPVLVSDSSETAVMLKADPHKTVEPRVKDKAVQATCVTAGKAPTSQQVTVSTTTESFKWQHVPAMSRPLCDPLVPGPKPAAPVVSMVQTMPSLARSVSAAGRLGSDPSPATHSYLTQSYRNAIMGSPVSGTPASFGQPHSPISAVNSSHSYCQQPPVISQALYLPQGLERAEPSSVRPSFSYGMVNNNGSVQNGLHWDCPQRDSSRSMSQDHPSSSSGIRNFDMFKAVNSSRAHDHLPDSLACTSGRQPQSVSADEFPHLDIINDLLNDDHGIGRTSIPDSSFQSFSSGLQHLNQGFAFPGDIGTPVDLGPSSSSCRFERSRSYHDVFQHNYSGGLFDSASDMIMQTDPRLNSGHHHMDGLVPNQWQMMGSDPSFLGMRNGTIDGGHQYPLPDYPNLACGVNGYGVFRPSNGL >Solyc07g039545.1.1 pep chromosome:SL3.0:7:47834793:47837034:-1 gene:Solyc07g039545.1 transcript:Solyc07g039545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFDLDFGEHLGEIFADSRLDRAVTYHESRTASDGDSNKEEDMGSQLVDWEVVQLDKRCGGLGIRDLRMQSNDEM >Solyc11g072830.1.1.1 pep chromosome:SL3.0:11:56319133:56320053:1 gene:Solyc11g072830.1 transcript:Solyc11g072830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSKILVSFFVIQFLLFHSPIYAAAPGNSNIFREYIGAEFNNVKFSDVPIYSGVEFHFILSFAIDYTMSSSSPSPTNGQFNVFWDSDNLNPSAVSAIKNQHSNVKVALSLGGDSVGGSSAYFNPSSVDSWVSNAVSSLTNIIKQYNLDGIDIDYEHFQADPNTFAECIGKLITTLKNDGVISFASIAPYDDDEVQNHYKTLWKSYSQVIDYVNFQFYAYDKGTTVSQFMDYFSTQRSNYEGGRILASFGTDGSGGLSPENGFFTACSKLKSKGDLVGIFVWSADDSKSNGFKYEKQSQGLLAISH >Solyc01g010980.2.1 pep chromosome:SL3.0:1:6608353:6619334:1 gene:Solyc01g010980.2 transcript:Solyc01g010980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQQLPASQRRPTCSSTGRPAASMRPIPVGHL >Solyc11g008100.2.1 pep chromosome:SL3.0:11:2293884:2295528:-1 gene:Solyc11g008100.2 transcript:Solyc11g008100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPSRKRQMCDFKRLQQDPLAEYYYRNLLISNSHKKSSNKQSRQRQESRDSEYSSLKTPINNSVTNPEFFGEDLCRTCISPNCNAGPNHA >Solyc07g032180.3.1 pep chromosome:SL3.0:7:36050115:36055337:1 gene:Solyc07g032180.3 transcript:Solyc07g032180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERMTQFRATWKGVVLNHLWESNFTPMKVIRGLGPWGPDLVRKYTNARFTAYSNGDDLTEESSRLLSDYVYHTLAAKPSGELCLKYIFSFGAFAKSPLLYRAPDWKVPTAFIYGHEDWMDYRGAQQARKNMKVPCEIIRVPQAGHFVFMENTSAFHSAVLYACRRFVSPQKDNDSLPEGVVSV >Solyc01g056625.1.1 pep chromosome:SL3.0:1:55019418:55029677:1 gene:Solyc01g056625.1 transcript:Solyc01g056625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERIRVKNSFGSPKMNHFPSYPPEVEQIHLRRLVVLWHGDTGMLHLYKSRLQHYAQKQNLTLPVYSSELDGPPHARRFRSKVTIDGVTYETQEFFSTLKEAEHAAAKVAFESLALNDTQEDEGLYKTLLQELAQKEALLFPVYDTARTGPPHAPVFRSMVEVGDRTFQGQEAKTKKQAEMNAAKVAYNALVKGGQLDEPLQVLPVSSKCVVADVSLLVVQQKTKLIIEELSLQNEDTNAKRHRCSSAPNTYSLIRDHRPAAPFVASDSSDAVAESADSDTYAGTSILPSEKIFIFPRKANMNLPSGASVLPCSDEKWVAASLELNQNH >Solyc01g097500.3.1 pep chromosome:SL3.0:1:88197484:88208717:-1 gene:Solyc01g097500.3 transcript:Solyc01g097500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGNDRTLNPSVSDHDYTFSNRRYPKTPSRVSELSVGSPDRGSTVTKKITSKALLVNKNPKVIVPKLTTTPRRQVDLRNSDMASRTLVKRSVSTTRRASLPLTNKAAVQELPRRPSLSFLDCIKSPDVSVNAPRIDKMLEFPLASYEDPFHPIRRTSSNSAQGSSGSPQAEYSVMKDKCTIQIPDSKFDRMSSNDAWQGYEGPMVHVDREDITDSSDQNATAGASSRTSSDTRRRRFNMSSYKQRAEALEGLLEFSARLLQEDRFDELGVLLKPFGPEKVSPRETAIWLTKSIKENAPKQED >Solyc07g008880.3.1 pep chromosome:SL3.0:7:3845868:3858781:1 gene:Solyc07g008880.3 transcript:Solyc07g008880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGNGQDMWNNSNIAPPGTSGGPPPPPSMMQPPMMPPPPGTSSVQPMMPPGTSGGGASGAGPPLPPPSYTVLPTEAQLEEKARKWMQLNSKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFVPHAVYKLLENMPMPWEQVRDVKILYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYNWFYDHKPLVKTKLINGPSYRRWHLSLPIMATLHRLAGQLLSDLTDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVRLGIYHTPMIMYIKTEDPDLPAFYYDPLIHPIVTKDRREKKVSDDDDDDDFALPEGVEPLLTETPIYTDTTAAGISLLFAPRPFNMRSGRTRRAEDIPLVSDWFKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLQATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANVQFRLGNVDAFQLADGLQYIFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGVAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQQQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSYLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGVWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYSDSRVETRHPIRLYSRYIDKVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYNNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADILLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQACLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKSIITEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTVSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTKTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQIAGYLYGVSPPDNPQVKEIRCIAMPPQWGTHQQVHLPSGLPEHDFLTDLEPLGWMHTQPNELPQLSPQDVTSHARVLENNKHWDGEKCIILTCSFTPGSCSLTAYKLTPTGYEWGRANKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMVPDNGPWNYNFMGVKHTVSMKYGVKLGTPREYYNEDHRPTHFLEFSNMEEGDTAEADREDTFT >Solyc03g061655.1.1 pep chromosome:SL3.0:3:33847531:33848130:-1 gene:Solyc03g061655.1 transcript:Solyc03g061655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVFVDVHQDVVYAYGWPSRLFRPIWKVIRAPKRAYASFKQFSCAITHHFLGDRDSDVQNAKFVRGCPSRRCLCIRLAITAFSTHLEGDRDSDVKNAKFVRGRPSRRCLCIRLAITAFSTHLEGQTSPEASIRLIYTIFVCYYTPFFG >Solyc01g109640.3.1 pep chromosome:SL3.0:1:96441606:96449812:1 gene:Solyc01g109640.3 transcript:Solyc01g109640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:UniProtKB/TrEMBL;Acc:K4B3H7] MIKGVILMNDKGKPRLAKFYDYHPAEKQQELIRKLYGVLSSRAENVSNFVKVDSLFGPDARLVYKTYATLHILFIFDSSENELAMLDLMQVFVETMDKCFSNVRELDIVFNFNKVHAILDEIILGGQVLETSSSEVVKAVEEIYKMERAANSIMAVPSITSWQGR >Solyc08g081290.3.1 pep chromosome:SL3.0:8:64470405:64477879:-1 gene:Solyc08g081290.3 transcript:Solyc08g081290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEWLRLDDGSLIFKENKGSDSAINGGKSRFRRMFDDVFAVFLSQKPEKKSVRSFPVLSGSGKPIDLFKLFWIVRKLGGYDLVSYKNLWGCVAERCGPDTGAVASLKLVYAKYLREFDHWLKAILKDGNLVEGEVGVIGKLDSLLKELETMFGNLFRSENDCKDELICNVNSQVDYEMFTAESVSDSKHRSCNAEHRKHNRNNGGERSFSKIANDTVFLVSTEGIVEKILRRAPAKSNRQYDDEEKFAQMIGTGEKENNDEEKVSVLNGSDTTVAVGKSVIEDVTASHKRKRGSPCYSEMLNWLKHAAKHSNDPEIGPVLKSLNWKGHTGKDFWFQALAIREVLLKKSHIDTDAGEAKTQKKQRMQPSMYEEEKLNNQPAENLRCSKRIPNLQKHTLCRGCSLCPISRNKVETHQKKEDDIASDLVSVEVSVTEKPKAAYELPRQKAPKVDPGGQPRTAEVPEWTGVISESDAKWLGRRMWPPEVEKTNPLVVLDPVGKGRQSSCDCLLPGSAECNRFHIADQRYKLSRELGPLFTLWRFNKMGEEVSLSWTDEDQEKFKVCIKPSLSQKNNVWSNFKELFPSKTRNMLVSYYFNVFLIRRRTYQNRVTPKDLDSDDDEKEVGRVGGNFGDAAVYVPSSKCLLTCSENKVCTDLQ >Solyc12g098390.2.1 pep chromosome:SL3.0:12:66892223:66897174:-1 gene:Solyc12g098390.2 transcript:Solyc12g098390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEIQKHSSGQGMGRTSSQELREKEKEVKDKIFQAAMANKWRTVKHLYTDKEFVQFVHELKLTRSEETALHLAITAYHPDRDDSLQHPHFTCIKEMIDDIPEENRLRTLKLKNDKGNTPLHLAAELGSVKIIECLVNPSDSDLIRETNSKGETPLFVAAYRGKLKAFLYLQKCCVNQKERGIDLCRRKDGDNILHAAISGEYFDLAFQIIHHYGQLVNYYNAEGMSPLHFLSRMPQVFKSGSHFRSIDSIIYYCTNIEELELMTYKAKDKEDSHSKLKWNLPENYQTMVEFFELLWNGTLKTLRYLNRTYFGNRLYFGNQEDPSKNTTGATNENQGKKSQDTTGATNENQGKKSQDTTGATNDNQGKKSQGHQNNQQYDVENPPIQAERKRSRKLFPDNYTIFIEFLKCIMKILLIVLGIGLQRTKKLEERKKQHTWGVQIMKLMIEKEERYKSYESTGGEPEDRPNNQIGAPPPAPPSDVENEDSNTAQIEQPPTSSTDKKIDDLKSDRSKTKETPLLVASKMGIVEMHRQTNVYNWLTGEKYPEYVFYHVDNHGNNAVHLAAMYQKLEVWRIPGSALQLQGERKWYKYVKHTLPRQSYVRYNNKGQTPRQIFIETHANLLSDGTQWLVTTANSFSLVAALIATVAFATSSTIPGGADDNGHPHLKNQPAFDVFSVTSLISLCFSVTALVFFLAILTSRCRHNDFEKDLPRKLLLGLTSLFASITAILISFCAAHFFVLRDKYRNAAIPIYGATCIPVVFFAFNQFPLYVDLIRSYIQKLPLRSYKVFYTDSSEAT >Solyc09g013160.3.1 pep chromosome:SL3.0:9:5571395:5579980:1 gene:Solyc09g013160.3 transcript:Solyc09g013160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIRKQKKTEIPKLILEEIVGFTTENANGLASGVSNSKCVYIAGCVAVVYDVYSSTQSHLVVSNRLPKPLSCVAVSCDGLFIAAGESGPHPAVVIWEAASLAMKYELKSHQHGIACIAFSPDGKHLASVGYPHDGYICLWDFQNQTLITKCKGCTPSSAIASVSFSSDGRFFSTAGKKHLKFWKLGFSTRSRMIGRTASGAIGKQANLGHYKGCSFIAVASPMWSQSSLLDHIQTGEDSHVYALTDAGVLCHLNSEMTIANSVELQVEKGFGLSVSRKLVACACNNGQVKLLFANSLAYAGSLCYPETRHSKGSVADCHVVIGKNGHEQLQSLPNAIACQFSTLQKLVVIYDDHSLFIWDVQDVDKASRCSVLVSHSACIWNIKNFSCEHMHDPSKACVAKGCSGGVSFATCSADGSIRLWDLELQSSSLPLPTEDKSMSTLSAGSTHLERTGVFERDSLVSGFASTGYRSMAVSSDGMYLGAGDFLGNLHLFNLHTTDYMCIQGAHDGEILSLSFSSQSIDAPADTFPHSHYVLASGGKDGMIHLYDVQRNFDLIGSFRDHSAPVTCVKCACSGTKIISCNADRSLVFCDVSMIDSAYKISCYHRVLPGVVYDMAVDGSTEIAVTVGQDKKINTFSIPSGKLIRSFKHIGGDPVKVSVDPSSSYLVCSDSFKILCLYDIMAGDMVAQAVGHGDVITGVIFLPDCKHLVSVSSDGCIFVWKVPGYLSLRMLRKIKENACPLSPEKFAAPETSGQIKLHVVNHHQPEGISMTPKLFQVNQRVLCREMSSPATAFKFSISRLPKWAQAKVTNLHSLMTDPNSSSSKTGKTGSTGTQRPACETVHVYEEALHNLDAAAEKAMQLFSKLANQHARIEKSREHENQLLAKAAEMLVPIANKIHATAKLAQSANTGSNGNAKMDISTNEI >Solyc08g014355.1.1 pep chromosome:SL3.0:8:4205635:4213719:1 gene:Solyc08g014355.1 transcript:Solyc08g014355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSASAELPKTVTCFLDLHEIRDSPYLIKIPNQFIAITLKVNLMDTDTKDEEDRKKKVKLLSKEQGQISIEMDRTLQERIRLEVDKEIQSCGFYNVEIQQMKKKLISYHHQKETKSVSMTSSNLALHQGNKKRLQCKKVQNNNNNDSIDSLEIPKKNQFELNDKL >Solyc04g005610.3.1 pep chromosome:SL3.0:4:405052:406940:1 gene:Solyc04g005610.3 transcript:Solyc04g005610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NAP2 description:NAC domain-containing protein 2 [Source:UniProtKB/Swiss-Prot;Acc:K4BNG7] MVGKNNSNHLPPGFRFHPTDEELIMYYLRNQATSKPCPSSIIPEVDVYKFDPWELPEKTEFGEKEWYFFTPRDRKYPNGVRPNRAAVSGYWKATGTDKGIYSGTKYVGIKKALVFYKGKPPKGIKTDWIMHEYRLSESRTQPTRPNGSMRLDDWVLCRIYKKKNLERAIEMMKVEEDTQEPQIMSVTNPIHEVVASNGQQTLKLPRTCSLSHLLEMDYFGSISQLFDDNNSYNTISQNNTLMTNVNGYVMPHQAMEKFQLGEVSQISMNPSYQFQ >Solyc04g045540.1.1 pep chromosome:SL3.0:4:33909941:33910876:1 gene:Solyc04g045540.1 transcript:Solyc04g045540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFLLGNTVSLCMKIINSIVVVGLYYGFLTTFSIGPSYLFLLRALVMEEETEKKVSATTALGRPHTIKALALPYLLFHFFWNNQKHFFDYGSTTRNSMRNLNIQCLFLNNLIFQLFNHFILPSSMLARLVHIYLFRCNNKILFVKSGFVGWLIGHILFVKWLGLVLVLIRQNHSIRFNKYIRPNKYLVLELRNSMAQIFSILLFITYVYYLGRIPSPILTMKLKEASKTEERVESQKQRDVEIETASEMKGTKQEQEGSTEEDPYPSPSLFRKKGGIWTKSMKRKKSK >Solyc03g115790.1.1.1 pep chromosome:SL3.0:3:66876641:66878299:-1 gene:Solyc03g115790.1 transcript:Solyc03g115790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEESVPAKQRGSRALQQRLFSLLQSCKSIKQLTQIHAHVITNGFTQKNFILVRLLSPFLTSYSLKYADHIFSQVRSPSTTLWNQIIRGHARSENPQKSIELFNQMEISTAMPDGYTYSYVLNGCAKGGLFREGQQVHGKIVKNWSLLNVFVQTNLVNLYSTTGGENCIDNAQKMFDEMTEKNVVTWNSLLFGYFRNGDADEALRLFDEMPHKNVVSWTTVISGCTQNGRCQHALALFRLMQRRHVEFDQVTLVAVLSACAESGALDLGKWIHSTVVESSQLRNEPVLVSFYNALIHMYASCGEIEEAYRVFEEMPRRNSISWTSMITGFAKQGYAHEALTIFQQMESWGGNDVRPDEITFLGVLFACSHAGYVNEGYRYFRCMKETWDIEPRIEHYGCMVDMLSRAGLFDEATTLVETMPMKPNEAVWGALLGGCKIHKNVRLASSIAQKLAVELEADRAAGYFVLLSNLYATEKRWQDVVITRQKMYGMGLKKSPGQSKIEADGTTHNFLASDLSHKHTCSVYEMLGLLTSQAKLQGYPQNISEGELIV >Solyc05g046137.1.1 pep chromosome:SL3.0:5:59168234:59169913:1 gene:Solyc05g046137.1 transcript:Solyc05g046137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTADFVINRIPQQSGMTVNETGSVVVTQARKLSLDEAEDEAKEDIAEEGLAQNPLANWSVSTTNCYSVALADFSLFVKVVGKKLAIMLVYVDDLILTGDYEEEILLTKKNLSVRFQMKKLGQLNHFSWLGGDHDTRRLTAGYVFKL >Solyc02g084450.3.1 pep chromosome:SL3.0:2:48094232:48102046:1 gene:Solyc02g084450.3 transcript:Solyc02g084450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFRAGFASYTFSLLFANFTEFAIDEVSKILNVSTELRKLDRMFLKLQGMVYRMECSRAYLWDSTHYKSSIAWVEEANGLIYHISDILEDISLNLGLREGEKLVNGNLLIDKFLLLIPRKISGIVEDLEDLIKEMGTDFLVDLVKQVPKVVATKQCCGFAGLSNPTHTIGRESQIEKVVEILTQNDVPLCITGMAGIGKTVFTHCLCENKEVKKAFPLLLWVSVSAEFELVRILRAAIESVSKESCYLTDLNVLRYTLQELLLSGDNFLVVLDDLCVEDLEDWNLLYAAFKVESKSSRLVFTTRNSKVASFIGAKMFCLQPLSDEDCWKIIKQRPFINNKMHNLDVIGLEIAKKCKGVPLVAKTIGDILHCLPVNEWDSLVKGNLWDLPQIENHVFPIFLLSYCCLPQHIKKCFSYCCLFPPEYVYKMKDIVLLWMAEGLIHPIDGRRIEDIGQEYFEELVWGSLFNSGNEYFHDFDGSYTMHEFNHHVAASVSSSICQRIKDNQSSYSFDRVRHLSICHGNTQSNGLHSFSKCHNLRTFTLLCATNIGPNVPTFFKNFKVIRVLNLKCGGITEIPEIVGNLKHLRYLDLSSNNISTLPSSLCELSLLQVLILENCTSLMCLPDKFSRLTNLRHLFFDVKHQIHQMPVNFRFLKELQTLNAFVVEAENGHTIEELEDLNSLTGSFSLIGLENILNGQSAATANLNKKQGIIELELQWRSHHERNIEYEISTGLQPHKNLERLVISDYRGTTFPSWLCYRPHYMLRSIYLRNCECCKVLPPLGKLQFLESLTIENMTLLVVVFPSLVDLGVFPSLKSLVFSTMPQLIGWGSGEYDMPQLTDLKFYTCPKLKAKDLIGCHLVQLLWKVSSSGIVQPSVTVAR >Solyc05g026227.1.1 pep chromosome:SL3.0:5:40179986:40180745:-1 gene:Solyc05g026227.1 transcript:Solyc05g026227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEFWFSLRLFTVCDELRYKPKLIEISVHVRESGVIVTILGILQILHSISTLDIGSKLIGMNLPHKLLCLLHIKLQATSGNKTKTPGPGALSALRALARSGMKIGRIEDVTPIPNDSTHIKGGRRGRMM >Solyc12g038920.2.1 pep chromosome:SL3.0:12:52401960:52405992:-1 gene:Solyc12g038920.2 transcript:Solyc12g038920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSFSGLNALYDNANGGGDVWINENRFRILRQATFPNVFLVKELISDPNKIKDPSHVSDDGTYAMKKVLIQNNEELELVKEEIRVSSLFSHPNLLPLLDHAIISVKASQDQSRKQEAYLLFPAHMDGTLLDSMKAMQAKQEFFSTLEVLQIFHQLCAGLKHMHSFDPPYAHNDVKPGNVLLTHRKGQAPLAKLMDFGNACPARRQIRSRSEALQLQEWASEHISAPFRAPELWDCPSQCDIDERTDIWSLGCTLFAIMYGVSPFEYALEESDESPQLAIVNAQIKWPTEPNVQYPNDLNQFVTWMLQPQPTVRPCIDDIVIHVDKLISKYSPLK >Solyc08g068840.3.1 pep chromosome:SL3.0:8:57987580:57995301:-1 gene:Solyc08g068840.3 transcript:Solyc08g068840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITGRPADNGKSTEQRVVGESEVKSNLVAEGRLVKNLNACRAKFQDIDQRKRVAAAGNLSGIDDSEVVGYLNNTKEIHYKTIIWEKMNKEFAKGNDKLHAKKRKREIGHKDVHAKKSAKTTEKVENKRTSSKINYNALQKLTDELKQVPVEAELGGLEPKACANGDSAENLKIGFHEFEQENKYGEYDDSFRENDDSSYYGYGTGYHSNSEDFDANY >Solyc01g009490.1.1.1 pep chromosome:SL3.0:1:3659103:3659537:-1 gene:Solyc01g009490.1 transcript:Solyc01g009490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFISCGTSPRVMSSRPTRVIFQNGKIYKFNEPIIAAELMLESPNCFLVNSSSLIVGRRFSALSADEELELGNIYIMFPMKKVNSIITTKDIISVGKLGSENEATMCRSSEKDGVGPLGFPVRQEFRKPLLETIMEEQVISSR >Solyc01g097520.3.1 pep chromosome:SL3.0:1:88219535:88222116:1 gene:Solyc01g097520.3 transcript:Solyc01g097520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANSYAALTKAFSGLGVDENLFISTLGKWNRHQRESYRVSTPGFFREDKRQFQRWDDQHILQLRQEFLRLKDAVVLYTMHPWERDARLFKEALLLQVPKHDVLIEIACTRSSEDLLGARKAYHSLFEHSIEEDIAFHVRSSERKLLVALVSSYRYEGPRVNDDLAKSEAKIFVNAIKNANKKKLIDEDEEIVRILSTRSKLHLKAIYSHYKEITGNFLDEDLECDLTLKQVVQCLCIPKAYFSKILIASLRLDVDESAKDSVTRVIVSRADDDDMQQIKEEFQSKYGTTLAEKIAEVANGSYKDFLLTIIAKSE >Solyc04g049226.1.1 pep chromosome:SL3.0:4:40957285:40962534:1 gene:Solyc04g049226.1 transcript:Solyc04g049226.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFFTYLFSSETSPMFHMPPQCSIIIQLISHEDSEGYSHVPIALEHESEVEMTWLCITRAN >Solyc09g014250.3.1 pep chromosome:SL3.0:9:5738994:5741892:1 gene:Solyc09g014250.3 transcript:Solyc09g014250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNREMGYLSPATYIKNSSWLFEENKGTRWTPEENKVFEEALALFDKDTPDKWYNVAAMIPGKTVNDVIKQYRELVEDISDIEAGLIPVPGYTTSNSFTLEWVNNQDAFHGFKQFYGQSGKRGSSNRSSEHERKKGVPWTEEEHKQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQLSGGKDKRRSSIHDITVVNLSESKSTSPEDHKSAPSQQAQQHSKMNGMVKTLCEYNSPNQESDITFNSSSGGLMAVPFRGAPSYGLEHGMHNIALQGLQFGNYNTMC >Solyc08g080040.3.1 pep chromosome:SL3.0:8:63504503:63506031:-1 gene:Solyc08g080040.3 transcript:Solyc08g080040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEVVSIPSRVESLAKSGIQVIPKEYVRPQEELNGIGNIFEEEKKDEGPQVPTINLKEIDSEDKEIRKKCHQELKKAAVEWGVMHLVNHGISDELIERVKVAGRTFFDLPVEEKEKYANDQASGNVQGYGSKLANSACGQLEWEDYFFHCVFPEDKRDLAIWPKTPADYIPATSEYAKQIRNLATKIFAVLSIGLGLEEGRLEKEVGGMEDLLLQMKINYYPKCPQPELALGVEAHTDVSALTFILHNMVPGLQLFYEEKWVTAKCVPNSIIMHIGDTIEILSNGKYKSILHRGVVNKEKVRISWAIFCEPPKEKIMLKPLPETVTAAEPSQFPPRTFAQHMAHKLFKKDNNDAVVEQKVFTKDDQDSAAVHKASEKDERDIVAEHIVLKEDEQDFAAEQKAFKKVDQDIVLAERKVLKEDEQDAVAELKVFQEG >Solyc03g119930.1.1.1 pep chromosome:SL3.0:3:69951368:69952744:-1 gene:Solyc03g119930.1 transcript:Solyc03g119930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTSDRTTPLLRRNWWGSHLSAHTFTGSLRLKTSLLSELGGSVGDLGTYIPIVLALTLVSNLDLSTTLIFTAFYNIITGAIFGIPMPVQPMKSIAAVAVSEIPHLTVPQIAAAGITTAGTLLFLGVTGLMSFFYRFIPLPVVRGVQLSQGLAFAFSAIKYIRYNQDFTSTKASATSPRSWFGLDGVILALTCVCFLILVTGSGEVVEDDEDEDRSDRRRLRTLSAIPAALIVFLLGLILCFVRDPSIIHDIKFGPSKIHILKITWEDWKSGFLRGAIPQIPLSVLNSVIAVCKLSADLFPEKEVSATRVSVSVGLMNLVGCWFGAMPCCHGAGGLAGQYRFGGRSGASVAFLGLGKLVLGLVFGSSFVRILSQFPIGILGVLLLFAGIELGMASRDMNSKEESFVMLVCAAVSLTGSSAALGFGCGIVLFLLLKLRELDCFHSCFGRSNGETSLDP >Solyc09g011027.1.1 pep chromosome:SL3.0:9:4367502:4368305:1 gene:Solyc09g011027.1 transcript:Solyc09g011027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTLSIPLSCQHKHNIFLTCFTLFHVTADGTQLILVNNCRRSIWPGILGNTGKMTPKDGGFHMRSGDEVVFDVPEKWSGRLWARQNCHFNKTGQGSCDTGDCNGQLKCRGLGGKPPATVVEMTLGSSTSPLHFYDVSLVDGFNVPVSMRPVGGGTGCGVAECDVDLNICCPSALEVKVDGEVVGCKSACLAIQSAKYCCTGKFADPKTCKPTIFAHLFKAICPKAYSYAFDESSSLNRCRATRYVIIFCPPK >Solyc01g099330.3.1 pep chromosome:SL3.0:1:89492235:89495476:1 gene:Solyc01g099330.3 transcript:Solyc01g099330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSSSLRITRGSPWHVNVVDILPSFSMGLTQDFGVDVGSSGKSNQVIQEQTMEELRSKKKNDPMAVQQVIKNAKARGIKIVQGRRKRKAVNIESEENSSEVVGSEELHNQEPIWCLDFSAFLLFPFGICALSKLMNMPICVISLEFTIFQLFSSLGVCFKQFLMHTFKLIYAIIFEVLDKLSASFQFYTLPTPACLELRHSYCLVLKPGRVMGCVQLVLGSLVILVSLSSLFRFYSAGFFLHDEDICRHFYGVKDVYDSFDVKALSSRVDEVLDKMESLQDKIELIVQDMEKNKNELETGSIPKLEYKKFLEEEVIKPLYSAHIALRQIRMPRVEGIGNVTIKEHPLINNFVIEEIRKYITPKENRHKKVNIFGTQMIYNTIGHACVSMKKELEEYMDYDIGSYCKDDWNIAQKLMINGCDPLPRRRCLTRASKLYQKPYPINESLWRIPDGRNVRWSNYLCRNYECLSSKNPKRGYTKCAGCFEMDKEEIKWVTNSSLPVDFLIKDVLAVKPGEIRIGLDFGIGTGTFAARMRGENVTIISTALNLGAPFSETIALRGLIPLYVTLNQRLPFFDNTMDLIHTAGFMDGWIDLQLLDFILFDWDRVLRPGGLLWIDRFFCNRTDLDDFMYMFLQFRYKKHKWSIAPKAKDEVYLSALLEKPARSL >Solyc06g009790.3.1 pep chromosome:SL3.0:6:3807881:3810037:-1 gene:Solyc06g009790.3 transcript:Solyc06g009790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIACAGNNGTVDGQGSIWWEQFTAHSLNYTRPHLVEFVSSKDVVVSNLTFLNAPAWNIHPVYCSNVVVQNISVHSPANSPYTYGVVPDSSEHVCIETSNISMGHDAVVLKSGWDEYGISYGKPTSNVHVRGVRLQSFAGAGMAFGSEMSGGISNVLIEHVYLYDSLLGIELKTARGRGGYIKDILVTDVVMANVQVGIEATGHYDSHPDEKFDPSALPVVSGITFEDIVGTNVSIAGNFTGLSESPFTSICLSNITFSISYNPFTSWLCSDVSGFSQNVSPEPCPELESSFSSTTSTCFTLLHHPYSQVAVL >Solyc09g055960.1.1.1 pep chromosome:SL3.0:9:46637671:46637871:1 gene:Solyc09g055960.1 transcript:Solyc09g055960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSGNRLNHRNSINLIDLQSIIYGALITLWSEKTSVPKMTTYHNIQIGESIISKSVIPKNFFEKT >Solyc01g098040.3.1 pep chromosome:SL3.0:1:88523479:88526341:-1 gene:Solyc01g098040.3 transcript:Solyc01g098040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSSSSKGVVVSLPVLLLSGAAFSAVLFFFLFSSPPPCNCPVATTTAVSGGGGYRSGTGERISTSTEDIEWVKKQIEGNGLHMADNVLRKGINPRTRQQQLQDLLQFKGISHYEGEPTNNHTALPCPGELLVEQHHSNYGEPWAGGRDVFEFLAESAHLTPNAQVLEIGCGTLRVGLHFIRYLNPEHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVRGEDMDFSKFGSGTMYDLIYASAVFLHIPDKLVWVGLERLAAKLKPQEGRIFVSHNIKFCSRLGGEECTKRLNALGLEYIGKFTHDSLLFNHYEIWFGFRRFRA >Solyc07g066230.3.1.1 pep chromosome:SL3.0:7:67839997:67850051:-1 gene:Solyc07g066230.3 transcript:Solyc07g066230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKRCHEYFSLNGVLKNVVFMIILLSSSFLVVVSNARKLAENDQVGRLLAFKKSSVESDPNGFLNEWTLSSSSPCTWNGISCSNGQVVELNLSSVGLSGLLHLTDLMALPTLLRVNFSGNHFYGNLSSIASSCSFEFLDLSANNFSEVLVLEPLLKSCDNIKYLNVSGNSIKGVVLKFGPSLLQLDLSSNTISDFGILSYALSNCQNLNLLNFSSNKIAGKLKSSISSCKSLSVLDLSRNNLTGELNDLDLGTCQNLTVLNLSFNNLTSVEFPPSLANCQSLNTLNIAHNSIRMEIPVELLVKLKSLKRLVLAHNQFFDKIPSELGQSCSTLEELDLSGNRLTGELPSTFKLCSSLFSLNLGNNELSGDFLNTVISSLTNLRYLYLPFNNITGYVPKSLVNCTKLQVLDLSSNAFIGNVPSEFCFAASGFPLETMLLASNYLTGTVPKQLGHCRNLRKIDLSFNNLVGSIPLEIWNLPNLSELVMWANNLTGEIPEGICINGGNLQTLILNNNFISGTLPQSISKCTNLVWVSLSSNRLSGEIPQGIGNLANLAILQLGNNSLTGPIPRGLGSCRNLIWLDLNSNALTGSIPLELADQAGHVNPGMASGKQFAFVRNEGGTECRGAGGLVEFEGIREERLAILPMVHFCPSTRIYSGRTMYTFTSNGSMIYLDLSYNSLSGTIPDNLGSLSFLQVLNLGHNNFTGTIPFNFGGLKIVGVLDLSHNSLQGFIPPSLGGLSFLSDLDVSNNNLSGTIPSGGQLTTFPASRYENNSGLCGVPLPPCGSGNGHHSSSIYHHGNKKPTTIGMVVGIMVSFICIILLVIALYKIKKTQNEEEKRDKYIDSLPTSGSSSWKLSTVPEPLSINVATFEKPLRKLTFGHLLEATNGFSSESMIGSGGFGEVYKAQLRDGSTVAIKKLVHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLESVLHDGGKGGMFLDWPARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSYGVILLELLSGKRPIDPRVFGDDNNLVGWAKQLHNDKQSHEILDPELITNLSGDAELYHYLKVAFECLDEKSYKRPTMIQVMTKFKEVQTDSESDILDGISVKGSILEESQEREP >Solyc03g083400.3.1 pep chromosome:SL3.0:3:54699814:54703766:1 gene:Solyc03g083400.3 transcript:Solyc03g083400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAETGLMYPYFQTFPSEIQHFEDFCSSHEPNASMGSTISEYDLGGEGDLFKAPQPILEQPLMGLDPMTSAISMISCSEDAISPQGLKVSDLETSFENEQLLSEVFYECKKDLFEKDALSEVLDMKIPIVKADGSLTADENLVSEGSFQKSISSGCLSSMEWIHGAPMRANFIDFGGMDFGAVYGMRRSFSEGDIKTLGNGNINVIHSPRGQPQIIGTSTSDVRKEQLSRYRNKKNKRNFGRKIKYACRKALADSQPRIRGRFAKTEEMDISKKH >Solyc11g068520.2.1 pep chromosome:SL3.0:11:53514053:53519391:1 gene:Solyc11g068520.2 transcript:Solyc11g068520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVSRRAPSASTTVFGVSTESMQLSFDSRGNSVPTILLLMQRRLYAQGGLQAEGIFRINAENSEEELVREQLNRGIIPDGIDVHCLAGLIKAWFRELPSGVLDTLSPEQVMQCQSEEDCTALVRLLPQTEAALLDWAINLMADVVLEEHRNKMNSRNVAMVFAPNMTQMADPLTALMYAVQVMNFLRTLIEKTLKDREDSLVEPDSASNLDRPDEYGHQSPPQFSLENSDESNELTEQVFTVEEPDSASASESNRVDNITDDEYLSYATSSEESDDSVSCETPIHVNTKARKACVTKTPNLEEVTQRIGQSSDSNQTKDVVKLDLESTVVQSVGNDSKSKGISNLIRINSMTERTEAWR >Solyc04g055207.1.1 pep chromosome:SL3.0:4:53817183:53818388:-1 gene:Solyc04g055207.1 transcript:Solyc04g055207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSSKIKYIEHILRKKCIPEKVGAPSHCFSGSIVEPVLWNLDDENELSCANICMKEYGTSYNYLKMVDCNTVVHGDDRSHLSYSKS >Solyc11g011655.1.1 pep chromosome:SL3.0:11:4695565:4701515:-1 gene:Solyc11g011655.1 transcript:Solyc11g011655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGESQKRRFVVPISYLSEPLFQDLLSQAEEQFGFDHPMGGLTIPCKEDVFVDLTSRLRS >Solyc07g005810.3.1 pep chromosome:SL3.0:7:648970:659244:-1 gene:Solyc07g005810.3 transcript:Solyc07g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTSSDKEGKGIGIDLGTTYSCVGVWLNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPHNTVFDAKRLIGRRYTDPSVQSDIKLWPFKVYSGPGDKPMIEVTYKGEKKQFSAEEISSMVLVKMRETAESFLGFKVKNAVVTVPAYFNDSQRQATKDAGAISGMNVLRIINEPTAAAIAYGLDKKASRKGEQNVLVFDLGGGTFDVSILTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVTEFRRKHKKDLSGNARALRRLRTACERAKRALSSTTQTTIEVDSLFEGIDFYATITRARFEELCMDMFMKCMDPVEKCLRDAKVDKSQIHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQIFSTYSDSQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQINVTFDIDANGILNVTAEDKTAGVKNKITITNDKGRLSKDEIDRMVRDAERYKSEDEEVKKKVEAKNGLENYAYNMRNTIKDEKIASKLSVEDKEKIETSVQEAIEWLDKNQLAEVDELEDKLKELEGICSPIIAKMYQGGGDGGGPMEDDQMSGGGTTTGAGGGNRGGGSRVLGPRFEPSSTNSDLPLLRPHGGSSSISSFKTGDSRFEGRERVRYTRDQLLQLREVVAISDDILLIKQEVESELFGEDGSRSRADTNVQVQSQTRYSEPDSRDWRSKSSQFSAPTEDRSWDALRENREFGGRQEQLNSQFARTQISPNLGGGPAPTLVKAELPWSVRRGNLSDVDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILKGVISLIFDKAVLEPTFCPMYAQLCSDLNEKLPPFPSDEPGGKEITFKRILLNNCQEAFEGAHKLREEARQMTAPDQESERKDKERLIKLRTLGNIKLIGELLKQKMVPEKIVHHIVQELLGQDPKICPEEENVEAICQFFNTIGKQLDENQKSRRINDMYFNRLKELSTNPQLAPRLRFMVRNVLDLRSNSWVPRREEVKAKTITEIHSEAEKTLGLRPGATASMRNSRGPPAQGSLSPGGFPINRPGTGGMMPGMPGTRKMPGTPGMDNDNWEVPRSRSMPRGNGPLVQAGGRSQPPLVGKSPALNPRLLPQGSGGYVSGRPSALLQGSGAPPARPTGYGFGMDPAAQVRPPTTVVSPVVAKPQAPAASLTPDVLKRKTASLLEEYFSVRLLEEALQCVEELKSPAYHPEVVKEAISIGLDKSPPRVEPVAQLLEHLFVKKVFTARDLVTGFLNFSSLLDDLAMDLPKAPVNFGDIIARLVLAGAFDFKVVNEILKKVTDDLYQKDVFTATMGSISSSPTGQAVLDSQSSDVEACKALFQ >Solyc12g042157.1.1 pep chromosome:SL3.0:12:58106742:58111485:1 gene:Solyc12g042157.1 transcript:Solyc12g042157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIQRKTKNISMNSNTQTTQQQEKVVLEAEKNEKRRWSKGITSSKAANWNVVERGSSETSTNSKPHLRFARFEWNVTPTLDEMASSFLNRYEKLSVGAFAKQGNY >Solyc05g010396.1.1 pep chromosome:SL3.0:5:4593456:4593860:-1 gene:Solyc05g010396.1 transcript:Solyc05g010396.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLAKDVATPLARKHGLLKLWEVLESSNLTLTRPDITHAVTLVHQRNSTLCTYNYFTITMLYGYSNADREVVSQLGDQLQVITSKKQTTVD >Solyc12g008770.2.1 pep chromosome:SL3.0:12:2123547:2128376:1 gene:Solyc12g008770.2 transcript:Solyc12g008770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQRDEREVWDGVIEGMPLFAKELIAGGVAGGFAKSAVAPLERIKILFQTRQVEYRSLGLIGSFTKIAKTEGTLGFFRGNGASVARIVPYAALHYMAYEEYRRWIIDGIPGVGRGPILDLVAGSFAGGTAVLFTYPLDLVRTKLAYQVVGSQKLNIQGIVAGEQVYKGIKDCFSKTYKEAGIKGLYRGVAPSLYGIFPYAGLKFYFYETMKSHVPKERKNDITIKLACGSVAGLLGQTFTYPLDVVRRQMQVQRLSASNSHELKGTADTLVMILQKHGWKQLFSGLSLNYLKVVPSVAIGFTVYDLMKAYLRVPSRDEAVVEVVTSNRDSQPSTLHS >Solyc01g057180.2.1 pep chromosome:SL3.0:1:59435037:59436074:-1 gene:Solyc01g057180.2 transcript:Solyc01g057180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKTYLLMLQIFLFQIIQVQISDDEVAGSIFLAIC >Solyc06g007453.1.1 pep chromosome:SL3.0:6:1460606:1461386:-1 gene:Solyc06g007453.1 transcript:Solyc06g007453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTGGDSLQVFCDADWGSCINSRRSIIDYLIKYGESLISWRSKKQVTFSRSSAEAEYRAMALTVAEIVWTVGLFQELGVAISLPLLCSSFYSSMEFSFSNSVS >Solyc01g105930.1.1 pep chromosome:SL3.0:1:93853806:93854243:1 gene:Solyc01g105930.1 transcript:Solyc01g105930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSATSLLACFSMNPVTIRRSANYKPTTWDFQYIHSVNNNYAKEMKKNLMMLADESIVQELDVKLELIDNLERLGVSYHFNDEIRQIFVDTQFLIRVGIN >Solyc02g087980.3.1 pep chromosome:SL3.0:2:50848468:50859402:1 gene:Solyc02g087980.3 transcript:Solyc02g087980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Structural maintenance of chromosomes protein [Source:UniProtKB/TrEMBL;Acc:K4BBV4] MAVDKEFSNSHESNNESSHPGSRPPRLFIKEMVMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSSNHQNLESAGVSVHFQEIIDLDDETYEAVRGSDFVITRVAFRDNSSKYYINDRTSNFTEVTKMLKGKGIDLDNNRFLILQGEVEQISLMKPRGQGPHDEGFLEYLEDIIGTDKYVEKIDESFKQLEVLNERRSGVVQMVKLAEKERDNLEGVKNDAEAYMLKELSLLKWQEKATKLAFEDNSTRFTEMQANISRQEEILKSEREKIKENSKSLKDLESKHSKFLKRQEELDNDLRRCKDEFKEFERQDVKYREDLNHLKQKIKKLTDKIDKDSRKISDTTNECEESANLIPKLEKDIPGLQQLLVDEEKILDEIKENSKVETEAFRSELSAVRSELEPWEKHLIEHKGKLEVASTESKLLSEKHEAGRAAYIEAQEQIVEIQKRVEIKSASSKNIANELEKNKVKALEARAVEKECLQEQERLIPLEQAARQKLTELSSVMESEKSQGSVLKAIMHAKEANVIDGIYGRMGDLGAIDAKYDVAISTACSGLDYIVVETTAAAQACVELLRSKTLGVATFMILEKQAHYLPKIREKVRTPEGVPRLFDLVKVRDERMKLAFFAALGNTVVAEDIDQASRIAYGGDREFRRVVTLEGALFEKSGTMSGGGGKPRGGKMGTSIRAASVSPEAISAAENELSQIAGNLDNVRQRITDAVKCYQASEKALSLGEMELAKCKKEIDSLKSQCDDLKKQLDSLRSASEPSKDEVNRLKELKKIISAEEKEMDRLTQGSKQLKEKASELQNKIENAGGEQLKNQKAKVTKIQSDIDKKSTEINRRKVQIETGQKMIKKLTKGIEESNKEKENLLAEKEKLLSIFKEVEQKAFTVQEDYKKIQELIDQHKGILIDAKNEYENLKKTMDEMRSSEVDAEYKLQDMKKVYKDLELKGKGYKKKLDDLHTALSKHIEQIQKDLVDPEKLQATLSDVTLGQTCDLKTALETVSLLESQLKEMNPNLDSISEYRKKVSVYNERVQELNSVTLERDDIKKQYDEWRKRRLDEFMEGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVSQKAA >Solyc09g059911.1.1 pep chromosome:SL3.0:9:56772934:56778726:-1 gene:Solyc09g059911.1 transcript:Solyc09g059911.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYKSANTPMSSSESLTLSNGTHLMTDATHYLRVLHRLQYPYFSRMDIAYAKVVKRVLQYLCGTIQLGLRVTLINDFNLHVYSNANNGGDISNGCNPISWSSKKQNIASRSSTEFEYKAVANDRSETLWAYVSLPFMTSTYMCTLMRIGVETFRVSTSDYILFVGCNPIICFSKKQNIVSRSSTESEYKAVANALFETLWVINLLIDLCFLVHQLPTIYCYNLGATFLSKNPVLHSRVKHVTLDLYFVRYHVNIKSVCVIHAHGADKITDTLTKGLSKSAFENNIFKLGLLIHHLTRIGSSTLSQLKDAISQQINALENVGGQQEAHASPDIERQQTPLSQNFGAQPETPTQHVSPEETLAYFGSSNPHKRQDTHSGINSLKVNGDIFCPHEAVGDVVLAFKKASLDHRIVGAKFRSLY >Solyc08g007310.3.1 pep chromosome:SL3.0:8:1858366:1863416:-1 gene:Solyc08g007310.3 transcript:Solyc08g007310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIRKLLRKIIAILMFRIDWKKLVFIGAILTVFRIMFQISTLPYPLTEWILFPPSEISSSRYLNHEKNLRELPASQDMRFNLSQHAPLVVSLNSTDRLNETQQVLERRQQVSRQQKSRKHVDAVDKVIFPSSPVRNKSNNMLRYITSLTPDEALAYAKREIENAPLVTDDQDLYTPLFKNVSTFKRSYELMELILKVYIYKEGKRPIFHQPYLRGIYSSEGWFMKLMEDSRKFVTRDPQKAHLFYLPYSARQLQKARYVVNSHDLKPLSVFLQNYVNMLASKYPFWNRTRGSDHFLVACHDWGPYTLKDHEELSRNTIKALCNADISEGIFVSGKDVSLPETTIRNPRRPLRNLGGKRVSQRPILAFFAGNMHGPVRPKLLKYWRDKDESIRIYGPLPHRVSKVMSYPEHMKSSKYCLCPMGYEVNSPRIVEAIYYECVPVIIADNFALPFSEVLNWTAFSVVVSEKDIPRLKEILLSIPLRRYQAMQNNVKMLQKHFIWNSTPTRYDLFHMILHSIWFSRLNQIQVSQIS >Solyc01g020115.1.1 pep chromosome:SL3.0:1:27678145:27683412:1 gene:Solyc01g020115.1 transcript:Solyc01g020115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASPHSLYFPDGIYVYFDNEVVLNHVNHGAHIVLCGMISEYNKVWTERKGVWNLLNMVGKEVMMKGFMVGSYYNHFEEFVKEMEVQLKEGKIKSKHKVYNGIESFLESLTSLFSSSNVGKVILQVTP >Solyc01g050047.1.1 pep chromosome:SL3.0:1:48277065:48282532:-1 gene:Solyc01g050047.1 transcript:Solyc01g050047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFRREKIGSIRFGALPTILYNLLPQQVVGNGVLVYLEKVGLQRDYKTLINLEPRSDLDPDIAPLTMACTRMTVLQVVPSMSKLGCFTMSILGTSILHFLFGDVVFKLNSAGLIGVNSMSLVMMSGNSFINIRLGKSKSSQHLSSIVWQIYSVPNLLIFDMERAEAQGRDLPCPHFSDLPIFALN >Solyc06g007500.2.1 pep chromosome:SL3.0:6:1494400:1497354:-1 gene:Solyc06g007500.2 transcript:Solyc06g007500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINLIKVREKQKEAAENAGSKTPIKEQTASKLRVHRDISELTLPSICTIEFPNGKDDLMNFEVSIKPDEGYYHDGEFPFKFEIPILYPHDAPKVKCKIKVYHPNIDYDGNVCLNILREDWKPVLNINAVIYGLIHLFTEPNHEDPLNPEAADELRDNPRSFESHVRSAMWGESVHGLTYDRNVCLNILREDWKPILNINAVIYGLIHLFTEPNYEDPLNHEAADELRDNPKMFDSNVRRTMWGGHMHDVYFDR >Solyc05g005620.3.1 pep chromosome:SL3.0:5:431214:439607:-1 gene:Solyc05g005620.3 transcript:Solyc05g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSAPSPENSAVANSQPLTESDNKTSQSDPSPAPSLSSPLPPPPPLIQPYSGTPPSFRPATPPAPLPGVGVAPMFSPLPNPNLQQQTLNFPNPSVQPPGVNSVPPSMIPAPTAGAGAVQMGSAPQIMPPYAMPGQMVRPYAPMPNGYHAMPQPAPQGAMIHPGGKASYCCSLETTEYSNCFFGIPRYASPYTQMARPVFPPRPLGAVGIIPPLMRPPIPMMRPPIIPPVARPAAIPSITQTEKPQTTVYVGKISSTAENDFMLSLLQLCGPVRSWKRAQDPTNGSLKGFGFCEFESAEGVLRALRLLNKLNIDGQELMLNVNQATRDFLERYVEKKAENSKKPKESESEGTEKEGESALGGETNENPKTTAEPPESSTEESKQDSGDKGNKENLDAANFGLVTDEDRQADKEALEKLKDMIEERLKNKPLPPPPPPPQAPKDGSDNSYTEDLKDGEPGRDTAKNEEKNDDVNESKTSSEHDKAEISSPDHRRHDRRSRDRERDLKREKERELERYEREREQERAKREKEREYKTREDDRRYKSREKEWETREREREHWRKREREREKERAQERKWDIVEQERECEDGYRKRKHRTSDEERKRRQREKEDDLADRFKEEEEIAEAKRRADEEHQKKEQEEALKILSGHLANGREKVISYEENNLDSQDKNIETAHFNLSQGEGVAQNGTDEESVRAVTATSDMRHNSNAPTKRLEFGLSGSGKRAAVPSFFNADEDEDTQKEKKMRPLVPIDYSADEHMVQPSISVASPTMAAEFAKRISNVNAKEERPDIEKERHRRTHDRSSQRDRDRHDEETNRTRDDGRNLDHGRVRETRPDKVKTPDNQKLLDAKQLIDMIPKTKDELFSYEINWATYDKNALHERMRPWISKKITDFLGEEEPTLVDYIVSSTQEHVKATEMLERLQSILDEEAEMFVLKMWRMLIFEIKKVETGLALRPKS >Solyc09g091060.3.1 pep chromosome:SL3.0:9:70875543:70876421:-1 gene:Solyc09g091060.3 transcript:Solyc09g091060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFQTKDKSQPKDKVVEVLKYNVRFLQGEVNEIMCMREYESQVNAQEMIIFALKEAEWKKEKKKLKEEVKKLKKNLEEKDEEDKCKAIENLCVKEDKEWHELATSYLLEQIRNEEARRDEAIEKWKQLYFAIKIELDELIHRTNQGRGLCWKIEQMELLEEMHKELKEKEEKIALLKEEIASKEQQELKREREVDILRQSLKIMSYNMKATNFSKNLSKSLHM >Solyc03g005200.3.1 pep chromosome:SL3.0:3:118779:120032:-1 gene:Solyc03g005200.3 transcript:Solyc03g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQRINSRGLVLVVLAMIWSGVAAQLSSDCTNVLVSMSPCLNYITGNSSSSPSSGCCTQLGTVVKNNPECLCQVLNGGGSNMGLNINQTQALALPNACKVQTPSISKCNAGSPTSSPAGTPSSPNTGGSGSGSIPSSRDASNDASLTKMIDLPFFLILFISSYASAFMA >Solyc11g071225.1.1 pep chromosome:SL3.0:11:55056702:55058865:1 gene:Solyc11g071225.1 transcript:Solyc11g071225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLRFGVFHKYLSNTNVFEHSKKYYSIAENHIPQEINIRTLETLGNWTINGDWTRSFTAHPKNFLTNRDTGELVIMGTYPLKPYFELGVISVSADGKQMIHKVDLKLNRCILCHEIGVTKSHNNMSCRYNVILDFPLTMDLKRLIIGEELIKYNEDGYARIGIMPRYGDANSIKWFEVEPCYVFHLINCFEDYDEVVVRACRARRWIIPRPNESELSSDTLNETSFSKDNIESSKDLFSFFNVCEWRLNMKTSKVKMKNLTTNDHRFIMELPMINEHFIGLKNKFGYQQLINLETFSNSG >Solyc04g055020.2.1 pep chromosome:SL3.0:4:53483726:53486320:-1 gene:Solyc04g055020.2 transcript:Solyc04g055020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNLILKEVVKKLRHLRKREYSRFPILEGHPIAQQHGKEAVESVEILKKLSDKDKKMKEFRIYRWNPHKPNQKPFLQSFFVHLPSCGPMVLDALQKIKGEEDSSLSYRRSCREGICGSCSMNINGVNTVACLKPIDTDTSKPTTITPLPHMFVIKDLVVDLTNFYQQYKSVEPWLKTRKPPPDGREYRQTPEDRKKLDGLYECILCACCTTSCPSYWWNPEEFLGPAALLHAYRWISDSRDEFANERLQAITEDQGRLYRCRTIKNCTACCPKSLNPANAINKMKTIHLTEKPIQDLEAEPEPRFGQA >Solyc11g069540.2.1 pep chromosome:SL3.0:11:54453483:54457620:-1 gene:Solyc11g069540.2 transcript:Solyc11g069540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEMTSFQLGVVGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTYCTLHVALKFNFFENKPIDMKTVMLFGILNGVSIGFLNLSLGFNSIGFYQMTKLAIIPFTVLVETLFLKKQFSQNIKFALFILLIGVGIASITDLQLNFVGTILSLLAIVTTCVGQILTNTIQKRLNISSTQLLYQSAPFQAAILFVSGPVVDQFLTKQSVFAYKYSPIVVGFIVLSCLIAVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTSRNIIGILVAIIGMGLYSYFCVHETKRKQVGDHSSMAQVKEKDTTAPLLAGKNGQVKENNSLV >Solyc09g098040.3.1 pep chromosome:SL3.0:9:72450212:72461911:1 gene:Solyc09g098040.3 transcript:Solyc09g098040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNMDSMHLSHYYSVLNVKKRQPQIKILKQFNVVHQISHTSVRNLTLLPATNLGFFMDRRVKGIVCGVSSVETRENQNKGKNKSSSEKVQLRFRLDHQVEYGEHIAVLGSAKELGSWKKNIMMDWTENGWIGELEVRSGEILEYKFVIVGKDKNMLWENGSNRILKLPEGGSFELVCQWNVTDEPVNLLSLDPFEVEKLVEETSDNGATITSQAVVPDVVTSPFVEQWQGRAASFVRSNDQLDSDKNRKWDTSGLTGISLKLVEGDKNARNWWRKLEVVRELVVENMDSSHRLEALTYAAVYLKWINTGQIPCLEDGGHHRPNRHAEISRLIFREVEKVLSRKDTTLQEILVIRKMQPCLPSFKAEFTASVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLVSTEAMLERITKQPGQYSEAFVEQFKIFHNELKDFFNAGSLDEQLESIRESLDGSSLTMLSSFLESKKELVRLDEKHNVSETERTGFLVRTINSLNALREVISKGLESGLRNDAPDASIAMRQKWRLCEIGLEDYAFVLLSRFVNAVEALGGADWLAENVTVKNVSSWNDPIGALTVGIQQLGLSGWKPEECKAVGNELLSWKERGISEIEGSEDGKTIWALRLKATLDRSRRLTEEYSETLIQIFPEKVQILGKSLGIPENTVRTFTEAEIRAGVVFQVSKFATLLLKAVRRTIGSSGWDVLVPGDAFGELIQVDRIIPGTLPSSATGPVILVVNKADGDEEVTAAGSNISGVVLLQELPHLSHLGVRARQEKVVFVTCDDDDKVSDVRQLLGKYVRLEASSTGVKLTASSSEKTGGVSTDKLLSSNASSTGATSSDSGASSIAVKSSQVKEVGPARGVIPLVDADIQTSGAKAASCAQLASLATSSTKVYSDQGAPASFKVPAGAVIPFGSMETALETNKLMETFTLLVEQIETAEIDGGELDKHCEDLQKLISSLLPGQDVIESLGEIFPGNARLIVRSSANVEDLAGMSAAGLYDSIPNVSPSDPVRFGHAVARVWASLYTRRAVLSRRAAGVSQKDATMAVLVQEMLSPDLSFVLHTLSPTDNNHNFIEAEIAPGLGETLASGTRGTPWRLSSGKFDDTVRTLAFANFSEEMVVGGNSPADGEVIHLTVDYSKKPLTIDPIFRRQLGQRLGAVGFYLERKFGSPQDVEGCLVGNEIFIVQSRPQPQ >Solyc03g119380.2.1 pep chromosome:SL3.0:3:69489375:69494199:-1 gene:Solyc03g119380.2 transcript:Solyc03g119380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSSSRLVRAVGRSLFSGLCNNADGLMRSTHKMMCNHLLFQQQRTFIQMRTSLKVVDNSGAKRVACIQALKGKKGARLGDTIVCSVKEAQPGGKVKKGEVHYGVVVRAAMPRGRCDGSEVKFDDNAVVLINKHGEPIGTRVFGPVPHELRKKKHVKILSLAEHIA >Solyc03g098620.3.1 pep chromosome:SL3.0:3:62358939:62366518:1 gene:Solyc03g098620.3 transcript:Solyc03g098620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGGKKAANGESNPDMEEKKRLKKLAISKQMLSENPSRVNNFLSPSKTVIKHHGKDILRKSQRKNRFLFSLPGLLAPVSGGKIGELKDLGTKNPILYLDFPQGQMKLFGTIVYPKNGYLTMQFSRGGKNVVCEDYLDNMIVFSDAWWIGRKDENPEEARLEFPKELNVQQEQLECDFKGGAGATCVKKRSTSECGVKHVEQQSPEHEQEELLSESQNDSKDFIELTPSRRSARAAGKKFNFAEASSGDEMVDNEVESSEEEEKTGSDILCHETVVQSQVTGKITALAETASKSKKSPRTKQSSLVQATISTMFKKVDKLVTPDRVSQRKTRKSTNKGESNTECGSTIPAHVGTSQGEDDIEELSSSSKNMTTILNGSANYSCGQML >Solyc06g062550.3.1 pep chromosome:SL3.0:6:39581633:39587944:1 gene:Solyc06g062550.3 transcript:Solyc06g062550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIEVDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMKELHTQGKTIQDIEEVLKRVPIHPRIVPAIKSAHALGCDLRVISDANVFFIETILKHLGIRDCFSEINTNPGYVDGEGRLRILPYVDFQKSPHSCNLCPPNMCKGMIVERIQAKEGKKRMIYLGDGIGDFCPSLKLREADFVMPRKDFPAWNLINKNRTLVKAGVHEWTNGKELEHILLQWINTINIEESQLLSMENCKFQTKHNAAHGALPRPLPTIIDVDSDNWVVDELGATDLFNQLLPTMPWNSLMDRMMKELHTQGKTIQDIEEVLKRVPIHPRIVPAIKSAHALGCDLRVISDANVFFIETILKHLGIRDCFSEINTNPGYVDGEGRLRILPYVDFQKSPHGCNLCPPNMCKGMIVERIQAKEGKKRMIYLGDGIGDFCPSLKLSEADFVMPRKDFPAWNLINKNRTLVKATVHEWTNGQELEHILLQLINTINMEESQLLSVDYCKLVTMSKAAHGALPQALPVPY >Solyc08g008250.2.1.1 pep chromosome:SL3.0:8:2683171:2685722:1 gene:Solyc08g008250.2 transcript:Solyc08g008250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVVICGQPCSGKSTAAACLSEALRQTEPEPSVRTIDETSFHLSRNQSYANMTEEKNLRGVLRSEVDRSLSKDSIVIVDSLNSIKGYRYELWCLARAAGIRYCVVHCDVDEQSCRTWNVERCERGEPSYDDNIFEDLVRRFERPDSKNRWDSPLFELWPAKEGIDKSSTAMVDAVTYLTKKVDSKTRDVKILQPTIATQTARGSEANSLYEMDRATQEITNAIVEAQSRALGGPLNGVSLGPGIPTIDISRSVSLPELRRLRRTFIKLAGQTSLSGPPPPSDADSAKRMFVDYLNRELGSG >Solyc07g005950.3.1 pep chromosome:SL3.0:7:786532:789919:-1 gene:Solyc07g005950.3 transcript:Solyc07g005950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSNSHHYSASHYPLKDLKSFPLFLIFLPNLQGKPSKLASLKLPLYVDSSWESKKLNCTVKPLIFTDSKCCVPSFLGGGAFVVSPFCNLKHIRVSRLETEELETSELSIDNEGVDGFEGELGNESFVTERPNLGRDSKKGKFNVWRRFRRVKKVPKDSNYRSSFRLKDRKYGTEENPRIVFDVNSDENVIDSQNGVDFHDENIGSDSSLDQCNAILKELERGDDGKALSFFRWMRKNGKLKQNVTAYNLILRVLGRRGDWDGAEGMIKEMSMESGCKLTYQVFNTLIYACHKKGLVELGAKWFHMMLENGVQPNIATFGLLMALYQKGWHVEEAEFAFSMMRNLKIMCQSAYSSMLTIYTRMRLYDKAEEIIGFLRKDEVILNLENWLVLLNAYCQQGKLLEAEQVLASMNQAGFSPNIVAYNTLITGYGKISNMRDAQRLFGDIKRVGMEPDETTYRSMIEGWGRADNYEEANRYYAELKRLGHKPNSSNLYTMLNLQVKHGDEEDVVRTIEEMMHTGGEKSTILGILLQAYEKLELIHEVPSILRGSLYDHVLRNQISCSSLVMVYVKNSMIDDALKVLQEKQWKDALFEDNLYHLLICSCKDFGHPENAVKVFTCMPKSDKPNLHIICTMIDIYSTNNDFAEAEKLYLMLKNSDVKLDTITFSVVVRMYMKSGALEEACSVLDDMDRQKNIVPDTYLLRDMFRIYQRCDKKDKLADLYYKLVKRGVIWDQEMYSCVINCCARALPVDELSRLFDEMLKRGFLPNTVTFNVMLDVYGKSRLFKRAREVFSMAKKCGLADVISYNTLIAAYGRSKDFKNMSSTVKKMHFNGFSVSLEAYNCMLDAYGKEGQMEKFRNVLERLKESGHSSDHYTYNIMINIYGELGWIEEVSEVLAELKESGSIGPDLCSYNTLIKAYGIAGMVERAVDLVKEMRENGIEPDRITYTNLINALRKNDKFLEAVKWSLWMKQIGL >Solyc01g100070.3.1.1 pep chromosome:SL3.0:1:90042441:90043529:-1 gene:Solyc01g100070.3 transcript:Solyc01g100070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTEKGKKKKNKWTKPAGIGSIFRNASRNNKPLQRDSSSFKNDGHGDADGLDECMEERYQLIVSRNKGNMVEERLTLSKSCSFKKILRILDTKGKPLKVNEEQNSETSTGSRKQPRILPRNPSGLFEFRFRKRIGFCFTNWKTNKAADPGTDFEGADYGAVTNAETQEMSKTFNWASIKRQKACYCIIRRVKAIHKRVVKQERVCKHEDEEEQEKVELELCKKRILMGGKCRPLSASGSLHYDQNGILLPELPYQEM >Solyc11g066370.2.1 pep chromosome:SL3.0:11:52419342:52432970:-1 gene:Solyc11g066370.2 transcript:Solyc11g066370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA ligase [Source:UniProtKB/TrEMBL;Acc:K4D9P5] MLCLSSYRFTQTTLLYNFSVKPSSIFLHFYKKKQLTLKPLFFSVNPNSNSRTMSDSPPAPPRSAFAVLMANSKKKTTSSSPKKRKTPDVETSSKSPVVNQDSVLKPIKDTDLVNESKSEELEVKKRSQDSTLKPIEDTHLVNESKSEECVVKKRKMISPDESIVEIKKKAANFDPRKAVYWGDGQRVPFMFVVKAFDAISKESGRIVITEIVTNMLRTVIETTPEDLLPVVYLAANKIAAAHDGMELGIGDASIIKALAEACGAKEAHIKKQYKELGDLGLVAKASRSSQPLMHKPEALTVAKVFDTFRIIAKESGKDSQEKKKNYIKSLLVAATDCEPQYLIRLLQTKLRIGLAEQTLLVAIAHAFVYSDKHSSPPAGVDSPLEEAAKIVKQVYSVIPVYEKIIPALLADGVWKLSETCGFSPGVPVGPMLAKPTKGVSEILDKFQDMEFTCEYKYDGERAQIHYMEDGSVEIYSRNAERNTGKFPDVVTAISRLKKSSATSFVLDCELVAYDREKQKILPFQVLTTRARKNVVISEIKVNVCIYAFDILYLNGQPLLQEQLNVRREHLYKSFEEEPGYFQFATAVTSNDLEEITKFLEDAVNGSCEGLIIKTLTKDATYEPSKRSNNWLKLKKDYMESIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYDSNNEEFQSICKIGTGFSELQLEERSSSLRSKVIPKPKSYYRYGETINPDVWFEPTEVWEVKAADLTISPVHRAAQGIVDPAKGISLRFPRLSRVREDKKPEEASSAEMVADMYNAQKHNQKNNQDDNDED >Solyc05g054600.3.1 pep chromosome:SL3.0:5:65323195:65331477:-1 gene:Solyc05g054600.3 transcript:Solyc05g054600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRVQHSIQSSFWFLSSTPFRNTLNPRKNFFKNRISFGKELFFSSSSRTALYCTCSSSSSGGGDKDVFLTNGVGGDDSFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLEKKVIFITGTDEHGEKIATAAAANGSSPNEHCDVVSQAYKALWKDLDISYDKFIRTTDPKHEAVVKEFYSRVLANGDIYRADYEGLYCVNCEEYKDEKDLLDDNCCPTHLKPCVKRKEDNYFFALSKYQQQLEEVLKQNSGFVQPSYRLNEVQGWIKNGLKDFSISRASVDWGIAVPNDSKQTIYVWFDALLGYVSALLEDSELASLDTAISSGWPASLHLIGKDILRFHAVYWPAMLMSAGIGLPKMVFGHGFLTKDGMKMGKSLGNTLEPTDLVQRFGPDAVRYFFLREVEFGNDGDYSEERFIRMINANLANTIGNLLNRTLGLLKKNCQSTLAVDSDVAAEGNEFKAAVEKLVEKARVHYQNLELSAACETVLEIGNAGNLYIDGQAPWTLFKQGGTAFETAAKDLVVVLEAMRIISIALSPITPGLSLRIYSQLGYSKEQFNAVSWSDTKWGGLKAGQVMAQATPIFARIEDGTEAETKSAATKKVKKEKTPKSKSPVEA >Solyc12g049505.1.1 pep chromosome:SL3.0:12:62091144:62093367:-1 gene:Solyc12g049505.1 transcript:Solyc12g049505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNFCGSIVVTLLHNIRKELTVTDDILQIILERQLAKLSLQNHFFPYRHSEAKEVIQNQDRSFRNNGPEEERLCDFPIAYKLDSPTLPESVF >Solyc06g059995.1.1 pep chromosome:SL3.0:6:38049877:38053860:-1 gene:Solyc06g059995.1 transcript:Solyc06g059995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINYSLASLLLFLSSLFIVIKQWRTKKPKKLPPGPWKLPIIGSLHHLIGRGLPHRVLRDLSQRYGPIMYLQMGQVPTVVISSPTMAKQVLKTHDLAFVNRPQLTSTSIIFYNNKDIAFSQYGDYWRQMRKICTLELLSTKMVKSYGAIREDELSSLISSIRSTMGNTINMTQIIFLFSNSIICRSAFGKICKNREEFLTILKEVLLLGAGFFVGDLFPSWRLIHNLRGEKTRMVNAHNKVDVVMEEILNEHIENKAAGKMGNGEFGDEDLVDVFLRVKENAELQFPIANENIKAVIFATIIDSLSEVKKKLNQDSMVRLSAKKLEMMKDYRWMGLDR >Solyc08g079260.3.1 pep chromosome:SL3.0:8:62987345:62992724:1 gene:Solyc08g079260.3 transcript:Solyc08g079260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPMKLNQLKQFVEQCKSNPSILSDPSLSFFRDYIENLGAKLPPAAYDTGDYKEKSHAVDASDDEMDDDENDANIKETVEEEEEPEIIESDIELDESDTVEPDNDEPQKMGDPSVEVTEESRDASQESKAEAMEAISEGKLEDAIEHLTKAVLLNPKSAIMYATRASVYIKMKKPNAAIRDATAALELNPDSAKGYKSRGIARAMLGQWEAAAKDLHVASKLDYDEEISAVLKKVEPNAHRIEEHRRKYDRLRKEREDRKIERERQRRKAEAQAAYEKAKKQEESSSRRAGGMPGGFPGGMPGGFPGGMPGFPGGMPGGFPGGMPGGFPGGMPGGFPGGMPGSAPGGMPGGMPGAAPGGMPGNMDYSKILNDPELMAAFKDPDVMAALQDVMKNPANLAKHQGNPKIAPIIAKMMGKFAGNN >Solyc05g016185.1.1 pep chromosome:SL3.0:5:14724998:14727494:1 gene:Solyc05g016185.1 transcript:Solyc05g016185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKYIEVRISNLSQCKILVSEFMSRGSLENHLFRNQKITMQS >Solyc08g029404.1.1 pep chromosome:SL3.0:8:36350230:36351938:-1 gene:Solyc08g029404.1 transcript:Solyc08g029404.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWLEKSLSRLCLQEQDHQFEENARSCDIWLWKDDYIDPRSKFIGELEYIVESSEKVETSTKEVNKPTKSTKSMKSRLDMNKIDYKFNISKSIIPEQRSRFKEIAIVFSCTKNLQYCVELVSKTTYLKHINEVNEGNFVTFSIELLGVPLPLLVGALGVTLGSTSGVALGPAVFVNVGETVGLE >Solyc12g036790.2.1 pep chromosome:SL3.0:12:48259832:48265988:-1 gene:Solyc12g036790.2 transcript:Solyc12g036790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNGVQFDEGAPDDFDQNNPYKDPVAFLEMREHLVREKWIDIEKAKILREKLRWCYRIEGVNHLQKCRHLVQQYLDATRGIGWGKDLRPPFMHGLGLRWLKLLSPNDSAILDLYFQLKV >Solyc06g073160.3.1 pep chromosome:SL3.0:6:45200463:45218416:-1 gene:Solyc06g073160.3 transcript:Solyc06g073160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYREDCKDLASQLKKQDKELRLKRRSLPESLLREDDLYYENIRTSVAKAFGVHKGETTYHGGPATLFFDSTENLRGVYSMLDKMNNKGLCCIAETVTGCSISFEKTNWQMKRIIKQFLPKILHKHDDSSQSRIKKISQLLKDPQNFRVNHGASCSTSESFRSSAIYVLDRLEELSLLTLSKMHRNLRDVTGYIPLLQPPRICWSIGSLVEQIRRTSLKMLSDYEEGDEPPEPLAKALSVAALMLKPELDYCLSPSFFRKLSPDVEALQNDIAKAISILDDKTKVSFTELGNLPLVMDSNNKAARESVRLRVNVKKLLTEYLLECSDLESTPETLIESLAIINKKYRHEYSKTYSNKEVEEEVECVLTISAQIKQIVWDSLIECDVSEDFANAYVENAEDSYYEGGDEDEYLSDLPQNCKSDPNISYSQAESVGEINQSDFQSPITASRDDGLSSLVSSKHKLSVKIESMYTDGVDSIYSNWFDNSSSFLESKASEVTKSMSGKDIHLRNIGHQEGRDSCSSFSTRDQNSFATHFSPNEVSGRNNMEEKVTVGDLGCTPPKFTSSKCSEEKSVSLHRQNLSRNQYLLIQEGSDETSVVAYSLVGCILNKFAQMDGLQLSEDNVSYLQGNTSDPKIFEVLKNRRSSCGETTNSIMLHALEEIIPSFANSKDNGFFVVERETHFMCMIVAQCSAIALLSEVTMDSLNSYASSAAMAQQTWELENNIVTIDAPSGSKPENSASDAIFHYDDAAQTKFQREKPWASDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVEYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCRLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEHAENQLSNSRYASLMAPPQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVERRLVELDYASEAKQSDDTVRINPLNNFKKYEGGFDITNKHYWSSTIFTGIYGYVIAVIWLLCGLGYGVFLLASTCCCSNRKNKEFKKKSTCYKQCYLWLTFSAIFFTILAITATGLALGGNAKLRSRTNTVVDIVVDTAEGASETIYTTTGALRAMYTDLAHTDIRHEAARFLIPTSRSLDRQAADIHREATENRRLIMKGLDILYIVTTLIISLNLVAVIALTVFGILKFRRNLRLLIAVCWIFTILCWLFFGIYFFLDNFAGDACTALESFEINPYNNSLSSILPCNELLTAESILHEVSEGIHRVVNRVNRELATNYGNVAQICNPFSGPPDYNYEPDENCPSSAIRIGDLPRIIKMLTCTNENCIGGVLIPLRDYNNIEAYTTALKRILDVYPGMESLAECDTVYDSFSDILDYHCKPLKKNAHMTWGGLVFLSTVMVALVLMWTFEAHHEQNHHHNFDSSIKPHSSATVDMIELGKVKEAEVDTNPRSIT >Solyc10g074980.2.1 pep chromosome:SL3.0:10:58748296:58754907:1 gene:Solyc10g074980.2 transcript:Solyc10g074980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLGFLVCVWFLVIGSCLGRFVVEKNSLRVTSPDSIKNVYECAIGNFGVPQYGGTMVGIVMYPKANQKSCKDFADSDISFKTKAGGMPVFVLVDRGDCYFTLKAWNAQKAGAAAILVADDRNEPLITMDTPEEEDAKADYLQNITIPSALISQSLGDSIKKQLSKGEMVNINLDWREALPHPDERVEYEFWTNSNDECGPKCESQREFVKNFKGAAQILEQKGYTQFSPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVLQNLRQACFYKVANDSGKPWLWWDYVTDFGIRCPMKEKKYDKECADQVITSLGFDVKQIDKCVGDPEADADNPILKAEQEAQIGKNSRGDVTILPTLVINNRQYRGKLDKGAVLKAICSGFEETTEPAICLTDDIQTNECLESNGGCWQDTAANITACRDTFRGKVCECPTVQGVKFVGDGYSHCEASGALRCGLNNGGCWKGTKDGRTYSACIDDHTKGCKCPPGFKGDGVNLCEDIDECKERLACQCPDCKCKNTWGGYQCSCSGNLLYMQEHDTCIGNDGKAAFSWGLVWVIILGLAVAGAGAYAVYKYRIRRYMDSEIRAIMAQYMPLDNQGEVPNHISHGNV >Solyc05g051970.3.1 pep chromosome:SL3.0:5:63176347:63180107:1 gene:Solyc05g051970.3 transcript:Solyc05g051970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTPARNSRTCTADLLNWSSEVPPPSSVSRSRQLSNGIGKVLFGGDVSDEEAESLYKRRPSSGYKLKEMIGSNIFSAGGKDVASESGPVNGNANIRTSVRIVQQGANGKSQISFGTEEKISQKTPTEVEKQDELSGDQENKTDSLSLSTVENISEEMPITLTEVEKQHELSGNQESKIRSKVKKQLSEAKSKELSGSNIFGPPIEVPPRSSKVARSLQPEESKDMGEPAPRVVHTSVKVSNPSGGQSSILFGGEPVVEPVKKIHNQKVAELNGNGIFKGDAVTPPGSSEKSLSRSKLREMSGSGIFSDGKAESRVCYGGVRKPPGGESSIRLF >Solyc12g099180.2.1 pep chromosome:SL3.0:12:67401091:67404440:1 gene:Solyc12g099180.2 transcript:Solyc12g099180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEGLVPCGEEEMKRRNAIDKLKKIVVEWVKTVTYQRGLPKKYLKFASGTILTYGSYGLGVYNSESDIDALCVGPYFATIAEDFFIVLHNMLASRPEVSEIHCVKDAKVPLMRFKFDGISIDLPYARLKVISIPEVFQAVLRCIKLWAKRRGVYANLLGFFGGIHLAVLSAFICQRHPSASLSALILLLFKTFALWPWPTPVILQDQIARPFIPTHDKVSWMPIQLPCSPYEFCHSNITRSTFYKIRTEFLRGHMLTKDMLSPDFDWNILFEPFPYARKYGLFVKIFLSACDKDELGDWVGWVKSRFRCLLVKLEELLGFCDPNPTEYVDTDASEPNVIFYWGLPTGRADLTNADLVEEYFLKSIDHGLNQVSTGTMKLSIVKAYQLPKKADQLIAPERKNSKPCWRVVDGNRKKTPAQPKYKPHCAESAGDYLPTNENPEFPSAGG >Solyc10g051140.2.1 pep chromosome:SL3.0:10:51479190:51490102:-1 gene:Solyc10g051140.2 transcript:Solyc10g051140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKIIPKIGTPKRDHIVFPHIDGKANSQSSGLPSGPVRMLSRRSKVLGALTETSFLHMKRPFETSHSATEVGDSYGKGKQLKPPTQERGKSKVPLKLVCAPQSTFSGKTVNVRPVMPVMKKTIITQQLADNEMKKRVMTLIKNSTSSFNVEEFVNEQYRKCIDSNSQKNFTDRAITLGKVQCSVKAIQVALRKLDEGCSIEDAKAVCEPEILSQIFRWKKKLGSYLAPFLNGMRYTSFGRHFTKVDKLKEVVDRLRWYVQDGDTIVDFCCGSNDFSCLMKEELDRMGKTCHFRNFDLVQTKNDFNFEKRDWMTVGLRDLPEGSKLIMGFNPPFSTANEFISKALTFRPKLLIITVPKETKRLDKRKNPYDLIWEDDVILAGKSFYLPGSINVYNQQMDQWNIVSPPLYLWSRPDWTAKHKAVAMQQGHIRKSEAEGNIVHTGITNYLMQETHDCYGDFSDILTSCGDISSLLDDIPEISIDAECNQNQHFGVPEQDMCYEGKDENETSPAEDMCIDMDLSTPTNSPLH >Solyc09g055830.1.1.1 pep chromosome:SL3.0:9:45818127:45818492:1 gene:Solyc09g055830.1 transcript:Solyc09g055830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRGKGMCIGSILPPEHGALELLTALFRFIRTWSSSAELLGAANKRENRMGFDLAGCFVSLRLAGKRFCVFWWLLFWLISGVTARIRRGIRMSMLWQFHPEGNRLLLQQVQGSPLSSSSNF >Solyc02g094400.3.1 pep chromosome:SL3.0:2:55567527:55570954:1 gene:Solyc02g094400.3 transcript:Solyc02g094400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTAVHVSDVPNLDQVPDKAPLYATRFSQGIEIGRASEFLVVGHRGNGMNLLQSADRRMNALKENSILSFNAAANYPIDFIEFDVQVTKDDCPVIFHDDFILTQHNNGTVYERRITELSLAEFLSYGPQKEEGLTGKPLMRKTKDGKIVSWTVETDDSACTLKEAFEKVNPSIGFNIELKFDDHIVYQQDYLIHALKAVLHVVLEYAKGRPIIFSSFQPDAALLVKKLQTCYPVFFLTNGGTEIYYDVRRNSLEEATKLCLEGGLEGIVSEVKGIFRNPGVVNKIKESKLSLLTYGKLNNVPEAVYMQHLMGIDGVIVDFVEQVTDAVCKLVKKPDEILLEGEEKVQNRPQFSQRELSFLLKLIPELIQQ >Solyc02g031950.3.1 pep chromosome:SL3.0:2:27689742:27691993:1 gene:Solyc02g031950.3 transcript:Solyc02g031950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEKETTKVAIDKYRRFLHEDHVAAAETIEWKHGSPPIYDSVNNVFEQGRTKVWPKGSLEETTQNSIKTWEMEIKYKTCVNDIRTINLEKFKLFVNGREGLSAEETLKVGCYNALLKNSMPNEFKYHKEDEETFESSHNAFWSAFPRGFAWEVINVYTGPPVVTYKFRHWGFFEGPFKGHAPTGEMIQFYGIGIMKVDKYLRMEELELYYDPAELFGGLLKGPKISESNIEQGQDDNTTTQQCPLFNHS >Solyc09g091230.3.1 pep chromosome:SL3.0:9:71003008:71006435:1 gene:Solyc09g091230.3 transcript:Solyc09g091230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPNHQPHRLLFHQNPNDQNTLLPPPPAIAYLISGSTKDTSRIIRLLFAVYHPRNQYLLHLDKKASQYERDDLALYVQSVPLFKAAQNVNFIGKADFVYPMGASALSATLHGASILLRVSAHWDWFINLSADDYPLVTQDDLLHILSYLPKDLNFVNHTSYIGWRESRKLKPIVVDPGLYLEEEDEVFYATQKRELPDAYRLFSGSSSSILSRKFIEFCILGTDNLPRTLLMYLSNSPSSSSVYFPTILCNSRKFNRTTINHNLRYASFNSRKEALPLNTSNFNDLVMSGAVFAAPFEANNPILDQIDSELLHHKYDEPVPGGWCLGENETDKCTVWGDAEVLRPGPGAQRLEERFVQIFSNGTFRSSRCVYE >Solyc01g090120.3.1 pep chromosome:SL3.0:1:83634612:83635927:-1 gene:Solyc01g090120.3 transcript:Solyc01g090120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSAVATLLFLLVASPVAFAANHVVGGSGGWTQSGDYTTWAAAETFTVGDTLEFNYGGSHGVDVISKDDYENCNTGNAIESYSDGKTTIKLSKSGPMYFTCPTFGHCQSGMKLSINVLDSSTPSTPSTPSTPSTPSDSPATPSTPNVDNTPAKSTPTTPNGAAGVFGSMNKFVIGVSVVLGALFVFMC >Solyc05g050438.1.1 pep chromosome:SL3.0:5:61470236:61471293:-1 gene:Solyc05g050438.1 transcript:Solyc05g050438.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFPLSPMAANKCMNFLQQQQLQSAESRRAAAALMMGDDMHKLSRSRFERGDFGLNGGVGITNPRSRQIYLTFPADSTFKEEDVSNYFSTYGPVQDVRIPYPQKRMFGFVTFVYPETVKTILAKGNPNFVCDARVLVKPYKEKGKVPEKFRKQHQQHIDIWCLFCCR >Solyc09g075510.3.1 pep chromosome:SL3.0:9:67645990:67651067:-1 gene:Solyc09g075510.3 transcript:Solyc09g075510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRGDDLKILLSALKDGGVYVGEEEEEEEEEDEEEEEDDEDEDVHDDNVKTDLACPFCSEDFDMLGLCCHIDSEHRIEAKTGICPLCATKVRTNMATHVIVQHESILKALCKRKHKNGGSFSALSLLRKELLSVYFEKKSSHVSSSSNTETNQLLLSFVGNQQPAKRSPTRQPCTSDEPSVSNNSLDDHISESSQAFPSMDKDQEEKVQRSEFVKGLLFSTMLEDWL >Solyc06g053970.3.1 pep chromosome:SL3.0:6:36951072:36952259:-1 gene:Solyc06g053970.3 transcript:Solyc06g053970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVLRYRHKPFAIFTFLLLISSLLRFISALPLINPTTEQQQKLSGPGSSPPTCRFKCGRCSPCKPVRVSVHPGFTFTLEYYPQAWRCKCGNKLFMP >Solyc08g005040.1.1.1 pep chromosome:SL3.0:8:29868:30206:-1 gene:Solyc08g005040.1 transcript:Solyc08g005040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNCLTCQALKRTDSHEELRETLNHVNDKSNFRLFSVGMERNWSGNLVERRKYEKTRGRTIMGKENNTRNGSRRVHNSGPIEFMTDSPRLARSPGMRRDWSFEDLRQVIKR >Solyc02g090170.3.1 pep chromosome:SL3.0:2:52439295:52441601:1 gene:Solyc02g090170.3 transcript:Solyc02g090170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATICERCKVLEKQKYWEEFDKQHKFFKIMINDFRRRLRIPQKFVTCFKNSHKLLGQKILTGPSGNTWLVEVIRTEKDYVFCNGWEVFVKDHSLEIADMLVFKMDGFSAFDVMIFDASACEKETTFFVKKNRNPCKHPDEVTDEHTTEQQSSEDEPSNDDDVTDEDDNIQEPTRGRKSSRGKQYQFQSLQSSKGKKMKKILVAKQDNRRRKCTPMSSRKKNVEPEEKRPMYSNRRRVSEKEKMRVHQLASRHTSSVPSLLMTMQPTHVYMGQLKLPKEWAQKNMRKISETITLRIPSSRRTWSASIRCRMEGLVIQSGWDDFAMDNDLEEFDICVFELAQGGKHDSKPVILDVYIYPVENEIMRPL >Solyc03g114780.3.1 pep chromosome:SL3.0:3:66151467:66165619:1 gene:Solyc03g114780.3 transcript:Solyc03g114780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESETSMEVRSLTGESIVLSILPDKTIQQLKQLLKKTFQPASISPNFHLFLKGVKLGLESKISDHSVGSGEFLVLVPYTKKDRQQNEKTETPASSSVPVGGSTLKEAETAWSDMMEDLSYLSSISRNENQDEVLLDETRYRDSDGQNCSVPMNFSSQVKRKRSIKDDKMEGHADELVLSILKSSSNDMDDEKAKIFVQVLASINCFTDPDSGNCLWEEANRNDNVSDPCSSGSDLCRCPSWLRRIRKIFSFLNIYSAFLQLQKGQVTCSSLKGALDRLCLFGFLAGVTDIEQLSLFCPKVVNIVDDDTVDKNFKDGIIVFRNSTTKGEQSATKKGVTISNVLRSMKKREYAFRTSLLKLVKLLKRQNGNEFSKISLEDFITFVKQGGIGATGIETKRTGSHAFEAHCCDTNPMTPLEMVEHLRKGIGSDGQVVHIENITARNATYVEIPSVLSESTVLALKNIGITRLYSHQAESIQASLAGKDVVVATLTSSGKSLCYNVPVLEVLSHSLSACALYLFPTKALAQDQLRSLLNMTNEFSADLGIGVYDGDTSQMDRKWLRDNARLLITNPDMLHVSILPCHRQFSRILSNLRFVVVDEAHSYKGAFGCHTALILRRLHRLCSHVYDSNPSFIFSTATSGNPVEHSKELSNLPTIELIQNDGSPSGSKLFVLWNPPLRLKKISKRIKTGIDDGSVDKHLIARRSSPILEVSCLFAEMMQHGLRCIAFCKTRKLCELVLCYTREILQETAPHLVDTICAYRAGYIAEDRRRIEHDFFNGNICGIAATNALELGIDVGHIDATLHLGFPGSIASLWQQAGRSGRRGNASLAIYVAFEGPLDQYFMKFPQKLFRGPIECCHIDARNRQVLEQHLAAAAFEYPLSLSDDEKYFGPGLESIIMALKNKGILSTDISRSATARIWSYIGLEKMPSSAISIRAIETERYQVIDIQKNELLEEIEESKAFFQVYEGANYMNQGKTYLVKELDVTNRIAWCQRADLKYYTKTRDYTDVEVTGANFAYPARTTSLQLPRTTAQAQSCRVTTTWFGFRKIWKKSNQVFDTVELSLPNYTYETQAVWIQVPQTIKTAVETLNYSFRGGLHAAGHALLNVVPMYIVCNSSDLASECVNPYDSRNVPERVLLYDPHPGGTGISAQMQHIFSELLTAALELLASCCCSGDTGCPNCVQNISCHEYNEVLHKDAAIMIIKGVIEEEESYFKSISELS >Solyc05g055260.3.1 pep chromosome:SL3.0:5:65824429:65827201:-1 gene:Solyc05g055260.3 transcript:Solyc05g055260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein G [Source:UniProtKB/TrEMBL;Acc:K4C2K2] MSRSGQPPDLKKYMDKQLQIKLNANRQVVGTLRGFDQFMNLVIDNTVEVNGNDKNEIGMVVIRGNSVVTIEALEPVARPQ >Solyc07g009540.1.1.1 pep chromosome:SL3.0:7:4685981:4686271:1 gene:Solyc07g009540.1 transcript:Solyc07g009540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICEETECLLCGCKPEHLFFEYEYSMKCLMAVQRTNLEANMEETSKESHREDQQSTYPSNNSSPNISYLASTKWSIVEQSNSQTDGNYEEDQGGE >Solyc02g071240.3.1 pep chromosome:SL3.0:2:41268086:41289822:1 gene:Solyc02g071240.3 transcript:Solyc02g071240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHDNNAADGPHPNQVHNERLKDMISGGSEDLDSWNSLISEVEKTYPDDSNTICLAFDSFLSKFPLCHWHWKRYAYHMARLFNAEKAVEIFERAVESSPFSVGLWVDYCTFAVSSFEDPFDIRRLFTKGISLVGKDYFCHVLWDKYMSFEFSQEKWGFLTLVYVQALRFPTKKLHKYYENFKKLVTNLEEEILHLSDDSCEVQLKELSDATVVLSNKEIAQVVKDLQDPSDGSVRLKALYRYRYCGDQLYQKACQLEEKIKSFESNIQRRYFQATPLDNDELRNWHDYLDFIEKQDDFDWALKLYERCLISCANYPEFWIRYVDFMEARGGRELAMFALERATKVFSKNVPEIHLFTARYMEQIGDPDGARASFPLINADWDSCFIQYVTNRANMEKRLGNCSAACDIYKRAINMAVKEQKFQCIPMLYIAYYRLRYMITASVEAARDVIIDGINRFPGCKLLYEELIRFAMEHEGTKQLRIVDSVIVNAISPVSDVSQDMDIKDRESISLLFLELVDLCGSISEIRKAWSRHIKLFPQFIRTNTSSKHIGTEKSSLHNFTEQRKNISYVLVNQPSKGTSSGHMIQLSEGEQVHLLMLKDGKQPDQLSADRSLAVVNDKDVTGQQEQLSPEMKDLSSGNGSGKNELSFDPVVQSKDDSSTPMELSHGPSQQKRENLPGAMDFSYDSIPQPGEDVSKSPGPVLDLKEQSRPLNVLESSQAEIINRDQDVELKQPPMLVSMENLSLVSGERESQDFVAMHSDDLESGKEIPSSSTGRPQDPVDDGNGPVGCSSPSTCLFSESAQTHPGPVTAESSQLNDTVAVPESGNSKVPNQPAGYDQQQQPQRAASQEPSLRDSQGDSKIQQILYKATVQCQTGTSQGNIPSNQCWTLNNMPQQISMSGNHPLASSSSPVSNSQPSTALHSEHDSQQSGPDPHHEALNKKSQHVSLSENHPQMSSSPSSFSQPSTPQHFRQSSQQIGPLHNQEALNQPSQHHYQQQHLLQGQYQQHQLQMQQSYTSIQQQQMHPYPSQQYYQQQQLIQQQQQQNQQQTPLLQQQQQQQYFQQIQSTQYPQQQYLLHQLQYLPQAQQLWQQQSQLQAQQMQSQEGLQTQQHYLQTQEQQLEQYRQSQELANQMQQHGYQLLLHQYQVHQQQQWQQQGHLQLQQYSHLQQQQYHHLEQQTDEYNQTHQGQQVVEPHSSGTPETESARQPHSAEKSRYSASPYRGAPAHGISPSHSTGTLASKASSFSRTNSSQDQ >Solyc06g051840.1.1.1 pep chromosome:SL3.0:6:35644374:35645426:1 gene:Solyc06g051840.1 transcript:Solyc06g051840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHHSSLCPIKYTEHKRTIRKVTKPSVIKPKKVSDVRKSSEYNPRTVRICVTDPDATDSSSDEDELFGRKRVKRYISEISIESPSVNDVKTLSSGNGKKRVAEGSQAKQKALKGKEVADKTVRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAAMVYDNAAIKLRGPDALTNFITPPIKEKPEVNVASNSGYESGDESHNLSSPTSVLRFRSSESSEEAEPGLEDIKENCTVLVEEEPNSEHLECQGETLTVIPDYSNDYLPTDVSFLDDYFNFADAEQSLFNDTTSFTNDDLFSSWDFTNDSVLDPEICKFDDSFLDLGALEVDNYFKDIGDFSSVDVLMAL >Solyc11g064830.2.1 pep chromosome:SL3.0:11:50346142:50353379:1 gene:Solyc11g064830.2 transcript:Solyc11g064830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVVEFESPQLFNDMQDLFIEPQNKMKSTTEETSRVGCENNHHGICAVCLNKIVLQETALVKGCEHAYCVTCILRWATYKEEPTCPQCKHPFEFLYVHRSLDGSLQDYMFEESVCLLLRASWFKPLIVEEKTEIDDDMDDMYMYDDADDDFTEDYFISSSSRLRIGNRRWGDNGYVSAGRQEARPVYRPNPQESGAGSSRVPNKETAASKELVGRRAKRALKREAADKAAAEKHQQRLVRMGRK >Solyc07g064580.3.1 pep chromosome:SL3.0:7:66791973:66795235:1 gene:Solyc07g064580.3 transcript:Solyc07g064580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTANNRAELPAIASSKLVNTLPDSDLLQNPFCSRKPPRRKIKNSGGAGVRLRRDIAGAPGGKRSRPSTPLLRWKYNEDVNDNACTWEDKSTVELGRKSGRKVRNLVSARKLAAGLWRLQLPEVPNIPAQKLPQAGHIDLPFFDHHHIRENDSHIDDSEQSPRSVSGPRYGLYHKLEPSFQYPNSAMEGATKWDPVGWTIAEEIKEIYGHQKVPNKQSKTAAMISTLEAELERARTRIHQLEMERRSSKKKLEQFLRKLSEEKAAWRSREHEKIRAIIDDMKADLSREKKNRQRLEIVNSKLVNELADAKLSAKRYLQDYEKERKGRELIEEVCEELAKEIGEDKAEVEALKRESHSLREEVDEERKMLQMAEVWREERVQMKLVDAKVTLEEKYSQMNRLIAELESFLSSRGMNPDEEVIERAEQLKQEAASVNIRDISEFTYEPPNPDDIFSVFEDLNFVESNEREVEPCPAYSSASHPSKICTFSPDDGVYNKDNFLRHSLAYNQSDLEEEGSEWETVSHLDEQGSSYSAEGSVCSVNKNCRHSNASMEISEVYSGQGRQLKKVSSISRLWKSNGDNYKKISADGIHGRLSNGRLSNGATLSPDCGSSKGEFSPSGSSLDSGNPQITRGMKGCIEWPRNSHKHSLKAKLLEARMESQKVQLRHVLKQKI >Solyc08g077385.1.1.1 pep chromosome:SL3.0:8:61386060:61388225:1 gene:Solyc08g077385.1 transcript:Solyc08g077385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRIVLSSYRVLQFSSFFSAKINALFIPELSICNPRNNGFTVFRAFMYTSTHSNRRAPDPNDPATLMKEDSISLCCKMWIDSFRESDKTVNNLTDYLRRFELWVLAYQKVSADDTGAYMPRNAITRPALEDLLALRNAVLDNRFKWGARLEFFIKSPRDKTDYESLSKRKIKAILTTTQPSAFQDRIVQEVLFMILEPVYEARFSQKSFAFRPGRTAHTALRVIRRSFAGYLWYIKGDLSTVLDGMKVGMVISALMRDVRDKKVVDLIKAALTTPVVTTRPDDVEKKKKTKRKYQKKRVLADDEPKPDPYWLESFFGFAPEEAEKVPSWGHCGILSPLLANVCLDELDRWMEGKIQEFYRPSKNDVIWNSPDGEVEQGNTSWPEFVPTSGPDKTRKIDYIRFGGHILIGVRGPRADAATLRKQLIEFCDQKYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIRQFRKLNFLKGDRDPDPQPCFRMFHATQAHTNAQMNKLLSTMVEWFRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRTLSRPLKEKKGQSPEYHNLLRMGLVESIDGLMYTRMSLVPETDYTPFPVAWRPDHEKALLEYIRLSDQKTLEEQQNCLKEQGLISPQDYISMLVWNYKRNAVPVDQKSLLLGTGEEKDNEGNESDDDEEGVHAAEI >Solyc08g075610.2.1 pep chromosome:SL3.0:8:59874921:59880565:-1 gene:Solyc08g075610.2 transcript:Solyc08g075610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMAMIIELLVLLTYLSNTNASNISTDEAALLAFKAHITSDPNGMLSKNWTKGTHVCNWIGISCSKRHQRVTSLDLNGLRLRGSIAIDIGNLSFLSFLDIGNNSINGEIPDELGYLRRLKHLSLSMNNLTGQIPESLGLLRRLELLDLSENDLFGSVPSSIFNVSSLKVINLIYNALSGTLPNDICHNLPRLEGLQIARNQLSGQLPSNLDKCKELIYLSLSYNHFTGNLPRDMWNLTKLQHLFIGWNNITGIQLTVSVIYSNLVTIKLLYSIGHFSVVITQFDIYFTGHIPSGIDNLSAIRRLSLRRNNLVGSLPPSIGNLSNLEMIDLGDNSLYGGIPQEFEHLVNLKEVYLGPNRLSGEVPRRLYNISGLKKISFVANELSGTLPSNIGHTLPNLEGLYLGENQFTGLIPTSIVNSTMLIQLDFGRNMFSGPVPMNLGKLQQLQFINLQINQLMNDQSTRELSFLTSLSNCKYMKTVQIGGNQFNGSLPTSLGSGNWSFSLEYFIALQNGITGKIPTNISNFRNLEWLSLGDNKLIGSIPQDLGNLRNLKRFSLEKNNLDGIIPTSLCNMENLYQIILGKNQLTGELPNCFGNLSSLRELYLDSNALISHIPSTLWRNKDISILTLASNLLNGSLAVEMGNSRSLRILHLHGNQFSGQIPSTIGQLQSLVSLSLSKNMLDGSIPEQFEDLVSLEYLDLSSNNLSGMIPKSLRNLEHLMYFNVSFNGLMGEIPDGGPFVNFTAESFMGNPALCGSSRFHVMQCRVTSLERKRKNRILTSVLASVSSGVVVTTIFIIWFLKCRKRSTELPLVHKRISYYDISQGTNNFDEANLIGRGSLGLVYKGTLADGMVVAIKVFNTELQHAFRSFEVECQVLRSIRHRNLVKVISSCANFDYKVLVLEYMPNENLECWLHSTDKFLDITQRLKVMIDVASAVEYLHGGHLFVVVHCDLKPSNILLDEDMVAKVSDFGISKLLTSETLIAHTKTLGTIGYMAPEYGSEGKVSTSGDVYSFGILLMETFTRKSPVDDLFVGDFTLKRWICQSLPDQLVDVVDINLFSLNEENFTSKERCFKSIMELALECTNDLAEERIRMEDITLRLKKILTQFQQNVVTN >Solyc12g062707.1.1 pep chromosome:SL3.0:12:34328235:34328858:1 gene:Solyc12g062707.1 transcript:Solyc12g062707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSIDEEVDRSLVTRELKIQLLKFHLHRAQQRMEALTNKGRSGRQLHVGDSVYLKIQPYRQTIVSNQSFTKLSAKFYGPYKFTHPPIVDPANPYCEEPWNILGRRMIKKGNKVVAQLLIQWKNMFEEEATWEDYHVIKTRFPSFILEDKEVSKEEVMMQIEVEESYK >Solyc03g044520.1.1.1 pep chromosome:SL3.0:3:9583802:9583996:1 gene:Solyc03g044520.1 transcript:Solyc03g044520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPNYDQQKSYPNRKLRISRKQPLTVASKLCHFSASTLSYSYTQPHRNDGKKKYKALDSDSGE >Solyc06g064910.3.1 pep chromosome:SL3.0:6:40583580:40595827:-1 gene:Solyc06g064910.3 transcript:Solyc06g064910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGIESATPKSDHVAGMALNIPVNDSNYATALFLPRRLRRRLLETKTPSIITAQDIETKLKDAELRRQDGELRKQLEAKLLAAEKKRLSILAKVQKRLARLDELRQAAKNAVEMRFEKERDELGNKVESRAQRAELNRRVLLKCRQWKAAKRERISQLLTRRVMQESKYRECVGAAIHRKRAAAEKKRLGFLEAERSKARARIVQVKQIANSVYRQREIERIRLKDQLENRLQKAKRLRAEYLKQRRSLLCSRRSCSDIIAWGEFLSFNVARCWRRFIQLRRTTFSLAKAYMTLDINKKSVEGMPFEQLAVKMGSSATIQNAKKLLDRLEYRISIRHELLGPRDVLCFENINHLLERAASSVPARGEVAAPVKLSRYPFELLLKIIVGGSIKSTEEETPVRLAFGSQLKAFDKAWCSYLFHFVMWKVKDVKLLEEDLINTACQLELSLMQTCKQVMGDYDDLTAEFSSFQRQVIENQKLIWAKVKQLSGNAGLERLEHALSELRSRFIDSMETDSPSSVGSSDNSEIKNSDEFNENARCYGTQGIAWPVSVEDDSYLCDKCGSGTPQKSISTGLLRATENEVLLNEIVHKGCGLEIVSEEKESDKARVKERMEEAFWDGVMQSLSQDNPDFSWVLKLMKEVQNELCEMSPPSWRQEIVETVDINILSQVLNSGTLDMDYFGRILEFALVTLRKLSAPLVEDELNTNHQKFLKELGENTRGRENSTALFASLVIKGLQFVLRQIKKLKGEISKARIKLLEPLIKGPAGFEYLRSSFSNRYGPPTEALISLPLVKQWLSSVMLVAVQEWDDHLSSLSSLRLSSGAHSSEKAPITLRAGGSSLRISDPPTLKTNAEQPECKGDKVDLFLRLGLLQLVCEIEGLTVETLPETLKLNFSRLRAVQAILQKIIVVCTSILVLRQTLLAECLVSSPSEMEDISSKSITKLFKLLDNMEDAGITEVVDTLSLCLEGDEPKKLQARKEIMANVLAKSLRAGDAIFTRVSRTVFLAAKAILLCGSVAEGGQLAENTLKRVGANLLTGKLVEAMKDLLVVATVSARVHGSWYVEVLKNMRAL >Solyc01g007770.2.1.1 pep chromosome:SL3.0:1:1862635:1863361:1 gene:Solyc01g007770.2 transcript:Solyc01g007770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVEPTAAAPENPLLRRRNSVTLPTKLSLPLQSSASTTTATVTSSASSSMTNSVSDDFELFSIKPVSYTSLRDLLPPATVNSPRPMATASNGQSGSEISIRNRLVKQAAWAYLQPMSTSPDSSGRSLFSRLWFRFPVNNPVAGVIEFVDRCIFAPLSRAVDWILRAIRIRSSR >Solyc11g011546.1.1 pep chromosome:SL3.0:11:4612604:4613728:1 gene:Solyc11g011546.1 transcript:Solyc11g011546.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNERNPCQSLTPDVLTDLPNDVIDSILIRLPSEEAVRTSILSKRWRYHWCRLTKLTLNRSLWRTENNLLNPADKFTEIISQISTLLEGPLIDFTLDVADLESCPDIDSFVYFVLRNTIERLVLYLPEDSKLPTSVFTCSQLRHLSLDNCSIHHPGAFEGFDKLISLQLCEVTISSDLLESIISHCPLLELLLLHIPKLLDTIEIDAPMLSRFYFTGNISSVCLKNVPLLVEVSLTGEQIMKKDLDFAKVFNSCSAIKYLSLNISCYWGSAQKGYEAPRLPFDLNSVKRFDLLELELVDAYELSLSINLLRSFPYLEHLEIDVSSADSSLLSYLVVFFRTC >Solyc09g005620.3.1 pep chromosome:SL3.0:9:426128:428966:-1 gene:Solyc09g005620.3 transcript:Solyc09g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFNISFLTQPAIFSSQNTPSFSSYSLPKTSFRFPSITLRPKTRTTTRHHASIVVAALKKLSETDPLTVPLQSDEIAGSFPKESGVYAVYDTNGDLQFVGISRNIAASVISHKNSVPQLCSSVKVGVVEEPDRTALTESWKSWMEEHITTNGKVPPGNEPGNSTWVRQPPKKKADLRLTPGRNVQLTVPLEDLIDRLVKENKVVAFIKGSRSAPQCGFSQRVVSILESEGVDYESIDVLDEEYNSGLRETLKNYSNWPTFPQIFVKGELVGGCDILTSMYEKGELASLFKS >Solyc09g089490.3.1 pep chromosome:SL3.0:9:69683179:69683642:1 gene:Solyc09g089490.3 transcript:Solyc09g089490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDLISDGIEVLQFPVENDGEFVFCPGYAKVVIQKENPIVHDVRLLFPGMPMPHNYVCGRVFLVVNFQRVVQHK >Solyc10g086550.2.1 pep chromosome:SL3.0:10:65449162:65469107:-1 gene:Solyc10g086550.2 transcript:Solyc10g086550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSRINRCRSTINKALISGVRYAILDEVASSNACITRANGGLGFVRSYLTSIGAGKHGVSKAALQRAYLSEIDKLCANPRLRRFFCSQGSKKSNYENYYPKNKKEVPKGNNQKAESGKEESTGEQGNPQENFMKQYQNILTPLLFIGFILSSTLFSPREQKEISFQEFKNKLLEPGLVDRIVVTNKSVAKVYVRSSAPGISQTGDDTIQGPMSGTDGKRNAGYYKYYFNIGSVESFEEKIEEAQETLGIDPHNYVPVLYVDEMNWFQELMRFGPTILILAVLYFMGRRMQGGIGVGGSGGKGGRGIFNIGKANFTKTDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEQLGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSEFMEMFVGVGPARVRSLFQEARQSAPSIVFIDEIDAIGRARGRGGFAGGNDERESTLNQLLVEMDGFATTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGREQIFRIYLNKLKLDHEAAFYSQRLAALTPGFAGADIANVCNEAALIAARNESTIITMQHFESAIDRVIGGLEKKNKVISKLERRTVAYHEAGHAVTGWFLEHAEPLLKVTIVPRGTAALGFAQYVPSENLLMTKEQLFDMTCMTLGGRAAEQVLIGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQREDSFDAKPYGSKTAAIIDTEVREWVAKAYDRTVQLVEEHREHVAQIAEMLLEKEVLHQEDLIQVLGERPFTSVEATNYDRFKQGFEEENKDSAESKTAQDDGSSSPVEPEIVPISFQEFKNKLLEPGHVDRIVVANKEVATVYIRNSSPDNNQTCDNTVQGPIICTNSSRNLSHHKYYFNIGNVVLFEQKLKEAQEASGIDPRNYVPVVYVNELDWLPEMMKFGVMVLPLAILYYMDWGGVSGIFGIGKPRFMKMDKNAKNKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFLEMFVGIGPGRVRSLFREARRCAPSIIFIDEIDAIGGSRGRGHSSGANDERERTLNQLLVEMDGFATTSGVVVLAGTNRLDILDKALLRPGRFDRQITIDKPDIRGREQIFRIYLNNLKIDQEAAFYSQRLAALTPGFVGADIANVCNESALVAARSESTKIKMQHFEAAIDRVIGGLEKKSKVISKMERRTIAYHESGHAVAGWFLEYAEPLLKVTIIPRGTAALGFAQYVSSENHLMTKEQLFDMTCMTLGGRAAEQILIGKISTGAQDDLEKVTKMTYAQVAVYGFSEKVGLLSFPQREDALETSKPYSSKTAALIDNEVRKWVAKAYDRTLQLIEEHREHVAQIAELLLEKEVLYQEDLVQILGERPFESSEPTNYYRFKQGFKEGNEETKDSTDGKTSQDDRSSPFYRRLSQLFNAATPLTE >Solyc10g083310.2.1 pep chromosome:SL3.0:10:63268081:63276901:-1 gene:Solyc10g083310.2 transcript:Solyc10g083310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRHEKEKSVNVQVLLRCRPFSEDEVRNNAPQVVTCNEYQKEVAVSQNIAGKHIDRVFTFDKVFGPSAQQRDLYDQAIIPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGHNSELPPGAGVIPRAVKQIFDMLESQNAEYSVKVTFLELYNEEITDLLAPDDLSKVSVEDRQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFALLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEANTEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVISALVEHLGHVPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIDRLKAEVYATREKNGVYIPKDRYYQEENERKAMTDQIEQMGVTLENQLKQLEELQSRYDHQVHLCSDLTCKLDATQKHLNQTTTLLSNAEEELRQSKYTLKERDFIICEQKKAENALAQQACSLRAQLEKSLIDNASLFLKIDREDKLSADNRSVVNNFQTDLAKQLGFLSNSVATSVCRQNEHLQCVEKFCHGFLESHDKAVVDLKRKTRASRALYVSHLEAMQNVVKLHKASSSAALEEISALASSNSISIKEFLDAEDVEANSLFEELQSTLSTHQGEMALFAGELRQKFHDSTEHLANISEIIQGYFDKLVEESKKLERHALTVDEIQTKCIAEFEAAYEEQARAEAQKLIADMTTMVSNHLHRQKELVGTRLVDLRGTVTGNKTFLDGHVSSMEGITTVAKRKWQDYSTQAGSSMEENADFSAAKHCRMELLMQKCVSTTETAHKLWRSTNESVNDMGSQHVLTMHSVVRNMCDSNEQHVTEFDSTKDAAEEVVTRNSEDAVQSFDSLSEKERASISGILETANTHSETIGVLEHNHASQCTSIEQKALETFQQRYMDYEPTGSTPVRSEPDVPSKGTIESLRTMPMESLLEEFRENNSFESFQVKEVKQSLVPRPPLSQINH >Solyc03g046250.1.1.1 pep chromosome:SL3.0:3:11974551:11974817:1 gene:Solyc03g046250.1 transcript:Solyc03g046250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYHQSSPATFQLAFSDFRHFCYTDKDILVDSREGPLYSQQLLPPMKHLMSSMAALSLDSLVSHLKYVSNEGFEYINNLSISGNYEV >Solyc08g061390.2.1 pep chromosome:SL3.0:8:48729157:48730045:1 gene:Solyc08g061390.2 transcript:Solyc08g061390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLQARRTQGRYERNCFGESPSVLDVEGFRVVDSSEAGDSPIFGEGTVWDLVPQSSKSTINPHQCGGFEFTWNNIAGDIKIERLKEPLLESKGRCIVSHPPGTVKTRLTIAFLQSYLKLFPKCRPVVIAPSNYLLTGKRSSRNGSYDLFRILTREDGEGYNKELKEILLKFPSLLVFKEGHTARNENNLV >Solyc05g018065.1.1 pep chromosome:SL3.0:5:19979029:19986712:1 gene:Solyc05g018065.1 transcript:Solyc05g018065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLICVDDMLVVGHNTCRIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSNIAHAVGVVSRFLSNPGREHWNVVKWVMRYLSGTSSLSLCFGTGKPILCGYTNSYVAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAELIAVKAGLEKCTSQPIPMTVSSSTNGAGTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKHILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISRCTKKQPKVSRSSTEAEYRTLVVLAAETMKRT >Solyc05g015490.3.1 pep chromosome:SL3.0:5:10702415:10705095:-1 gene:Solyc05g015490.3 transcript:Solyc05g015490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYKLVVLLIVSIGIVIANGDDDKSLGDKCGTEFQKVAACLTYATGKAPSPSKECCDAAEDIKDHDPVCLCYIIEQIHKGSSPQLKSMGIQEEKLLQLPSACKLTNATISNCPKLLNIPPTSPDYAIFTNATSKSPVSTTPSASSSPDTNKDVSDGYKNGPQLSVTGTIVAVAIFLARTDLLVF >Solyc05g053280.3.1 pep chromosome:SL3.0:5:64262290:64273285:1 gene:Solyc05g053280.3 transcript:Solyc05g053280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKYLFIRKVGRKRWAGCLLIIGLAMVLVIRYSSVEKSYSIVEKSDSSVEESPKKQSVYGFFNDHPDINEGSKDENAKSSDLYPIELVTFKEKPHLIDVEGLNDLYNMNNFSTEESNALLAWGKMRLLLSRSDGLNGTAQGVKEAAISWKDLVSFIEKSKAQDEKENEDCPYSVTAFNPAMSKDGSSLRIPCGLVEDSSITVIGIPDAKQEGFQIELVGSKLLEETKPPIVLNYKVILPGENLTKDPLITQNSWTNESGWGKVEKCPDHGSTDMIKVDGLVKCNAKIFRYNIEETANMTNTSHPKSSDVSNSSAYGTANYPFLEGNPFTATLWAGIEGFHMTVNGRHETSFAYREKLEPWLVSGVNVIGGVDTISILAKGLPVSNDFNLGYDVEQLKAPLTPKKRLVMLIGVFSTGNNFERRMALRKSWMQYEAVRSGEVAVRFFIGLDKNRQVNFELWKEAQAYGDIQLLPFVDYYSLLTVKTIAICIMGVKILPAKYVMKTDDDAFVRIDEVLSSLKGKDPNGLLYGGISFESTPHRDKENKWYISPEEYPPAFYPPWAHGPGYIISRDIAKFIVQGHQEMELMLFKLEDVAVGIWVEEFRRKGHKVQYVNDERFYNAGCDSGYVLAHYQNPRMNSTAAAKMVVVDAEILCQPNVSVEYIGVSTAAPVVGDEDLDFFDVSATATSSSLTKSREFRSADLVSVDISLSDSGVRCSTNTQTTIIDSARSSNVIPAIRSGSYTDIGPRRSNEDEHIRVDDLSAQLGSLYNWPLPGAFYAVFDGHGGSDAAAYVRTNAMRFFFEDANLPQTSIVDQAFLEELESSHFRAFLIADQALADECSVDAYCGTTAITALVLGRHLVIANAGDCRAVLCRKGVAVQLSQDHRPTCLAERQRVEKLGGIIEYGCLNGDLAITRALGDWYMKLPFGSASPLTAEPEVQQLLLTEDDEFMILGCDGIWDVMSNQDAVNVVRHELRLHNDPQQSARELVNQALCKDTDDNLTAIVVCFTSPDHRTSVPSQRPRFRCCNLSEDARKKLQSLLGSN >Solyc03g070453.1.1 pep chromosome:SL3.0:3:18498152:18501379:-1 gene:Solyc03g070453.1 transcript:Solyc03g070453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGHGNGYHNSSGSSSGSAYYPSNGSSGNSGYRSRNNVLLLEDVSLLKMDFMIIPLNTNAKSYGTSPNNFSAGTQGMSLFTHEQYNQIIKMLSKGKGKEVDSMANVATASSSGTLTALMSYMAHTNWIIDTSSSNHTVAISHVGDSLILKDKLVNDDLFSRRVLGIGKDNQGLYLLNEEIQPSTLQDRITRNSCISSCISSPISFKFILPSVRGVVSSKTNDSARDLGELKYFLGIEFSRNVDEFNHKLTSIVFDKCTRMNAEDKVLDDYGKYQRLIGRLLYLIMTRPDIAFVVQVLSQCMHSPKTSHMEAVVRYIKGTTGLGLFIPSNNMSELVAYCDSD >Solyc12g040640.2.1 pep chromosome:SL3.0:12:55628123:55633454:-1 gene:Solyc12g040640.2 transcript:Solyc12g040640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSLFLLLALILMDIESDNVLAETTVFDTGGLNRESFPKGFVFGTSTSAYQVEGAASKDGRGPSVWDTFIKQPGREPNNASGEVSADQYHHYKEDIDLMVKLNFDAYRFSISWSRIFPNGTEKINWKGVTYYNKLINYMLFRGITPYVNLNHYDLPQALQNRYKGWLSREVVKDFADYAEFCFKTYGDRVKNWFSFNEPRVVADLGYDTGFFAPGRCSKAFGNCTAGDSATEPYIVAHNLILSHAAAAHRYRKNYQEKQKGKFGIILDFVWYEPLTRSKADNYAAQRARDFELGWFLHPLVHGEYPKTMQNIVGNRLPKFTTEEIKMVKGSIDYVGINHYTTFYAYDHVSKLKALAYQQDQNCGFANDRNGVPIGPRAHSYWLYIVPWGLYKAVNYVKEHYGNPTILLSENGMDYAGNISISEALHDTKRIDYYRSYLAELKKAIDEGANVIGYFAWSLLDNFEWRSGYTSRFGIVYVDYTTLERYPKMSAYWFNQLLKSHKH >Solyc08g077240.3.1 pep chromosome:SL3.0:8:61285966:61293440:-1 gene:Solyc08g077240.3 transcript:Solyc08g077240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKYHGPINRTRRPISILIVIGLCCFCYLIGIWQKSGSGKGDKLALQVTEQTADCNVFPQTTLDFESHHNYVETVETSELTVKRFKSCEAKYTDYTPCHEQDRAMKFPREDMIYRERHCPPDDEKLRCLILAPKGYTTPFPWPKSRDYAYYANVPYKHLTVEKAVQNWVQFQGNVFKFPGGGTMFPRGADAYIDELASVVPIGSGMIRTALDTGCGVASWGAYLLKRNILAMSFAPKDNHEAQVQFALERGVPAVIGVLGSISLPFPSRSFDMSHCSRCLIPWASKEGMYMMEVDRVLRPGGYWILSGPPLNWKTYHRVWNRTKENCRAEQRRIEEHAELLCWEKKYEKGDVAIWRKNINGKSCRRASANICQTKDADNVWYKKMDACITPYPDVKNSDEVAGGELKKFPARLFAVPPRIANDLVSGVTVESYEEDNKLWKKHVTSYKRSISLLGTTRYHNIMDMNAGLGGFAAALDSPKLWVMNVVPTIAENTLGVVYERGLIGIYHDWCEGFSTYPRTYDLLHANRLFTLYQDKCEFEDILLEMDRVLRPEGAVILRDGVEVLNKVRKIAAGLRWDTKLLDHEDGPLVPEKIFVAVKQYFVEGDEDQSTPNDD >Solyc02g089650.3.1 pep chromosome:SL3.0:2:52042576:52045839:1 gene:Solyc02g089650.3 transcript:Solyc02g089650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAPRSMYRNFPKALTKFPTNPPTITGYLVVTDEESEEMDTFCWGICKHSSITKLPFPQDRVLKVVHNPEFGEPSVHKVWFLPVLDQPIASSRYYVIKAKGRYKGRAYTSWKEADMDSCCFDNSMNDLNPKPFNHKDTYQQFEICPYDLGGFYAKSVAYDGVPPKFLRKKGWHVNSIHSLKVNLKEAQGLQLSSQPLDSDTPELNIQASSKRSVPIILGKWYSPCVLVKERKIKTKEQMNKSLFYEVTLKCWWEQIFSCENVTRRNRAHVEVDARVRKLLTLISGTEAVKEEEGSGAFAWFRVKEEYRNKCTLEKVGLHSGVIEKMRWIQERRGWFDGGESDVRVEGIEEIESENGYWRKFSCYVMVESFVFRRMDGSLLINFNFKNTQKIVCQWE >Solyc08g076980.3.1 pep chromosome:SL3.0:8:61038745:61045331:-1 gene:Solyc08g076980.3 transcript:Solyc08g076980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVKQILGDLNKDSFVTLLKKLIGEAKYVQNNPPDLIPEEDRNVNHVLEILNPYSTKNGGALIINHVSYTPNRGNLIVEYPGTDPKKVVSFVGMHLDVVPANPDEWEFDPFSLSIDGDKLQGRGTTDCLGHVALVTELMKRLGETKPKLTSTVIAIFIASEENSSIPGIGVDALYKDHWFDKLKSGPLYWIDAADKQPCIGTGGTIPWKLEVIGKGFHSGLPNKAINALELAMDVLKEIQSRFYRDFPAVPKEQVYKFETPSTMKPTQWFYPGGGINQIPGECTVSGDVRLTPFYNVPDVMKKLQEYVDDLNANIEKLDTRGPVSKYVLPDENLRGRMTITFGETSSGVACDLNSLGYKVLSKATEEVVGYLEPYSITGSLPLIRDLQDIGYDVQSTGYGLMDTYHAKNEYCLLSDMSQGYLIFASIIAQLED >Solyc09g005300.3.1 pep chromosome:SL3.0:9:223935:232065:-1 gene:Solyc09g005300.3 transcript:Solyc09g005300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:mRNA cap guanine-N7 methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CQ20] MKRSYSGSPSSSSYGPPQSKFKHNPEGDTHFLEDESTKIFARKVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLIQLYTKRGDAVLDLACGKGGDLIKWDKAKIGYYVGIDIADGSIEDCRTRYNGEADHHQRRKKFSFPARLLCGDCYEVRLDRVLADDAPFDVCSCQFAMHYSWSTEARARRALANISVLLRPGGIFIGTMPDANVIVKKYREAEGLAFGNSVYWIRFDEEFSEKKFKSSNPFGIKYKFHLEDAVDCPEWIVPFPVFKAMAEEYDFELVFVKNNHEFVDEYMKKPEFIELMRRLGALGDGNQDQSTLSPDEWEVAYLYLAFVLRKRGQPDQTRRNPRRDKGKMQLTKDDIENVNGAV >Solyc08g076300.3.1 pep chromosome:SL3.0:8:60379978:60389267:-1 gene:Solyc08g076300.3 transcript:Solyc08g076300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTFLKTQLSSHVIEGEESGNGEVEKNPSKTHSFPFWYCAETGIYSSKYPSIKLPEDPLLDVVSFIFSHKNGGVSALVDSASGISISYSELYPLVKSMASGLQQRGVSQGDVVLILLPNSIYFPVILLGVLALGAIATTMNPFSNLLEIKKQALDCSVTLAFTSSDKVDQLSTLDIPVIGVPEILVSGSNCSESSVFYELISCDPNWDSRPKISQQDTAAILYSSGTTGVGKGVILTHGNFIAMVETFVRFEASQYEYSSSENVYLDVTPMFHVYGLSLFVMGLLSLGTTIVVMSKFDADEMVKAIERYNVTHFPLVPPLLMALTRRAKDGASSSMKSLKQVSCGAAPVNPKSIEDFVHTLPDVDFIQGYGMTESTATGTRGYNTEKLHNYSSVGLLAPNMQAKVVDWITGSTLAPNCMGELWLCGPGVMKGYLNNLEATKSTIDDNGYLHTGDIAYFDEEGYLYVIDRLKETIKYKGFQIAPADLESVLVSHPDIIDAAVTGARDEEAGEIPVAFVVKRDGCAVSQTDVIDFVSKQVFGVLHLLVSLGIILAMDKLLKKAFVEAAIKFPSALFGMFCTFAVLTILDSVVPKAAEGLMNFFEPALLFIQRWLPLFYVPSLVVLPLAVKDVPAASGAKICFILVGGWLASLCVAGFTAISVRKMVKTEMIPAEPMAKPSPFSSLEMWTWSGIFLASFVGALYYPTALGTSARTCLPFLLSSTVLGYLIGSGLPSAVKKVFHPIICCAVSADLAAIAFGYLSKSGLDPVLGDYLTKAASNPGAGDILMGFLGSVIISFAFSMFKQRKLVKRHAAEIFTSVIISTLFSLYSTALIGRLIGLEPNLTISILPRCITVALALSIVSLFEGVNSSLTAAVVVLTGLVGANFVQAVLDKLGFNDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLICSVPAVRQSLLAIVG >Solyc03g120010.3.1 pep chromosome:SL3.0:3:69992810:70004987:-1 gene:Solyc03g120010.3 transcript:Solyc03g120010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKKVVAPLVCHGHSRPVVDLSYSPITPDGFFLISASKDSTPMLRNGETGDWIGTFEGHKGAVWSCCLDKHALRAASASADFSAKLWDALTGDVLHSFDHKHIVRACAFSEVPPALLLLKFLQDTNLLLTGGFEKILRIFDLNRPDAPPREIDSSPGSVRTVAWLHSDQTILSSSGDAGGLRLWDVRTGKVVQILETKFPVTSAEVSQDGRYITTADGSSVKFWDANHFGLVKSHELPCKVESASLEPKFGNRFIAGGEDMWVHVFDFHTGEEIGCNKGHHGPVHCLRFSPGGESYASGSEDGTIRIWQLGPLGQIEDNSTANGSTTANANDGMGEVTQKIDELAVSETKKKEETQVDGVEQKVFAYEIRLKLLRVLDILFWPVIVHIVLGFAIAFTNHVGVEELIRAEIVMDSSTSMCNLTEVFYFSSGNPRIEETRGVMHLFSNDVASHLPVERKPLLCVLCVPNHMTYSDFCQFCGSFVQHMLEMRIVRNDGMEDCYSILIRFDEQKAADTFHKHFSGRRFSSLEEETCDVLFAADVHYTGSIEHTQSLPASSTEQPFCPRDWTRIQVEFSQPFVIIPFIVHVFQNGQILHAPYKEAHATRHWKETQHCYALELETRRVWDYAGDNYVHRLIQSKTDGKLVELNHHGHHDSDGCCSCECGTDPGFSETILNSKVEAIVNEYNDLLTSQLEDQKMYFESLLQEAEEEIERETKEAVEKVLCQNPRLMKLKVRLDKCVEEKKFHDDINDNLTRNKEIWEAKILEIEEREKAALKMKDEEISELEEQPTWSVDASAKRSDKETLKSSVKGKQPGILHQY >Solyc04g007350.3.1 pep chromosome:SL3.0:4:1055035:1057373:-1 gene:Solyc04g007350.3 transcript:Solyc04g007350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGPERSKPLHNFSLPCGLKWGNQKFLRCVKEDSDEEMSRSELIGRRRETGVVERHRTKFRSVNGDSGEGIEAVREKLMIDLQTETDKMKDAILREGLDSPSPAPATMTMNVKVDVSDAAAVGDLSRPWSLRTRRAACNEPNGVLAGAGAGGSGGSKEMNCLPPLKTENNKSSRFRSEFSGGVIAGASCSGEKKQRVKYSVSLSRKEIEEDFTAMIGHRPPRRPKKRARLVQKNLDTLFPGLWLTEITADLYKVPEDQ >Solyc07g063920.3.1 pep chromosome:SL3.0:7:66386276:66388691:1 gene:Solyc07g063920.3 transcript:Solyc07g063920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALSSNNNLHDDGGDLRSPLASSQEEANSKKITMDEMLEKYCGEFGLWQVRHLVLTCLAWLLEGILTMVMIFADREPAWHYVTSHAGATSRCSSEPGSWEWTGGKGSSTMSEFGLICGEKYKVGLVQSIFFAGCMIGAGVFGHLSDTKLGRKGSLTIVCILNAIFSILTAFSSDYSTYVLFRFLSGFSNGGTGLCAFVLATEPVGQSWRGVAGMSTLYLYSTGIVLVSAIAYFIQPWRSLYIASSIPSLIFVIFVLPFLHESPRWYLVRGKVDEAMKIMQKIAVSNGKQSIPNGIVLALDSEVVSKDDTPNNRRFNTKKGINRSILDVLRSPVTRIRLLVAVATNFFCSIVYYGLSLNAVNLGTNLYLNVALNSVSEMPAYLLSSLVLDRIGRKPLAIRTMWFSAIFCLVGSTLKIIDETWKLIPMVCGLLGIFGISATFNLLLVYGMELFPTVVRNAALGCSKQAINFGAILAPIVVVLGDGVPFAVFGVCGIIGGILVMYLPETLNKPLYDTIDGLQEGEAN >Solyc04g076925.1.1 pep chromosome:SL3.0:4:61958528:61960709:1 gene:Solyc04g076925.1 transcript:Solyc04g076925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVGLDIEWRPHESWMSNKSATLQLCIDEKCLILQLLYLDEVPESLKDFLNSSKFTFVGIEVADDVKKLKNEYGLNCAKSADIRAMAWEVQTCPPSAAGQLVDDSIPSRTTIACPVVMNEKDLNTFKCVTSYGIVNSSWKYFSGEEVAVASLSNFIHWRKP >Solyc05g047550.3.1 pep chromosome:SL3.0:5:59874506:59879930:-1 gene:Solyc05g047550.3 transcript:Solyc05g047550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRSFTGDQNKATVVAIDKDKGSQYALKWAVDTLIGRGKNVTLLHVKVRPSVSLPNADVSDGTPRVYRSDPDSQAKELFLPFRCFCTRKNIQVNEVVIEGIDIATSISDYVTANVIENLVVGAASRNGFVSRFKMTDVPTAVSKIVPDFCTVFVIAKGKIQSTKNASSPVPSSPTPSQQNHSTSSLGAKLGFADTRYAQISSDTKGLFFHLQHIALISVIKHLNTVRTGLTCPISPGSVTDIRSPYASRSSADDLDSIKSPFTRGKAINRSYGDLSVAESDLSFVSSGRPSSTFPISMDSSHDLGLPPRLSNSSDTDAKYSAPRLSNSSETESRLSFGSSFSATRLSEANGFSSNSFDSGNGSWSSPSNMEDIEAEMRRLKQELKQTMDMYSSACKEALSAKHKAMELHRWKVEEEQKLEEARLAEEAALAVAEKEKAKCRAALEAAEEAQRIAEREAQRRISAERKALKESEEKKKVLDALAQSDCRYRKYTIEEIETATDNFAAIRKIGEGGYGPVYKCYLDHTQVAIKVLRPDAAQGRSQFQQEVEVLSCIRHPNMVLLLGACPEFGCLVYEYMANGSLDDRLFRRGSTQVLPWQLRFRIAAEVGTSLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSIADSVTQYRMTSTAGTFCYIDPEYQQTGMLGTKSDIYSFGIMLLQIITARPPMGLTHHVERAIEKGTFADMLDPAVPDWPVEEALTFAKLALKCAELRRKDRPDLGTVILPELNRLRLLAEEAMQPMHFGSSPRSPTSESRSSSQVSDIRTSL >Solyc06g050730.3.1 pep chromosome:SL3.0:6:33574005:33589090:-1 gene:Solyc06g050730.3 transcript:Solyc06g050730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSLPSPNRIQDFARIINSNRIHHPTQVKPATRIRSVPSPVAAKSRAVVDGDSSERRLKLKKNMEEASSNSNSNSNTSSSNQRRLPLADVVADCVKRWFHDTLKEAKAGDANMQVLVGQMYFSGYVFRFSVSTAVSEDIGNASTDWSIWVQGRAWITRASKSRSSAWKVSDKRPGYNASDSDSDDTVEDAKQN >Solyc11g005915.1.1 pep chromosome:SL3.0:11:741516:743232:1 gene:Solyc11g005915.1 transcript:Solyc11g005915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGLKGLFDYCDVCWLQQEAPFLCLERIPFHEPQKVVVLREDTVSALDLTAVEIFFVLGVGWRLWCVVQIARLV >Solyc05g007170.3.1 pep chromosome:SL3.0:5:1779480:1787833:1 gene:Solyc05g007170.3 transcript:Solyc05g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFITCRISILLIEKFQMAADACNAVINGIDSVKGRHLGNLVNNELEIAKKQLNFVAEFLKQLEKRTPENRISAKIESLFEGAHNVFYEIWCHMNNEGRTKVTIRMISKVLKKLKPAFIARQIKDSKPLRSTIGITVDMMTFVDSLLESVLVLWKCMKDVTAPHITKGEMLEKKLISLRFLIFTANSCVYEDETIMSDLLIHAEDVAYNAAHSFLCLDSENVVHSEFCKLLETVSPVKPEVRQIYTRVLKGHSNSSGAKTSMISHEVVEGFLCSLREDLEELLSRDDSLKVAFGDHMQWLQQGLVYLGTFLLSLPTPCTKDKNRFSLLSHIAYVASEAAILVYSLYDKDVDKTTHFPLQVKFNHVKIEVEMVKLHETTEVASLKDLIDKVQQELMFLGAFLMDSLQQCIEQAKITDILSLLLYVTTEAGSVVNSLSNDLEQGDLEREMDIAYCQLVLKFKFVNAVLRQTCPVIFDSSESNCPMRKLLDFLPIDFDVIDSYFSMLKSSKISSFGSLKIDEVLMGFLEYILDHLQELLNDEGSLIVAATNEVKKFYQGLLLLVTFFIDTSIQYTECERQYDLLTEIETIVNEAESSVNSLLKTTEVEHVLFRLQVKLNLIKVESGLIELRKHEATVISPLKDLIVNVKDELIFWRSFLMDSLEQTKGKTKITVPFSVQLKLNHIKVESSLIELLKHGATMISPFKCLIEDVQEELMFLRTFLMDSLEQCKEQTQASDVLTMVQSVTTGAGSLISSRYFSSNQGDLDGEINLMHFALLLMFKFIKAVIRQMCPVISASTTVIDHPLINLLNFVPIYFEVIYPYFSLLKSTKTVYLSSPKMDDILMVFLDYILNNISVLLKDETNLFVTGANEVKKFYQGLLLIVTFIADPPSHYIECKNKNELLMEIETIAIEAEFAVRSSYEDHSVLLPLQLKLNCIMAESSLTKLLKHTDMNPLKNLIVNVKEELIFLRTFFVDSLKQCQGETKITLPFPLQVKLNHVKVESSLIELLIHEATLMAPLKDLLDNVKLELIFLRAFLMDWLDKCKDQTKITDVLNLVQSVTTDTGSLIDFISHNSKQGNLSWEISLLHFGLFLKFKFIKRAIGQMCPIISASSAPDNAAINLLEFIPINFEVISSYFSKLKFSKTSFTGISRMDELLMDFLEYILDNLRELLKDEADLRFHEVTKIYQGLLLIETFLADIPVECKKQQELLTEIENIAIEAETAVNSCGEKTNEADRVLFLLQVKLNHIKIESSLIETTKHEELVAPLNDMIENVKQELIFLRNFLMDSSDQCNKQTKTADVLCLIQSVTTEAGSVISSVSHNSKQGGLAKEINLSHFQLLLKFKFIKAAIRQMCPIASASSSSNHLIMINLLNFFPFDFEVIDSYFSMLKSSKTSSLGGSKMDEIFIGLHAYILDSLNMLPNNEASVVFTNKRKRFYQGLLLLVTFLLDPPIQYIECMKQNDLLTEIETIALEAKDVIRSSYEDVVNGNESNKVNLEIKLLTVAFKFIKSEGNLTHLLKRKATLEAQIIALIEDTHEELVFLRAFFVDLLRQHKELYKLHDLLVHAEVTAHNAVLISGSCCEEMSLSLVVLLREIKAVKAEVRSVCFEDLDASSCNMTKTNIEALVKFLLNNLDRVFTCDAGSILFMKNQIPVVQENLVRLGSFLDHILQHRDMHKEFKDLVERVQEVVNSSKYVIFFSVSCDNPVWYHLLYLYDVKQVHKFVEEEVKMICYKVPDSSLFGFSKTSGLGFLNYFLGKLEELLHSKLDLITELKHQIGSVKEELIHLRSFLSHFSENNGEHDDVYGLVIEMAYKSEYVIDSCLSISYPLWYKVHWISEVVENIKLLNKDVTEIFRRKHIEVTLHEVAKTSTYLIEPSLLANAPTGNEEMVLFQDVMEKIKKQLLGGSSQLDVISIVGMPGLGKTTLAEQIYNDQIVAGYFDVHGKCHVTQTYSWRELLVTLLNDVMPSDHTKKADDQLAKELRQFLLTKRFLILIDDVWDTKAWDYLHMCFQGIKNGSRIILTTRLSEVAQYAKCESNPHDLPLLRDDESWKLLQKKVFRRGSCPPELGDVGFRIAKSCGGLPLFIVLVAGVLKEKNEKADLWKEVEESLDALNIDSLEESMSIIGFSYMNLPHHLKPCFLYFGGFLRGKSIHVSKLTRLWLAEGFVLEHNEKRLEDVAEDFLKNLISRNLVMDMEKRFNGKMKTCRVHDLLHKFCLEKAKQENFLLWIYRNDDANARIYPDKPEEYRLSIHSCRDEFAEWRPHSSSIRSLLFNATSDDQYTTVARDISFILNSFKLVKVLDLESINIGYTFPTEIESLIHMKYFSARTGADTIPSSIAKLWNLETFIIKGMRGQVTLPCSLLNMTKLRHIHVNDRASFDLDNRSKSLADSQLVNLQTFSTPYVSYGEDAEKILRNMPNLTKLKCIVGCSRKWRGECVLIPRLDYLSRLESLKLFSNNCPVECLEGFNFPSELRELTLSSFSLPWNEISVIGTLCKLEVLKLVNNAFAGVQWNVNDTQFRELKYLKLDSLNFAKWSISEDSFPSLERLVLTNCKRLENIPSHFEDVVSLKSIEVNWCSWSVANSAEEIQTTQREDMANDAFTVTIQPPDWDRRS >Solyc05g007130.3.1 pep chromosome:SL3.0:5:1692679:1700248:1 gene:Solyc05g007130.3 transcript:Solyc05g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPGKWLRSLLPGKKSSKSGTSKKSSNEKASVISTNAALSGSSVHLPLISEPVACNAGGIKEDSNFEKGGVTNEVILPSIERDGDEQNTCLTLPEDTEKMRLEQAATKAQAIVRGYLARRAFLRLKGTIRLQAAVRGHLVRRQAVATLYCIHGIVKLQANIRGQIARRSSIGCELITKQGLEKQDAKQLDYQRANASKLARELSTNGFTTKLLASLPTGMPLHLHYGQEEPNSSQEWLVRWTISQIWQPRSKLETLPGKKHQNAEADIAMSKHSVRKVHSKKMQNGSNHSTSSGSEKKKSSHLVNSVLQNPGSEIKKVKHSLKKTSSPILEKPVQSEVDTERKRQSHDKSSSMASDEPLKNSEGTVENSTNVAPPPVVVDDTITHLDILSVSDTHHKSTTDAADQKSITDNREDDTPVANEDFCTNHDNNEGSESNKVNRRVSLPAKHDVDASTPTTRKVPSYMAPTKSAKAKLKEQASPRFGQDVAEKNAVTRRHSLPSPMNGKLSSSPSPRVQRLVQASAKEGIKIDRSLSSSRDGTDKMTRAEWKR >Solyc12g056710.2.1 pep chromosome:SL3.0:12:63752767:63754089:-1 gene:Solyc12g056710.2 transcript:Solyc12g056710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLEGKIAIITGGASGIGAATTRLLVQHGAKVTIADIQDDVGNLLVHEIGTEHIMFVHCNVTIESDIRNVVDATIGKFGKLDIMFSNAGIAGKPISSILDVNYDIIKNVFDVNVVGAFFCAKHAARVMISTKTKGSIIFTASVVATTYGDLPHTYVASKNAVLGLSKNVGVELGRYGIRVNCISPSGIGTPLALKTLGIDEREKAEKWFGEGGNLKGALLEEQDVANGVLYLASDDSKYVSGLNLVIDGGYSTTNVALGEAYKKLFLSTAQLESNNK >Solyc12g006550.2.1 pep chromosome:SL3.0:12:1059478:1064008:1 gene:Solyc12g006550.2 transcript:Solyc12g006550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome biogenesis regulatory protein [Source:UniProtKB/TrEMBL;Acc:K4DBJ2] MEMAQESYELDVGNLMASYPHHSFASTPSSREELVKECLQEGTKLVQAIADALFNLPSTESPDGPLVQLPQPTTRLPREKPLPRPKPPTKWEVFAKKKGIQKRKKDKVVFDDQTGSWKRRHGYDRVNDDKDVPIIDAKATDEPGQDPFAKRQEEKKKRVEKQEKNRYSNLKEAAKAGALPSHVQLAATALPITGSQAAPRKISKDELGNVAGMAANATASGGKFDKKLPGEKPPKHDKKYRKFLPVAEGSGMGSLERQQTEKVLNKFMAKNSHEILNVQKAINMYNVKKDKKGRSQGGKSSSTDNKLKARKSPYKKSSTKGPSNKGKSK >Solyc04g007705.1.1 pep chromosome:SL3.0:4:1389691:1391041:1 gene:Solyc04g007705.1 transcript:Solyc04g007705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRLYPEGNAVQKTLTSQSHMAPTHLEKLILELLSMQRSVFVGVLWTASLGPSTVLAISGFLTLGELGGLGRHL >Solyc01g094010.3.1 pep chromosome:SL3.0:1:85458496:85460479:-1 gene:Solyc01g094010.3 transcript:Solyc01g094010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKIIAESTMEDAYKFLKITPNPDGSLTRNTPVPNVPSTPEIDPNSSNQLISLSKDIPLNPASNTFIRLYRPVSPPINTKLPLIIYFHGGGFVLLSVSSVIFHESCNAMSAQIPALIASVEYRLAPEHRLPAAYEDAVDAIKWAKDQAINGGDPWLKQLADFSKVFLMGSSSGGNIVYNAGLRAFNINLDPIKIVGLIMNQPYFGGVERTKSELKFVNDKILPLHANDLMWSLALPEGVDRDHEYSNPLINEVEVKKKIERLPRCLIRGYGGDPLIDKQKKFAKMIESRGVHVTPQFLETGYHAVEIFDKKRAQDLYDSIKDFVKSICDENVGKSAM >Solyc01g073930.3.1 pep chromosome:SL3.0:1:81153186:81159648:1 gene:Solyc01g073930.3 transcript:Solyc01g073930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFVAGAPLCTDSSSSCSQGHDILTDLMKHPVLVSASSSFNDVSERKFSVCKDSSPGISRHNRWVYIFQREYATVDPALVDVVGTDEATTCVGIVIRNQNSGMTSVAHLDSPDVVDIGLAQMLAFVVNQSSDVMLDVHLIGGFDDASPEHVNGSTECQRKLEGYSFPLCKKIVDSLAKSNMKFQIHTLHVLGHNTRRDSEGIAYPIFTGFLAETATGSIIPANFDATTRCPDEVVRRIRVTASFEDPSWKGRLLETYDTQTDQFVIAPCTWGMHKMHIAFMLQNLTDQEILLTCSTSPSAEAPDFVDNQRRQWGYLIQHPDWRETFPFKKPRIFQRTEDKSWVRLQAKSSSSSYPYAD >Solyc05g005650.2.1 pep chromosome:SL3.0:5:477181:489449:-1 gene:Solyc05g005650.2 transcript:Solyc05g005650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSSNLNRALSFHIDLNETPLPSPREIERGLFIEHPESSRAKSVLTPPSQTNVRVCSSCEIGSSWRKDEQKEEWKCFKCVLSGRRRSSDDGSSGAGGRGGAGGSEVVELDMNSSPPLDMNVSPPREPEGEGLFQFVDLNEDLPVAGRELEQNHGAKVQAMKSPCSTGHSFNAPTSSFLAYRENGFKIQKASSLTGDIHKSQLEDMVLHRPHSDKINRSITDPVVMYDLRNRAGHFTAKKYVHQDACEVYLQDLKEYIARMNGKVQIGWHVDFEYCDQRCQTYAVYVGPDGSRFESLDDVARHMGLHHSMEVENGGNNFTSFSEGLPNITGSKEAFGSAKTHKPGQSWSSPGRSLFHNGGSIFKCTYPSDGFPVEYQDFVLVSAGNVDPRPFYHSSSEIWPVGYLSSWHDRITGSLFACEVADGGDAGPVFKVKRYPCILHSVPTGSTVLLKFKSDSHIGEDNVENGNSATSTLVDEESISIQLMLEECNPPDLISDSHTAENMQKAFCGKFGNIGEGDSIGEFAVEGRSPSSVWEMVSQTLLQACIDAYKKKGVIQFCCTHDVYKMDKQESSEVGSLTKFSYLGAPPNFPRLVQSNSELKSTCEMLVKWLEQNRIGLDVDFVQEIIEQLPGVSACSNYKIVTKRKHKTTLICRMRGKLLNL >Solyc01g067905.1.1 pep chromosome:SL3.0:1:76736431:76739307:-1 gene:Solyc01g067905.1 transcript:Solyc01g067905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIHLSIALNFFQKQNVNRKFRKQGRGMDGVRDGHDADNTKQSTADMTVFVSTEFASTNDQFGVLDNAALDDMGNRIDELEQSINDLRIEMGQEGSSSPSAALKSKDDTKSADDSA >Solyc02g089605.1.1 pep chromosome:SL3.0:2:52004568:52005049:1 gene:Solyc02g089605.1 transcript:Solyc02g089605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLSSVKLMLYASNGISLINQIGHLIFSKLVAREIKDYLLNYSILCSIHLNDSISYRCMQTMDNLTMANATTSKDDCKPYPGQTNR >Solyc01g081170.2.1 pep chromosome:SL3.0:1:80325643:80325980:-1 gene:Solyc01g081170.2 transcript:Solyc01g081170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGLQFYNNYIDELISHGHIYITIPTFRNFSTFCRLHIIARFEKQHTKLPSKLKKSFISFNVARIQPHITLFSQ >Solyc10g039290.2.1 pep chromosome:SL3.0:10:21005022:21010792:-1 gene:Solyc10g039290.2 transcript:Solyc10g039290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTKLDYNSVRNCKTKGKGGFRASSFIYGFVALDNIGFVANMVSMVLYLSFKMHFDLSGSANTVTNLLGSTYLLSVIGGFISDTYLNRFNTIVIFGTAEILAWALMTIQARYSILQPKSNSLEGGIAVLFYVSVCLLALGTGGVRGALPALGADQFDEKNTKGAVGKYFNWLLLSSVSGSAIGVTIIVWVSTNKGWWMGFLISFVTTFLGFIIFVFGKPFYQLQLPAQIPPLTRILQVIVVAIRNRKLQLPDNPQQLYEKDSDLSEPKIAHTNQFRCFDKAAIVPKSVEIREWRVCTVSEVEEVKIVTRMLPIIGSTIIMNTCLAQLQTFSVQQGYRMNRHFGSFEVPAPSVPVIPLLFMCILIPIYDLVFVPFARKITNHPSGITQLQRVGVGLVLSIMSMGIAALVEIKRKKESLKNPLKPIHVFWLSFQYAIFGIADMFTLVGMLEFFYKEAPSGMRSLSTSFTWISLSFGYFLSSAFVDIINAVTKRVSSSKKGWLDGQDLDHNNLQLFYWFLAILSCLNFINYVYWACWYKYKSDDHQTSPDGNVLPKSASVSRVPFLKADHVEN >Solyc01g094970.3.1 pep chromosome:SL3.0:1:86238889:86243013:-1 gene:Solyc01g094970.3 transcript:Solyc01g094970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKTDWLLVVLLGLVLLILEGAEGRKERILGGSPYELAYSAINCRKHSASIKDFGGIGDGTTLNTEAFQKAVNQLSKYASDGGAQLVIPAGHWLTGSFNLTSHFTLFLHKDAVLLASQEINQWPLIDPLPSYGHGRDAPGGRYISLIFGTNLTDVIITGENGTIDGQGALWWQQFHLNKLKYTRPYLIELMHSNKIQISNLTLLNSPSWNIHPVYSSNIIINGITILAPVTSPNTDGIDPDSCTNIRLEDNYIVSGDDCVAVKSGWDEYGIKYGRPTSHLIIKRLTCISPYSAAIALGSEMSGGIQDVRIQDITAIQTESGIRIKTGVGQGGYVKDIYVKGMKLHTMKWVFWMTGNYGSHADTHWDPKALPEIKGINYRDVVAENVSMAGQLDGISGDPFTGICMSNVTIGLAKKSKKNPWACTNIGGISSSVQPPPCKLLADQGPKKSGMCDFPTESLPIDSIEMQRCSYRLNM >Solyc06g060050.1.1.1 pep chromosome:SL3.0:6:38080773:38082671:1 gene:Solyc06g060050.1 transcript:Solyc06g060050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRTECTLSLLARHYRTLFRTCARCSALDVGKKLHASIVTTGLVNFRNTFLRNAILHMYAACGYVLYARKVFDEIPLSYKDTVDWTTLMGCYARGGFPLDALKLFVHMRKSDVLIDEYTMVVVFLASTKTGCEQFGIQGHGCMVKMGFNSSIKACNAVMDMYVKCGLIDKTKRIFREMGEKSVVSWTVVLKGVVKSEGFENARFLFDKMPERNEVAWTVMIAAYIENGLTKEAFGLLREMLFESGFELNFVTLSSLLSACAQSGNVLVGKWVHVYALKMIEHEIDIVVATTLINMYAKCGRIDDAFRVFLVMRRRNVITWNAMLSGLAMQGKGDLVLDLFGQMIREVKPDDVTFTAILSACSHSGLVDQGRHFFYSLESTYGIKPSIEHYSCVVDLLGRAGHLEEAESIIRGMTIPPNEVVLGSLLGSCSVHKNLELGECLIKELVQMYPDNTEYHVLLSNMYSLAGKNDEANYIRVVLRSRGVKKVPGISSIYVGGQIHCFSAGDKLHPQSQEIYMMLDEMIQKIRLAGYAPDTTCQKFSGSNDGDYYGYGQEEKEQALFSHSEKLAVCFGLISTQAGMPLYIFKNLRICQDCHSAMKIVSKVYNREIVIRDRNRFHRFKLGSCTCFDYW >Solyc12g019910.1.1 pep chromosome:SL3.0:12:12240284:12241822:-1 gene:Solyc12g019910.1 transcript:Solyc12g019910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNLNILTSNFVHTIYSLEEHGAKNWSFISQLIPSRTAKSCRERWCNHLNPQLDHRSFTLEEEDIIFKAHAKFGNQWTMIESLLYPWLSLDPHIAPFSEIFPFSSVSPVFPGLSTTMSISLIGFQSSQNLNPINPIEQEDEVTLVLASEPNPSNFMPQTSITQSGNSCLTNMEKQLLSPIILKVL >Solyc09g014530.3.1 pep chromosome:SL3.0:9:6149435:6151345:-1 gene:Solyc09g014530.3 transcript:Solyc09g014530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVLCAKIEMKANKDVFHDAFTNKPHHVSTMCPLHVQGCELLEGAFGIVGTKICWTYTLEGAKKISKQIIETVDHEKKVITFKEFEGDLVNKYDNFKATLHIETKDEIDLVSWTIEYERPNENVPELVNLLDFIIGMTKAIDDHHVN >Solyc09g072710.3.1 pep chromosome:SL3.0:9:65744843:65758486:-1 gene:Solyc09g072710.3 transcript:Solyc09g072710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGHVGFVVIFILLATAGNLGGEFAGDYSKLSGIIIPGFASTQLRAWSILDCPYSPLDFNPLDLVWLDTTKLLSAVNCWLKCMMLDPYNQTDHNECKSRPDSGLSAITELDPGYITGPLSSVWKEWIKWCIEFGIEANAIIAVPYDWRLSPSKLEERDLYFHKLKLTFETALKLRGGPSIVFAHSLGNNVFRYFLEWLKLEIAPKEYGRWLDDHIHAYFAVGAPLLGAIETVKATLSGSTFGLPVSEGTVRLMFNTFGSSIWMLPFSKYCTTDNVYRRHFSGGNRKYHHAYHCDEHELKSKYSGWPTNIINIEVPSNRGNEVYPSVVETPQTNLSGKECGFPTQLSFSAREVSDGTFFKAIKNYDPDSERLFHLLKKSYHDDPILNPLTPWERPPLKNIFCIYGVDSKTEVGYYFAPSGKPYPDNWIITDVIYEIEGSLYSRSGNLVEGNPGATSGDETVPYHSLSWCKNWLGPKVNITRTPQSEHDGSDVQVHLNIEHQHGEDIIPNMTKLPTMKYITYYEDSESFPGTRTAVWELDKANHRNIVRSPALMRELWLEMWHDIHPDKKSKFVTKGGV >Solyc08g014440.3.1 pep chromosome:SL3.0:8:4481516:4486961:-1 gene:Solyc08g014440.3 transcript:Solyc08g014440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDLHTSWLSAAVESFGGSFNIRIEEGAADSVEFFEDPTSPVCNLPTNIPKPPPVPGKIPSRATIPRLLANSDCVPKDTWDRLFKEGFGADVHVITENGSVIPAHHALLTVASPVLGNLLQQSKVRNGIRCIKIAGVPHDAVYVFIRFLYSACYDEVDVKKLVLHLLVLSHFYSVPSLKRVCINYLEQGWLNSNNVIDVLQLARDCDASRLTLFCIRMVVGNFKSISSTEGWKVMRRANPTLEQELLEFVVEADTRKQDRLKKIEEKKVYLQLHEAMEALVHICRDGCRTIGPRDKVLKASQEACSFPACKGLESLVRHFSNCKIKVPGGCIQCKRMWQIFELHSRICEEPDSCKVPLCSHFKVKMLQQTKRDEVKWKVLVSKVRAAKNAVSLFSSRRRLSF >Solyc07g063120.3.1 pep chromosome:SL3.0:7:65818831:65828823:-1 gene:Solyc07g063120.3 transcript:Solyc07g063120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSSESGWEGSDSYRGLNSSALVDRNPRFQTSSIRSSNDVLHDSGFVPGRKGREIIEFPPANHLKAQGGVAEDRLTVDRGCRGTDFSGVSLRQWLDNPERAVDALECLHIFTQIVEIVNLAHSQGIVVHNARPSCFVMSSFKRIAFIESVSCSDSGSDSSDDGLNSQTVELKDSSSVLPHKSEGLGIHSSQLEKISVKASIGLSENCCLQSSSGHMVQTLEASMNRLEEKQHTFPMKQMLLMETNWYTSPEEIAGAPSSCASDVYRLGVLLFELFCTFSSSEEKSATMHSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSNRPKMGDLLESDFLNAPRDEFEEREAAIELREEIEEQELLLEFLLLIQQRKLEALHNLREIVSFLSSDIEEVSKMQKTLRVERGSNQEPVRDLGSGKINIAEDDDAGCFGSRKRFKPGLSIHTAEEYNGNPDESEKHVENKGSILAKNSRLMKNFKKLEVAYFMTRRRVIKPTGKSLYRHSQASTDCRTAVLAPERSSMSNLASKEGCNEDRQSGSISSFLEGLCKYLSYSKLEVKADLKQGDLLNSSNLVCALGFDRDGEYFATAGVNKKIKVFEYNSIVNEDRDIHYPVVEMASRSKLSSICWNGYIKSQIASSNFEGVVQVWDVTRSQVFMEMREHERRVWSVDFSAADPTMLASGSDDGSVKLWNINQAILFLHLVDVSFETKRTTGVSVGTIKTKANVCCVQFPFDSGRSLAFGSADHKIYYYDLRNSKMPLCTLIGHNKTVSYVKFIDSTTLVSASTDNTLKLWDLSICTSRILDTPLQSFTGHMNVKNFVGLSVSEGYIATGSETNEVFVYHKAFPMPALSFKFNSTDPLSGGEVDDQAQFISSVCWRDQSSTLVAANSMGNIKLLEMA >Solyc10g007210.1.1.1 pep chromosome:SL3.0:10:1627838:1628194:1 gene:Solyc10g007210.1 transcript:Solyc10g007210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTINPNASDYFYNISTGIEIQSYPRTPLWNKSTDCCSRDGVHCDEMTGQVIALNLRCSELRGMLHSNSSLFQLSNLKRLDLSNNDFTGSLISPKFGEFSNLTHLVLSDFKFYRSYPF >Solyc10g062117.1.1 pep chromosome:SL3.0:10:24525132:24526976:-1 gene:Solyc10g062117.1 transcript:Solyc10g062117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding STFCEAATRTHSSSDKPENSITSFPVEDFIRCLANRFSINDLGDLHFLHGCASCSLTFWDFIKLYFSEILDQANKVEANPMQTPLEIRSCPISSDGSLLENPKEYQSIIRSLQYIHLTSLDVAFGVSKLSQFTSSPTNRLGYGNHEDRSSTTAYIVFLGSNPISWSFKNQRVVLARLQKLNIGQLLHHQLKFVGMKHIGIDNHFVRNLYQQGLLRVSHVSSQDQLADLLTKLLPKLHSKN >Solyc10g084615.1.1 pep chromosome:SL3.0:10:64197526:64198725:1 gene:Solyc10g084615.1 transcript:Solyc10g084615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRIRTKVTFHRPKTLKKDRNPKYPRISAPGRNRLDQYQILQCPLTTESAMKKIEDNNTLVFIVDIHADKKKIRDAVKKMYDIQAKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Solyc08g014373.1.1 pep chromosome:SL3.0:8:4302663:4310237:1 gene:Solyc08g014373.1 transcript:Solyc08g014373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISLPLFIGHEQAKLFLLLANHCSIDIRLKFNQRPCSAPKFAIQELIEVCSKQTNNNLTTCHFKIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVFRRLSMDKTKPILYGYTDSNMAVMLILASLLQDTWLRGAVSWQSRLQKCVALSTREAELIAVVEACKELLWMKIFLGELCCAQERFYALLIQGSVQLSICTVNTICTKCKVYHKHDTFKRGSLIKLSSCKHYYEVFITTNLALVKGLHQSKLQLANIKSDH >Solyc01g014490.3.1 pep chromosome:SL3.0:1:13909500:13922467:-1 gene:Solyc01g014490.3 transcript:Solyc01g014490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSVSPHSCIESKLNQIIPKYCIICDKWLSSRPMPNSFSNLKNVTIRFTRRTDIMPSVPLETKYHPSAVDILLIKRLITETSKQTLLQFLQHEFVNIGKSHAERLIGEMGPDFSPKMSVKSLTPQQIVRIHQLFRQAKFDDPSGDILSPAGEYNLRLGIIKELHPDMVATFSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNVFRFANRIPLLFEQGADVVTRTAMKRINYKINQTQDKIGVFVSIVSTKIPFKGTGKEYIGDDISEIASAVKTAIKQCCNQLKSKIVKRIHAREQQERKRNLSKYIPSATAAIYDLLKQTTNVHASKKRRFRDDNADLLKQVSVNSLTKDTFREKLAQHVEKVDYEMGLEYATQTGVNEEPREEIYIESLNEDKNFLDLQSPIFVFRFYH >Solyc03g116010.2.1 pep chromosome:SL3.0:3:67031134:67032454:-1 gene:Solyc03g116010.2 transcript:Solyc03g116010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNMGSDQSNFEFIMTKQDNHQDLLSLPPQLPSLDDFSEFSGHYAIDYQYDLSIQENTNPLMEMECTLEDPFYSSFYSLTPGELCYDEIGNEVRMLNEMSGELIGNGHQQVLLCDNINQQEIVGNEEIIVTEKEKDNIREEINSSRMLSRDAISKYFYMPITRAAKELNIGLTLLKKRCRDLGIRRWPHRKLMSLQTLIKNVKELEKVGGNGMEEKLKDVIKLLEKEKKKMEEIPDMELEEKTKRLRQACFKANYKRRRLLCMPELHASF >Solyc03g122340.3.1 pep chromosome:SL3.0:3:71702434:71708247:1 gene:Solyc03g122340.3 transcript:Solyc03g122340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:K4BN32] MALAKEIMGISLLEKSSSMALLNPNNYHKENHLWFNQQFQGRRNLSRRKAYRQSTMAAISENLVKVVPEKAVKFKVRAVVTVRNKNKEDLKETIVKHLDAFTDKIGRNVALELISTDIDPDTKGPKKSNQAVLKDWSKKSNLKTERVNYTAEFIVDSNFGNPGAITVTNKHQQEFFLESITIEGFACGPVHFPCNSWVQPKKDHPGKRIFFSNQPYLPDETPAGLKSLRERELRELRGDGKGVRKLSDRIYDYDIYNDLGNPDRGIDFARPKLGGEGNVAYPRRCRSGRVPTDTDISAESRVEKPNPTYVPRDEQFEESKMNTFSTSRLKATLHNLIPSLMASISSNNHDFKGFSDIDSLYSKGLLLKLGLQDEVLKKLPLPKVVSTIKEGDLLKYDTPKILSKDKFAWLRDDEFARQAIAGVNPVSIEKLQVFPPVSKLDPEIYGPQESALKEEHILGHLNGMTVQEALDANKLFILDHHDVYLPFLDRINALDGRKAYATRTIYFLSDVGTLKPIAIELSLPQTGPSSRSKRVVTPPVCATGNWMWQIAKAHVCANDAGVHQLVNHWLRTHASLEPFILAAHRQLSAMHPIYKLLDPHMRYTLEINGLARQSLINADGVIEACFTPGRYCMEISAAAYKNWRFDLEGLPADLIRRGMAVPDATQPYGLKLLIEDYPYAADGLMIWGAIEGWVRDYVDHYYPSSAQVCSDRELQAWYTETINVGHVDLRNEDWWPTLATPEDLISILTTLIWLASAQHAALNFGQYPYSGYVPNRPPLMRRLIPDENDPEYAVFLADPQKYFFSALPSLLQATKFMAVVDTLSTHSPDEEYIGERQQPSTWTGDAEIVEAFYKFSAEIGRIEKEIDERNADTNLKNRCGAGVLPYELLAPSSGPGVTCRGVPNSVSI >Solyc12g027740.2.1 pep chromosome:SL3.0:12:25982584:25990270:-1 gene:Solyc12g027740.2 transcript:Solyc12g027740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQLSESVRKDETISMGSGWERFDFDKDAPLDDNEIEERKVPLFADTDALLIALAVEDDGALVDHIGKSFRFSTVETRREEQIEAAHDEAIFGAPSLLPCSPSSETNDEAEDKNVKKDISEIATATSLINGQALAMQQGSWRDRVRKS >Solyc03g080010.3.1 pep chromosome:SL3.0:3:53324241:53339247:-1 gene:Solyc03g080010.3 transcript:Solyc03g080010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILLKSIPFPAISLPATGAFVTLSANHLFQLSIFKCMMLLSVSLLPNSSSSFATVAFAFPKVPGGFRQSIRIKVHAFLNKPLESSSDQPSVILDSLRVLEWDKLCDSVAAFAGTSLGKEALKEQLGYLNQTFEESLGLLEETNAAVEMNKYGAMVDFNGIDIELVKTAIRVARHGFPVSGTEALNVVALLQFVEMLQANVKAAVKQDAEWYQRFMPLTEMIMELTISKSLVRFIQQLVDEDGSVKDSASSALKQSRDQVRLLERKLYQLMENIIRNGMEEASAVMADGALYQDLISERALRGYCYPGTGSVLEPLSAVPLNDALQQAKASVSKAEVDVLLKITQKMQEEIDYIESIFSMMVRLDVINARARYGLAFGGACPDLFLQQEQDSFVATDASLDARTSVALHPTRKKWTMYLPKAYHPLLLQKHQQALQKAIKDVKNANAEIRRRKQQGGNFTLRKETDLTLQSLEAKVAKLKEEPPVPVDLYVAHNTRVLVITGPNTGGKTICLKTVGLAALMAKSGLYVLASESVKIPWFDFVFADIGDEQSLSQSLSTFSGHLKQISKIRSHSTDMSLVLLDEVGAGTNPLEGAALGMSLLESFAESGTLLTIATTHHGELKTLKYSNHAFENACMEFDEMKLKPTFRILWGIPGRSNAINIAERLGMPDAIVHKARELYGAASAEINEVILDMERFKQNYHEQVRESQRLLKLTKGLHHKLLIARKNVKEHSINQRFRKEQEIFEAAAVARSSIQRRARQYRAISSQPSQKILGSNGPTSTMKTEAKEEKSKISEATPAVYYSSTSRLPLSAKRRKLPNVGDSVHVPSLNKQALVLKVDPSREELLVQAGNMKLKLKLTDVLT >Solyc07g062050.3.1 pep chromosome:SL3.0:7:65011403:65020898:-1 gene:Solyc07g062050.3 transcript:Solyc07g062050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKDYSFLRDLQVEFKNYEVTNKFSLCFWLYLNEPSTLPSTILYQEHPDVTSHAPFLLLDDRKKILLFPSLISSHSNITSWREVAHAISESEFPTKKWVHVGCEVTQDLLHLYFDGKIVGEKCLTSSLSNDMGSDNSRISLTCITGKNSQLDGYVHSSELFPMLSTIENHYVKDPPVQLSIDSSSAYEIEEDSDGVWSIVGGKASCRRNFDIDVTLMDNFSRPMTEEAEVVASLVYSDDNTLVEKPVDADAALLTSYDGIEYASSDRPSKVISGRASFKLKISQLSSKCDNRLFRIRFDIPRLGKYPFLEVFSRPIRCISRNRSTRATSLMLKKSSLGIHLLNGSQSPVLDDGSYDRPCIVREAKQSPSSKRVKLGQEKLCANFKDDFVLKQANGGSRSHSWTSEDNHAHQNSLVARPVSHGGAENFSSDSENSETTNSPVDDLPNNRDPISDMVVFKYCLADLNERRLLLKEMAMTAKEEELATFAERVSLFSGCSHHRHQISISKRLIEEGINCWNLISNYNHHVLWENLVSGLQEHFLKMTFGRIRSLTHQDFNLLRRVSGCQDLVSQDNFEKLWCWLYPVAFTLSQQCISSLWGSTSPVWIEGFITKEEAESSLTILGALQEPGTYILRFPTSRSWPHPDAGNLVVTYVGSDYTIHHRLISLESIYSSGVKGTTIRPIQDMLLEQPELRRLGRIVRSL >Solyc07g052110.3.1 pep chromosome:SL3.0:7:60782860:60804749:1 gene:Solyc07g052110.3 transcript:Solyc07g052110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDANSKSGGYIVYSTCSIMVDENEAVIDYALKKRDVKLVPCGLDFGRPGFVRFRQHRFHTSLDKTRRFYPHVHNMDGFFVAKLKKMSNSKSAAKASAQSEEIEDADTIESSDQQNAEEDDDKHSEQEGIAKESGKLNEKAVADKKRKRDFQKHSDKKGVGQNGGGTKNGRAENKPVAPKRREKKKPPPIEEIAKAREEKRNALREEKRKATLKKKSGNK >Solyc04g071590.2.1 pep chromosome:SL3.0:4:58634273:58638709:1 gene:Solyc04g071590.2 transcript:Solyc04g071590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKHQHHHHLFHHKNKEDEGGPVDYEKEVKHHSHLEKIGELGAVAAGALALHEKHKAKKDPEHAHKHKIEEEIAAVAAVGAEKQHHRLFHHKNREEEGGPVDHKKKVKHHSHLQKIGELGAVAAGAYALHEKHKAKKDPENAHKHKIKQEIAAVAAVGAGGFAFHEHHQKKEAKKEKKAAEKGRHH >Solyc12g082780.1.1.1 pep chromosome:SL3.0:12:17463362:17463586:-1 gene:Solyc12g082780.1 transcript:Solyc12g082780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPISSECLFIQREKITCHARRSPPMYVFQGRLWHAMPDIVGLGILSKGDDGMQRLTFDDRVRCPRAMMEFHA >Solyc08g021960.3.1 pep chromosome:SL3.0:8:34139816:34151722:1 gene:Solyc08g021960.3 transcript:Solyc08g021960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWKYKAGLCLISAVVVIWVTSAEVTQGIFSEYRQPFAITYLGASLLVIYLPVAFLKDRICDFLKRRPSRTDKNTGNESYMRHSSPLKHTVQKVFEIEIQKSMDRKDSGENISAEEEGKPLVAKCNGNFDDLKNGKEITTWQIARYGFFLAPLWFITEYLSNAALEHTSVASTTVLSSTSGLFTLFVGVILGEDSLNMAKVVAVFVSMSGVVMTTLGKTWATDESQLNSSSSGERSLLGDLFGLLSAMSYGLFTVLLKKFAGEEGKGIDMQKLFGYIGLCTLVTMWWLVWPLTALGIEPKFTIPHSAKLDEVVLANGLVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMVADMVIHGRHYSAIYILGSAQVFAGFVIANIADRISKLMGL >Solyc04g071410.2.1 pep chromosome:SL3.0:4:58467929:58468459:-1 gene:Solyc04g071410.2 transcript:Solyc04g071410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVRSVYYVSPSNPSSDVAEEMVVALAVASLFFWSVDQAYSKKIFENAVKVFTFAVQYRRSYSDSVSSTPLVGANLDDSFENSQIERSILRNYWLLLSLLILSDCPKNPG >Solyc03g031940.3.1 pep chromosome:SL3.0:3:4431088:4434347:-1 gene:Solyc03g031940.3 transcript:Solyc03g031940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILTPTSSTKNPSLHSCNLSSLSISNPLGYQLFKSRIPFEVNKLKKCTIRSTLALNSVIAPPEIFKESESVTTKISKGPANTCPFSPLDFLERASIVYGNCTSIVYNDTSYTWSQTYTRCKKLASSISSLGIKKGDVVSVLSPNIPAMYELQFAVPMSGAILNNINFRLDPRTLSVLLRHSESKLVFVDYQASSLLLEAISLFPSNLQRPKLVLIEDNCGDTPLVSRNEFYNSYENVIDEGDQHFKWIAPESEWEPMTLNYTSGTTYAPKGVLHSHRSAFTMSVMGLIDWSVPKQPVFLWTLPIFHANGWGFCWAMAAVGGTNVCLRRSHDAALIYEAIQQHKVTHMCGAPVVLNMLSTYTKRKPLDSPVQILTAGAPPPAAVLDRTESLGFVISHGYGLTETGGPAVTCAWKQEWNSLTNSERATLKSRQGVKMVGFTDVDVVDPETGISIERNGMAVGEIVLKGGSVMLGYLKDPEGTSKCMKNDGWFYTGDVGVMHSDGYLEVKDRSKDVIICGGENISSVEIESILYKHPAVNEAAVVARPDNYWGETPCVFVSLKNDARNVTEKELREFCKENLPLYMVPRTVVIQDDLPKTATGKIQKYLLRDKAKSLI >Solyc07g052707.1.1 pep chromosome:SL3.0:7:61303943:61316196:1 gene:Solyc07g052707.1 transcript:Solyc07g052707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTKLVMKKIEDPTSRQQFYSKRKDTILKKSNELAVLCDVDVGLLMFSPAGEVTSYSSKERLEDVMLLAMNKAGELNKLSPENPNEQALMDSLTQSKHEAEMVEKIAMYTTLLLHSAHEEKLNGVKERLSEAQQKIRYYEPQVESINSVQEADAYEKFILSALELIQLSKAKLLGDQEFLQRNENVAVSSVNAEAMAAEARDSEGKRKFPGSNLELAGVWRGPVIDEDTAEQVWIHCREELEEGTEAAKFLEYYILQTATGSYSYLKQDIALLRKRTLQKAIEDLPPREKQILLQCLRRKNVPVHISDSDDAFIPSIEVPASSPPTLQPPSPVLKPPAKPPKHQTHTNSSSPLPVNPPDKPQNGPNSQRDNQQHRNYLIAAVAGCSVAGIAFLALLILCVKNKKKEVAPYGQRDGKPPLNSTAGASLNFVSASAVNDADPHNSSEADPLPLGAHQRGRSSEARGSGSLGESDAPKTKLKPFFWDKVLANPDHSMVWHEIKAGSFQFNEEMMDSLFGYIPGDQGKDDRRKPSSSFDQTSQYIQIIDPKKSQNLAILLKALNVTTEEVYDALEEGNELPPELIRTLLKMAPTNDEELKLRLFAGDISQLGPAERFLKSMVAIPFAFKRMEALLLMCSLHEEVSSIKESFATLEVASKELRNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGTDGKTTLLNFVVQEIIRSEGLRAARKLRENQSTTSVQTEDLVEDPAQESADYHRNLGLQMVSGLSNELENVRKASLIDGENLSAAVMKLNHSLMKTKEFLDTDMRSLEDESKFRDTLTNFIQHAEQDITCILEEEKKIMSLVKSTGDYFHGNSGKDEGLRLFSVVSDFLIMLDKACTVVRNSTKLPVKIPKKGTLTSPSQESCPESLQDIRKQLFPAIQERQMHYSSSDDESSSP >Solyc05g011890.1.1.1 pep chromosome:SL3.0:5:5114762:5115772:1 gene:Solyc05g011890.1 transcript:Solyc05g011890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BXR2] MTKSQTSSPTPHKYLQEDDVSEDCKKLLSTLPKERGWVGSYVYNYQGFWALPRLIQGVIACQRQYQAQDNDIILVTAPKSGTTWLKALLFSLVNRKKYPVFEKNHPLLVKNPHDLVPLLEQDLYVDGQVPDFSLFTSPTLIATHVPFASLPKSVQNSRTKLVYLCRNPRDTFISMWQFTNNLRLDSHRDTNSIEEMFDHFCKGVGLYGPFWDHVLGYWKESIENSDKVLFLMYEEIKKQPKIQLKRLAEFLDCPFSIEEEDCRVVDEILRMCSFGNLRNLEVNVNGNMSAGMANKNFFRRGEVGDWKNYFTVEMNDRLNHIIEQKFHGSGLKFVYI >Solyc06g069690.3.1.1 pep chromosome:SL3.0:6:43520116:43520582:-1 gene:Solyc06g069690.3 transcript:Solyc06g069690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQYNYMPQYPHMHGISSYPFYPIGMCLQPGQLPAAHPWHSFGSSSSAEIKVSKVDLRETALMKFRQKRKERCFDKKIRYINRKQVAEQRPRLRGQFVRKVNGVNVDVNGQPSSAGNDDVEEEEVQIEYVDSSHEDDL >Solyc07g006650.3.1 pep chromosome:SL3.0:7:1516230:1523093:-1 gene:Solyc07g006650.3 transcript:Solyc07g006650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERNVGKCSLFLLCLNVVSSVVMAAAPPTCPADTGSGCGSDSGEWDGEFFPGIPKIKYEGPSSKNPLSFKWYNAEEEVLGEKMKDWMRFSVAFWHTFRGTGADPFGAPTKSWPWEDGTNSLAMAKRRLRANFEFLEKLGVERWCFHDRDIAPEGKTLEETNANLDEVVALAKELQGDKFHLLWGTAQLFLQPRYMHGAATSSELGVYAYAAAQVKKAMEVTHHLGGENYVFWGGREGYQSLLNTDMERELNHMARFMEAAVAYKKKIGFNGTLLIEPKPQEPTKHQYDWDAATSANFLRKYGLIGEFKLNIECNHATLAGHSCHHELETARINGLLGNIDANSGDPQIGWDTDQFLMDVAEATLVMQTVIKNGGLAPGGFNFDAKLRRESTDRRRESTDIEDIFIAHIAGMDTLARGLRNAAKLIEEGSLNDLVRKRYQSFDSELGSAIESGKADFELLEKKALEWGEPKVPSGKQELAEMIFQSAL >Solyc02g055500.1.1 pep chromosome:SL3.0:2:2280762:2281115:1 gene:Solyc02g055500.1 transcript:Solyc02g055500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIATLPHQPVQPKSFGSHFSPCAILIGLFASGEWRWMKRDMNEYKLGRVSYVMTLVWNALAWHHTQLVY >Solyc02g093340.3.1 pep chromosome:SL3.0:2:54877929:54881812:1 gene:Solyc02g093340.3 transcript:Solyc02g093340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQNGTVNGVKIKLEEEETNDDLRTTPDHQNDAGASQPLTGDGASPGKIFIGGLARETTSAQFVKHFGKYGEIIDSVIMKERRTGQPRGFGFVTYADPSVVDKVIEDDHVINGKQVEIKRTIPRGGGSSSKDFKTKKIFVGGIPTTISEDEFRDFFLKFGEVKEHQIMRDHSTSRSRGFGFITFDTEKSVEDILANGNKLDFSGTQVEIKKAEPKRPNAPQPAPFRRQGNPRAAFGGGFSDAYGGYGDSGYGVVAGPGPGPYRSGGPYGGRSSAFGGYSGGGMGGYGAYGGGGAYGGGFREEASFGYSSRYGSGAFGRGYDVGGGYGGAGEGYGGYGAGGGGYGSGYGAAGLGSGYGGDSAGGSMYGSSRGSYGGAGAGSGRYHPYGR >Solyc02g068670.2.1 pep chromosome:SL3.0:2:39185486:39189048:1 gene:Solyc02g068670.2 transcript:Solyc02g068670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKIVMENIPKLQLERTLSIPTCFPQRNSVPSTATTSDGFSFRNSSNNTRSSSPQHAVTIQFNHGIGQAEATVLPSPIQNESVSRPTAPDPQTLPTSFLRPRTKPIKLTMYVPLYQAALGGNWEKARKFFSVHPDATSARITKGWETALHIAAGANKVQFVEELVKLMSPLELALQNKYENTALCFAAASGLTRIAKVMVMKNRFLPMVRGSKGVTPLHMAALLGHREMVWYLYSVTDHQYLSKEDYISLLIATINSNLFDVALHILQQMPELGIERDQNEDTILHVLARKPLAFSDKIGLGIWQRLVYAYVSVHLQNRSSNMSSGSTDKNNTMAYLISTRVIQHLHKTIGMERVRKVLETKLMHLQALELVKCSWRKVLLLNDSQIGNLLRSPSRPLFVAAELGNFEFIVELIQSYPDLIWKVDEESRSIFHIAVIHRQEKVYKLIYNIGSHKDIITSYKSTNNENILHLAAKLAPINRLGIVSGAALQMQRELLWFKEVETIVQASYKEMRDSKGRTPGMLFTEEHKELIKEGEKWMKETASSCMLVAALITTVMFAAIFTVPGGNNNDTGTAIFLKEKAFIIFSMMDALALFSSVISILMFLSILTSRYAEEDFLCTLPKRLIIGFITLFVAIAAMLVAFCSSFFIVLGHQMTWIVIPVAALASIPITLFAFLQFPLLADMITSSYGSGIFTFTSKDTIY >Solyc03g118190.3.1 pep chromosome:SL3.0:3:68617787:68618649:-1 gene:Solyc03g118190.3 transcript:Solyc03g118190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSSSNNDQRDEKDTSNIFPIYSSARSQHDMSAMVSALSQVIGNSSSSASGDSSSVHVNPLTLIQQHQSQSSTQDQERRRYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEGAALAYDEAALRFKGNKAKLNFPERVQGQFFQCYDQPATSSNNTSEQNYPNVHHYADLLLRTDNNIDLNFDVSPNTFYHSFDISQSSMEVPVYHEEQQQVITTH >Solyc12g013615.1.1 pep chromosome:SL3.0:12:4454283:4456901:-1 gene:Solyc12g013615.1 transcript:Solyc12g013615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFDMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDIRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLQEGKISSTFTCKTKQYSH >Solyc12g027540.2.1 pep chromosome:SL3.0:12:24038113:24053181:1 gene:Solyc12g027540.2 transcript:Solyc12g027540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFEIKSDILDSMENQERKKKREAQLLQRRTNRQELKRNAIYATTAFHVQDHPAAIIDCCCSTSQNPHQIYIWSLKNAEQRDHMALVLYASAVGSLMYSIVCTRSDIAHAVGVVSRYMANTGKEHWEAVKWLLRYLRGTSSSLLRFSKGKVTQQGFINADLYGDVDSSKCTSGYVYTIGGTTVSWMSRLKQCVSVSFTKVEYVAIAEVGKEMIWLADYLEELGKS >Solyc05g015820.3.1 pep chromosome:SL3.0:5:12222710:12236793:1 gene:Solyc05g015820.3 transcript:Solyc05g015820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQRRRVEGFGKQGIVGSVLAKEGRLPDVSDFFSGAFKIALRQIRQDKSTSSTPKPRNDSLLQQVNSLRQELQLLASNRSVTIVTSSGSGSSRYTIIIVVVVMGYGYIWWKGWKLPEFMFATRRSLSDACGSVSKQLESVYTSISATKRHLASRIDRVDTKIDDCVDNTAATRDEVSEIRGKVRTFGEDVQSVHLVVQSLETKISRIEGRQNETNYGVGKLLSFVRSIETRRPKEQIEAPPSSSSRPALELPSVASSARAESLPPSLSVESSSPSASSVSPKKILVEGVSDKALYHLRLLIRRTNPPLNSLQRPLHGAVSASGLKELGGISDVVEVSNESSPQVSNAVFTSEQRSNENSGFGSVYGRKFAGVGASFLTRSRSAMQSFK >Solyc08g076350.3.1 pep chromosome:SL3.0:8:60430579:60438616:-1 gene:Solyc08g076350.3 transcript:Solyc08g076350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCCISQLAAKFAFFPPSPATYQVKKRDDGRLVAVSTTSSMPISGAADDSSLDVLLVDTKKGNKIVAFYLKNPYAKLTVLYSHGNAADLGQLYDLFVQLKANLRVNLMGYDYSGYGASTGKPSEFDTYADIEAVYDCLQTEYGISQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSGILSGLRVLCHANFTFCFDIYKNLNKIQKVKSPVLVIHGTEDDVVSWLHGNGLWKMSRDPYEPLWIKGGGHCNLELYPDYIRHLCRFIQEMECMTTEIRLKKIKQTLRLPKRSDTTISTNCCCQVKCRLPNCLNCSKVSCTKCCYWPKCPKWKPKCLVCWKPSCLGCSCTLPKCSCACPECSCACPKCSFTCPKCSCACPKCCCWSVKCSCW >Solyc03g115100.3.1 pep chromosome:SL3.0:3:66391566:66395810:-1 gene:Solyc03g115100.3 transcript:Solyc03g115100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWVVAAAAGAGYVAQHWKNLLRSRHDLLESSPGSPSFVRNDSLTRMHQVSDKNCSSPVETPRKKLDECAAERLAFHDPEVACSSSLADERLGMLCDSDDFHLNSGLPCGKELQGDQGGSICGNINELGYESSLPPSTAELTFSYGTSRKKSNLRSRRKIGHLIKPLNSLESCLMAQLYKEHGDVEDHIFSSNSSPWTPTARPFVVTDGSKIISRASSNSINAPRSAGHQKQQDNFSQMNTVSGVPQLPSVGSMELLRKGKVKDQSSRFSDSIGMNNERKSSLQGSSHRVLLFCLGISVGMISSFWKNRKEMDKLNEMLRQSENLVQDLHEELEMKELLTVKELATEDCGSQDAHNDSSNNGALQAPSPKGEMDKSPTNYDEDCQSQKTEEESRSKIEAELEAELERLELTMNSSKLEGKLAELHELALEVGAEGQWKKNRMLEIRVRILKLFHKLDPDFVTDLAKGELRTELFNRQAGGQPYADQDGSGTSTPHPANYAVSPRELTLRLHEVLQTQLEDRVKELEMALQNSERKVRYMEAELVSSWRDSSNSEGGSSSTHGSPVTKVEQRTADQPMTINLRGDALDAYNEAYNVFTRLNSEEEDVAVAPGVMDINHQENSRSREHNFDWIENDRMNDESDDEMEKLLIRHIVEKAKKGSPAVLNVQRALFSLDDNEH >Solyc04g076720.2.1 pep chromosome:SL3.0:4:61707376:61710125:-1 gene:Solyc04g076720.2 transcript:Solyc04g076720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YWIDEYECGPRYLEQPKAQQHQLQPQQAAVEQVNDESLHGSSIKNPESGVGSNHQLHTTLLSIKTTTVSLSALIAVLEYTTVPFGESFCRNFENIRGIGIIEMPFRRNILAFVGHGDHPKYPRNKVMIWDDQQSRCIHELCFWSEVRRIRLLKDCLVNFSNLELLFHIETTTNPKRIYEVSQTADHLVLVCPGLQKGQVWVKHSASKSMKSIVAT >Solyc05g014290.3.1 pep chromosome:SL3.0:5:8093535:8095798:-1 gene:Solyc05g014290.3 transcript:Solyc05g014290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRQPCCDKIGLKRGPWTIEEDHKLMNFILNNGIQCWRLVPKLAGLMRCGKSCRLRWINYLRPDLKRGALTEAEEDMIIKLHSQLGNRWSKIAGHFPGRTDNEIKNHWNTRIKKKLKFLGIDPLTHKPIEEINNDDIKQQVSEEINEKVMPEKTLEYTQEQQNSTSSSSINESNNLEMFQNSHTLDMYYPGFEDPLQNWITSPIHNWDLFDNLQDNFL >Solyc12g021200.2.1 pep chromosome:SL3.0:12:14785013:14786506:-1 gene:Solyc12g021200.2 transcript:Solyc12g021200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGNNPKSACRKHGGHKISLLLHHMSSKENSAFPSTMSAAKMPQLATSVSTTNAEIGKSIIVDNIKEYKKKKRSKNRKECEKRHVTGPEERESSSQENHALYEKQKELSSFWFKLVPQGGKKGLRLPPLDRPNIHLKDNSATVTLIQKFIAQKLNFNDHTEVDVVCCGEKLNGDMTLKDIQLRWKSHLPHSGEGKVKWELEQAMIQLGYIRSKKLQTPPKK >Solyc11g027690.1.1.1 pep chromosome:SL3.0:11:18793648:18793899:-1 gene:Solyc11g027690.1 transcript:Solyc11g027690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQCRKGKSAKWIRNYGKRICSEGWARGSQSRTRRWTERAAPAVKAGHRVPDWGRTRNGSFGGLPRTSNSQLRTGTNKGNLTI >Solyc02g071165.1.1 pep chromosome:SL3.0:2:41222508:41224589:1 gene:Solyc02g071165.1 transcript:Solyc02g071165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPIDIIKISSRDFEKPNYVQIPLLDLNDHLMPNAAMLCQDILFFSRKVKKNLLSSLLHSLLFCFNIHFLTLFSGL >Solyc03g033535.1.1 pep chromosome:SL3.0:3:5098787:5100369:-1 gene:Solyc03g033535.1 transcript:Solyc03g033535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTYNVNGLRPRIQQHGSLLKLLDSLDADIICLQETKLSKNDIRADLVRAEGYESFFSCCTRTSDRGRSSGYSGVATFCRVKSAFMSNEVALPISAEEGFTGLLATSKGYEPKEECASIAEGLECFSREELLKVDSEGRCLITDHGHFVLFNLYGPRAVQDDSERIQFKLTFFKMLEVINYHFTQLKF >Solyc07g039470.1.1 pep chromosome:SL3.0:7:47713942:47714427:1 gene:Solyc07g039470.1 transcript:Solyc07g039470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQLRGFIQGSIDATKNVLQPTPEEIASLNLPYHNYAPPTQPDSVFSDGEDVHPDEVPGFENFSRKPPENLLRRSSRGSIAGTTPPPRKRVKVVHTHKYDLSRLSQSQKQ >Solyc05g053240.3.1 pep chromosome:SL3.0:5:64227324:64237978:1 gene:Solyc05g053240.3 transcript:Solyc05g053240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARNFHGPVVSNMEVSGKKSREWDSNDWVWDGDRFTAEPLNSLPSDCRSKQLFPIGSEIPETATGIFNGFSSGAGELTLGNDKGRKELEKRRRTIVIDDDDEQNGEAGSLNLKLGEQLYPVMEEEVEKWEGKNGKKTKISGVSSNRAVCQVQDCRADLSSAKDYHRRHKVCEVHSKAAKALVGNVMQRFCQQCSRFHVLEEFDEGKRSCRRRLAGHNKRRRKTHPENVANGASVNDEGGSNYLLISLLRILANVQCKSLYVFHGFPAIYSFFNSSDQTKDQDLLSHLLRNLASLAGAANERNASGLLPAPSDLQNPGTSMEAPKEDSLRPNANCLTIPASEVKEKRMDRGTSDAERGISQNLCALRPETLCCRKESLPINANASVTTSAPLKLNIDLNNIYDDSQGGIQKLQNSDVFVNPGAASSGCPLWISHDPHKSSSTRTSLNSGSTSSLSPSSSSGEAQSRTDRIVFKLFGKDPGEIPTGLRKQVLDWLSHSPTDIESYIRPGCIILTIYLRMDKPIWEELYSDLNSSLRKLLNASAGSFWRTGWVYSRVKDRVAFLFNGQVVLDTPLPSHRSCGISIIKPIAVCASERVQFLVKGFNLSRPTTRFLCAMEGKYLVQGNCTDVMVGADSCMDYNEIQSLSFPCTVPNATGRGFIEIEDHGLSSNFFPFIVAEKDVCSEIRTLESIIEAAKMDDGFLRGTEEFQARDQALDFLHELGWLLHRCHLKFRVGSGASLNLFPFQRFHRLIDFSIDHDWCAVVKKLLDVFFNGVVDVGQQSSLDIPLQEVGILHRAVRRKCRSMIDVLLKYRHHGAFDKSGLQTQQDDRGYLFRPDTVGPGGLTPLHVVASLAGYENILDALIDDPGEVGIEAWKSARDSTGLTPNDYACLRGHYSYVHMVQKKINQKPGDGHVVLDIPGSLLDSNLKQKLSDGHRSVKVTSFQTEKSLGKPIHRQCKQCKQKLSYGNSGTSLVYKPAMLSMVAIAAICVCVALLFKSSPEVLYSFRPFRWELLKYGSI >Solyc07g052270.3.1 pep chromosome:SL3.0:7:60895767:60900228:-1 gene:Solyc07g052270.3 transcript:Solyc07g052270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFILNLVHKLLNSYLPHIATTALIHFMPLYLFIKFLYFIFRSIFIENVAGKVVVITGASSGIGEHLAYEYAKKGARLVLAARRHKSLEQVADMAYWLGSPHVISVHADVSKVEDCKRLINETITNFGRLDHLVSNAAVTPLYMFEDLVDVTNAAPAMDINFWGAVYTMHFAIPYLKETKGKIVAITSSAGYLPAARISFYNASKAALISFFETLRVELGARIGITIVNPGLTESEMTKGKFLTTEGKLEVDQVMRDVEMSVTPILPVEKSARSIVNSACRGDKYLMEPPWFKAFFVYVLFFPEVVDWFQHWFLIPGPGKPATESPSKILLDVTGLQKYVYPESLLSPHIKVD >Solyc02g077740.3.1 pep chromosome:SL3.0:2:43179296:43181631:-1 gene:Solyc02g077740.3 transcript:Solyc02g077740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRSGNRQQANSGGANTAAKPAMRKPVFIKVDQLKPGTTGHNLTVKIVNANTVLSKKPRNPSSLRVPARPQQNTRIAECLVGDETGSILLTARNEQVDLMKPDATIIIRNAKIDMFKGSMRLAVDKWGRIEAGEPATFVVNEENNLSLVEYELVNVEE >Solyc09g059240.3.1 pep chromosome:SL3.0:9:54110208:54113332:1 gene:Solyc09g059240.3 transcript:Solyc09g059240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKFHYNINMYFLSHLLALSIAWFLAVFIARKYKSRNITSAAPKAPGAWPFIGHLHLLSGHLPVCRTLGLMADKYGPIFQLQLGDHPAIVVSNWEMVKDCFTAKNDKIFASRPNMAISKYLGYNGAIFALAPYGPYWRNIRKMVTLELLTNSRLEKLKHVRTSEVNSCIKELYSNNCPGQVNLSSWFENITCNIIIRMLAGKRFTGSAEEMNFKESIKKALYLGGTFVFSDSIPWFEWMDIGGHIKAMKDTYKEVDSVFDSWLKQHINSIGDGEQSDFIDIMLSTLPEETNMESGYNRDAIIKATTLILIMTASESTAETLIWALSLLLNNTHSLKLAQDELDEHIGRNKWVEESDIKNLPYLQAIVKETLRLYPPGPLAGPREALEDCYVDKYHIKKGTRLIVNLWKLQRDSRIWKDPNEFKPERWFLKEHTNINFRGQNFEYIPFSSGRRMCPGLTFGSQVVHLTLAKLLHGFNVSMLKEEPVDLSEGLGIALPKVKPLQPLLSPRLAVELYQSL >Solyc12g021130.2.1 pep chromosome:SL3.0:12:14340065:14350533:1 gene:Solyc12g021130.2 transcript:Solyc12g021130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4DDN2] MAIVEEGKEFEMCVVFGGRGFIGKPLVDRLLRLGNWIVRIADSFPTIQLEPSESLLSHALSSGRASYFHVDVRHKSNIITAIDGASVVFYMDARDSYGHDFYISYTIVVQGAKNIIAACQECKVKRLLYNSSADVVLNSWQDINGGDESLPYSSKFVNMVTDLKAQAEALVLVANDADGLLTCALRPSYAFGPGDNYLSSLLVGVAKSGWGKFIIGLGDNMTDFTYVENVAYAHICADKALNSRGSHVCGKAFFITNLKPMKFKDFVSLVFQRLGYQRSIIKIPDMVTQYVTLIIKWTVSRMSNWSTENVPVFDIIELALCHRKFNCSAAQKYIGYSPVVSLEEGVAVTAKSSQLTRESSFSSYSDTDEESKVHKLLGGGKVAEILLWRDEQRTFTCFLLLVFIHYWFFLSGRSFLSSLSQLLLMIAVALWGYSILPPTIYGISVPRISWSFFEISEVDMRNCFYNVAYMWNRVSHLAKLLAQGEDWHIFLKAAIPLYVLKLVISDCLTFALGVALALAFTSFLIYEQYEDEIDSTANVIFSILKVAFTLLMRRLPLPQALLYSDSEIGSSRLNIKQ >Solyc02g094751.1.1 pep chromosome:SL3.0:2:55944799:55977013:-1 gene:Solyc02g094751.1 transcript:Solyc02g094751.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNERQIRQHVPPILVPQRRLTHFDVDTFVEEVLVKDGEWLPGYPEALTGWYIFVCCHGSRDRRCGVCGPAIVSRLLEEIESNGLQGKVSVSPCSHIGGHKFAGNMIIYGRNTHKEVSGHWYGYVTPDDVPQLLEQHVAKGEIVDWLWRGQMGLSEDEQKASQQHRLSIYGGTDVDRGTINSNDVGIRTCGSQLEGMGCCQANGNVSCCQTTQPPVDADNFNLNQENAEFTTEKKSSFKRQVSRSSSGKGTRYRKAIWTPADNGIFSINSAWEIIRKKKSKDIINNSVWHKQLPFKIAFFIWRALRGKLPTNETIQKFGRDAVECYCCYRKGTDDIQHILITGNFAKYIWKYYAATVGAIQTATDLRSLLLYWKNLPSLNQP >Solyc04g024690.1.1.1 pep chromosome:SL3.0:4:29600159:29600569:-1 gene:Solyc04g024690.1 transcript:Solyc04g024690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIPIPLVFLPSRKKLILELTYFQRTSLFKKFTWSQRVGNVAAKDQLQMAEMEAARPPLVEAPLQMAESWRWREEMENGEDDGGDGEWRRRRWRWRWRRRCRREETEKTTAERNDERERDDYRMRGTTRKREGRKR >Solyc01g060043.1.1 pep chromosome:SL3.0:1:69412728:69413274:1 gene:Solyc01g060043.1 transcript:Solyc01g060043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFPKFPCSISTHASRFKTQMTLSKFKPNDDIHDFLIKKANGLKGLVDKSLEIILNRCIQPKEH >Solyc05g053760.3.1 pep chromosome:SL3.0:5:64636867:64639419:1 gene:Solyc05g053760.3 transcript:Solyc05g053760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCNSNGVIPTSEPRLPLFSTHPPTISPNPRLFFLNNPSNHGVLRTKFVSYKAKSNLNDVVSYTDTGKSFYDLLGIPENGSLLEIKQAYKQLVRKYHPDVSPPDRVEEYTQRFIRVQEAYETLSDPGMRALYDIDMAKGLHFGFSARSHEAMEERGEWKNRWQSQLSELKRRRTYKESSNSMSWGARMRKQRDDDA >Solyc12g039070.2.1 pep chromosome:SL3.0:12:53150054:53154089:-1 gene:Solyc12g039070.2 transcript:Solyc12g039070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKRPIIFIIFCFPIAYSQMVMKPLFPFKKLQLPSGTVGPEAIAFDLLGNGPYTSVADGRVLKYQGPRIGFIDFATTSPLRTKEVCNGKNDPNLLITCGRPLGLGFYYRSGDLYIADINYGLLVVGRNGGPARQLVTGIDGKPFAFTNAVDIDQLNGVVYFTDSGPLFRATRNITLILESKDTTGRLFKYDIRTNKVTLLLSGLAGPVGVAVSLDGSYVLVTELIANRIKRFWVRGTRANTSQEFTNLNGYPDNIKRTILGDYWVAVNIVNNQSMTPPKFSFAQKINVLGNVFVSLNLSTQYRNSISEVQEKFGRLYIGSLEEDFVGVYGV >Solyc07g062630.1.1.1 pep chromosome:SL3.0:7:65450159:65452024:-1 gene:Solyc07g062630.1 transcript:Solyc07g062630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPVSTPDSGGKKKGSYTIETRNLSYALPTSLYDEVSGIFCCKNPKRPQKFIIKDVNCEARPGEITAIAGPSGAGKTTLLEILAGKISPTKVTGEVLVNGHPVNAKCFRRLSGYVTQEDALFPLLTVEETLMYSALLRLQGGKKEAANRVGVLIKELGLEQVAGARVGRGANRGISGGERRRVSIGVELVHDPAVILIDEPTSGLDSASALHVISLLQVMVAHQGKTIVLTIHQPGFRILELFDRLVLLSNGGVLHNGSLEHLEERIKFSGLQIPPHINVLEFAIDVTGSIVIQTSETPNVHFHIKDQGEKKESLRKDDEGFTVSNHNDMVDKCPSYANSYVEEISILGGRFCKNIFRTKQLFATRIIQALVAGFILGSIFMNADNNLGQVALQTRLGFFAFSLTFLLSTMTEGLPIFLQERTIFMRETSRGAYRVSSYVVANTIVFLPFLLMVGLLYTVPVYWLVGLRGSMDGFLYFAMVVWIVLLMSNSFTACFSALVPTFIMGTSIIAGLMGSFFLFSGYFLSKEKIPSYWIFMHYLSLFKYPFECFLINEYGGKGGKRCLESERGECKLFAIDFLKQQDLKESLKWTNLAVMLSFILGYRVLCYLILWCRCYRTRN >Solyc04g058170.1.1.1 pep chromosome:SL3.0:4:55200298:55200768:1 gene:Solyc04g058170.1 transcript:Solyc04g058170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPINSINLSKIFSKLDKNGDGLVCLDELKGFLDTIGIIASQEELELLLGKTSLDSIDFFFFYDAITKANINKGSNYKHEDRENVFLENDLRKVFRVFDLNEDGFICCEELQRALSRLGLWDEQCGKDCKSMINVYDKNLDGKLDYEEFKDMMFDN >Solyc02g094120.3.1 pep chromosome:SL3.0:2:55387781:55395428:-1 gene:Solyc02g094120.3 transcript:Solyc02g094120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGIKGPSDYSREPPRHPSLKINAKEPFNAEPTRSALISSYVTPVDFFYKRNHGPIPVVDDIERYSVSLSGLIKNSKDLFMKDICKLPKHTVTATLQCAGNRRTAMSKSRTVKGVGWDIAALGNAVWGGAKLADVLELVGIPYLASITQSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLLAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLDSINIIAEECKGFFMQKDYKMFPPTVNWDNINWSTRRPQMDFPVQSAICSLEDVSVVKHGKINIKGYALSGGGRGIERVDVSIDGGKTWEEATRYQRTGVPYIADDSSSDRWAWVFFEAEANIPQSAEIVAKAVDISANVQPESIDSVWNLRGILNTSWHRVHVRVGQANL >Solyc09g011490.3.1 pep chromosome:SL3.0:9:4810139:4828392:-1 gene:Solyc09g011490.3 transcript:Solyc09g011490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKLLGFWYSPFTHRVEWALKIKGVKYEYIEEDRYNKSPLLLESNPIYKKVPVLIHNGKPICDSIVILEYIDEIFEGPSILPKDPHERALARFWAKFLDDKVGAVVNTFLRKGEEQEKGKKEVCEMLKVLDNELKDKKLFVGDKLGFADMVANLVGLWMSVFEEASEVVLATNENFPNFCAWRNTYISCNQVKEYLPLRIDELLAFYQDRLKGVKYEYIEEDRYNKSSLLLESNPIYKKVPVLIHNDKPICDSMVILEYIDETFEGPSILPKDPYDRALARFWAKFLDDKVVTVVNAFLGKGEENEKAKEEVYEMLKILDNELKNKKFFVGDKFGIADIVANLVGLWLGVFQEGSGVELVTSEKFPNFCSWRDEYVNCSQVKEVEWALKIKGVKYEIIEEDLQNKSPLLLQSNPIHKKIPVLIHNGKSICESMIILEYIDETFEGPYILPKDPYDRALARFWAKFLDDKVGAVVSTFIRKGEEREKGKEEACEMLKVLDNELKDKKFFVGDKFGFADIAANLVGYWLGIFQEASGVELVTSEKYPNFCAWRDEYMNCSQVKEYLPPRNDELLAFFQDVKLLGLWYSPASHKVEWALKLKGVKYEFIEENLQNKSPLLLESNPVHKKIPILIHNGKPICESMIILEYIDETFEGPSILPKDPYDRALARFWAKFLDDKVGAMVNTFLLKGEEQEKGKKEVCEMLNVLDNELKGKKFFVGDKFGYADMAANFVGYWLGVFQEASGVVLVTSEKFSNFCVWRDEYVNCSQVKEYLPPRNDLLAFVEARTQASASKA >Solyc05g018390.3.1 pep chromosome:SL3.0:5:20689492:20707234:1 gene:Solyc05g018390.3 transcript:Solyc05g018390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQFPKLSKKQLKTQEQKRVSSSPKDHSSRKQLRKGENPLRILSAAEQYADVKCSNSWICKNSACRATISIDDTFCKRCSCCICHLFDDNKDPSLWLECTSESGQGDSCGLTCHVECALQRGKVGVVDLGQLMQLDGSYCCASCGKVSGILGFWKKQLCVAKDARRVDVLCYRIYLSFRLLDGTSRFKELHEIIKEAKAKLEMEVGPVNGVSSKMARGIVSRLSIASDVQSLCSIAIEKGDEWLATKTSKLPNSSEGSLPAACKFLFEEVTPSSVVIVLIEVSAASSEDVKGYKLWYCTAREDTYTKEPVCVFPRTQRRILISNLQPCTEYSFRIVSYTEASDVGHSEAKCFTKSVEIIHRKPNLVAGLKIQVNQDTGGSSGSKEGFYTGKDIEFDSGFKVRDLGKILRLAWAQQQGCLEGFTGPASTKCCESCTVKPEPVQEERKPSTSRQLDLNVASVPDLNEELTPSSRDEDNCCTLELTVEADDDATSHDNERNGLARSHGSGDSQNWNQGKNGDVSAGDSQMEGCRKRGASNNGETHDSDSTLINGSPIRIRTGGLDENFEYCVKIIRWLECQGLIEKEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDTFSEIVSSKRPRNGFCCKLWH >Solyc01g068563.1.1 pep chromosome:SL3.0:1:77582575:77583257:1 gene:Solyc01g068563.1 transcript:Solyc01g068563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHDQITILQLLVELLKYGTTETPKTSNLVEAFGPWRAVVELVSEFCCENLLGKLGEPIASLSQLSIENIDDLGNSASFDGNSDGL >Solyc06g073430.3.1 pep chromosome:SL3.0:6:45395748:45397482:1 gene:Solyc06g073430.3 transcript:Solyc06g073430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNAHPKNYGPGSRTCSQGMFYVHCATKMVEVNLFTAISVVDLEFLVRIAAVCVVILMQLLESMDSCVADSASAAMPRKLASSSTVKNLEYEFLRGPLHLVLVEDIWH >Solyc10g012280.2.1.1 pep chromosome:SL3.0:10:4839086:4840044:-1 gene:Solyc10g012280.2 transcript:Solyc10g012280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNLCNNLTSLVDHTVDHVKELTCVPNEMLRNKISLQFLSVSECGEFRDLPQSLYNLKTFRIFDCPNLSSLHVPNRENCLYSPEAMYMLVYKNFVSLPLHVGEMPSLSSLCISDCSKLISLPSGGLYRLIRLMDLGIGPFSETVDFEAFQLIFNGIQQLLSLRRLNLGDTFTGILCLISLFNPGP >Solyc05g041530.3.1 pep chromosome:SL3.0:5:52953609:52969875:1 gene:Solyc05g041530.3 transcript:Solyc05g041530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAAMLVRGVGSILQMQFAQPYGGVSKIMNKIMAIDADKLRSQFLHLLHTRRTPQVPLCVIPGKPVNDPLYQEFPKPIFSEVMSSCPKEDIPNMKELIQEQNFYLTTEEGEQGRLPVLVLSMKEATKKKRPAIVFLHSTNKCKEWLRPLLQAYASRGYIAVAIDSRYHGERATNTTTYRDVRTGAFALCFCSSLGSILLRLYKYFAYSEYKQCRRALILSWKKGDTMPFIYDTVWDLIKLADYLTEREDIDSSRIGITGESLGGMHAWFAAFADTRFSVVVPIIGVQGFRWAIEHDRWQARVDSVKDVFEEARSDLGKNEIDKEVVEKVWDRIAPGLASQFDSPYTVPVIAPRPLLILNGEEDPRCPIAGLDIPTSTAQEVCADADCPLNFKLTVQAGIEHQMTPLMVKEASNWFDKFLRMSNKNDPVVMWLTGGPGCSSELALFYENGPFQISHNMSLVWNEFGWDKVSNLIYIDQPTGTGFSYTSDNRDIRHNETDISNDLYDFIQAFFNAHPEYVNSDFYITGESYAGHYIPAFASRVHQGNKKKEGIHINLKGFAIGNGMTNPEIQFKSYTDYALDMKLINQTDYHNINKLYPKCQQQINSCGNGGEDACLYAFQDCTNIFSSIMDIVGDKNYYDTRKTCEGNLCYDFSRMETYLNDNQVKRALGVGDIEFVSCSSIVKQALGTDMMKSFVVGIPPLLEDGINLLIYAGEYDLMCNWLGNSNWVHAMEWSGKREFGAAPSINFTVEGEDKGVQKNHGPLTFLKVHDAGHMVPMDQPKAALAMLQRWTQGKLSNT >Solyc01g095000.3.1 pep chromosome:SL3.0:1:86250311:86251821:-1 gene:Solyc01g095000.3 transcript:Solyc01g095000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTSFASIKIPYLQSGDRRSIFRPNSSKKILKLPRCNVENSTSNSSNNTSTSSQDSESENLLLKMAWYGSEFLGIAASIFRSPAGTEAPSEGNLELATDGSGLVDRALVVDNIKDDFQRSYFVTGNLTLNAYEDKCEFADPAGSFKGLSRFKRNCTNFGYLVETSNMKLMKWEDFEDKAIGHWRFSCILSFPWKPILSATGYTEYYFNEKSGKVCRHIEHWNVPKMALLKQIIRPSRGEKASG >Solyc12g016200.1.1.1 pep chromosome:SL3.0:12:6329845:6330060:-1 gene:Solyc12g016200.1 transcript:Solyc12g016200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKKGWWGSRWQPTWMRLVYGCGRGNNSPRGESSGESGMDAFSGEKESSTSSEQATRLRVIVMVMRNSRF >Solyc06g019160.1.1 pep chromosome:SL3.0:6:17676422:17678509:1 gene:Solyc06g019160.1 transcript:Solyc06g019160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDIEIYVYVNVHIIHFYIDIHVHIDIDVHVDFYFYVHFYVDVDVHDDIDFEIDFHVDVYVYVQDDDEVYVDIDIDVIIHIDIRIDFHVNIQTDIHIDYYIHIDVNVNVDVDVQVDVHTDIYVDVQIDVDNANYLQVYIYIDIHIQFKCHFHIDININVHVGVHVPIDNYVDIVVFFVDVPIDIHSDVHIDNQGEVDVYVEVQFDVQVYVYVHVHIHVNSHIHVDVDLHFYVDIDNYVHIYINVDVHIDVYIDFDVEVHVDVDVDVHVGISVDVHIDINGYVDINVDVDVTFDVHANVYIDVHLDMYIYLDVNFQFEVHVHLDIHVYVHVAIHVHVNVHVYIYSDIYIKVYIGVDVHVDVDIYVDVHIDVDFYIYADVHVHVHVNIYIDVHVDIHVAVNIHVDIRADISVDVHIKIHIELYIGVNVPVDVDIDVDVYVDVDSKVFIYVDQYADIDVDVYVYVDVHINIDGHIYINDVYIDVHLYIDFYVYDHFYVDVHIDIYVHIDINNDVDI >Solyc05g055880.3.1 pep chromosome:SL3.0:5:66188405:66195367:-1 gene:Solyc05g055880.3 transcript:Solyc05g055880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLFETPAGFALFKVLDEGKLSKVEDLSKEFTTSDSARKVVKLKAFSKFENTSEALSAATLLIDSKPSKGLRKFLKAHCDGETLGIADSKLGNAIKEKLQIECVHNNVVMELMRGLRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIVQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYAKAVKLMGDRVNAAKLDFSESNSAFVLERDFTSLTETKIHNLGRLQILPEEVEAELKEAAMISMGTEVSDLDLENIKDLCSQVLSFSEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLTNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLIYHASLIGQAAPKHKGKIARSLASKASLAIRYDALGDGQDNTMGLENRVKLEARLRALEGKELGKSAGSAKGKPKIEAYDKDRKKGAGALITPAKVYNPSADAVLGCIDNEEQKMSVEETGTKKEKKKKKHAATEENVEVEKSKKKKRKHSDDDDESETADKKKEKKQKKKKTEE >Solyc08g062160.1.1.1 pep chromosome:SL3.0:8:50615807:50616097:-1 gene:Solyc08g062160.1 transcript:Solyc08g062160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVNSNAHDNRRRRFHTVWKIELLKDGVRDLNVAMDAIKRELRTLSRSFHTFSTQVLNALKNNKHGGADNNEGYGVDNIQGVVLVTFISLFLMQ >Solyc12g099670.1.1 pep chromosome:SL3.0:12:67706724:67708881:-1 gene:Solyc12g099670.1 transcript:Solyc12g099670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHVCFCFVLSLTMVLIPAVLTPLWVKRVKIIESGIKVYASRQESELLSELHTAIQFGFILSIALMVCLAISLVTYLFLAIRCRNRDMSVSTGLANEAARQLNERTIHQLPELHAYHEEQNDEEALPHELQEVDDVYHPRQQDVSVDIVQLHPTEKLPLSGTKVLLIEDDTMSVTISQRVIISLGAMTYICRTARDSVNYVNKVLSSHRDVGPSTPSPPFDFILIDFERLKTGSFAAIVCIKRDLLHYGFRIPIMALTVHSNMEAIAKISNAEMDYYIPKPLKPDLILEAVDYLEFRKLFRRS >Solyc03g096240.2.1 pep chromosome:SL3.0:3:59657488:59658159:-1 gene:Solyc03g096240.2 transcript:Solyc03g096240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LAFIDLPISFHQTPNLGFWPKLTIQTNLFCYPSLESSKSLSGTHQQILLKYFCLKWCLIFPLFMQNMPVVKCKSFDLWCYLGTFWEACMVFSQHSDRESSTARFVPSLASEV >Solyc01g097310.3.1 pep chromosome:SL3.0:1:88081052:88084932:1 gene:Solyc01g097310.3 transcript:Solyc01g097310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIISSGAAVTTTLSLSFTPKPISSSSSSSAPKIQTLCSSSSLFFNSNSQLFLGPPTTTRKVRSNSKRGLSCYCLFGLGVPELAVIAGVAALVFGPKQLPEVGRNIGKTVKSFQQAAKEFESELKKEPDASAQPPVEKAIEVSHEEKQDTKVPSTKESS >Solyc04g007075.1.1 pep chromosome:SL3.0:4:796450:797920:-1 gene:Solyc04g007075.1 transcript:Solyc04g007075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFDSFPLVITKLFHLRYLHVEHLRLNIPESISELLNLQTLISNCSPVSGMTLPKKIWLLKNLKYISLGRNTYLPSPRINKNLVTVMPNLEKFFGLCYTSCTNEVFSSIPNLKILTIHVPITFKEEIISYRLLDMSSLRKLEAFKLSWNSSWENPIKTFVFPTSVRRLTLSFCSKFIWEEISSTFIMLPNLEELKLKYCEADDDVWIMSDKVIFKSLKLLLLSDLNLKRWEASSDNFPNLKRLVLKICEDLQEIPTDFGEICTLESIELHDCSATSEDSARNIEQEQEDMGNNMLKVYIRNSNRNGSLF >Solyc02g083210.1.1 pep chromosome:SL3.0:2:47243564:47244124:-1 gene:Solyc02g083210.1 transcript:Solyc02g083210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNEPCDETRTFPMLRFPPVRSLTGLKHLLGITKEVGNDPGLPEALRARMLALEDEVNMVMGQYLGAVEGHLRADSKGKSVVLGLVLNSSRAQTRRWWLNSPQSSGAERHLTVRKGASKTILVNAIRTGCSPQQSALPMLLSA >Solyc10g005195.1.1 pep chromosome:SL3.0:10:154296:161254:-1 gene:Solyc10g005195.1 transcript:Solyc10g005195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHHFLGDPDSDVKKAKFFRGRTSRPCLCIRLAITACPTHLEAHHFLGDSDFDVKNAKFFRGRPSRPCLCIRLAITACPTHLEGQTSPESSIPLISIIFVCYSTPFFG >Solyc01g067150.1.1 pep chromosome:SL3.0:1:75373251:75373996:1 gene:Solyc01g067150.1 transcript:Solyc01g067150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSRDDGVTMIGICGLGGIGTTTLANKIRQKLKQERLFKDVVIVTISQQPDLNKFKVSTVGRLKDPHQEANVPTMAPVGQHTTEKSTTAGSGETEESRNSGFSLFSFTPDPFSLKEGMVRNLSSNLTINHIAGESGYNKKEPIEEYNPFANHIEFPVNDREGGCLPEILVFIFC >Solyc09g090170.1.1.1 pep chromosome:SL3.0:9:70182492:70182692:-1 gene:Solyc09g090170.1 transcript:Solyc09g090170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAVESCCIVYVNKGPINLMPCLVWVSPFLLLYFLTYTFSSLLFSHNFLSFWTRNIWNTRLYYFNI >Solyc10g050055.1.1 pep chromosome:SL3.0:10:47567756:47572264:1 gene:Solyc10g050055.1 transcript:Solyc10g050055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFLHIESFKVSSDDLADVAISSRPPTVVTSEDLYNNETTTTNNGDVPNEGKVNNIRNPLIVIVNQFGSCRYRGGYLRFEGFSFSFFRTTTGIRAKKFSDDDFIILLLYVDDMMIVGKNKSRIAVLKKDLSNSIAMKDLGPAKILGIQIHQDRHKKKLSLSQRSILRKYSRETKVVSTLLSKNFKLSTTQSPSTNEEKNEMSSIPYSSAVGSLMYAMICTRPDIAHVVGIVRRFLSNLLKKHWDALKWILRYLRGSSDIELCFGSGKSEL >Solyc05g046287.1.1 pep chromosome:SL3.0:5:59325136:59327853:1 gene:Solyc05g046287.1 transcript:Solyc05g046287.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEKIDSTSTLHIIPSVPTCKDKGGIRGCCAEWIAYGVMLFPLLNLYGFQLKFNYSILKADSILDASFSPSHLVSVPSLSLYGRSMKKFAVSVSHVSEVVCSSGLCDIFRWKTLDFHFSKGGFCDSSMWRSQILLSCGELKNVKELHSVPTEFPLDLGVKLC >Solyc06g075870.1.1 pep chromosome:SL3.0:6:47271575:47272598:1 gene:Solyc06g075870.1 transcript:Solyc06g075870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYYSLEHNTHAIPPNDTIHCHTIGCRRQVASFRNYIQKTLSGGLGLFDRLINVRVANTVKNPFGTTVARTHCRLCDKMIGWKIIAVTWPSRYIKERRFIMRLDQLSFSNNETMIHTIEQQKVRAEGYGDSTGEEMSTNIDQDGGLDNDICNYLRHLLRRDQDGYLNEQIVNQVVGANEQNVDQHGGDKEQNHDKDGGANEQNVDKDGGANEQFLDKDGGANNEENADQYEG >Solyc01g056910.1.1.1 pep chromosome:SL3.0:1:57397772:57397990:1 gene:Solyc01g056910.1 transcript:Solyc01g056910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWFREQCRKCCRASVEDWRKALDKVVPAVVVLQTNACQTFDTESAGACYVTGFVDFLYDAYIIYGGRSEEK >Solyc09g058960.1.1 pep chromosome:SL3.0:9:52860050:52860548:1 gene:Solyc09g058960.1 transcript:Solyc09g058960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVFLTKTQTRDNKTLKPAIGLNSLRKNV >Solyc11g067020.2.1 pep chromosome:SL3.0:11:53082385:53090921:1 gene:Solyc11g067020.2 transcript:Solyc11g067020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKDKISYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLAYGLHSKMEVYRPHKAYPVELAQFHSADYVEFLNRITPDTQNLFPSEMARYNLGEDCPVFDNLFEFCQIYAGGTIDAARRLNNKLCDIAINWAGGLHHAKKCAASGFCYINDLVLGILELLKYHPRVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDKFFPGTGDMKCKFILFDGTPAPLMNTSRFAYRGFILKDTGERDGRFYSINVPLKDGIDDGSFTRLFKTIISKVVETYLPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWALETGVLLDTELPNEQDGTDIFGLSSGYYCSSSILGRVLLVRTLICLKRQITNKQSSIRIVWIRTEHSQLKLAVSTGIELLTECREEFFFPEIPDNDYIKYFAPDYSLKLPGGHIENLNSKSYIGTIKMQVMENLRCLQHAPSVQMQEVPPDFYIPDFDEDEQNPDERVNQHTQDKHIQRDDEYYEGDHDNDNHTDDA >Solyc10g039393.1.1 pep chromosome:SL3.0:10:21812130:21826390:-1 gene:Solyc10g039393.1 transcript:Solyc10g039393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFQLESWPVAHNSGFKSLLRNRINTLGQVEYMDQLVDSSENWEPVTIFLLRSSFQVKVHRTQAVVIVENFSKELSVKALDEKRKGKI >Solyc02g067420.3.1 pep chromosome:SL3.0:2:38172643:38177052:-1 gene:Solyc02g067420.3 transcript:Solyc02g067420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC3 [Source:UniProtKB/TrEMBL;Acc:K4B774] MSTPAKKRLMRDFKRLQQDPPAGISGAPYDSNIMLWNAVIFGPDDTPWDGGTFKMTLQFSEDYPNKPPRVHFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLSDPNPNSPANSEAARLFSENKRDYNRKVREIVEQSWTAD >Solyc05g048850.3.1 pep chromosome:SL3.0:5:60413094:60443800:-1 gene:Solyc05g048850.3 transcript:Solyc05g048850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRSRYPPPWMGGGRGGGGGGSMHPNANTSFQHRNQQQYMQRSSVPHQQQFQNQQTQQWMRRNQLSSDSAIDEVEKTVQSENGSSQDWKARLKIPPLDARYRTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIVLTGSDILARAKNGTGKTAAFCIPALEKIDQDNNVIQVIILVPTRELALQTSQVCKELGKHLKIQVMVSTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGVCVLKDCSMLVMDEADKMLSPEFLPSIEQLIRFLPASRQILMFSATFPVTVKAFKDRYLQKPYVINLMDELTLKGITQFYAFVEERQKLHCLNTLFSKVASNKPVYNFLQLCKSCRTSCQEDHGTGLFMLLYTCEDASRS >Solyc02g092480.3.1 pep chromosome:SL3.0:2:54168802:54175725:1 gene:Solyc02g092480.3 transcript:Solyc02g092480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILLSAYYLIHSIHYFLILIGLYFLQFPLLSKSFRLQKLTANTNEENNDEINIDDIPGGPAAFEICAKFCYGMTVTLNAYNVVVARCAAEYLEMYETVEKGNIIYKLEVFLKSSIFRSWKDSIIVLQTTKAFLPWSEELKIVSHCLDSVASKAYIDTSKVDWSYTYNRKKLPSENGSDPLWNGVQKQQYVPRDWWVEDLSELHIDLYKRVITTIKTKGGMSPEVIGEALRAYAYRRLPGFSKGKIPGSDPVKYQYLVDMITSLLPTEKHSVSCSFLIRLLQTSVSLECGETVQSELKRRISQQLDEASVADLLLRAPANETMTYDVDTVLELVQQFMLQKRSGQSDFPEDNEYQEMYPAFASDSSKVKVARVIDGYLAEVSRDPNLPLAKFVNLAEMVSGFPRPSHDGIYRAIDMYLKEHPGITKSERKRICKLMDCRKLSAEACMHAVQNERLPLRVVVQVLFFEQARATTSSGGGSTPDLAGTIKSLLPGESHGSSRSATTNTDEDWDAVPTAEELKALKGELATLRLRDKEAGCNDTNSIDIKMSVEKVIGGKAKGLIMSKKLFSKLWSNKDRLSENSSSDTSESPGSSNAGESKSTPSRSRRHSLS >Solyc01g104280.2.1.1 pep chromosome:SL3.0:1:92599860:92601029:1 gene:Solyc01g104280.2 transcript:Solyc01g104280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLEYWLVHHPIITNFEWNQNSTFGSSLLFLALTVLTYLALTFSFHYFPLLPTLSPASLRFISAVHNLFLFLLSLIMAVGCSLSASHQMPHNDWTWVVCFPANHTPPRGPVFFWAYIFYFSKMVEFLDTLLIILSGSTSRRLSFLHVYHHAVVVVMCYMWLSSSQTLFPVALVTNASVHVLMYAYYFLCTLGFRPWWKRLVTKCQIVQFVFSFLISGLMLYYHFTGSGCSGIHGWCFNAVFNASLLALFLDFHSKNYAKKKKDVKLS >Solyc01g005390.3.1 pep chromosome:SL3.0:1:276028:278025:1 gene:Solyc01g005390.3 transcript:Solyc01g005390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCMVSRTGRQMQRYNKGHRLVVGCIPYRFTRNGEFEVLVISSQKGHAMMFPKGGWETDESVEEAASRESLEEAGVLGIVQCELGKWRFKSKSQGIYHEGYMFPLLVTEQLSLWPEQNLRKRAWMTVEEASEACQQWWMKEALEKLVNRLNSSDFESAIS >Solyc11g013430.2.1 pep chromosome:SL3.0:11:6434724:6452116:1 gene:Solyc11g013430.2 transcript:Solyc11g013430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPPSTSGSPKNPVKFRLPTAENLVPIRLDIEIDGKKFKDAFTWNASDPDSEVFAFARRTVKDLKLPTAFAFQIAQSIQSQLLEFRSYEGKDMHTGEKVVPIKLDLRVNQTIIKDQILWDMNNFEGDPEEFARTFCEDMKIEDPEVGPAIAIAIREQLYEIAKQGVAFMRESRTNKKGRKGMEHLSASKVGSPALDLGKLFDPEGSIQRKMSERDAYGPVVDHLSKEEVDALEAREERLPR >Solyc02g089810.3.1 pep chromosome:SL3.0:2:52143446:52148225:-1 gene:Solyc02g089810.3 transcript:Solyc02g089810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRRVPRTVSDPKVRQVGFFAPPDRSQSGPFIPFSSSPPVSDLSPSGNSLSPVMIPPPRHLSVDVSLPRPANLHPAPLSPLRHDSIPVGSYNPSEFTSPTTTNLADDNSSPGWHRRGSSGKFATSLPSAGFELPAAKQNSFTASRLTTVSNVNATLGLSDKDGKVQKERTASSKPLKEKTTKAERRALQESQRAAKAAAKGEGTKTTTAASENLNPIKIAKGTLQKKESPPVAAPEKKGSDRATDKDRKKDVPHPRMQFDDKSRVEKAKKRSVVKQIEAKNRVELFRHLPQYEHGTRLPELESKFFQLDPVHPAVFKVGLRYLAGDISGGNARCIAMLQAFQESIKDYSTPAEKALIRDLTAKVNCYVSFLIECRPLSISMGNAIRFLKTRIAKLPLTLSESEAKTTLVTDIDHFISEKIILADKVIVKHAVTKISDGDVLLTYGSSSAVEMILLHAHELGKDFRVIVVDSRPKLEGRLLLRRLVGKGVKCTYTHINAISYIMHEVTRVLLGASSVLSNGTVYSRVGTASVAMVAHSFRVPVLICCEAYKFHERVQLNSICSNELGDPETIAKVPGRMEINHLDGWANSDNLQLLNLIYDATPSDYVSMIITDYGMIPPTSVPVIVREYRREYLWT >Solyc09g064775.1.1 pep chromosome:SL3.0:9:62386916:62389717:1 gene:Solyc09g064775.1 transcript:Solyc09g064775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIVDELPFSFVEKEGFKNFMRVTMPEFHIPSRRTVTRDCYELYLVKKTFEEVDSASSNDVTVKEMSKKLINWGTNIMDGDHFHVRCMAHILNLIVQDGLKEIDVPTRWNSTYSILDIAQHFELAFQRYSFYDIGYLNHLRTLGSDSSENKDGTSVEDGTSVEDETSVEDGTTANIFSSVDWKNVRGRMRTKQQFEKHKEVSGSSGNKSEMERYLAEDIEPDNDDFDILMWWKVNEPRFPILAEMVRDVLAIPISSVTSECAFSTGGRVLDPFRSSLTPKIVQSLICIQDWLRRVVSTIGKFDSP >Solyc02g083560.3.1 pep chromosome:SL3.0:2:47475674:47488580:1 gene:Solyc02g083560.3 transcript:Solyc02g083560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 35 [Source:UniProtKB/TrEMBL;Acc:K4BAM4] MITNGVEDEEKWLAAGIAGLQQNAFYMHRALDSNNLKDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEIFFREETNRGCSIVELYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRSIQHPLRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVMDAVEFVLQNFTEMNKLWVRMQHQGHAREKEKREKERSELRDLVGKNLHVLGQIEGIDLDLYKDMVLPRVLEQVVNCKDEIAQGYLMDCIIQVFPDEYHLQTLETLLGACPQFQPSVDIKTVLARLMERLSNYAALSAEVLPEFFQVEAFAKLNSAIGKVIEAQEDMPIAGVVTLYSSLLTFSLHVHPDRLDYVDQILGACVQKLSGKGKLKDNKATKQIVALLSAPLEKYKDIDTALKLSNYPRLMENLDDSTSKEMANVLVQNILKNKTCISTAEKVEALFELMKALIRDLDEGVDDELDEDDFQEEQNSVAQLIQMLHNDDPEEMLKIICAVKKHILTGGPKRLPFTVPPLIFNSLKFVRRLHSHDENVPEEESSAMPKKFFQILNQIIEALSIVPVPELALKLYLECAEAANDSDIEPVAYEFFTQAYILYEEEISDSKAQVTAIHLIIGTLQRMHIFGVENRDTLTHKATGYSAKLLKKPDQCRAVYACSHLFWVDDQDNIKDGERVLLCLKRALRIANAAQQMSNATRGSSGSVLLFIEILNKYLYFFEKGVSQINVASVQSLIELITTEMQSENTTADPAADAFFASTLRYIQFQKDKGGAVGEKFESINS >Solyc02g092690.1.1 pep chromosome:SL3.0:2:54326930:54327204:1 gene:Solyc02g092690.1 transcript:Solyc02g092690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLSFLPTHQLTRRSNPIMCPGHANG >Solyc04g076990.3.1 pep chromosome:SL3.0:4:62031659:62035406:1 gene:Solyc04g076990.3 transcript:Solyc04g076990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFNCRPELIHFFSIFSFFLLFPVAFSDELQTLLSIKSSLSNPTTNVFQNWEPSTPLCKFTGITCNSDGSVKEIELSNQKISGVVPFNKICSLTSLEKLSLGYNSFSGQVTDDLNKCVSLNYLDVGNNEFTGSFPDVSSLSELTHFYANNSGFTGKFPWNSVANMRNLIVLSLGDNQFDRTPFPEVILKLNKLNWLYLSSCRLEGEIPEEIGNLTELINLELSMNYLTGEIPSGITKLKKLWQLELYENQLTGKLPVGFGNLTSLEYFDASTNDLYGDLSEIRKLNQLVSLQLLQNQFSGEVPAELGEFKKLVNISLYTNKFTGQLPQKLGSWGNFDFIDVSENSFTGPIPPDMCKMGTMRGLLILKNNFTGGIPETYASCTSMTRIRVSKNSLSGVIPAGIWGLPKLEILDVAMNEFEGTITSDIGNAKTLGEIDAANNRFSGKLPFNISNASSLVKIDLSNNQFSGEIPGTIGELKKIGNLNLQYNKFSGSIPYSLGSCVSLSDINMANNLLSGSIPVSLGSLPTLTSLNLSENQLSGQIPKSLSNLKLNLVDFSNNQLTGEIPNSLSIDAYKGSFSGNNGLCSQNLKNFRRCYGEAGKPREWYTLLICLLVAVIVVLVSFAGYLFLKKKSSKEHERSLKQNSWNTKSFHILTFTEDDILDGIKHDNLIGKGGSGSVYRVQLSDGTDFAVKHIWTSDSGNRKISGTTSPMLGKPGKKSKEFEAEVETLSSIRHVNVVKLYCSITSDDSSLLVYEYMPNGSLWDRLHTCKKMSLDWETRYEIALGAAKGLEYLHHGCDKPVIHRDVKSSNILLDEFCKPRIADFGLAKIAQADSTKDTTHVIAGTHGYIAPEYGYTHKVNEKSDVYSFGVVLMELISGKRPIESEYGENGNIVTWVSSKLKSKESVLSIVDSSIPEAFKEDAIEVLRIAIVCTSRLPTLRPTMRNVVKMLENAEPCRLVGMIVSKDDGSNKTEQLKDHTKM >Solyc04g079440.3.1 pep chromosome:SL3.0:4:64028945:64031725:-1 gene:Solyc04g079440.3 transcript:Solyc04g079440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQESISNQTGVSLTLAKHVFSTEVKGDTTNMVFSPLSIHVVLGLIAAGSNGPTRDQLLSFLKSKCTDELNSLSSQIVEVVFADGSPSGGPRLSVANSVWVEQTLPLKHSFKQIVDNVYKATSSSVDFQKKAVEVASQVNQWAEKETSGLIKEILPADSVDSSTRLVFANALYFKGAWDEKFDASVTKESEFHLLNGTSIQVPFMTSKTKQYVKAFDGFKVLGLPYKQGEDRRRFSMYFFLPDANDGLPALVDKVSSESRFLERHLPYQKVGVGEFRIPKFKISFGFEASNVLKGLGLVLPFSGDGLTEMVDSPVGSNLYVSNIFHKSFIEVNEEGTEAAAATAGVVKLRGLMMEEKVDFVADHPYLFLIREDATGVVLFVGSILNPLAE >Solyc04g072700.3.1 pep chromosome:SL3.0:4:59745136:59747501:1 gene:Solyc04g072700.3 transcript:Solyc04g072700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGTLEYLSEVLSNVKKSKKKKQIATVSIKIRMDCEGCARAKSVDVDLKQQKATVTGFVEPKKVLKAAKSTGKKCEIWPYVPYSMVAHPYAAGVYDKKAPPNFVRATTDPSVAHLNPVEEQYSLMFSDENPNACNIMSGKPLDCKERKHRFSIITC >Solyc12g010820.2.1 pep chromosome:SL3.0:12:3763108:3765184:-1 gene:Solyc12g010820.2 transcript:Solyc12g010820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKAKREDVHPAIHIKSVTTAPAEHQPAVKVGGLEFSSGMQQQQKDTDTESDKHSSHQGLGGFKIESHRKEGEEGRVHGGIHPQETGNKKKSERKAPALAPAAVLAENSDEKAKDFGASALHNANEKESASHGHDAATYSQTAQDVRSGSQYVADKAAAAKDTAIEKGAQAKDAVIHGPQIGSEYVADKSRVAKDTILEKGQQVCGETVDTLSRAGQTTMQSAQQAKDGTLQKAGEMKDYAAEKSKNAAGYIKQKGVDAKDATVETGKSAVGYAGEAAKTVAEKSRDAAVYAKDATVETGKSAVGYAGEAAKTAKDMAEAGAFGAAHYTAKGAAAATKATADVVSSVAGYAGEKAVAARDAVAGAGKSVAEYAGDKLAAAKDYVVSAEEGAADYAGKKKSETERQIEGNSKRESGGGVSSREKEGG >Solyc08g081280.3.1 pep chromosome:SL3.0:8:64462432:64469684:1 gene:Solyc08g081280.3 transcript:Solyc08g081280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVEKLLSMNRKAADRYLKREVLGEGTYGVVYKAIDTKSGQVVAIKKIRLGKQKEGVNFTALREIKLLKELKDPSVIELIECFPHKGNLHLVFEFMETDLEAVIRDRNIFLSPADIKSYIQMTLKGLAFCHKKWVLHRDMKPNNLLIGPDGQLKLADFGLARIFGSPDRRFTHQVFARWYRAPELLFGAKQYGPGVDVWAAACIFAELLLRRPFLQGNSDIDQLGKIFAAFGTPKPSQWHDMVYLPDYVEYQYVPGQPFKTLFPTATDDCLDLLSKMFTYDPKARISAQQALEHRYFSSGPPPTEPALLPRPPPKREPGNPKVSDLNPHDGPVVLSPPRKSRRVMPHREGFEANMHPEKMDDHENEIQQAAGERSEQVPMSLDFSVFGMKPPTRPTINSADRTHLKRKLDLEFQPEEE >Solyc10g045450.1.1 pep chromosome:SL3.0:10:33693874:33694328:1 gene:Solyc10g045450.1 transcript:Solyc10g045450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFKLYDPVEGEHFNIFVAGIKTTGKPQYKVALQKEVGLPVRDDVTLISFTVRHCSLQKGIDPIAESVPWMIVQSRMNRLK >Solyc01g009300.3.1 pep chromosome:SL3.0:1:3271074:3279040:-1 gene:Solyc01g009300.3 transcript:Solyc01g009300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMDQYEIMEQIGRGAFGAAILVHHKQERKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPFIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGQYFPEEKLLKWFTQLLLAVEYLHSNYVLHRDLKCSNIFLTKEQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAAYRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPSASELLKHPYLRPYLEQYRPSFITHVGNYPEKPLTTGRDSRKNMAESQSSTSSCSDKDSLKSKEKNIQSRASNYDYRGTDVDVAFVDDDIDFRQLHSFDEDTGDDAFPEDTDDFEFKNIIDDERRCDAEAKQPRAIKNIMLAVKEGKMREHSSPMRGNRPKIGAQKANAEAPPKVPKPSSVAPSKPNAEMPSPGSTKAGSDSTKRNHGLPALKHQLPVIDSSPRTKPRHEGISSPAPSKHVAEDGIPAKQRLRTPPNFSRRPSFPRRTKQVGHDASNTPNNNVKSGSNDNSPCLERTPDSTPDGFHTHATNNCVQHSRRVSFGASKETQAESSVSACSSVSIQGFELCDDATTPFMNLTEQMLQGHERATETETTRSRPSWSTTNSSHSEVAFSLGEETHDNKNSEPCSIEKYDEHHIVDVGACNQKSISTVATDSAFPCSKEMSVFEDHSTARRLDVMTDLLPLSDPTSTSSGDDKFMVKVSSSTTEIASPTAPPVSFSQTSLPNSDVTSKSDDDDKFITMELLCTPTESTTPSLPPFSPALNNLLSEKGIAPKTMVLEKTAASQLTPASDDVIHVIRHSSFRVGSEQSVMDSVDRNVDVGQPISVVRDEVDNNSRDAVGAPNASTSAGSVILNSNIPDGVHNKEIDVRNHSIPISAQRLDSPEPVAEEERPMKEMLDVKSFRQRADALEGLLELSADLLEQDRLEELAVVLKPFGKNKVSPRETAIWLAKSMKGMMLEDSARNS >Solyc04g018030.1.1.1 pep chromosome:SL3.0:4:8999435:8999662:1 gene:Solyc04g018030.1 transcript:Solyc04g018030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVRFLRVSKLAGLECQDPYQPNRVAMQFGYDQDFPKLIPHLPSSPELAWYNYSIPIASDLRYTIRLGCLNRM >Solyc07g063810.2.1 pep chromosome:SL3.0:7:66277293:66279951:1 gene:Solyc07g063810.2 transcript:Solyc07g063810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGRNFVTGREVYLSSWKNEEDPAPGDYTYHCDPTGYPQAIVKKGSDVVYSSGPWNGRYFSGTQNSKQGTFYTYGVYSNVTMSLEECKDICSKNCSCMAYSNSDIRGSGCLLWFGDLLDIRKGTNGLQEIYISMAASESDDQEESDGKKGKILFWILPLSVGLILVFLSLLIYHRRRKKALELKNKGRTGCGGNCSEEFEIPLFDLSTIANAINNFSIDRQIGEGGYGPVYKLREERWAHQIWGLMYLRQDSQLRIIHRDLKANNILLDKDMNPKISDFGIAKICEENDIGAKTNRVVGTYGYLSPEYALHGRYSVESDVFSFGILILEIVSGKSNRRFSHPDHNLNLLGHAWKLYKEGRSTELLDEYLGDSCSTSEVERSICVGLLCVQQSPEDRPSMSSAVMMLNNEGELPQAKRPGFYIERDAPYGELYAQNTASETPSQY >Solyc06g010110.1.1 pep chromosome:SL3.0:6:4989233:4989681:1 gene:Solyc06g010110.1 transcript:Solyc06g010110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLEMVIIDEAAQLKECESTIPLQLPGLRHATLIGDDRQLPAMVQSKLSGKAGFGRSLFGRLVNIGLKKHLLNVQYRMHPAISFFPNRVFYKNKIMDGRNVKEAIYEKRFLKGNIFGSYSFIK >Solyc09g058991.1.1 pep chromosome:SL3.0:9:53002658:53004847:1 gene:Solyc09g058991.1 transcript:Solyc09g058991.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGISSQLENLLSLVLAEEVQGDSVLSLRNNIVEVFQDNMVGEVENDNFNDRNYNLDESDEDYHDVVIECRHIKTKGYMWRQFVSVFAIDPNDCIFRVAYIVIVDAESKDTWNWFLTNLGYDLAIMNSHHIAFMSDRQKHILDARDKTIITLLQTMKNNLMKRLLEASLFRQKFSGGPKVSVEGPGGPFIVDMQKGSCKCRKWNLTYMHCRRALVSIHENGDRVEDYVNVYYKVETFKNVYPYFINPTNPKDHCPEVMNGGEVLPLKIKTNGNCRTTKTETS >Solyc04g079340.3.1 pep chromosome:SL3.0:4:63946133:63950087:1 gene:Solyc04g079340.3 transcript:Solyc04g079340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNARVRTSLQSMKTPSKNVKEKVEMQGNRKMSTDKTPINRRKAIRERKMALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPNTLELLAEVAVLEEEVVRLEEKVVHFRQGLYHEAVYISSSKRNMDNVTDTVEQNQVKSPKQKQTKLSPQLESNSASFSGRHLPSLSDDSCLKENHSLSSTKSKHRSVNAKVKTARTPVKKLPAENRLAEKRVDPQKLQLEDQVMYHGSLEERIFVTQDRKPSPDESPNTISENILKCLSNIFLRMSSRKGRTTADTLPSLTGYNSCESIEKKEFGDPYGICSKFERRDIGPYKHLYAVEASSVNPNRTTISVFLVRRLKLLLEKLASANLQGLSHQEKLAFWINIYNSCMMNAFLEYGLPENPEMVVALMQKATINVSGHLLNAITIEHFILRLPYHSKFTFAKGVKNDEMTARSIFGLELSEPLVTFALSCGSFSSPAVRVYTAANIENELQVAKKEYLQASVGVSTSKKLVAIPKLLDWYLLDFAKDLESLLDWICLQLPNEHGKEAINCLERKNNEPLSNVLQIVPYEFSFRYLLHM >Solyc10g006040.1.1.1 pep chromosome:SL3.0:10:777979:779076:1 gene:Solyc10g006040.1 transcript:Solyc10g006040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNLLGSPFLLKNVLTISPYNNNKLSIFTIKACLHSSRTKTPNPNKSQIDDHVYNYTKYCTPNFPDHVSQTPISEKELKNTRNGGILDDFEKKGVLDLWLKMQDEARFDVEQEPILENYYKNSILVQDCMESALAYHLSLKLSNSSLPSDALFELFMGVLIEDQELIFDVTDDLRAVKERDPACVSYVHCFLNFKGFLACQAHRISHRLWSKGRKIVALVIQNRVCEVFAVDIHPGAKIGSGILLDHATGVVIGETAVIGNNVSILHNVTLGGTGKVCGDRHPKIGDGVLIGAGTCVLGNVRIEDGAKIGAGSVVLMEVPARTTAVGNPARLIGGKENPIKLDKIPSLTMDHTSHMSDWSDYVI >Solyc02g061930.2.1 pep chromosome:SL3.0:2:34029647:34031374:1 gene:Solyc02g061930.2 transcript:Solyc02g061930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAPLDATSQPARHPTRGQDTHTTASPQPTLPSPSPQHTRDHTQQTATLLQQGSGNLPPVPAANAELHSHPSQLCTPPVNVQQGLHPFVPAANDRQPPPFAITSFSSHSSQPSHSMNTRSKHGIFKPNPKYHDQANHTTTSISPIPKNPVQAIRDHNWKIAMQEEYDALIKNGTWDLVPRPSDVNIIWSLWIFRHKTKSDEFLRLRIISRLASEFAMKDLGPLSYFLGIAVSRDKSGLFLSQKKYAQDIIAKAGKMSSSSGDLYENATHYRSLCGGLQYLTFTRPDISYAVQQICLFMHAPRVEHMNALKRVLRYVQGTLNYGLHMSKSSVSSLLSYTDADWGGCPDTCRSTSEYRGVANVVSESCWVRNLLLELGFPISKATLV >Solyc01g096040.3.1.1 pep chromosome:SL3.0:1:87003119:87004564:-1 gene:Solyc01g096040.3 transcript:Solyc01g096040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTISLSFLLLSLLAISVSSTSLQYHTLLLHSLPLTQLQKSLHFDAQLLSGDGSDLDPDNALSLQLHHVDLVSPSSFNATPHALFKLRLQRDAYRAKAISDLASANATVGRRAGKPHPGGRDFSSSVVSGLSQGSGEYFTRIGVGTPSKYVYMVLDTGSDVVWIQCSPCKKCYTQSDPVFDPSKSSSFLGVACGSPLCRRLDSGSCNRKKCLYQVSYGDGSFTVGDFSTETLTFRGTRVNNVALGCGHDNEGLFVGAAGLLGLGRGRLSFPTQAGRRFGRKFSYCLVDRSASSKPSYLVFGESAVSRTAVFTPLVKNPKLDTFYYVELTGFSVGGARVPAIRPSLFKLDAAGDGGVIVDSGTSVTRLTRPAYVALRDAFRMGAKDLKRAPDFSLFDTCFDLSGKTEVKVPTVVMHFRGADVSLPASNYLIPVDSDGTFCFAFAGTMSGLSIIGNIQQQGFRVVFDLAGNRLGFAPRGCA >Solyc12g035130.2.1 pep chromosome:SL3.0:12:38726899:38734626:1 gene:Solyc12g035130.2 transcript:Solyc12g035130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILTASSGPSYAPEDPTLPKPWKGLVDGTTGYIYFWNPETNDTQYERPVHSSNGVSALAQKSAVFVSSSVQKPSQGQHYDPDGRHSRGSNNKVTSLKGDQNARSSSDHHEGYDSLGVGTDISQESYCRHNEISVTGGDVPAPLTSFEATGFPSEIVREMRQAGFSAPTPIQAQSWPVALQGRDIVAIAKTGSGKTLGYLIPGFIHLKNRRSNPQLGPTILVLSPTRELATQIQAEAVKFGKSSRISCTCLYGGAPKGPQLRELSRGVDIVVATPGRLNDILEMRRLSLGQVSYLVLDEADRMLDMGFEPQIRKIVKEVPKQRQTLMYTATWPKGVRKIAADLLVNSVQVNIGNVDELAANKSITQHIEVLSSMEKQRRLEQILRSNEPGSKIIIFCSTKKMCDQLSRNLTRNFGAAAIHGDKSQGERDYVLSQFRTGRSPVLVATDVAARGLDIKDIRVVVNFDFPTGIEDYVHRIGRTGRAGASGLAYTFFSDQDAKHALDLVKVLEGANQSVPTELRDMVSRGGGMGKARWGSGQGGRGGRFNGSYVSRNGGRGDWNNSSSERGGGRGYDRGSRDSDRFLRGDASRKRSRSRSPNKGSGWSDKKSRFA >Solyc02g089190.2.1 pep chromosome:SL3.0:2:51704662:51705845:1 gene:Solyc02g089190.2 transcript:Solyc02g089190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGMMGWGVNTDEQGWRKGPWTPEEDKLLSEYVNLHGEGRWSSVSRCAGLNRTGKSCRLRWVNYLRPGLKRGHITPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKTKVKVPVKQDKKRILRQMRNQTQQNYTNVSTTDMSPQAEEVIMIKSDDDNMFSINYNNDEDPVELPPVTTISDTWTENFPMDGLWNIDDELKVAIQNQAATANCSYGTDYAVNLYNGGFIF >Solyc06g007210.3.1 pep chromosome:SL3.0:6:1269152:1282256:-1 gene:Solyc06g007210.3 transcript:Solyc06g007210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Solyc04g015020.3.1 pep chromosome:SL3.0:4:5226834:5228903:-1 gene:Solyc04g015020.3 transcript:Solyc04g015020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDHKEKTTVMVLKVDLQCPCCYKKAKKILCKMPQVRDQMYDEKANTITILVVCCSPERIRDKLCCKGGKAIKSIEIKEPLKLKAPEKPKEPEKPKEKPEKPKEPEKKPKVVTFETPKEPEKPKEKAKEPEKLKDKAKEPEKPKGQEKPTVIDKPKDKTKDPEKPKDGPTSAMVATPWISEPIMMMPPVHGYPQVMPSCGCGQCHYTGGPCYQYYGTPVPPQPAPYYGNYSYGYGPGPGPSSYGKGYYGNNDYYNEEDGQGCTIM >Solyc10g055300.1.1 pep chromosome:SL3.0:10:56517170:56518567:1 gene:Solyc10g055300.1 transcript:Solyc10g055300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFCYRENQLMRCFVDCIELSFELCQYKWGGIFYFSCSNETDKLALLGFKSQIVDWSKMWSETRKSHPVACLTWIKSQISEDPSRVFASWNDSVCFCQWVGVKCD >Solyc04g025400.3.1 pep chromosome:SL3.0:4:23496696:23500143:-1 gene:Solyc04g025400.3 transcript:Solyc04g025400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSWKRTKTRNTFPSFIPKLHDSLLNFAFYMCISRRLARMPEIEFGMHTVRSHGAKVAKNHKCDWLILLVLVAMDGFLNYIHPFNRYTNTKMLEDLKFPFKEHDTIPMWAVPIFAVILPCTVFLIYYHYRRDVYDLHHAILGILYSVLVTAVITDSIKDAVGRPRPNFFYRCFPDGMEAFLPNGDVNCHGDPKVVKEGYKSFPSGHTSWSFAGLAFLSWYLCGKMKAFDRRGHAAKLCIVLLPLLIAALVGISRIDDYWHHWTDVFTGSIIGSVVASLCYLLFFPFPHDINGWAPHASIKMRENIELQSTSVRIDTV >Solyc02g089360.3.1 pep chromosome:SL3.0:2:51836957:51845635:-1 gene:Solyc02g089360.3 transcript:Solyc02g089360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDMNPPPPRNPKTAAVVTQEPHASNLDEVSTSNSTQTSMKPPLLSNSDSDSDSSKQFDQQKSQSQPFISQSDSEPTSDSEPTSVNAQKDSNLSLMKPPPPKFLSKSNSDKIGAEHEQSANSQDDSSSVSRSNDTNEDKKQEQRSAASVPYTIPTWSGRPCHRFYLEVLKDGSIIDKFDVHKKGAYMFGRVDLCDFVLEHPTISRFHAVLQFKGNGNAYVYDLGSTHGTFVNKKEVTKRVFVDLHVGDVLRFGQSSRLYIFQGPTDLMPPEADLKRVRQAKIREEMHDMESSLLRAKLEASRADGISWGMRDDAIEENEDEVDEITWQTYKGQLTEKQEKTREKVVKRLEKIAHMKKEIDAIRAKDISQGGLTQGQQTQIARNEQRISQIVEELENLEETLNESIRESLGARTGRTSNGKKKEPEEEEFSSEEDEFYDRTQKPSKRKVGENQSIETADSLLDKKDAIVREMEDKRKLFLDEKDGTGQESAVEAGDELDAYMSGLSSQLALEKEEKLHKELATLQTELDRVLYLLKIADPTGEAAKKRELKVQEPKTNMTKTVATAARQQPPPEQNKKDRAEPKVLMEKQDTIDANSSFSQETKKEIVADAAGGKNVVYIASKPQWLGAVDEKKKQEKVIERQTELQDNDQFVDYKDRNKVLVKPDATQLTADSGIESAAPGLIIRKRKQVEKSDVTEVKHSQESTGADLQAEDAVALLLKHSQRYHSTDDEVESSGGDVSHESQTRKEKKKKQKKVLGPDRPSFLKSEKDYNSWVPPEGQSGDGRTSLNDRLGY >Solyc07g021280.1.1 pep chromosome:SL3.0:7:16784587:16785276:-1 gene:Solyc07g021280.1 transcript:Solyc07g021280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLEDFGRGTRKWNPKMVPYISTKQTGFHKFGDLRMEQKTGRLNHLPKIYIGMVRRELSRLQKYLGGIKYITGVPDTVIIVDQHEEYTAMRECITLGIPTICLTDTNCNPDLANISIPMNDDAISSIRLILNKSVFAICEGLSIYIRNP >Solyc12g056270.2.1 pep chromosome:SL3.0:12:63168717:63172869:-1 gene:Solyc12g056270.2 transcript:Solyc12g056270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDYASRKPRILLAASGSVAAIKFSNLCQCFSEWAEVKAVATKASLPFIDTGSFPRNVDLYTDDDEWSVWKKVGDGVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYKKPFFVAPAMNSFMWYNPFTERHLMTIDELGIALIPPVSKRLPSGDYVNGAMEEPSFIFSTIRLFVKSRSQSGGSGS >Solyc01g104850.3.1 pep chromosome:SL3.0:1:93073229:93077713:1 gene:Solyc01g104850.3 transcript:Solyc01g104850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4B257] MGDMHYFRLFVFIIIFSIGNGQYYYPIEDLVENLPGQPKVGFRQYAGYVDVDEKAGRSLFYYFVEAEKDAHNLPLTLWLNGGPGCSSIGGGAFTELGPFFPRGDGHGLRRNTKSWNKVSNLLFIESPVGVGWSYSNTSSDYTSGDESTAKDMLNFMLKWYEKFSELKSRPLFLTGESYAGHYIPQLANGILDYNKQSKDFKFNLKGVAIGNPLLRLDRDVPAMYEYYWSHGMISDELFLIIKENCDFDDYEFPLPHNVSITCNQAIDEAYKLVTDYVNVYDVILDVCYPSIVQQELRLHKQVTKMSMGVDVCMTSERYFYFNLPEVQKALHANRTNLPYEWRMCSDRLNYSQSDGDIDMLPSLKNIIQHDVPLWIFSGDQDSVVPLVGSRTLVRELARDLKFKTTVPYGAWFHKGQVGGWQIEYGEKLTFATVRGAAHMVPYAQPSRALHLFTTFVHGKRLPNTTRIPINSSSNLNNY >Solyc01g088080.3.1 pep chromosome:SL3.0:1:82792938:82801766:1 gene:Solyc01g088080.3 transcript:Solyc01g088080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTVSFAGELLQNAEELIRMGLHPSEIIIGYNKAINKTIELLDELVEAGSENMNVKDKNEVISRMKSAVASKQFGLEDVLCPLVAEACIQVCPKNPANFNVDNVRVAKLLGGGLHNSTIVRGMVLKSDAVGSIKRIEKAKVAVFVQGVDTSTTETKGTVLIHSAEQLENYAKTEEAKVEELIKSVADSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVALLKLSQPNPDDLGHVDSVSVEEIGGVRVTVVRNEDGGNSVSTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGAAATEIELARKLKEFSFKEMGLDQYAIAKFAESFEMVPKTLAENAGLNAMEIISSLYAEHASGNVKVGLNLEKGACEDISGLSIWDLYVTKYFALKYAADAVCTVLRVDQIIMSKPAGGPGRREQPAGMDED >Solyc01g086720.3.1.1 pep chromosome:SL3.0:1:81552239:81555502:-1 gene:Solyc01g086720.3 transcript:Solyc01g086720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIVEVIDAYDLMPKDGEGSVSAFVEVDFENQLSKTRTVPKNLNPTWNHKLIFHLDDIKNHRYKYIDVSVYHERRPIPGRNFLGRVRIPCSNIVKKGEEVYQRFQLEKKWFSSFVKGEIGLKIYISSPSDPNLYPKKSPSPSNIPSIENPEQLDNPPPSLPASEVSTLDTPKDSNSSEVQNTENTAISGADQSSSFAVVEKTGHLTPSEQDTESVEHIEETSQFVFKHQAMQQPVISIRKRPGFQPTMQHGVDHPRAIPSHQGVQLPIHHQVDHPRAIHGQPGVQPPMQYQVAQSRAMHNHPKDDYELKDTNPQLGEQWPRVGGYGGRGWMNSDRHASTYDLVEQMFYLYVRVVKSKDLQPSVLTGSCDPYVEVKMGNYKGRTKHFDKKMNAEWNQVFAFSKDRIQSSVLEVYVKDKDMMGRDDNLGKVVFDLNEVPTRVPPDSPLAPQWYRLEDQRGEGKIRGEIMLAVWMGTQADEAFSDAWHADAAFVHGEGVMSVRSKVYVSPKLWYVRVNVIEAQDIIPNDQSRLPEIFVKAQVGNQVLKTDICPARTANPMWNEDLVFVAAEPFEEQLVLSIEDRVHPMKDEILGKISFPLNTFEKRLDHRPVHSRWFNLEKFGFGSLEVDRRKELKFSSRVHLRVCLEGGYHVLDESTMYISDQRPTARQLWKPPVGILEVGILGAEGLLPMKMKDSRGSTDAYCVAKYGQKWVRTRTILDTFSPKWNEQYTWEVYDPSTVITLGVFDNCHLGVEKQGTGAARDSRIGKVRIRLSTLESHRIYTHSYPLLVLHPSGVKKMGELQLAVRFTSLSLANMIHTYGHPLLPKMHYLHPFTVNQVDNLRYQAMSIVAVRLARAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLLSGLISVNRWFGDICHWKNPVTSVLVHILFLILIWYPELILPTLFLYMFLIGLWNYRFRPRHPPHMDTKLSWAETAHPDELDEEFDTFPTSRPHDIVRMRYDRLRSVAGRIQTVVGDIATQGERLQGVLSWRDPRATSLFIMFSLFAAVMLYVTPFRVVALVAGLYMLRHPRFRSKMPSVPSNFFKRLPARTDSML >Solyc01g090740.3.1 pep chromosome:SL3.0:1:84255190:84257529:-1 gene:Solyc01g090740.3 transcript:Solyc01g090740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLQKVCSMCGDVGFPEKLFRCCKCHQRYQHSYCSNYYSELSEGIEVCDWCQSEERNSSSRRGSSSRKPSAEGIMMSSSRSEYPGGDKIKQQNHDHHSHREESTAHDQKPKNNHGGAPSPRTPTRRYKLLKDVMC >Solyc05g018835.1.1 pep chromosome:SL3.0:5:24176086:24199865:1 gene:Solyc05g018835.1 transcript:Solyc05g018835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVAGRRVCMDYQKLNAWTEKNHIPMPFMDKMLDRLVGNGWYCFLDGYSGYNQISIAPEDQEKTTFTCPYGTFTFKRLLFGLCNAAATFRRCMMSIFFDMVVAIELLILEYKDTWIFGSSIRIRTTVQVFGKVLSFLLFIDRTSDWCSGSVRVWKFVSLPLVPVLVRCSKKVLVPPREGSPLLLYLSLLILEYKDTWIFGSSVRIRTTVQVFGKVLSFLLFIDGTSDWCSGSVRGSRVEVRFAPARSRPVSLWIQAVVCCCFKWRVVAPDVIVVWNRNVSRIYSVV >Solyc09g010560.2.1 pep chromosome:SL3.0:9:3920982:3925235:-1 gene:Solyc09g010560.2 transcript:Solyc09g010560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAPLLACDQKESMGSQSEAKLKFCIDRGGTFTDVYADIPGKPEGRVMKLLSVDPSNYDDAPVEGIRRILEEFTGKKIPRSSKLPTDKIEWVRMGTTVATNALLERKGERIALCVTRGFRDLLQIGNQARPHIFDLTVSKPSNLYEEVVEVDERVELVLDKEGVDVNSSPSLVQGISGELVRVVKPLDEEALKPLLNALLQKGISCLAVVLLHSYTYPDHEILLEKLALSLGFRHVSISSALTPMVRAVPRGFTASVDAYLTPVIKEYLSGFMSKFDEGQGKLNVLFMQSDGGLAPENRFSGHKAILSGPAGGVVGYSQTLFGIETDKALIGFDMGGTSTDVSRYAGSYEQVIETQVAGAIIQAPQLDVNTVAAGGGSKLKFQFGSFRVGPDSVGAHPGPVCYRKGGQLAVTDANLILGYVIPEFFPSIFGPNEDQPLDIDATREEFEKLARQINSYRKSQDSSARDMTVEEIAQGFVNVANETMCRPIRQLTEMKGHETSNHALACFGGAGPQHSCAIARSLGMKEVLIHRLCGILSAYGMGLADVVEEAQEPYSAVYGPDSVIEACRRETILLKQVKSKLHEQGFGEASITSETYLNLRYEGTDTAIMVKRPINDDGSGGDYAAEFVKLFQREYGFKLQNRSIVICDVRVRGVGVTNILKPRPLDSAPGAPKIESHYKVYFESGWNDTPLFKLENLAYGHVIPGPAIIMNGNSTVIVEPNCKAIVTKYGNIKIEIESTSSTAKVDEKVADVVQLSIFNNRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLKYWGDKLNEGDVLVTNHPSAGGSHLPDITVITPVFNKGRLIFFVASRGHHAEIGGITPGSMPPFSKFIWEEGAAIKTFKLVEKGIFQEEGITKLLCYPSSEESTHKIPGSRRLQDNLSDLHAQVAANQRGITLINELIEQYGLETVQAYMNHVQANAEEAVREMLKSVAGRVSSESKRSGEGDLVTIEEEDYMDDGSSIHLKLTIDSRKGEAFFDFSGTSTEVYGNWNAPEAVTAAAVIYCVRCLVNVDIPLNQGCLAPVKIYIPPGSFLSPSDKAAVVGGNVLTSQRVTDVVLTAFQACACSQGCMNNLTFGDDTFGYYETIGGGSGAGPTWDGTSAVQCHMTNTRMTDPEIFEQRYPVILHKFGIRENSGGAGQHKGGDGIIREIEFKRPVIVSILSERRVHAPRGLMGGANGARGANFLITKDKRKVYVGGKNTIQVQAGEMLQILTPGGGATEEKKCEFQ >Solyc03g114870.1.1.1 pep chromosome:SL3.0:3:66241849:66243534:1 gene:Solyc03g114870.1 transcript:Solyc03g114870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAHFPAYKPIPRRVIISEIRKPFFKCRSHLIENFKSLSCSVALGIDIVPTECSGIDIVCFTACWIDDNFIMQKRILAYQTGLTKEFRNVDTVIKIMAKLMYSYSINDRVFAVNWYFKDKMSKNTNESAGRELNHKSVRCACQILNSIVRDGITHFESEIKKIRRAMRYIICCSYRNTEFSRRCLADKHIMKSQILDIPTRWNTTYDMLVRALKQKDILTEAFNEAIVADAADDDEIKSMNNDDDYEKTDINWNICEVLVDCLQKFHSAVSHFSGSYNPTCNSVLVYLSDISSIFLKYKDNLFFSEFLPDMKAEFIKHFIPVHPIYLLGALLDPMMKLEHIEMSLKCLYQNLDLNYGEKDLCSHKDDLLKLANKIYSGYEVAAEPKQAPIAPSSSASSTTSKRFPGCEFWKQQVVMSHESKRSANSNELSVYLEQQYDIDESEFDLLAWWKGNTKKFPLLSRMARDVLAVPVSTISLEKVFKQDEVQIWEKEDLLGDESVERTECLKDWIRAERRMQGQEEIDEDFLQALEMDFEEKCNMTDEVPQFTEAEVKEHLSKLH >Solyc07g017343.1.1 pep chromosome:SL3.0:7:6845195:6849939:-1 gene:Solyc07g017343.1 transcript:Solyc07g017343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTIKIKKIVETTKRQVTFSKRRTCLLKKAKEIAICCDVDMLFVAFSPANRLSKFCSQKRIKDMLQHYIELPVERRLTDFEPGPGKGPSLPQLIWCERNLQLSLERVKARKMELEKQEKMDVDLVGQQTTPHQFDTNNWTNPYSSNIQESILQDWMNEGKGITNSSGNYAYSPSFSSSSQNGNIPFFQSQNSSPYIPLQAQMTCGESNDNSLFNFWQNICDSTRSVSCTQLNNTFQLGLENDNTTWNNLNNASSFGLENAHSNWKNNMSNKNNYINEYNDPPSV >Solyc12g036265.1.1 pep chromosome:SL3.0:12:46024816:46038460:1 gene:Solyc12g036265.1 transcript:Solyc12g036265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKGRESSAISIKTTGNISDTRMIETSRMKMILSIMRFLHIGRQRYVSSGRQQVNAPSVIVVTLLMNNQDSEVEARVEDLGPARQILGMKIVRHREAKKFVLSQENYIQKVLCRFGMDKAKVIRKPILCGYTDSDMASDVDTRKSTSGYLVTFAGRVVSWQSRLQKCVALSTTEAELIVVVEACKELL >Solyc02g077520.3.1 pep chromosome:SL3.0:2:42985528:42987767:1 gene:Solyc02g077520.3 transcript:Solyc02g077520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPMSSEMFEAQAHIYKHAFSFANSMVLGCAIQLGIPDVIHSHKQPMTLSQLVSHLKLPLEKSDAIHRLMRLLVYSGFFATTDFLDENSESQQGYVLTPSSKLLLKSEIPNLSPFARAMIDPVMVNPWQSLGDWFLGNETTPFETAHGAPMWKFCDQNPRFSNVFNEAMASDSQMMCLVVKDCKQVFQEIDSLVDVGGGTGIIANTILAAFPHLKCTVLDLPHVVANMPDTENLKYVGGDMFHSIPSADAILFMHVMHNWSDENCVKILKRCGEAIKDKNEGRKGKVLIIDMVLDRDKEEANMTEVKLIFDVLMMVLVTGRQRTEKEWEKLFLEAGFMSYKITPLFGLRSLIEVFP >Solyc05g017737.1.1 pep chromosome:SL3.0:5:18230699:18231583:1 gene:Solyc05g017737.1 transcript:Solyc05g017737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDEGQSLKDAMMFRQLVGSLIYLTITRPEICYSVGVFMHSPTTFHLDAGKRILRYVKGSLSHGLWYKRCAMYLLGKFTDANWAGDSNDRRSTLGNCFSTRSPVVSWCSKKQDIVALSTIEAEYIAATMAAQELVD >Solyc06g084040.3.1 pep chromosome:SL3.0:6:49343068:49350161:-1 gene:Solyc06g084040.3 transcript:Solyc06g084040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQVVKVKRERIAACITCPLCHKLFRDATTISECLHTFCRKCIYKKLSDEETECCPICNIDLGCVPLEKLRPDHNLQDIRAKIFPYKRQKVQAPEVVPSVTPPIRRKERSLSSLVVSTPRVSTQTGTTGRRSKSVARKALRGSTFSVEKPVRKEGSSGEDQLDSASSPETLNKFTQNIRQNSSSAELFGQPTPDNETENGMNLWEGKADLWKPLNCLVEAANRSKSAKFPSPGSTAKSEYLHSLDNEAHVRKTKVKKHGLETKVQDNKNNSGPAHLGSDKPKKMRRNSQKKASESGGFSISPQTVLDAIATKFERRNNSIWFSLVASEDQEGDAPLPQISAGYLRIKDGNVPVYYIQKYLMKKLDLISEDEVEIRCMGQLIAPSLQVNTLVDMWLETTTPESVPVITGSSAKEFVMVLSYARKVPIHPAS >Solyc02g030275.1.1 pep chromosome:SL3.0:2:25956298:25959902:-1 gene:Solyc02g030275.1 transcript:Solyc02g030275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLGNEFFNILLQNSLLQDVKRDDYGNITDCKMHSLVHALAQSISRYEGFNVGCSTEDGHPHVRYLSMKSLRESMPSVVKEKARSLRTLFLAENVSGSIGRQGTVNDDSVLGGLEPHPNLKSLTVQNFMGDKLPTWIMTKMVSTIEEHLLGLDNLAVKRIFRYLRGTSDIGLIDGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLRPTVTLSTMEAEYMALTEAAKEGIWLKGL >Solyc05g046360.3.1 pep chromosome:SL3.0:5:59662493:59664293:1 gene:Solyc05g046360.3 transcript:Solyc05g046360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSWERRYRMDLLIVNLRESSIRGNVLVELNKFIIHQSTEAMRMDLGFHLWNSELTFPILLQEVVAVYPKLLDPTILTMTETTRLCNALNVIQCMASHPDARIGLLRANIPYFLNPFLQVSENVMRLLQGVTLSILDLIAELAKFDESYGQEILLLLLDTQVVHLCLHCILHGHQQIRKVATFILVKILMQEKGLKYCCTLPARFLLVIQVLHQLVDKFTCDEIPCLQQIKCVVQCYLCLSRVAWVGGIYDAVRTYFPQQLIDNTFGNITRVSPSSCFSPDDLEIPNMLHQLVLNLTRQPPQ >Solyc02g084210.1.1.1 pep chromosome:SL3.0:2:47935251:47936336:1 gene:Solyc02g084210.1 transcript:Solyc02g084210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENGSTGNKNGRSKIALITGITGQDGSYLTELLISKNYQVHGLIRRSSSFNTQRINHIYIDPHNTCRAKMKLHYADLSDASSLRRWLDIILPDEIYNLAAQSHVAVSFEIPDYTADVVATGALRLLEALRSHISATGRSHVKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAVSKCSAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKVFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEDSHTVEEFLEVAFGYVGLNWKEHVEIDKRYFRPTEVDNLKGDASKAKKVLGWKPKVGFEQLVKMMVDEDVELAKREKVLVDAGYIDAQQQP >Solyc02g032525.1.1 pep chromosome:SL3.0:2:29043806:29047345:1 gene:Solyc02g032525.1 transcript:Solyc02g032525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELNCSSRQAFVMRVNGSTLRFTLREFALISGLNCVNEETDFIFDQSEPNSFMEKYFEGVKLIRKIDIMRSFHRKVWGENYQDGLKFAILYFIQTVIFSGERATKKVPRLYFDLVKSGRIEGMPILSQVWLFESSSSIDFQVAQKVDDHIPRLLNWQTTNESSRYKKLMNTIFSDIKCRNITPNQRELAVLQLPPEGIENQAPPQYSDSSDDDLDDEIIDTNDDPREGSCDDKDSEDDFQVAPPQVVKVAKNLEPRIAVKQPMKKNVASKKRTSGSEVEGWVKELSDFRKEVKQEFVEILNLINDNFKTVMAAINSIRDEQAEHSDDHIVPPNSNDEDGYTPPYTSNKESPSNQVLVAQCDKLESGNSEAINNPDGIYPKSDDHEVFYINTPVRNLIDVDYELSAPRPTIPRVNQPSFVFDIPPQKVLGVSENSHEDDVEQMPCLVPIRILDHMKVTIDSQFELDDQFMPSLNSIKSSIAPYSTDALQQRQIRPLSMSNWVRTTPLPTRRNRRPGPYNTSPYLTNFGSSAGSSSSQPHIFELKHPFIFDLISGDYDIMLWDAFRSWIRDGLLTKHDRKKHDQDHYKKNLAEISVAIKLGVLIVDNKNWFYNLYFKGQLLNNSVRVV >Solyc09g082670.3.1 pep chromosome:SL3.0:9:68809718:68812161:1 gene:Solyc09g082670.3 transcript:Solyc09g082670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNFGVPIKEEFPRSNEPTMWIPQPMEGLHENGPPPFLTKTYEFVDDQNTNNVVSWSIGNNSFIVWDPQTFAMNLLPRYFKHSNFSSFVRQLNTYGFRKVNPDHWEFAHEGFLRGQRHLLKTIRRRKTSNFHPGQGSNQGIDSYIELGKLEIDGEIDRLRREKKDLMMELVELKQHQQTTKSHIKSMEEKLKRTEAKQQQMMNFLAKAMQNPRFLEQMMQQKERRKELEEEIRNKRRRQIDHHQGPSNIVGELDHSFNNSDGNFNIKMEPHEYYYGEMNGFDNLELETSLGMSMQGGPSENTINFEDKSIDHEGFWEGLLNESIEDEINLLEGENEEDHVDVLAHQLGFLGSTPN >Solyc02g089830.2.1 pep chromosome:SL3.0:2:52163346:52166088:-1 gene:Solyc02g089830.2 transcript:Solyc02g089830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 7 [Source:UniProtKB/TrEMBL;Acc:G3BGU7] MFPFGNSSNGGNPILHSSFLNNQILLHQHDLPTHHHYLAAANGHSIDSYATNNVAINNKSKKQVKKDRHTKILTSQGHRDRRVRLSIGVARKFFDLQDMLGYDKPSKTLDWLFTKSKLAIEDLINDVSKKSTPLSIHNNNNNNNSECDEDMIVPLAKKAKQERDSRAKARARARERTIKKIWTQIAPNREATASHYNNSTRNWNHDDVNPTIMSSMDASTICCTSLPIVQKAWDSYHGSQI >Solyc09g007220.1.1.1 pep chromosome:SL3.0:9:830943:831899:-1 gene:Solyc09g007220.1 transcript:Solyc09g007220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWAGLPHDLLVLIANRVKVIEDFVAFSCVCNSWRIASTKDNFDMLSPQLPLLMLPDDDENTYYREFSSLSKGKVSRRLYLPDLRGQDCFPTDQMGWLLIQSLDGEGEEVYLFNPFSATKIHLPNQFALIALQSPDDFLIEEPEFYRYIKRATLSANPSVTSDYVVVISYNTDVNHLAYWLPGDINWTLFDMDIRPRHGGVCNMTYYNGKFYLLTWGAEIFVVDVQSHDRRVESRLIYLNDNKDLFRHSIQYYLAGVNDVLLFVAKFGRYRSEDDGSIDTCKCEVDEMKCRLKRIDNSGDSTIFLGLNGATSIDSTK >Solyc05g055530.3.1 pep chromosome:SL3.0:5:65982508:65988792:-1 gene:Solyc05g055530.3 transcript:Solyc05g055530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKIIKGRGKKSSKGDAVEPPIPSSLPNVPVDHASRIATSVVASQHVTLANATPDPSVVEVLPLLKEVALSERHVLFIRKLQICCVQFDFAETVKCARQKEIKRQTLTELIDLVQSGSCKMNEIMQEELVRMISTNLFRCLPPASHENTGSEGVEEEDDMYLDPSWPHLQLVYELLLRYVMSTEMDTKVAKRYLDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRNGINNVFYRFIFETERHNGMGELLEILGSVINGFALPMKEEHKLFLVRALIPLHKPKCVSAYHHQLSYCITQFVEKDYRLADIVITGLLKYWPITNCGKEVLFLNELEEVLEGTQPAEFQRCLVPLFKQLGRSINSPHFQVAERALFLWNNEHIVDLIAQNRRAILPIIFEQLERNMCGHWNQAVHGLTSNVRRMFLEMDSELFEECEKEYNEKAAGASGLVEQRERAWKKLEEAASMVQ >Solyc12g010060.2.1 pep chromosome:SL3.0:12:3203543:3207124:-1 gene:Solyc12g010060.2 transcript:Solyc12g010060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A-4 [Source:UniProtKB/Swiss-Prot;Acc:Q9AXQ3] MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKGRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNAKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDTLLNQVKGGFEEGKDLVLSVMSAMGEEQICAVKDIGTKT >Solyc01g014975.1.1 pep chromosome:SL3.0:1:16313193:16321321:1 gene:Solyc01g014975.1 transcript:Solyc01g014975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLFDYLDDFVVVYLDDIVIYSRTSQEHVNHLSLVLPQLRKYTLYVKMEKCEFAQQEIKFLGHLVSKNNVRMDHKKVQAIVDWQAPFHVKDLRSFLGLANYYRKFIAGYSKKAAALTDLLKKDTKWVWSEWCDEAFQNLKNAIASEPILKLPDFELPFEVHTDASDKAIGGTMDYGIRYKFDGDLNLIGYSDSDWAGSIDGMKSTSGYAFLFGSSICSWLSKQQSLVAQSTVEAGYVSSSKATFQAIWLRRIFEDIGHAQVQANKGQQKELKQQLQKWSSIEGIHDLKAPWKDEEVLNAVLEILHYRGNVPSH >Solyc12g098580.1.1.1 pep chromosome:SL3.0:12:67024576:67025973:-1 gene:Solyc12g098580.1 transcript:Solyc12g098580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DHN1] MNKQHFLVISLPAQGHINPTLQLAKNLARAGARATFITTVYGLSRMNNLPTQDGLFYSSFSDGCDDDSWMNSNSTVDYFMNDLKINGSKNLRDLVRKYSDEGHPVTFLVYTILLPWVAVVAREIHVPSAFLVIQCGTAFAIYYHLLNSTNGVYSNSSSDFTVMPSFPIEIPELPLFSCNDIPTIVLPNNHLSSIMIPILREHIQNLENDPNSYVLINTFNALEEKSMRVIDKFRLFSIGPLVPSAFSDGNDPKDKSFGCELFDKPEKNYHQWLDSRHEGSVVYVSFGSLAVLKKEQEREILRGLLESERPFLWTRRKGEDEGKKKNLECDDVITDEKLGLIVPWCSQMEVLCHKSIGCFVTHCGWNSTLESLVSGVPIVGYPQFSDQTTNAKMLEEVWGIGVRVKEVEGLVKKEELKRCLGILMENGEKGEEIKKNVKKWRNLALDALKIGGSSHDNIKKFIEGL >Solyc06g007580.1.1.1 pep chromosome:SL3.0:6:1577413:1578312:1 gene:Solyc06g007580.1 transcript:Solyc06g007580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNGRMKRVTDPLNDKVKARIVGADLRELGYISSGSEHSADADTDVASPSFSGLVFGFPDDLDQNQSSENDNSDTELDESSVDNVPEMIFQSDTDLFRNVLCSHVFKALEVYSCLKLNKSVLRRNVMLCLRDFGYNAAVCKAKWESSGGLTAGNYEFIDVVRSDAVNCQTRYFVDLDFAAEFEIARPTNSYERLSQSLPKVFVGKSEELKQILRTMSDAARRSLKSRDLHLPPWRKHRFMQNKWLGPYKRTSNITPSTNTPAFLLPPSNQAYTVKCRSVGFDTAVHGGLWLPAATRTR >Solyc03g046430.1.1.1 pep chromosome:SL3.0:3:12635793:12636230:-1 gene:Solyc03g046430.1 transcript:Solyc03g046430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDKNKMIMSLTHERNLLLRKVDILEAIHKVTLEANEKEVGVLKHKLDNVDNTVIFYKGVFEATEREITHLNKMFQEQDNKQLKMKMGLQYSVKSELMKIKIKEFQNKVSELENKLKIQENAISHKHIDEIQVEESSDSNID >Solyc05g007720.1.1 pep chromosome:SL3.0:5:2202783:2203678:1 gene:Solyc05g007720.1 transcript:Solyc05g007720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTLTRTQLPNLTRYTTLDPEPNSPSQLDFVLEPKLQPKLKTNFQPRSSYLTLTRDSISNPRMGVVQGCGIGGVSQVRQIANRFADPTTDKTPRLRARPNRAAQAASDKEADSQTEEAVATIAEVQHQEQLQAGGPAQEAAAEPVETGAKPGRANQGTQTVEQKPVIHSVKHMFFLLAKMVPLKQTKSREGTMAGISSPIRMRD >Solyc04g080300.3.1 pep chromosome:SL3.0:4:64620288:64623217:-1 gene:Solyc04g080300.3 transcript:Solyc04g080300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSREFLSNGKPADPGLQIIRHPSYQSCGKLSLSWFDIRVFYIRISNFMVDDSTPEILTLNHIPLSPDTLLEVNGIRCSVHSEGASCFLRRDRVDKKAEEATFVSTDSIRLTGSVNFEVSYKDDLFLSGTLEMANINDSQNCLRRWSMNCESVMSAGTGFLKGKHIVGSESLSPTIEVYVTGCFSGTPIILTKTLQLNHRKKHHRKGMLDSIPEHDTSEQHKEVSSEHDLQKLACIGGYHQDGN >Solyc05g045830.1.1 pep chromosome:SL3.0:5:58446798:58448851:1 gene:Solyc05g045830.1 transcript:Solyc05g045830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPIKQEGSSSSHGGVTIYSIYYYGKLVYQDVNLRSYFGLIRPPTRLTFRFRLGRIENDIAFLIENDDSLRKTNIFKFFSPKKSHSNRPTSHLLRRTLRAVRPSLNYSVIQYLLNTKKKMHFDPVVVLNHFVAPGVAEQSTMGGSNASRRSLDKGMRFCIAFFVESSTIEKKCLVEDKKRRTTLFAKRMIFASSIHGSGSFKPLKYARIENDIAFLVENDDSFRKTNLFKFFFPKKSRSDRPTSHLLKRTLFAVLPSLNYLVIQYLFNTKKKMHFDPIVVLNQFVAPGVAEQSSMGGANAQGRSLDKSIRSCIDSREQLLGQLRRKCWNLIGKDKVIELIEKFINLNRIGELIRGIEMMIEIILRNI >Solyc08g029020.1.1.1 pep chromosome:SL3.0:8:38698039:38698518:1 gene:Solyc08g029020.1 transcript:Solyc08g029020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRAALLSKKPFLTLEDALPRLESEETHLDLMRPVDNFIFAASNRIGAKFCRNCRQTCHALHDCPIIECNKCKNNGYLTRNCPQISRNSKKEDHLIENCPTRPHNKNQSRLNKSSNPVLAVTNNSGNSSIDLQALFSQSLSLSSNNPPALVTPLGNSS >Solyc06g073110.3.1 pep chromosome:SL3.0:6:45175159:45183848:-1 gene:Solyc06g073110.3 transcript:Solyc06g073110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLEAASPVPILRGVRPVPGRHPCVGTPEDIVATHSLGFLNNNGNLSPGSKSRDKTKSPSKALGNSHVAAKDNKSAVSRSNGGTKQEKVEKKKPTLTWSKSHLSKLALNVVEKKDSLMRVKSSSSSRSIPTSPTSCYSLPTSFEKFSNGVKLQAKVKGLERLEKATTKPGVEKSSSVRGASPTPKRLLGGNPLKNYLQGLELEPKALRKSWEGNMDLKSRESPRLRVNKHDLKPEPRSTSVPRKSTSEKLTSKEDNRGILAKSSKEENKAHTSLKKSTNGEPVDADKSSNQKISKGRKQPGEVNNGLQDLVKVAVSNRILADGNVAWSSLPSSLTKIGKEVMKHRDAAQVAAIEAMQVASASESLLRCLSTYSELSSSAKEDNAQPAVEQFLALHASLKNVHLVGDALSKTISAAGSESDHEENPSEEALKAVSEKRKQATLWVNAALATNLSSFSVYSKKATSSPIAPSAPSPSPKTIALNQPMLVLDNSTKSTLAKPQAKPRPTMSSKIQSSGSQRRLADGIASNQKPKSPPPADWVRGDGLEEAVDLAEMLRVASQDWFLGFVERFLDADVDASALSDNGQIAGMLSQLKSVNDWLDEISSSKDDESPQIASETIDRIRKKIYEYLLTHVESAAAALGGGSGGSSQVPPTIETKTRK >Solyc03g119590.1.1.1 pep chromosome:SL3.0:3:69639046:69639381:1 gene:Solyc03g119590.1 transcript:Solyc03g119590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMDGEKKRKRTSIVAGDRSNDDVKPTVKEEEPPSEAEVNEFFAILRRMHMAVKYLQRNAQIQPENVNAHGSKLTASPAGVNGDATGQKRERGIVRKGDLDLNTLPDCGD >Solyc05g054880.3.1 pep chromosome:SL3.0:5:65522461:65525075:1 gene:Solyc05g054880.3 transcript:Solyc05g054880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRESLKAHSTNVAILPPPVASSNAEILPTPGSARSTSTIATTVSANMLPSSLPHVVGLTAQSYEAIKRAQEFSAKIEFRQDPECPSLINMFPGQMPPEVTIQPKLAKAPVLRLDAFGREIDEQGNVVNVPKPSSTLKVNINKQNKENFQLLKPELDIDPDKNPHFDPRMGIDKNKILRPKKMSFQFVEEGKWSRDAEIIKLKSQFGELRTKELRTKQEQLAKAKAEPDINPNLIEVSERIITKEKSKEPIPDVEWWEAPLLRSGAYGVMVDGNLTDDTLKMERITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKMRTRRRMDQEREKQEMIRQGLLEPPKPKIKMSNLMRVLGSEATQDPTKLEKEIRSAAAEREQAHIDRNLSRKLTPDELREKKEKKLFDDPTIAPETVVSVYRINDLSHPQYCFKVDVNARENHMTGCAVILGDINVVVVEGGKKSIKRYGKLMLRRIDWAAAVKKEDDDEDEDKPLNKCVLVWQGTVAKSSFRRFLVHECRTEAAARKVFADAGVLHYWNLAVDFIDDEL >Solyc06g076080.2.1 pep chromosome:SL3.0:6:47376773:47379915:-1 gene:Solyc06g076080.2 transcript:Solyc06g076080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVIKVVFVLSIIMCVLLTPTIARVSASRGSSRTPAVRAAGRASNNSSSTTPLCMSSIAMALFAYLSFVVFVISIIMCVLLTPTLARVSASRGSTRTSTTRLTPVVRAGARADAPSTNNSSSTNPLCMSSIAMALFAYLSFAYF >Solyc06g050725.1.1 pep chromosome:SL3.0:6:33607454:33610582:1 gene:Solyc06g050725.1 transcript:Solyc06g050725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYTQINTLNELQNKLPPNQYNRICASSCFAQLTTMRRCHVQAQLFRCIMLRKLEGKSVNAILLYINGTMFRFTIREFAIISDLNCCDNGADFYFDTDQPNRIIDEYFPANSPVTKVRLAEAFKAKVWGDNQGDAYKFGILYYIHVFIMSAEPTTTTIDRLDFDLVDTGRFMDYPWGRKAFNELAKSINNKIKPCGQYYRIQGFPLPMQVWFYECCSYVDDKIVVKVSSHIPRIINWVTKNDHPRFDYFMKIIFNDADNPIKFRNIEPTAMEIIILQLPPSTEQSISQGLQTDQNKVTDLDDDFQNRPVKDEFADLRKMLEDKFKTVLEAMNSKVNVVDDDQESPVEDVHHQPTYTPHEPQRDNLQELNQNSSLLDQVILGDNLNDVSGTASQDQLVLYANVDAQQNAQRETESSSNSRVIYNIYNAASHERFAEAEESIIVAAPIQMVYMPDSNQETVVTESEDELPDHLLPSVNTLQNIVLQKQVEAEVTPMPAVRHRRPGPFNISPYMTSLGSDASSSSRQPVVFYMKHPFVSLSDKEESDLFSNFWIWLKEDLLVKHYKKNYAEDRYKKGKATLPQLFNFGVAKIDNKNWFYSLSAS >Solyc02g080440.3.1 pep chromosome:SL3.0:2:45209202:45213900:1 gene:Solyc02g080440.3 transcript:Solyc02g080440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLTNGISHFCPQSIAEQPKLPKGYNFLPNSPGPKISNPGNFKIKAADAKATVEVPGKESSLSISNEGDPLQKFLKRDYKWGFNENIESFALPKGLSEDTIRLISSRKNEPEWMLEYRLKSYEKFVKMKEPKWSDNQYPEIDFQNICYYSEPKKKPTLDSLDEADPELVRYFDKLGIPLNERNRLANVAVDAVLDSVSIATTHRKTLEKSGVIFCSISEAIREYPDLVRKYLFRVVPPEDNFYAALNSAVFSDGSFVYIPKNTKCPMQISTYFRINAMETGQFERTLIVADEGSFVEYLEGCTAPSYDTNQLHAAVVELYCHEGAEIKYSTVQNWYAGDEEGRGGIYNFVTKRGICAGARSKISWTQVETGSAITWKYPSVVLEGDESVGEFYSVALTNNYQQADTGTKMVHKGKNTRSRIISKGISAGNSRNCYRGLVQVLSSAENARNSSQCDSMLIGDKAAANTYPYIQAKNPSARIEHEATTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGAEVNQLMSLKLEGSVG >Solyc09g008505.1.1 pep chromosome:SL3.0:9:1989335:1991589:-1 gene:Solyc09g008505.1 transcript:Solyc09g008505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSVWNVAVLSDYAALDTAPDPEEVRDCERSTRARISRPEEREKRLKFHQSVRDLVVGSGEIIIDDMRFTVSHVAEPVERGIARINKTFHQISENVKRYEER >Solyc12g017690.2.1 pep chromosome:SL3.0:12:7205254:7212530:-1 gene:Solyc12g017690.2 transcript:Solyc12g017690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSSLLDQCNLEDPDLLEGISLKAISNIGGLHPLLGLHDHIMVAVPRDSIISIPDHDSTNRIGASFETPCGRMLIKPADYMEMISSMRPNLWATLADEVPAWVSEKRNKASVERTLKWLDECITSNSEGSSSVALTEGAVLGSIVGGSRVEQRQSCAQEVARRNVEGFWIGGFGLGESMEERNPLLNAVMDSLPEEKPRLISGLGLPGKMLLSLIQLDLLVFSTEEVLQGVAAGVDLFDSTYIYDLTLGGFALTFPLESIEKQVTKYQPNSNSGCDGTKINLKATLYRKDTSPIVDDCKCYTCQNHTKAYINHLLNVHEMLAHILLEMHNTHHFLGFFRSIREAIHQGNFQQFRQKFIGNRHEHLFSAALSV >Solyc03g053080.2.1 pep chromosome:SL3.0:3:24181065:24193451:1 gene:Solyc03g053080.2 transcript:Solyc03g053080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKQASKFQKLSSRVANSPTSSTTSSSKQFPEHSIDGVSSPASSSARSKPQFHYSESVSVETERPKENVTVTVRFRPLSPREIRQGEEISWYADGETIVRNERNPSLAYAYDRVFGPTTTTRHVYDVAAQHVIGGSMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQEVVNDLLNPAGQNLRIREDTQGTFVEGIKEEVVLSPAHALSLIAAGEGPRLKQYPEALFASSSNHPNHCRCTHRASQVSSSET >Solyc12g009170.2.1 pep chromosome:SL3.0:12:2472721:2475627:1 gene:Solyc12g009170.2 transcript:Solyc12g009170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWSDSNDYLFSSSLASYLDTNIVLEGTKERVIVGNLYCDISLGLYIVRGENVMLIGQRVRKIPRLFCIK >Solyc06g084200.2.1.1 pep chromosome:SL3.0:6:49431144:49432552:-1 gene:Solyc06g084200.2 transcript:Solyc06g084200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVPQHRDQNYLESRAHGPTRFGSFGSPPSGGFMEVNCRAFESRAGLYHTKSKSCKKPVIKRALTGSLSPKTRPPLTSLPVNTVKSHSEDQKKSGKTAQNFMVSIPFNLKVDVGSRNEGSLNDELPFSELWAGPAYSNSPPPSSLPMPKFFLRPKRNASLEFPTSACDIHLRPIAKSAPASPTRESSLSPGIVFDNTDSVTQTLRRMLNLETNAQILLETNDG >Solyc06g073440.1.1.1 pep chromosome:SL3.0:6:45404978:45405130:1 gene:Solyc06g073440.1 transcript:Solyc06g073440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKICIIYSIIDKLKVFNFSSSPHNNTKHIMRTPEDPHNMRIKHLYNKMR >Solyc03g063300.1.1.1 pep chromosome:SL3.0:3:36201281:36201580:-1 gene:Solyc03g063300.1 transcript:Solyc03g063300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITLKTPEREIVIALPAANTSLSRLAFKENETSMPNEKHQLVEIVSSTSAEISGYGLEKNPTLGPFSPPNIQHQAQNQEPSGKKPLFQHFKSLKVLCI >Solyc11g018580.2.1 pep chromosome:SL3.0:11:8754780:8763196:1 gene:Solyc11g018580.2 transcript:Solyc11g018580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPYVAGVLVPLVVTILLQNRRSRKKRGLPADVGGEPGYAIRNCRFNAPVETAWDGITTLAELFEYSCKKYHHKKLLGTRKLLSREMEVSADGRSFEKLHLGDYEWLSYGQAFEIVCNFSSGLALLGHQRDERVAIFADTCEEWLMALQSCFRRNVTVVTIYASLGEEALCYSLNQTEVTTVICGQKELKKLADVSGQLDTVKRVICIDNEIPSSAIIAAGSNWVLKTFSEVETLGRGNPVDPDLPVAADTAVIMYTSGSTGLPKGVMMTHRNVLATASAVLTIVPGLGSKDVYLAYLPLAHILELAAETIVPGIGGSIGYGSPLTLTDTSNKIKKGTKGDASALSPSVMAAVPAILDRVRDGVRKKVDAAGGFSKKLFDLAYSRRLSAINGNWFGAWGLERHFWNLLVFKKVQAILGGRIRFILSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSDYDDTSVGRVGPPLPCSYIKLIDWAEGGYQTSDSPMPRGEIIIGGPNVTLGYFKNEEKTKEVYKVDERGMSWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYVSLGKVEAALIVSSYVDNIMLHADPFHSYCVAIVVAAQAAVEDWARKHGINFVDFHELCQKEETIKEVYASLVKAAKAARLEKFEIPAKIKLLSEAWTPESGLVTAALKLKRDVIKKAFSQELAQLYSS >Solyc10g051210.1.1.1 pep chromosome:SL3.0:10:51690833:51691201:1 gene:Solyc10g051210.1 transcript:Solyc10g051210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAAPVQGPRKIRKTITQFEQLTRLLVLSFAFALAHVFPHCMEEMVQLVDQGNTHRFELLDVTEEKNPTNDAGANVVKMQPCKDYAIVCADLFENRGLIYGDKIELHCDTNSHDFKFKLIN >Solyc08g077780.3.1 pep chromosome:SL3.0:8:61810781:61814742:1 gene:Solyc08g077780.3 transcript:Solyc08g077780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKYELLKELGAGNFGVARLVKDKKTKELLAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVLVTPSHLAIVMEYAAGGELFGRICSAGRFSEDEARFFFQQLISGVSYCHTMEICHRDLKLENTLLDGSPSPRLKICDFGYSKSGLLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPKNFRKTIGRIMSAQHSIPDYVRVTPDCRNLLSRIFVANPSKRITIPEIKKHPWFLKNLPKELMDVEHARFEEASEQLQQSVEEIMKMIQEAKVPGVVSKSEGKDPAGTAEQDDLEEDLESEIDSSNDFAVYV >Solyc02g068450.3.1 pep chromosome:SL3.0:2:38962927:38968657:1 gene:Solyc02g068450.3 transcript:Solyc02g068450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQLLDFYWLELAMLI >Solyc03g117350.1.1.1 pep chromosome:SL3.0:3:67980083:67981501:-1 gene:Solyc03g117350.1 transcript:Solyc03g117350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNYSAVSKESFVELQVKDDNFRDNEHLKPISGDDFEADDIDLDDLPLIFGENKSGSGEYGAVFNLTTTIIGAGIMALPATMKVLGLVVGVILIFLMGVLSDISVELLVRFSVQCKALSYGEVVEAALGRTAKILSEICIIVNNAGVLVVYLIIIGDVLSGSIRHTGVFDQWLGHGVWDHRKLVVLIILVIFLAPLCAMDKIDSLSLSSAASVALAVVFVVVAFAIAFIKLVEGKIESPRMTPDFGSKQAILDLLVVIPIMSNAYVCHFNVQPIYNELEGRSPQKMNRVSRITSAICVLVYASTAVAGYLLFGKDTESDILTNFDKDLGIRFSTALNYIVRVGYVFHLILVFPVIHFSLRQTVDALLFEGSAPLTESRKRCLALTAVLLALLYFGSTMIPNIWTAFKFTGATTAVSLGYTFPSLIALRLGKQGSGLSVREKILSWFMLILAIVVSIVGVGGNIYSMSTESD >Solyc09g083270.3.1 pep chromosome:SL3.0:9:69346502:69351760:1 gene:Solyc09g083270.3 transcript:Solyc09g083270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGGYGKRRVPEANSNRNRRPKSLGVEKKSKSKAVSIKNQIRSVERMLRKDLPPEIREVQKQKLEELKKQQELQNRLAVERKIFLRNRKVKFFDRRKIERRIRRLEKQQRTSSCKAQETEIAEQLAKLKEDLEYVRFFPKNEKYVSLFSGGDEQDIVDKRCKLREQIKANIVAAAASGKDLEETGSEDDGLLDLSDDDFFESGSSSDDADDEWTDKSAREQASSASGKAASSMSSDEKNQKQISARALMPPPRPSSKSFSHSANKKSRFGGSTSKKSSFRDMSTSSNTSDSFNRSTFRKGRPADSLTGNSGNISSNSDARKPRRKRRPKKKKQQVVTFSAMLYFLIVVMHTQFQAHFWSFGPLTY >Solyc08g060953.1.1 pep chromosome:SL3.0:8:46135627:46140675:-1 gene:Solyc08g060953.1 transcript:Solyc08g060953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFLQLRWILLIQETEVRKLDFDVLLWWEVNSPTFPILSEMDRDVLVILISSMASECAFSTGDWLRSEPQPIRIEEDLDFLEKLEEDYFYDPYNTL >Solyc07g026890.1.1.1 pep chromosome:SL3.0:7:32113478:32113807:-1 gene:Solyc07g026890.1 transcript:Solyc07g026890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSIVVCLTVINSCDNDRSGKNCVFSQGLYFGNSSDSFDGRLAMIQLTHFDCGGIAVNFCFSHKIVDGYSAGKFMSDWAAISKDLNHAIPYPRFDGASFFPPKVMFLQ >Solyc11g032190.1.1.1 pep chromosome:SL3.0:11:25914708:25914860:-1 gene:Solyc11g032190.1 transcript:Solyc11g032190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNMFLSIICIIHCLLFPVAFAPLGCLPLSYCWIYWLIQSYVTTSWSWV >Solyc08g078115.1.1 pep chromosome:SL3.0:8:62075335:62078170:-1 gene:Solyc08g078115.1 transcript:Solyc08g078115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIICRNVNNCTDHRGCRQAREPVSLDMNETPEDTFCDLTCKKVIHIILKRYLGVEHELDKSYKWTLLQNTDNGSGMNIEDDYQRTVCHSKLVVARRLMEDCFEEIIDRHTEIDVIKSLVYNCGKTSCRSNFNRVNFRGFHTFILEKDEEIISAATISHGKTLAEMPFIATNKEYRRKGMCKKLMVAIESVRMSSHFYTIDIFLIIEVEKLVIPSVSKCIGTWIESYGFRLISSPLPKEITLHNTLMFHTSVRLQKDICSSASDKNAQEEQPSLKHDDFGDEIQDISVISFYNYGESKRKWGFFKLMDYGVPSEIVENFTSSLHELFDLPMEQKLKGGKTSSFPLGYYASNPDYEKNFPWAEILQLLQSPEIVVQFAKKVYDDQ >Solyc04g017590.1.1 pep chromosome:SL3.0:4:7491528:7492020:-1 gene:Solyc04g017590.1 transcript:Solyc04g017590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWDDRDDGGEDGGDDGGEDGGEDGGDDGGDDGGEDGGEDGGDNGSDDGGYDGGDAMDWIKFSRNKGTIRVTEKHPHIVDLARINDTASTFIFTWIDVANTPKFLESTV >Solyc05g018748.1.1 pep chromosome:SL3.0:5:23352067:23361043:-1 gene:Solyc05g018748.1 transcript:Solyc05g018748.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKYGICQKKYATNFGDYSVDGCREFVKKVDDGTKEAYICDNCGCLRSLHRMNSHLLNSPPILRSHFFHPHVHPHDGENAPIISRPFMSITIMTYGYWHRCNTQ >Solyc04g007767.1.1 pep chromosome:SL3.0:4:1454651:1455933:1 gene:Solyc04g007767.1 transcript:Solyc04g007767.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSLRWKRKICQESDRVHRSSQQINHLERDVLDLYNSFKGITSSEHEWTTLTFMYEKKNEDISEPLTVFGVWIEGDVLDLYNSFKVITSSEHEWTTLTFMYEKKNEDISEPLTVFGVWIDVIKETDGYLFKK >Solyc03g113870.1.1.1 pep chromosome:SL3.0:3:65412055:65413641:1 gene:Solyc03g113870.1 transcript:Solyc03g113870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BKN9] MYSPFRKANGDTGRHGRSKSVWNIFVYRSSSKFDKRDPPKELICPIYGCLMFDPVVVSTGQSFERTSVQVCKDLGFKPQLPDGLNPDFTNVIPNLALRTTILTWCEKSGAEKPQQPDYYVVESVVRASMASTSSSSISREDSSIRVSERDLFKGGTENSPMLLSQAASEMKSRDSHFYSSSSSEESVIANNSPLLPFKTRLSSYSSSSSQSTSSEIVSGEVPSSASTSSVDDYYVVQFKKSDVYEQEQAVISLRKSTRTDEEARVSLCTPRLLSALKPLLLSRYAGVQPNAVAALVNLSLADINKVKIVRAGMTSMLIDLLKSGSEETKEHAAGAIFSLALEDDNKTAIGVLGALQPLLHALRSETERTRHDSALALYHLTLVQSNRVKLIKLGAANTLLGLLKASDMAGKVMLVLCNLAVSEEGKSALLDANAVDVLLGILRNSNKLDDSTRENCVGALYSLSQKSLRFKSMAKEAKAGEVLQVVVERGSERAREKAKKMLIAMQTRVKEEYEDEEEEVNWEGILEG >Solyc08g021830.1.1 pep chromosome:SL3.0:8:34495287:34498608:1 gene:Solyc08g021830.1 transcript:Solyc08g021830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFFFSIKKVNANNVQTSPDHEAPKIKEIEKYNVDFSSVKIEVDEKTKEKDSVLDERSLVQGSGMCNLGNTCLVNAVVQSFMHTIVLLQLLGSIDHISPCDSKFSPDFSWYQQEDAHEFLQFFLNKLELLQFRATSLWRRHLLRCCNYCHLSITQEPLIDISLEIQDVDSVPAAPESFTKIEKIEYSCERCKTHGPFEKELLVDRAPFVAALHFKIFKNNGVVVHKVDKHVSFPLELDKLLYTSKINNVVFVEEDFVLAKEVYILFYANRGTPWFLDYIKIHRPFIKLVVPTSPCIPNNYAFVVGESNNGDEETSMKHEHNKTEGIVIPVDVKIKLSCSRDEEFMEAHM >Solyc01g067165.1.1 pep chromosome:SL3.0:1:75386581:75390930:1 gene:Solyc01g067165.1 transcript:Solyc01g067165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLSIFVEKVTDCLMQPVVRGIGYLLYYKRNIRCMDKESEKLKNIRSEVQRRANDARRNLKDISPNGKAWLTSVDTTTADVTAVMQQGRTEVERYGWCPNLKSRYSLSRRAKKITLELIELQTEGTNPNAFSYDLPVQSESTYRNTVKEFDSRKLKEDEVMAALKDDRVTMIGICGMGGVGKTTLAEKIRHKAIQERLFDDIVMVTVSQQPNLKGIQGEIAGGLGLKLEGDNFWSRGDQLHTRLMDQNRRTLVILDDVWEALHDLEKLGIPSGSNHNHRCKVTLTTRIRDVCEAMGAQKIMEVGTLPEEEAWILFKEKVGNLADDPSLLDVVKDVAKECKGLPLAIITIAGALKHKTKPSWEDALKQLHDAETRNIPGVHTKVYKHLRLSYDYLESDEARYLFLLCSLFKEDSNIWSEDLLTYGMGLGIFSEIKNIEGARNRVCHLLETLKDRFLLSVGLREIFVKMHDVIRDVAISIASEGEHNFMVSHNVNSEEFPRRSSYEHFSHMSIIANKFDELHSPIVCPKLKLLMLQLYSEKPFKLQDNFFDGMCKLSVLSMRGHRDYESILSFPASIRRLSSLRTLCLNYLRLDDISIIGELVTLEILSISNSQLEEVPVEIGKLTNLIMLKFWDGYNIRKRISAGVLSGLVRLEELLMVGVENCSYSTLRELESLSRLTVGEGSIRTSKFDKKISLEVTKTAPLGDWICHLLKESEFVESIGKGSNNVLTELQLNGFQNVKRLIVSNCDLTYLLNISHKVIKFPNLYQLDLQSLECLTHFCSENVEGIEFPQLWRMRFYELPEFQNLWPTANKSITHSNPLFHEKVSCPNLKDLTIWKLESISALCSHQLPTDYFNKLETLTIYSCGKLRNLMSPSVAKGLLNLQVLCIEHCQSMEEVITEGEGEGIITLFPLLLELELRGLPKLGHFFLTNNALKFPVLRNVTIRGCLEMKTFVQQGISVSTPFLIRVNRARMKEDDLNKWVQQRFNSKEQKATDGNIAESGHGKS >Solyc10g085450.2.1.1 pep chromosome:SL3.0:10:64745441:64746757:-1 gene:Solyc10g085450.2 transcript:Solyc10g085450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEILREESSSSSSTTAGTIAGAGTGDFKLNIFGKYEVGKLLGCGAFAKVYHARDVRTRQSVAIKTVSKQKILKGGFTEHVKREISIMRRLRHPHIVRLHEVLATKTKVYYVMEFAKGGELFTKVSKGRFSEDLSRRYFQQLISAVDYCHSRGVYHRDLKLENLLLDENWDLKVTDFGLSAVTDQIRPDGFLHTLCGTPAYVAPEILEKKGYNGAKVDIWSCGIILFVLNAGYLPFTDANLMAMYRKIYKGEFRCPKWTSHGLKLLLTRLLDTNPDTRISIEQIRNDPWFRKGYKEVKSHFGDEFELKSSSDLNRDGKFLNAFHIISLSSGVNLSGLLKSLGGKETVDVERFVSASSAERITQRIEEIAKAEGMRVTGKNGAGVRVEGQNGKFVLATEINRLTEKVVIVEVKRKEIGAGADGEIWKLKFKPQLSEFFL >Solyc01g095040.3.1 pep chromosome:SL3.0:1:86285830:86291738:-1 gene:Solyc01g095040.3 transcript:Solyc01g095040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHNKTTSLINQQVPLVITLNCIEDTTLEQECLSGIALIEHVPLSRLAEARIESATAVLLHSLAFLPRAAQRRLRSWQLILCLGSSDRAVDSALASDLGLSRLVHVDVNRAEEVADTVMALILGLLRRTHLLSRHTLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASARSLANRSLAFNMSVLYFDVEENGKMSRHSIRFPPAARRMDTLNDLLAASDLISLHCALTNETVQIINADCLQHVKPGAFLVNTGSCQLLDDCAVKQLLIEGSIAGCALDGAEGPQWMEAWVREMPNVLILPRSADYSEEVWMEIREKAISMLQSFFLDGVAPKDSVSDEEEESEIGYDNEVHQIQDVESTLQGSPSQQAIEDVAESSQKRLASVSRESPSQLQGSMVSQNSSGRSEVKRSRSGKKAKKRHGRQKSRHKVDEHLAFEKESTSHHEDGATMSGTDQGVSSSSRFASPEDLRGRKTSIESIQESSVEHLSKKGINLSRKSSELLKDGYVIALHARHHPALHVSRQRVKGGGWFLDTMSDVTKRDPAAQFLVVFRSKDTIGLRSFTAGGKLLQINRRMEFVFASHSFDVWESWTFEGTMEECRLVNCRNPLAVLDVRVEVLAAVGEDGITRWLD >Solyc08g065150.1.1.1 pep chromosome:SL3.0:8:52967644:52968675:-1 gene:Solyc08g065150.1 transcript:Solyc08g065150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDSVSTVYSSIWASMNSWFTPTVLFVLLNVMIGTIAFTSSLANQKHNHPKQQEDNSHQQEDYSQNRQQPTKLVRSPSLLQRIKSINFANYRSHEHPHQQETPPFEPPTHYIFEPAPEHTTVEPDTTTTQYVFNQDKNVQKPQTHYDFQQIHQENLPDTQTQYLFQHTQEQKFGNIEPDFHFEETLHDKSKSTQFNFQHTHEQKLKDMETHFNFQHIEEENEDEEEEGEMKSLDEVYSQLSERHVSRSRSDTKPSAGEAPVKLPTKMKKSASMKSPFGHFEEEEIVEARRPATTREKNAKKSDEDNEVDAKADDFINKFKQQLKLQRLDSILRSKETTGRGS >Solyc04g008570.3.1.1 pep chromosome:SL3.0:4:2182579:2184456:1 gene:Solyc04g008570.3 transcript:Solyc04g008570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIATSSDWSFPPCINCKNRILKPKFCYSCKTLPFLSNIFLNSTTTLVAKSSSSCSSPVLDEKKSQKTPSKSSQVIELLDLEQIQDFSSTTNGTTSLPDSKDLNGVICSLLKDTQTQEIGYDYYEKAKGEKDFRPEKSTLKLLIRYLVNSSKWGSVFSLSKDLRTLKVLPDSSTCCRLISSCMKSRKFKIVNSFLELFIVVDQEISVLAFDSAMRGYNKLHMYSSTVVLYEKMKSARLVLDPGCYCSIMEAHSKMGNSDKVVSFFEEFESKRVESTTPQYVQVYKCLCESLGKSGRAYEALEYFRDMTKKGIQEDHSFYSIVICGFASIRDVKMAEELLEEAEGKKMLRDPALFLKLVLMYIEEGCMEKTLDVVATMTRVKIRVSDCIFCAIVNGFSRKRGLRSAVQVYEDLTSQGCEPGQVTYASVLNLYCRLGLYSNAEMVFSEMEQKGFDKCVVAYSSMIAMYGKTGRPKDAMKLVAIMKERGCQPNVWVYNALLDIHGKVLNLRQVEKIWKEMKRRKIFPDRVSYTSIISAYSKAREFDKCLIYYQEFTLNGGRLDRAMAGIMVGVFSKMNRVDELISLLQNMKREGTKLDGRLQKSALNSLRDAGLQIQAKWLQESFGAT >Solyc09g082210.3.1 pep chromosome:SL3.0:9:68433713:68447990:-1 gene:Solyc09g082210.3 transcript:Solyc09g082210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADYNSLPFPMENSRKTSSFFGSPRLFTCFAAKGFPDTESIMSPTSILDSKPFTVLRNPFWSEPKSPKPESRVHFQKLDSKGVGLGLVDALIDEKSDSKEMNSVSRMVVLGSQLKIQIPTLPPTFNYPTDSPPSPGDFGIKTRNSQLGSLSPVKKSPFGSSNSNIDIPNSPGAFSSLSAAEMELSEEYTCVISHGPNPRTTHIFDDCILESCCGVVKYSASRKENETFTSPPMCYPSESFLSFCHNCKKNLGIGKDIYMYRGEKAFCSSDCRYKEMMLEEGMDKSEIDDNVFGAEEDMVGIRGDRHGKLRAQTVEMNYECKLGIGTINVTHYQCLPLYSAPLAFSLAFSLYGESVRDEMRLGVSTRVGDDLIPPFEPPDSKMSVSVQMPAGSVRRDAETEPLIDSRNRKGDQPTTTIKEDSVLSNKSVPHKNKYSLSSISCFGVDLTPDNIAVAMVYFVQGVLGLARLAVSFYLKDDLHLDPAETAVISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLLGAFSWFLMATFVDSKYSVAFSILIGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSAYFSGSLVEAYGVRFVFGATSLLPLITSAVSVLVKEQPVRGLARGASLGNGFIESSKNNFTQLWGAVKQPSATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLIGVGLYNGFLKKVPLRKIFLVMTLIGTALGMTQVLLVTGLNRQFGISDEWFAIGDSLIITVLGQASFMPVLVLAARICPQGMEATLFATLMSISNGGSVLGGLIGAGLTQVFGVTKDRFDNLAFLIILCNLSSLLPLPLLGLLPGDEPDTKDNTDIEMKSN >Solyc08g077480.3.1 pep chromosome:SL3.0:8:61554348:61555982:1 gene:Solyc08g077480.3 transcript:Solyc08g077480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPSTATRRRRPCFVEEDDGLVSIAETQPEFSGNNNQNHINNNNNNNNKNHNLIYRPLYYSRRNSMKNHSSSSSSSSYSLLSPRSVISAGKYCDGRFDEPHHYFLDACSLCKKRLGDNSDIFMYRGDTPFCSEECRQQEIEKDEAKDRKRNISSLKAMRKKEQKQQSTSPNKTSAEDYPLRPGTVAAA >Solyc08g008150.1.1.1 pep chromosome:SL3.0:8:2619622:2619894:1 gene:Solyc08g008150.1 transcript:Solyc08g008150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRGSARARRTFSMNVIIVVMVEKLIAIPRLTSDLIAGELSISMSTNINGKVNLGVFKKSVDIRMNCDMVVGIQRQDVKDINCERKVSL >Solyc02g077060.2.1.1 pep chromosome:SL3.0:2:42690055:42690534:1 gene:Solyc02g077060.2 transcript:Solyc02g077060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLIGGAAIGQAFRMLSESITEAGKTSVCFDSNFRRLNSTLLSIKPVLEDIERLNKALEGRESETELFNKRLEEGEKLVRKSAKIKRYNVCKRWYYSKKLADLEKSTMNFFEVHGLMQSCRDRKKILVALKEEGEKLDEIYAMLKDMKLEKKRRITK >Solyc02g079110.3.1 pep chromosome:SL3.0:2:44277553:44284324:1 gene:Solyc02g079110.3 transcript:Solyc02g079110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEASEQQLVIESMDEDAVELPETPIEAVEEKPSEEPYPWPVIQYDVPPYLTYHFFNQFRTPSNPNNFLKGVKWSPDGSGFLTCSDDNTFCLYNLPYDESGQLADFSSSAADTDSYAASLVMSDGESVYDYCWYPYMSSSSPETCVFASTTRDHPIHLWDATTGQLRCTYRAYDAMDEITAAFSIAFNPAGTKIFAGYNKSIRIFDIHRPGRDFTQHSTLQGNKEGQSGIISSMAFCPSHSGLLATGSYSQTTAIHREDNMELLYVLHGQEGGVTHVQFSKDGNYLYTGGRKDPYILCWDIRKTAEIVYKMYRSSETTNQRIYFDIEPRGQHLGSGGQDGSVHIYNLQTGQWVSSFRAASDTVNGFSFHPFLPMAASSSGQRRFGVIDDSHEDMLLTGDENCVSVWSFAYSASVENDVSPISGVSNGKSELDEDLHVLQEP >Solyc08g077827.1.1 pep chromosome:SL3.0:8:61860073:61860705:1 gene:Solyc08g077827.1 transcript:Solyc08g077827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSQTLTETALNVTESNHVFFTCFHSNQKENTPSLVLLPPKSNPNSPISDVRFAGRADDIEGLDVGDLISLVEKVEDLGHVNVGTE >Solyc01g057920.1.1.1 pep chromosome:SL3.0:1:64502979:64503173:-1 gene:Solyc01g057920.1 transcript:Solyc01g057920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLGPDGQRRYGIAVQVHLCCTFLLDWTHISYRKYVRKCLPFASSGSTFQLIYSLINFLNIG >Solyc09g010430.1.1.1 pep chromosome:SL3.0:9:3799540:3799803:-1 gene:Solyc09g010430.1 transcript:Solyc09g010430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSERANRHQRRPSQSFNFVLPENISLADPVDGDSDNGAGAGEQNKVVTPPPANSKSGLGRTASGVSLPPLPVEMSSEKEGTSRRQG >Solyc11g010550.2.1 pep chromosome:SL3.0:11:3597724:3607365:-1 gene:Solyc11g010550.2 transcript:Solyc11g010550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLTCKKLTVIAVLAVLIQVFGLALFVLGFFPVKPALSGFSGVESFYPPGADAAEFQNTTNLSDCQLKYLYQELSLVPPLFDRLILMVIDGLPAEFVLGKDGEPPPKSFMEAMPYTQSLLSKGRAIGYHAKAAPPTVTMPRLKAMVSGAVGGFLDVAFNFNTQVLLDDNIIVQFLKVGWKLVMHGDETWLKLFPGMFSRHDGVSSFFVKDTVQVDQNVSRHLVDELSRADWSLLILHYLGLDHVGHIGGRNSVLMAPKLEEMDEVIKMIDLNSLPTNNNDKGRTLLLVVSDHGMTENGNHGGSSFEETDSLALFIGPTNFESTSGTPNKANQVDLTSTLALLFGVPIPKNNVGMLMPETFKSLTVDQQLRLLELNSWQLLRLLEAQLPGLVCENFSCDNFRDDGSEMTRGYNSMEETFCCLYMKAADLHRSWKSGEEKRSASGDNCHSILMAYHNFLRTASEWLSHRATDKPVGRLIFGVAAMLVSCLILLSLLFLLGKQVFSEQNQQFSSANNDLSWWHLDEVFILVVIVIVVISMGSSSLVEEEQYIWHFMTSSLYLLSLRKVMQHIVTRTEQNTSATLGLKTNNYIQICSIFVILSSGRFLRGWHQGGVNWTNLPDISKWLEQAGNTYIKLLQLVSVIIIINLSLVSLMWSRRSKKNFMTIVSLMHLFPGWLVLHYITKYQDVAFSTGSYDATVMAQLIYVVLGFCSTTIVVAVPWCIPFQNRTLSVPEVQRKAWGLCFRDSAYVIGLSYVYYWSLLQLLLQQPVNSMPVLFLFLQVLASIWFSSGSNQHRRQWVEVAALYYMGMAGHFGLGNTNTLATIDVAGAFIGVLNHSTVLSGVLMFIITYASPMLYLLSMVMYNSVKDTSSSIISEKGNIGSLLKRTLGFPCLVPLGLNSILLIAYTTVLLLMRNHLFVWSVFSPKFLYVCATTVCVCVGVSIVASTMIYISLVLTYREKLHTHTD >Solyc11g071270.2.1 pep chromosome:SL3.0:11:55088910:55107951:-1 gene:Solyc11g071270.2 transcript:Solyc11g071270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFFTQKFVKLAKDTMASLPTLTKPISETSFFLPKLINLEFSRSKIRPLTRSNVFKNSNFSSDGQCCPTFDVPLLSCSESLKEASQKQMVFVFPVKVIERMRTSREGYKTKQLYLAMYSSVFGGITTDTAAMVIPMDDHMVHRGHGVFDTAAIMDGYLYELDQHLDRFLGSATMAKIQIPFDRESIRQILIRTVSVSKCRKGSLRYWFSAGPGDFQLSSSGCHQATLYAIVIKDQSPPDHNGIKVVTSSIPIKPLQFAVMKSVNYLPNALSKMEAEENDAYAAIWLDGDGFVAEGPNMNVAFVTKEKDLLMPCFDKILSGCTAKRVLVLAENLVKEGKLRGIRVENVSVEDAKRADEMMLIGSGILVRSVVQWDEEIIGNGREGPVTQALLNLILEDMKSGPPTNMRKNAIYGERKRESYDLRRLFDSFFGISPVIYLTPEISGSPAINFLFDRMVNFLVERATSDMLMGPDWAMNVEICDICSRDPAQAKDVVKGIKKRLGSRRSKVQLLALTVVGVRLDDHGRATISDHNVHLGVSAMKNLLEAIVKNCGDIVHMHVAEKGLLHQMVKMVKKKPDFHVKEKILVLIDTWQEAFGGPRARYPQYYGAYQELLRLGAVFPQRTVLALPPPQTHPLSSDPRNLQKSESGQDAAESSADAEYPTLSLTEIQNARGIMDVLAEMLNALGPENKEGLRQDVIVDLVEQCRTYKQRLVHLVNSTTDESLLCQGLALNDDLQRLLAKHEVIASGTSAKGKTSKSEPARSLVDVDSPLIDTGTSNESGQGSASSALGLPAPPSANGQSTTPTEVVPMIDLLSGIDFGSPTAENALALVPVGEPQTASPSQQNALAILDMFAQPSTTPSTNSVGQAHPSSPQFQQQQNFHSVQPSSYPNGGVPGVSYPQGSTPAWNEQMSQQQQPASPVYGTQSTNPFALPPWEIEAEDNQIMSSPHAQPVLNNPAMPGSSQALALHNNQVMPGGSHAMSMQNNQLVAANGQQLTGGTYLHGMYASPNTAGQPGMMNNQMEGLHPQQQFQGGQTGMMNNQAMQSNQMEGLHPQQQFQGGQPAGMYPQQMPPGQMAYMYSQQMYGNQMASYGYGNAQQQNTQFLNQGMSGLSMSDNGVHNSSYQVPTPSYVPPGKPSKPQDKLFGDLVELSKFKSTNGPPGSAGNTFLSFEVLIVEKSIRMQASRARLFKEYKEVQREKTADPDIQLVCDDSNIFKWTALVKGPSETPYDGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEADSPLNCDSGNLLRSGDIRGYQSMARMYTRLAAMPKKG >Solyc03g006260.3.1 pep chromosome:SL3.0:3:878985:885678:-1 gene:Solyc03g006260.3 transcript:Solyc03g006260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSLSILIITFIFVVTKVQSRILRLNSSDQFISNGVDRVEYQATYGQCDHLYGFFPCADSVGGFIFLIAIYQYLLIVGEKLVSNGSKTLFNILSTGIFGATLFQILKAFPRIILVIASGVFTSKDKAQNQVSTGVSTNVGATVFNLTIMWGICVIFGSKEKYEKSTLQPAESSLFNSLRVLTNNGVSIDKKTNYTAGIMLLSLIPFALVQLVNAFNTYYGRRIVIFTTLVISVTFLLTYFIYQLLDPWIQERSLEYSKYENLLSAFLQHMQRHASGMLIDKQGRPDIQVIQRLFSETDRDANKSITLVELENLVNNMQSGKIKVDKNYAVSKILRAFDLNNDTRIDEDEFVEGCRRWIEEAKMLARSDDSTTRRILNEIVQKYTKKQRDEIAEIEQLMAKILKHVESQALEAEHILNDDGSPNVERIKEIFHQYDTDGNNFITQPELEKLVLSVKYGDVQLNSDDSVMKVMNDFDTNRNNMIDEHEFVEGMTRWLNEAIRVTDCKDKKRAIDEYDKIMWSKVENLVYEVEKDGKINYKLLTWAFNKSIFQLILGVAMMTLCSKPLVDSIKKLSEAIGMPSFLIPFVIVPLALNARMTIAAIYPASQKCSKTASLTFSEIYVGVIRNNIMGMTTLLAIVYAKDLTWDYSAQVLIVLVACGIIGVLALFSTRYPLWTSLLAFSLYPSCVMFFYLLQ >Solyc03g112870.3.1 pep chromosome:SL3.0:3:64647913:64652618:1 gene:Solyc03g112870.3 transcript:Solyc03g112870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTAISFSPSISLPKKPHNPSSSASFCRFNPLSQPLRFGSLNGSTSRRINPIRCSADSSSSKLNPNGWISVPAPVPERQSEGVEVRATSLPDSAGEAPKSKPLMETVVLGSLFGLWYLFNIYFNIYNKQVLKAFPYPVTVTLAQFAVGSVLVILMWTLNLYKRPKISGAQLVAILPLAAVHTLGNLFTNMSLGKVSVSFTHTIKAMEPFFSVVLSAMFLGEFPTLWVLSSLVPIVGGVGLASMTEASFNWAGFWSAMASNLTNQSRNVLSKKFMVRKEESLDNITLFSIITIMSFFLLAPYAFFTEGVKFTPAFLEAAGMNVNQLYTRSFIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVTSVLFFRTPVSPINGLGTGIALAGVFLYSRVKRIKAKAKTE >Solyc01g067180.3.1 pep chromosome:SL3.0:1:75423008:75424397:1 gene:Solyc01g067180.3 transcript:Solyc01g067180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCVEVFKEFYQTKGSTGDLHGYTLWVLATYMENLSQKIIELVFNTYQIFNKEQHTKIISLTDVLEFNSTFIDKMRRIKIPLPPIDEKKKVIEEVDKDMQYAIDASSCGGGGLGG >Solyc09g018430.3.1 pep chromosome:SL3.0:9:14878899:14894922:1 gene:Solyc09g018430.3 transcript:Solyc09g018430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDFIVNQLVKVLQTDLGSIVNLRLVPWGNTQIAPNTSWICQHGTDECQLNTVEACAIKVWSNLETHFKLISCIEQLHLQNKHSSWQSCFGSTGLSLNPIENCYNNGLGYQFEFHIQGENPNCPKDNEIDVSIMSLLLSPYQ >Solyc08g081640.3.1 pep chromosome:SL3.0:8:64744598:64754378:-1 gene:Solyc08g081640.3 transcript:Solyc08g081640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKSIACIWSGSPPVHKVTAVAALNNPPTLYTGGSDGSIIWWNISSSEITPVAMLCGHVAPIADLGICVPTTVLGDGKLDDSNNVLVDNADVEGKCLPGYVCIACCSFDHVHLSDHHSPSTAEKGETFADRDSQHAKPVKCTVVIVDTYTLAIVQTVFHGSLSIGPLKSVAVISSFGDVLTESVMMVDSFGKSQCIPILKECDSSTENMTSKTKLSDAGKMDWVNGSKDRGLLVAFANRGPVLAFVYGTCCIFSLLEDGSSVGEIYFSDDLLPIEGKSHAIGGMFVGDDNNLLYSEDSDATFIEKFVVWNGKGAAIVYRISYSSNIFKYEPFAAIPVISQESNMSLSISFVQVNNCLFRVESNSFPINELLIWKPRLTCWVLPKRHDKNEINCQECKFSGESRIFDDWSHNQNAPENEIPRQVVEIDTAGGKDELTSSQDAATCSIAIDERVSNIHNNETYERKELVSSSMVISEEYVPLAIVYGFYNGDIKVVRFDMSFEGLDFHGQNSYPESKAHATQHYLLGHTGAVLCLAAQRVLRCQGGSNGYVLISGSMDCTIRVWDLDSSNPMVVMHQHVAPVRQIILPPSQAEYPWSNCFLSVGEDSSVALSSLDSMRVERMFPGHPYYPAKVVWDSRRGYIACLCLNQTGTTDADVLYIWDVKSGARERVLRGAAAVSMFDHFCTGIDRGLPGGSMNTGNTSASSLLCPATDETRSPPPQSQTVGKGTSSSNISVSTSVSGSTTGSNRSALPSFQIRNQPVKGSCPFPGVAALSFDLTSLMSLCQIDENYKTESSDLNKNQVKELRVESPIKKTIFRDQETGIPTSNDQSINDKSGAASIETARDSEWMFLLEKCLLQFSLSILHIWNVDAELDEMLVTEMKLKRPQNLLVASGLLGDRGSLTLTFPDDTSTLELWKSSSEYCAMRSLTMVSLAQHMISLSHSFQAASSSLSAFYMRSFAEKVSDIKPPLLQLLVSFWQDEAEHVKMAARSLFHCAASRAIPPPLRRDNPRDNENGVSPSGCYDTVPTEAPTNCLRNDRQIVTEGNSEDEESEIRSWLESFEMQDWISCVGGMSQDAMTSHIIVAAALAVWYPSLVKPNLFGLAVNPLVKLVMAMNEKYSSTAAEILAEGMESTWKACIDSEIPRLIGDIFFQIECVTGASANTPTKNSSTSVRIRDTLVGVLLPSLAMADVLGFLNVIERQIWSTASDSPVHVVSLMTIVRVARGSPRNLVQYLDKVVAFILQTIDPGNLAMRKTCLQSSMAALKEIARIFPMVALNDPVTRLAIGDAIGEINSASIRVYDMQSITKIKVLDASGPPGFPSLLGGASGMTVTTVISALSFSPDGEGLVAFSETGLMIRWWSYSLGSVWWEKLNRNLVPVQCMKLIFVPPWEGFLPNASRSSLIESVFSKEGDANSQENTNASNESDRLKQLLHNIDLSYRLEWVGQKKIKLTQHGRDLGTYQL >Solyc12g014160.2.1 pep chromosome:SL3.0:12:4998926:5000115:1 gene:Solyc12g014160.2 transcript:Solyc12g014160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKEARIAMERVVIPKGEPVELLPRPSNIILLQKDLVRKYKLKSERIGTGMDLRLRILPFTSASDEDGHDSEGVDDESEVDELLFRPNAESNGSAYTLIYEPTNKQPLKWIPSPNFYDTRALIR >Solyc03g081253.1.1 pep chromosome:SL3.0:3:53576534:53578721:-1 gene:Solyc03g081253.1 transcript:Solyc03g081253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRLFSSEAAIDSNIYSYKHGFSGFAARLTKSQAKKIAELPDVVHVIPNQLYKLHTTRSWDYLGLSTFSPPTNLLHEANMGDGVIIGVLDTGIWPECEAFNDKVLGPIPSKWKGDCQSGTDFDTAKACNKKLIGAKYFLKGFEAELEGHFLRMSLRHQGMEMDMAHTHQALRVDPLHQMQVTMDLLMGQLGVVHPKLELLCIGCVGISGVRKSADIIKGIDEAINDGVDILSIFIGLTTPQYADVDMRNGIAFASYHAVDRGITVVCSGGNKGDDLLLSTTQEVLQEVGGLGLIVAKNPTTDLNIYASDFPSIAPQVKLSAPKTHDGIPVSTHIASFSSRGPNSVAPAILKAAIPPDETPYKFDSGTSMAAPHISGIVALLKSLHPHWSPAAIKSALVTTGLYSANI >Solyc07g065500.2.1.1 pep chromosome:SL3.0:7:67360729:67362039:-1 gene:Solyc07g065500.2 transcript:Solyc07g065500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSDNESGGHRDNSNIESSLREQDRFLPIANVSRIMKKALPANAKISKDAKEVVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYIEPLKIYLQRFRDLEGQKSGVSGEKDHSGSVGYVEDYHGMMMMGSQHHQGHGYGTGVYNHHTGENAAGVGTGGSRFPDVGRQR >Solyc12g009425.1.1 pep chromosome:SL3.0:12:2700103:2703757:-1 gene:Solyc12g009425.1 transcript:Solyc12g009425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSISSYTMKNLVFLFILIGLSNSRIYSASIYGYPGSFNVLDYGAAADGITDDSQALLNTWNDACSSSAGYPQVSGSLVSPSSPSVWTGQDSSQWLAFRNVSGLVVGGSGTIDGQGKKWWDQSCRYHPELCLDQLVCTST >Solyc10g054490.1.1.1 pep chromosome:SL3.0:10:55498122:55498277:-1 gene:Solyc10g054490.1 transcript:Solyc10g054490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKWDSNEGSKQYLYGIQNLYKYMEPYVSNSPRAAYLNYRDLDLGINQQGN >Solyc11g032045.1.1 pep chromosome:SL3.0:11:24498269:24501342:-1 gene:Solyc11g032045.1 transcript:Solyc11g032045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFAQTHENARQLSYAEWSRQFIEWFKDRVAQLHKGDDSCIMEDLLSLSCGPTKYSTRSNGYIVNGYRFHVEEHDKKLRTQNCGVVVLGENDEDRENLDYYGVLTDIIELQFVMDRRVVLFKCDWFDVYDELKGIKKDEYGFVSVNPDRFLKTNEPFVLANQASQVFYANDNSNKGWQVVRKTQPRNSYEIVEQMEDDIVELGSPSQKKRKRTNEFEFKEYTFVAPGAIRKGRGRGLKSLGEKGNTSSKSLLPQSSDLVKKYIKEIETSYTEKDKGRGLEKYQIYKNSMVPEKENIQNIFSFPTSAIKIEKYTQEVETSSSNSTKVKKVRGSNMCKEVASLEIGQKLKVTFYNNRKVGANINLFSRHLGKIVRDRNICPLRVSSWHDIKQKKLNHMWAAVEHKFENVDMNDHRDHILGWMNELWNKWRGHLHAKYVKDKPLQQSLKNVPRGVDKKDGNECNSLLNKYWQGVEGMKQTELN >Solyc11g045270.2.1.1 pep chromosome:SL3.0:11:31513464:31524419:1 gene:Solyc11g045270.2 transcript:Solyc11g045270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHRQSVPVPKTKKDSLGPSQVNHLDEDSWIIVKKQKVTILVPPLPAPKQSVVHNAGESLIHVPPIKATDNRPQQVEMHTEAQVVCEREVPKSLAPEVTIPTAAVVVPQIISQFPRPLRQSERERLESRPMPSFKTNRRTGVCSTSKITKPSIITADRGTMINKRIRAINLERKLQSAGGLRSWLVSRGFEHFVNIFQTKSINKYQLANLTMEKLKEMGSHAVGPRRKLIHAIDCLCRPCCFDHPNLKRRDGFVK >Solyc05g009580.3.1 pep chromosome:SL3.0:5:3773320:3777771:1 gene:Solyc05g009580.3 transcript:Solyc05g009580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIKNFFKKASQDQYNQEKEKLLQHDDDEIKNGCCCFTPLYEKLKSFFNNIQDFAEKAIEMGKNDPRKIIFSLKMGFALSFVSLLIFWKKPTDVAQFAIWAILTVLVMFEFTIGATFIKGFNRGLGTFCAGMLAFIFAQLALWAGEREKAVIVVSIFIVAFIGTYLKLYPTMAPYEYGYRVFILTYCILIVAGNRTREYNVAIFTRLALIAVGAGICLMINISVCPIWAGEDLHRLVVKNFMDLATSLEGCINGYLSCVDYDEATNDSDYNGYKSVIESTSREQTLLGFAIWEPPHGRFKMHKKPWRDIVKLSSGLRHCAFMVMALHGCIQSEIQAPPEKRKVFRNELKKVGTNAAKVLRELGTKLEKLEMLNGHENILKEVHETAQNLQQKVDHKSYLLVNSKSWEIGKSNINNNNNNLDDSSSENSSSENIPLSSRSLSETAIDIRLLQGNWPQSDQLVAKLTPFKKQNQWPSRLSLVDGEIADTIEMETYLSASALSLATFASLLIELVARLQNVVDNFEELSQRAEFKESSSVKS >Solyc06g034180.2.1 pep chromosome:SL3.0:6:23892955:23896593:1 gene:Solyc06g034180.2 transcript:Solyc06g034180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGFGDEYIKRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTEMVYELLRTSTVAPLMSSPVWTRGFNSPEELMTEFCRECRIIWKGLAGDLRKATKDGKPIIIEGIHLDPRIFMMEEHKLLPPPTVDYEELNFSKVKDHKEIQRETNHSIGLSSQSNGKDRYPENVSSDGEASESDQLADSLKSMDIVEKIYENIEPVSRNKFSGHAGETVNDSGADQNPIHRKQSLGDLPIFVPIVLRMADFDHKVEFLEERVATRTFTEKDLDQDYLCSFTSQGLNVVDVSAATFPQTLDWLHNYLLQVIFCSIIYPFTMVCFVGYITG >Solyc07g065810.2.1 pep chromosome:SL3.0:7:67554679:67556174:1 gene:Solyc07g065810.2 transcript:Solyc07g065810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRYFITTDSLCDSRIIGPERINLDVRRTPPSSSSSSSSSSSSSSFLIRMAIRISRSRCFSFLRRVFHYQNGSRSELGSNPFNSVTWMITECIALSVQIIVTTYTLVVTKDERPVWPMRIWVFGYGFGCVISLILLYWRYWVLYVSQTNDSDLEQQISHDESRALPSRMERCRTWIEFFFAIWFVMGNVWVFDSRFGSFHNAPKLHDVCISLLVWNAITYSFPFILFVLLCCCVPILSNFLGYNMNMGSIDRGATDEQLSNLPSWKYKEIGNIEEITSCDECCICLAKYKEKEEIRELPCSHIFHLNCVDQWLKIISCCPLCKQELER >Solyc01g099487.1.1 pep chromosome:SL3.0:1:89608856:89610872:1 gene:Solyc01g099487.1 transcript:Solyc01g099487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRSLDNFAWSHDVGACLKDANHSCESGLKLPKSHLRQVHKEFHDNTLQVIYLNLVQLRVDMLSPSSLTLRHSTSNISYGGYEGRLGEWPNIKALVSRIMEQIPSL >Solyc01g109230.3.1 pep chromosome:SL3.0:1:96154978:96156725:1 gene:Solyc01g109230.3 transcript:Solyc01g109230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNEIAHFSHPQHKLRYEYSEFPFKCDGCKEVGIGSRYKCTICDYDLHMHCAIPSPSITHPFYTKCSFQFLSRPPGNVPRYCNACEKDINGFMYHCRSCGFDLHPCCAKLPMVLDDGDVKLYLYRKISATCHRCGRKGRNRSWSYRSTCKKYNLHVACVKEMLVDSWHELYFGNEDHNYNNNSYNYRKLENKMPSLKGTLQTHHKKSKGKAQKCCEVAGLALQFIISAVLGDPTTLIAGVVGSLMSK >Solyc11g061772.1.1 pep chromosome:SL3.0:11:48811146:48811354:1 gene:Solyc11g061772.1 transcript:Solyc11g061772.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIQRLISEKMWSVHHSIATDVFKEDREEDLDEAWTNTVLQLPRYCEEISQKRSLQYYY >Solyc01g098817.1.1 pep chromosome:SL3.0:1:89104360:89106703:-1 gene:Solyc01g098817.1 transcript:Solyc01g098817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPSSLPNISRKIGKISHIKSRKLKHIFGVFLSIREMDSVAVCVPYASGSFDSPVTGFSFTISSAVLIDDVFYFSNDLFSPKLNFHLLDFVKKSNLPTRIDKSHDENFSDKILGDSFPCIQALEYFCLLIFICDEVFDTFVISNMRHIHAQRWVVPGEQVSDLVQTTGYNSSMENKSNSKDQILGLQIQKQNPLVWTGNGDRVLRQK >Solyc08g045780.3.1 pep chromosome:SL3.0:8:16652595:16657403:-1 gene:Solyc08g045780.3 transcript:Solyc08g045780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHFAGASYLMKEGIKRRGLIIKSSSSSTSQGLQKCSKKNLSRILRTEAAIRGIHRKANSEKYTNLWPKAVLKALDDAIRDNRWDSALKIFDLLRKQHWYEPRCHTYAKLLVMLGKCKQPSQANLLFEIMLADGLQPTVDVYTALVNAYGLSGLLDEALRTIDDMKSVSNCKPDVYTYSILIKCCTKVRRFDMIEYILAEMVYLGIECSSVTYNTIIDGYGKAKLFEQMECSLTDMIENETAFPDVFTLNSVIGSYGSCGKLEEMEKWFEEFQVMGIKPDVMTFNILIKSYGRAGMYQKMECVLDFMRNWFYSPTVVTYNIIIETLGKACLIKNMEQFFLQMKHEGVKPSSFTYCSLVSAYSRAALMENVDSIMRQVENSDVVLDTPFFNCIISAYGQVGDIERMVALFLEMKVRKCKPDYITFSTMIQAYNSQGMTEAAMDLKTEMITSCGTCTMITSCGTCTI >Solyc02g083290.3.1 pep chromosome:SL3.0:2:47287873:47299258:-1 gene:Solyc02g083290.3 transcript:Solyc02g083290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDDNESCGSRAMEPLSPKQNRLQRQKNEVFNQVLSRLQDLNLQEVKLPGFEDQLWLHFNRLPVRYASDVNVERAEDVLMHKRLLDIAEDPENRPAFDVRLVQEMFCNWFTLGTSPLMAIGCYAKSKVQPMYTGTSTNTVDVDPSVNEEIPSIHPPPTFGSSPNLQGLEIHDDKAHVNHGDSDINSTSRTTRPMHEITFSTIDKPKTLTQLTNIISEIGLNIEEAHVFSTTDGFSLDVFVVDGWPYEEQPQVLPPISEPGQTSESGSDSVKIPTDGSDDWEIDIRLLKFENKVASGSFGDLYKGTYCSQEVAIKVLKPENLNMDMVKEFSQEVFIMRKIRHKNVVQFIGACTRPPNLCIVTEFMTRGSIYTFLHKQRGAFKLPTLLKVAIDVSKGMSYLHQNNIIHRDLKTANLLMDEHGVVKVGDFGVARVQTQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGEIPYAYLTPLQAAIGVVQQGLRPTIPKSTHPKLAELLEKCWQQDPTQRPDFSEILDILKQLTKEVGDDGEDRHKDKSIGGFFSSLRKGNH >Solyc05g018790.1.1 pep chromosome:SL3.0:5:23783944:23784377:-1 gene:Solyc05g018790.1 transcript:Solyc05g018790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIRIVLKSIYDLEFLDTSHFRPGQAFHSVLRRIKEEWGTSRSFGYSFEYSSNELSMSEGRSYHNYEFKQLPYSSSSMRLDRPGLRR >Solyc07g052650.2.1 pep chromosome:SL3.0:7:61236917:61239945:1 gene:Solyc07g052650.2 transcript:Solyc07g052650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFHFTNKTQYYSTNKFINLIIISCFSLIIYFICSIFLAPNNHFHTSISLQNNLSSSQTNLDHVVFGIASNELSWSKRKEYVKLWWKPKRMRGCVFLEKMIHFSSNDTNGDEYSLPQICISENTSNFRYTHKGGTPSAIRVARVVLETVRLNYTNVRWFVFGDDDTIFFQENLVKTLSKYDHRFWYYIGTNSESFMQNKFFSYEMAFGGAGFAISYPLAKVLAKVFDSCIERYPHLYGSDGRIHACLSELGVGLTREPGFHQMDFHKNVFGMLAAHPIRPLVSMHHIEEIDPIFPNMTTVKALEHLYNAASFDPHRILQQTVCYDTWFSWTVSLSWGYAVQVFDKHVLLPNVQRVQESYAPWKSSHLGGLYDFDTRKYEPDPCQRQPVYFLDKVSSSINGTESIYKKKTFEKCKFDMSSPRRLEKIRVLSNKLVLDKQQLLAPRRHCCDVLPSTSSNVMEIAIRECKEDELIHMQH >Solyc10g005330.3.1 pep chromosome:SL3.0:10:237879:245529:-1 gene:Solyc10g005330.3 transcript:Solyc10g005330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHNMFDSHNFLLDMTRKTPENELDLIRDDEFETKSGADIMEGGASGDDQDPNKRPKKKQYHRHTQHQIQELESFFKECPHPDDKQRKDLGKRLGLEPLQVKFWFQNKRTQMKSQHERHENTQLRNENDKLRAENIRYKEALTTATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLNYPQLPSLGGNILGEMFTTTTSAGDLLRSISGPTDADKPIVIELAVAAMEELIRLAQTDEPLWMNQNSDNNDLILNEEEYARTFPRGIGPKSLGLKSEASRESAVVIIDYLHLVEILMDVSQWTNFFTGIVSKSTILEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHGDGTWAVVDVSLENLRSTSVSRCRRRPSGCVIQELPNGYSKVTWIEHVEVDDRAVNNIYRPLVDSGLAFGAKRWVATLERQCERLASVMASNIPTGDVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWMPVPTKRVFDFLRDENSRNEWDILSNGGLVQEMAHIANGRDSGNSVSLLRVNSGNSSQNNMLILQESCLDITGSYVIYAPVDIAAMNVVLSGGDPDYVALLPSGFAILPDGSSNAENSNIAGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKASITCENA >Solyc02g094210.1.1.1 pep chromosome:SL3.0:2:55448581:55448790:1 gene:Solyc02g094210.1 transcript:Solyc02g094210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVFVAVVLFVLLTPGLLVQVPGRSRFVEFSNFQTSGVSILVHSLVYFALICIFLLAIGVHMYTG >Solyc08g082470.1.1.1 pep chromosome:SL3.0:8:65384869:65385462:-1 gene:Solyc08g082470.1 transcript:Solyc08g082470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSRKPPSGRTNLASCIVATIFLIFIFIIIFILYFTLFKPKSPIITVNSIQLPSFSASNGTVNFTFSQYVSIENPNHDEFAHYDSSLQLLYSGNRIGFMFVPAGKINSGRTQYMAATFSVKAFPLTVNGQPESVGGPTVTDGLSGFRVGSSMEVESRLEMAGRVRMLHFFTHHVESKAECRIDISVSDGSVTAFHC >Solyc11g044960.2.1 pep chromosome:SL3.0:11:32119597:32131324:1 gene:Solyc11g044960.2 transcript:Solyc11g044960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKCLEIFPNLTCQEHELMCRGNCRLVGTRRHVRRPFQCAIFQEDCEVVSKSPSQIHIKLRPYSEDAGYEDSDVSALLSVRCLPGYPYKCPKLQLIPEKGLSKADASNLLSLLYDQASSNAREGRVMIYNLVEAAQEFLSEIVPPERLHGSVSCQTADITSQLTYKDGTVSSGDTCSFGGPFVYGFVDLFSGSGESWHVSAGLNHEYDNQPKKIDQIVKPALNQAAKQESFRKAEMKLDALEEESEGESKCCSDLSKSNTDESIEDHVMCKNIFLEGNLSDCGDAQRETESEPSELSLVHDHLPNTLEKDLILAHLLRLACGPKGPLSDALPEITSELFDLGV >Solyc04g016485.1.1 pep chromosome:SL3.0:4:7324520:7330072:-1 gene:Solyc04g016485.1 transcript:Solyc04g016485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFIVKFVLGFSEVYFPELKFTNLLLWITISQLEIKKLRLLNVKQKAENLLLWATFSQLKIKKSGLVFAFELKKNPNFDIIKLGLVCADLVNMYTNKLGFVGVQELIVLAPSEKYFEIIGDEGVRTLASFISSEYKSIHLFATDECELSVNVTDILMHDGSFLLAPIINEGTNYSESDDDSNNEMRNITDSLQDYKEIVNGMAFKDIAEAKQFYKLYALAKKGLDIVIDLSKEALSNGKRKFLRMYICFKAMKLGFKFGLRPLIELDGTFLKGKAKGQVLCVVGQDINKSFYPLAWVVVDKRLRGLGHRYCARHIEANWFRRWGKGELKKLLWWAAWSSFTEEFEDQLEEIKEVNGEISKVYKVSDNGDNGYEVTEGAVRHIVNLTVKKCIFRTWDLIEIPCPHAIKAMEHKKIIQKKKEIHCYYSKEAVLTVYKHKLQPVRGEPFWKCNILHAIEPPELVKLIGRPKLMREREKNEVVNRQGVWKRTRKGKVMTCSNCGEQNHNARGCEKDDDENPRLRPRTISEKSFLSKLRKRQNPQEPIGSRVGALNDVKAKLIFLAGALNL >Solyc09g014440.3.1 pep chromosome:SL3.0:9:6048609:6060244:-1 gene:Solyc09g014440.3 transcript:Solyc09g014440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGFKSLVFQGETCLGELDTIPVKDQNFQFPNNEIRIDHISTNSERVHPIAVLRTISSPVRCKLEPNSNSAPTGSEKSPLITLHSSCYYDLKTAVVFLGEEEIHLVAMASKQKKFPCFWCYSVPVGLYSACLQMLNMRCLSIVFDLDETLIVANTMKSFDDRIEALRGWLAREMDPIRSSGMSAELRRYMEDRALLKQYLESDSVVDCGIVYRAQKEEVLPLSEGQEGVVRPVIRLGDKNVVLTRINPENRDTSVLVRLRPAWEELRTYLTAKGRKRFEVYVCTMAERDYALEIWRLLDPGSHLINANQLMDRVVCVRSGAKKSLLTVFRNGICHPKLAMVIDDRLKVWDDKDQPRVHVVPAFAPYYAPLAEMANAVPVLCVARNVACDVRARFFKEFDEGLLRKICEIFYEDEAVNLPSAPDVSNYLMSEDAGFASCGIPAPIPEGMYGPEVTQRLNQQEGKVNMNSAPVFMSNNPDMKPGSSQLMVGIAANAPAQSVRPIQPSEKPSLLGAPFRRDNSFSEADGDGKRRHPILNPSQDMRYRGSAEPPLLPRVPQKPPILPIPPHGGWLVEDDLNKGHMGGRSPGIFQESDASRYAKQRGHQNFLSQGATNMMLPSYASAGKNGEVLATASFRNRYDCLPADLFIRSHDSLQDGGMRISLMIKIVFGSVRERECFTALSSEAKQGLSASARNARSVQKRLLLGLKRNATRSGKPRKAAGRFSQHQSLFNNREPQLEAGRMNILPSLATGVLQEIGRLCNSKVEFRPVVSTSEELQFSVEVFFIGERVGVGMGKTRKDAQQQAAENALRNMAGNYVSYITSHPLAVDKDFDKISVENENGFLWDTVNHVDEPSVDDRLPQVNVSEVGVNGDASHDRSLNSLKT >Solyc03g044022.1.1 pep chromosome:SL3.0:3:7852781:7866944:1 gene:Solyc03g044022.1 transcript:Solyc03g044022.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSMSNSSGLWASETMNICSKCYKDMISKKEQANFTTSSIDRFVNGSSSASVKAVDVVVTVQEGPAESLVIPTQVAAPVENVQVEKAKEGPNRCSTCRRQTPGDAVKPLYLQYAKLEEDYGLAKRAMRVYDQATKVVLANEKLSMYKIYIARAAEIFGVPRTWEIYEQEIESNFQTRT >Solyc10g080420.2.1.1 pep chromosome:SL3.0:10:61828061:61830388:1 gene:Solyc10g080420.2 transcript:Solyc10g080420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPPQEDFSLKETKPHLGGGKVTGDKLTSTYDLVEQMQYLYVRVVKAKDLPGKDVTGSLDPYVEVRLGNYRGTTRHFEKKSNPEWNQVFAFSKERIQASVLEVNVKDKDFIKDDFVGRVMFDLNEIPKRVPPDSPLAPQWYRLEDRSGNKVKGELMLAVWMGTQADEAFPESWHSDAATVSGADALANIRSKVYLSPKLWYLRVNVIEAQDLIPSDRSRFPEVYVKAILGNQALRTRVSMSKTINPMWNEDLMFVAAEPFEEPLILSVEDRVTAANKDEVLGRCVIPLQYIDRRFDHRPINSRWYNLEKHIIVEGEKKKEIKFASRIHMRLYLEGGYHVLDESTHYSSDLRPTAKQLWKSSIGVLELGILNAQGLSPMKTKDNRATTDAYCVAKYGQKWVRTRTIIDSFAPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPTGVKKMGEIHLAVRFTCSSLMNMMHMYSQPLLPKMHYIHPLTVTQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMGVLGGLIAIGRWFDQICNWKNPITTVLIHILFLILVLYPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSCADNAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVIFCLIAAIVLYVTPFQVVALLSGFYVLRHPRFRHKLPSAPLNFFRRLPARTDCML >Solyc08g075280.3.1 pep chromosome:SL3.0:8:59501152:59504282:1 gene:Solyc08g075280.3 transcript:Solyc08g075280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNFSMYFCSSTMKPCTRGLSTNVKAPILFLKNSKSASFVKWAPLFKCSPVVALSTARCSHSTVHAPSSPVIQSDSNSTVLHIPEEKFEGVEKTLEKVIYSCRFFAILAVWGSLIGSFLCFIKGCSCVIASFQGYFASRANVIVHLVEAIDIYLLGTVMLVFGMGLYELFISNLDKGKSMSGETTPYRSNLFGMFTLKERPRWLEITTVSGLKTKIGHVIVMLLLIGLFDKSKKAVIHSPFDLLCFAASVLLCSCCLYLLSRLTDEN >Solyc09g008120.3.1 pep chromosome:SL3.0:9:1590946:1599689:1 gene:Solyc09g008120.3 transcript:Solyc09g008120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSMAANNFSRNTHQNQTKGDFVSRKQKIEQQRKNLPIAAVERRLVEEVRNNDTLIIVGETGSGKTTQIPQYLYKWGFCRDGGIIGITQPRRVAAITVAKRVAEECGIPLGQKVGYAIRFEDVTSGQTKIKYMTDGLLLREALLDPYLSKYSVIIVDEAHERTIHTDVLLGLLKNVQKARSKSINGVVNTDHNNSNNGHALEERVDNQNDGILKSCQVKKHNPLKLIIMSASMDARVFSEYFGGAKAVHVQGRQFPVDIFYTHKPETDCIDAALITIFQIHLEEGPGDVLVFLTGQEEIESVERLIHERLRQLPECSRKLLTFPIFSSLPSEKQMRVFMPSPAGYRKVILATNIAETSVTIPGIRYVVDPGLVKARTYDPKMGVDSLIIVTTSKAQALQRRSRANFLNFCSGRAGRDGPGKCYRLYQESFFEKLTDSTLPEIKRCDLSNVVLQLKALGIDDVINFDFIEKPDRTAVVNSLWSLYLLGAVTEDNKLSDVGRQMARLPLDPVYSKALIIASQFGCLKEMLICVAMLSVESIFYAPREKLEESRNALKSFASPEGDHLTLLNVYRAADEFFQKNKMVHSEEKAEKNLRKWCKDNYINSRSLKHARDIHSQIVRNVEQMGLRVTSCEDDTLLLRRCLAASFFLKAAMKQPDGAYRVTLSGLIVQIHPSSVLFRAKPECIVFNELVHTNHSYVRNVSRIDYLWLVELAPHLYAVQD >Solyc01g080690.3.1 pep chromosome:SL3.0:1:79785464:79792906:1 gene:Solyc01g080690.3 transcript:Solyc01g080690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSRRFLNERARGDGMKLIDEGGTSVLDHEISQLTKIRSNPHERMRHLLPGKGKEPVSSVKMLAAREGNYTGRGRFSSSDCCHLLSRYLPTNGPSVVDQMRSCAYVSQFSTDGSLFVAGFQESHIRIYNVDQGWKIQKDIRAKSLRWTITDTSLSPDQRFLVYSSISPIVHIVDVGSGMKQSVANVTEIHEGLEFSFPTYDHDNYTFGIFSVKFSTDGRELVAGCSDNSIYVYDLEAKRLSLRIPAHMSDVNAVCFADETGHLIYSGSDDSLCKVWDRRCFGTREQAAGVLIGHLEGITYVDTRGDGRYLISNGKDQAIKLWDIRKMSSNINYSPRPRSYDWDYRWMGYPEHVRNKRNPHDLSLSTYKGHSVLRTLIRCYFSPAHSTGQKFIYAGSSDSSVYIYDVVSGARVAKLDYHQEPVRDCNWHPYYPTLVSSGWDGIIAKWEFSGADTSPLPKKPLSRRWRRS >Solyc03g044530.1.1.1 pep chromosome:SL3.0:3:9652914:9653276:-1 gene:Solyc03g044530.1 transcript:Solyc03g044530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVTNTKIIQFFAFILVLILFSHEILCVEAIRHLKSEKMEVVSVEISVSSTQIVVTSETFNKIGKIQKSLTWLPSKDDIHKSINDPTEATKSVKVVEKMDDFGPTGPGHSPGIGHSIHS >Solyc10g039367.1.1 pep chromosome:SL3.0:10:21427135:21432657:1 gene:Solyc10g039367.1 transcript:Solyc10g039367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIITTLLQSERVEETSYISELNLPETCSISFPNGKDDLMNFEVTIRPDEGYYVGGTFVFSFSISSIYPPEAPKVKCKTKVYHPNIDLTNIAMGYFALKLNFLAQFEIDIFKVFFELLFGFVVDVQFYFCLGDHKKLEMDNLLTILTPTVSTQL >Solyc08g062330.3.1 pep chromosome:SL3.0:8:50970519:50972489:1 gene:Solyc08g062330.3 transcript:Solyc08g062330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKRLYEAAVEGDVKVLRELLEQDALILDRLTLTCFNETPLHIASMRGHIEFVKFILTRNPLLAAELDSQRSSALHVASIKGYIEIVKELLVVNPEMCVVGDREGRNPLHLAVIKGRVEVIKELVRVSHLAALQTTDRDENVLHLCVKHNNQLEALNVLMELISDDTLLKSRDGDGYSILHLAVADKQIQTVKYLLKNNKIEVNLKDDNGNTPLDILTQSRRDMNDLEIGDCLRDAGGLRAKDMISSSYTQNGAKCSNSHVALAHKHNILGDWLSRKRDTIMVVASLIATMAFQAGMNPPGGVWQENKELNSHVNPLQIPHKAGEAVMAYTHPKSYRYFIRVNTTAFVASLSTILLLISGLPFRKKFFMWALMVIMWLTITSIALTYGISIYIVTPKKDREQLGQVIEVAVTVWSCVMTLLLLGNTIRLVYLWMMKKKQQSRTFETRISGNLVHDNV >Solyc01g109510.3.1 pep chromosome:SL3.0:1:96352561:96362541:1 gene:Solyc01g109510.3 transcript:Solyc01g109510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKRKDTGTPPPAADTPMTSADVPSAEAPTSRRRGGGNKRKASAIGSGASSTPPSTLSKRQKQSAAPFPPIHNGPLTRARQQPNNAAAAAASAASPSGFGVRIESEVLPKAEVGVEEAVKVDKESNQVKEDLEALEAEIEAGIESIRSRDRNVHVVPTHAGWFSWTEVHPLEKQTMPSFFNEKLPSRTPEIYMEIRNWIMKKYHTDPNIQIELNDLSELSAGDLDVKKEVMEFLDYWGLINYHPFPQTSSVSNVDIDGDEAAKTDSLVDKLFRFESDETWTPVLPRSSVATPSATSGFFPESAIAEELMKSEGPAVEYHCNSCSADCSRKRYHCQKEADFDLCSECFNNGKFGSGMSPSDFIVMEPGESGGASGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFIEMPIEDTFLDSDAEINKCVKEKEDAVLSKDDTSASTDAPETTESKDDGNDNQVSPTVETSKPENVNGPIPQEEVGENCALKALREAFTAAGFYPPPGEYASFAEAGNPVMAVAAFLVKLVEAKRVTASVRSSLKSISGNPSGENLALRHCFVLEDPPDDGKASSDTDRPANGPVDPEDKKDEEDNVEMQKEEKLTSVIEEKSLSIGQEETKGETNIDKKCEEQDGENHEEKNEKELEEAAHLVSTSDENPEKSDTSKQSDPIPTDKEGEPASLKESDDADLAVGQTPSTTAESDVLTSKLELPPGFEKESVDGALMTIPSDSPDTPKDEDMMPAVQTKEPEQSMKSNSVLENGENTGAGEVKDSLDGRKDPLKNKNDLDIEKIKRAAVTALTAAAVKAKYLADQEEDQIRLLTTSLIEKQLNKLESKITFFHDMDNVVMRVRELLERSKQRLLVERSQILKSRSMTHPVPQSVPANRPGMVLANTAPRLLNAMSSQRIPFSRPIMSGTPTPSSFMPPTVSGNSMQPSK >Solyc01g088240.3.1 pep chromosome:SL3.0:1:82929541:82930098:1 gene:Solyc01g088240.3 transcript:Solyc01g088240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEREEMESTNGKSKRVCVRVENDAVCSTGGRWDELSPELLASIFVRIVPPVLMVKSVALVCRNWMEIVSGPYCWTDIDLEQWCRDCCVANRPHLIDQVVRKIVRRSRSTFRRLSTFRLGDVGFSFAASRLWVRVI >Solyc06g062435.1.1 pep chromosome:SL3.0:6:39501689:39506182:-1 gene:Solyc06g062435.1 transcript:Solyc06g062435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFMIDQCAALMTARQVPSKFKRDWNAQCDHCKMMGHTKANCYRLIGYLSNFRFKKKNRSHAHNVRDEESRSHNDGSQARAAHVNFPNDPGYNNYSRADNLHADWNRSHIPSHQHPQMMQQYNKSSHLNQSNLGKHKADDVPESSSSNMCRLSDSNMGVILVYVDDMLIAGNDLVLIEHTKQELHARFKIKDLGILRYFLGIEFGTSDKGILMNQRKYALELIEEMGLVAAKPSWTPLDINLKLTNTLLDKAMNITHDQVLADKDPYQRLIGRLLYLTLTRPDIGFAVQILSQFLQCPKKSHMEAALKVVRYIKKTCNGSFDEQQERQGINWAACPNTRRSVTGFLIKHGESLISWKSKKQTTVSRSLAESKYRSMASTISEL >Solyc03g046320.3.1 pep chromosome:SL3.0:3:12303611:12304583:-1 gene:Solyc03g046320.3 transcript:Solyc03g046320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQKASYHAGEARGQTQEKANQMMDKARDTVQSAQQSVQETGQQMKAKAQGAADAVKDTFGANS >Solyc06g068330.1.1.1 pep chromosome:SL3.0:6:42447652:42448245:-1 gene:Solyc06g068330.1 transcript:Solyc06g068330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSKNKLHHNYQRSVSPSGRFCSSTISSSTFSAQTSDWLTRSTSPTRVNLRRSVSPSPSVRFSSSNNRSISVFPRKPQQNRHPLPSSSNQKKHCTCSPTTHPGSFRCSLHRKTTNRSDGQSYHSSHPLNMRRSAMTNSLVRIGAVEGELVKRALAALIRPSSHQLRRRCDFQPKPSRLSVMSKAEDYICDVQLL >Solyc12g070152.1.1 pep chromosome:SL3.0:12:29714135:29730434:1 gene:Solyc12g070152.1 transcript:Solyc12g070152.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINSLNLDIGTIEADKSRIFYFSSTQSPSEYTLRTSVLQTSTIRLREMMSVGSRRGHLEDFVEWAIMKDLYMDGIGLGEYRTEYCGASEIVLRGIILDLGPRR >Solyc06g074190.3.1 pep chromosome:SL3.0:6:46012249:46018087:-1 gene:Solyc06g074190.3 transcript:Solyc06g074190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRSTSLHNDKYMQTEGSDDLSVSARKLASTLWETKLKDLEEMGWKERVSKLGSAALQFHHPVSERMERSKVGSHRRRKSTKADLSNQNDYSIELDRKNHEQTLSRHHLKDVCHGLDTCKELLKVLSHVWNLKDQKSTCSSLFSAINTELDRLCDEVSKLKHEHRHNHSEVDVLLKKFEEEKMAWKVKEQDKIHTAITSVAREFKTEKKLRKQTERLNKKLGRELADLKASLSKAVKELEGEKRDREILEQVCDELARGLGEDRAEMEELKRRSAKIREEVEMEQKVLQLADVMREERVQMKLSEAKYQFKEKNTVVDELRNELEAYLRSKKGQEQGCDSLNYETINELEKQFRGTLPSTHHYRDKEKADVEILDKEEDGEEDDSTDSDLHSIELNMGDNSKSHQWSTALQNDPNRLLVSDKNKGRRSIAEKENVNTIYRGISNLLNNGGTPEFSSQAWKKDHADETERYKMIKNLCDRIISASRITASQGFSGPTNNCSQQKFSANDPNKVMVRVLPSCREKLEGTPEIIALSCRELLE >Solyc09g005132.1.1 pep chromosome:SL3.0:9:108741:109439:-1 gene:Solyc09g005132.1 transcript:Solyc09g005132.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYAYGWPSRLVRPIWKTSVKTLSMHTVGHRLVRPIWKVQRAPKRAYPSFRRFSCAKHTTFWVIRIPTSKMQKKFVDIRQDHIYACGWPSRLVRPILKIKRSPKRADPSFRRFSCIAHNFLGDPDSNVKNAKFFRGRLSRPCLCIRLAITAYPTHLEGQTSPEASIPLISMIFVCYSTRFFG >Solyc11g028100.2.1 pep chromosome:SL3.0:11:20292949:20295592:1 gene:Solyc11g028100.2 transcript:Solyc11g028100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQILHSTNNNKNASKALIAAEYTGVKVEVPKDFQMGVSNNTPEFLKMNPIGKVPVLETPDGPVFESNAIARYVTKLKPNNPLFGSSLIEYSQIEQWNDFSATEVDANIGRWLYPRLGFRVYIPAAEEAAVAALKRALGALNTHLASNTYLVGHSITLADIIMVCNLSIGFRMIMTKSFTKEFPHVERYFWTVVNQPNFCKILREVKQAESIPAVQSKKPAQPEKPKAKEELKKEVKKEEPSPVEEEAAPKPKAKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAVKGFWDMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLVRKYAFGKMLIVGSEAPFKVQGLWLFRGKEIPMFVMEEVYDMELYEWKEVDINDEAQKERVSQMIEDHEPFEGEALLDAKCFK >Solyc11g066940.2.1 pep chromosome:SL3.0:11:53028458:53030512:-1 gene:Solyc11g066940.2 transcript:Solyc11g066940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILIKKVHFLVFLIIFMNFSFSQSTQMYCGNIKIEDPFVTQNSKNSSFLKSLVLCRSNTLYFKTSLDGLFQISSIDYKNKLLTISHPSFSCLMINDVQEMKCSHYRRVYKNVKIELGTRISWDVDHVPNPCDECRKPHGNCGVGLRCLCHITECKVEVSVGAIKRSSGTMLFSLLFLIVLLNHLEGVLM >Solyc11g063550.1.1.1 pep chromosome:SL3.0:11:49920101:49920529:-1 gene:Solyc11g063550.1 transcript:Solyc11g063550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFGAVTFFITSSFHVLTPSNRIGDLHLTLAIFLDDILSYWFQVHTLFPNPERSYVNVPRVGTSTFLSQSMGLGFDSVRDSESINRMGKHLSSFAFSPLLLLWLPFRVHFMPPPVTLSLASLSSPSSSGKRVNSGPIPYLIL >Solyc07g063020.2.1 pep chromosome:SL3.0:7:65754867:65758235:1 gene:Solyc07g063020.2 transcript:Solyc07g063020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRHVPVLVVLLFFWSSSSNAYQFELGGVDDWIANPLESFDQWSQLMRIQVNDTLLFKNKKGSDLVLEVNEDDYDKCNIEHPIKKMEDENSVFEFDRSGSFYFVSGNKDKCKEGQKFVIVVAGMVLPPSTPVPSLAPVGSHLSPKADAPGKVPIGVSSPVPITVHVPRGTSSDSPQMSHSPGVAPTLASPGPSSSHLSPVSSAPEKDPVFGLSPAPTGSHSSSMGHAPGMAPTLVHVPRGIISNPPQTTHAPGIAPTLESSHGVPSASTHRSPIKAPSPSSFHVPSGSHLAPTALSPTSANVPLSSGSQLSPETHAPGKAPTGASLAPAGSHLSPTTHAPEKAQTPALSHVSPSPSSHLSPAAHAPAKSPTGASLGPSGSHQSPTVHAPGKAPTPASSNVDRARAPGKAPTGVSPVPASSHSSPTAQEPVEAPTPVSYVPRGIGFSPVAHAPGETPSGSSHAPDGSHSSPKAHAPIEAPTPIYNVPRGTSSHPPSTTHAPGKAPSESSHAPDGSHSSPKAHAPVEAPTPISNVPRGTSSHPPSTDHAPGKAPSEPSHAPDGSYSSPKAHAPIEAPTPMYNVTRGTSSQPPSTAHAPGKAPSESSHAPDGSHSSPKAHAPVEAPTPISNVPRGTSSQPPSTAHAPGKAPSKSSHAPDGSHSSPKAHAPVEAPTPISNVPRGTSSHPPSTAHAPVKAPSGSSHAPDGPHSSPKAHAPVEAPTLISNVPRGTSSHPPSTAHAPDSSTNAPIKAPTPVSSNVPRGTSSHPPSTAHAPGKAPSDSSPKAHAPIKAPTPKSSNVPRGTISNPPSITHAPVKAPSEPSPAPYGSHSTPKAHAPAKAGPTPAPSHVPSSPRSHLNPISNTPETAPTPITSKISPNPSSSLSPTSSHDEPSPSVSPTTAKSSNESSPISSSPSSSTPPRSGTDSPPENIAPATDGDNIPADIDSPANSPLNSESAAEKASITPSMSTMSVFFTLILGLIN >Solyc08g067885.1.1 pep chromosome:SL3.0:8:56998738:57002053:-1 gene:Solyc08g067885.1 transcript:Solyc08g067885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFMDTESEDGLLDEDEDVEDNDHESEVPEHADPVGPKIEASPAPKEAERQLSKKERRKKELAELDSLLADFGVEPKEKGPDDLPDVANEKKEGQPAEDVEKKNGGATEPKSAKKKKKKDKASKEVKESDNQPNSVGATVLPEETGGAEQVEDASTVDMKERLKRVASAKKKKSGKETDGAARAAATEAAARSARLAAAKKKEKSHYNQQPMR >Solyc11g012400.2.1 pep chromosome:SL3.0:11:5232617:5239260:1 gene:Solyc11g012400.2 transcript:Solyc11g012400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFKSKPKTPVDLVRQTRELLMYVERVNDTREGKREEKMMELSKSIRELKITLYGSGESEPLAEACAQLTQEFFKENTLRLIINCLPNLNLETRKDATQVVANLQRQQVQSRLIACDYLEANIDLMDKLILGYENTEMALHYGAMLRECIRHQSVARYVLESEHMKKFFDYIQLPNFDIAADAAATFKELLTRHKSTVAEFLSKNYDWFFTEYNSKLLESSNYITRRQAVKLLADMLLDRSNSAVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANHNKPPDIVSILVANRSKLLRLFADFKTEKEDEQFEADKAQVVKEIAALEPKENK >Solyc08g062800.3.1 pep chromosome:SL3.0:8:52154075:52157228:1 gene:Solyc08g062800.3 transcript:Solyc08g062800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLAPEGSQFDARQFDAKMTELLGTEQQEFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVVEELPANVADLL >Solyc07g052960.2.1 pep chromosome:SL3.0:7:61493972:61504673:1 gene:Solyc07g052960.2 transcript:Solyc07g052960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTTIPLQNPSFFNNSQSSLAGALQGCIGSLDGACLEKLLLHCASALENNDVTLAQQVMWVLNNLASSNGDPNQRLTSWFLRALISRASRVYPNATNLHGSSNLERRLMSVTELAGYVDLIPWHRFGFCASNSVIYKAIERQTKVHILDFSITHCMQWPTLIDAIAKRPEGPPSLRISVPSWRPQVPPLLNVSSEEVGQRLANFAKFRDVPFEFHVIEDLNYDMFLSQLNPSTLQIRDDEALVVNCQNWLRYLHDDEQIKGALSSRDIFLDRVKDLNPCIVTIVDEDCDLGNPTTLTSRIATCFNYLWIPFDALETFLPKDSKQRLDYEAEIGHKIENIIGFEGNQRIERLESCNKFSQRMENSGYMSVPFSEETIKEVKSLLDEHASGWGMKKEEHDMLVLTWKGHNSVYATSWVKNQDALA >Solyc04g078210.2.1 pep chromosome:SL3.0:4:63094908:63100152:-1 gene:Solyc04g078210.2 transcript:Solyc04g078210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSPENNSGHQLNRNGFQEFHPRSVTNSGPPLESLFGGLRLDDEQVPLPSFGVPSPAAGLYDDEILTGFRAQERLNNGADLQGLIRAQNAINGAHQNLTVGPNILNQSQPLAAGGGGVRSQPWYYSEPSSPLIDNDYLRLNYELPDYNGYSLAAFGGVGGGGFLPNTAPLMSYRYNNGIMSNNFRIGSSISMYNQIGSELRGKMVAFAKDQQGSKLLQSKLDKGNNEEIGAILSELIVCVSDLMKNQSGSYVIQKLFAVCNEQQRTTIIQAITRNTHQFIGICFSQHGARAMQKLLDNVLTPHQIYIILSAIAPVAVALANDQSGQHVIQFCVKTYPPEYIRPLLYEIANNCFAIATQKSGCCVIQSCVESAGGELRDSIIAEILTNAVQLSEDQYGNYVVQHLVGLKLPRVTDILIDRLQGNFLTLSCNKYASNVVEKIILESGDEHSTRIITELLSNPSGSMLLMDPYGNFVIQSSLQRAKGILLEALYNLIDIHAASMKSNMYGKKVLDRLASRKEQMHCTRLFMSDRYKLTRQ >Solyc01g099525.1.1 pep chromosome:SL3.0:1:89622588:89626668:-1 gene:Solyc01g099525.1 transcript:Solyc01g099525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFGAINSATIQDLLNHRGVFNFWQTAMRINEIFTEESSVFTKTYHMIDDDEYKLEKALMDCTCKRIFNTFNPPKIGKDDDDNNEDVVVSCQPYGLTVSVLSPSANAVTSWVESALTMSASVSFLGLRLGLVLRTASRLFIRQLSLSNE >Solyc12g019490.2.1 pep chromosome:SL3.0:12:10584335:10593364:-1 gene:Solyc12g019490.2 transcript:Solyc12g019490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPSSRLHFLIKRCPNFYRKPHFLLGLAKDEKPIFNTAFCDQNVSRSPFSQTKQFSVGANDTFSDPIPVVATARSPLDSSPTIDAGSSIRKPISLWPGMYHSPVTNALWEARSSIFERFSDATIDPTPQNDLIAKTPSKSRTSVLYKFSSDYVLREQYRNPWNEIRMGKLLEDLDALAGTISFKHCSSNDGTTRPLILVTASVDKMLLKKPIRVDTDLKIEGAVTWVGRSSMEIQLEVMQSSPEVSSTSESLALTANFTFVARDSKTGKSAPINQISPKTETEKLLWEEAEERNKMRKVKRGERKKEIQGEEVNRLNELLAESRIFCDMPALADRDSILIKDTCLQNSLICQPQQRNIHGRIFGGFLMRRAFELAYATAYAFAGSAPCFVEVDHVDFLKPVDVGNFLRLKSCVLYTELENLSKPLINVEVVAHVMRPELRSSEVSNNFYFTFTVQQDSLKNGARVRNVVPGTEEEARRVIERMDAENS >Solyc07g041950.1.1.1 pep chromosome:SL3.0:7:54797919:54798230:1 gene:Solyc07g041950.1 transcript:Solyc07g041950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSKLFTHFIPLNFPRLDELHHMFYHKNWNIIKEKAIIFYKNVFEDSSIPSNHNYILMCLIRQSINPPTPTSYRPMHRPLHQQLQLVTKIIINSIKPILPS >Solyc11g008750.1.1.1 pep chromosome:SL3.0:11:2931573:2932256:1 gene:Solyc11g008750.1 transcript:Solyc11g008750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKNINKKEEEEEIENLETISLCDVSNYEGYESPKISSSSQDFFEFFNEYSDDYTFSDIIFCGKIISHENDYVIQEKKTLSPFSRSNSFHRRSNINTAPMNNQNRASSARFYSRMERVNISGLTSMSAKSRRKMFMFGPVKFNPEMELSEIKQRQGRRRCALPLPVIPMSEDGGDTTELGKKRRKKKKESGPTGKFMELTSRPHFVNVLAKSLRCFSLRKHCMALP >Solyc12g062440.1.1.1 pep chromosome:SL3.0:12:32710876:32711142:-1 gene:Solyc12g062440.1 transcript:Solyc12g062440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVTFKFDGDDISEYACRNVSYEHDDENEFCDVYDGPYENGNGTIFYDGHSYVDSWDNEQDSGENYYSKDNFEMNGTYDSCDDVEGV >Solyc05g053070.3.1 pep chromosome:SL3.0:5:64058005:64060245:-1 gene:Solyc05g053070.3 transcript:Solyc05g053070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIIHKIEEKLHIGGGHKEEEHKKEEHKGEGHKKDEHKEGFVEKIKDKIHGEESGENHKDGKEKKKKKKDKKEKKHDGHDSSSSSDKAIDETERRISMNWKRMMRIETEEERDFVQS >Solyc01g111890.3.1 pep chromosome:SL3.0:1:97969117:97977788:-1 gene:Solyc01g111890.3 transcript:Solyc01g111890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSSDSGAHKPMSLDLLPSALLATVMTKLDIASIRSLACTCKAFHSCASHMLCFISSFHLLDVAPPAEYIRPLLPPNPYLRSLKVDCMRLDDSSLDCLLQPNLQELCLHNCADFSGRLLSQVGHYCKDLRFLYLSSLAEKRGRSIDVSDLEVLFGGCTQLETLILMFDVSMFLRHNFARVWSIAPATLVSLEMGYISSVMVTELLSPPVLPYQSIEHIRPSILPGVQKLCLSVDYITDTMISTITNNINCLTHLDLRDSPIMEPRLAFDLTNAGIQQINLHGRLKHLSLVRSQEIFPAYFKRVNDLGILLMADRCSDMESICLGGFCQVTDTGFRTILHSCSKIFKLRIYHGPHLTDLVFHDIAATSLTLTHVSLRWCNLLTNHGVARLVSNGNLSVLDLRDCRNIGDEALQTISNLSELKALLIDGSDISDMGLSHLSKGAMRSLVSLSIRGCKRLTDNCISFLFDESSNCELRELDLSNIPNLSDAGILLLAKRRIPLLELRMRQCPLISDTSIMVLASMKVDEEGWYGSSLRLLDLYNCGGITQLSFQWLKKPYFPRLRWLGVSSSVSRDVLDTLSRNRPFLHIAFHGEELGMTGQWDNSDDLYMHDYDEVDELEQWLEGENEGDDEDIQEAENNAE >Solyc05g053080.2.1 pep chromosome:SL3.0:5:64059853:64062269:1 gene:Solyc05g053080.2 transcript:Solyc05g053080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMFLLLFVFLLLLLLFSILVILSTFFSMDLIFYLLNKSFLMLILLVSFSLVFLFFVFFFLMATSDKRYQPITPSQIITNANI >Solyc06g053310.3.1 pep chromosome:SL3.0:6:36142345:36151320:1 gene:Solyc06g053310.3 transcript:Solyc06g053310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFSKIAPSAMASFPCSKSRTGVCVWPGMRQLSLRKNLLYGFMQLLSMPFKTVRGVSRSLRVSKLCSITSTSSSLQIELVPCLQDNYAYLLHDVDTGTVGVVDPSEAVPVIDALSRNNRNLTYILNTHHHHDHTGGNMELKARYGAKVIGSGVDSDRIPGIDIALNDGDQWMFAGHEVFVMETPGHTRGHISFYFPRSKAVFTGDTLFSLSCGKLFEGTPEQMLSSLGKITSLPDDTNVYCGHEYTLSNSKFALSIEPGNEELQSYAAHVANLRRKGLPTIPTTLKAEKLCNPFLRTSSTEIRKLLNIPATADDGEALGAIRRAKDNF >Solyc06g082910.3.1 pep chromosome:SL3.0:6:48587531:48591136:1 gene:Solyc06g082910.3 transcript:Solyc06g082910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4CAE6] MVVSVFFIFFLCFSPHLSFATVAGNNRRVLHEPFFPLDSPSPSSQPPIPSPPAPTEYPFQGSTTPPDNSDNNPFFPTYPSPPPPPDSPADFVPANVSSIILPNTSKSNPVSSKLIVTAIISVIAAVIVLSIAIFLHTRKRRKQSYRKTQRSDSNSNGLSRDGAKNDANNSIPKLQRPSQTSSEFLYLGTLASSHGGIETHNAQNRNGSNTSSAPSSRKMDSPELRPLPPLHGRNLRQSYGNTRFFSGTAENDVDFYSSAGSMGGRESSIGGDSLSRRDFSAVEVERFVGCSSSSSSSSSSSGSGSPARSVSLSISPPASLSPERKNLRPKSPELVAVDTAPPPQYPPPPPPPPATIVPPFAESPSPSPSPPCPLSPERYSTRSMDSSPGIFNLLDQDVQFPATIRNHIQQATPALGPPPPRPPPPPPPPSKNIESPKTPSPRLSKPAFNPPVLESPLKPIGIESPVLVSPMELPSVSEHIEKDDERTEEPKPKLKTLHWDKVRASSDREMVWDQLKSSSFKLNEEMIETLFVVKTPTLNANDTTRRLVPSPSQENRVLDPKKAQNIAILLRAINVTTEEICEALLEGNAETIGSELLEILLKMAPSKEEERKLKEYKDDSPVKLGPAEKFLKAVLDVPFAFKRVDAMLYISNFDYEVDYLRKSFETLEAACEELRSSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIKGEGTRLSGGNQNEQCTTNDDAKCRKLGLQVVSNITSELMNVKNAAAMDSEVLHSDVLKLSKGIGNIAEVAGYIEAVGSEESSTKKFSESMNRFMEIAEEKIIRLQAQEALAMSLVKEITEYFHGDSAREEAHPLRTFMVVKDFLMILDRVCKEVGMINERTVVSSAHKFPVPVNPNLQPVTSIYTAKRQHSSSDDEYLSS >Solyc05g021535.1.1 pep chromosome:SL3.0:5:28057548:28061278:-1 gene:Solyc05g021535.1 transcript:Solyc05g021535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVIKKRILQRLSRSSKESIDDKVGYWISASEHVSAYLHSIINSGSVLEQSTYSFISKRSALFEQLVIFLTTKSLEGLRASQLACMVVPCFYLIGIWKVLRDFRNGHHWRKKKDGEIVNETHEKMKISSKNMRLPVFNSYGVLVLPRPQTDLSLCLHLFVPQHASTGRIVLVQNLCKLLFSNIVLTISQSEKT >Solyc09g092230.2.1 pep chromosome:SL3.0:9:71838923:71839773:-1 gene:Solyc09g092230.2 transcript:Solyc09g092230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FMGIIGTGIGGPSLNKQSNPFFLNRRRAIGNREEAGAMRTEQKIWSFFALVFFLLAMAVIRIHALWFVSTVAMPLLLTGLISVLRDRNPFPAVMTMPRFTSAFDGIGLMVSPIILELLKEEYLNIPRLSAFHSVSATAIGFFCSLMIVWTRAVSTDLGILYGLCSLSISLGVQDFDYRWPTQVEFGVSLLMILLRLYLETPRRRRRAAGRV >Solyc01g108977.1.1 pep chromosome:SL3.0:1:96004634:96008295:-1 gene:Solyc01g108977.1 transcript:Solyc01g108977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMTNMVHIYSEVDAQLSPKNPYFVAKMRNQLYVPIDVARDYKLKLHPTMIIRDSVGREFETKVNNWSNGTTWLKIPTAYTNYKNGKLPRKSEVVPPLALYLGKGTIPLSIKKRSLTRISSQGVTGKKGGICSEVLDDLKPGVERTDIMNTAPPDLFKSIETKDITSLRENDNLEKDEDGSLFESSSDSFINIILQFQR >Solyc12g009180.2.1 pep chromosome:SL3.0:12:2472721:2480656:-1 gene:Solyc12g009180.2 transcript:Solyc12g009180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSTEPTASKIVAKLNLKVHPEGGFYSETFRDNSVILSRSHLPSTYKVDRPVSTCIYFLLPSGSASHLHRIPCAETWHFYMGEPITVMELNETDGKVKLTCVGPDPLVDDQFVQYTVPPNVWFGAFPTKDYNISSDMQVTKAPTRDGEKHFSLVGCTCAPAFQFEDFELAKRSDLVSRFPAHESLVSLLAFPDL >Solyc12g011213.1.1 pep chromosome:SL3.0:12:4075746:4077767:1 gene:Solyc12g011213.1 transcript:Solyc12g011213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGGQAQVEKSSFSIVLGLQIRWLRSLVLLKVRTRLAGVLILWVKRGFRIQKGTWNHEFAPNLLSFSPRSPPLSSSYIYCHFLTVWGLEKFVWRKAFPAYCSSFVRDSYPVGAFLVVVLYRRLRGEDCHSPARLIRFAARKRKIGPEPFSAKFLIGPKAIVLQDV >Solyc10g079240.2.1 pep chromosome:SL3.0:10:60945054:60948062:1 gene:Solyc10g079240.2 transcript:Solyc10g079240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative calmodulin binding protein SUN [Source:UniProtKB/TrEMBL;Acc:B1N669] MGKRRNWFTFVKRLFIPETESTADQKKPKRWRCCFLRKFKLRKCPAITSAPQQTLPEAKGTPQQTLTEAKEQQRKHAFAVAIATAAAAEAAVAAANAAADVIRLTDAPSEFKRKRKQAAIRIQSAYRAHLAQKALRALKGVVKLQAVIRGEIVRGRLIAKLKFMLPLHQKSKTRVNQIRVPTFEDHHDKKLINSPREIMKAKELKLKCKSLSTWNFNLASEQDSEALWSRREEAIDKREHLMKYSFSHRERRNDQTLQDLLNRKQNRRSYRIDQLVELDAPRKAGLLEKLRSFTDSNVPLTDMDGMTQLQVRKMHRSDCIEDLHSPSSLPRRSFSNAKRKSNVDDNSLPSSPIFPTYMAATESAKAKTRSNSTAKQHLRLHETLSGQHSPYNLKISSWRLSNGEMYDSARTSRTSSSYMLI >Solyc01g006600.2.1 pep chromosome:SL3.0:1:1200874:1207284:-1 gene:Solyc01g006600.2 transcript:Solyc01g006600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRKAIDELFLKFHPCFDTNTKIGIIGGGPSGTSAAYALVKLGYTNITILEKYHSVGGMCESDDIEVSNSRRIDMNSLEEELFGKVQTIDYYTIVLKITGFDHIPMGFYYFGEFMDDPKAIGNPVAMQRFYNDTNVFLFWSYGNSVDIVGLKETELLIKGVESMGGFVEKVVLQRKLKYFPHVNNQDMKEGFYEKVENQLQGQQNTYYVGGLMAFELTERNASYAMALMHKHFASNNPIPAFPYVKELLYEMTEVLKSKISITESLVSYGVDSIGVVRAAQKISNFLGVLVGAIDIFSATCIEDLADFAEDLLRKSHPELVTTTSGSCESKMSSTMVFPTFSSCLCLFHADISCVHIGFHFQIFHFCMPNADTISPLVWLHCFLSMCSTFLDIMCIGFLGKSFLQPNYILNPEVSIWSADFVKWWTLYKAQEISSKVLAVHLRGTVFINYWFEMLGENITSSPILDTVDITDPSLVSIGEQAVINEGVLLQSHEVKNGVLSFNPIRIGQKSSIGPYAVVQRGSIVEDGTHVLALNTSKTAVNVKATSTKKDKSTRKSMKGSHGNHEHIKLFSIYMVGFLSSLSAAVSYFIYLSLWQNPPSLKHFRFFYLAGAFHWFPYTIATYATLFDNSYSGSFTFATTIAIFYICHGLILSLFTCLVNHVVHQKGEMDMMKTCLVHRVNVACHIRFTKFMSGTELFCIYLRRLGAKIGQHCSIRAINPISEPNLISIGNGVHLGDFSRIVPRLYTSSDYVSSKIEIQDNSVIGSQGLVLPGSVIEKDVILGAISVAPMNSVLQHGGVFVGSKNPVLVKSKSYSLDDRIEEMDLKYKKVLGNLAANFAASTLKVKSRFFHRIGAAGKGCLSLYNDIPGFADHKIFSPGMTYRVIMRHSNCLSSDDDARLDPRGAAIRILSNGTDENSSILDLTLKTGKAFHTRTIGDFATWLVCGAAAREEHVKHAPHVRDAMWGSLRQAYSYTELHYYSNICRLFRFKNDQEMYVKFKLRPFDNNIGEDSGEVKPRGVLPPETGAIPRDENDNRPLRFLDEDFQHRLHTPEKVRYVLQLQMRSIPEDEVNREAALDCTKPWDEIEFPYIDIGDITIDATLTKEESEDLEFNPFLKCHEVDVIRATSCNQSASMDHGRSVVYDICQHLRNRKPLPEAWRIFLDQSDVKMDFTGCPLASTLEKKDTTKVVMLARSWYVTMWLISVQPFLQTFLPYFLMGFIIFAPLKFLFYTSDTIKVQKHWLLPLFWVVTGLLGGVLCAFAKWILVGIKKDGECELIWSKGIFCDTIWQAIRTLVGDYFMEMKSGSFLFGIWMKLMGSEVAWDEGTYINSMGAVLNPEMVRIDKYGSIEREALLFGHIYEGEGGKVKYGKIKIGESGYVGSRAVAMPRVTIDTKGTLGDLSLAMKGELVK >Solyc11g069330.1.1.1 pep chromosome:SL3.0:11:54230379:54231797:-1 gene:Solyc11g069330.1 transcript:Solyc11g069330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMKVLKWQFIHGSLVKRLIVKGFLFVTVMILVSFVQMTHMIQKSEPIMLNFGVCPLNFGSNEYMNVTGFVKPVSGLGISLFGASLMKRDDEKLTKDVFKELMEKDFLDLNANALCVGKKSSFAALVLRELGLLSAVGVDAHPYFSLVWRRFMYELDHENNSFDFVFSRDLDKVTVPALMVLEIERVLRPGGTGVMLVGSSSFYAGNLVKGNLIRSATPVSSFLKSSDVVHVCGVGTYTLVMFKKRSEIVQSLVHSVLPANCPSNVNNKPYLKHLEPLVEKKLGQFETQISYLPKFMNISSRNKLVYINVGAGEFVESTLARTFKPHYPIPHHLFNVFVIDHNTSTLSLYVKNPGINFVYHPGLAVAGETTLSSVDTDEYLGAPLDHEGFDFIHWFSETVKDGDYVILMMNARAPELNILAELFQTGSICHVDELFLRCSAADCRNALCGDCMSLFKTLRRSGVFAHQWWGD >Solyc02g086645.1.1 pep chromosome:SL3.0:2:49934638:49937179:1 gene:Solyc02g086645.1 transcript:Solyc02g086645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (adenine(58)-N(1))-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BBH2] MILPIDPANKLSFKRFIKDGDLVIVYERHDTMKAVKVSEDGVLQNRFGAFKHSEWIGKPFGSKVLSNKGAFVYLLAPTPELWTLVLSHRTQILYIADISFVVMYLEIVPGCVVLESGTGSGSLTTSLARAVAPTGHVYTFDFHEQRAASAREDFEKTGLSSLVTVGVRDIQGEGFPDEFCGRADSVFLDLPQPWLVIPSAGKMLKPDGVLCSFSPCIEQVQRSCEALKSQFTDIRTFEVLLRTYEVRQKILESCPVNEGDSIERPPRKRRQGSAEGSNGTECSSSPAVVIRPSGEARGHTGYLTFARLKCFV >Solyc03g005355.1.1 pep chromosome:SL3.0:3:210025:212319:-1 gene:Solyc03g005355.1 transcript:Solyc03g005355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKILNLKATELRLGLPGTEEEVDHQQIVPNSKNKKRSLSEYEDESSSDYEPTTPPVAKAQIVGWPPVRSYRKNTLQITTKKTEAHQDQCGIYVKVSMDGAPFLRKIDLKMYKCYTELLKAMEKMFKLNIGEYSEREGYKGSEFAPVYEDKEGDLMLVGDVPWE >Solyc12g005150.2.1 pep chromosome:SL3.0:12:112571:116021:-1 gene:Solyc12g005150.2 transcript:Solyc12g005150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSWCKVLLYLTLITNLTLQGVRGWTGEIHGRVVCDVCADSSVGPEDHVLQGAEVAVLCITKTGEVLNYQAFTDSKGIYRVAETMPASDRWDACLARPISSFHEHCTRLGDSNSGVKFSYNHPSGYSHTVRPFVYRPTNVPTYCI >Solyc01g067720.3.1 pep chromosome:SL3.0:1:76468956:76474226:-1 gene:Solyc01g067720.3 transcript:Solyc01g067720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINTQLVEIQPCELEFTFEVKKQSSCAVHISNITDQYVAFKVKTTSPKKYCVRPNIGVIKPKSTYDFTVTMQAQRAAPSDMQCKDKFLIQCTVVPFGTNEEEIPPSMFNKDNGKYIDECKLKVVLVSPSQSLVLQPANGISKQGASVETSMQQEKFPSGVENLPPAQTVVKNNKDIKFEEETEEPRLVNIADVKDLNLTKNTKSSIGEIDETICQVVENNKGADFDAEEEEPRLAKTAEDIQLNLPKNRESNANEVEVVKSRHLNMETKLSLSKDVEELKSKINSLGSQLIEAECIIAKLKEQKRSTIKDMEILKQELALLKTKGVGKRVQVGFPPLFLCMVALICLTIGYLVRA >Solyc03g120090.1.1.1 pep chromosome:SL3.0:3:70072260:70073177:1 gene:Solyc03g120090.1 transcript:Solyc03g120090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDGAVTVYSGSAITDTKKNSFSIKVGIAQMLRGGAIAEVTTVNQAKIAESAGVCCLVVSEPKGPGISRMPDPSLIKEIKQAVALPVMAKARVGHFVEAQILEAIGVDYIDESEILALADEDHFVNKHNFRAPFVCGCRDLGEALRRVREGAAMVRTQGDLAGTGSIVDTVHNVRKVMGDIRILSNMDDDEVFTFSKKIGAPYDIVAQTKQMGRLPVVHFAAGGIVTPADAALMMQLGCDGVFVGSDIFNCSDPYKKVRAIVQAVRNYNDPHILAAASSGLEEAMGGLNLNENRVERFVSDENY >Solyc08g061327.1.1.1 pep chromosome:SL3.0:8:48579762:48579950:1 gene:Solyc08g061327.1 transcript:Solyc08g061327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGHRFEKSEESPFKDFVITLSESMIKAKKDGNIAIDYIFNMLMNSFYRRFGIKLESTSTKL >Solyc02g084580.2.1.1 pep chromosome:SL3.0:2:48307924:48308280:1 gene:Solyc02g084580.2 transcript:Solyc02g084580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSWAILLLLVATVLSSICLVNGLNVDHEKTRGRFSQVNVTTSNARNTTFVSDVMVNIENAGKNRGQGGGGGGGGGGGYGGGGGGGGGDGGGGGGGGGKGSGGNGKSRGHEGKHDKGS >Solyc08g005493.1.1 pep chromosome:SL3.0:8:373728:375651:1 gene:Solyc08g005493.1 transcript:Solyc08g005493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDAYEGNQLRKRRIVRFLVPLAQVSTRKHFAGFPKPGTYCHLPLEENTNKNLQSRDASIETSSRFHLVSETQWVETY >Solyc07g007610.2.1 pep chromosome:SL3.0:7:2267369:2271283:1 gene:Solyc07g007610.2 transcript:Solyc07g007610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKRRADLNKTPPPADLMPPSPGMDALSKQKFSHQVDSSGIKSFLTIAGDIMDGPVKVTQGQQSSIVHRRNIDLLKSLRHPRHYGRHYSRRRSASNAEASASHGGYTPSYDEKWSIKMASKCSTDSGHDTDDRPKTVHRTEGVPSSSLATTRVISSDAGELFCVLCNKFLKKEPYIVLENSLPIGETSIVAVLACGHLYHADCLEQRTNREDRQDPPCPICLGLVSHVDASVELD >Solyc09g064500.3.1 pep chromosome:SL3.0:9:62002733:62004324:-1 gene:Solyc09g064500.3 transcript:Solyc09g064500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II reaction center Psb28 protein [Source:UniProtKB/TrEMBL;Acc:K4CU78] MATLQSLAFSPALSHTTHQPRSLLGIASRIVHQSSGSSFNGQRLCLSRSRFATDIQNKKMSRLCIVMVQPKIQFIQGTDEQTIPDVKLTKSRDGTNGMAIFRFDQPSVFDSSGEVGDITGFYMIDEEGVLTSVDVNAKFVNGKPAGIEAKYIMRTPRDWDRFMRFMERYANSNGLQFVKS >Solyc06g075345.1.1 pep chromosome:SL3.0:6:46914438:46916222:1 gene:Solyc06g075345.1 transcript:Solyc06g075345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQYLNGFVQPIQKRHIRFKVNYAQSFAFDAAIGRYYLGAQLRLGLLMATNSIPSKNLVLAFVVHYCFFFIMNSNEIHMINASALTA >Solyc03g118640.3.1 pep chromosome:SL3.0:3:68986113:69005740:1 gene:Solyc03g118640.3 transcript:Solyc03g118640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSVVGFVGLDDISLELATSLLRSGYSLQAFEAGSPLVDKFLKLGGKVCANPTEARKGVAALVILLSHADQINDLILGDKGVLNGLSKDTVIIFHSNVLPSQIQKLELALRDCYGTNFIVDIYVSKAVSDVLNDKTMIISSGSSESIVRAQPILSDMCAKLYTFEGELGAGSKAKMVIELLEGIHSVASVEAIGLGAQAGIHPWILYDIISNAAGNSWVFKNSLPQLLRGNQTKHLFLNLFIQNLGNVLDMAKSHKFPVPLLTVAHQQLIAGSSHPQQHKDDDSTLLKRIGFIGLGAMGFGMATHLLKSNFCVLGYDVYPPSLSRFADAGGLTGSTPAEVSQDVDVLVVMVTNELQAESVLYGDQGAVSALPSGASIILSSTVSPSFVSQLEKRLQSDPKKLKLVDAPVSGGVKKAANGTLTIMASGTDEALKHSGSVLAALSEKLYIIKGSCGAGSAVKMVNQLLAGVHIASAAEAMAFGARLGLNTRLLFDVITNSGGTSWMFENRGPHMIENDYTPLSALDIFVKDLGIVSREGSSGRVPLHIANIAHQLFLSGSAAGWGRLDDAAVVKVYETLSGVKVEGKLPVLNKESALQSLPPEWPVDPINEIRTLTENSLRTLIVLDDDPTGTQTVHDIEVLTEWSIESLIEEFKKRPKCFFILTNSRALTSEKASALIADICRNIDSAAKSVEKADYTVVLRGDSTLRGHFPEEADAAVSVLGEMDAWIICPFFLQGGRYTIGDTHYVADSDRLVPAGETEFAKDAAFGYKSSNLREWVEEKTKGQRPASSVSSISIQLLRNGGPDAVCEHLCNLQKGSTCIVNAASERDMTVFAAGMIKAELKGKHFLCRTAASFVSTRVGIIQKSPILPNDIGISRERNGGLIVVGSYVPKTTKQVEELKLQYGHVLKTIEISVNKVAMESSETREEEINRAAEMADVYLRNHKDTFIMTSRELITGKTPSESLEINFKVSSALVEIARRITTRPRYILAKGGITSSDLATKALEAKRAKVVGQALAGIPVWQLGPESRHPKVPYIVFPGNVGDSKALAEVVKRWAHPGRLSTMELLLEAERGSYAVGAFNVYNLEGVEAVVAAAEEENSPAILQIHPSALKEGGVPLVACCISAAEQASVPITVHFDHGNSKQELLEVLEMGFDSLMVDGSHLPFKDNVSYTKCISSLAHSKKMLVEAELGRLSGTEDDLTVADYEAKLTDVNQAHEFIDATAIDALAVCIGNVHGKYPPSGPNLRLDLLKDLYGLCSKKGVHVVLHGASGLSKEIIEECIKLGVRKFNVNTEVRKAYMDALSSPNKKDLINVMASAKEAMKAVIAEKMRLFGSAGKAC >Solyc03g065300.1.1.1 pep chromosome:SL3.0:3:43436539:43436796:1 gene:Solyc03g065300.1 transcript:Solyc03g065300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLLSLSRGPMPYVTRFKGHIVNGYRFHVKQYGKYLKTQNSGVVVVGETGVEQNHMNYYGELTEVLELQFVRGNKNDFIAMYVV >Solyc09g074960.1.1 pep chromosome:SL3.0:9:67187205:67189142:-1 gene:Solyc09g074960.1 transcript:Solyc09g074960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNGDEEDQERGGGMRGESREYAFKDEYVPPWQKQITWRSMVTGLVLSIVFNFIVCKLNLTTGVIPSLNVAAGLLGFPFTRQENTVIQTCVVASSGIAFSSGTASYMLGMSPYIAEQSGAGNTPNNTKKLSVSWMFPYLFVVSFAGLFSIVALRKLMIMKYKLTYPSGTATAYLINCFHTPKGANLAKKQVSSLFKSFGFSFVFAAVQWLVARDEGCGFGSLHTFGAQAYAKRFYFDFSSTYVGVGMLCPYMVNISLLIGAIVSWVVMWPMIEAKKGIWYSEYLSRFFTRRKNPRKEDEEEDDDNKIQNEYFLKDQIPNWAAAVGYACIVVVFVIVIPIIFPSLKWYMVLVAYLIAPILAFCNSYGAGLTDWSLASNYGKIAILTFSYWVGLDNGGVIAGLASCGLMMCIVDTASGLMGDFKTGYLTLSSPRSMFFSQLIGTAMGCIITPLVFSIFNTAYPLGDPNGPYPAPYALMYRGIALLGVEGFDSLPTHCLILSVCFFVAAILINLVTQVLKKCETKYRIYRFVPSPMCMAIPFYLGGYFAIDMCLGSLIPFCWQMYNKQKAKNFGSAVASGLICGDSLWGIPASILALAGVKAPFCMKF >Solyc12g005580.2.1 pep chromosome:SL3.0:12:310432:312510:-1 gene:Solyc12g005580.2 transcript:Solyc12g005580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSNSFKKYQDLKKQTEVDDLEGGQDGQPGTESIDLAKFFEDVENVKEDMKDVEKFHKKLQESNEESKLVHNAKTVKEIRSRMDSDVSQVLKRVKMIKGKLEALERSNAAHRKISGCGPGSSADRTRTSVVSGLGKKLKVLMDDFQGLRTRMNDEYKETVARRYFTVTGEKADDGLIENLISSGESESFLQKAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVRRGTEQLQEAREIQKSSRKCACFAVFLIILLIILLTFPLWWPLVASKIL >Solyc07g041870.3.1 pep chromosome:SL3.0:7:54535288:54541683:1 gene:Solyc07g041870.3 transcript:Solyc07g041870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLQSLDDFVKVHGVLLAAAGIPQSLYKLLFQKLSSDTFDGGHYLQIEPIEDGRQRRLLSTSGFIAKHSNLFLVDHAWTFRLSDAYKQLCEVPGLAERMAALMCVDVDLDSATEQEGEEDSSKLSAVEIVEREMCKVKEGQDYTRWLELEELDVDDHMLVSLDLPSKFPNLLALSLCGNNLSDVEVVSKEVTRLNNLKALWLNNNPVLENSNSEAAIIQGCPSLEICNSKFTSNYGEWALGFCGGIYDKDNADCAHQRDHPLETVTSLDLSNRSIRNLMNKAFNPEEITSLSYLNLRGNPLDQNCLSDLFQLLKRFSCLHSLEVDIPGPLGESAAEIVEALPNLSLLNGVNTSKIMESGKSVVDSMLQPRFPKWTAGEPLTDRVINAMWLYLMTYRLADEEKIDETSVWYVMDELGSALRHSDKPNFRVSPFLYMPEGNLASAMSYSILWPIDDVREGDECTRDYLFGIGEEKQRSARLTAWFHTPKNYFIKEYEKYKNKLQSIKIASPVQGSSITSSLFCGEGRALRVYADIPQVEEYLTRPEFVITTEPKDADIIWTSMQIDKETKKATGINDEQYINQFPFEACVVMKHHLAETIQKAHGLVEWLQPTYNLETQLSHLIGDFHIREIENLDNLWILKPWNMARTIDTTINSNLSAIIRLMETGPKICQKYIEHPALFKGRKFDLRYIVLVRSIEPLEIFLAEVFWVRLANNTYTLEKHSFDQYETHFTVMNYRGKLNHMNTPEFVKEFEKEHEVKWLDIHSRIRNMIKSAFEAAAAVHPEMHHSKSRAMYGVDVMLDSHFQPKLLEITYCPDCTRAVTYDTEAVVGGGETVKGKEFYNYIFGCLFLNETNHVSQL >Solyc12g009480.2.1 pep chromosome:SL3.0:12:2734650:2737850:-1 gene:Solyc12g009480.2 transcript:Solyc12g009480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKSLSNQIEETLPEWRDKFLSYKDLKKKLKLIEPKRSVSSGDGDDVRASKRMKMADGGFDKAPEKEPMTDAEVEFVNLLEDELEKFNSFFVEKEEEYIIRLKELQDRVAKAKDRNDEIIKIRREIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALLRLPFIQRVLQQPFFTTDLLYKLVKECESLIDRLFPIIDTSCIASEADGNETSTSCTNKNEGLLRAPKELTEIEYIESLYMKSTISALRALKEIRSKSSTVSAFSLPPLQISGPEDTWNKIPILEQAAK >Solyc05g054365.1.1 pep chromosome:SL3.0:5:65131929:65140653:-1 gene:Solyc05g054365.1 transcript:Solyc05g054365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRTSDLTGRVDPAQSFDIEALLRYASANVHGFPSSISNFTLSQFGHGQSNPTFLIEARSGTFAKKYVLRKKPHGKLLASAHAVEREYEVLHALGTHTQVPVPKVFCLCTDSSVIGTPFYIMEYLEGRIFIDPNLPDVSPKKRRDICRAVSQALASVHSANVDAIGLGNYGKRKDYCKRQVERWAKQYLLSTGEGKSRRNPKMLELVDWLRQHIPLEDSLGETAGLVHGDFRIDNVVFHPTEDRVIGILDWELSTLGNQMSDVAYSCLSYFVSISLEDLDESDGFERSSFPEGIPSLPEYLADYCSAAGRPWPVDQWKFYIAFSLFRGASIFAGIHSRWIMGNASGGERARFAGEKADSFIKTAWLFIQRKSVLPLHPPSETTREDNIRIFGSESQIQVTPTSGKFVPSEKVQNLRDKLIKFMEDHIYPRESDFYKLALSTMRWTIHPDEEKLKDLAKREGLWNLWIPFDSAARARELIFGSGNDSLVENKFNRLLGAGLSNLEYGYLCEIMGRSVWAPQIFNCGAPDTGNMEVLLRYGNREQIKEWLVPLLEGKTRSGFAMTEPQVASSDATNIECSIKRHGDSYIINGKKWWTSGAMDPRCKLLIVMGKTDLTAPKHKQQSMILVDINTPGITIKRPLTVFGFDDAPHGHAEIFFENVSVPANNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRALERRAFGKLIAKHGAFLSDVAKCRIELEKTRLLVLEAADQLDRLGNKKARATIAMAKVAAPNMALMVLDTAMQVHGAAGVSGDTVLAHLWATARTLRIADGPDEVHLGTIAKTELRKSRL >Solyc01g111160.3.1.1 pep chromosome:SL3.0:1:97434083:97434688:-1 gene:Solyc01g111160.3 transcript:Solyc01g111160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCHCVLDMVQMMHYVTAHSIPLSACHLSSSPSEVTLQTCHLGQALLLPLF >Solyc05g012950.2.1 pep chromosome:SL3.0:5:6060633:6075147:1 gene:Solyc05g012950.2 transcript:Solyc05g012950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKKSSPIVNNNEEIERIIEKLPKTDHFWNNSRLYQWEGFWSTLIILKAAMVFKATFKSEPNDVLLASSMKTGTTWLKAICVSIMQAGNKEVEEEDLLVKDNPHFYVPTIEVMDYYSKPLTHDLYTMPSPRLFHTHLPYRVLPDSIKNSNNCKIIYITRNPKDTLISMWHFINSRNRLEDLSPLEEVVEHFCKGEHLYGPFFEHVLEYWEESKKEPQKILFLKYEDLKIDTKKEVAKIALFLGKPFGNEEDLEIVLNKCSLERLKNLEVNKSGSIVSNFHNSAFFRKGIVGDWKNYMKPEMEEQIDKITKLKLQGNLKIHPKKDVAKIALFLKNPLGNEEDLEIVLNKCSLGRLKNLEINKSSTWLKAICVSIMQAGNKEEDEEDLLVKDNPHFYVPTIEAMDYYSKTLTHDLYTMPSPRLFHTHLPYRVLPDSIKNSDNCKIIYITRNPKDTLISMWHFFNNRKRLEDLTPLEEVVENFCKGVHLYGPFFEHVLEYWEESKKKPQKILFLKYEDLKIDPKKEVAKIALFLGKPFGNEEDLEIVLNKCSLERLKNLEVNKSGSIYSSVHNSAFFRKGIVGDWKNYMKLEMEEQLDKITKLKLQGMI >Solyc05g054380.2.1 pep chromosome:SL3.0:5:65152840:65155055:-1 gene:Solyc05g054380.2 transcript:Solyc05g054380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTTFTEEINSPITPIRLFKALIMDSKSLIPKLLPQFVENVVLLQGDGGAGSIEQVNFTKGNPFEFVKHRIDELDKENMVCKYTMIEGDPLGQNLDFISYEIKIEESKINIGGCICKMTTNYHGIGDFFAKEEDIKDGKDSAMGIYKTVETYLIQNPNLYA >Solyc02g086790.3.1 pep chromosome:SL3.0:2:50037216:50046437:-1 gene:Solyc02g086790.3 transcript:Solyc02g086790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEQLSEKKFPVNAKDYTLYEEVGDGVSATVYRALCIPLNEIVAIKVLDLEKCNNDLDGIRREVQTMILIDHPNVLRAHCSFTAGHSLWVVMPFMAGGSCLHIMKSSYPDGFEEPVIATLLREVLKALVYLHYHGHIHRDVKAGNILIDSNGAIKLADFGVAACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPSSEKLLKHPFFKQARGHDYLARTILDGLPPLGDRFRMLKAREADYLLQNQAMYEDKDHLSQQEYIRGISAWNFNLEDLKNQAALLPDFDDIPDAEDSSNRGKLREGNGDVGSVVERHNQSSVLSHEDEMNGNHDLDGSLAAFPIKPLQALKGCFDMCEDDITASSPSWEDTMQSESNQQNDMLSLAKVEDQDGGKDDGENLRQSSSLPRSVIPGHKKFFSGSLLQDNALSPKKVVTDGEREYQHPKYQSERNYSGPLQYRHKKDLGEDSSEGAIVQRKGRFQVTSADLSPKEPTSYFLNPVQGGSTSAINLGLAAASLLPTLQCILQQNTLQREELVKLIKFAERGSVNPTDLAEAGTSDLPQCVQEMHGVSLNYGTLLHPPNISTSTLQMPATSVRERELQSMVIQLQQSIGSLVEELQRQKMKNVQLEKKLNR >Solyc09g082780.3.1 pep chromosome:SL3.0:9:68880018:68883724:1 gene:Solyc09g082780.3 transcript:Solyc09g082780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVFDKSVAKSPEALQSPNNDGAVSALKDGFLAQHFSSAHSGSVTINLGSSGFLAYSSERQNPLLPRLFAVVDDIFCMFQGHIENVAHLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPPDQVVKDIHGKFAFVLYDCASKSTFLASDVDGCVPFFWGTDSEGHLVLSDDADIVKQGCGKSFSPFPKGCFFTSSGGLRSYEHPRNELKSVPRVDSSGEVCGANFKVDPESKKVDSGMPRVGSAANWSQHY >Solyc09g075490.3.1 pep chromosome:SL3.0:9:67615223:67622248:-1 gene:Solyc09g075490.3 transcript:Solyc09g075490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAADRPTPKGVLCNAGAGAAAGVIAATFVCPLDVIKTRLQVHGLPKLGNANVRGSLIVGNLEHIFQKEGLRGMYRGLSPTVLALLPNWAVYFTIYDQLKRFLGSDDGSHQLTIGANMLAASGAGAATTIATNPLWVVKTRLQTQGMRTGFEPYRGTLSALRRIAYEEGIRGLYSGLVPALAGVSHVAIQFPTYEKIKIYLAKRANTSTDKLGAPDVAVASSVSKIFASTLTYPHEVVRSRLQEQGRHSEKRYSGVVDCIKKVFHQEGITGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVTMFPPDPHPHTL >Solyc02g082080.1.1.1 pep chromosome:SL3.0:2:46359990:46360439:-1 gene:Solyc02g082080.1 transcript:Solyc02g082080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTFYWGKKVTLLFDFWRTDSWASYAITLLACFIFALFYQYMEDRRQRFRIISASFRRNYPSPPSAAVNAPLLYTFPTVGGKWNSARFATAIVFGINSAIGYMLMLAVMSFNGGVFVAIVLGLAIGYLLFRIGDEDDVTVDNPCACA >Solyc06g083060.3.1 pep chromosome:SL3.0:6:48654889:48657667:-1 gene:Solyc06g083060.3 transcript:Solyc06g083060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELINANPIVYEKERQARIAPSTAEHSAETIDQLEIFDYLRDIKDPEHPYSLEELKVITEDAIEVDDKRSHVRVTFTPTVEHCSMATVIGLCLRVKLMRCLPSRYKVDIRVEPGTHATEAAVNKQLNDKERVAAALENPNLVDMVDECLAPSLE >Solyc01g095690.2.1 pep chromosome:SL3.0:1:86754466:86757436:1 gene:Solyc01g095690.2 transcript:Solyc01g095690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVATPLYHFISPLNQKQPRCYSTNFGLVNVAETLPESSLSTSLESLSSLQFDYENKFNSLNSVRAMHAKMIKLSNEWDTKKNMQYFISGYLEFGDFQSAAVLFFVGFAENYLYWNSFLEEYTYFGGTPCEILEVFSELHSKGVNFNTEILAFVLKICSKLRDMWLGLEVHACLIKKGFDLDVYTKCALMNFYGRCCGTESANKVFKETSMHDSLLWNEAILVNLRNEKWTEGLQMFRDMQDLFVKANSLTISKVLQACGKLGALDEGKQIHGYVIRYALESNILIRTALINMYVKNDNIKLARVVFASTDNRNLPCWNSIISGYTALGYLDDAWELFHEMKTCNIKPDIITWNSLLSGHFLHGSYREVLAIVTRMQSAGYQPNRNSITSALQAVSELGYLRIGKEIHCHVLRNGFDYDLHIATSLVDMYVKNDDLTSAKAVFDCMKNRNIFAWNSLISGYSCKGHFEKAGDLLDQMKEEGIKPDIVTYNSMLSGYSTSNCIKEALGMIRRIKSSGMSPNVISWTSLVSGCSQQGYFREAFEFLTQMQDEGIKVNSVTVASLLQACAGLSLLHIGKEIHCLCIRNDFIDDVYVSTALIDMYSKCGNLENAQKVFQNLEDKTLASWNSMITGFAIYGLGTEAISLFDKMREAKIQPDAITFIALLSSCKHSGLLDKGWKYFDHMKTDFGVIPTIEHYSCMVDLLGRVGYLDEASDFIQSMPMEPNAAVWGALLTSCRIHGNVELAEIAAEHLFKLEPYNAANYALMMNLYAISNRWKDVDRIRDKMEAMGVKIGPVWSWLKVSQRIHIFSTAGKTHPEEGEIFFELYKLISEMKKLGYKPDTECVVQNFSEVEKEKALLGHTEKLAITYGLIRTTSPAPIRVINNTRICSDCHKVAKYMSLLRRREIFLKDGVRFHHFRDGKCSCCDFWYWTSVVNTVILVISSSVIISPSQSA >Solyc12g011070.2.1 pep chromosome:SL3.0:12:3930139:3937085:-1 gene:Solyc12g011070.2 transcript:Solyc12g011070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLANIISINLQLKTFTVGGLLNATFGNATELIISMYALKSGMIRVVQQSLLGSILSNTLLVLGCAFFGGGIVHSNKEQLFDKGTAGMNSGLLLMAVMCLLFPAVLHVTHTEVHFGKSELALSRFSSCIMLIAYGAYLLFQLTNQKNLYMPMAEEEDHIDGSADDEETPEISKWWSILWLSVMTLWIAVLSEYLVNTVEGASVAMNIPVAFISVVVLPIVGNAAEHAGAVMFACKDKLDISLGVAIGSSTQIAMFGIPFCVVVGWIIGSPMNLDFQMFETATLFMTVLVVAFMLQVCHNLSS >Solyc10g049537.1.1 pep chromosome:SL3.0:10:46008999:46010469:-1 gene:Solyc10g049537.1 transcript:Solyc10g049537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSEEEKEYMSRVPYASAVGSLMYAMVCTRSDLAHAVSVVSRFMGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSITGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLIA >Solyc03g117240.1.1 pep chromosome:SL3.0:3:67919605:67919937:-1 gene:Solyc03g117240.1 transcript:Solyc03g117240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKNALAQTAKLKADLLSKANVEYDIKHPFAKHACSTNYCTLCTIVCKCVTLFWYLINQNEVHIYCFML >Solyc12g062343.1.1 pep chromosome:SL3.0:12:31975817:31977932:1 gene:Solyc12g062343.1 transcript:Solyc12g062343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDWRWWWKSFFASGSVAIYIFFYSVNYLVFDLKSLSGPVSAMLYLGYSLLMALAVMLATGAIGHKLGNTLTKEQREARVL >Solyc03g097240.3.1 pep chromosome:SL3.0:3:60976818:60982249:-1 gene:Solyc03g097240.3 transcript:Solyc03g097240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDNKTTYKHKDYYKVLEVEYDASDEKIRLNYRKLALKWHPDKHKCNDAATTKFQEINEAYSVLSDPDKRLDYDLNGDYEINKYTLPEYLARFKGMILTCNGLGMSQDSVWSEQLTESNKLTDK >Solyc11g045333.1.1 pep chromosome:SL3.0:11:31116286:31119585:1 gene:Solyc11g045333.1 transcript:Solyc11g045333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLNSSTNLDNNKTLMRLHKEQKTTRAEKENMGKRTHIEDYEEQVEDALKHTHVKEFTFCEKVANTLAKYPELMEGFNEFIERYERVVGFLAKWDEEQDKDQDEASPQRINLEQVVTFVKKVKMYKEEHNKNINDVYHEFWKQGI >Solyc04g039945.1.1 pep chromosome:SL3.0:4:12374896:12375666:1 gene:Solyc04g039945.1 transcript:Solyc04g039945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIEIEILIIVVFEGPQICLEFGLKIKQRHGSLLLTLFMAKVVSFLPALASRETIRFNSQQFPYSLECRPTQKQLQANELPLIINDFRNAAHNAIEAAFLIKGFDGVEINAANSYIIDQFLNDQVC >Solyc01g008950.3.1 pep chromosome:SL3.0:1:2894686:2897502:-1 gene:Solyc01g008950.3 transcript:Solyc01g008950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTEDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Solyc08g066413.1.1.1 pep chromosome:SL3.0:8:55091672:55091695:-1 gene:Solyc08g066413.1 transcript:Solyc08g066413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKQHYHP >Solyc04g056540.3.1 pep chromosome:SL3.0:4:54430419:54440278:1 gene:Solyc04g056540.3 transcript:Solyc04g056540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLGGLATPFLCKPISASSSSNYSYTSASSFDSLYIKRAAELADKSAGFTAPHPNFGCVIAVPNGRATVVGEGYLYAEGTIPAEVQAVEAAGEQCRGATAYLNMEPGDCHCDTSAVSALIKAGISRVVVGIRHPLQHLRGNAIHALRSEGLQVDVLGEDTQSKTIEDAIKSCLLVNAPLLYRAACQVPFSVLKYAMTLDGKIAASSGHASWISSKKSRTRVFEMRGRSDAVIVGGNTVRKDNPRLTVRHGGDHLPRRVVLSQTLDLPEEAHLWNVSEVPTIVATQRGAKRSFQRLLASKGVEVVEFDILDPRDVMGYLYDRGYLSVLWECGGTLAASAISSGVIHKVHAFVAPKIIGGKNAPSPVGELGMVEMTQALELIDVCYEQIGPDILVSGFLQPVPDLTPTIPSVQETSAIDPTISPYESSIIFFYKTWDPYGAFSNFSLHPIQMPDENEELVTWSSVEHYYQAQKFVGVSDPVAKSCIEELKCAKSPEEAARIGRRIQRQQPNLVRPDWESIKIDVMYKALHCKFTTYPYLNSLLLSTAGSVLVEGSPHDLFWGGGRDGEGLNYLGRLLMKLRSELLGESSTSQKSLLPQTSENN >Solyc11g065090.2.1 pep chromosome:SL3.0:11:50684140:50690953:1 gene:Solyc11g065090.2 transcript:Solyc11g065090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4D9C2] MVFSKDNMTGIILALLASVFIGSSFIIKKKGLRRAAVVSGVRAGVGGYSYLLEPLWWIGMITMIVGEIANFVAYAFAPAVLVTPLGALSIIVSAVLAQIILKEKLHPLGILGCVLCIIGSVVIVVHAPQEHPISSVKEIWYMATQKAFLLYMGSVIVLVFILVFYFAPQCGHSNVLVFTGICSLMGSLSVMSVKALGTSLKLTVEGKNQLIYPETWAFMFIVAVCVVTQMNYLNKALDTFNTAVVSPIYYVMFTTLTIVASVIMFKDWDGQNIGSITSEICGFIVVLSGTILLHVTRDVERSSSFRGNYTPSSPSLSTRLCNSNGESHKHEEEHESYSEEADSRRQDLQV >Solyc06g050210.3.1 pep chromosome:SL3.0:6:32764401:32778875:1 gene:Solyc06g050210.3 transcript:Solyc06g050210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:K4C5G6] MNLLGRDRIEAFVSIFVTLLPHELSALLYSSSTFFFILSAYFVVLPLRDEGAISLGLGNLPSLFVGSLILTLFAAPLSTLIFSLPNLSKSKALVLIHRFFGVTLVAFYILWLFSTPGSSPFNIKGLLSVSSTLKQELKVEVSHTNTPNLSSWSNHGWFYVSVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLCGSLFATGMAWLGPYLLLVSAVLMELAAQSSKGIKKDFLQLPEELSPIREADTDQAEEAENIPGLTQRTTSPKSPASVAKPQFWAILDGLKLILSSTYLLHVSLFLWLSAVVSSFFYFQKVTVIAAAVTDPTGRRRLFAQINSFIAIFILAGQLTLTGRILTFAGVTLAICSAPFVAFANLIALAVWPTWIAVAISETLRKVVTYVVTRPARELLFTVVSQDEKYKAKVCIDVIVQRLGDATAAAMYKLLFSTLNGKASAVSLYALPVCLMWILTAFYLGHRYRQLTADHPSQLVETCRRYGT >Solyc09g098340.3.1 pep chromosome:SL3.0:9:72683512:72695112:-1 gene:Solyc09g098340.3 transcript:Solyc09g098340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFELGYFLFFILIIGTANGGIEGVIKVNYKFSGSERTLTALKAHDEKRHLRLLAGVDLPIGGTGRPDSVGLYYAKIGIGTPSNDYYVQVDTGSDIMWVNCVGCDQCPRRGYHGLELAFYNRKDSLSGKLISCGHQFCKDVNGGSVSGCYGNNSCYFNENYGDGSYSMGYFVEDVVQYDLVSGDLQTNSTNGTVIFGCGGTQSVDLRSADDALDGVLGFGKSNSSMLSQLASSGKVKKMFAHCLDGVNGGGIFAIGNVVKPKVTMTPLVPNQQHYNVNMTAVEVGFQFLNLSAEVFTNGVNKGVIIDSGTTLAYLPEVIYGPLVKKILSWQPDLRLRTVNDEYTCFGYSGSVDDGFPQVNFHFENFLSLRVRPHEYLFPFEDLFCIGWQNSGSLSRDKWNLTVFGDLVLSNKLVLYDLEKQSIGWTEYNCSSSIGLKDEITGSVHLVGAHSLSGASSLTAQMALTLLLLVALLHYSLFNGES >Solyc01g067700.3.1 pep chromosome:SL3.0:1:76399504:76415165:-1 gene:Solyc01g067700.3 transcript:Solyc01g067700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCPLGSGRMETLTRFLVAGNIQQTASEEAGHHKLAAQYIQRELREADEANLIDEEDMHVFGLRPLTDPLHLVCCNACKKPIKASQYVAHSELCKSLISAEEIALEVNDGTVHKKRPRKERKKSLTAYTNKPISVRKKAKPELLDNGFAASSCCSEELHSTVSFPKDKRISSHLNVASVRNSSMVNPGPVDCSEGAILHQEENSKMLIVGNRQLLADFGAVNGVTKSWSANSREAIPVSGEDHQERSTAGSDNTGSRAVAVKIATQVQNFCSKAEDVPHPLATKMYYSQRVVRLRSALSYMYHEASSNESGNEFACPKVLKSNVMPADILCHSNNSHILINYQQEKVSQILLCVLVGDTLYALIDCIVTVSSILLL >Solyc12g042803.1.1 pep chromosome:SL3.0:12:59158873:59163374:-1 gene:Solyc12g042803.1 transcript:Solyc12g042803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLIEQPFCDYDTAEVHTPLDVETLDEHVEALVPNEHVSEDITEEHGDDNVVDMPGSVPRVSSKMSHPPVWMKDYVTHETKHILHLHFKIKDLGELRLSGVRPYLTPLETNMKLTSADYMQDASDELFTDINQYQRLIGKLLYLTNTRPDIAFSVQCLSKFMEKPTLSHWNAALKVVKYVKTAPGLGILMSSDKQAQLTGFCDADWAACPNTRRSVTGYLLKYGKSLIAWKSKKQNIVSKSSAEAEYRSLATLTAEVVWVNNLFKELGMNKRRTLHSEEKVCAQANQLEKLVVKIKEEVEMKKKEKRDFVAHKGEIENRISKLN >Solyc06g052000.1.1 pep chromosome:SL3.0:6:35759153:35761161:-1 gene:Solyc06g052000.1 transcript:Solyc06g052000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWSPPPSPTPRGSEVSSPKTRPETPNGERIRSRDQKVVYYLKDWIGTFEGHKGSVWSCYLDKHTLHAASASADFSPKLKDALYYTNLATILSGLRIWDVRSGIVVQILETKFPITSAEDLPCKVESASLEPKFGNRFIAGGEDMLIHVFDFHIGEEIGCNKGHHGLVHCLWFSPR >Solyc01g087130.3.1 pep chromosome:SL3.0:1:81930961:81932843:1 gene:Solyc01g087130.3 transcript:Solyc01g087130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPEHNNTTMKEKEKEKVNKLGKLRKGLWSPEEDEKLMSYMLRNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSLQEEELIVHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTIKKRSKNNNNSTPSPNTSDSSIGGIFPMQGHDVNNVMATLCMDNSSSTTSGSSMQAMVPFNPFPQLDSTSYDISGLVGPVNLGQFGCSGGDGGFLDYGVVETYSMMGLGSDEFSIPSLE >Solyc03g096940.3.1 pep chromosome:SL3.0:3:60754235:60759492:1 gene:Solyc03g096940.3 transcript:Solyc03g096940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTGGWSMHRRIVTMLHKFHQNGMAGSITSLITLVMNCYC >Solyc01g096400.3.1 pep chromosome:SL3.0:1:87376122:87383040:1 gene:Solyc01g096400.3 transcript:Solyc01g096400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSCQWLSGPYAYPSGRFCNLSSSNTSLAGFTIYCSNSQFTPRAPGPESSKNDLKFVLHDALDASGVNTTHARIAREGFCSQIQKLSNIERETSICINRGVDLGKAALHIAAEDDSLVSHSSVPLPVDDFLERLDHLSMGYCSRYSSSFTSSPEHFIHCLEKYLYVNKGFRRTGATNQSEPRALYLHSVLTHRSGSASLLSLLYSEILKMLRLWGFLSFDIEVFFPHDSSSTPRGYCKQKTKESDQSHVMTTQSLLQEMLKDLKDAFWPFQLDHTKSPFLRAARAANCSESTNDSDESAFELASVKAALHRLERGVWTSVRFGDMRRALSACERLILLQTEPKELRDYGALLYHCGFYKESLHYLTVYQDGQKESLDLIDNVEEEEALEKLMIRLNLILMEEGWSPPTDNKSFLRNNSEPW >Solyc02g091650.3.1 pep chromosome:SL3.0:2:53530956:53535300:1 gene:Solyc02g091650.3 transcript:Solyc02g091650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEQQLEWNEAQKIVINVDLVAAAKQQLAFLATIDRNRWLNEGVGLDIAIYSIVLCSVILEKDVRLFLLSWAFDPDSCISDFIILEKLANENPHFRYYSCWLPLLAKHSDCPFFEGPLVVPLDCEWIWHCHRLNPIRYKTDCEELYGRILDNHNVVSSVKVISQMETEEIWKHLYPNEPYDLDSARAVSDNNPAQILKSEKGSTYDLVSAVKRQSPFFYQVSRPHMTSEVYLEGAVARYKGFLHLIRRNKERSIDSFSVPTYDIDLIWHTHQLHPISYCKDLVDIMGKVLNHDDTDSDRTKGKKLDTGFSGTTRFWEEMYGLRYWRAGAMYRGNTPSPLRICPYPSNPVTKNADTFHADHKIIHLPEMKVLEVMLEIIGIRNLPKGHKGDFFVFFSKSQPDRIFNAKKKLTILSEHGEKQVAYFQCEPCGHLLLELVSQSSHGLPISKSVEVIGSTALSLEDLTCPASQLTMEKWLEVVPSTKVEALEPICIRVAVSVTTPSAAPHVFHMVCSRAFSKISCFFTFRGRIQYAKNWTYVTDDAGDEIISLQMRKSKKSRRMNGSILQKELISINKAGETHTLAELVGKEWLLLDSLWSLKFQTCCGDDGYLLELVGSSRIVKFFPGQKLDYEHKHCAKRRKQDDFMTAIEFSSEHPYGKALALLDLKSGVINVKEEWLFLPGIITAFILGDILRKEGYSNLLCIANNLKDKNTSTEETNACQMNRTTEVV >Solyc02g022870.3.1 pep chromosome:SL3.0:2:24753524:24764076:-1 gene:Solyc02g022870.3 transcript:Solyc02g022870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESIADDVMIKEDLTNPCCIAWKDKYSKLKDGYTKLEDRRNALRKGLNIYEVQVAKMQAENLSLRKDLEDEKVRANNEKEEKIKESALRVSLENEVAGLKNEIFSLKKKLVADDGGREIRELKENLSERETKVNELKELVEKEQVRAESEKKKAVLERKKADDLRKKLKGEITRADEEKRHADAERKRAEANRLSLENLKKEADQVKSKLALVILEFEDANKKLEAERENTSKERKRADAAEMKTVEQKKIAEANHRRVMDEKSCATALFRQLEQDRQTIDNLKKEIGELVSSGKMVNIVSSEGTTAGTAQLSSELGQVAVDRDVAPNSDAAQRKLQEMEHKVVVEKKRVKSAMKKVEKQRKAAEAYKKKASKEKHRADQLSEVVKNYRKQVEELQKEIKKSISTRSLADCPLHASDSNVHVETGKLKLLKKQLKFEKKLVKHAIKVAKLEKTHNNAQQKHLLSIKQEVVHFLRRLNMLDGCFLQDDEQDLEKVCSFNLKNNYSGLKACDMLCHLGNDSVQLAAVVSDPSKQKIKRSVPSLLKCGGNNPGSISGINSKLEPLLRGSNKNVLQSSAMNSSSASFSDKLLVSSQERCASITTSAKSAEGKLDIEPTISSLSGDARKKCNENVVAIAESSVKSPISCIYTERRASHHKRVSRSIDANEYNGNLNSECIKWQRQLSQNISSHDGMLNSRTDRTHDEKKHLVADMQYDSFSEHFRSTKKRKTSCELGLQLLNSDSVAKTKFDSAGVKSDVWAHPSTNVYSLPETARDYKDGEDNDLGHIDELLNGGYMKLLNLDNDTDEESYRLAIEMPLSPTLPEIHYHNSVELVPINTPLYEGFSNARGTVASSGNFDVINVEINSNQLKHPTVDPSKKSSLPEKKDHVDSSKILNLDTACKLSCSSYSDTLEALCRSDLGAPTSEGLQISSERRVVSLQDGFAKYCVIFSNNNDENSISSVYHATSHCLAQCSVSSDTSLRSIMVTLLDLQGISNEEKTCVFFSLLLLYISDTAKRSFGDDWERDLILFINSVAQHIYTELSHEDSRRILVESCNLSDVLTLMEDFLLHGKLLVHALSSDSKLASNSGINLILDGRSINLCKQPAPTQLLLTGGIILASVCAAVDHIGFVCEASCNILRTLRSDALNILHIFAYLCGSKYITLKEYDLSMTVVKSLVMLIHNSRSSPNPLSSVASTIESLPKICSVCKCPFSEGAASMDAVASSLLDSLKSYSCSAVGLDLMESLNSSRHGMKCDGKKNEESTDNVDLVQSAYVTLGDSSQFIDTLALVELVAGFMSWDWMFDKIACPLLDLLEYCSTEHNAAAITTLLGQLGRRGLEAFGYEDVGIQRLRNSFCALLSQRDSKRMGVHLQFSIGIALIGLVPLGFEELVESNIEVAPAANPCDPTECLRKWFSLLSSEQRLLFKASLLS >Solyc04g009960.3.1 pep chromosome:SL3.0:4:3278230:3284327:1 gene:Solyc04g009960.3 transcript:Solyc04g009960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRTVDLRSDTVTRPTEAMRNAMANAEVDDDVLGYDPTAQRLEAEMARITGKEAGLFVPSGTMGNLISVLTHCQIRGSEIILGDYSHIHIYENGGISTLGGVHPRTVKNNEDGTMDLDLIEAAIRDPSFEICYPTTRLICLENSQAHSGGRCLSAEYTDKVGELAKKYGLKLHIDGARIFNASVALGVPVHRLVQAADSVSVCLSKGLGAPVGSVIVGSKSFIARAKILRKTLGGGMRQIGVLCAAAFTALQENLVKLEGDHRKAKILAAELNKIKGLKVDVATVETNIVYCDILKGSRISEAELVKTLEQYGLLILPEGPLRVRFVLHHQISESDVHYAVSCIQRALAGVAEENGDK >Solyc04g063430.2.1 pep chromosome:SL3.0:4:55573180:55573815:-1 gene:Solyc04g063430.2 transcript:Solyc04g063430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGRPIPEDVVVDILLRLPVESLLRFKCVHKSWRALIKKIAPGVTPEQKTLLQLPRVADFSCVAGPVDDLFLVQKLFYGEDVCLGLWNPATREFRSLSPAPFEIESFFSHHNQQYGLGFDLLTLDYKVVWLRGLWDDLGLGDYNRVYVCVYSLCNNSWKHLTLEFPP >Solyc10g024370.2.1 pep chromosome:SL3.0:10:13703921:13710517:1 gene:Solyc10g024370.2 transcript:Solyc10g024370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKPCMDSPGGAILLHGELDVQIIEAKSLPNMDMACCSKFSPFGSTRKAKNKDPGKSGTRKIIDTSDPYVSVVIGGAKVARTTVIRNDENPSWNEHVRIPVAHTVDKVEFFVKDNDGVGAELIGKVEIPADKIVAGKEINSWFSILGHSGDPLKTGAQLHLSIQYKPVAENPLYRNGVGRDTNSVGVPHTYFPLRRGGNLTLYQDAHVPDAALPKIWLDDGKVFSHNKCWEDICHSMLEAKYLIYVVGWSVYHPIRLVREPTRPLPSAGERTLGDLLKYKSQEGVRVILLIWDDKTSNDDVFLKTEGVMQTHDEETRKFFKHSSVHCVLCPRSASSKLSILKRQIVGNLFTHHQKCVLVDTEAPQNERKITAFVGGLDLCDGRYDTPEHRLFSDLDTVFGNDVHNPTFTTTSGGPREPWHDLHCKIDGPAAYDVLTNFEQRFNKAMKWLKLRKVKQGSDTLLKLDRIAAIRMPSAGPDGDLAVRVTNEQDPESWNVQVFRSIDSGSVKGFPKDIKEAEAQNLVSGKNLKIERSIHLAYVKAIRSAQHFVYIENQYFLGSSYNWPSHRNAGANNLVPMEIALKIARKIAANEPFAAYIVVPMWPEGVPTSKAVQEILFWQSQTMSMMYKIVAEALEKACLSQYFHPQDYLNFYCLGKREVKPANQKASAHIQDRLLGSAQKFGRFMIYVHSKGMIVDDEYVLMGSANINQRSLSGSRDTEIAMGAYQPNYTWAKKDRHPNGQVWDSIYFFHYKYHTHPKNTIVDEFFELTTCTTLVG >Solyc01g096015.1.1 pep chromosome:SL3.0:1:86983463:86984984:1 gene:Solyc01g096015.1 transcript:Solyc01g096015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGLFGSHQHPQKQLRDTAWDPTPDQRPSLVNRILPSSLLLPQCTIQYTTNKNRKRYCLRNHDVTGKYKIRLDFRGP >Solyc08g069040.3.1 pep chromosome:SL3.0:8:58189567:58191418:1 gene:Solyc08g069040.3 transcript:Solyc08g069040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CMC4] MDRLISSSQLLHLILLLLSIMSNTSCINAQLHRDFYRNSCPNVESIVRSAVEQKYRQTIVTAAATLRLFFHDCFIQGCDASIILRSSGNNTAEKDHPDNISLAGDGYDTVIKAKAAVDNVPACKNKVSCADILAMATRDVVALAGGPHYAVELGRRDGRVSSQNSVPSNLPHSEFHLKKLLPMFASRGLSIRHLIALSGAHTLGFSHCNQFSNRIYSFNSKRKVDPTINEVYAKELQEMCPQNASPGVVIPLDPDTPQVFDNMYFKNLQRGKGLFTSDQTLYTKRGSRNIVDLFASNKTAFERVFIAAMTKLGRFGVKTGNLGEIRKDCAVVNRIE >Solyc05g021540.2.1 pep chromosome:SL3.0:5:28065796:28067985:-1 gene:Solyc05g021540.2 transcript:Solyc05g021540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSIYLFSCKFIAQSAKLTCSLGRDRSRADLAQRTSHKMVTNGGRRRMVKLFKTLTKSFIGICVEEDQLSRIPNIVDLRSITSIWWNGQLSWGRKKYSILFEKSLQLCLRSPKKKSLQIIMFIEGDSLPIQGMNHPSEADYRMFIPLFSDVFESLDVSILHIAADGAKIASASRIICVDLNAIYFYFTAKKFCVKKFVNLLLLMDHFVIAEMTDCKFDPSVNGVRWGGLEG >Solyc02g090790.1.1.1 pep chromosome:SL3.0:2:52930634:52931317:-1 gene:Solyc02g090790.1 transcript:Solyc02g090790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFRQDSLLEENNSYPLAHKLTENQELYYMVSALRHVVSGAGAGDVRANGEAAQLLLEVQNASGGSSSTSANSMPRRSSINVELGKGKKRRRRNMKKEFRGVRQRPWGKWAAEIRDPHKAQRLWLGTFATAEDAARAYDKKAIEFRGEKAKTNFPISEYTARAVNDEINKLASVVMEEERELLVQVLNGGDVAVNGENGSSIQNGDEDDFWETLEDDGLVKWICSA >Solyc05g018310.1.1.1 pep chromosome:SL3.0:5:20442302:20443276:-1 gene:Solyc05g018310.1 transcript:Solyc05g018310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNILMLLLLSLNVKAEDLPTYSSQDVVSSFQPSLAVVIVVLFIMFSLTFVILFYAKFCHRTSSDDGGQLVRSVSHSSGIDKTVVESLPIFRFSLLRGSKQGLECCVCLSKFEDIEILRLIPMCKHAFHIKCIDEWLERHSTCPLCRHKISCEDLSLLTYSGSLRFLRSQSIRDEESNMEIYIEREDNESEELLIQGKALDRFNHRIIVSDVVFKNRWSNVTSSDLIFLNSEMLNGITSNRFSSMDTTCEQSRVIEEDQSINIKDEKRSMSEIIVYSRFNNRNRELSVRDERRRKLWLPIARRTVKWFANRETTENRTQTLNV >Solyc04g076350.1.1 pep chromosome:SL3.0:4:61347073:61353028:1 gene:Solyc04g076350.1 transcript:Solyc04g076350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRAARNAYSWWWASHIRTKQSKWLDENLHDMEEKVEYVLKIIDEDGESFAKRAEMYYRRRPELLNFVEDFFRSYRALAERFDHLSKDLQTANRTIATVYPERVQLAMEEEDGEQFYAELGPPKESNEPSKSSPAAPKLSFPKVPSFAKRNAKPSRLMSKKGLIKFNVDDEIAAARPRSGLKKSEALQEIDKLQKDILALQTEKEFIKSSYENGLAKYWEIEERVTVLQSKVSSLQDEFGIGTMIEDDEARSLMTATALNSCQETLARLQEKQKQSVEDVIVEHQKILEVLGKFETLKGKVVSRLPHPQVLSEVNKSSNRDSELKLLNRQVETSERKKHNEETVHKELVASSGSSMSDLAEKVDELVDKVINLESAFSSQNAYVNRLRAETNELHSHLTKAEEEKGTLVEDTESMSKRIRQLEEELLRVQNLNERFSFDDLSEKLNNNRQDEGVKVTLPSNKVINISGVDNAKQFQAHGAVIPGENVVGSSNDVVSKECLKKEGLEGQEDCHEVDHQNLVESHLSSNTRYGQTGGTLGANQGVSGVEKAKQFQVNEATIPGEDVPGISKGVSSKEGLKKEVLQQQEGCLEVNQKNLVKSHISNDTRDGQTGITLEDNQGSGFTDKEMMMTTASTTGKEEKFPKADLQTEQDSFIKSTVGDSADVGIEKGSDKSHGHVTDHGSYTKKNDGKVEPRGTYDSANRSNFLGSAPGYDAMISTDTKMGEEAKRRDILDNLIPFKGEAEKFFVSDLQNDHDDSTKIGCVKDVEEVKLEKGVDKSQQVHVTDHGSPTKKNVEQKGGNNLADRRDIFPSSINDKEEKHYKTNHQNHLNDFIQEAPPRNSPVESESFHTSNDDLTTKRYAGKEEQARIKDAADRSDYFHIVEGKEETFYKTHDQNHIMYCSQNLMQMKSDESNLHVSDNESAIRKDMRMEEQAGTKDIAEKSGRLQIPPEGKEENIQKSNHRDYQYDLPANVSISKTSNTKMEKAFHESEPAHNSDDSAAIREERTEELAVKDASHESLSMSSPYDSDISKDIRVGQQARRTNITDKFASSTSEEGRSLSNDLLQVSPSGATLDGTSKGGSNKDVHTMNHPRHDSVFLEDTEKSDQSEDTRASSSGSGISITTNNKGRAKVGDDHHLNMNDSKQSDDLSRRMDFKNKIESEEQNNEFSHNFIQEKQDVDFQQSNWHNQLETHSARVHVKDIPGPVPDDELEEWGSILDNLPSVLSDYKRDDLHKDELPDQENGKDSRRQVFRTIHNELYTEQHESGTEDDQPNWRALFLNSLDDDREKILLEEYTSVLRNFKGVKMKLNDEEKKRRASHFQYVVQMKVLKNANALKDAQILSLQHRLNSLQSKDVETINFNEMPKEATFQAADMRQESTAPEDRRISDFGEDSRDIKVTDVDETHSFTTVEEKVRMDIDDLLEENIELWLRFSTSFHQLHKFQTSVQDLQDEINKVREERKQDTGLPQSLLSGIRPIYRHLREIHTELTLWLDHNAVLKDDLQNRLSSLSNILDVITRLSRSKDNEPVLNAYQAAKFQGEILNMKQENNKLAGELEVGIECIRKLQNEIQSTLSNLDEELGLKKQPARPRSKIPLRSFLFGVKLKRQRPSIFSCVNPALQKQYSDL >Solyc10g007310.1.1.1 pep chromosome:SL3.0:10:1708142:1708783:1 gene:Solyc10g007310.1 transcript:Solyc10g007310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSEKIREVGEGSSSSGGAISIIATPLNNHHRQSSSSSLSTLAPTPASSSAPQLSRYESQKRRDWNTFGQYLKNQRPPISLPQCNYNHVLDFLRYLDQFGKTKVHLHGCLFFGQPEPPGPCTCPLRQAWGSLDALIGRLRAAYEENGGLQETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKKRPNLQIKASNNNDGATSANFQLQSTT >Solyc10g038180.2.1 pep chromosome:SL3.0:10:20390106:20393640:-1 gene:Solyc10g038180.2 transcript:Solyc10g038180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTVLSPSTDSSTNQTHVPNPEYETWKSHDRVILLWIKTTIDSSILGHIIQSQTTAEAWTSLHHIFQTQSLARVMTLRLQLQTMTKGSLSIMEYVQRKRTISNNLAMALQPVTNYELVTYILYGLDPSYGPFRTAINLRTPPVTCEELFGLLLQEEQKLADESGHVTLSANIANRQNFQSRPSYNSNPPTNQFQQRNPKSHDNKRPSNRPLCQICEKLDHLAKNCYNRYNDQYPPTNTPRPQANVATSSSAFLDPSWCLDSGATNHVTADVGNLSIASDYSGNDSLADLQGKVLLRGMIKHGLYHLAASHLPSRPTSFLAAKVPLQTWHDRLGHPHESVLRRLASSVNLPVSSNKLHSVCGPSQLGKSRRFHLASSHMSSSVPFELVYSDVWGPTSIDSVNGNKYFVQFLDDYSKFGLALLANPSLPLHFWEHAFSTSTYLHNRMISPSLNFQSPFSLLYNRSPDYSLLKIFGCLCYPFLRPYNNHKLQYRSLPCVFLGYSSKHKEYLCFHVSSSRLYIARHVIFDEQNFPYSIMANNSKLASPALRSLNLDILASLFTSSDPFPVPTNNSIPSSSLPSSSSPPLSATSEPLEPPIPSASASHVSASPLSVLPSSTFPYLPTLDSVFSLKDLGKLSFFLGIEVLHHHGSLLLSQSSYIKDIIHRSHLQDAKTLRTPADSTATLTRDGPPAPDATSYRRIVGALQYATITRPDISYVVNRVCQFMHDHQSLQAYSDAGWAATSLIVALTMAMLFF >Solyc05g041640.2.1 pep chromosome:SL3.0:5:53592275:53593274:1 gene:Solyc05g041640.2 transcript:Solyc05g041640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCANIMKIIAIDLDRDAYEMELPIIKKDNIEHKINFIQSSALSSLDELLNENDNRGIFDFAFINADRVSCEKYHERMLELVKVGCIIVYDNTLWFGTVAMTEECVKETIKPNKQHIIQFNKFLASDTRVQIAQVPIGDGITICWQL >Solyc06g051320.3.1 pep chromosome:SL3.0:6:34645981:34648166:1 gene:Solyc06g051320.3 transcript:Solyc06g051320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKVISEEIIKPCIPTPRHLRNYKISFIDQFTPCSYIPVILFYNANDDVDHELKPMQVALAETLSYYYPLAGRFKDVYSIECNDEGVVYVEAQANFNLSKFLQNPDIPFLNKFLPFKGNCLEPSYNQPLVALQTTAFECGGMAIGVCMLHKVVDASTMSVFLKTWAKISRGEGDKTLHPDFTSAISLFPPIDSLPTKFITDFDNFYFQGSKSPMRRFLFDSKSIKALKANTSSESVPFPSKIEALTAFICKRIGAALMANNVPKTLMITHAANLRPRVDPPLPQKTFGNLLWLAFAFYDPLDTNNELPDLGIMLREVFAQLTAENIKDIDSECVFESLSEVLESLSTNENIKTYRFTSWCNMGLYDVNFGWGKPVWVAHMGDLPAANVRSKQQFVFIESASRQGIELWVASDEEEIRFLEKDAEFLAYANPNPSICIN >Solyc11g043155.1.1 pep chromosome:SL3.0:11:33678069:33680273:1 gene:Solyc11g043155.1 transcript:Solyc11g043155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMVCKVVSIVTVMYVQQLPFWMETTPSVTDVEKIINIPSIMLTISQHEGKVTPRQCTPPKKQKRAAPLIVCKSGITGRETSQIWDHFSKFISVNEMNTLWNHLTVKCHKYPFKSDKRQTTLKPIKRGCEGEGLKKLMAKAFPDFEVPSCVTIARHCQIKYQEEKENLKELIKNQRICLTSDTRTSIQNYTYMVITFFQTPDHKGETIAKGIEECLLGWGIENLFKVPLEMLIKKGLDEQIDLISHIRSMDTCGLVYLDAETRWNSTYTMLDKALKFENTFTRMCIFYQATSKFSGSLNFTSHSFFHDFFNLQNDIIKYPKHDDLILVDMTTKMKSKMDKYWGKFESMNMLLIVVVVENDNCVMGESSALLQSQWEKHMKKRGICRKKI >Solyc10g019223.1.1 pep chromosome:SL3.0:10:12548957:12553817:-1 gene:Solyc10g019223.1 transcript:Solyc10g019223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGFALSNDSECHDTITNGILMIPPIPGPEFSWMMLLHHMPLIPPPCFCFSAGNLTMSDKSFHPYLAVSNIKNHIPITLDMENVQYSTWSVLFKIHARSHRVLAHIIDPKEGTSKPPSTDQEKELWTTPDSMAWDRLRDIFQDNQHSRALSLEQEFSTTSMENSPNASSYCQHLKSLADELKNVRALVSDSRMVLHLTGGLTRAYRGAGTLIHQSNMLPPFYKARSTHVLKEIGIEKEAATESSMVAASSDDSSDEEQIFQFIEQQAQLAGRGSGAGQALNMSLHFRYQVYPWGYGWPIPPSPYPTQAWTKPSTPNQQDRLLDAMHTMSHNSPDPSWNHILTQFERNVKNVQCDNGREFDDGPFWEFCKKHGMSFRVSCPHTSSQNGKAERKIRTINNISRTLLAHASLPPSFWHHSLQMTTYLLNILQSKLLGNKSPLEILYEREPSYSHLRSTSGYCVFLGDNLVSWSSKRQPTLSHSSAEAEYRAVANVVSESCWIRNLLLELNCPIKKATLVYRDNVRVLLVPSRYQIADIFTKVLPRILLEQFRDNPSVRKPPALLFLTPNFVALLVAIFVYIRISKIERWNRGKYSFTHICVVFDLTYLMFDPPTLSLYLSILAQSSAHVPLVSQFGEAMEMASLVFHRIYPA >Solyc07g018387.1.1 pep chromosome:SL3.0:7:10480581:10481192:1 gene:Solyc07g018387.1 transcript:Solyc07g018387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVFSSKNCLRAEAYAFLNPIVDITPVILLSFFSYPLFGKLLERAWLFGVKLGYAMDDLFSSFLKKNHLGDCVMLTLKLFIDTIVISFVLILIFGFQSNDSGRNPGREE >Solyc10g054420.2.1 pep chromosome:SL3.0:10:55307896:55309640:1 gene:Solyc10g054420.2 transcript:Solyc10g054420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSLSLNLGLPSPAANNLNRHGLHNIITCSASENTSPNQQRCLMRRSYLLGAGALSLGLLLPATPLLAQGVPENYEIFVDKRDGYSYYYPSDWREFDFRGHDSAFKDRYLQLQNVRLSFIPTDKTDIHDLGPMQEVVPDLVKHVYSAPNQVANIMEMKERTTDGKNYFTFEYVLTSPNFSRAAFATIAIANGRYYTLIVGANERRWRKFRNKLKVVADSFQVLDI >Solyc11g012690.2.1 pep chromosome:SL3.0:11:5459225:5461773:1 gene:Solyc11g012690.2 transcript:Solyc11g012690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKVDLHCEGCARKVARALKGLQEIDEVTIDYEESKVVVKGKNVDPLKVCERVERKSGRKVELISHMTKPFEENTKEEEIKKEEEKKDEPPPEITLVLNVQMHCDACAQVLQKQIRKIKGVECVTTDIEKSQVIIKGFNIDPEKLTKEVNKRSGKQASLVNNIIQEKEEEEEKEEEKEEYIIKDYNLAQKYYNNNMEYYANYSPQIFSDNNPNACSIM >Solyc09g056450.3.1 pep chromosome:SL3.0:9:49451765:49455316:-1 gene:Solyc09g056450.3 transcript:Solyc09g056450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4CTD8] MAKTDVVEGDPLTVKEIELKRELQRLVKAILSQEEINVDAIDKTQQILLDLKELKIKRSPSSLDLPFPDEFRCPLSKQLMTDPVMLASGQTYDRPFIQKWLKAGNRTCPQTQQVLSHTILTPNHLIRNMISQWCKNNGIQMPDSVQYLNDEGLTEADRDLFLSLLEKMSSTLSDQKVAARELRLLTKKMPSFRSLFGESADAIPQLVRPLSQSNSNVNPNLQEDIITTLLNLSIHDNNKKLVAETPKVIPLLVEALRSGTIETRSNAAAALFTLSALDSNKELIGKSGALKPLVELLDEGNPVAMKDVASALFSLCIIQDNKARAVRDGAVRAILKKIMSNVHVDELLAILAMLSNNQKAVEEMGDLGAVTCLLNIIRETSCGRSKENCIAVLYTICYSDRTKWKTLRDEEKTYGTISQLAQNGTARAKRKANGILDRLNRAINLTHTA >Solyc12g088840.1.1.1 pep chromosome:SL3.0:12:65038140:65038403:1 gene:Solyc12g088840.1 transcript:Solyc12g088840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTFKNLEKLLSEDKLKSLLKKYDKSGDGKLNKQEVKDAFRDLGLWFCGLRAHQAMQHADKNNDGLIVDDEMGVLVDFATKWGFTLV >Solyc10g048180.1.1.1 pep chromosome:SL3.0:10:44370311:44371594:1 gene:Solyc10g048180.1 transcript:Solyc10g048180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGEAVVGESSTLLPKEEEEDETPLLENTKSCLPKTFANFFIAIVGAGVLGLPYTFMKTGWITGICTLLAVALLTYHGMMLLIHTRRRLDLTLIGDSTISSFGDLGFAVCGPVGRFAVDVMIVLSQSGFCVGYLIFIGNTLSHLASLSKGFGIPLFSSAKSLYIWGCFPFQLGLTSIPSLTLLAPLSIFADIAQIGAMGVVMVEDVQTFMNQFPPGIEAFGTISTFYYGLGVALYSFEGVGMAIPLETEMKDKSKYGKVLALAMFFIALMYGTFGVMGYFAYGSSTKDIVLSNMEKGLLSTSVKLGLCINLFFTFPLMLNPAFEVFERRFSTGNYCVWMRWVLVLAVTMVALLVPNFADFMSLVGSSTCCALGFILPALFHYQVFKGDMDKKGAFMDLGLIVLGVFFGVTGTCYSLIEMFSQGKHV >Solyc09g064620.2.1 pep chromosome:SL3.0:9:62177878:62180654:1 gene:Solyc09g064620.2 transcript:Solyc09g064620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMEVTEKMCATEEVVDALLECMVDPLLGRSFCKSKEVPTLDQQKSMAKQIFLTKYLPTTSQNVYAWTSIYLELRMIKLGHYLDAAVELKVVLQKSSGWASMQAGSIPRLFNVKLILFINLYL >Solyc05g018750.3.1 pep chromosome:SL3.0:5:23536092:23550747:1 gene:Solyc05g018750.3 transcript:Solyc05g018750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNSGVGGGGARVSIPSTVKKTIHNIKEITGNHSDDEIYAMLKECSMDPNETAQKLLYQDTFHEVKRKHDRRRENLNKESAEPTWKPAMQGRGNKGSRGNFTPRHVLLDVGGGRNSRPDKENGASHVSGKSVNPSSVPTVEGKNTSSSSSARAIRPGVVAFGSNNVVPNAHASAGRGIKQSEATAGAGSIKSEEPLQSASHDANRSPRVSVGTRDMLGQKMPNFSNSSTSLSSPPSSGAYFSASDPVLLPSHDSRPLGAVGTIRREVGSQRAPFENFPTNSNGSKTATEVSDSRSSTVQANMSSKFQGLVKNPLLENPQSASSAQGVSSLSRPTPNYNNRSPLVGPQKAGPGMEWKPKPTNNSIAQISVSSAAGSSDVSTVSTEVDTQPQPPGVDVETREGTLELQQKLEKSHISDIQYVIIPNHLHVPEVEKLGFCFGSFEASLSLRISTNSAAESEKTLSLSGTSEDIEETINDQLSSDQNPSAAAEGANCSDQSPPSGGQENLSAKTEDVSSSIPEYSESKQGTLQGGHQYSVVHTSPNYSFGFVPPTLGSQLAPFEISESQSRDVSRLPNFVVQQPIDPTSYYAQYYRSSVDGDGRISPFHSAGVSTKYNGNVAVVPPQTSQEGGNAPTSLATQAAGIMQCSAAVTQQSLPVFRQATGMHLPHYPPNYIPYAHYFSPYYVPPTAIHQFLSNGAFPQQPQAGSVYPPPPAAAPRYSPSQYRSGANVGNSTHNGVPGTYGPYGSSTSNYTPVSTTGGGNPASNEDPSASSFKDSQQQSEGSGVWITPGRDLSSLQASSFFNLPQGQVAFTPTQPGHGIIAGLYHPAQPVTAQTVHPLMQQSQTMSGPIDMVGPTATVYQQPHHSQINWPSSY >Solyc04g064450.1.1 pep chromosome:SL3.0:4:55596773:55597488:-1 gene:Solyc04g064450.1 transcript:Solyc04g064450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKQFGEMQLGPGAAHLPKSIWLSKGLNGIYTILSFDMVSEQFGEMQVPDIKTAHWGALTLHVGSLAMLTSDSDQPMTSIYDVWVMKQEGNWSKVLTIQPHIDPHWPINIWDNNKMVFEIIETSQLVLYDPKIRRVTDLGFHLDPNIDGCWVFNYKESLVPIKKGNDTPEEDNAVKQIEHYFNTIPADEASS >Solyc01g105990.3.1 pep chromosome:SL3.0:1:93877899:93888451:1 gene:Solyc01g105990.3 transcript:Solyc01g105990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITPPPTFDVNTHKFNFSNPKFTSRLKRSSSSFTLSTSHLLSRVSGSAFCCRCRNGTGDGETVEPSPSVSSQNSSSSSSSWRWDLAFQDAVKNAMKKLDDYVNWSKGVGLDERKSEIGVDDQEWDWERWKKHFSEVEEEERLVSVLKAQLAHAISREDYEDAARLKVAIAAAATKDIVGRVMSHVNKALEEERYRDAAFMRDCAGTGLVGWWAGTSQDVSDPYGRIIRISAEHGRYVARSYSPRQLASTVEGAPLFEIFLTVDNKGEYRQQAVYLKRKPVQQDLPISSSKLPGASSNLDTVGPTENKSDMFDKISDAEEDGEDREDDFGFQNALKDMIPGVQVKVLKVTAPGKVDRDLISKVIEQIMDEEDEDEEKDYDLDSVDDEDEIKVERDGEQNVIELDTDNGVSDGEEQSQIAVRVVVGGLMQNSSNGAHHKDLLRVPARLEKKGHLSFTFTIEEDENKSNFSGSGQSPPNKKARLQGQRSLDHVMVDLAKFMGKGKIPMKVLKDMGELISLTLTQARNRQPLSKSTTFNRIEISTSPDPLNGLYIGAHGLYTSEVIHFRRRFGQWKEDGSPKESSRLEFYEYVEAVKLTGDSYVPAGQIAFRARIGKKYQLPHKGIIPEEFGVIARYRGQGRLAEPGFRNPRWVDGELVILDGKYIKGGPVVGFVYWDPEYHFLVFFHRLRLQE >Solyc05g015700.1.1.1 pep chromosome:SL3.0:5:11750885:11751094:1 gene:Solyc05g015700.1 transcript:Solyc05g015700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDWGPVLIAVVLFVLLSPGLLFQLPGRHKIVEFGNMQTSGLSVLVHTVLYFALITVFLIAIGVHIHTG >Solyc06g066200.2.1 pep chromosome:SL3.0:6:41621519:41625839:-1 gene:Solyc06g066200.2 transcript:Solyc06g066200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNNIHQAHMEKICGDIGSQEAIITCYKCKNVDVHQYCVSGYYVDAPVYWCCEKCDNDKWIISVSHGLENVQSEGSSAKLCPSSVHSNEFPRGSRNRINWEKEVKTGKARYLPVKDGHGLQSGMEKYESPLGTSLPSRVVSTESMAIVTQDHFSNPRVQISNSFPKKSAMQLVGGAAGSTIMKQRSPNSSTTHPYDPALVPSWKGNFDILGELELAPGYIQAHSPCRVRRKVYEFSGRLPDTLKLELVPRGDIWASLFNGYIPDKEDIGLYFFASERERSDRYIALVEFMHSKDLVLRTLINDVELIILTSTALCSDSQSWNSEHFLWGLFYRMGQDTDGCAEGGSNKVVDMEIDMIAGEDVGTLDIVLHSWS >Solyc01g068475.1.1 pep chromosome:SL3.0:1:77523009:77527143:-1 gene:Solyc01g068475.1 transcript:Solyc01g068475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNLLHLLQNREEMALIPHSQVFSSFSAINSLTNPFPKIFRTIKISASSSSSPNNSKDEQIVETDPVKLAFAKAKAYKKEIKPADSIAQNGVSVSEADDSDEKIALNSNTVIEGSNKENGENDFIKKENEKSDINALPKESVRKKEKLSISSIDFVGLGFSDNKKSRGLPAGLVPIVDSFPGGDLPDVEILVGDTSKFVDTETSKSSRIQEDDMDTYKPKVSTWGVFPRPNDISKTFGGGRTIRPGEVLESAEEKASKQARTRELLAAYKSRVGLTIDPNLKLESPT >Solyc12g099110.2.1 pep chromosome:SL3.0:12:67362351:67367528:1 gene:Solyc12g099110.2 transcript:Solyc12g099110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLAHQFVGLKCSPISTTRIVQSKKNIVNPIIIVHRKQKIVSRAGAVATTNAETRERIKLKEMFEQAYERCRTAPMEGVAFTVEDFHSALEKYDFDSEMGTKVKGTVFSVDANGALVEITAKSSAYLPVQEASIHSIKHVEEAGIFPGFRDEFVVVGENEADDSVILSLRSIQYDLAWERCRQLQAEDVVVKGKVVSANKGGVVALVEGLRGFIPFSQIFSKSTAEELLEKELPLKFVEVDQEQTRLILSNRKAMADSQTQLGIGSVVLGIVQSLKPYGAFIDIGGVNGLLHVSQISHDRVSDISTILQPGDSLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEAMAQTFRQRIAQAEAMARADILKFQPESGLTLNSDGILGSLTSELPAEGLDLSVIFPAEES >Solyc07g042000.3.1 pep chromosome:SL3.0:7:54925903:54928050:-1 gene:Solyc07g042000.3 transcript:Solyc07g042000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDNRFYCRKRSRFRGIFNGLCLSVLVVFFFRREDVVQSPLSKKSFKISAVRKETSLSYHHLGESRDIKLCGGLYKHQGYSTKCDYLKANPHCNSGGFLNYLFFFYCTCEGFSIFGYVTLAIWLISLFYLLGNTAADYFCCCLEKLSNLLKLPPTVAGITLLPLGNGAPDVFASIAAFMGSDSGKVGLNSVLGGAVFVTCIVVGTVSLCVAEQCIQIDKKCFIRDVCFFIIALLSLLALLSLGKVAVLGAVAFISIYLVYAAFVAANEMLRDRETSLKLDLIAPLLPVTSSSIDGESDSSLGGPESADGLLQLQAPLPHWMWSSNVAIFSDEVVKDNSVGNSPMDLWGWNEHDDVDVQSSSLSCSKLFALLEIPLTLPRRLTIPIVDEDRWSKLYAVSSASLAPLLLAFLWNTRDNLSCPATTAYVVGAVVGGIFGGVAFVYTSADHPPKRFLFPWLLGGFFMSIIWFYIVANELVALLVAFGVIFGVNPSILALTVLAWGNSMGDLMSNVAIANNSADGVQIAMSGCYAGPMFNTLAGLGISMLLGALSSRPEPFILPRDDSLYYTMGFLMLALVWALIVLPRNDMRPSKSLGLGLMTIYVVFLSSRAMLAIGDGSLHETKHFYLLACSPPPPLWPCSI >Solyc07g008895.1.1 pep chromosome:SL3.0:7:3862643:3863943:-1 gene:Solyc07g008895.1 transcript:Solyc07g008895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFCRNWGIEGGMETREINNVTQKERVFLLEFCEKSVIKNPLSGKRRKAGQSLPRRQGSFAG >Solyc06g062805.1.1 pep chromosome:SL3.0:6:39744157:39745261:1 gene:Solyc06g062805.1 transcript:Solyc06g062805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWTKPNRIAQGPLEFSYFFVGAPDHLCRSLYETLDLGHHSTANHLQVIIELILLGFLLTMWNSAEETACYYRIIFQSW >Solyc06g009480.2.1 pep chromosome:SL3.0:6:3424963:3425304:1 gene:Solyc06g009480.2 transcript:Solyc06g009480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKKGPWSSDEDSKLIHSISIFGQGRWDSLAHVAGLKRSGKSCRLRWLNYLRPNLRRGKITPQEQLLILLLHFRFGNR >Solyc01g018046.1.1 pep chromosome:SL3.0:1:27133194:27144652:1 gene:Solyc01g018046.1 transcript:Solyc01g018046.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMVCTRKDLAHAVSVVSRFMRQPGREHWQAVKRIFRYLRGGSVVSWKNTLQPTVNLSTMEAEYMALTEAAKEGIWLKGLSGGCLVAAILPWLAYDFVWDMGTTKDGLEKCTSQLTPMTVSSSTNGADTPFGYITHVRSLIGALQYLAITRPDIQFAVNRVTQRMNQPTEHDYHCLKFILRYIFGTLGRGLLIRPRGLGASGFLRFRLGE >Solyc05g008700.2.1 pep chromosome:SL3.0:5:2979777:2980062:1 gene:Solyc05g008700.2 transcript:Solyc05g008700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETDLSPCDSLQSLSIRSCPGFGSTSLAMVRKLCPQIHHLDLSGLTRVTDAGLLPLLEYLEEKVGAVLP >Solyc03g117270.1.1.1 pep chromosome:SL3.0:3:67931799:67933070:1 gene:Solyc03g117270.1 transcript:Solyc03g117270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDCTSFGGAIAPIRLTVTHSRSYIKQLNHHLPVSSEISSSSEQIAGSEDLLTEIFLRLPPKSLLRFQCVSKHWLSLISSAHFRRLHTRRNATSSASTVGVFLCRHPNYDFLALNDERISEMVRIYDRLSDSRGSRVVCSINSCNGLLCVGFKSDDAKTDFYICNLTTGHQRYIPVPETMLVKSMNLAFDPKISDDYYVVCVWLSVSENRLQFSVYSSGSGIWRHSNEHCNKVDLCSYGGVFWKGAVHWFSQTSPFLCLQVDNCVFKSMPSTDIPQGQWYRNIEYFGESCGCLHLIEIHKPQDIQFDVLELKSDYSCWFVKYRVNLEFLTNLYPIMVNQEVYPPEDYNFPYAFSTLCFLTDEEDRARVLISLPGTIVVFDIHNGTVKELADIQPHSFRNFIGGARYDCFDAYKHVETLAFV >Solyc05g039940.2.1 pep chromosome:SL3.0:5:48639330:48640396:1 gene:Solyc05g039940.2 transcript:Solyc05g039940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKKCATSSKCDDNGSSSRRVRTSRAAYRNMIRHSKQSYVLVF >Solyc12g006720.1.1.1 pep chromosome:SL3.0:12:1180857:1181057:1 gene:Solyc12g006720.1 transcript:Solyc12g006720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISTILMNSICNFNHDVGSHVYESRSMMDRHATGCIYVSATWFEDDGDDDDDDDDADYDYAPAA >Solyc10g006260.3.1 pep chromosome:SL3.0:10:925536:928812:1 gene:Solyc10g006260.3 transcript:Solyc10g006260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQGAVQPPTEKLHQIIARTALFVSKHGGQSEIVLRVKQGNNPTFGFLMPDHGLHAYFRYLVDHPELLQSDSDLNAQSEGPKTSNEHKEHDGGGGALSMLGSVYGFGEDEEPANGDDPGSRVSSVQVESLDTSNISRPLGRAESSSKAFENGEKETDERGSTHSLRSSKDKEKVPSLKKNNLVSASKSGSRSSMRKESDFSSSAVAEKTKTDMSGLGAVTKTGPMVEPPFELKRLIDKIVEFILRNGKQFESTLMEQDSKHGRFPFLLPSNQYHPYYLKVLQKAQESKVHGSERRSSLKERDSTSLRASEYDLPYEIDKKEKFKMVIGKSKKETQDSLTRTSEQEAGVNVDAAAAAAILQAATRGIKNPNLSIISGSSKNGDSQGQSSEGAQASSFLNVPPSGLSIVGQKSDRRMGHGVSIPKVKEIAKSAAAEAASEADSSEAHLSKEQKLKAERLRRAKMFVSLLKGGGAAPAKRDSLGGSVEPQGSALSGSVTEVNVATKEREGSAALAELTAVEREGSTAPLDNNNASNENEKPEMQHTAEEHERRSRRKYRSRSGIHEDEDEEEEEGQEEEEEQRSRKKRRSSRKDEDEESEEIRDDKRSRKKRRSRRHRHKDSENAGEDEDDEDNRRSRKKHHKRHSSPENDDDQRDAERHHKHGSKKHSSHRSSRENWKDDDEGDYKHSKKKHRSHRSSHRSRDRHEHKTKDSSDDESDRSRRTSHRIKDRHKHRTKHSSDNEPEGRHKHASSSDDEEQQYDLGGKNEKGTKEREELEEGEILAKASDQSRGSLGGSVSREASVDVSSSQQRAPSQPSESTEISDDLRAKIRAMLMATRT >Solyc04g074860.3.1 pep chromosome:SL3.0:4:60851789:60870669:-1 gene:Solyc04g074860.3 transcript:Solyc04g074860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPTISKLAVMLSLNRRTNSTTPNEPLLHTQDTMLEEKEESKASNLVKRTCQESKMIWEIAGPSIFNRLTMFSLTVISQSFAGHLGNRDLAALSIATTFFISITFGFLLGMASALETLCGQAYGAKQYALLGVYLQRSLIVLFLSSLVLLPLFVFAEPILELLGQPEEVAKLTGKVAIWLIPMHLSFPFQFTLLRFLQCQLKTMVIAWVSGGTLALHVVLCWIFLYKLGFGIVGTALILDICWWISVLGLVAYVVFGGCPHSWTGFSIQAFVGLWDFLKLAMASGVMLLLENIYFRVLVIVSGYMNNTEVAVDALSICITIIGWESMIPLGFLAATGVRVANELGAGNGNRAKFATKVALLNTLALGIFFWSIIMVFPDKLSMIFTSSIPVIKMVGGFAFLLATTILCNCVQPVLSGVAVGSGWQALVAYVNLGSYYLVGVPLGLMVWNDHGDSSSNLDFSDYDHQIVIDENQQPLLLAENKIEDLKTRVWIETKKLWHIVGPAIFSRIASYTMNIITQSFAGHLGEVQLAAISISNTVIVGLNFVRDVECIRNVMRASLWSEKAPYVRNIHAEIMDCFNPLLFPSPSDVHIRYPNFKIARSTRRRRRAIRDSGVVVYSATLQLCFSVSDTEVPSEPAEDGGYRLDFTGGAGDSHGDQLVVCVQTEFGVSGSRRSTRHIVVVAGCWNVYVRRMRWVPRNVDWLLSTSIFGTLRIFSTFRCCWCYALNWYYRILMLMTGYLENATLALDALSICMNINGWEMMIPLAFFAATGVRVANELGAGRGKAAKFATAVSVIQSTIIGLIFCVLIMIYEDKFALIFSSSFDVLKAFKKISYLLAFTILLNSVQPVLSGVAVGSGWQSKVAYINLGCYYLVGVPLGILMGMILHTGLEGMWAGMIFGGTALQTIILAFITYRCDWEKEILSRYINK >Solyc03g046340.3.1 pep chromosome:SL3.0:3:12312814:12320912:-1 gene:Solyc03g046340.3 transcript:Solyc03g046340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPLVSNDRLLVTHKKWKPHTGNSESLRSFKNQYCSLPSSCFTSRFCISQSRYKKWMLHFGNSDPFRRLKNQTCSLSNSCFTSSSVPLLGLNYRFCKSQSRLLHCSTGVRSMVNEKGDIDTHLNKTGSNNIRGKFSLRLRPRIRLLSRRLKRVSVICMLNDFGKFLRKNSRRVALSTSISVILGLCYLFLRLTATPPPKVVPYSDLITSLQGGSVSKVQFEEGTRRIYYNTNLWSLKNAQTGEDNSLVPDESTTITEESKDIDSNKGGKNVFSKISKAQGSTPVWQFSTRKIDHDEGYLLSLMREKGTAYGSAPQSALMSIRSLLITMLSLWIPLTPIMWLLYRQLSAANSPARKRKPSNQVVGFNDVEGVDAAKVELMEIVLCLRGAINFSKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVEMFVGRGAARIRDLFSVARKNAPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESDLNIIVVAATNRPEALDPALCRPGRFSRKILVGEPDEDGRRKILAVHLREVPLEEDLELVCNLVASLTQGLVGADLANIVNEAALLAARRGADCVSREDIMEAIERAKFGINDKQYTQSAIGKELEKLFPWVPSFIRKNSTRSDAFQGPLGYQALS >Solyc05g056350.3.1 pep chromosome:SL3.0:5:66538273:66544332:1 gene:Solyc05g056350.3 transcript:Solyc05g056350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVNGGGGEVQEQAAQPQQQQRGIGQMLTGVIRIAVFWYFASKFFSPKKPMSDPSVPNFQISNLFSKGEPLDMWFYLSEQQNFSDFGNEGALIWHETNIPYAVWGPESTRSLSLKYHLSEAVKNNGSLYAHVYFARSGYSPDPNDPQYQPLAAFGRTYSIVTYLPKSKADKKKSLLRNSEDSKEDVTNPEAVQEAQDDLKEDGPPEWISYWKPNVTINLVDDFTRYTANAIPPIVAPYINIESTTGNYYPTVFFNEFWLLRDKLIAINDTVTEVPLHLEVSPISMTKWQLFLQMDQSFQIHRSYGSMLDGESDELKRVFLEGNPYLLGVTMIVSVMHSLFDFLAFKNDIQFWNKNKSMEGLSAKSVVVNFFCQFIVFLYLLDNDTSWMILASSGVGCCIEFWKIGKAMHIEIDRSGRIPMLRFRDRESYAGNKTKEYDDLAMKYLSYVLFFLAVCFAIYSLMYDRHKSWYSWILSSLTSCVYMFGFVMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPMLHRLSVFRDDLIFLIYLYQRWVYPVDKKRVNEFGFAAEDVDQAASSSDTPALKEDEKKTN >Solyc02g090590.1.1.1 pep chromosome:SL3.0:2:52782754:52783002:-1 gene:Solyc02g090590.1 transcript:Solyc02g090590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFYTVLFMLLFISNLVVFSQGRNLATKLNHNVVVALGVKVVVEEKEDKQLGRRGFRFMEGSVDAFSSPGHSPGIGHSKHD >Solyc05g054160.1.1.1 pep chromosome:SL3.0:5:64958149:64958376:1 gene:Solyc05g054160.1 transcript:Solyc05g054160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMFTLILIFCLFVATNATTKTTNVELDKSNNMNYSLTQCYYECLQIRVFTLTDCQKECRKACKKYHNNNIL >Solyc11g017325.1.1 pep chromosome:SL3.0:11:8190562:8190835:-1 gene:Solyc11g017325.1 transcript:Solyc11g017325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIFELNPSPKLLFSTPHRPRVHSYRLKTQNNSLLFKRIVQTRPTPSRLPTVQYNSSTINCPLEHNNNWVYL >Solyc03g118670.3.1 pep chromosome:SL3.0:3:69015096:69022734:1 gene:Solyc03g118670.3 transcript:Solyc03g118670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRKSRRITFILLCLALLPITLGRQLVLVLSQEDLKEAAADSINLVDDPSDTGFDDFIDSEAKPDYVLDPGSWSPIFEPATAPQVHLEHEGEYYSSVSKIVKAYSRGDERAMEKAASEIEAAASAGHPHAQSILGFLYGMGIGRERSKAKSFLYHHFAAEGGNMQSKMALAYTYSRQEMHDKAVKLYAELAEVAINSFLISKDSPVIEPVRIHSGAEENKEALRKSRGEEDEDFQILEYQAQKGNAGAMYKIGIFYYFGLRGVRRDHTKALTWFLKAVEKGEARSMELLGEIYARGAGVERNFSKALEWLTLASRQQLYSAYNGLGYLYVKGYGVEKNYTKAKEYFEKAADNGEAGGFYNLGVMYLKGIGVKRDVKIASKYFITAFDAGQPKAFYQLAKMFHTGVGLKKNVPLASSLYKLVAERGPWSSLSRWALESYLRGDVGRAFLLYSRMAELGYEIAQSNAAWILDKYGERSMCLGESGICSDEERHQRSHALWWQASEQGNEHAALLIGDAYYYGRGTERDYDRAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPFDLHLAKRYYDQALEVDHAAKLPVTLALGSLWIRKNYANGILVNVIDSLPEIYPKVEAWVEDVLMEEGNATILTLFVCLLTVLYLRERQRRHVAAAAGEVAFPHQLGEQGVPVIH >Solyc08g013680.3.1 pep chromosome:SL3.0:8:3145043:3146794:1 gene:Solyc08g013680.3 transcript:Solyc08g013680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDERSAPHLNERILSSLSRRSVAAHPWHDLEIGPEAPNVFNAVIEITKGSKVKYELDKKTGLIKVDRVLYSSVVYPQNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTNINQLPPHRLAEIRRFFEEYKKNENKEVAVDEFLQPNTAAEAIQYSMDLYAEYILHTLRK >Solyc05g024452.1.1 pep chromosome:SL3.0:5:31741460:31743882:1 gene:Solyc05g024452.1 transcript:Solyc05g024452.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNNNHPSSSNGPELMNVISTDGIRIPTKSFGLFLIHKETRTQDAEAGLRRGKVALTPLEFNIKLTVADQDVDQASELVEDNVALKVLRYIKTQPGLGLLMSSEKDIKLTGYCDADWAACPNTKRSVTGYILKLGNSLIAWKSKKQATISRSSAEA >Solyc02g062830.2.1 pep chromosome:SL3.0:2:35210235:35213689:1 gene:Solyc02g062830.2 transcript:Solyc02g062830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKSRIRVLFLLIRSVTLSSLSMVYENWNLQEVFYGPIRRLYKSLKFTHGRLKYTKRSISVTMVTEVSFLHLVLYTTERAWSHAMEKKTLLDRPNAHQRSYLIGQLRKAVKWASLFQELCSTKGDSRTSLEAEIMYSSAILVMRSGN >Solyc02g088303.1.1 pep chromosome:SL3.0:2:51073469:51074347:-1 gene:Solyc02g088303.1 transcript:Solyc02g088303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKTCKAKLSVSIYLDTRDKEKRLDFEPLRKWRNMNYVTKIHFCYEPVRIVDFLSLSFISTFISMDEIQEGNGSAKQQPDSLSFHTFPIEVN >Solyc01g098665.1.1 pep chromosome:SL3.0:1:88978962:88981463:-1 gene:Solyc01g098665.1 transcript:Solyc01g098665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMKVCVLFRPLNPKELSEFGDAVSVQGINSESFIVKDEKEQEFDFTFDRVFYQGSEQADIYEFLALPIVQGALDAINGTIITYGQTGAGKTYSMEGPSIVDCESKKKGLLQRVVDGLFEAITNSEKPSKYAIKLSMVEIYMEKVRDLFDLSKDNIQIKESKVHGIVLNGATEVAISNSAEALQSLSVRENEMMCFHMKHFIHFGSDMAVHVAEWDS >Solyc01g009430.3.1 pep chromosome:SL3.0:1:3546792:3570152:-1 gene:Solyc01g009430.3 transcript:Solyc01g009430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPSPRTHRRGNDEKNRKDMRLSEKSKSFHGQSSGSSKTELLRRPKTVPDLLAGGRNSAGESSSANIRPMKLTKLLLNVTIQRSVGPVQVLISLESTVADLIAAALRQYTKEGRRPVLSSINAGDYDLHYSQFSLESLEREENLNALGSRNFFMCPKNVASTTSSLSCGKQADTTTKIPLPWLKFMDFLL >Solyc08g044265.1.1 pep chromosome:SL3.0:8:22435355:22437497:1 gene:Solyc08g044265.1 transcript:Solyc08g044265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHLLLREISSTISICKLGPFQNIYFMQWQRETISLIEEAITEADKKGIKVLSLGLLNQDEKLNKNEEVYIRRLPQLKVKLVDGSSLAVAVVLNSIPKVTIQVVLGGHLSKVANAIALALCQGGIKVMTLREEEYKKLKSSLTPEAAINLLLSKSFTSKIWLVGDGLNEDEQLKAPKGTIFIPFSQFPPRKTRKDCFYFHTPAMITPKHFENVDSCENWLPRRVMSAWRIAGILHALEDWHEHECGNMMFDIEKVWKASLDHGFQPIFVASASESKT >Solyc03g123860.3.1 pep chromosome:SL3.0:3:72053785:72057505:1 gene:Solyc03g123860.3 transcript:Solyc03g123860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPKLISFSSFPSKKKKTFLIMKIAVHNLIFFYCCYFSVSAFAVCGLTSDGTALVSLSSDWIGVPSSWNASDTNPCSWVGVECDDNHFVTSLNLSGYDISGQLGPEIAYLKHLLTMDLSYNAFSASIPSQLTNCTLLRYLDLSYNTFTGEIPSNIGNLHKLTYISLFSNSLTGNIPHSLFSIPHLETIYFNQNSLNGSIPSGIANLTHLLSLYLYQNDLSGPIPSSIGNCTNLQELYLNDNHLVGSLPESLQKLQHLVYLDLSNNSLQGSIPFSLGNYKHLDTLVLSSNSFNGELPPTLMNSTNLKVLAAFSSGLSGPIPATLGQLTKLEKLYLTDNNFSGKIPPELGKCQALMELHLPGNQLEGEIPSELGSLTQLQYLSLYSNKLSGEIPPTIWKIQSLQHILVYRNNLTGELPLEMTELKQLKNISLFENQFTGVIPQGLGINSSLTLLDFTNNTFTGPVPPNLCFGKKLEKLLLGYNHLEGGIPSQLGQCHTLTRVILKKNNLSGAIPDFVKNINPIFLDLSENGFSGKISPSLANLENATSIDLSVNKLSGFMPPELANLANLQGLNLSYNGLEGVLPSQLSNWQRLLKFDASHNLLSGSIPSAFGSLEELSILSLCENNLSGGIPTSLFALKKLSKLQLGGNALGGEIHSAIATASRETLRCLNLSSNRLTGELPAELGKFTFLEELDIAGNNISGTLRVLDGMHSLLFINVSDNLFSGPVPAHLMKFLNSTPTSFSGNLGLCVHCDPEEGSNCPENITLRPCDLQSNNGRHLSVAETAMIALGALIFTISLLLVIAYMLLWRKSSGKGVAISAQEGASSLLNKVLEATGNLNDKYVIGRGAHGVVYKAILGPGKVYAVKKLVFVGMKDGSRSMVREIQTIGKVRHRNLVKLEDFWLRKDYGLILYNYMENGSLHDILHETKPPVTLEWSVRYQIAIGVAQGLSYLHFDCDPAIVHRDIKPMNILLDSDLEPHISDFGIAKLLDQSAATSASNALQGTVGYMAPETAFAATKSKESDVYSYGIVLLELITRKKVLDRSLYGETDIVCWVRSVWTETEEIEKIVDPRLLDEFIDSSVMEQVIEVLSLALRCTEKEVSKRPSMKEVVKLLTRSSSSIRSKY >Solyc11g020970.1.1.1 pep chromosome:SL3.0:11:13049975:13050136:-1 gene:Solyc11g020970.1 transcript:Solyc11g020970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVVVDKRKKKDDVIVGKRFGLPVASKAYNEKDHNSLFDDTNMLRRCVLIVI >Solyc02g088423.1.1 pep chromosome:SL3.0:2:51157144:51158089:1 gene:Solyc02g088423.1 transcript:Solyc02g088423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPALLTGNVVIVISLLISLMHDQCLKLTKHGVSACFLGSCQTDQSVEQKAMAGIYSIIYVCPETILRFSTGTNSPVERRYDS >Solyc01g097095.1.1 pep chromosome:SL3.0:1:87918319:87922411:1 gene:Solyc01g097095.1 transcript:Solyc01g097095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVKSQVESQVLVRVKVNSSVKVCIRARVEVKGRVLGHGRAGDIAVVIPSLSIYSASATIDTVDVGLVFEQKDSQYLVGSCDSDYAGDLDKQRSSTSYVFTIANAPVSWKSTLQSTVALSTTEAKYMTITEAAKKQLGFKDCLESLGEWRLLILSVVL >Solyc06g048820.1.1.1 pep chromosome:SL3.0:6:31873061:31873387:1 gene:Solyc06g048820.1 transcript:Solyc06g048820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILTGTAKFDNASFQFLHKTIDVFGSVVLVEGCDPTRSITWVHAWTVTDGVITQVREYFNTSLTVTRFRKKNQSDISSITPLHCPSVWESSLPNRVGKSVPGLVLAL >Solyc03g112420.2.1 pep chromosome:SL3.0:3:64305358:64311626:1 gene:Solyc03g112420.2 transcript:Solyc03g112420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPFNSLVAQLSKLKLPISRTKELHAFIIKTHLSQDPFYATKIIRFYALNNDIISAHKVFDKTPHRTIYLWNSLIRGYARAHKFRNAFSLFNDMLHSQIMPDNFTYACLVKASSENFDLHSLRVLHGGVVLSGLRLDFICSSQLVSAYSRLGCIADASKVFSGITEPDLVLWNSMLSGYGGLGELEKGIALFSKMQIMGVRPDEYSMVGLIMTIDDSSVLETGEAIHGFCLKLGVESNDHVTSLLVSMYSRCKCIDSAFIVFGSLVEPDLVTWSALISGISLCGDSVNALDFFREMNMKGGKADASLIANVLTACTQLANVQPGIEIHGYAFRHGYHSEVMVSSALLDMYSKCGFLEFGYQVYETMVFKNIVSYNSIISSLGLHGLASHAFQIFEKALEEGHKPDEATFSALLCACCHAGLVNDGREYFRKMKDHFGILANTEHYIYMVKLLGMEGQLREAYELVQSLQEPIDSGIWGALLSCCDAHRNYELADIVACRLFGNKLENSSYRIMLSNMYASDGRWDLVNKLRVDSEITKLKLPGKSWITIAESRIRSCERRWDRSNCLVTKGNVIIKSVDHPKATVKGLDVWRLEEILDHKFSCPTNLLLQEKKKTLWQHKASKLKLVFGIVLILLQSDNYAACDEILVTASFLNAGRQQLLEKYSCKGFSIMIT >Solyc03g059040.1.1.1 pep chromosome:SL3.0:3:29696798:29697046:-1 gene:Solyc03g059040.1 transcript:Solyc03g059040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGAEWTWTDEDKEGNGGIIKGGQEELEEIVLVAPEEVTAGAIIVVEEGKEIPEEEEIERGWESISPEKIQKNNKIEGNLD >Solyc02g080270.3.1 pep chromosome:SL3.0:2:45099200:45102970:-1 gene:Solyc02g080270.3 transcript:Solyc02g080270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTRNLCMSQAKEYRDNTPFSLLFLITCNTLPIIQSPVFHLLLYLTKTSIFARVPSSSPTFLTKVKILLPTPMEVKLWNDKREREMYENFAELFAIIKATEKLEKAYVRDIISPAEYEAECQKLIAHFKTLSSTLKDTVPSIERFHDTYKMDCPAALNRLVTSGVPATVEHRAAAATSSASSASVVAECVQNFITAMDSLKLNMIAVDQVHPLLSDLSSSLNKLLILPSDFEGKTKMREWLSRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Solyc02g062385.1.1 pep chromosome:SL3.0:2:34527407:34528561:-1 gene:Solyc02g062385.1 transcript:Solyc02g062385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSGNCQSFVSGDDLFVDELLDLSNGFSEDEENENENNNSSSSQQIKECDKETVIIPSGKQDFGSLLGCEISLPGADLDNLEWLSHFVEDSFSEYSLTYSAGNLPGKSLKLHSNVEIPVREKPCFTAPLGAEREKNKPPSMSFSSSSSTTANSFWGELSVENKPAARKPKKKMEKRIGIGAKQCSHCGVQKTPLWRTGPLGEKTLCNACGVRFKSGRLLPEYRPASSPTFSTGLHSNSHRKVLEMRQKKETEPGPPVQSF >Solyc10g047030.2.1 pep chromosome:SL3.0:10:39169927:39180084:1 gene:Solyc10g047030.2 transcript:Solyc10g047030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFPLYKFACPVSLVQYMNDIGMAYNNHFSIIGFILLSSLLKQVLAQNSPVFACDVTSNPALGNLTFCDASLAVENRVNDLVNRLTLGEKIGFLVSGAGGVSRLGIPKYEWWSEALHGVAYTGPGVHFTSLVPGATSFPQVILTAASFNVTLFQTIGKVVSTEARAMYNVGLAGLTYWSPNVNIFRDPRWGRGQETPGEDPTLTSKYGVAYVKGLQQTDDGSTNKLKVAACCKHYTAYDVDNWKGIERYSFNAVVRQQDLDDTFQPPFRSCVLEGAVASVMCSYNQVNGKPTCGDPNLLAGIVRGEWKLNGYIVTDCDSLQVIFKSQNYTKTPEEAAALGLNSGVDLNCGSWLSTYTQGAVNQKLVNESVIDRAISNNFATLMRLGFFDGNPKSRIYGNLGPKDVCTPENQELAREAARQGIVLLKNTAGSLPLTPTAIKSLAVIGPNANVTKTMIGNYEGIPCKYTTPLQGLTASVATIYKPGCADVSCNTAQIDDAKQIATTADAVVLVMGSDQSIEKESLDRTSITLPGQQSILVAEVAKVAKGPVILVIMSGGGMDVQFAVDNPKITSILWVGFPGEAGGAALADVIFGYYNPSGRLPMTWYPQSYADVVPMTDMNMRPNPATNYPGRTYRFYTGPTVFTFGHGLSYSQFKHHLDKAPQFVSLPLGEKHTCRLSKCKTVDAVGQSCSNMGFDIHLRVKNVGKISGSHIIFLFTSPPSVHNAPKKHLLGFEKVHLTPQGEGVVKFNVNVCKHLSVHDELGNRKVALGPHVLHIGDLKHSLTLLNSNFLRNHAHTVFAYRVQSPEKNLKNFMEFFLGSSNNMQASNVHSFDGNVSPINAQNFIGRGRFYPGSKTTILDPYSSSDSSGSSSNKVSPLARYLCPSSPVPFISDSPKHRSLVSTSSGSRSGCGGGIQVDGCLRRHRQTTIAFINRKLVGGGRIQALAALVPNAGSLSSLLLKKYDPNAFGIFVQSCTFVHGKEDLRSTAFANRNQSEALLCRSYTSGPSSYGPRGRSVHQQVHSAASPAKAAKMSTPTTTSTTTLKVFTKDKDPSSSDSSTSSTPTSNISSTDWCPLDDNIEVTLPSSGEKCASREDVNAHIQTVLYGPSGRKRLLACIDLVEE >Solyc03g006970.1.1.1 pep chromosome:SL3.0:3:1528438:1530765:-1 gene:Solyc03g006970.1 transcript:Solyc03g006970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SBT2 protein [Source:UniProtKB/TrEMBL;Acc:P93205] MAGMLLKCMFFFVSVCLAINLAKCSPNTKKTYIIQMDKWAKPDVFVDHVQWYSSLVKSVLPSTTEVEKTGDGEERILYSYQTAFHGVAAQLSEEEVKKLQERNGVLAVFPEIKYQLHTTRSPLFLGLDREDSSKLWADRLSDHNVIVGVLDTGIWPESPSFNDSGMTSVPSHWKGVCETGRGFEKHHCSKKIVGARVFFRGYEAASGKINERGEFKSARDQDGHGTHTAGTVAGSVVRGANLLGYAYGTARGMAPGARVAAYKVCWVGGCFSSDILSAVDQAVADGVNILSISLGGGVSSYNRDSLSIAAFGAMEKGVFVSCSAGNGGPDPISLTNVSPWITTVGASTMDRDFPATVELGTGKIVTGASLYKGRMNLSTQKQYPLIYLGSNSSNLMPSSLCLDGTLDKASVAGKIVICDRGISPRVQKGQVVKEAGGVGMILTNTAANGEELVADSHLLPAVAVGEREGRAIKLYAAGRSATATLRFLGTKLGIRPSPVVAAFSSRGPNFLSLEILKPDMVAPGVNILAGWTGALGPSSLPIDQRRTNFNILSGTSMSCPHVSGIAALLKARHPDWSPAAIKSALMTTAYVHDNTYKSLKDASSVTPSTPYDHGAGHVNPRKAVDPGLIYDIGAQDYFEFLCTQELSPSQLMVFGKFSNRTCHHSLANPGDLNYPAISAVFPEKTKLSMLTLHRTVTNVGSPISNYHVVVSAFKGAVVKVEPERLNFTSKNQKLSYKVTFKTVSRQKAPEFGSLIWKDGTHKVRSPIAITWLASV >Solyc11g028167.1.1 pep chromosome:SL3.0:11:20569848:20575710:1 gene:Solyc11g028167.1 transcript:Solyc11g028167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQTYRLQSILNSGPVFEQSSSFLSPRAVHRLIYLSPSCLLNLQKVFVQVNLHVGLKFEVHFVVFFTAKSYIQNNPGTIFFFLISKRSASFDLLVFFVTIKFLEGLLSSQLECRDGYDEYSHNVNLKRLDDEQCIVDLLECFLTTNFPEGLRASQLACRVVLFFQNSGDWWLFRNETLASSKDPEALVYSSDFIQRQLRLVL >Solyc02g079690.1.1 pep chromosome:SL3.0:2:44716496:44718159:-1 gene:Solyc02g079690.1 transcript:Solyc02g079690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGISFSTEDTLVSKQGIFELGFFCPGNTEKLFIGIMVYKHKLSDGNLQLLDARKLRVWSSSLSTPSAYANKAVLLDSGNLVLTNGIDRQWQSFNYPTDTWLPGAMIGFSKSRNTLQKLTSWRNLNDPASGSQNQNGELVVQRNFKDEWRSGPWNEGGFAILAQQSYYKDLFEFSYDPTEYSKYITCNIFGESDFSRTVLEFNGSMKQWFWSNDHQSWHNNKKFIRMPNVKLPVSSESMKGGNDQICEYICSSNCSCNAYAYSSSEECLLWYIDLVDLSNSSQLEFNIKLFERSNKG >Solyc07g054020.1.1 pep chromosome:SL3.0:7:62568356:62568914:-1 gene:Solyc07g054020.1 transcript:Solyc07g054020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRGKGGLGAQGVSLDGDGRRRTQGALGRGYGEGGASGCLEARRGTSLPRDGMGESDHRSGRGRGPRDGAEERGSRGHESCLGAGRKKRPRARSVYRGWMGVGGHESQSVPQRGVGGLRAHSVPRGGDGMRRAWRCLRAWMGKGALGHRVCLGAGME >Solyc01g109520.3.1 pep chromosome:SL3.0:1:96363456:96367132:-1 gene:Solyc01g109520.3 transcript:Solyc01g109520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGTNVEEAFQCIAKNALKSGEEEEIYLPDTIDVAASSQQRTGGCEC >Solyc05g053340.3.1 pep chromosome:SL3.0:5:64313825:64320718:-1 gene:Solyc05g053340.3 transcript:Solyc05g053340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase [Source:UniProtKB/TrEMBL;Acc:A4GVL5] MVSEKIQENIKLPIIDLSLEKSQILKLIVKASEEFGFFKVINHGVDTNVIKKMEDESYNFFSKSFSQKQCAGPANPYGYGCKNIGFNGDNGEVEYLLLHANPNMSISQISKNISSDPLMFSCAVNGYVKSVRELACKILELMAQGLRVQQTSVYSNLLMDLHSDSLLRINHYPPFNWTPHLLHHDCDTSPNSCNSTVSKIGFGEHTDPQILTILRSNDAPGLQISTQQGLWVPVSPHPNTAFSIFVGDTLQALTNGRFKSVRHRAMVNSCKPRMSMVYFGAPSPHARICCPLELVTTTPHKPYHLYRPFTWGEYKKATYSMRLGDTRLDQFFRLQSENDETITINNQTS >Solyc01g010810.3.1 pep chromosome:SL3.0:1:6150811:6156685:-1 gene:Solyc01g010810.3 transcript:Solyc01g010810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDSTAERPLFGGAISTTFPIRFQDVSNVRQVPDHQEAFVDPERDESLIIELLDLKMDVADSGSATWFLQDLANEQEAEGATITEQSAVFEAPGLCYRNMPAVITTAVGQMAVAKGKQGREAQNLVKVYLANIRLKEVGTDVLITAYEPLVINPLSESATAVGAGMAVPAAQSGVMPMAEVFKLAVSSFKVHNWSLFSSAAA >Solyc01g080780.2.1.1 pep chromosome:SL3.0:1:79870182:79873643:1 gene:Solyc01g080780.2 transcript:Solyc01g080780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDLIITKTVEMVLDSDSIEDDKAEIDTVQNFITEGGEANYTLEGGRDLYQVASKESTLLGQELDNDKMLLAEESQILDSSVESKSADSSRGVKPSDTAYSSPREENRVSKFGADDLNSGNSIILHTGASGDSQKSESKEDGVYQGSDCQDIATRTETESYHEPIKDSEAESLECIDISVPSTAEEQVYSSSDVTWSTRAEDDLPKLSDKTQHREARLNPDLEAKCKDIDTVKLFKNEEALFLHENDESLTFDGSGGMKLIIDQSDQQIANADYDGEVSEGHLPKVDAEIVTDLAEEVDTDEESEENEMFDAEALAMLLRAATGVGPEGRSVSIPSADGTQVSSLELPDTPGSSFHSSRPGQPTNADKFPLSDNKTEGISEVILSEEEKKKLEKLQQLRITFLRLVHKLNRSPEDSIAAQVLYRLVRAAGKSASQVLSLDSDQKVAIELEAEDTDSLNFSLNILVIGKTGVGKSATINSIFGEAKSMVDAFVPATTDVKEIIGQLDGVTLNILDTPGFRSSLTEQSINRRTLLSIKKYMKKYSPDVVLYVDRIDTQSRDLGDLPLFKSISSYLGPSIWRNAIVTLTHAASSPPDGPSGHPVSYEMFVAQCSRIIQQLIDHSIGDPHTMNAGLMSLPFALVENHPVSPKNDKGDILLPNGENWRSQLLLLCYSIKILSEVDSIMKDQDLHDHRKLFGFPKRSLPLPYFLSSLLQSNVHPKVSNNQVGGDIGSDIELVHSSDSDQEVDDYDDLPPFRPLRKSQIAKLSKEQKRAYFDEYDYRVKLFQKKQWREELKRLRDMKKKGKAEIGDYMEEGADQETGSQAGAAIPLPDMVLPNSFDGDNPTYRYRYLEPSSQLLARPVMDSQSWDHDCGYDGVSIEDHLAIAGQFPAVIVLQLTKDKKEFNIHLDSSVSAKTGKKGSSMVGFDIQTVGKQLAYILKGETKVKNLKTNKTAAGISITFLGDTLVTGLKLEDQFSIGKQLVVVGSTGTIMSQGNAAYGANLELRLREKDYPVGQDQSSLGLSLMKWRNDLIWGCNLQSQFSVGRNSKIAVRAGLNSKKSGQITVRTSTSDQLLIAIVGLLPIARAIMMTLFPQTSGKNLI >Solyc05g055510.3.1 pep chromosome:SL3.0:5:65978588:65981644:1 gene:Solyc05g055510.3 transcript:Solyc05g055510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4C2M7] MISLTFILSFFFFLILSFSSLITSTFNNQTLSHQHPFPESVVQQVNRRINESISRRQISDTTVINYQCLTGNPIDDCWRCDPNWVDNRQQLADCAIGFGHGAVGGKGGRYYLVSDPSDYDTVNPTPGTLRHAVIQDEPLWITFAGDMIIRLKHELMINNYKTIDGRGANVHVTGGGCITLQYVTNVIIHNIHVYNCIPSGNSNIRQSTTQVGWRGMSDGDGISIYSSRNIWIDHCALSHCTDGLIDAIMGSTAITISNSYFTHHDKVMLLGHDDRYVPDVGMQVTIAFNHFGEGLVQRMPRCRRGYIHVVNNDFTEWQMYAIGGSANPTINSQGNRFTAPEDPNAKEVTKRVDVDERDWTEWNWRTEGDEMVNGAYFVPSGDGISNQYALASSMEPKSAFLIEQLTMNAGVIGVPRDTTVAMSFGGRTRTTIAANRSSSVRPSRSKDGDGGFLEKVFGSVASAGSSTSSPSSSTITILFSLLILYIITNNIGLL >Solyc12g014300.2.1 pep chromosome:SL3.0:12:5104322:5106058:1 gene:Solyc12g014300.2 transcript:Solyc12g014300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFQKFHEEWHGHLRELVQQMSKLPKFCTTNQDEQNTKLLIQKVISHINEYYRVKSLAAKNDILYIFSAPWSNSLERSLYWIAGWRPTTAFHIIYSECGIQLESHITNILNGFRNGDLADLSPDQLTRFSELQCETIQQENNITEQLSNWQDSVNDIIENIDKKMETLLGILKRADEVRLNTLHNLVHLLTPQQLLEFFIAASNLLFEIRSWGINYDNQRVKELDVSVLKF >Solyc09g008913.1.1 pep chromosome:SL3.0:9:2298939:2301174:-1 gene:Solyc09g008913.1 transcript:Solyc09g008913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIMVIQTSYSSSELFKYHDVTFSDRNIVDVNLAHNFYKGSMALAPYGNYWRFSRRICTVEMFVHKRINETTLIRQKSMNRMLGWIEKKASSGGGVIEGIEVTRYVFLASFNMLGNMILSKDLVTDPESEKGSMFFNAMMGIMEWSGVPNISDIFPCLKMFDLQGLRKKMKRDMGKAIEIIKNFIEERIEERKKGEENKSIKDLLDVLLDFEGSGKGEPAKLSEHEITIIILEMFLAGTETTSSSVEWALTELLRHPRAMTKVKLEISQVIGPNKKFKERHIDSLPYMQAILKESLCLHPPLPFLIPRKAIQDTKFMGHDVPKGTQVLVNVWAIGRDPECWNDPFEFKPERFLESKVDVKGQNHELIPFGAGRRMCAGLPLGHRMMHFAFGSLLHEFDWELPNNVSPKSINMEESMGITARKKQPLKVIPKRV >Solyc03g115360.3.1 pep chromosome:SL3.0:3:66574860:66576896:1 gene:Solyc03g115360.3 transcript:Solyc03g115360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPARNVKDVSPHEFVKAYAAHLKRSGKLELPEWTDIVKTGKLKELAPYDPDWYYIRAASMARKIYLRGGIGVGGFRRIYGGNQRNGSRPRHFCKSSGSVARHILQQLQTMNIIDFDPKGGRRITSNGQRDLDQVAGRISAAN >Solyc06g075440.2.1 pep chromosome:SL3.0:6:46961109:46971473:-1 gene:Solyc06g075440.2 transcript:Solyc06g075440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIGPNFQIPAGSMSVFILLSSALFLALFDKVLFPAWKNLSGKSLTPLQRIGVGHVLNFLCMAVSALVESKRLNLAKSNPGSIIVPMSAFWLVPQLALVGIAEAFHVPGQVSLYYQEFPLTLKNLATALISVLVGIAFYLTTAVIDVVRRTTTWLPGNINDGRLDKMYGVLVVVGVVNFGSMAGLSLAAAGWNNNLIVYLIEEFNMNSVSAAKVYNMANGCSTIFPVLGAVLADSFLGSFSVIWISSFISLMGVLLLTFTAVMDTLRPPKCFDGSNECGNASTFHLTILYAALALAYLGNGGTRFTIGSMGANQFHKPNHQSIFFNWYTFALYTSNVIGSTVLLYIEDNVSWVIGFAICVAFNIFGLAIFLSGRRFYRHIEAQQESPFMSLARVAVAAIRKHGEPLSLGGEDYYNGMTKQDSSTNAHDPTNPSKFFRFLNRAALITEGDKKPDRVTAEPWKLCTVQQVEDLKILIKLFPIWTTGLLLCTPLVIQTSLAILQALKMDRHLGSNFKIPAGSVIVFTLISTCITITFMDRVVSPLLTKHIRISLTPLQRVGIGHVLTVVSMFLSALVESNRIKLEHQNSVVVPMSVFWLAPQLAISGVGLAFHSPGYVGFYYQEFPGSLKSTSTAVVAVFMGVAFYLGNGVMDLVQRVTGWLPENINNGRLDNVFWVISVLGALNFVYYVICASLYEYKNVDKEVSDSIDKIDGN >Solyc09g057907.1.1 pep chromosome:SL3.0:9:52444765:52445847:-1 gene:Solyc09g057907.1 transcript:Solyc09g057907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWMQAYVFHANEIFKGGRMKDENIVVFMHNDTVKSEWNPNPEVIISHLNGSGVYVACLSHNIIVISLLENVVHLILWRSNWILEMMMEETMTTILFIVFPMLNVIGEISFRDV >Solyc05g015035.1.1 pep chromosome:SL3.0:5:9598600:9600160:-1 gene:Solyc05g015035.1 transcript:Solyc05g015035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFGEEPAGESSNLQKRSERDGQYHKYSMEQIQRLDAFFKKCPHPDEDQQKQLGREAGLDHMQVKFWFQNRRAQAKVILIS >Solyc06g024310.1.1 pep chromosome:SL3.0:6:11433690:11434645:1 gene:Solyc06g024310.1 transcript:Solyc06g024310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVIVMAREASVDWLIHLNTDELVHPESSVERDDVKEPFSEVSMFKKIYDLLTEEMYCGHCKEATRGNPNYFLTYANGKSAA >Solyc06g083780.3.1 pep chromosome:SL3.0:6:49150189:49152086:1 gene:Solyc06g083780.3 transcript:Solyc06g083780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Solyc02g094135.1.1.1 pep chromosome:SL3.0:2:55397433:55398851:1 gene:Solyc02g094135.1 transcript:Solyc02g094135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLHYRHLCSITYGPPAPPSRNPPHLSLLADQCTSLHQLKQIHAQMIVRARIHDNFAASRLLSFSALSQSGSLSYAVRLFDSINEPNSFMWNTLIRAQAGSSNPRQALLFYVKMRRRCVAPGKHTFPFVLKACSNVMCIYVSRQVHCHSIKFGLDLDLHVVNGLIRAYSVSRVLRDAREVFDEVPERNLSIWTTMICGFAQNDRYADAIQLFECMLEDGMAPNGATLVSVLSACAESGSLQLGEQIHAYVEENGIELGVILGTALVNMYAKNGAIVKAKKCFSSMRERNIATWNAMICGLAAHGHGKEAINFFKELEQEKVKPNDITFVGVLSACCHAGLFDYGEGIFHSMKELYRIDPKIEHYGCMVDILGRNGKLLEAEQLIRGMIWKADVVIWGSLLHACQSHGNIDIAERAVKEILLVNPNSHGVYVVLSNMYAEAERWDDVVKLRKRMKEGSLKKTPGWSLVNEAT >Solyc04g049865.1.1 pep chromosome:SL3.0:4:43797269:43798339:-1 gene:Solyc04g049865.1 transcript:Solyc04g049865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KECIQKAGIDYTKTFSSIIKLTIVRTLLGIAVKKEWSIFQLDVNNGFLHDELREEVYIKFKIKDLGKLHYILGMEMLYKEDELIISQRKFFLDMLKTYGVANLRNCTSPLDPTIKLHAKEGTPLQLIGKLNFLTNTKINISYSVQHLIQYMHEPREPHLQAVFHLLRYLKTNPTLGIFMFNDQSYNVKAYCDSIGLHALTPGDQALRKVVGELVWLSVLLEELTVPPPTPT >Solyc10g049840.1.1.1 pep chromosome:SL3.0:10:46860643:46860930:-1 gene:Solyc10g049840.1 transcript:Solyc10g049840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYYTHIHDKISKNPFKVKFRRLNSKNNSELHPINWVGSCFLKTSADFRIGKHDINKTFNTFPPQGQVGERGWRCYSNFPKKAGCLGFVHSLVY >Solyc06g072010.2.1 pep chromosome:SL3.0:6:44522369:44525279:1 gene:Solyc06g072010.2 transcript:Solyc06g072010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAATNTPFHQVVIRRLSRRLMVNLPDAPNNAKILKLILTKEDLAHDVDLDSVACMTNGYSKSNLKVSVLFMFAWINY >Solyc02g084190.2.1 pep chromosome:SL3.0:2:47923929:47926541:-1 gene:Solyc02g084190.2 transcript:Solyc02g084190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKIKNFEVKELDGMRGSCWVFEGSCEFKEAQRFLRSWFSTAPQGWCDQGILMLAAMRGVKAFELSCLGVAKWVYEIGIKFPSHGYNSKGQSNLFSLELKNCFLAWHLMWVSEIFEEVPFLGGFSLSLKGCSHDMFLSF >Solyc01g080700.3.1 pep chromosome:SL3.0:1:79801140:79808880:1 gene:Solyc01g080700.3 transcript:Solyc01g080700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKAAMLFLGFLGRAVLMMTVISIFGCNRVKGHRVLLDTDMGTDDIFALLYLLKLSPSQIDLQSVFVVGNLMSCTHVKGRVCALCYELRCETEAVTVSTNAWSDAGHAVNQVYDILYMMGRDDITVGVGGEGGILPDSTILPNVGGYLPMIDQGNGTAGYCRYRQTIPVGHGGRLDIDSNYGFRKSFLPQGKRSYSPLRQPTAQKVMIETISAGPTVVFLIGSLTNFALFLLSNPHLKKNVEHIYIMGGGIRSKNPQGCCPDNASPSCQPQQCGDRGNLFTDFTSNPYAEFNIFMDPFAAYQVIHSDIPITIVPLDATNTILVNKNFMETFEKNQHTYEAQYCFKSLKIIRDTWFDDQFYRSYFMWDSFMSGISASIMRKQHNHQGENEFAEMEYINVTVVTSNKPYGVSDGSNPFFDGNKTPRFNLERNGVHSGHVQTRLRDPFCIVKSGRGRCQDGYTKEVKKPGGVPVLVAVRAKPNRNASSILDREFSVSFLDVLNQAEHTGRFNFTTEFPYYREVYYKPDLRGKNFGKNLVFDMDMSAGDFLSLFYLLKLPVEDINLKAIIVSPTGWANAATIDCVYDLLHMMGRDDIPVGLGDGFAMNQSDMVSSAVGDCRYSKAIPQGSGGYLDSDTLYGLARSLPRSPRRYTGENSVKFGAPRDTDHPELRQPLALEVLESVVKSLDPGSKITILANGPLTNIAKLILEGKNTSNVIQASVLPFLWS >Solyc06g074495.1.1 pep chromosome:SL3.0:6:46229888:46232216:-1 gene:Solyc06g074495.1 transcript:Solyc06g074495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEAPFYPREKLVEKQKFYQSVHKHTYLKGRFDKVTSVAIPAALAASALFMIGRGIYNMSHGIGKKE >Solyc06g076870.3.1 pep chromosome:SL3.0:6:47911425:47914621:-1 gene:Solyc06g076870.3 transcript:Solyc06g076870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:K4CA45] MAVKVTKAEKKVNYDKKLCKLLDTYQQILIVGADNVGSNQLQMIRKGLRGDSIVLMGKNTMMKRSIRIHAEKTGNNAFLALIECLVGNVGLIFTKGDLKEVSDEVSKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVEIIKKGEKVGSSESALLSKLLIKPFSYGLIVQSVYDNGSVFSPEVLELTDNDLVARFAAGLTNVVGLSMTLNYPTLAAIPHIFINSYKNVLSFAIATEYSFPQAEKVKEYLKDPSKFAVAAPVAAAPSAKGKAAPAKEEKKEEPEEDEDDFVGGLFD >Solyc06g035710.1.1.1 pep chromosome:SL3.0:6:24863528:24865090:1 gene:Solyc06g035710.1 transcript:Solyc06g035710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4C4U3] MCNKQTSSPLLSTKDTTNMFPLLIPKTPTNLLHDHKNTTTHFTLIFIEAKSIANIAFPMILTALLLYSRSLISMLFLGQLGGLALAGGSLAVGFANITGYSILSGLAMGMEPICGQAFGAKKYNLLGLTLQRTILLLLLTSFPIALLWINMKNILVYCGQDEDIATEAQSYLIYSLPDLFAQSLLHPLRIYLRTQSITLPLTFCAIVSISLHVPINYLLVTKLNLGVKGIALSSVWTNLNLVISLIIYIIISGVHKKTWENLSTECLKGWKELLNLAIPSCISVCLEWWWYEIMILVCGVLINPRATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNELGAREPKKAKIAAIVGLAGSFVLGFSALFFAVTVRKIWAKMFTNDQDILTLTSLVLPIIGLCEIGNCPQTTGCGVLRGSARPKVGANINLVCFYLVGMPVAVVLSFYAGFDFEGLWLGLLAAQASCMVTMLMVLLRTDWDLQAERAKHLTGTTLLYGVDENEINIDQSKQLLTENNDNSLC >Solyc02g065610.3.1 pep chromosome:SL3.0:2:37330408:37346923:-1 gene:Solyc02g065610.3 transcript:Solyc02g065610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQDLDHSLGKLQTRKKNATKIAPFVALAILFTLIPIYYPSMHPKKLSQVLSSSSLVPLPDSNTQHQSNNSSNSSTTQQINVTKSDDDHVSVAPQQARIINSTDEKCDLFLGEWVENQEAPYYTNMTCFTIQEHQNCMKYGRPDTDFLKWKWKPDGCELPIFDAHQFLELVRGKSLAFVGDSVARNHMQSLICLLSKVVYPIDVSNSTDQDNRRWEYREYNFNMSIFWAPYLIKANKTEPNNIYQPFNLYLDEPDESWSTKIQDFDYVIISSGHWFSRPTMFYLNHTLVGCLFCSQPNVTQMTSFFSYQKAFHTAFRTFNSLENYKGVTFLRTFAPSHFEDGVWDKGGDCVRKTPFKRNEKVLEDYSLEFYKIQLQELTIAQKEGKMRNLKFRLFDATQAMLLRPDGHPSKYGHWPKPNVTFSNDCVHWCLPGPIDVWSDFLLELMKREENTCSSIHPRSYLNHRLVGCVDCIEPNITHFTSFFSYRMAFKTTFRAINSLKNYKSVIHLEYPANLIVDHSSKITSHGTTTKYQKIIKQDDEDTCDVFIGEWVRNPDAPYYTNMTCWAIHEHQNCMKYGRPDTDFLKWRWKPKGCDLPIFNPYQFLDMMRNKSMAFIGDSVGRNQMQSLICLLSRVEYPIDISNDTDQNFRRWKYTTYNFTLAAYWSPFLVTVKESDPDGPSHTGLFNLYLDEPDPKWTTQIEQFNYLILNSAHWYTRCSVYYEKNQIIGCHYCGLPNITDLSINYGYQKALKTTLKAINSLENFKGVTFVRTIAPSHFEGGEWNKGGNCVRQGPFRSNETTLDGISMEFYRTQVEEFKVAAKEGKAKGKRFRLLDMTQAMLLRPDGHPSKYGHWPNANVVLYNDCVHWCLPGPIDTWSDFLLHMLKLEGRRALEENIQL >Solyc01g098645.1.1 pep chromosome:SL3.0:1:88972237:88975814:-1 gene:Solyc01g098645.1 transcript:Solyc01g098645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTCVFHIVVLIFICLPLANTIDFNYPAVFNFGDSNSDTGGLVAGVGDRLEPPNGQTYFKNPSGRFCDGRLIIDFLMDAMDLPFLNSYLDSVAAPSFKKGANFAAAGSTILPATASSVSPFSFGIQVAQFLRFKNRVSEIQAKTRKYDKYLPAQDFFQKGLYMFDIGQNDLAGGFYSKTLDQILASIPTILSGFEDGVKRLYDLGARNFWVHNTGPLGCLGQNIAKFGTDVSKLDELGCVSSHNQAAKLLNLQLYALCKKLQGQYSDANITHVDIFSIKSNLIANYSRNGFEQPLMACCGYGGPPLNYDSRIACGQTKVLDGNNVTAKACNDSSEYINWDGIHYTETANQFVASQILTGKYSDPPFADKMPFLLKLKF >Solyc10g009640.2.1 pep chromosome:SL3.0:10:3821686:3824605:-1 gene:Solyc10g009640.2 transcript:Solyc10g009640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENIEKIFDPEEVIEDFEVMTKDAGRIQQETLEKILKENGGTEYLKQWGLNDRTDVETFKACVPIVSHSDLDPYIQRIADGDLSPILTGKPIQAISLSSGTTQGKPKFVPFNDELMNSTMQTFKTSFAFRNREFPIGNGKALQFIYSSKQFKTKGGLAAGTATTNVYRNAQFKKTMKAMSTPVCSPDEVIFGPDFQQSLYCHLLSGLIFRDEVQVVSSTFAHSIVHAFRTFEQVWEELVVDIREGVLSSRVTVPSIRLAMSKLLKPDPELAETIYSKCSSLSNWYGLIPELFPNTKYIYGIMTGSMEPYLKKLRHYAGELPLLSADYGSSEGWVGVNVNPKCPPEMVTYAVLPNIGYFEFLPLEENLIGVEQANSLVGLTEVKLGEEYEIVFTNFAGLYRYRLGDVVKIKGFQNGTPELQFVCRRNLLLSINIDKNTEKDLQLAVEAAGKHLVDEKLEVMDFTSHVNVSADPGHYVIFWELSGEATDEILQKCCNCLDKSFLDAGYVSSRKVNAIGALELMIVKRGTFHKILDHYVGLGGAVSQFKTPRCVGPKNSSLIQILSSNVVKSYSSTAFC >Solyc11g008910.2.1 pep chromosome:SL3.0:11:3081938:3083654:1 gene:Solyc11g008910.2 transcript:Solyc11g008910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTVSGVLFPFLFFVLAMSTHAAPISQAKGSEMVPLIEPGKAEKMMIMLNNTRRKLGSFQICALCTCCGGAKAVCLPTPCCYAINCNIPNRPFGYCSFTPKTCNCFGCHY >Solyc02g090610.1.1.1 pep chromosome:SL3.0:2:52799098:52799400:1 gene:Solyc02g090610.1 transcript:Solyc02g090610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLIKLILVNLFVAMIFSHIIQSIEGRHLKLENTNNPSRPYVHTVKSATFEKVLISHNYVATIATPTPPTSLVQTVSPPPPPGHPDGHSPGIGHSLKGN >Solyc02g093720.3.1 pep chromosome:SL3.0:2:55100806:55104668:-1 gene:Solyc02g093720.3 transcript:Solyc02g093720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDSACLIHAFSYASAIPNEIKQGNPVHALGESISFGRFVSESLDWEKWSTFSHKRYVEEAERYAKPGSVAQKKAFFEAHYKKIAAQKAAALLEQANQQNPDSEITKNSAPDSTSLVKPHVEANQEEVHENPDALTTDSMVKASVSVADVEEIESESPVEGPGTPEATEKEPSNHIENGETQETVSEISETSHTEKPLLKTKSSSSKLEDDAASVTSKKKSAFSSFKSAVYSKKSKFPFPPTRHSIPLDVDKENNFTPITTNTNLDLMNEMRSTPKSLSKLINFTPAKEPHKIPPPPPFLKKESSKVAPATSKASKHCATPLKTPMATSNGASNHPMTTPSSESRRIKTPIHPTASGSITAGPKWNILSSVSKSFTAYRNKLQSPTLSTPFSLRTEERAARRKQKLEEKFNAKEEKKVQQQTTLKEKAGTELRKLGQSFCFKARPLPDFYKETETAKDHAKKIPVTQAPLPKRGRMPYPSSKQGLVSMKNDSCKNPRKKTS >Solyc09g064540.3.1 pep chromosome:SL3.0:9:62045143:62049815:-1 gene:Solyc09g064540.3 transcript:Solyc09g064540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGCKCFRWSKISDLSSREPDTFLLPEPIPQWPQGCSGTGFASGTIKLGELEVHKISKFDFVWGCNLSQDWKQGVSFYKPRGVPDGFFSLGHYCQSNKKPLRGFVLVAREVAKPETGDHCSGNPCLPALQNPLDYTLVWSSNDGTEENFDGSGYFWLPQPPEGYKALGFIVTTKPVKPELGEVKCVRADLTDECETYRLILKTSSVLSEVLAIWSIRPRHRGMHGKGISIGTFFCSSYWSTGQELNIACLKNFDTNLQAMPNLDQIHAIIRHYGPTLFFHPNEVYLPSSVQWFLDNGAMLYTRGDSVAKPIEPEGSNLPGGGTNDGQCWIDLPCDVRRDIVIFGNLESAKLYVHVKPALGGTFTDLAMWIFCPFNGPATLKVGVVNVSLSKVGQHVGDWEHFTLRVSNFTGELWSIYFSQHSGGEWVDAYDLEFIAGNKAIVYSSKSGHASFPHPGTYIQGSSKLGIGIRNDVARSNLYVDSSTKYEIISAQYLGHEAVSEPCWLQYMREWGPTIIYDSRKELEKIVHRLPIMVRNSVQSIFDKLPMELFKEEGPTGPKEKNNWFGDERW >Solyc08g081130.3.1 pep chromosome:SL3.0:8:64335260:64338878:1 gene:Solyc08g081130.3 transcript:Solyc08g081130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKIGGAIRPEIDNLKMESNQTLVPEKEEEKNQLITDDDRDGEEEQQQRGECGDHENAESQTSSSLNGKEILKAIEVVERDSMAIAHSYTSLFASLRSTLSEVTSTSVDHMICFGDAAGRVQECALDAATKGNRYINSCLRLNEEMKGIDNLATQLYPFHVIMV >Solyc09g012075.1.1 pep chromosome:SL3.0:9:5436278:5440202:1 gene:Solyc09g012075.1 transcript:Solyc09g012075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTFDVINYEPDEDNTTNKSNPQEIENTPTKTEIETSDIPTSESTQNPQVHGWKHQSSHPIQNILTPLE >Solyc04g082130.3.1 pep chromosome:SL3.0:4:65995076:66003123:-1 gene:Solyc04g082130.3 transcript:Solyc04g082130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEVAAAEVIGVKHLSSAEAAAEEEKLRLLREAVHALPVSAVKDLWSIGACVRCIFRLFGLHELMCSHPSLSISKWCDILEDVMRNKDGEFNEQSHQERSRETNFCKICLGILQFLYYDDTGTLLKKYSADDFAVVISELVKQQYQQIDSFSLEVSLPSVVTENDQAVWLYMKNKYRHELWFQEKAECQFISTKDIFKLLVINPLQRLLGVKSTQSSFRVRLTYSHSDALTRDDVQEGNGGNKRRKTGFTGDLKTTGESVTPHVESFDSNIVDGDGLTKVCPKEAQDLSCNNLMAKLDKVGKPCSLAIHCCRSSTYIGGRYLKYSRNVSQTRWVIDDERMGEASVEEIIGGAILPIFQGDNYKFHAAGREDIDVRMLGTGRPFLVEIQNARQVPSEALIKEIEKKINSLESQYVRVKNLRAVGSQGWDLMREGEAEKQKQYAALVWISRPVSDEDLQTISSLKELKVAQKTPIRVLHRRSPLEREKIIHWMQVERVSGSSQYLLLHLCTQAGTYIKEFVHGDFGRTQPSIGSILGCRTEILQLDVTDVKMDCFQEE >Solyc08g079130.1.1.1 pep chromosome:SL3.0:8:62907217:62907621:1 gene:Solyc08g079130.1 transcript:Solyc08g079130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVRSSKLQKCVQSLKQVLCLGKNNSGYFRVGQEPMKKVSVPKGHLAMYVGEQDDDTCRVVVPVIYFNHPLFAELLREAEMVYGYNYPGRIQIPCRISDFENVKSRIAATVGGGNGDEGRVVFGEGYSDLCMQ >Solyc01g009015.1.1 pep chromosome:SL3.0:1:2950261:2950782:-1 gene:Solyc01g009015.1 transcript:Solyc01g009015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEERNIRTNLTRPLLELLFSGNTTTELICCPQSCCSITAPTTKTSSSRHFLIKMNLAREIGNKIEGLYNLQREWQNHEYTNKIIKIDEFLSSSGTPATLVDKRSFDFDGDSENFILSPRLIT >Solyc01g107853.1.1 pep chromosome:SL3.0:1:95143452:95143727:1 gene:Solyc01g107853.1 transcript:Solyc01g107853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTMMMNSFFKIAAPSNIGFHGTSYFALCATDSVMPYKPFMNISSDSDAFVIPNLPHQIKLTRTQLAPFD >Solyc02g092215.1.1.1 pep chromosome:SL3.0:2:54007918:54009240:-1 gene:Solyc02g092215.1 transcript:Solyc02g092215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNCRSKISSIFSDGFLFAGASIVALLVIWAFWSFMSTSPNADPSFLTTSADQNSALKTQVDPVSLGFNLRYDPPDPTFYDDPDLSYTMEKPIKKWDEKRRQWLNLHPSFIPGAEERILMVSGSQSTPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLQPKMWSFWAKMPAIKAAMIAHPETEWIWWVDSDAAFTDMDFKLPLDRYKDHNFVLHGWEKLLYEQQSWTSINAGVFLIRNCQWSMDLMETWAKMGPQSPEYDKWGEILHTTFKDKIFQESDDQSGLAYLLLKEKEKWGNKIYVEGGYYFEGYWVEIVGTYDNITDRYLAIEKSEGRLRRRHAERVSESYASVWEEHLKEAGYGRYSWRRPFITHFTGCQPCSGDHNQMYSGETCFDAMQKALNFADNQVLRKYGYMHKDLLDSSSVFPVPFNFPA >Solyc07g064350.2.1 pep chromosome:SL3.0:7:66667443:66670520:-1 gene:Solyc07g064350.2 transcript:Solyc07g064350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKLFEDDGDELDKIEINEEFARRYEHNKKREDLQKLEELKKKGIDEEEEEGDLFKMKEAEKDEEEGDPEFTKKLDEFFGEDDKLDEQTVFLKDFFRKEMWRDDKGSSKKGDEKIEEAEFSEDEEEIERQEDYEREFNFRFEENAGDRVWGHSRKVEGSVRKKPNARKLQRERKEERMVQAEEERKEELKRLKNLKKKEMKEKLEKIKETAGIGDDGVCLLDMDDLEEEFDPDKYDRKMTASFGDAYYDGDDIDPEFGNDEDELEKPDFDKEDELLGLPKGWDNVDEPRDGFLSTREKLLKAIETEGDHEQTTDDNKVSADDDEAAEDGKRKKKRKRTNTVMKAVREELMEEYYKLDYEDTIGDLKTRFKYRPVKAKRFGLAPAEVLMMEDTELNQYVPLKKIAPYREKEWKVSRLKLLQLKDVSKSDKKPKFDNKEKVEAETDDRKRKQEESDGDTIKQSRRSRKRKKQAEHKLSIPRSKIYSVMKQIADNKGRYRRVHVVAF >Solyc09g007390.3.1 pep chromosome:SL3.0:9:978590:981648:-1 gene:Solyc09g007390.3 transcript:Solyc09g007390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPLVAGMAIAAAAYASRYGIQAWQAFKARPPTVRMRKFYEGGFQPKMNRREAALILGVRENTEANKVREAHRKVMVANHPDAGGSHYLASKINEAKDTLLGQTKNNGSAF >Solyc02g082810.3.1 pep chromosome:SL3.0:2:47011388:47022487:1 gene:Solyc02g082810.3 transcript:Solyc02g082810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAQQTKPNFLLSRFKAFQKFNHNTYSKAKYREQHSANMGSGSLVDTGEIDFSKWRKLYSREFGISNSMIPAYAWTVLKGLQSGGFEAYLVGGCVRDLILNKIPKDFDVITTARLPQIKRCFHRAIIIGRRFPICRVHIKGSIVEVSSFDTKAKPIGESKKLPIPKMPKKFHQKDFILWKDSMHRDFTVNSLFFDPSVNTIYDYANAIVDLKSLQLRTLVPAHLSFEEDCGRSRILRGLRLAARLKLSFSKEIEIAMHKLSSSIMILNKSRLMMEVNYMLSYGAAEPSLSLLQRYNILGMLLPFHAAHLAQQSNKQLSESSVMLMKLFSSLDQLVTCDRPSHDSLWVALLAFHLALINDPQGAFVVLTFASVLYHGDWKEGVKFARRHSDAASIYVPEISDSQGSISDDELVERVTELAVLVQNSLDILTDKDSLQEAMSKFPGSPCSGLVSKLTKVSRLHIKVFVSKNMRKVVEVIFDVLTEDVRSLKTRRNSFEIDYTLLGKGQTRETRFVLGKVILDTIAPGVVPVSEVIKHTLVKVDAQWSKNAPKENMGGTVKFKKRKFASEDDHHPNASSEIKHNRDEKQNTVIAKQSKEKHIEKHDNESLSQKLDNGDAVTKKKSKGEQSELPQNGTRMVLDGVRHPVPYHKKEQKGGDEYQQTSANHLKLVHNVVNGATTKQHKVKEKRIEKHDNESLSQKLDNGDAVTKKKSKSEQSELPQNGTRMVLEGVRHPAPSHKKEQKGGDKYQHTSANHLKLIHNVVNVATTKQHKVKEKRDSPQEQKEEKIESLHSRELDLKGGKLASDEKSKPKAGKLTLSDLFRR >Solyc04g053070.1.1.1 pep chromosome:SL3.0:4:51365729:51366058:-1 gene:Solyc04g053070.1 transcript:Solyc04g053070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISASSSISSPEELQSRTCKCRFLARYYTATTLENGGRRFYRCDRIGSNYCGYWNWIDDKLSRHVSTMIHNKKVELDSIRKEKKSFEEDCGGYGWHWRFLFEGYDCR >Solyc05g032820.2.1 pep chromosome:SL3.0:5:44645598:44648101:-1 gene:Solyc05g032820.2 transcript:Solyc05g032820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMVMIHGIRLVGIKLLPVSSHMNISQLLALGDRIILPPFLHGKGKHYMSTGGVHREHLIGVVDLKIVDDSGDATLLIHEGVNAIEEYAKTSKFPDPNSTDNLVLTIIKNGFKSNPLKYTKMKERLVGMQANGSLFSLPLMLMTLSPRSRCRHSVSDGLMRDTDVMIAGKVALIAGYGDVGNGCVVAMKQAGASVIVTEIDPICALQAIMEGLQVLPIEDLVSEIYIFVTTTGNKVIIMVDHMRKMKNNSIVCNIGHFDNEIDMLDLETYPGLKRITIKPQTDRWAFLDNKSGIIVLAEGRLMNLGCDIRHPTFAMSCFFTNQVIAQLELWKEKASDNYEKKVRLSKAPR >Solyc09g064380.3.1 pep chromosome:SL3.0:9:61787157:61794689:-1 gene:Solyc09g064380.3 transcript:Solyc09g064380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWMEAGSEPKTEKELADLDAISALKESTAFELKELGNEHVKKGKKHYSDAIDCYTRAINQNALSDAEQSILYSNRAHVNLLLGNYRRALQDAEDAIKLNPSSVKALYRAAKASFFLNLLAEAKGLCEKGLQLSSSSEELKKLARHIDIQKSEMDRRDAEESKAVSSAKTIVSAFETRGLKIAKPMYQELTGLRKPTLDKNNILHWAVLLLYPEVMSSDFIEEFCETDMFSAHLDMISFVIYRYKNDKLKLLNQM >Solyc07g017433.1.1.1 pep chromosome:SL3.0:7:7049211:7049459:-1 gene:Solyc07g017433.1 transcript:Solyc07g017433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKELPFAGAPAVLSYGGKKWNLIYGGAKTKYKFSTRWKTFADDNNLKEGDGLVFELSECNSDKIEFKIQILREDFPAKLVP >Solyc06g083610.3.1 pep chromosome:SL3.0:6:49009612:49011076:-1 gene:Solyc06g083610.3 transcript:Solyc06g083610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGFTRLFVGGAFRPYKQIANRHLATAFKDEARSTVQKGADAMKQGADVAKRASQEVKNETASVADQAISKTKVVGEKVADTALDVAGKAKNTAQQTWESVKETTQKMKDTVKGKAEESTEAIKNNVNIGMNTKN >Solyc02g021620.3.1 pep chromosome:SL3.0:2:23773000:23794791:1 gene:Solyc02g021620.3 transcript:Solyc02g021620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNRDKEEMDSGGEIEGVGDENEFPSSAVRGRKYSPVVAHDSDRAVLEMSSIDPRSSSSPYSKQDLKKGKVNMQPDVASEGSMPNHSVNGPQRESKLELFGFDSLVNILGLKSMTGDQIQAPPSPRDGGDVSIALERPRPTAVKSGTLMGVFVPCLQNIMGIIYYIRFSWIVGMAGIGESLLLVAFCGSCTFLTTISLSAIATNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAVAGAMYVLGAVETFLDAVPAAGILRETVTRVNGTDIAPITRPSLHDLQIYGIVVTILLCFIVFGGVKIINRVAPAFLVPVVFSLVCIFSGILLARNGRPAAGITGLSSGSFKDNWGPAYQRTSNAGIPDPNGKIYWSFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTSALYLVSVLFFGSVATRDKLLTDRLLTASIAWPFPAIVYVGIILSTLGAALQSLTGAPRLLAAIANDDILPVLNYFKVVDGGEPHVATFFTAFICIGCVVIGNLDLISPTITMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCIVIMFLISWTFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGASQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFISIIDGDYHERVEDAKAACKQLSTYIDYKQCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIIVMRYPEIWRRENLIEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFEGCKIQVFCIAEEDSDAEGLKADVKKFLYDLRMQAEVIVISMKSWEGQGEQQESIEAFSAAQGRIASYLGEMKERAERDKTPLMADGKPVVVNEQQVEKFLYTTLKLNSTILKYSRMAAVVFVSLPPPPANHPAFFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >Solyc12g096700.2.1 pep chromosome:SL3.0:12:66495461:66497811:-1 gene:Solyc12g096700.2 transcript:Solyc12g096700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGITIKVKAKLIEIEGPRGKLTRNFKHLNLDFQLIKDEETGKKKLKIDAWFSSRKATAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITGGNKSIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGRIAEEE >Solyc01g095460.3.1 pep chromosome:SL3.0:1:86577828:86584405:1 gene:Solyc01g095460.3 transcript:Solyc01g095460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSEDGKSCKLEKPSSPAADQSNRHVYPDWAAMQAYYGPRVAVPAYFNSAVAPGHTPHPYMWGPQPMVPPYGTPYAAIYAHGGVYAHPGVPIGSHPPGHVMATSPVVSQAMDGASLSLDASAKSSGNSDRGLMSKLKEFEGLAMSLGNGSTDNVEGGTDNGHSQSGETEGSTDGSDTNGAGVSERTKKRSCETTPDNSGDDKSHSQRFQPTGEVNDDAEKAIVVAAKMTGTVLSPCMTTLEMRNPASAHMKSSPTNGGSPLSPALPNETWLQNERELKREKRKQSNRESARRSRLRKQAEAEELAIRVQALTGENLTLRSEINKLMENSEKLKLDNATLMERLKNEQLGQTEEVSLGKIDDKRLQPVGTVNLLARVNNSGSSDTTNEDGEVYENNSSGAKLHQLLDTSPRTDAVAAG >Solyc12g038980.2.1 pep chromosome:SL3.0:12:52631400:52633452:-1 gene:Solyc12g038980.2 transcript:Solyc12g038980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSEVEKSGQKEKKKVFALAPIAKPLAGKKLNKRIFKLVRRAAEKKCLKRGVKEVVKSIRRGQKGVCIIAGNISPIDVITHVPILCEDAEIPYIYVSSKEDLANAGATKRPTCCVLVQTKPAKGELSPEDQEKLKTDYDQVVSEVGEMASSMF >Solyc03g097715.1.1 pep chromosome:SL3.0:3:61485531:61494114:1 gene:Solyc03g097715.1 transcript:Solyc03g097715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPQVPSSNIAEEVATSLSTFVQTPAKFPGMSSCDMSGMHISCLSNRTSADFSFVSSRDVSRDADFLSLRKDGMTSMHKLRIDSAENHGFFTHDGGRTTQSPASRIVGFESKSAIRSHASLFEANSLDNIDSSTVVRDIDKMNETASSLVRKRLLSPLNGMVLPDKFAGESLDIGGNNVRSNSNFTRDKYGASSQEHKKAHIGNTDNFSNGNWFIPSFPRGNCLPTENCGEVSSFITDGPLFSDGGPESEIPFTPPSPGINFYGHMIKTRLKDGIMDMPGECTFSPPPPLSPLGPKSHIRLGRAERFRHSWEDHDDNYLTLKDVEQSLDRSLSGILSPRKEEDCKTGSELFQDVEVFEMNFERSTPESISATRRSKTQNSVPTNQCARFGRSLSGLSVKRSLVGSFEESLLSGRLTSSVVSQRIDGFLAVLSITGGNFSPHPQKLPFAVTSVNGDNFLLYYSSIDLGRPCSDGKGSQIRNSSTNNLHTNKGRLRIPIKGRIQLVLSNPERTPIHTFLCSYDLSDMPAGTKTFLRQKINLCMDKAGNKSLDRKMDSEPPSVISVEESILHESHSTKSHGTAKAGHCGTKPANSSSKVNESTANSSVLRYALHLWFLCPYPKKSTKSGIQCKDDQARRFYLYNDMRVVFPQRHSDADEGKLHVEHHFPRDPKYFDISD >Solyc06g011275.1.1 pep chromosome:SL3.0:6:6175429:6181408:1 gene:Solyc06g011275.1 transcript:Solyc06g011275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDTKGFSSPHMMDDYVEKDNVDPQPNSDNFDQQTISPMQIDFETVNDVDEPAVEVEKQKVSKENVSKMLDRLAGKGWYCFLDGYSGYNQISIAPEDQEKNTFTCPYGTFAFRRMPFGLYNAPATFQRRMMSIFSDMVEDTIEVFMDDFSV >Solyc11g067215.1.1 pep chromosome:SL3.0:11:53263233:53264908:-1 gene:Solyc11g067215.1 transcript:Solyc11g067215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQNILLLISIKRDRPREECTPDKNVPMKVSSDVVEQVKQNCLKNGIIDLWGSKKSLKCQPLSKGVTEWSFLDSEQFHILHEFDMLKEPTVICCYLFVYSKSLNSPIITART >Solyc01g021740.1.1.1 pep chromosome:SL3.0:1:32692644:32692997:1 gene:Solyc01g021740.1 transcript:Solyc01g021740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFASYDQSVEFFRDNLGMGEVATVIGASSVSGFFVAACNLPFDYVKTQIQKLQLDAEGKLSYTSSFDCAMKTLKAGRPFKFYARFPVYCVRIAPHVMMTWIFLNQIQKVEKKIRL >Solyc10g008290.1.1.1 pep chromosome:SL3.0:10:2416156:2416506:1 gene:Solyc10g008290.1 transcript:Solyc10g008290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLKSQRKLRKKQTKKNEATVELLELVFMSIPRKPRTKTIKIVKKDLKFNSSIGWTRNKREDRKEDAKGSDQMGDNWYSIPLSEYEIPGSIPDDLDALVWDINTNTFYFWDNIPE >Solyc11g012470.2.1 pep chromosome:SL3.0:11:5302795:5306627:-1 gene:Solyc11g012470.2 transcript:Solyc11g012470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEPGKLFVGGISWDTNEDRLKEYFQAFGDVIEAVIMKDRITGRARGFGFVVFADPSVAERVVKEKHIIDGRTVEAKKAVPRDDQHITRNNGSMQGSPGPARTKKIFVGGLASSVTESDFKNYFDQFGTITDVVVMYDHNTQRPRGFGFITFDSEEAVDKVLYKAFHELNGKMVEVKRAVPKELSPSPARSPFNGQNYGLNRVNNLLNAYTQGYVPSSIGNYGMRMEGRYSPLTAGRNGYSSFNPADFNLPSGMDSTLNLNYGGNGNFGSNYGRGFNSLYNGNSNRFNGPVGFAPGRVGSSSMLNSVGWNLWDNESLNYGTNSANSTDFVGSGSGAAGYGTLGGLGTIWGSSSISGQGGGNGSFGTGNIANSGEAVGTGYGRNSGSNAVNTSPYSSAKDIPAGAFGNLYGNTVGASFYEDSAWRSTSPELDDSASFGYGLGNAESLGYVGGYSVANRSNKGIAA >Solyc10g007340.3.1 pep chromosome:SL3.0:10:1723158:1730073:1 gene:Solyc10g007340.3 transcript:Solyc10g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFPVIPYDEQRLIEEVHYLHSLWHQGPPQPHPHVHPNLHPQTPVSLQPSGSTQFKKRNKQKSKRLKEAIVDSGVEWVCPSPAESNPVTESGWPSFTAQPKSRPHLPTAEELASVAGNRAQQQALKAVSEYLKYSIDDEEEDMYEDEDEDDMEVDKGEKNLNFFAKLFEENDGLREYYEKNSENGGGFICLVCSGVGKKGWRKRFKDCVGLVQHSITISNKRQTHRAYGQVICRILGWDINRLPSIVLSAGGKPSESSDKPVEAQGNKDAGGKDDTSGLSNTTDAVNIGSDELSQQKQSFSNENQQENGGGSRKLENNSLIEANISKVIPETTKENTEGVSNCTGNEGDGGKNSLSGQSNTRDTVNIGSSELSQQNRSSGDENQPENGGFSTTLESTSETNANEDVSNDIPETAKKNADGASNCLEPLPDDIIVANEENNDVSKEITIVSEES >Solyc01g009090.3.1 pep chromosome:SL3.0:1:3028724:3042442:-1 gene:Solyc01g009090.3 transcript:Solyc01g009090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMDAPSEGLERRRERSKENPNKSTEEPPVSSPPPPPPPPPSRRGRDRDSRERRDDRDFDRRGGRGDYYDRNRSPPHHPPGRERDYKRGRPSHSPPPPLPPYRDRRGGYSPPHRRSPPFPPYKRSRRDDYDGRRGSPRGGFGHGDRRYGYDHQGGYDREMGGRPGYPDERHHGRFAGRSSGGYRGGDWGPVRGGFADSFGAGNNQREGLKSYKQFIQELEDDILPAEAERRYQEYKAGYIEAQKRAYFNAHKDEEWLKDKYHPSNLISVIERRNELARKSAKDFLLDLQSGTLDIGPGVAPPPTNKSGQSSEPNSDEEADENGKRRRHGRGHNKDPDLLLAAPKAHLVSSDPRRVQIDVEQAQALVRKLDSEKGIEDNILSRSDNDRGSRDKSHGSTGPVIIIRGLTSVKGLEGTELLDTLLTYLWRIHGVDYYGLAETNEAKGLRHVRVDGKAADATSNGAEWESKVDSHWQDRLKGKDPLEVMAAKEKLDAVAAEALDPYVRKIRDEKYGWKYGCGAKGCTKLFHASEFVHKHLKLKHPDLSVDLTTKVREDLYFQNYMNDENSPGGTPVMLPSVPKEKPLRRRPGLDGRLKDDRGNRKDRDGRANGGERFDMSENPQSGDFQSNNDGASGGNPDEEMFDTFGGQGIPVASFPSDMAPPPVLMPVPGAGPLGPFVPAPPEVAMRMMREQGGPTPFEGGRNGRSGPAGPIIALPPGFRQDPRRLRSYQDLDAPEDEVTVIDYRSL >Solyc02g014847.1.1 pep chromosome:SL3.0:2:19208971:19216277:1 gene:Solyc02g014847.1 transcript:Solyc02g014847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRLNINEIDENTEEWICKVQVVDKGHPRTTREGNNKYQLMILQDEKETQMQVIMHGTDVGHYANEFVPFQTYLLLGAFVSESIKTYGIPLHQFRWTIDKGTIVEPIDKVIPPEPPLLPPTLLKTTSFDSFDYQAIGFEFGTSQNIFTNSSSSYDQMEYPSIREGIINFRSDEIKPVLNTYTTKSTAATGSLLFVPFEEHIVPIVNIQQQSLGQVFHMQALLLISNETQKFRVLVCSDYKQVFPRIWTQRKFYCTTCRRPKKLTPRCQFQVTIKDDTGSTTAMISNKIGEELLSLTVAEIHDIRCIKKQLLSLLSVQHKLLGKTFTIQIKKLFAKNKDASSAKLVIMSITEIYITSNLPLPINAPTTPESSKRKLKQIMIKED >Solyc09g074460.2.1 pep chromosome:SL3.0:9:66685095:66686228:1 gene:Solyc09g074460.2 transcript:Solyc09g074460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAPSVPAPTGSDPDYWCYQCETRVAVETLPDLPADVICNNCKSGFVESIPSRVVSVSPVTASTDQIDDPAFGNQFIQVLRLIAEAALDNDAPPPPPSEHADPSDDDFLRVELDAANRRNRILDWAEILMGLEDHSIELRLQVPDGDGYVGNPGDYVDAEGYEALLQTLAESDGGGRRGAPPASKSAIEGLNTLVIKEEQEVMACAICKDVVNVGEMAKNLPCGHGYHDDCIVQWLGSRNSCPVCRFELPTDDPEYEEERKKRGACVTSSGSSSSSEAPGAAAAADDSSPSCL >Solyc02g011780.2.1 pep chromosome:SL3.0:2:14137300:14137788:-1 gene:Solyc02g011780.2 transcript:Solyc02g011780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLSHANRSPVTIQNPYEKLIKSERFRGRIYFEFDKCISCEVCVRVCPIDLPVIYWNSETDKLLLNYDIDFDFVYFVVLALSIAQNNFLSMTEEYELSTYDRHELNYNKISLGRLPMSVIDDYTIRTNSKLPQINNDETLNSIQKNYKLRRLSSDLKQ >Solyc02g081780.2.1 pep chromosome:SL3.0:2:46156599:46158443:-1 gene:Solyc02g081780.2 transcript:Solyc02g081780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSCFIKENKKMLTKACLLKTDEAGMLWEAKIVREKSNNYFICEGEWPQFVVHHQLKQGDVLLFFLVEKSVFHVHPYTRKCRRNIRDEKKKLFYQQLSSTSSSSSSEEVEIGPDRKVNSTDEESVDEDDSPCYKNPKQENLSDDGGGGNGSKKFRGGSSMMNFKTDHPYYEMVVKRSHKTFMTIPKRFAKMTGIFSMKNMKVVNEEKKGYWRVEIVDMSGTIRITKGWAAFRTYNKIVCGDSCRFKLIKPNTLQVQKVPKHASLKSYH >Solyc12g062720.2.1 pep chromosome:SL3.0:12:34468362:34470292:1 gene:Solyc12g062720.2 transcript:Solyc12g062720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAEKVCLSLLSYCKTLGNLNQIHAFVYKSVLDTNPLITGKLLILGALQISDAIDYARRLLIHYPNSYVFMYNTLIRGESESDSPKNSVSTFIYMLRQSYSPPNSFSFAFVLKAVANLQCLTTGFQLHCQSMTRGLDTHLFVGTTIISMYAECGFVEFAWKVFVQIPQPNVVAWNAILTAYLRGSDVSGADKMFCLMPFRNLTTWNVMLAGYTKAGELERAEGLFLQMPSRDDISWSTMIVGFSHNGCFDEVIRVFRELVGSESKPNEVILTGALSTCAQAGALKFGMVLHVYIEKVGLVWITSVNNELLDTYSKCGNVLMARLVFERMLGKKTIISWTCMIAGFAMQGYGEEVIKYFHKMEESGTRPDGVTFISVLYACSHVGLVEQGHELISKITEIYDIEPTIQHYGCMVDLYSRAGQLHKAYDFVVQIPVPPNAVIWRTLLGACSFFGDIEMAEKFKERLSELDPDNSGKWKDVAMVRRSMTDKNLKKIQGWSTIEIDKVMYNFVACDKRNEITEETYNKLSEIMLKLKVKGGYIPVVGSVFHDIEEEENEDTVSKHSKKLVVTFGMTRLCKGSTIRFVKNLRVCKDCHSCMKLISEVYGLEIVVKDISRFHSFKEGLCSCRDYW >Solyc12g096630.2.1 pep chromosome:SL3.0:12:66445333:66448674:1 gene:Solyc12g096630.2 transcript:Solyc12g096630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEIKKIIIDTDPGIDDAIAIFVALQSPEIEVIGLTTIFGNVQTTLSTRNALHLLEIAGRTDIPVAEGSHVTITEGVKLQSSGYVHGADGLGNQNISPPKGKAIEQTAAEFLIQQTSLYPGKVTVVALGPLTNIALAIQLDPEFFKNVGQVVVLGGAFAVNGNVSPAAEANVSYLQRSNCC >Solyc01g080850.3.1 pep chromosome:SL3.0:1:79998000:80004889:-1 gene:Solyc01g080850.3 transcript:Solyc01g080850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4AXX8] MAKRPESSVGYSVTIPTPQQGTQSPRPDTVFSPPPFPSSSAGPIFPPPIVQPNQIPSPSIKTPNLPSPANGVRTGSPAPHLSTPPGPPVFSSPLQPAAVPFRTSPATPQPIAYSSASSLPTSSPPQFSNGSVELHHQISDVTEDWTPAAESPNVLFSAHKVLKQKKLANIPSLGFGALVSSGREMSPGPQMIQRDPHRCHNCGAYANLYCNILPGSGQWQCVICRNLNGSEGDYIASNKEELRNVPELSLPSVDYVQTGNKRPGFFPVSDSRVSAPVVLVIDECLDEPHLQHFQSSLHAFVDSLPPTTRLGIVTYGSTVSVYDFSEESIASADVLPGNKSPDQESLKALIYGTGIYLSPMHASLPVAHSIFSSLRPYKLDIPEASRDRCLGTAVEVASAIIQGPSAEMSQGVVKRPGGNSRIIVCAGGPNTCGPGSVPHSFSHPNYAHMEKIALKWMETLGREAFRKNTVIDILCAGTCPVRVPVLQPLAKASGGVLILHDDFGEAFGVNLQRASGRAAGSHGLLEVRCSEDIFVSQVIGPGEEAHVDSNEVFKNDDALVIQMLSIEETQSFALSMETKRDIKRDFVYFQFAFKFSDVYQSDITRVISVRLPTVDSVSSYLQSIQDEVAAVLIAKRTLLRAKNANDALDMRATIDERIKDITSKFGSQMPKSKLYQFPRELLLLPEVLFHLRRGPLLGSILGHEDERSVLRNLFLNAAFDLSLRMVAPRCLMHRQGGTFEELPAYNLAMQSDAAVVLDHGTDVFIWLGAELDAQEGKGAAALAACRTLAEELTEMRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRTLTAEQRTKLKSSFLYFDDPSFCEWMRSLKVLPPEPS >Solyc06g069390.3.1 pep chromosome:SL3.0:6:43267189:43273349:-1 gene:Solyc06g069390.3 transcript:Solyc06g069390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIGLLCHRRISSIKHCFSNIFHQDLLSCSRGMVTLIVATTIDPASIGPASALLAMPGWHPGPPIQDVPSFVNRDVRLLKHDSSIVKEDHLDMRWEDTTGETVDEVIFLSKHTAASNRPALTVHPIGVPHLTEGDQLPVGGKPAWAAPPNPRIGPWFRLLKSIADSQNLTPEFEVTLEATHHGPVTNAPTMFVEIGSTEEYWKRQDAAQAIALVGYPFAAQTLLQYLSMCHLFLLQANPQTFVLCLLIALAFARPAEHFSAAFITMMGFYLQKRNQRNIFISIFKYHSCYITSVNPSRWYLTLTTFFNFRFVLFLLSLAFGNSMEELVWEGLGLGGGDAVGDWSRKPTDDGMIATSSSSGSFRSANLTELLKHESDAEASSLNCLQKDGVWVGHLIAGYSLPMEDPGPSKSQSNLEVGGTWKQAIRVAFDTTSAAFPQGEVLAHLDNKSFKGWQRNAIVGFLAEQNIKVGKPSDFC >Solyc06g063270.3.1 pep chromosome:SL3.0:6:40086242:40088387:-1 gene:Solyc06g063270.3 transcript:Solyc06g063270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFLSISTKLLQSKSTSFKSLTWIPTVFLLSPKQMSQSTSIPRNQQRIRDHGYDDYMEVEKKIRKVKKFQELLLTQPNSMIAISRLDMLARRFGFKQYEAGKFILKFPHVFEVFEHPVQRILYCRLTRKSLLQIEQEKLALLAQLPLAVTRLRKLLLLSNTGRLRLEHVRIARKDFGLPDDFEFSVVLKYPKYFRLFDAKETRNKYIEVVERDPRLTVCAVENVREREYREKGGEEENVRFSFRVNFPPGFKIGKYYKIAVWKWQRLPYWLPYEDISGYDLRSLEAQKRMEKRAVATIHELLSLTVEKKITLERIAHFRLAMDLPKKLKDFLLQHQGIFYISTRGNQGKLHTVFLREAYRKGELIEPNELYLARRRLAELVLLSPRKVTVDRRLINYGKQGGDDEMADYVENGGDHSAARETVREDLGEESLDSDGDCSTDTENAQKEANNDDVTDDAEDTRVTNV >Solyc03g046255.1.1.1 pep chromosome:SL3.0:3:11974734:11974745:-1 gene:Solyc03g046255.1 transcript:Solyc03g046255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGD >Solyc01g099420.2.1 pep chromosome:SL3.0:1:89567853:89568300:1 gene:Solyc01g099420.2 transcript:Solyc01g099420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHALKANPKSHIQEIEGSLIFFSHHPESLHMFTFLFDDIGPTRLHVKFHWKPTCDVKSLLEEEAIRVGGANLSHTTQDLYDTIVTMDPDHEDSFDFVPLLVVLLL >Solyc10g084730.2.1 pep chromosome:SL3.0:10:64269008:64271048:-1 gene:Solyc10g084730.2 transcript:Solyc10g084730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKHGVEASSPLATIEDIQKRLTRPQQSSSSVIAIAIAPHLSNADTSPLQKRRKLTSSPHQQSSSSVIASHKYELQQDNSTNVDSSPLQKRRKSIAVKVRLEDYFDLSLLSATRAKINSSLNKQSRKKKLKSEFEEFVWPAEGDHHDSESYSENSRRTDEAIVDLKNDNDDSFGDGENNHKCCVDPFQRFESTALMRFSR >Solyc02g020960.2.1 pep chromosome:SL3.0:2:21227490:21228624:-1 gene:Solyc02g020960.2 transcript:Solyc02g020960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAHDQWKIILEHTDPKTSFEEWARPGQFSRTIAKGPDTTTWIWNLHVDAHNFDSHTSYLEELSQKVFSAHFGQLSTIFLWLSTMYFHGARFSNYEVLISDPTHIGPSVWRASGITSELQLNCTTIGSLVFADLMLFAGWFHYHKAAPKLALFQDVESMLNHHLTGLLGLGSLSWAGHHFCRGSNPIFTLNRSKYADFLTFREGLDPVIGGLWLTDIAHQHLDITILFLIANQGHKGLYEILTTSWHSTDCGTQLSLFTHHMWIGEFLIVCVPAHAAIFIV >Solyc02g091580.3.1 pep chromosome:SL3.0:2:53470571:53480833:-1 gene:Solyc02g091580.3 transcript:Solyc02g091580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRRIGSPLSRILYGSSTTPSLILSLFLILMATRFSLSRSARLCLRPTSTFSRLSTKNLLQCPSCPLWSSSFSLCLHTFRKSTSPGKSITVRSFSPSSASISDHPSKMSMASDDNPLLKDFDFPPFDVIEAKHVRPGIRALLNKIEEELSALEKSVEPTWPKLAEPLEKIIDRLTVVWGAVNHLKSVKDNPELRSALEEVQPEKVAFQLKLGQSKPIYNAFKAIRESPEWDSLSDARKRIVESQIKEAVLSGIALEDDQREQFNKIEQDLAKLSQKFDENVLDSTKKFEKLIIDKKDIDGLPATSLGLAAQTAVSKGHENATAEDGPWIITLDAPSYMSVIQHAKNRTLREEVYRACINRASSGDLDNTEIINQILKLRLEKAKLLGYNNYAEVSMAMKMATVEKAEELLEKLRSASWDPAVKDLEDLKDFCKSQGSPEADDLNHWDITFWSERLRESKYELNEEELRPYFSLPRVMDGLFNLVKMLFDINVEPADGLAPVWNNDVRFYRVNDSSGSPIAYFYFDPYSRPSEKRGGAWMDEVVSRSRVLSRDGSNARLPVAHMVCNQMPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGVEWDAVELPSQFMENWCYHRDTLMGIAKHHETGETLPEDIYKKLLAAKTFRAGTLSLRQLRFATVDLELHAKYVPGGSESIYCVDRRVSKKTQVLPPLPDDKFLASFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDVGLENEKAVKETGQRFRETVLALGGGKAPLEVFVQFRGREPSPEPLLRHNGLLTSSA >Solyc03g117250.3.1 pep chromosome:SL3.0:3:67921515:67923442:-1 gene:Solyc03g117250.3 transcript:Solyc03g117250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIPRYIVAGPSEMLAITGNGISEILLKKKHFLWPLQKCTRLDISPVNYSFQVQAMSAEKLPFYLPAVFTIGPKVLDETNYESLIKYAKLMSNSDKQSTHIQDLVKGVIEGETRVLAASMTMEQIFKGTKEFKQEVFDKVQLELNQFGLYIYNANVKQLVDIDVAEARMKGEIGAKLRDGETKQNAAMIDAETFIISTKRQGEGKKEEVRVNTEVKIFQNQREAEVVEATAFLAKKKAGWSQTARLAEVEAEKAVAIREAELQMEVEKKKAFVETAKLKAELLTKATVEYDIKVQEANSELYKRQKEAEAALFESQKKAEAQKANADAELYTRQQAANSELYAKQKEAEGVATIGKAQAIYLGSILKELNHNYTALRDYLMINNGMYKEIAQLNAEAVNGMQPKISIWSGANGGESNSGGEGGSGLKDVAALYRMMPPLLETVQEQTGMQPPAWLATLPHHK >Solyc08g014590.1.1.1 pep chromosome:SL3.0:8:4805685:4805969:-1 gene:Solyc08g014590.1 transcript:Solyc08g014590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIDWFFITLRFELAKAMSPCIIWISNIHDLDVNEPNDLSLGLLVNHPSRDCERCSTKNILVIAYTHIPPKVDPALIAPNKLNMCIKIRRLLE >Solyc05g005800.3.1 pep chromosome:SL3.0:5:614148:624978:1 gene:Solyc05g005800.3 transcript:Solyc05g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLASPLCWRLFTQSIRRPPPPCKHFSILRRCFSSTPPMGKTAKSCEANSSASIPKDETYLENVIPKRIALFESIQNQQRLQRLALSPDPIKIELVDGTIKEGKKWNTTPLDIAKEISKSLAANALIAKVNGVLWDLSRPLEGDCKLEIFKFETDEGRDTFWHSSAHILGESLERTFGCKLCIGPCTTRGEGFYYDAFYGDLGLNEDHFQRIKEEATKAVSEKQPFERIEVSRQQALDMFSDNRFKVEIIKDLPEDKTITVYRCGPLVDLCRGPHIPNTSFVKALACTKASSAYWRGDKDRESLQRVYGISFPDQKQLKVYLDMLAEAKKYDHRELTKKQELFFFHPLSPGSCFFLPHGARICNKLLEFIKSQYWKRGYKEVWSPNMYNMQLWETSGHAANYKENMFVFEIEKQEFGLKPMNCPGHCLIFDHRVRSYRELPLRLADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRESQIKEEVKGVLDFISYVYEIFGFTFNLKLSTRPEKYLGDLESWEKAEAALAEALNEFGKPWEINEGDGAFYGPKIDITVSDAMKRKFQCATLQLDFQLPQRFNLSYSAEDESKRERPVMIHRAILGSVERMFAILLEHFKGKWPFWLSPRQAMVCPVSDKSLSYAFELRERIHDAGYYVDVDTSDRTIQKKVREAQMAQYNYILVVGEAEASSGQVSVRVRSKSDHEVMTVDGLLTHFKDLVASFQ >Solyc01g091740.3.1 pep chromosome:SL3.0:1:85200372:85204194:-1 gene:Solyc01g091740.3 transcript:Solyc01g091740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVDDSGNLSVEEWLSRAQELVPVALEKAREVKGFPSRWRMIISKLEQIPNRLSDLSSHPFFSKNALCKEQLQTVSKTLNEAVELAEKCMKEKYEGKLRMQSDLDALSGRLDLNLRDCGLLIKSGVLGEVTLPSSVASTSAAPEVAVHGNLRELLARLQIGHLEAKHKALDNLLEVMKEDEKNVLAVLGRSNIAALVQLLTATSPRMREKTVTVICSLAESGSCENWLVSEGVLPPLIRLVESGTTVSKEKATISLQRLSMTAETARSIVGHGGIRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQALAEEGIIKLMMNLLDCGILLGSKEYAAECLQNLTSGNDDLRRSVVSEGGIRSLLAYLDGPLPQESAVGALRNLVGSVSTDILISLGLLPRLVHVLKSGSLGAQQAAASAVCRICASTEMKRLLGEAGCIPLLIKMLEAKANGVKEVAAQAIASLMSLAHNCREVKRDDKSVPNLVQLLDPAPQNTAKKYAVSCLFLLSSSKKCKKLMISYGAIGYLKKLTEMDIPNAKKLLERLERGKLRTYVAGQGFQ >Solyc09g010997.1.1 pep chromosome:SL3.0:9:4353887:4356747:1 gene:Solyc09g010997.1 transcript:Solyc09g010997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLCDEIWLEKEEELKNNVENSCFFKSKEDCEEAFKILLNKEISYMPKIGYVKFIKENCFIENARFKAIHWFIKSQRRWNLSFGTCFGAASYLDRFISLNKCQGWKYWMFELLSIACLSVSSKFHETSPPQLLELQMEGVEHLFESTMIQRMELTLLETLGWRLNSTIPYSYIELFQWNINTLKLSLLRDFTSSVNDLLLRILLDVKFLELRPCVIAQSVVTCISEDLSLLIDDSCFNNFTRLIPQNQKDDLSKCQRMVINKQFLIKEYQELITSEVFNYGPSSPVTVLTMDQHVLCDIPQGDINYISNANKRKRER >Solyc10g047590.2.1 pep chromosome:SL3.0:10:41391000:41393590:1 gene:Solyc10g047590.2 transcript:Solyc10g047590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYVVNGAVFKGPCNGWDKTFEMRGVIKAPIDPKIFCNEYWILFKYIDGLKIKGHGTFDGQGACAWGKHQCAILPFTVGFYFMNNFIVHDIHSINSKGVHINIFSCSYGYFRHIKISAPDESPNTDGIRIGNSNNIRIVDSNIGTGDDCIAMVAGSQSINITRVTCGPGHGISIGSLGKLTNNDVVKDIHIKNCTLINTQNGVRIKTWALSMNGMATNIAFEDIIMIKSSNPIIIDQQYCPSGNCKKQGSLVQVKDVTYKNIRGSSSSKVAVIFNCSASRPCKGIIFKDIDLVYNGPATSSYCEHAIGKAIGIQLPPSCLI >Solyc01g049840.1.1 pep chromosome:SL3.0:1:46573041:46574317:-1 gene:Solyc01g049840.1 transcript:Solyc01g049840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIASLVHYANLNVGFLWQVRVFSEIEPIIKSALDGYNACIFDYGQTGTGKTFTMEGTEEFPGVVPRAIEALFKHAADSNHAFLFSFSMLEIYMGYLKDLLTPHSQTTKSIFPLPPWSPFLVFIVDRYSKLMQVLASTCYSCQMNLVYFTINTSFCLSIQTHPNGETEIENLVTIQVNDMNQAMRLYKLGCGFRLLPQIPTEHQADLIGKPIRFLYKNVK >Solyc12g011260.2.1 pep chromosome:SL3.0:12:4114843:4116455:1 gene:Solyc12g011260.2 transcript:Solyc12g011260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSDELMSLQQSPFNYQPANDYSFLENHGLMRLFGGLNTINNNVVNYQDPFMFNPNVLNFNVAQQVQQELGQQPNNVGSTSRVKPPRMLWTEDLHREFVAVVDAYGGPWAVKPRHILKEMAHLGISHCQIKNRLQSYRAKLNPNDAGGRKYISKASSKKELIQDVQEQLKNVDGGKVDDDVCVPQLPTEAKRMEAHISPEDAFIEGLLNWI >Solyc07g045330.3.1 pep chromosome:SL3.0:7:58553008:58563916:1 gene:Solyc07g045330.3 transcript:Solyc07g045330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGRATYEGVIVVGSLCLLGWAGLWFLNRRLYKEYEEKRVLVQIIFSVVFALSCNLFELVLFEIIPILSKEARWVNWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAAVGAILFLLAFLYGFWRLGIHFPMPSPDRGFFTITQLVSRIGVIGVTVMAILSGFGAVNLPYSYLSLFIREIEESEIKGLERQLMQSIETCIAKKKRIILCQREMERIQDSEEKTKSSSFFKRIVGTVVRSVQDDQKEQDIRNMENEVQALEELSKQLFLEIYELRQAKEAAAYSRTWKGHLQNLLGYVCSVYCVYKMIKSLQSVVFKEAGSVDPVTRTISIFLQFFDIGIDVNLLSQYISLMFIGVLIVVSVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFLSSILLIRKSLATEYRIIITDVLGGDIQFDFYHRWFDAIFVASAFLSLLLLSAHYTARKADNKHPID >Solyc04g047900.1.1 pep chromosome:SL3.0:4:38398836:38399400:1 gene:Solyc04g047900.1 transcript:Solyc04g047900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVESSRTRTLHVSLSSADNCVIDGYVFGMLMAATPVEVVVRFIPETEEPESMGYGDALNDSHLVDPPTTMMEMSHIYQW >Solyc03g033905.1.1.1 pep chromosome:SL3.0:3:5650973:5651164:1 gene:Solyc03g033905.1 transcript:Solyc03g033905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKTVGRQKIPIEKLQDETKRKVAFSKRLPSLYKNASKIVRACNVDIGIVSSCPSGRTQYS >Solyc07g065620.3.1 pep chromosome:SL3.0:7:67442490:67447268:-1 gene:Solyc07g065620.3 transcript:Solyc07g065620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLCMWKSLSTTRHLSHAPTTIFTTRRRTLSSSSSFDIKNVTKSNFESALKDLRGLLRDADFVAVDLEMTGVTSAPWRESFDYDRYDISYLKVKDSAEKFAVVQFGVCPFRWDPHKQSFIAHPHNFYIFPRQEIPGSNQSREFLCQTTSLDFLAKYQFDFNLCIREGISYLSRSQEKEALERISSIYMDESSDSVFGLSEDADFPLVRMADVLFAERMKNTIREWRDSLLSKGSSSSEIKQISTDPSQRFQMVFFKTRPGIALSDFTSRQLRVIKAVTKKHFKDLAYIRVAGESTSPQQLIVYTDSNDDRDLLMKEVKDGLRKEAEMKVESAVGFRHVIDLLSSEQKLIIGHNCFLDVAHMYSKFIGPLPSTAEDYVSSLQKLFPTIVDTKILLNANGVFRQTLNKSNTSLSRAFVSICPHIALGIKTSSLADRPCVEVEVQVDEKRSSNWNSGAKHEAGYDAFMTGCIFAQACNHLGIDFTLHVLGGDLAKDTKLQNYINRLYLSWVSGNVIDLSSGKCTPDSSASSNLKSRYQDISFPSIILLWGFPSKLKAREIKACITQAFGPNPVSSVYHLDESAVFIQFSKPELVSKFLEMKETLSRNSDPISVLHPLSNILNGEHTHAATYDVYKQICSSSISKKLFADQAEAIGIKHKTVSSRGDRGKKGNQETEVRMFNEKADDLISSPYGCSGTDRSMESFYLDEVLASK >Solyc02g082115.1.1 pep chromosome:SL3.0:2:46397057:46407947:-1 gene:Solyc02g082115.1 transcript:Solyc02g082115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFLCLLTLNLAALSFGESFDLYSGRVKGIGREEGSLFEEANDSESTATEDYVHTDSQSSTSLNDVSSEMLHVYNEEMLSQDSAIDTVEPLPLVSTRKSSRHTKPPIWMKDYMAPDVGHNSKYPLANHLSYDHKPEGMVVVLIYVDDLLITGDNELLIREAKEVLHHKFKLKDLGELKYFLGIEVLRSKTGVILNQRKYILELISDTGLSGAKPVNTPLETNLRLTSIELDQITGLQGDEVLTDNSVYQRLVGKLMYATITRPDISYAVQTLSQFMQHPKRSHWEAAIRVVRYLKGIVGQGIWLKAQPATTLTCWCDSDWAACPNTRRSVTGYIVKFGDSLVSWKSKKQQTVSRSSAEAEYRSMASAVAEVTWLTGLFNELNMNMFLIIVVEEELKHPRAKDVLEVNLDGMKKENKVQTPTYGINHPLFIPCSNRNEGPIIGGSKGSSSVYLMILSLKDKSLFKSTQVQVNSGAVMAFAADGGGELSTAPRIWLSSIPYSTLVEVIFFSLYFETISGSNPEKASLEESRMHNTVQSDPTCAPVNTSNSKSRLSRIGALLAVPLGVTIFSALELKPLGSMLFPLLIAGSLGDFILGETGGVVDELILTLVLPFAVTGAAVMIKAKGLRNERIWER >Solyc06g007530.2.1 pep chromosome:SL3.0:6:1514464:1517321:-1 gene:Solyc06g007530.2 transcript:Solyc06g007530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKTNSYEELRRQRVLDNKKRFEDLGILNISKNLSDITKSEKKSDYKTELRRVRQKAKDVYLSEPRRSARARNPVPTYRDEIDIELPSLRKRSKFSSSWASYLARPLEEVKVASYEEKVLALKCAEKLQSNLQSDKPFFIKTMVRSHVYSCFWLGLPTRFCQDHLPKSTVDVLLVDEEGLEYEALFIGKRTGLSGGWRAFALDHKLDDGDALVFELVEPTKFKVYIVRASQCSSEVDKSEAEKEESEAEETPKSETKKKKKSSNKPEVPTIAKEEVSVVVTSATRRSTRRK >Solyc01g105360.3.1 pep chromosome:SL3.0:1:93446931:93450762:-1 gene:Solyc01g105360.3 transcript:Solyc01g105360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B2A6] MASFTNLKNGHVVCVPYPAQGHISPMLKLAKLVHHNGFCITFVNTEFNHKRLLRSNGPHSLDGLPDFRFETILDGLPSTNHDVDATQHIPSLCASTSKNCLVPFRNLINKINADGNGVAPPVTCIVSDAVMSFTLDAADELGIPAVLFWTASACGFMCYLHYHHLVQRGYTPLKDENDITNGYLEKTIDWVPGILKNMRLRDFPSFIRTTDPNDIMLNFFINETERIPKASAIILNTFDAFEQNALNALFTIHPHIYTIGPLQLMLNNTIDENLKSIGSNLWKEDLSCIEWLDTKEPNSVVYVNFGSITVMNFDQFIEMAWGLANAKKQFLWIIRPDLITGDSVKLPEDFLSEIKDRGMIANWCPQEKVLKHGAIGGFLTHSGWNSTLDSVCAGVPVLCWPFFAEQQTNCRYSCVEWEMGMEIDDLKRNEVEILVRELMDGGKGKKMKLKAMNWKVEAEKAASPGGSSCINLNNLLSEVLLAPNSEVTNGRI >Solyc01g105180.3.1 pep chromosome:SL3.0:1:93344483:93349989:1 gene:Solyc01g105180.3 transcript:Solyc01g105180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANGFLLEGPSYQDQFSEYEDEDVVRAIGLSLQESNGKDIYIDNLECHQNDEVEILAEKLEESTLSSADLSTSTEGSELKWWKLFKEFNPSVHTSKGTSWWRKSSKRCNTLLCDVCEEQISSEWLRCTYWGQTVRKKHISDGTPCCCACSRFKIGDIRYITLSDGRQLCPDCHYTAVMDPEDCKPLLDEVHRFFKGLNMKIRYYIPILLVDQEEIIRIHKKSILGSTIYEKFELEAVNYVSKSIQRGENVEVVKEVEQLPPGRKVRAVLLLYGFPKLAIGSTLAHELMHAWMRVQGYRGLSLNIAEGLSQVMAHKWLEWQSFTGHDYMKGTSEKAQFLRNLKEFMKDGIERRYSEAYGHGFREAKWAVERYGLRYTLKHIARKGKLPE >Solyc03g025790.3.1 pep chromosome:SL3.0:3:3206302:3207907:1 gene:Solyc03g025790.3 transcript:Solyc03g025790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMVEVRVPNLDCEGCAAKLRKALFKLKGVETIDIDMETQKVTARGYGLEEKKVLRAIKRAGKAAEPWPYPVGYSHFASFYQYPNHIVSHYYDTSRNVAAPSVHTFFHTPSVYSVAVASDEAVASLFSDDNPHAC >Solyc05g013620.2.1 pep chromosome:SL3.0:5:6929990:6964176:1 gene:Solyc05g013620.2 transcript:Solyc05g013620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPIGYCSTMPFSHNNHMMIDHGSHTQHHDAHYVHGHGHDNYGSHGYHGSHGHMPHESTNFSSSATMVHNEGGYGNEMQQSSHAHMSSMAMGHHASVSAAATGTVSSVSEVRISLHKDDNLKKNDEDCSKSSSHNNHMMVSQHSGGYYGSHGHGHSNYGSHGHMPHESTNFSSSTSMVHSDGGYAYMVGSDVNSTRKDDYEDVFGRLSFSYGGNTNVPGNKNMSNETQANTAGKVLFVSENSQVWIVDTVASNHMVANMDMLSRMSNISSRSVITKVYHIPEFKYNLLSELYTGKVREIDKEDNDLYLLLRNVSNDQQKQHGFVVPGKKLEIQQISEKELDVWNKRLGHGSSQMLSKVFPELKVHKLIEVPPYPSLTYTSNGFEAFRPQKEANVTNERQEYRWNSTEYVDREEKSYGKIAYEEEEDVDAEANNFIKWEHDKFNRAKLMSMESFY >Solyc11g067250.2.1 pep chromosome:SL3.0:11:53278310:53284969:-1 gene:Solyc11g067250.2 transcript:Solyc11g067250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:K4D9Y2] MKVHEKRSHSHASDEEKKVTTRKQKAEAAPKKPKIANEGEDKDKVNGRSNAADVAAEFEKFCRETREHLSIKQMREILEANARDASIADEAVVPRCQDILFYGPVGDCPVCGGKLELSGDSYHCEGAYSEWSSCVYSTRSPPRREEPINIPESIGKTPVYELIEKHRDPKSRPKREIASVDKPFVGMMIALSGRLSRTHQYWKKKIEKNGGKVANSVIGATCLVVSPSERDRGGSSKVAEAVERGIPVVREAWLTDSIEKKQAETLDAYDIASDIAVEGKGLALDQQDSSVVALETLTAELKVFGKRAVHIDSKLQDEGGKILEKDGILYNCALTVCDQGRNLNDFCIMQLIVARENRLYLYYRKGKIGNSPRADDKLEEWENVNDAIREFAKLFEELTGDQFEPWEREKKIHKKNMKFFPIDIDDGVEVRYGGLGLRQLGVAASHSKLDPKVANLMKVLCSQEIYRYALMEMGYDSPEIPIGMVTDLHLRRCEDTLKEFAEKLKSSTPTGNKADAAWADFTQRWFTLVPTTRPFPFKDYEELADQGVSSYEAIRDINTASRLIGDMSGSTLDDPLFERYMKLNCSLSPLEKEEDDYKMIVKYLDKTYEPVSIADVSYGVSVQNIFAVEASACPSLDDIKKLPNKVLLWCGTRSSNLLRHLQLGFLPSTCSLPVPGYMFGRAIVCSDAAAEAARYGFTAVDRPEGFLVLAVASLGEEIQEFSSPPEDTKSLEEKGIGAKGLGKKKTDESEHFVWKDNINVPCGKLIPSEHKESPLEYNEYAVYDPQQVSIRFLVEVKFEEKDVEYEAVELETEQQPEE >Solyc03g083910.3.1 pep chromosome:SL3.0:3:55278885:55283499:-1 gene:Solyc03g083910.3 transcript:Solyc03g083910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NPKCTSNYKSPFVTQFRWSQSFSVFITYIYSSRLVASPIPLSSIMATQCYDPENSASRYTLLPDQPDSGHRKSLKIISGIFLSVFLLLSVAFFPILNNQSPDLQIDSRSPAPPSRGVSQGVSDKTFRDVAGASHVSYAWSNAMLSWQRTAYHFQPQKNWMNDPNGPLYHKGWYHLFYQYNPDSAIWGNITWGHAVSKDLIHWLYLPFAMVPDQWYDINGVWTGSATILPDGQIMMLYTGDTDDYVQVQNLAYPANLSDPLLLDWVKFKGNPVLVPPPGIGVKDFRDPTTAWTGPQNGQWLLTIGSKIGKTGVALVYETSNFTSFKLLDGVLHAVPGTGMWECVDFYPVSTKKTNGLDTSYNGPGVKHVLKASLDDNKQDHYAIGTYDLGKNKWTPDNPELDCGIGLRLDYGKYYASKTFYDPKKERRVLWGWIGETDSESADLQKGWASVQSIPRTVLYDKKTGTHLLQWPVEEIESLRVGDPTVKQVDLQPGSIELLRVDSAAELDIEASFEVDKVALQGIIEADHVGFSCSTSGGAASRGILGPFGVIVIADQTLSELTPVYFYISKGADGRAETHFCADQTRFAFLSGTINLSLSSEAPGVGKQVYGSSVPVLDGEKHSMRLLVDHSIVESFAQGGRTVITSRIYPTKAVNGAARLFVFNNATGASVTASVKIWSLESANIQSFPLQDL >Solyc11g005470.1.1.1 pep chromosome:SL3.0:11:379553:380092:1 gene:Solyc11g005470.1 transcript:Solyc11g005470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLFTSYFLPLVLVATIFNFQTSLCDINADEALITGICRQVQDLQFCLTTFRQIIPSHPYVPESVTRAAITKSLQNANDNRAFVEKAIANAKDKETKDLYTICDSSYELLITVLQDAAKSLTNKDYNGLENDLAKCPQFVSDCQNVLGSKTTREMVDRSRKQFDLVLMAKIAEQLIKK >Solyc03g006150.2.1 pep chromosome:SL3.0:3:809513:813374:1 gene:Solyc03g006150.2 transcript:Solyc03g006150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSIDLNEEVGDQEGIEVVISDESHEKIIDENNNNNSTSCGDYENNKRVRQYVRSKLPRLRWTPELHLSFVSAIDRLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLGEFGQVLGHAYRTNNQGRSYLYGKLSQRLFNPLQDFKMKNGAIVLARNFNDDDNHFQNSFAKTPYETKEKFPRYLENHEICPVRQRHFHEEKRWPPCEFNQNKLNSQSLFHQNGPKWNCTYNSLGPKFKTPFRFEMKQDKMFIKEKDYLLPDLQLGLSTTNQDNNNSKEKNSSDINTMLSLSLPSYSSSAT >Solyc09g057580.3.1 pep chromosome:SL3.0:9:50068454:50076345:-1 gene:Solyc09g057580.3 transcript:Solyc09g057580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCGLSYTKISLHQSLPLAVKTCLSSSTCFLSNNSSFGTSSVGFRRSLFVVATGSSSKCEFGGLNAPLEPATPTGRLLSTLLLNDRKYFHVAVQKQLEKLANERDEAVARMNLSLGTDEAFLHRRIAEVKELECQTSVEDIMYMLISYKFSGIRVHLIPRLSKCMYNGRLEIWPCKDWELESIHSCEVLEMVREHLTSVLGWKEKSNVADNWTPTEVQKFKLCQVYVASVLYGYFLKSASLRHHLEKKLDHINPYLDLASYKQLLLSTIQSLGSEIVPFGCIGGTRSTSVSQIPLLREKKQDKLKYYVMNFDPEMLQMCAKPKSKEALNLIEKHSYALFGDKNTVLVASDDVILTSLASLKRIVLEAIAFGSFLWDAEEYVRTVYKLKEN >Solyc09g065730.3.1 pep chromosome:SL3.0:9:64248912:64251701:-1 gene:Solyc09g065730.3 transcript:Solyc09g065730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSIFISGTQSLSVCNRVSPNGLGFVGSDFNGNQFSKLGLSRTSYKIKTLAPICSISTSRPASQPRFIQHKQEAFWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLNDRNLTVVDVGGGTGFTTLGIVEHVDAKNVTILDQSPHQLAKAKEKEPLKECKIIEGDAEDLPFSTDYADRYVSAGSIEYWPDPQRGIREAYRVLKPGGKACLIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFEKAGFTDVQLKKIGPKWYRGVRRHGLIMGCSVTGVKSTPGDSPLQLGPKAEDVTKPVNPFVFMLRFLLGATAATYYVLVPIYMWVKDQVVPEGEPL >Solyc09g031610.3.1 pep chromosome:SL3.0:9:29315323:29329715:-1 gene:Solyc09g031610.3 transcript:Solyc09g031610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRSLFPRVMIDKDTESEKSSSDEEEEDANVVSPEEERIQKGKTPITVTLKKVCKVCKRSGHEAGFRGATYIDCPMKPCFLCKLPGHTTVTCPHRVATEYGMHPAPHKNTTNPLEFVFQRQLHPRLPPIKPAHVIPDQVSCAVIRYHSRRITCLEFHPTNNNILLSGDKKGQLGIWDFGKVHEKTVYGNIHNCILNNMKFNPTNDGSIYAASSDGTISCTDLETGISLSLMNLNPNGWEGPSSWRMLYGLDVNSERNVVLVADNFGYIYMADIRSNNKMSKPTLIHKKGTKVVGLNCNPLQPDLLLSCGNDHFARIWDMRLLEAGSSLYNLEHKRVVSSAYFSPLSGSKILTTSLDNRIRVWDSIFGNLDNPSREIVHSHDFNRYLTAFRAEWDAKDSSESLVVIGRYISENYDGAALHPIDFINIRTGQLVAEVMDPNITTISSVNKLHPREDILASGSSRSLFIWRPNKLDIALPREEKRMVLCGELSKKRNKKHGDDSDDDSENDIFISKDIRFKQKKHASKSSPYKSRRNKQGEEYLRRVNTHSAPPAHFSSMYIHGSATTPYSAPVMDVNIVVPASSVAEP >Solyc11g072640.2.1 pep chromosome:SL3.0:11:56161269:56162313:-1 gene:Solyc11g072640.2 transcript:Solyc11g072640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIGEELTLTGFWLQRWMSSDKAEERQSMIDYLLGLCRDGKLKYELEVSPFDDFHTALEKAMGKRGRQPKQVLKF >Solyc08g022060.1.1.1 pep chromosome:SL3.0:8:33153224:33153436:-1 gene:Solyc08g022060.1 transcript:Solyc08g022060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLHVSFPLFYSSYHRNIQLKMLPFPEFFFLRILLPRRLLPAPTTLNSPIQLIILNHKLLVILHSKKS >Solyc07g014690.3.1 pep chromosome:SL3.0:7:5104255:5107239:1 gene:Solyc07g014690.3 transcript:Solyc07g014690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSYLGEKLQHLFSSIFLYVSCLCGSTCFLISSLYRSILFKVNKFFIQLFYFIFISIFGFLILRNLNPRHSEDFTSTNLDLFFTSVSSATISSMSTLEMEVFSNSQLIVITFLMFIGGEVFISMIELYLIRPKFKPWRKNSKIESILSSNNSTTSSPRNSNFNNDDDYNIELDIVVLPDSPKSIKSDKDKDDFTSSDNNLKYQSIKFLGVVTLVYLLVINIVGMSLVLMYLAFVSSAKDVLINKGLNTYIFTIFTTISSFVSCGFVPTNENMMVFSKNSGLLWILIPLLLVGNALYPMCLRFSIRLMGKLFVSKKREAKYLLKNSREIGHLHLFSRQHSRLLVVTMFGFILVQFILFCALEWNSNGLNGLNSYQRFVGSLFQVVNARHTGETIVDISTLSPPILVMFIVMMYLPPYTSFIPVKGVEENTEEYLFGEKQKRGKVVENFIFSQLCYLSLFIVLICITERKKMKDDPLNFNVLNITLEVISAYGNVGFTTGYSCDRMINGDQSCKNKWYGFVGKWSDEGKIIIIIIMFFGRLKKFNMQGGKAWKLL >Solyc11g017472.1.1 pep chromosome:SL3.0:11:8456602:8468960:1 gene:Solyc11g017472.1 transcript:Solyc11g017472.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PATVVNETSVVWDATQDEHMGLPRKTTRKRSSMDDQRLKSLVEESVQAPNFRHKPPSVEFGRFRGENSRLSGQRLPCEGVVRQVTVLIQGCTIVEDLCVFPFQGADVVLGVAWLATLGRVITDYATREFEFTLHGSKWLWKRDPPTDAHQIQLHSLRRMTTTDAIASFFFLEMITPEGSCAGEMTTNLSGLLESYHDVKDMLKDGVIQPSTSPFSSPVLLVCKKDGTWRFCVDYRALNAITIRDRFPIPTIDELFDELHAIEQHAFNTLKSRLISTPVLALPNFSQEFQVETDASGRGIGAILSLRSHPIAFFSQKLSSRMQQASIYHQEMFAITQANTAADALSHTSEAVLMTISVNSLAIEVDLKQLNQTNPELVPIQQDLDLGNKKFDDYQCKEGILFYKRRIVIPSDSPLRHKLLLELHATAIGGHAGVFEDIAMDFITCLQSFNGKTTIMTVVDRLTKLHDPPRSIVTDRDPQFLHSFWQSEALNKCVEQYLRCYVAERSSMDDQRLKLLVEESVQGMKDSILADIRSLLLEVVGSKTQRPERDSHDDRFSTAPNFRHKPPLVEFGRFRGENSRLRLFPVLQLWWAVGKGSRVNVWCGCSPWTLGRVITDYATREFEFTLRGSKWLWKRDPHTDAHQIQLHSLRRMTTIDAIASFFFLAMITPEGSCAGEMTTNLSGLLEAYHDVFRKRTGFPPSRAQDHSIHLVPGAQPVNVKPYRYPHFQKKVMEQMVKDMLKDGVIQPSTSPFSSPVLLVCKKDGTWRFCVDYRVLNAITIRDRFPIPTIDELFDELHGAKFFSKLDLLSGLSVDPEKISTIEQWSPPRSVKEVRSFLGLAGYYRSQEFQVETDASGRGIGAILSQQSHPIAFFSQKLSSRMQQASTYHREMFAITQAVSKWRQYLLGRQFTIVTDQQSLRNLTTQQTLEQQKWLTKLVGYDFWILYRPGKQNTAADALSHTSEAVLMTISVNSLAIEVDLKQLNQTNPELVPIQQALDLRNKKFDDYQCKEGILFYKRRIVIPSDSPLRHKLLLELHATAIGGHAGVFEDIAMDFITCLQSFNGKTTIMTVVDRLTKYVHFIPLPSIFSTRTVAEAFVVDIIRLHDPPRSIVTDRDPQFLHSFWQEINRLQGSTLAMSTTYHPQTDGQSEALNKCVDQYLRFYVAEVPSKWVAMLPWAEFWYNTLYQTSAGMTPFQALYGREPATVARYILGSSASELVDSYLLKWDEVLHILKNTLLKAQNRMKRWLINLGLIPSLKWVLKRIGPVAYRLELPESTKIHFVFHISMLKRCVGTLDQQDKVDFKEGSNIVYETSVDWDATQDEHMGLPRKTARKVIPPKRLGDYV >Solyc12g036080.1.1.1 pep chromosome:SL3.0:12:45001837:45002229:-1 gene:Solyc12g036080.1 transcript:Solyc12g036080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQLEMIPDMPQLLEMIPNMPRLLEMIPNLPQHLDPNVHQQLEENPNTLQEFEIILNIQQPFQLISNMTHQLEMIPNMPHLLEKNSNIPQQLEMIPTMSQQLDMIPNMSQLLEMIPNLPQRLEMIPNMP >Solyc11g007910.2.1 pep chromosome:SL3.0:11:2133914:2141578:-1 gene:Solyc11g007910.2 transcript:Solyc11g007910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVQQQMQQKPESTGDDVRSEFERGLEELMCGHLDECMSYASCSSVRNTEDEDEESDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTIERRNRESELMALAGLHTVSMLDSSFLRESQSPTSRRQGGDSERINTRASNILQMWRELEDEHVLNRARERVRERLTQRRSMDSNTNVSSANMSESRETDNQGSLVDASESENEYGTWSHDPIGPQNDHRDHENSSREPSPDLGEVERERVRQIVRGWMESGISDHSSNVSQPQRNGGPRGEWLGETERERVRIVREWVQMTSQQRGARGSQREEQSTRLSSQGDRAREGSVVDHEEAQPEHIRRDMLRLRGRQALLDLLMRIERERQRELQGLLEHRAVSDFAHRNRIQSLLRGRFLHERPAEEERPPSIAASELVQLRQRNTVSGLREGFRSRLENIVRGQEVEDENGEQMQTSELESTVHQLPDRSENSGQSMNQQPPPNQGRDRVEAVVEAEEAIQQRNLTSNDSNVWTDETTENVSRNWQENPSTGRSLETTAYVGRAEHRFPENQEVWHEDASREAVESWSAGPSDPPRMRRPVPIRRVSRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPIPVSPEMGPDQHNDDQNDDQQDGVGRPSIVLPSPPVPPPQPLWHQDLHHSSWPRHAVHRSELEWEMINELRADMARLQQGMNHMQRMLESCMDMQLELQRSVRQEVSAALNRSAGGQGAAETSVDGSKWGNVKKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >Solyc05g005865.1.1 pep chromosome:SL3.0:5:673196:681773:-1 gene:Solyc05g005865.1 transcript:Solyc05g005865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNLGKIDVEVEVKSPVDKFWNGIRDSINLFPKASPNEYKSIEVVEGDGKSVGSVLLIKYTEGFPLVTFSKEKIESIDEANKTLVYSVIDGETLKYYKSFKGTLTVIPKGNGSLVKWCCEFERANDEIPEPQIIKEFAVKNFKNLDAYLIGA >Solyc11g020310.1.1.1 pep chromosome:SL3.0:11:10859349:10859579:-1 gene:Solyc11g020310.1 transcript:Solyc11g020310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGDEHAGAISLLGCEGQGECSDNLDLKPKFPRQGNLRGNEVSNGDVQQPLMVVDCSLPSIKFSLPSNPLLSPPFL >Solyc02g081180.2.1.1 pep chromosome:SL3.0:2:45795402:45796468:1 gene:Solyc02g081180.2 transcript:Solyc02g081180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLRERMDGDDRVVRRRKSLTQRLGFTGSIACCGTTLCLRPASLSVMDDDEPPAELGRETQPEIESVNILCLADHITTGSGMNLAAALLAERNFRSAQDSDSPGPNTSPLRSNEDGPGTGGGSPYRVSLMRLLEETNGWDEKEESGLGNDRVCCVCMGRKKGAAFIPCGHTYCRVCSRELWLNRGCCPLCNRSILEILDIY >Solyc07g005840.2.1 pep chromosome:SL3.0:7:667382:673105:1 gene:Solyc07g005840.2 transcript:Solyc07g005840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4CB55] MEASAGLVAGSHNRNELVVIHGHEEHKPLKDLSGQVCDICGDEIGLTVDGDLFVACNECGFPVCRPCYEYERREGTQQCPQCKTRYKRLKGSPRVAGDDDEEDIDDIEHEFKVDDDQNKNRNIVETILHGKMTYGRGPEDEDSAQYPPVIAGTRSHPVSGEFPISNHGNGEQMLGSSLHKRIHPYPASESGSARWDDKKEGGWKERMEDWKFQQGHAGQDYDDSADVDMSMVDEARQPLSRKVPIASSKINPYRMVIVARLVILAVFLRYRILNPVHDAIGLWLTSIICEIWFAFSWILDQFPKWFPIDRETYLDRLSLRYEREGEPNMLAPVDVFVSTVDPMKEPPLVTANTILSILAMDYPVDKISCYLSDDGASMCTFEALSETAEFARKWVPFCKKFAIEPRAPEFYFSLKIDYLKDKIQPTFVKERRAMKREYEEFKVRINALVAKATKMPPGGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGTDVDGHELPRLVYVSREKRPGFQHHKKAGAMNALVRVSGVLTNAPFMLNLDCDHYLNNSKAAREAMCFLMDTQMGKKVCFVQFPQRFDGIDKHDRYANRNTVFFDINMKGLDGLQGPVYVGTGCVFRRQALYGYNPPKRAKRPRMVSCDCCPCFGRKKKLDKYKSEVNADAANAQGFDDDNELLMSQMNFEKKFGQSAIFVTSTLMIEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSVYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSHHSPVWYGYKGGNLKWLERLSYINTTIYPFTSLPLLAYCTLPAVCLLTGKFIMPEISTLASLFFIALFLSIFTTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKILAGIDTNFTVTSKATDDEDFGELYAFKWTTLLIPPTTILIINLVGVVAGISDAINNGYNSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSILLASIFSLLWVRIDPFVLKTKGPDVKRCGVNC >Solyc06g008290.3.1 pep chromosome:SL3.0:6:2145942:2159384:1 gene:Solyc06g008290.3 transcript:Solyc06g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIFSKKPNAKEALRESRRELTHATRGIEKEISALQSEEKKLVAEIKRTAKTGNETATKVLARQLIRLRQQIANLQGSRAQMRGIATHTQAISAQSSVASGMKGATKAMTAMNKQMDPAKQAKVMQDFQKQSAQLDMTTEMMSDAIDDAIDDDEAEEETEDLTNQVLDEIGIDVASQLSAAPKGKIAGKRTEESSSSGIDELEKRLAALRNP >Solyc02g091450.2.1 pep chromosome:SL3.0:2:53377998:53381891:1 gene:Solyc02g091450.2 transcript:Solyc02g091450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHIPTDELTPANTIGVPWFSLSCFTSANNNRLRNSLCHRAGGSLSLSQWQCSSRVNLHIFFLCFPLVSPFLSRS >Solyc03g093920.1.1.1 pep chromosome:SL3.0:3:57029184:57029339:-1 gene:Solyc03g093920.1 transcript:Solyc03g093920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTILLEKMKRSRRCVPHHCHVDADENFEDKNIDLPAIEQLIRLKIHLQYS >Solyc03g034450.3.1 pep chromosome:SL3.0:3:6441663:6444835:1 gene:Solyc03g034450.3 transcript:Solyc03g034450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVGREEDDNDEGPSNPTRRPEPKRRRTTAGTFSCSGNFRLSGDRRLPVRESEVARENRAISGEDPDQNLEGSRGNGSISVMLSDPDVLDCPICLEHLSVPVFQCENGHIACASCCTKIANKCPSCCWPIGYNRCRALEKVLESVKVSCVNKMYGCKEILSYSKKTDHENACIYVPCFCPSHGCDFLGTSTNVYAHFRDKHASSAEHIFFNAVHPIHIEKDQSYKILQMRTEGILFIINHASDRVGSAFNIICVGPARQKRRFSYKLVVTDGESSFKLESVAESMPNWSESSPLKKFLVVPRDVVNSSAPLKLNVFIEEKEYVCNGCRC >Solyc02g081760.2.1 pep chromosome:SL3.0:2:46139658:46141880:1 gene:Solyc02g081760.2 transcript:Solyc02g081760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFALLSSPSLVSVCDKTFIKPSSLTPPTLRYHKLSYIDQFHSNMYIPLAFFYPKVQQREESTDNELSHIVHLLQTSLSKTLVSYYPYAGKLRDNAIVDCNDMGAEFLSVRINCTMSEILDHPDASQAESIVFPKDLPWANNYEGGNLLVAQVSKFDCGGIAISVCLSHKIGDGTSVLNFVNDWSRMTYSPMTTTLAPKFVGDSVFSANNYSPIIAPQMLSNVSECVQKRIIFHKIKLDALRAKVAAESGVENPTRAEVVSAILFRCAIKAASSTTSSMVPSKFVHFLNVRSMMKCRPSAIGNILSIFSTTATKEEDIELPTLVHNLRKGVEKSYKKDQVEQNELILEVVESMRKGKKPFDEEYENVYSCSNVCRFPFYNVDFGWGKPERVGLPNGPFKNLFFLKDLKIGQGVDARVMLQKQHMSEFERDEELLELIS >Solyc03g117050.3.1 pep chromosome:SL3.0:3:67799260:67812968:1 gene:Solyc03g117050.3 transcript:Solyc03g117050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPEEGVSAPSTPATPGTPGAPLFGGLIKHERRNGGNGKKSLLKSCKCFGVEPWASEEGTLPAVTCMLPPPPISLARKVGAEFIGTLILIFAGTATAIVNQKTQGSETLIGLAASTGLAVMIVILSTGHISGAHLNPAVTIGFAALNHFPWKHVPVYIGAQIIASFCAAFTLKVVLHPIMGGGVTVPSGSYVQAFALEFIISFNLMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGETTGASMNPVRTLGPAVAVGNYKAIWIYLTAPILGALIGAGVYSAVKLPDEDRDNHPKPSLEHSFRRLNHLEYFSLNRLIGSPAYEG >Solyc03g059314.1.1 pep chromosome:SL3.0:3:30938169:30938980:-1 gene:Solyc03g059314.1 transcript:Solyc03g059314.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACCCPSRMLVVTRRKKRGKGTGEKKGDGLSCCPFGVVVYCFRWRIRRLMALLLHPRQWWRELGVIDIFTAISLLFPSNEPFREPHGQGAFSI >Solyc08g015640.1.1.1 pep chromosome:SL3.0:8:5184736:5184912:-1 gene:Solyc08g015640.1 transcript:Solyc08g015640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQFDFTESISKNFISLLSRLRNVLFFLFLFIDALVHPFRLSTVVKNRSVRVIFCC >Solyc09g092280.1.1.1 pep chromosome:SL3.0:9:71889843:71892383:-1 gene:Solyc09g092280.1 transcript:Solyc09g092280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIVLSAVISKATEAAGNLLVEKWSNLTSLEESMNWLKTEMLLIQSYIIDARTKLTQGDSTVKNLIKYIEQLADDANDILDEFLPKIERKGTIGCRVSNVSSANKFVAEIEKIKRRVAEIDGLRTTYGITDTSNNNNQHDYIPWDQRGVFLHADETEVVGLDDDFNKLQAKLIDHHLPYKVVSIVGMPGLGKTTLAKKLYRHVRDQFECSGLLYVSQQPRAGEILLKIAKQVGLTEEERKENLEDNLRSLLKRKRYVILLDDIWKVQIWDDLKLVLPECDSKIGSRIIITSRNNDVGRYIGGDSSLHELQPFDSEKSYELFTKKIFIFDNNHSVDLVDIGKSIVKRCGSIPLAIVVTAGVLRARERTEHAWNKVLESMGHRVEDGCAKVLALSYNDLPIALRPCFLYFGLFPKDHEIRAFDLINMWIAEKLIVVNSGNTREAESKAEDFLNDLVSRNLIQVAKRRYDGRISTCRIHDLLHSLCVELGKESNFFHTEDNAFGDPGNVSLVRRITFYSDINAMNKFFRSNPNPKKLRALFCFIDDTCLFSQLARHDFKLLQVLVVVIANDYSMEIPNTFGKTSCLRYLQFEGQMRGKLPNSMVKHVQTINIENSSTYLPTGVWESKQLRHLRYKGGFEASNCCFSISRKIYSLPPNNIQTLMCVDDKFVEPRLFHRLINLRKLGIWSVSDSTVQILSTLPKELEALKLIFYYQSSEQLNLSSCSYIVKLHLRGSVRLNSESFPPNLIKLTLCYIDVEGHLVALLKKLSKLRILKMIRCKHKEEKMDLCGDGDSFPQLEVLHIQEPSGLSEVECMDDVSMPKLKKLLLKRTKFNIRLSEHVTKLMIRI >Solyc11g011750.2.1 pep chromosome:SL3.0:11:4715673:4728373:1 gene:Solyc11g011750.2 transcript:Solyc11g011750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHSFSIETSCYEEIKIKDEEEIIINFDNNIQDEENTQKGKQYIGVRKRPWGKYAAEIRDSTRNGIRVWLGTFDTAEEAALAYDQAALSMRGPTTCLNFGVEIVQESLRGFKYTSQEGSTSSPAQIEDEKITSIISHEVKVEAQSNEVLEVDYNFHNIAKKPHKFIGVRKRPWGKYAAEIRDSTRNGIRVWLGTFDTAEEAALAYDQAAFCTRGPSICLNFPVEKVRDSLTKMECNHDEKLISNIKVEVEVEVEDKKKHYIGVRKRPWGKYAAEIRDSTRNGIRVWLGTFDTAEEAALTYDQAAFCMRVDKVRESLTKMEFINSKDGLFMSSPAAALKEKHKKRNGTTSSRKKKNSIKEENVLIFEDLGPDLLDELLSSEYSSCSN >Solyc01g008670.3.1 pep chromosome:SL3.0:1:2664532:2679325:-1 gene:Solyc01g008670.3 transcript:Solyc01g008670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRIFSLSADVSLDSPGSILERIHIDDTSSGVQSRERSFLAYQSNSLDKVSSPVRREVANRPFFMMAVKRIDNDVENGKLEIKEKSKRNNMIVTVQNKALLSGIAYCISSCSMILVNKYVLSSYNFNAGISLMLYQNFVSVVVVSILSFSGVISTEPLTLRLIKVWLPVNVIFVGMLISSMFSLKYLNVAMVTVLKNVTNVITAVGEMYIFNKHHDSRVWTALILMIVSAVSGGITDLSFHATGYTWQIINCFLTASYSVNNVRDNNKILIVANFFMNYVIPIVLRSSELERLTLRRIMDTAKQVTKSGNLDEFSMVLLNNTLSLPLGLLLVLLFNEVDYLSTTLVGSLNKIPLSVAGIFLFNVPTSLDNTASIFFGLILGSRFCSNWSRHVNIDSDPSKRSASQSIEKEEERQWKETWSKGHKPETGIQAMHRGPFWGKVREAAEKKILKENLLAALSAQSGIQYDPTEEVMMAARHIADQRHGSSGAGPSHIPRSSDYASSSIPNRMTCVEEDLDRIRHFQAYQVKHNQAVAEMLRTMALHHGMNMDKFPTCGLPHHVFRDLAKKYGPIMHLQLGEVSLVVVTSADMAKQVLKTHDLAFAFRPKLLVGEILFYKGTDIVFSPYGDYWRQMRKICLLEFLSAKNVKSFNSIRQDEVHHMIEFFRSSSGETINVTKRIYQFSSSMTCRSVFGKVFKEQNKLMELAKEASRFLKGFDVADIFPSLKFLHVLCGMKGKIMDAHHELDAILENIINEHKNNGELGGEGLLVALLSLMKEGGLQFPITNDNIKAIIFDMFAGGTDTSSTLIDWAMVEMMKNPSVLLKAQDEIRNAFTGKETFNENDVEELKYLKLVVKETFRLHPPAPLLVARECREEVDIDGYNIPLKTKVLVNAYAIGRDPKYWIDAECFKPERFEQISVDFIGNNFEFLPFGSGRRICPGISFGLANIFFPLAKLLYHFDWKLPIGINPSDLDLTESAGIACARKSNLYLIATPHEPSQE >Solyc10g078720.2.1 pep chromosome:SL3.0:10:60577282:60580835:-1 gene:Solyc10g078720.2 transcript:Solyc10g078720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQNYELASDCNLEFPQMGFCFQPESSVENGCQQQQQPNFWPSTDSSSSRTIISRIGSSPSAFFATERYLGLTQYDYQDNNNSCTQLSKNLDPQTTSYTQQCGNGFSADSSARVDTDFPKISMPSFIRSQFSSSQPFGPEGLYGNPFSNLSEKERILLLKSKLFREIDSSNRQPASIPFQGNQDYGVSNNTCGFNLVHIRQQSGSQPANSFNNSGCSGGSLSSKARIRWTQDLHDRFVECVNRLGGADKATPKAILKLMDSEGLTIFHVKSHLQKYRNAKFIPESTEGRSGKTDCPNNVTQIDSKTGMQIKEALHMQLEVQRRLHEQLEIQRKLQLRIEEQGEQLKKIFEQQQQTTRSLLETRNSSISSPADQFTPHEDEVFAAESFNNTHFQSNISYNDM >Solyc02g088700.3.1 pep chromosome:SL3.0:2:51327707:51330096:1 gene:Solyc02g088700.3 transcript:Solyc02g088700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRQLLTLARRSRNLSSSHSLRRLSSASAAVAATSSSAPAIGPPPPDAMIYDRLAEDVKRKIKRLENPDSRFLQYNSPHPTLADHTSILSFPSTRVTTLPSGLRVATETNLAVKTATVGVFIDAGSRFETDETNGTAHFLEHMIFKGTEKRTSWEMEEEIENMGGHLNAYTSREQTAYYAKVLDNDVPVALDILADILQNSKFEEKKIERERDVILREMEEVEGQTEEVIFDHLHSTAFQYSPLGRTILGPAQNIKTITRSHLKDYISTHYTAPRMVIVASGPVKHEEFVEQVKKQFTKLSTNPSTASELVAREPAIFTGSEVRVIDDDIPLAQFAVAFQGAPWTDPDAIPLMVMQSMLGTWNKNAGGGKHMGSDLAQSVGINELAESHDVF >Solyc02g032155.1.1 pep chromosome:SL3.0:2:28175045:28178161:1 gene:Solyc02g032155.1 transcript:Solyc02g032155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNSKYRGDMREIYESNKESTIKFNTEKGKSILLLESNGEKPSNKVTYFYDVLDKGILYSGGEEKRISILCICHGMFFTVAEFMKHGGGKEVDDPMKFIKVVDDA >Solyc04g014560.3.1 pep chromosome:SL3.0:4:4824662:4832468:1 gene:Solyc04g014560.3 transcript:Solyc04g014560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELKTSGRPIDQLLEKVLCMNILSSDYFRDLLRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTAFCLLYKFFTMKLTVKQMHGLLKHPDSPYIRAIGFLYLRYLGDFKTLWGWYEPYLKDDEEFSPGSSGQMTTMGVYVRDLFLGQYYFDTLLPRIPVPVVRTAVASLEKMNLPTKLSGSIGDSSRGSEETSRRPPSVKASLSVSFGQRAPHRASTRDSSPIRRTIAPPSYDKDGANGSRRTRLDQQRTPPRDESKEKKAASSNLAKLKDLYGDFGNKKENIGDDRAPNRDTSTEEVIRLGGSTWR >Solyc09g075850.3.1 pep chromosome:SL3.0:9:67909271:67917124:-1 gene:Solyc09g075850.3 transcript:Solyc09g075850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKKTASQKNSRSASPVKEMAISDLTAKHQKDLENLNLLTQPFRTLKLFSMAMMQYLMRSIAYLLSHRWCMLFSMIAGLALILLVTTDGPHVKHVEEVGRYLQFGLWWVALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMKCGRVDLKMAPYDTIQFQKTPLWLHKDCSEFGPPVFSSSHGTSVPLSSILLQVQLEAVLWGLGTALGELPPYFISRAASLSGSKVEAMEELDASSENNGFIATRLTQMKRWFFSHAQCLNFFTILILASVPNPLFDLAGIMCGQFGIPFWKFFFATLIGKAIIKTHIQTVFIISVCNNQLLYWVETKMIRMLSFIPGLETMLPKIIAKLHSMKDKYMATKTPSTSNIKVNKWDFSFASVWNGVVTIMLLNFFVKIVNATAQRYLKKQQEEELASLKNKSS >Solyc12g099940.2.1 pep chromosome:SL3.0:12:67868520:67876229:1 gene:Solyc12g099940.2 transcript:Solyc12g099940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRSVFLPQFKIQQPQFTLVFSKQGKYEPLVVVDIFSREAFPISYDRWKNIEVHCNNDQSIRHSPLSKQDNAELPELAFNRLQQTDDGYAGLQRRNFGRFIAREAVLDEEYWTAAWLRAEAHWESVSYMRHVDAYKRKYAEQEFYALKRRCSGQDGNCLKCFCFVAVKKEEKNVRRTVLNSVVGTLDLSIRKFVQRERYPGEIKRLSVVLAHQEPFDSHKYAYIANVSVAKFARRQGIASNIIHLAADMAALEGFKQLFVHVNADNIPGQELYKKTGFKIVEADSSSLSKEQRILMSLDL >Solyc08g077950.1.1.1 pep chromosome:SL3.0:8:61961626:61962723:1 gene:Solyc08g077950.1 transcript:Solyc08g077950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQDFEELIKYCEPHSDAQEELLNCPFVYEYEDTPHSSPSVAVTPSEPTGILMLSVHSTDTDGQSSPPENEEFETPPEHNNSSQPYFSGSDDPKPITAGVNRQQNNNGDTESVNRDNMEVVDLGNDSDDLGFPNRGEIDVQDTGEFEEQLQKRYKVSEEDFDVTSSVQRIVKEEPIVIEINQTPTQEVDTEVIEGGIERNADSSTVKMSERREEEEDGGEMGDNGERGDGTKDGVGERGAASLNLEENEKLNAVSAKEMHSNGITTSVGVNGGGGAGRAKGRRELPLSMKGGAETVGSSEEVGRSETTKGRFKDLVEAFSMIVGDVSGDDSNDKNGADFLETAMRRGLSFPRPRWWPPEGFDD >Solyc04g080870.1.1.1 pep chromosome:SL3.0:4:65012208:65012468:1 gene:Solyc04g080870.1 transcript:Solyc04g080870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVIRLSNRLNGKPEKEATDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTAMRLKREKETLRNTLNYLSAASAVKDVFPST >Solyc05g005755.1.1 pep chromosome:SL3.0:5:571180:574898:1 gene:Solyc05g005755.1 transcript:Solyc05g005755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGVYVVRYRNVVLNFSVWKFCCYISSEIAGSFLEVYNFKLNLDVTADCGSSTVTAVATESGVVYQSHITVIMINAMLLHNCFGAESFKHRFQIPAVRV >Solyc08g005410.3.1 pep chromosome:SL3.0:8:304814:312277:-1 gene:Solyc08g005410.3 transcript:Solyc08g005410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVHEGWMVRYGRRKIGKSFLHMRYFVLETKLLAYFKTKPQGNVSPIKTLEIDGNFRVEDRGLKTHHGQMVYVLSVYNMKEKHDQITMAAFNIQEALIWKEKIESVIDQHQESVGGSRAKLNDFKSGTESTGRTTSDQESPFSAAEDEDESQQNMLRGTTIANAIAPPESVVDWTKESESDLANPKYRRLLYCQNGLRFFEELLNVDLLPKGCSRAMKAVGVVGATCEEIFELVMSMDATRFEWDCSFQYGSLVEEVDGHTAILYHRLRLDWFPTFIWPRDLCYVRYWRRNDDGSYVVLFRSREHKNCNPLPGYVRAHIESGGFNISPLKPRNGRPRTQVQQLMQIDLKGWGVGFITSFQQHCLFQMLNSVAGLREYFSQTDERAAAPRIPVMVNMNPPSISSKKSQKLSHHRTPSLDQIRAANRNAVSMLDEYSDEDEDFQGTDQEVSSPGLENDTIKTALEEEPMEHIDMSTFSGNLRHDDSDNGRDCWTISDGKNFRVRGKNFCTDKTKVPAGQSLMDLVAVDWFKDTKRMDHVARRPGCAAQVASEKGLFTLVINLQVPGSTHYSMIFYFVMKKLIPGSLLQRFVDGDDEFRNSRMKLIPSVPKGSWIVRQSVGSSPCLLGKAVDCNYIRGPKYLEIDVDVGSSTVANGVMGLVIGVITTLVVDMAFLVQGNTPDELPEPLIGAARVCHIELSSAVVPKLEPE >Solyc08g081340.3.1 pep chromosome:SL3.0:8:64514063:64528267:1 gene:Solyc08g081340.3 transcript:Solyc08g081340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDSKVPEEAGSSMQVDSKVQEKDDISNSMQNLNIEGSSFTFKKKPIIIIVVGMAGSGKTTFLHRLVCHTMASNLRGYVLNLDPAVLTLPFGANIDIRDTVRYKEVMKQYNLGPNGGILTSLNLFATKFDEVISVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVTYVVDTPRSESPATFMSNMLYACSILYKTRLPLVLAFNKTDVANHEFALEWMKDFEVFHAALDADNTYTSTLTRSLSLALEEFYKNLRSVGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRWEEKQLLEETRRRENMEKLRKDMEKTGGETVEEYRASTADDDHYYPEEGGDDFHDGEREGKKMDFTKLELKPDHGNRPLWACADGRIFLETFSPLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIIAVLSKLSKTKLPKEMIDFIHASTANYGKVKLVLKRLLADDAIGKARISSEGMHGKDGFTVSKPVNEIEGGHDELINEVDVAAAAEEKETHSFEIDPAQVENVKQRCLPNALNYPMMEEYDFRNDTVNPDLDMELKPQAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAASRIKKSCLCLATNAVSVDQWAFQFKLWSTIREEQICRFTSDSKERFRGNVGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKRQALYVMNPNKFRACEFLIRFHEQQRGDKIIVFADNLFALTEYAMKLRKPMIYGATSHVERTKILEAFKTSKEVNTIFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKHQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPSDSGSELSYHRLDEQLQLLGKVLSAGDDAVGLEQLDEDADDVALQKARRYVGSMSAMSGAKGMVYMEYKYDSDS >Solyc12g044440.2.1 pep chromosome:SL3.0:12:60355753:60365961:1 gene:Solyc12g044440.2 transcript:Solyc12g044440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIIRRKLERLMRYFKDSNFLPRRKFGITCFENSYARDFVKYAAEQFGRDHQEIAKWLSGSDLKKVALFGCPSIANKTVFSAKRLRAYFRIQEVDYAANVP >Solyc09g015450.3.1 pep chromosome:SL3.0:9:8796146:8797200:-1 gene:Solyc09g015450.3 transcript:Solyc09g015450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDMSDIANFGFSNSGEDQELEEKSQENVGEMRNGKEGGVINNLFSNLMSPRAGEPKNKERNDLFEASDKEKSVDDSNGSAGMINNLISNIFHPTNENSAENQEIEKVEMKKTEEESGSVLDNIMSHLPTPLADDAVPATDEASILIHSIVHD >Solyc01g097230.3.1 pep chromosome:SL3.0:1:88036352:88037002:-1 gene:Solyc01g097230.3 transcript:Solyc01g097230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFQLQPHQHHHKPHLIPQENHLVHRYHDHHNHNHNRRCGRRRSLDPTRSVAWFYYFREEAIVISGTQLDDRLVLVGLGLVTVVALALTHVGLNVLVALIIGFFVLGIHGALRGTEDLFLDENEAAEGGLLSVVSEEQIRPSYR >Solyc12g049685.1.1.1 pep chromosome:SL3.0:12:62465685:62465990:1 gene:Solyc12g049685.1 transcript:Solyc12g049685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEFDMSDLGLMHYSLGIEVKQSSIEIFTSQKKYIQETLQSFGMQSCNSVTTPTELELKLEKNLIGKKIDNTFFKQLVGCLMYLTVTRPNIMYFVSLVGR >Solyc11g008360.2.1 pep chromosome:SL3.0:11:2569996:2575236:-1 gene:Solyc11g008360.2 transcript:Solyc11g008360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANASTGKKKKKGKEVASKAATVVPTPEPKHSKAARRFYNENFRDPPQRLSKVLAAAGVASRRSSEELIFQGRVTVNGSVCKTPQVKFRNAPILQKCWVLKPIVDIYSACWQTKVDPARDVIYVNGNRLPKKLPTKVYLALNKPKGYICSSGEKETKSVMSLFDDFIKSWDKRHPGQPKPRLFTVGRLDVATTGLIIVTNDGEFTHQISHPSSNLSKEYIATIDGEVHKRHLIAISEGTIIDGVHCTPDNVELLPGQPDLSRPRLRIVVHEGRNHEVRELVKNAGLQLRALKRIRIGGFRLPADLALGKHVELNQANLKALGWKS >Solyc11g016930.1.1 pep chromosome:SL3.0:11:7598580:7602625:1 gene:Solyc11g016930.1 transcript:Solyc11g016930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWACIKFFISQEFRSRSCECLLDYCQRLLLHNVTASNDIKCLQGLKDSFKDPNVNFNSWNFSNYSMGFICKFVGVIYWNNLENRMISLSLPNMNLSVQLPDAFKCCSSLATLHLSGNSFSGSIPAELRNCTYLNKLILNNNKLSGNIPPEISQLTRLKVLSLANNNLSGNIPPFSGLTDFEYGGNRHLCGGTLAKCG >Solyc06g076330.3.1 pep chromosome:SL3.0:6:47561310:47566169:-1 gene:Solyc06g076330.3 transcript:Solyc06g076330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4C9Z3] MSKHIYISITHRLMQIDQLNFHIILISHIFQTKERGNNMSLFYFSRPTLFCVIFCICSILLFPEFVIAGVTRHYKFDIRLKNVTRLCKTKTIVTVNGKFPGPRVVAREGDRLVIKVVNHVSNNVSIHWHGIRQLQSGWADGPAYVTQCPIQKGQSYVYNFTITGQRGTLWWHAHISWMRATLYGPLIIYPKHNVSYPFAKPHKEIPIMFGEWWNANTEAVINQSLVTGAGPNVSDSYTINGLPGPLYNCSAKDTFKLKVKSGKTYMLRIINAALNDDLFFRIANHTMTVVEADAFYVKPFETDIIVITPGQTTNVLLKTHHNPQQTTFVMAARPYFTGLGTFDNSTIAGILMYESSSNNISINNLPIPTLPPINSTSFVSNFTNKFRALASVKFPVNVPRNVDKHFFFTVGLGSNPCPKNQTCQGPNNSSKFAASVNNISMILPNTALLQSYFFKKSNGVYTSDFPSVPLVSFNYSGTPPNNTNVSNGTKVVVLPFNTSVEVVMQDTSILGAESHPLHLHGYNFYVVGQGFGNFDPKNDPAKYNLVDPVERNTVGVPSGGWVAIRFLADNPGVWLMHCHFDVHLSWGLRMAWIVLDGKLPNQKLLPPPADLPMC >Solyc01g098490.3.1 pep chromosome:SL3.0:1:88865661:88870022:1 gene:Solyc01g098490.3 transcript:Solyc01g098490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLLSSEGNKFPISTSCSASVVLSTCVVMCGSLAYGFAVGYSSPVQSGIMADLGLSIAEYSTFGAILTLGGTIGALVSGTIADMAGRKITMWIMDLCFILGWLSIIFAKSVWWLDIGRFLMGIGAGLLLYVAPIYIAEIAPKSIRGGCTAVFAFMLYFGFSLMFLIGNILTWRTLAVVGVIPSLVQLPGIFFIPESPRWLAKIGLDKEVEASLQYLRGKNVDISLETAEIKDNVESFTKLSGSRYLDMFDRRYAHSLIVGLGIMILLQSGGTDAISSFASSIFEKAGCSASFATTTMGFIQLPFAAMGIFLLDSAGRRPVLMVASAGTCLGNFLVGVGFLCKDYDQMSQLTATFVLVGILVFAIFFSMGVGGAATTIVSEIFPMNIKGSAGSLAIVCNWFTSWIVTYAFNFLFEWSPSGVFFMFTFFSGLMILFVAKIVPETKGRTLEEIQASLTLLN >Solyc01g104820.3.1 pep chromosome:SL3.0:1:93055012:93063677:1 gene:Solyc01g104820.3 transcript:Solyc01g104820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNHLQITIPKENQTPEKDFDYSQRAQWLRAAVLGANDGLVSVASLMMGVGAVQENVKTMIITGFAGLFAGACSMAIGEFVSVYSQLDIERAQMKRDKATRGQDREHEQLPNPFQAAVASSIAFSLGAIVPILAAAFIANHKLRLAVIVAAVSLALVAFGGMGAFLGRSPMMKSCARVLIGGWMAMAITFGLTKLIGSTGLEIAQWVRAAVLGANDGLVSIASLMMGVGAVQKDVKAMILIGFAGLFAGACSMAIGEFVSVYSQLDIERAQMKRDKAAAGQNQEHEEGNKEQLPNPFQAAVASAIAFSLGAIVPILAAAFIANHKVRLAVIVAAVSLALLAFGGIGAFLGRSPMVKSCARVLIGGWMAMAITFGLTKLIGSKNDNQNRNQVPEEDTDYFQRAQWLRAATLGATDGLVTTASLMMGVGAVKKDVDAMILIGFAALFAGACSMAIGEFVSVYSQLDIELAQIKREAKTRGQNHEQKEEEDKEGLPNPFLAAVASAIAFSLGGIIPILAAGFISNHKVRMGVVVAAVSLALFVFGGVGAVLGKSPAVLRQSFNRWLDGYGHYLRTYKADRLCWNGDDNQNQAPEEDFDYPQRSQWLRGAVLGANDGVISTACLMMVVGAVQKDVNAMILTGFVWLFVGACSMAIGEFVSVYSHVQQEEDEGHTNPFLAAIASAVAFSLGGIIPILAAGFISNHKLRLVLIVFAVILGIVSIWMNWSFLGQNSYGEILCKSFNWWLNGYGHYLLADQTDRLWHGD >Solyc08g023340.3.1.1 pep chromosome:SL3.0:8:28582295:28588924:1 gene:Solyc08g023340.3 transcript:Solyc08g023340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELCLTSTRMLINRERNQVDVIRIITLDTKKFMISSGERSKIFLLFYLIYISKRDNPASQFYLFFLGSLFLYSLFNNYNFFLLLLGENCYYK >Solyc10g047820.1.1 pep chromosome:SL3.0:10:42696091:42698374:1 gene:Solyc10g047820.1 transcript:Solyc10g047820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRLLYMLYSLEMELTHEELIVEGEERDREFTSQTHNPDNSYNYSGGVRRKAYMFDGEGNYFDKEWDLSEGRDKEFCWYHVELPKWNQKLSQSARYLIDVLCPPLNLQDILSLVSNGPFCAHVNGALVFRVNSPGPASSNFTFRIAARVTENLVITVSLGRVPRLGFSPVKESLLSEIPIVDSPSNGEQRGRGRTVIREHVLDFLLTKNHFEEADNPVPKSVSNLVIHVLDRHVDHVQDIVTKLEIELDSVELELDKGCFALKQQMLEDRRFPKVHLDSQRLLQVIAYGEQVIPRVKEKCCSKDWFASDDINTLEELIGQLKSLKESVGFIANRVTGIQAGLESWQADQITGKLYNLSFLSIMFLPLSVVTGVFGMNVGGVPWTNQNEPELKDGFHNVMFLCVALILLVLFCFLFPEFYTSVSTWKRRRDMKRSCSLNHKSSFRSSSRERNDKEGYQRLY >Solyc07g037950.1.1.1 pep chromosome:SL3.0:7:44442837:44444291:-1 gene:Solyc07g037950.1 transcript:Solyc07g037950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDSISFKPMAVPTREDDEEPPISSTSLLSFDTDDCSTSIPPKPPFSSLILTPLILVTCVSLSTAIIFAYLFFSHSSVSSISHVSRPLQKLKHPVVLLISSDGFRFGYQYKTDTPNIRRLITNGTEAELGLIPVFPTLTFPNHYAIVTGLYPAYHGIINNYFLDPNIREPFTMASYDPKWWLGEPLWETVVNHGLKAATYFWPGSEVNKGGWTCPEYFCKIYNGSVPFEERVDTVLNYFDLPNDEIPSFMTLYFGDPDHQGHKVGPDDPQITEAIARVDGMIGKLIQGLEERGVFEDVNIIMLGDHGMVGTCDKKLIFLEDLARWIKIPKDWIQSYSPLLSIRPPRSYSAKDVVTKMNEGLKSGKVKNGQNLKVYLKEELPDRLHYSESDRIPPIIGLIDEAFKVEQKSSKRFECGGSHGYDNAFLSMRSIFIGHGPKFARGRKVSSFENVQIYNMVTTILNIQGASNNGTSSFPKTILLPSH >Solyc08g068590.3.1 pep chromosome:SL3.0:8:57788284:57793993:-1 gene:Solyc08g068590.3 transcript:Solyc08g068590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGFGSAVCVRPVVSFSNTIRRVHNHGRVTCLATSMSSASMTVARDAEYELENRKYELLNIIQDTQRGLVTTADQRSTIEEAMVVVEGFDAGKEIDLSKLDGTWRLQYTSAPDVLILFESAARLPFFQVGQIFQKFECQNESRGGLVRNVIKWSVPRLLEENEGATLIVTARFSSVSARNIYLKFEEIGLQNINISDDLQAVIAPAILPRSFLSLQILQFIRSFKARVPVTSPERHSVGGLYYLSYLDKNMLLGRAVGGGGVFIFTRAHTLIC >Solyc03g013310.3.1 pep chromosome:SL3.0:3:47696718:47699881:1 gene:Solyc03g013310.3 transcript:Solyc03g013310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLSKQGFKRGDTPSISDLHLGLINYVSRSFCIRNLVSKQRRRMLVGGYDLDMTYISDRILAMSFPAEHVRAVFRNPLWQVKSVLDMRHAGHYKVYNLCIEQAYDASHFHGRVERYPIDDNHVPSLDMIKDFCEDVYSWLSSDSKNIVVIHCMAGKGRTGLMVCSYLVYTGMSAEDALRVYAEKRTTNNEGVSIPSQRRYVKYWENALISYTKGVPPTVKLPKSYKRELRRIRLYDTINIESIFFVLSELQRVPGEKYRPSVEVSKSSCRRIKSGIQRTYSPQYVYSLVEENEEEEENKEPRLVVQMDTESSMLYQKTCLDHFYDIPVQISGDVRIIFYEKLIGGRLFYACFNTAFVRNDLLQFSIRELDKVGKKARSITGSSFCLELFFGPANGNCLPTPPLHDDDFSDS >Solyc01g011080.3.1.1 pep chromosome:SL3.0:1:7531589:7532128:-1 gene:Solyc01g011080.3 transcript:Solyc01g011080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFHAWIFRRREVPERCGASPSQLGEVSGGCPIRQTDGGRSASGERAAFGWPFSGGLLV >Solyc11g069960.2.1 pep chromosome:SL3.0:11:54854747:54857717:-1 gene:Solyc11g069960.2 transcript:Solyc11g069960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALFPNQFSISKFLSFSLLISVFFFTVSHSDDFSALLAFKSQADTSNFLSSWSRNVPCSSWIGVKCHPITRRVVKIVLNHLNLTGSIHPLIHLTQIRHLSLHHNSLSSIPKFDFWPNLKHVYLSHNKFSGEFPSGIHHLKRLRRLDLSFNEFSGEIPAKELNQLPHLITLRLEFNSLSGSLGADEKKAIASVKDFNVSGNNFSGKIPNWLSKFPVAAFAGNVRLCGYPLRTICPSEMVDSNPTVMSTPNSQFNFLDQRKKNLSENMFLLIVTLDAIGVMMTVLVITWCCYYRKKNQEGEIYRLRSTNRKYNQDTSSSSLYHSFEYGIKRTSDQFATMVCFEGCKGFNKVDDLLKASAEMLGKGSVGTSYKVAILDYGDVVVVKRVIEKLKKMKDVDGILRLIGNLRYTNVVPLRAYYSSKEELLLVYDFLPNGSLHNLLHGNRGPGRTPLDWTTRLRYALGAAKGLSFLHSYNKTKICHGNFTSSNILIDHNGNACISDICLHLLLQMPISSNNGYKAPELSTQNNVNTNKNPSKFSQKSDVYSFGVVLLEILTGKIATSEGDTSLAKWIQGVVNKEWTWDVFDFELARYKEREDEMVALLKVAMACLVASPKDRPKMIVVEEMIEDIAKKDNR >Solyc09g075730.3.1 pep chromosome:SL3.0:9:67774718:67779524:1 gene:Solyc09g075730.3 transcript:Solyc09g075730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFCFSFLLSLFIFPSASQSLRGVLIDCGAVVDSVVNEQNWVSDYGYVSAGKPKNLTVDVLDYTLSTVRTFPLKNNVFKKFCYEVPVYRGGKYLVRTTYFYGGVNGNLNPPVFDQIVDGTFWSPVNTTEDYWKGMSSYYEGIFKAVGKTMSVCLAANSLTDSDPFISALELVLVSDSLYNSTDFNIYGLTLITRSSFGNNGSIIKYPDDEFDRYWEPYGQHSPAESFSHVSVSGIWNHPPAKVFRTRLTVPKPEAMELLWPPAPLPNATYYIALYFADDQNSFSGRAFNISINDIVFYPNLNVAPAGMVVFASQWPLSGITKITLTPVIGSSVGPLINAGEVFEVLPLGGKTHTRDVIALEKLKESFKNPPPDWHGDPCLPPQYQWTGVTCAGGSRIRVTSLNLTSMGLSGSISPSIARLTALNHIWLADNNLTGSIPDLSSLSILETLHLEDNQLSGEIPHSLGNIMSLNEIFLQNNNLSGQIPSSLLGKPTLNLRTTPGNPLLSQPPK >Solyc09g074450.1.1.1 pep chromosome:SL3.0:9:66680406:66680804:1 gene:Solyc09g074450.1 transcript:Solyc09g074450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSISTRFFLICVVINFFSLSLALHISPSSSISAAPALLPNPPALSPDISPLFPSPGGSELAPTDSSLPLIPSTPSPPNPDAMIAPGPLYMPFSPTQSLPVSSAVALFSSLCTMVVLSLMSTWFTQLLRV >Solyc02g091150.2.1 pep chromosome:SL3.0:2:53193592:53194971:-1 gene:Solyc02g091150.2 transcript:Solyc02g091150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLKAVRDKKTGNNSIDVLPSKNKHVMASNFREAAAAEQVILDFGDDYGFEQNYLLLEEKLSSTNSRKQEHSKMLGKQAIATVTPEILEDMKSLSKDVYKLSSTEDVLNQFENQSFF >Solyc06g060900.1.1.1 pep chromosome:SL3.0:6:39020397:39020777:-1 gene:Solyc06g060900.1 transcript:Solyc06g060900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSYVMLLLSFTLTLVSLSAAQAPSMPPSIIVAPSPGPAMITKPPVMSQPAPVAFPPTMSPGPSAASISPAPDMPVSPPAPVGPGPVSGPAPGPSDGGITSPSSAFMHGSSMAMVALIGSVALLF >Solyc03g114660.1.1.1 pep chromosome:SL3.0:3:66059571:66062231:1 gene:Solyc03g114660.1 transcript:Solyc03g114660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLASFPTAAPSPASNSCCRHQPQFQTVKVSPRPNKLRSFATTSVSKSAGSICTPTPEEDSITAKSTLKNQLKNPLTLSEEITQLCETKSLSEVFRTFQEYLENAFYHSSEKSEALGVLLQACGKQKDIETGRKVHEMVTSLTRSKDDVILCTRLITMYSMCGYPSDSRSVFHQLRSKKLYQWNVLMSGYTKNELWVAVICLFIELMTSTQEKPDNFTFPLVIKACGGVLDVGMGKAIHGMASKMGLVGDVFVSNALISMYGKFGLAEEAMKVFEYMPERNLVSWNSMISVFSANGYIEQSFDLFRNIFTGDEVLVPDTTTMVIMLPICAAAEEVEFGKIIHDLAVKLGLSDELTVNNSLVDMYCKVGYLSDAQILFEKNESKSAVSWNSIIGGYSREGDDRGTFHLMRRMQSTEEYMKANEVTLLNVLSVCLEESEQLIVKELHGYSLRNGLEYHELLTNAFIAAYANCGLLRYAELVFHGVANKTVSSWNALIRGYAQNEDPSKALTLSSKMMKSGLRPDWFTIGSLLFACSHLKLLHCGTLVHGFVLRNSLETDMSTLVSLVSFYMTCGKPELAQRLFDRIEDKNVVSWNVMIAGYLQNALPDKAFCLLRDMVSHRFQPDEISVTSVLGACSTLSAVRLGKEVHCFALKSNLIEDSFVHCSIIDMYAKSGFLGMSKYVFDYIPLKDIASWTAMITGYAVHGLGMEAIELFQEMQKSGFIPASLTYVSILMACNHAGLIEEGRQYVKEMQTLHGLKPELEHYACVIDMLARAGQFDDALNLMAEMPMQPDSQIWCSLLNSCIVHAQSNLGMKCANKLLELEPKRAEIYVLVSNFFARYGDWDSVRQVRDKMKELGLQKEIGCSQIEIGGKNYNFAVGNMFSKP >Solyc02g022810.2.1 pep chromosome:SL3.0:2:24459100:24459921:-1 gene:Solyc02g022810.2 transcript:Solyc02g022810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIIRGIGGAKGRERSERKKKEKEFASGLCRRWGGASVETRDTSKGNEDREGCSSVELHQSESGAAA >Solyc06g064450.3.1 pep chromosome:SL3.0:6:40253024:40258138:-1 gene:Solyc06g064450.3 transcript:Solyc06g064450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNQIVSKIGSWTRNKIVDPLYHILQRGAEPKLLAFSAALGITFGVFPICGVTVFLCGIAIALLGSYCHAPTMMLANFIATPIELSLMIPFLRLGESITGGPHFPLTADALKKVFSGEASKEVLFSIFRAMLGWLVAVPFITAGLYLVFLPCFVILVRKFSTRPPSPKTLLQPLTEVSVKARDA >Solyc07g021158.1.1 pep chromosome:SL3.0:7:16612005:16613392:1 gene:Solyc07g021158.1 transcript:Solyc07g021158.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIKHSFSGWRRLMAEPDHIFLKPLPNFAYGDYPVAFPFFYMKPAEHEDIIRKFVPKQNGPITNVDLIGNSPVIIKKVYFYYHFWFIIQNLLEEVATTWMNISLRMKHDEETDRTFGWILEM >Solyc02g062020.3.1 pep chromosome:SL3.0:2:34146482:34151748:-1 gene:Solyc02g062020.3 transcript:Solyc02g062020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKTIGAPSYIECSSKTQENVKGVFDAAIKVVLQPPKAKKKKGKSQRACSIL >Solyc11g007320.2.1 pep chromosome:SL3.0:11:1694537:1705721:1 gene:Solyc11g007320.2 transcript:Solyc11g007320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYWVRASPADFAGAIPLPRSGHTAVNIGKSKVVVFGGLIDKKFLRDITVYDIENKLWFQPECTGSGSDGQVGPSPRAFHVAVTIDCHMFIFGGRSGGRRLGDFWVLDTDIWQWSELTSFGDLPSARDFAAASAIGKSKIVMFGGWDGKKWLSDVYILDTMTLEWRELAVLGTVPPPRCGHTATMVEKRLLVYGGRGGGGPIMADLWALKGLIEEENESPGWTQLKIPGQAPTARCGHTVTSGGLHLLLFGGHGTGGWLSRYDVYYNDCVVLDRVSVHWKRLPTTNEPPVARAYHSMTSVGSRYLLFGGFDGKSTYGDLWWLVPEDDPIAKRVTASPPKAIHENQDASMTSMEKERQMQEDAVSELQKRIGISVSISDSNVKKIVDELEDTELLELASKFIGEGALSNKEAVQALRDHWSKSSPKSIQLKELSPLLRDYKRSVTRIKEENLGAFLQSMNAGSLGKETYRFHHIKNVSQLRMDDIPHLLAEYRQLLLDLGSS >Solyc10g007154.1.1 pep chromosome:SL3.0:10:1580496:1587929:1 gene:Solyc10g007154.1 transcript:Solyc10g007154.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLKAFRKPQIKKLKLYRDKDYKTDEVMEEFDLDDDEMINMDEFVRGFTRWIDETKDAMGKRYNSIRSLKDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAWKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYVSAVGSLMYAMVCTRPDLAHAVSVVSRFMGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLNSTEKRSLESNENLSSVILISQCFSSTNKYIKLSTNYGRTITQSNALYQVKELKPHGHTSNTSLMHQDITKIWHGLTSIASVLNQHFLQLQYESHFHVFLLDLSVPSQMGRIRGWVVELIYLVLKPGHCGAESVKEHRFTSPKCGNAAAGGANLRKSPLRALLSLSEIDGSDSDKALDFDTTSL >Solyc01g110140.3.1.1 pep chromosome:SL3.0:1:96830105:96833757:-1 gene:Solyc01g110140.3 transcript:Solyc01g110140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKDQITRVLVTTIIWLEKVRQVAFSFINLLFYLLLLLQLLHHHQLRLIPIYLVLLICLVRNFFNTQNHLEINFLSSI >Solyc10g083440.1.1.1 pep chromosome:SL3.0:10:63368590:63369933:1 gene:Solyc10g083440.1 transcript:Solyc10g083440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D3D1] MTSPQLHIALLAFPFGSHAAPLLTLAQKITPFLPSNTIFSFFNTSNSNTSIFSRTPNQENIKVYNIWDGVKQGNDTPIGREAIELFIHSTPTNFETSMKEAEEETGVKFSCIISDAFLWFSSEFANKMNVPWIAFWTAGSCSLSIHLYTDLIRSNDETLLNIPGFSSTLKMSDMPPEVIAESLKGPMPSMLHNMALNLHKANAVVLNSFEELDPIINKDLKSKLQKVLNIGPLVISSSSSNKDANTDESGCIEWLDNQKERSVVYLSFGTVTTLPPNEIIAIAQALEDKKIPFIWSLRDNGVKTLPKGFYERSKEYGKIISWAPQLEILAHKSVGVFVTHCGWNSILEGISYGVPMICRPFFGDQKLNSRMVESVWKIGLQIEGGNFTKSGTIGALSTFFNEGKGKVLRKNVEGLKEKALEAVKLDNGSSIENFKVLVELIKCHKPT >Solyc12g088970.1.1 pep chromosome:SL3.0:12:65126268:65128507:1 gene:Solyc12g088970.1 transcript:Solyc12g088970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSSYIPLIGVLIFLYFIFKKNKNCSTSKQAPEPAGAWPIIGHLPLLGGTDQLLYRTLGAMADNYGPAFNLRLGTRRAFVVSSWEMAKECFTLNDKALASRPTTVAAKHMGYGYAVFGFAPYSPFWREMRKIAMFELLSNRRLDTLKYVQVSEVDMGIQALYKLWVDNNSDRPILVELKRWFEDLTLNVIVRMVAGKRYFGAGATCDNDDEARRCQKAINQFFHLIGIFVPSDAFPILGFLDINGHEKAMKKTAKELDFILEGWLKEHREKRKCTSIEAKSTTDSAVQDFIDVMLSLEEEGRLSNFPYDADTSIKSTCLALILGGSDTTAGTLTWAISLLLNNPKILRKAQEEIDNHIGKNRQIDESDINNLIYIQAIIKETLRLYPAGPLLGPREAMDNCEISGYKITPGTRLIVNVWKIQRDPRIWENPNCFNPDRFLTSNVDVKGKDFELIPFGSGRRSCPGASLALQVLHLTLARILHAFEFTKPIDDQPIDLTESPGLTIPKATPLDVLVAPRLSANLYGC >Solyc06g074160.2.1 pep chromosome:SL3.0:6:46002913:46005137:-1 gene:Solyc06g074160.2 transcript:Solyc06g074160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNYVGNGFPAFIKVYSPETCYLKLKIPSRFFKNLNGDIPVISLLEVEGSARRSWRVVIEKIEEDFYFKGGWTKFVQDNNLENEDYLNFLYAGNSTFSVKIYGTNGYLKQELNAIAELELHPLDEENPNATLKKETCAEIQVVSPLADEELDGSENSLVSVTLFEIVMKKSNFSNMLLNFPSTFGKKYMKRGQVFEKMATLQTDGKSWPVVVRSSDRLKLRKGWRQYLQDNDLHVGDVLRFKLIDEENFILKVLIRRITFDC >Solyc06g073137.1.1 pep chromosome:SL3.0:6:45197716:45200312:-1 gene:Solyc06g073137.1 transcript:Solyc06g073137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKMESQPASPGFGASLQLKDCIEELLRFTLVSSIDGTFEIDLDLSKDYCSTLLQEDPSDFFPNCTGPSEGVPLYPLYKRLAASLFEAFSSEALPRTENKLAVMQETSSLKQKEEEWASLIREKGSHLLDFHIHQPEVLKSVDFELHVQEPYFSLLRNGQKTVEGRCAVGHYNNAEKLVQMKSFLDVRIESGALILINKCLVLQVQDVRHYHSFREMLEAESLKEVLPGVDTTEEGGNFLLYDREDVTSHSIPSMIVGTF >Solyc12g049350.2.1 pep chromosome:SL3.0:12:61798564:61801300:-1 gene:Solyc12g049350.2 transcript:Solyc12g049350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCCEKVGLKRGRWTIEEDERLTNYIQANGEGSWRTLPKNAGLLRCGKSCRLRWINYLKSDLKRGNITSEEEAIIIKLRATLGNRWSLIAEYLPHRTDNEIKNYWNSRLCRKVESLRIPSDEELPQAVVELAKKGKQQQIIKQRHKGKTKISGSNFVSETIVHTTSSTPNIEKETVNTIPNGDNNATEFDHNDDQMLWHDDIMLMDDEDAKLDQDFIFKCLWSDQGESFEIIENNNNNNNNNEILNVDNHGTLDGWDWEYLDEMLNNEIENNNVSNIDPMNSDLVAWLLS >Solyc02g067840.3.1 pep chromosome:SL3.0:2:38517811:38521422:1 gene:Solyc02g067840.3 transcript:Solyc02g067840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLEGEIVSDDVKFGFQRPEMYELKLKGTASVYDRHVFLCYKSHEVWPSHLESSDSDPLPKLFAATLKARKDDIKLKTILTICEVREDIGLSDGDVLIFPDMIKYRNLKESDVDAFVEDVLVSGKPWTSGPQEPINGSYVFVCSHNNRDRRCGVCGPILIEEFSKAIESKDLKNEVHVAACSHVGGHKYAGNVIIYGTDKQGKIAGHWYGYVTPDEVPILLDEHIGEGKILERLWRGQMGLHAETTDKVNELSVLSGTTVDNKGNAPVETASHGCCQGAAGFSCCRDANVEGKEVEKGQGRLPSSFRKWDKPEVYTAIGVVGAVAVVAVAYGFYKSR >Solyc04g007060.3.1 pep chromosome:SL3.0:4:773637:778420:1 gene:Solyc04g007060.3 transcript:Solyc04g007060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVNFLVENLLQLLQENIIDLIKGVEDEFKNLLEEVQRLKGFLDDAAKFHSESSLWDLLVKDIQKMVHKSEDVIDKFLVQAKLHRDKNKVGRFFDMGHLAVVRALAADIKDIHEKVKKLREDNKESFQPRPILDIPKKGHEVTQGPSLDDDEVVGFDEEAKKVIKRLVEGPAESLAIVPVVGMPGLGKTTLARKIYNDTTLSFEFFSTIWIYAGPECKIKDLYHKILKHFKKNIDEHLDEDDHTLAKVISGFMSKGGRCLIVLDDVWEANVIDHVKKVFPENKKGHRIMMTTRDGLLAAYKNAEPHNLKFLLADECFELLEKRVFGKGSCPDELKDVGERIAGNCGGVPLIVVVIAGALAGRSNTTDWEIVERDVARHAFTVNKNCGVFVEMSYDRLSQEMQTCFLYSGIFPRGFDIPAWKLIRLWIAEGLIKPQQSSTLEEIAELHLNDLVHRNLVILLEKRSDGQIKTCRLHDMLHQFCRTKAADKWLYQEISTTADNAVPSIQDPDTCRRLCIQPSTLNDFLSTKPSAEHVRSFYCFSSKQKQIDLSPNDIKLIHKAFPLMRVLDVESLKFLFSKDFNNLFHLRYIAISGDFKALPPTFSKFWNLQTLILTTSTSEPTLDVKADIWNMLQLRHLHTSIPAKLPSPTVTKGKSSSLQTLSMVAPESCKKDVLAKACHLKKLGIRGQMASFLESRGGISNLEELKCLEHLKLLNDVLYMNKTIQLPSAFFRLVRTVKKLTLANTRFAWSEAEKLAQLESLEVLKLKENAFMGDTWKPEVGGFSKLRVLWIERAELETWEASNLNYPILRNLVLVSCDKLNAVPVELADIPNLREMKLENTIKAVKSAKDILLKKSQTVTFKLSIFPPEAESNATQ >Solyc10g047080.1.1.1 pep chromosome:SL3.0:10:39440063:39440284:1 gene:Solyc10g047080.1 transcript:Solyc10g047080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDMLNFVGRRKGRGRRDKALLELGREGKILSEIPRGRGESPVIGVGVGVLRARRPQDRVQGWRPQGKAPGGA >Solyc01g090900.3.1.1 pep chromosome:SL3.0:1:84486368:84490507:1 gene:Solyc01g090900.3 transcript:Solyc01g090900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTHLLSATSAVTLRRACHKQLQLVKTQKVPNRMTFPCMSCKPFSVCCSKQSPWEPSPVTYVPSDNIEDKFLEGSSNIFETMSSSKPSESTLTEAEGLTDAKNQPLMQLQYLQWPVWLLGPAILLATGMVPTLWLPISSVFIGPNIASLLSLTGLDCIYNLGANLFLLLADSCARSPDTSEDSTSKPPFGYQLWNMVANIMGLVIPLVVYFASQNSFLQPQLPFISYAVLLGPYLLLLSIQILTEMLTWHWKSPVWLVTPVVYEAYRVLQLMRGLKLSAELNAPSWMLHTIRGLVCWWVLILGMQLMRVAWYAGFTARAHQKHLHALPSAD >Solyc02g062655.1.1 pep chromosome:SL3.0:2:34937677:34938147:-1 gene:Solyc02g062655.1 transcript:Solyc02g062655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLTTNPTSINVLSIFNSMAANQTIFVKLLVFLVYGFLWCSCQPAEAAIKKYQFDIQVANVSRLCHAKPMVTVNGRFPGPTIYAREGDRVQINVTNHAQYNMSIHW >Solyc00g007340.2.1.1 pep chromosome:SL3.0:2:32378923:32379291:1 gene:Solyc00g007340.2 transcript:Solyc00g007340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLLFGIQGSIQTLIFFTSKILHLNPLVKRSLHKLLFHIQKPKDDVKKGRVLKIFAFKNSSPSILLFLSLTLSVITLASFLLERPTTTGKPRYYPCHFFVVVKATTISQLSTPLYHFYLNK >Solyc11g039830.2.1 pep chromosome:SL3.0:11:42133540:42139960:-1 gene:Solyc11g039830.2 transcript:Solyc11g039830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFVRALNTCAFSLHRRVISKHFLHCSLLKPYIGAHQFSVYGTMAAVADEDSLRRALAQKQSSIDVQGGTVRQLKSSGAAKLDIEAAVQALNALKLEKASIESQLQVALAGAADGGSSSASRDAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCSVKSNVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVEKFTDLMVKDEKTGTCYRADHLLKDFCKEKLEKDFSLSAEKAAELKHILAVLDDLSAEQLGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGVSPGYMRPETAQGIFVNFRDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPQDKSHPKFSDVAKLEFLMFPRDDQVSGQCAKKLQLGEAVSRGTVNNETLGYFIGRVYLFLTRLGIDKDRMRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHSEKSSVALVAQEKFSEPREVEKLVITPIKKELGLAFKGNQKMVTEALEAMEEKVAMEMKADLESKGEVEFHVCTLGKNVSIKKNMVSISKEIKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFYTRPSKAGDEQLNVFSFPPLVAPIKCTVFPLVQNQKYEEVAKLLSKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTLSVTVRERDSKDQVRVDVEKVASVVREVTDGLSSWADVLKMYPLHSSETAEE >Solyc08g068420.3.1 pep chromosome:SL3.0:8:57629627:57633829:-1 gene:Solyc08g068420.3 transcript:Solyc08g068420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLLWLCLVLWISTTTLFTISFAMPPPNPIRCNDINSNCQLFNSYGVWGDRQTCHAPNIVYPTTEEQLRQELANANKNNLKVKIVTKFSHTIPKLACPSNSKNSLFVSTEKYDSTIDINMERLTVTTDGGVGLRKLIDTIEKAGLSLVASTYWEGATVAGVISTGAHGSSWWGKGGAIHDHVIGLNLIVPANENEGYAKIIKLTPQDPLFNAAKVSLGLLGIISKVTFQLEAAFKRSIRLNYTNDSGIEDEFMEHARKNEFGDIQWYPSRQIAVYRYDNRVPLNTPGDGLNDFLGFQSNPILLSKSVRGTEKGFENTRNVGGKCMMASSFVAYKKLIANGFKNNKSIFTGYPVVGHQGKMQSSGSCLYSSSIDITSTCSWDPRINGLFFYESTAIFPSSKFGNFIRDVKKLRDLVKPESMCGVDIYNGFLFRFIKASDAYLGQGEDSVVVDFNYYRASDALTPRFNQDIWEEIEQMAFVKYGAKPHWAKNRNVAFVDVQKKYPKFNKFVGAKAQLDPKNMFSSEWSDEILFGKQQGLKSDGCALEGMCICSEDRHCSPSKGYFCKPGLVYQEARVCRFSSISTS >Solyc10g050755.1.1 pep chromosome:SL3.0:10:50375770:50376572:-1 gene:Solyc10g050755.1 transcript:Solyc10g050755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQGLPLAANVLGDLLCNKEKHEWEVILDDNSRVADFEFEKDQQNPILDGRRFSPSMSRGPCDGRRWEQFRNQGVVSQNWLIYLRYLDLSDTMIKVLLKSICKLYNLQTFRINDCIHSRSFQKIWQI >Solyc01g091350.3.1 pep chromosome:SL3.0:1:84865594:84873541:-1 gene:Solyc01g091350.3 transcript:Solyc01g091350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNKEALVLVIDVGPSMHSVLPEIEKVCSLLIQKKLVFSRYDEVGFVLFGTADTKNELKEEIGGYEHVTVLRNIKVVDEDLVDALQKLPRGSVPGDCILYNYWVVIVQTSSVVKHAILVQVTVLLDAIVVGTDMLIKFGRTNKAKRRLCLITNAVSRIKDPFEGTKEDQVNTIATQMTAQGIKMDCVIVRMKQDRETNRSIMEENDFLMSVFSNKSSSKVVYVESPTSLLGALRTRNISPVTIYRGDLEISAQLKIKVWVYKKTSEEKFPTLKKYSERTPTTDKFGAHDIKVEYENKIIEDPNKVVPPEQRIKGFQYGPQVVPISSAELEAVKFKPEKSVKLLGFTDSSNIMRHYYLKDVNIFIAEPGNKNAILALSALARAMKEMNKVAIVRCVWRQGQGNVVVGVLTPNVSDKDNTPDSFYFNILPFAEDVRDFQFPSFSNLPSSMQPNEKQQDAADKLVQMLDLAPPGKQEVLSPDFTPNPVLERYYRYLNLKSKHPDAAVPPLDETLRKITEPDVELLSQNKSIIEELRRSFELKDNPKLKKSARRIKERPSGSDEEIEEFNKDADVKAIDSMEYSAKTEVEKVGDVNPVKDFEDMLSRRDNPKWISKAIQDMKNRIFDLVENSCDGDTFHKALQCLVALRKGCILEQEPKQFNDFLCHLSKFCQEKDLRSFCLYLTSHEITLITKAEAPDSEISEHEARSFMVKPELDSQNMKSEAKAEDDIMSIYLGGK >Solyc07g066630.3.1 pep chromosome:SL3.0:7:68122044:68126669:-1 gene:Solyc07g066630.3 transcript:Solyc07g066630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECASPFKSDILKGKVALLTGGGSGIGLEISTQFGKHGASVAIMGRRKAVLDSAVSYLQSFGIPAIGLQGDVRKQEDAKRVVELTVKQFGKLDILVNAAAGNFLVSPEDLSPNGFRTVLDIDSVGTFTMCHEALNYIKKGGPGRSSTSGGMILNISATLHYTASWYQIHVAAAKAAVDAVTRNLALEWGTDYDIRVNGIAPGPIGDTAGMRKLGPEEISNKSKEYMPLYKLGEKYDIAMAALYLASDAGDRHFPLLLPALASSSCACPSFLLCDQLVSVSCYEQLLSVLAIHLWHLSSCSLYWVEFANEDSLFHSFSLTGGKYINGTIVPVDGGLWLSRPRHLPKDAVKELSRTVEKKSRNAPVGVPPSKL >Solyc02g087950.3.1 pep chromosome:SL3.0:2:50816603:50824919:-1 gene:Solyc02g087950.3 transcript:Solyc02g087950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYYRLFFQNLFLLLAILFISVYFFLVPLFSFIPTLLVRLRRGHATLNDSSEFFEVLPEENNQKRSDNVKVEQELEPKFEDFEKVDWDKNADKEKTEFCFKFKFPTYEELSKDKTETGEVIASDFVQEKSFSSLIQEPEIVNLNAEEIGCDTKDVFDLDEEDRKEVPQHKTEGIQEEEEHTSVPEHHGHRKEIEGMEFFKGESDTVCKQFLADSDFPDEFLFQSEKDSSSTDSDTVSVGFEHMRSLMSRLVNSYSDGFLSDEDFGGEFELDPLHDIDSDLKNLELSEENHESEDFEDSDSDIMEELREFEQEDQKNDSEFLSQNDIHEDLGMVEFVTEDDKLLNGPQESENPKSINTAIVDTSGDANKLESLWEHQELIEQLKMEIRKVRATGLPTILEESESPTMDELQPWKIDEMVHREDSMSELHKFYKSYREKMRKFDILTYQKMYAIGYLQKDPLKDPLQLLFNQKSSGPTLKSLLSQNIRLFKHKSHDIDPMVRFIKEMQSDLEVVYVGQMCLSWEFLHWQYMKALNLWDSDPRGIRRYNEVAGEFQQFQVLMQRFIENEPFQGPRVQYFIKSRYDLRNLLQVPVIRDDRMKDRNKARTKEKAVFSITSDMLVEILEESIRIFWRFVKADKDCYSVMSKGQKGIHPEVQEQEDVELLLEIKKNLEKKEKKLQEVLRSGNCILRKFRKHREEDSDHVLYFFSQVDVKLVARVLNMPRLTKDQLVWCHNKLSRISFVHRKIHVEPSFLLFPC >Solyc11g011000.2.1 pep chromosome:SL3.0:11:4035833:4038466:1 gene:Solyc11g011000.2 transcript:Solyc11g011000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIFHLLLIFMYIFISFFFPLIYSDSSVDGFIYGGCSQIKYSADSPYGSNLNSLLTSLVNSATYSSYNKYSIVGSTQQDMINGIYQCRGDLSMPDCATCLARSVSQLTELCPQTCGGVLQLQGCFVKYDNFSFLGLEDKNVVMKKCGPSNGFDLDEMGRRDAVLGGLMGGNGLYRVGGSEDVQGMAQCVGDLSMAQCQDCLSEAIGRLKRECGGGVYGDMFLGKCYARYTTKGGHLYAKPNHHDSHSESEKTFALIIGLLAGVAILIIFLTFMRRICGRNGK >Solyc04g082220.2.1 pep chromosome:SL3.0:4:66050168:66054010:-1 gene:Solyc04g082220.2 transcript:Solyc04g082220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELVVEEMKNISSITNSLTSSPHDDSNNIIRKTPKSPFSSKISMSPLASASPIMKKALTYMEEIGHLTKLHDPALDAWLPITESRSGNAYYAAFHTLCSGIGVQALLLPLAFITLGWIWGIVSLSLVFMWQLYTLWLLIQLHEFAPGMRNSRYLGLSMAAFGEKLGKILALFPTMYLSGGTCVTLIMIGGSSMKIFFQTVCASNSHITTLSTIEWYIVFTVSAIVLAQLPNLNSIAGISLVGSISAVTYCTLTWVISVVKERPQGVSFEPVENTSDVATICTILNALGMIVFAFRGHNLVLEIQGTMPSSLKNPSHVPMWKGVKFSYSIIALCLFPLAIGGYWAYGNLMPNGGILSALDKYHGEDTSKVILGITSLLVAIHCLTSFQIYAMPVFDNWELKYTSKKKKPCPWWLRTGLRVFFGCLTFFISVALPFLPSLAGLIGGIALPVTLAYPCLMCIMIKKPKRYSSSWFVNWSLGLWGLVLSILLVFSAIWTIATQGMDVHFFKTQ >Solyc06g054420.3.1 pep chromosome:SL3.0:6:37313117:37317988:1 gene:Solyc06g054420.3 transcript:Solyc06g054420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLASCCASLTCGLCTSVASGVTRSSARLAYCGLFGVSLIVSWVIREVASPLLKNFSWINTSDNLSKEWFQAQAVLRVSLGNFLFFGVLALIMIGIKDQNDRRDCLHHGGWVAKLVIWVLLVALMFFLPNGVITIYGFLSKFGAGFFLLIQVIILLDATHSWNDSWVAKDEQKWYVALLVVSVACYIATFVFSGILFMWFNPSGHDCGLNVFFIVMTMILAFAFAVIALHPKVNGSLLPASVISVYCAYVCYSGLSSEPRDYVCNGLHNKSKAVTTSTLVLGMLTTVLSVLYSALRAGSATTFMSPPSSPRSVVGEKKSLLASEELESGKGSPEARPVSYSYTFFHLIFALASMYSAMLLSGWTSSSESSELIDVGWTSLWVRICTEWVTAGLYVWSLVAPLLLPDREFY >Solyc04g080170.3.1 pep chromosome:SL3.0:4:64514241:64525823:-1 gene:Solyc04g080170.3 transcript:Solyc04g080170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPSRNGFESSPEIGIPTGLNRIKTRRLESKDRPSSRLVVDSEKLNESSPRSGASTPRLKQDQRAAAKGRKGHRKGRKIASWFASYIFKDLDQAGSGFSLIQGADKEGHGRNVHMMGKHVTVRQSSQGAMPISKASKTFKSFSHELGPKGGIQPSPPRAHSYNDLKELLGSLRLRFDAAKEAVNTELGGFLQEVVEIVQKNESLPLDGQKMAEELVVLAQECIKMTCLEFRSKCEPIVQDLTKRRQECQIGPLKWLLTRMLFILTRCTRVLHFAKDSEPVDEISLAKLKECLNRVPSVKTDWVLKRKISDTGAGCKLNTKASGKCNLEEEKTSKNSSHSHQQKSEFILDGSVIALEKDSMFIEPISSCNNPPDIQSNMKPLNNISDQITGELRNEYRQQYLDDSSLVICRICEELVPTIHLEPHSYICAYADKCDSKSLDVNERLLKFAELLEQLVEATSEIQENSKVKSENSGNTSEGYSPSMGEWRSKGIDGMFEDLHEMDTASIEDSPLAAFVNLKSHLGTKSNNGGPPSSNGSMTSVSSTTTPRTVNFDYWLDHNNQSELEDVQQMTELADIARCVAGADVSEEGSHELLIACMQDLQDILQNSKFKALVVDTFGGRVESLLREKYILACDLVDRKDEFGHLEGSKMLVDSSSHSSIMSTPSSSSHKERTSIDDFEIIKPISRGAFGRVFLARKRSTGDLFAIKVLKKLDLLRKNDIERILAERNILITVRNPFVVRFFYSFTSRDYLYLVMEYLNGGDLFSLLKKVGCLEEDVARTYVAELVLALEYLHSLGVVHRDLKPDNILIAHDGHIKLTDFGLSKIGLMNSTDDLSGPDTKDVVLPDVGSQHNPDTSDKSQRSAVGTPDYLAPEILLGTEHGSAADWWSVGIVFFELITGIPPFNSEHPEGIFDNILNKQIPWPSVPEEMSFEARDLIDRLLVHDPNKRLGAKGASEVKAHQFFRGVDWDNLALQKAAFVPQTDGVDDTSYFISRYGPSGVHDDEDCNDSASDTSEFSSNFGLENIDECVDLTQFDPSPLDLSLMNFSFKNLSQLASINHDMLIQSGFDSSRCSSPCKGRSE >Solyc09g092670.3.1 pep chromosome:SL3.0:9:72122447:72124714:1 gene:Solyc09g092670.3 transcript:Solyc09g092670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFLLFVAFLIPLIFLLSKAKKSSKNNLPPGPIGLPFIGNLHQFDSLAPHIYFSKLSKKYGKVFSLRFGSTPIVVISSAKLAKQVLKTQDLAFCSRASHLGQQKLSYNGRDIVFAPYNDYWREMRKTCVLHLFSLKKVQSFRPIREDEVSRMIKKISQHVVTSQITNLSNLMILLSSSIIFRVAFGIRYDEESHESRRFYFLFEESAAMMTSFFVSDFFPSLNWIDKLTGLTNRLDKNFKELDEIYEELIEQHLNPNRPKSMEGDILDVLLQLKKEKSTPIDLTLEDIKAIVMNVLLAGSETSAAAVVWTITALMKNPKAMKKVQQELRKSIGNKGTVNEDDIQNLPYFKAVINEAFRLYPPAPLLIPRETMKKSTLEGYEIQPGTIVHVNAWAIARDSDVWENSEEFIPERFFNSDIDFKGQDFEFIPFGAGRRGCPGITLGVASMELALSNLLYSFDWELPYGMKKEDIDTDFKPGLAIHKKNDLCLVPKCYM >Solyc06g035980.3.1 pep chromosome:SL3.0:6:25432940:25434311:1 gene:Solyc06g035980.3 transcript:Solyc06g035980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYSFLYTLKKHAVAYVNQSPLSLLRIQRSLLHHGECCIWNGCA >Solyc08g061970.3.1 pep chromosome:SL3.0:8:50254198:50259287:1 gene:Solyc08g061970.3 transcript:Solyc08g061970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative spermine synthase [Source:UniProtKB/TrEMBL;Acc:Q70AG5] MGSEALEFFSCANNNNNNNGFSYEPKKNIIMEETDNLSINIHDGSWFEEEIDVDLKWSFALNSVLHKGTSEYQDIALLDTKHFGKILVIDGKMQSAEVDEFIYHECLIHPALLCHPNPKNVFIMGGGEGSAAREALRHKSMEKVVMCDIDKEVVDFCKKHLTANHEAFLNKKLNLVINDAKAELEQRQEKFDIIVGDLADPVEGGPCYQLYTKSFYQNILKPKLNDTGIFVTQAGPAGVFTHKEVFSSIYNTTKQVFKYVLAYTAHVPSFADTWGWVMASDKPFCLDAGKLDKKIAERIEGELLYLNGASFFSSTILNKTVAKTLKNESHVYAEDDARFIHGHGLGFRN >Solyc09g031875.1.1 pep chromosome:SL3.0:9:27877993:27883025:1 gene:Solyc09g031875.1 transcript:Solyc09g031875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVIVVSSPSAVEECLTKNDVIFANRPKTLAGDKFTFNYIVYVWAPYGQLWRILRRLTVVELFSSQSLSKSSILRDQGIGTFIRSLYRFSTSNGNGSKKVDLTNWAFILVFNLMTKIIAGKHVVMNKMLESEPEFYTDHIIKSIMLIVFVAGTETSTMIIPWVMRLLLAHPEELVQKYRNESFLRTEEDYVNSYSRSHLSDSIVEDTIFSEEFAMIYLIHA >Solyc12g096210.2.1 pep chromosome:SL3.0:12:66208591:66211805:1 gene:Solyc12g096210.2 transcript:Solyc12g096210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVADGDGSVPSNVSLPATSFLLHHLCFHFYLY >Solyc12g035740.1.1.1 pep chromosome:SL3.0:12:44465508:44465954:1 gene:Solyc12g035740.1 transcript:Solyc12g035740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRTNLRIGHNLKDLLDAHIPHGRRFVHGHKSFYDTIKNSLHFKLGLDIASLGIITSLVAQPMYFLPAYAFVAQDFITQAALHTHHQYITGFIMTRAFAHGVPIVGHYLLSIYSLVIKAQRSMISPCLTSREDKRPCIFFISPAFLFV >Solyc10g074570.2.1 pep chromosome:SL3.0:10:58194823:58202236:1 gene:Solyc10g074570.2 transcript:Solyc10g074570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCRGSIGGKTFQGYNQPEDSSCSTNHNPSSGNSYSSSDNFSPTSNAQQNSNHKKEHSLSLVSPRKASMNRSGSNQAYYVMGHKTQNIRDLYTLGRKLGQGQFGTTYLCTEISTGAEYACKSISKRKLISKEDIDDVRREIQIMHHLAGHRNIVTIKGAYEDPLYVHIVMEICSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSIFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGHIDFDSDPWPLISESAKDLIRKMLCMQPSERLTAHEVLCHPWICENGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLREMFKAMDTDNSGAITFDELKAGLRKYGSTLKDTEIRELMDAADVDNSGTIDYGEFIAATVHLNKLEREEHLMAAFQYFDKDGSGYITVDEVQQACIEHNMTDVYFEDIIREVDQDNDGRIDYGEFVAMMQKGNPCIGRRTMRNSLNLSMRDASGAQ >Solyc07g042880.1.1 pep chromosome:SL3.0:7:56522485:56525455:1 gene:Solyc07g042880.1 transcript:Solyc07g042880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFYASLVCLFVLLLSLSFLFLFNKKNKSMILSCPLPPGNSGWPVIGETLEFLSTGWKGHPEKFIFDRISKYKSSIFKTHLLGEKAVVFCGASSNKFLFSNENKLVQTWWPSSVDKVFPSSTQTSSKEEAIKMRKMLPNFLKPEALQRYIRIMDHIAQKHFQSWENQQEVVVFPLAKRYTFWLACRLFVSVEDPNHVARLADPFDVLASGLISIPINLPGTPFNRAIKASNFIRKELVAIIKQRKIDLTEGKASDSQDILSHMLLTSDENGKFMHELDIADKILGLLIGGHDTASSACAFIVKYLAELPEIYDQVYKEQIEIAKSKGPGELLSWEDIKKMKYSWNVACEVLRLAPPLQGAFREALVDFTFNGFSIPKGWKIYWSANSTHINPEVFVDPLKFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHHLVKRFSWKKIIRDEKIVVNPMPIPANGLPIRLYPHHVKT >Solyc04g007900.3.1 pep chromosome:SL3.0:4:1572329:1574347:1 gene:Solyc04g007900.3 transcript:Solyc04g007900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSTISLSDRYQKFSSSGDDTPSPIRSKTRFYVDTMDPQPAMYRKKKNDVPWSTGLCDCMSDPKNCCITLWCPCITFGQVAEIIDKGSNSCGVNGALYTIIICVTSCPCIYSCFYRNKMRQQYLLKKSPCGDCLVHCFCEACALCQEYRELKNQGVDMSIGWHGNVERQNRGVIIPPTVEGGMNR >Solyc04g025220.1.1.1 pep chromosome:SL3.0:4:25168286:25168537:-1 gene:Solyc04g025220.1 transcript:Solyc04g025220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVPLVQDRGTNVRPDFKSNKSHFSAVYPKFSNPAFSSEETMKLQNSAQLLFFLFPYPNNHSTSFWGKKPFTNIKSEQKLW >Solyc03g118995.1.1 pep chromosome:SL3.0:3:69203873:69210129:-1 gene:Solyc03g118995.1 transcript:Solyc03g118995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGTSQANIGVLVISARKNELETGYERGGQTREDVQLATTLGVSKLLLVVNKMDDPTVVWSKERYEEIQ >Solyc05g047520.3.1 pep chromosome:SL3.0:5:59855835:59864871:1 gene:Solyc05g047520.3 transcript:Solyc05g047520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSPAAVKRKEPEVNSDEKEVPELNSSSKRANLTRTCVHEVAVPSSYTSTNDESVHGTLSNPCYNGEMAKMYPFKLDPFQEVSVACLERNESILVSAHTSAGKTAVAEYAIAMSFRDKQRVIYTSPLKALSNQKYRELSHEFSDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEVLKEVAWVIFDEIHYMKDRERGVVWEESIIFLPPAIKMVFLSATMSNATQFAEWICNIHKQPCHVVYTDFRPTPLQHYMFPMGGSGLYLVIDENEQFREVNFLKMQDSFAKKKVGDGSNNANARVRGRIAKGGSTSGGVSDICKIVKMIMERKFQPVIVFSFSRRECEQHAMSMPKLDFNTEEEKEVVKEVFHNAVDCLSEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLIKALFATETFAMGLNMPAKTVVFTSVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDDRGICIIMIDEKMEMDSIKDMVLGKPAPLVSTFRLSYYTILNLLSHAQGQFTAEHVIKHSFHQFQHEKALPDIGKRVSKLEKEAAKLDASGEGEVAEYHKLKLEIVQREKKLMAEITRPERVLHFLLPGRLVKVWEGGKDWGWGVVVNVVKKPPAASGSMPAALSASRSTGYIVDTLLHCSLGSGENGSQSKPCPPRPGEKGEMHVVPVQLPLISSLSKLRISVPADLRPLEARQSILLAVQELQKRFPQGLPKLNPVKDMGFEDPEFVDIVNQIEELEKKLFAHPLHKSQDEHQLKSFQKKAEVNHEIQQLKSKMRDSQLQKFRDELKNRSQVLKKLGHIDADGVVLLKGRAACLIDTGDELLVTELMLNGTFNDLDHHQTAALASCFIPGDKTNEQIHLRAELTKPLQQLQDTARRIAEIQRECKLEINIEEYVEASVRPFLMDVIYCWSKGASFAEVIQMTDIFEGSIIRLVRRLDEFLNQLKGAAHAAGEVDLENKFAAASESLRRGIMFANSLYL >Solyc07g006240.3.1 pep chromosome:SL3.0:7:1049706:1054966:1 gene:Solyc07g006240.3 transcript:Solyc07g006240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLSVLEHTLRLPPHLLNLPLNEAIKGELEGLFVDKTLISGHDAKVIANLGLGISIYDIRSVDDGFIYPSEGASTYKVKFRLVVFRPFVGEVISAKLKESNAEGLRLSLGFFDDIYVPAPLLPDPSRSEPDPENKNQVRWIWKFDELDYPIDGIDEIRFQVHNVSYPSMPLEQDKDSKPFAPMVIKGSLDADGLGPISWWI >Solyc11g022605.1.1 pep chromosome:SL3.0:11:14982062:14982320:1 gene:Solyc11g022605.1 transcript:Solyc11g022605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMGTTIYHLTRIEDVVHRIPSILWVWKSMDFQERESYYMF >Solyc05g006600.1.1.1 pep chromosome:SL3.0:5:1232120:1232272:-1 gene:Solyc05g006600.1 transcript:Solyc05g006600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEMEPVRPTRKMMYFQIHTSPMQASADFYYFSCTITHGFFIRKSQKNY >Solyc10g078220.2.1 pep chromosome:SL3.0:10:60205498:60208109:-1 gene:Solyc10g078220.2 transcript:Solyc10g078220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLVLSLSIFIIFLCYKLHNRLTAKLPPGPWPWPIIGNLFSIAPMRFRCFAEWAQIYGPIFSFYLGSQLNVVVNNAELAKEVLKENDQNLANRFRTKPLDSVSKNGMDLIWADYGPHYVKVRKLCNLELFTQKRLEALRPIREVEVTAMIENIFKDTTKPGDDSKTMTLRGYLGLVSFNNITRLTFGKRFINSKGEVDEQGEELKSIVTDGIRISGKPNLGEFVPWLRWVFKDDNEALESQDRRLNKFTRLIMEEHTIAREKSGETKHHFVDALLTLQKEYDLSDDSIISLFWDMVQAGTDTIAITVEWAMAELVRNPRVQQKVQEELDRVIGSDRIIDETDISNLSYLQHVVKESLRLHPPTPLMLPHMASNNVKVGGYNIPKGSIVHVNVWALGRDPNIWREPLQFRPERFVEEDVDMKGHDYKLLPFGAGRRICPGMNLAINLVTSMLAHLLHHFVWSLPNGVKVEDIDMMESPGTVTYMQTPLHVVPTPRLPRNLYTHVE >Solyc09g025250.3.1 pep chromosome:SL3.0:9:65299330:65307011:1 gene:Solyc09g025250.3 transcript:Solyc09g025250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAATWIRYAVGKLQYSASIGWKNYKGGQITDRELGDTIWKNFFQGKLTCLHYNKGEEMAPTLGDQSCTLLVRKIPWVDPKKVFVGDVVLLKDPINSEDYLVRRLAAIEGYEMVSTDEKDEPFVLEKDQCWVLADNENVKPKQEAKDSRTFGPVSLKDIVGRAIYCLRTAVDHGPVNNSPFSMDLDSPVLEVELDVDEMAKSHKA >Solyc01g067510.3.1 pep chromosome:SL3.0:1:76052826:76055931:1 gene:Solyc01g067510.3 transcript:Solyc01g067510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVAAVAGGIGGVVVVLLGVIFFVCFRGRKYSNRNSDSASSDPSAVVSVEMKRGGSFGQSRLFRMEELEKATKHFDENSLIGCGSFGLVFKGLLCDGTVVAIKRRLGTPKQEFTEEVARLSRIQHRNLVSLLGYCQDSGYSMLVFEYLPNGSMHNHLYDTGKESATKLEFKQRLSIAIGTAKGLSHLHSQHPSIIHGNFKTANVLVDEDFIAKVADAGILKLLEKIDDAGPSGLSSFNAFKDPEINQIGIHCETSDVYSFGVFLLELVTGRDASHIDEFGSNQSVLDWVEKQLSSEQLVDHRLLGSFTAEVMRDFVKIALRCMSFPGRYRPTMETVVLDLEKILEREIMHTTVMGEGTSTVTLGSQLFTN >Solyc02g085520.3.1 pep chromosome:SL3.0:2:49012661:49017975:-1 gene:Solyc02g085520.3 transcript:Solyc02g085520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISTLRLDSNPITTATATHRNGILGCNGTYSYRFNQFQQRKKTASIVVCSTKPLASVVDHQGVNESGLRRIESLSQVSGVLGCQWGDEGKGKLVDILAKHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEETLCVIGNGVVVHLPGLFKEIDGLEANGVSCQGRILVSDRAHLLFDFHQEIDGLREAELAKSFIGTTKRGIGPCYSSKVIRNGLRVSDLRHMDTFPQKLDLLLSDAAARFPGFKYGPDMLREEVERYKKFAERLEPFVTDTVHFMNDAISQKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPKVVGDLVGVVS >Solyc04g081360.3.1 pep chromosome:SL3.0:4:65456307:65462238:-1 gene:Solyc04g081360.3 transcript:Solyc04g081360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (adenine(58)-N(1))-methyltransferase non-catalytic subunit TRM6 [Source:UniProtKB/TrEMBL;Acc:K4BVL5] MSIEEAVDEDSKQNHNSRLTYEGCNVLLDINDGDRLVFSRLTAASTLKIGNKKCSLQPLIGCPFGSLFQVEPGKEGTFLTRFVENAEGNGLEEKDVDGSKDNRALVDNNTAQSLTSEDIDEMRKQGAKGDEIVEALIANSATFEKKTLFSQDKYRVKKQKKYAPRVLLRRPFARSICEAYFKKHPEKIGFMRVDALSLLLSLGNVTANADVLVVDMLSGILTGAIAERLGGTGYVCNTYRGSSPYPVDITRMFNLSDEICKRIVHASLTDLNSFQTGASVSEGQEETLPVTHSGGLDEVSVPAEDGGMDVTPERVSSPIIKPVKSIKAGQKATLEAIKSWKENGFSSLIIAAPELDPWGIIKEVLPLLSFSAPFAVYHQYAQPLATCMHNLQIEKMAIGLQISEPWLREYQVLPSRTHPHMQMSAFGGYILSGTKISSSDSLTSSN >Solyc03g114520.3.1 pep chromosome:SL3.0:3:65981590:65985280:1 gene:Solyc03g114520.3 transcript:Solyc03g114520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSESEKVFDSLNLNPQLFINEALNCVDDLVDDAFDFFHQEAAKLLKTEGTDRSEDLRKGVTNIKNIIQLALDKRLSMWEKYSLHHCFTVPQGFSLPKADGPSSASSLDTNCIENPEIDSKLNFLRKKLSQVGKESAELKRELQALESQSMLSGRSAASLTEALELYQQQSVNEKFEELVRTASDFHTKLETLTAKMMEDTEHPRAKRSRVSNGELYRMNNNKGLLSVTMEELQELVDDIKTLRD >Solyc05g012750.2.1 pep chromosome:SL3.0:5:5916358:5917555:-1 gene:Solyc05g012750.2 transcript:Solyc05g012750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAAEGLVKSHKEKLSEDIVEHHLKDLIGRNLVMFSKKSCDGKTKACRILDLLDPTCISYQNCSNVQSFHLMKGRKIGLSSIGYASHTFNSFKFLWVLDLEFTVIDSFPTALTSLRYVTVKVAEDSSLSFSDNLWNLESLIVKGLGGRVTLPDTLWRMVKLDGLRTLSSAWLSCLEDADRVFAEMPNLQKLRCEVFSCDSYSPAFNNLTKLEMLKFTWGRGGPGTLKLKLPPSLKKLTLSRGCISSLDEISTFPELVVLKLLHVSINSKVWKVTNEHFRLLKFLKLQDLSFLEWNVQMMLSNALSTWC >Solyc07g054290.1.1.1 pep chromosome:SL3.0:7:62767766:62768359:-1 gene:Solyc07g054290.1 transcript:Solyc07g054290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRHVALIPLITKTTTLSFSPNLFKKHKSMASPTLITPSTSTPKSLITPIRSKLITSVTTTTITLRRREFLSLSAGILSPSLLLPATSAFAATDEEYVKEASEVIQKVRSTLNMDKGDPNIADSVAELREASNYWVAKYRREKALLGRASFRDIYSALNAVSGHYVSFGPTTPIPAKRKVRILEEMDTAEKALKRGR >Solyc03g118170.3.1 pep chromosome:SL3.0:3:68594650:68600991:-1 gene:Solyc03g118170.3 transcript:Solyc03g118170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPAQEAQNRETFRQAVTNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLACWRQHFVLEENMLEVDCPCVTPEAVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCKDKLERDPNLPAEKAAEFRHVLAVLDDLSSEELGAKIKEYGITAPDTKNPLSAPYPFNLMFQTSIGPSGVSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKFPDVANLEFLMFPREDQVAGRSARRINIGEAVAQGVVNNETLGYFIGRVYLFLTHLGIDKDRLRFRQHLANEMAHYAADCWDAEIECSYGWIECVGIADRSAYDLRAHTDKSGVPLVAHEKFPEPREVEKLIIVPAKKELGLAFKGNQKMVVEALEAMGEKEAMEMKETLESKGEAAFNVCTLEKVVTIKKNMVSISKEIKKEHQRVFTPSVIEPSFGIGRIIYCLYEHSFNTRPNRDGDEQMNFFSFPPLVAPIKCTVFPLVQNQQYEEVARQIARSLTVYGISYKIDITGTSIGKRYARTDELGVPFAITVDSASSVTIRERDSKQQIRVNVDEVASVIKEVTDGQSTWGDVLWKYPTHSS >Solyc02g093510.3.1 pep chromosome:SL3.0:2:54991844:54994769:1 gene:Solyc02g093510.3 transcript:Solyc02g093510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKASGVGEGGDGSTGKEGYKLLGNPTFKKLENGRFKCVQTGHELPEHARDSYAHSKHCRLGLIDAALSKNKPPLNMFNQDPLNRSKLICKLTGDTVNKSEEHIWKHMSGKRFLRMLEKKETETEMENGGLEKQVENEAAKKTDSKANRRDKKKNKKKEIEDASKVISEIRDSSGKNSGSEDDDEFWMPPVGARWDNDDGGDRWGSGSESEEEEEDAIGQDGGTEEENHDTGELSKRAKRMSLEIGPSSFASRKKKKKTSAT >Solyc03g031500.1.1.1 pep chromosome:SL3.0:3:4050289:4050459:-1 gene:Solyc03g031500.1 transcript:Solyc03g031500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRDLSVDVEDIKGKLLRLDDITTCGRRCKVHSDCEDGVICSTCLILGSTIFHCV >Solyc07g049705.1.1 pep chromosome:SL3.0:7:60180802:60184072:1 gene:Solyc07g049705.1 transcript:Solyc07g049705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFSMELRSSFIPTTVFSSIVLFRDEGKVVDSGNLLNPICLSNLITITSCLRKQTSFSTCCNSSLKTLQAISRSSSPLSSRMMLLKLESILSSALVQSKIHTVLTFSPELTADIIMVVHKEPFYKR >Solyc10g008200.3.1 pep chromosome:SL3.0:10:2305056:2309276:-1 gene:Solyc10g008200.3 transcript:Solyc10g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLISNNLKKKKMENKLSKWGFNNGDSKEKSLFSIRSVLETIMENLKEDDERVMIHLGRGDPSSIPCFRTSPVAEDAIFGAVRSAKFNGYAPAAGIYPARRSIAEYLSHDLPHHVSPDDVFLTPGANQAIEVVMSVLARPGANILFPKPGYPFYDARAACSNLEVRHFDLLPEKGWKVDLESVEALVDDHTIAIVIINPGNPCGNVFTSEHLQEIAECLQNYLEYSANPATIVQERLWD >Solyc02g014187.1.1 pep chromosome:SL3.0:2:15751203:15754673:-1 gene:Solyc02g014187.1 transcript:Solyc02g014187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSGESLDNARKRWLQLSTSLFTHKAPSSDCHSPSQQIAMDEASFMSNSLVFILETSDFAGDFQGPALVVILEGAYLSRDEVAGLQFLPPWGLRGDTINYGLGLLSCFSISDFVSVVSDGFLYMFDPKGLALAMPSHRGPAAKMFSLRGIDEQDSWLCLGTNLTERFRDQFSPLLIDQNVPWSLSNSTVIRMPFSLECMKDGSEFGLKKISVMLDKFLNNASATILFLKSVLQVMLLHFEVGFVVP >Solyc12g005520.1.1.1 pep chromosome:SL3.0:12:299028:299312:1 gene:Solyc12g005520.1 transcript:Solyc12g005520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRREKILPASSLVGEFAQRSLEAVWEYLNDEHSGISGIYGKGGVGKTSILVEINNRLLRESKKFDNVIWVTASNDSTVQKFQKDIARVIEFIF >Solyc06g074130.3.1 pep chromosome:SL3.0:6:45990071:45995656:1 gene:Solyc06g074130.3 transcript:Solyc06g074130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDTNWSEKVEDLVDAGEIDGAISLLEELVAKLEYESQNSSNSQLPLSTALLELSKLYSTQGLSLRADQTRSKAFLIKQQQENRDVNATKESTGDGISGDNKDHASLQIDASQNDEDDDWEAIADRAPDELLSPQHLPEVSKISLQDSKVQAPKRRGRGTFSYQKQSLYSDQQSDEPADDDIEDEAVSSTPEGSSDTKNLNYGTRHVLVLADFPPSTKTNDLEKLLEKFKDVAIRWVNDTVALAVFRTPTLALEASNSIHCPFTVRVLCEEDELLNSIPPRDLEPPRRRPQTSARTAQRLIAQSMGIKLPSTDFGSREYRRQEEARKNRIVSRQNLKHDAWGDDE >Solyc04g078590.3.1 pep chromosome:SL3.0:4:63359800:63363761:1 gene:Solyc04g078590.3 transcript:Solyc04g078590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIQQFLLLLLFSVFFHSTVSLIPSDASALLAFKYKADLDNKLAFSANTSFRFCKWKGIQCSEKKVIRIVIESFSLRGTFPANTLSMLDQLRVLSLQNNSLTGPIPDLSALFNLKVLFLDHNSFTGSIPASIFTLHRLKTLDLSYNKLTGSIPVAIKGLNRLYYLRLDSNRINGSIPALNQSTLHVFNISHNALSGPIPVTKTLSRFKTASFSENKGLCGEIVHKECRPIQPFFSPSTAASTKITPPPSKTPAELGQNEELRKGSPLNRKENKSHKRSLLIIGVSTACLVLLCSVILLALASKKRRTSKKLGETKKSAFDPSVSGNAEAVLRIEEDNNELEEKVKRVQQGMQQVMGKSGSLVFCAGEVQVYTLEQLMRASAELLGRGTMGTTYKAVLDNRLIVCVKRLDGGRLAGTSQEEFEQHMESVGGLRHPNLVPFRAYFQARQERLLVYDYQPNGSLFSLIHGSKSSRAKPLHWTSCLKIAEDVAQGLSYIHQAWRLVHGNLKSSNVLLGSDFEACITDYCLSVLAVPSDDENPDSVAYQAPEIRKLNHNNHNYHRQASAKADVYSFGVLLLELLTGKHPSEHPYLMPDDMIHWVKSTREDHDGSVGEDSKLEMLLEVAMACRVSSPEQRPTMWQVLKMIQEIKEAVVMEDSNEMDLLTGPLKS >Solyc01g087900.3.1 pep chromosome:SL3.0:1:82643188:82646914:-1 gene:Solyc01g087900.3 transcript:Solyc01g087900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCIRKATIDDLLAMQACNLLCLPENYQMKYYFYHILSWPQLLYVAEDYNGKIVGYVLAKMEEETTECHGHITSLAVVRTHRKLGLATKLMTAAQNAMEQVFGAEYVSLHVRKSNRAAFKLYTETLGYKIHDMEAKYYADGEDAYDMRKELKGKKHHQHHHHHHHHGGGCCSGEVKVGPKEGAAETKAA >Solyc01g080670.3.1 pep chromosome:SL3.0:1:79769700:79778556:1 gene:Solyc01g080670.3 transcript:Solyc01g080670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNQPFSSSQTGVPTELRNTQMISSLLSGDDPAASSNFDWSDLLDFDLHEQLNISFDDPLHQEQQPETEFVAPVIPSSEDSPHSQDTDAGRIRKRDPRMACSNFLAGRIPCACPELDEKMEEEEMAGIGPGKKRARTVRASAGAGARCQVPDCEADISELKGYHKRHRVCLRCANATSVVLDGHSKRYCQQCGKFHILSDFDEGKRSCRRKLERHNNRRRRKATDTSKTSAEKESQQLTTADDVSGDDDIVKDNTCMGSQLGEKEILLESEGHVPICSTQGIQNNHSDSFTASGETQVDAEKENYKNSHSPSYYDNKSALSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTVFVAMPTFKWGKLLEDPAAHLYELIASPGNMLRGRGSFLIYLNNMVFRVTKGENSVVKVKLKGPAPKLMSIYPTCFEAGKPMEFFACGSNLMQPRFRFLVSFGGRYLGNDINVVPSDCKYEGDSSSTEHQLLKIHVPRTEADLFGPAFVEVENESGLSNFIPILIAEKDICAEMKEIQRKFCSGGSECTAVCSPCEASTSRKSEFSEFMLDVAWLLREPSSENVQILASVQMQRFNYLLNILMESQSTIILERVLSYFENIVKRNMLAGITDADMTLFQKNILEKNILLKERLHLKEYFAGDSGQIMQELPNLQDTAVPHKHNIEFGPTYWELTSRVPLLDAELPLRVKEQQSGKSCGFLVRKTLLTSRTLVFVISGFALCLGLCATFLHPRKVGDIAMTIRRCLFDKT >Solyc04g008590.3.1 pep chromosome:SL3.0:4:2196102:2201329:1 gene:Solyc04g008590.3 transcript:Solyc04g008590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:K4BP15] MAAIIQGIGAATALTSASSLDTKKTFFSNSRRSLSERKGRTFVVRSDGGLSYGLNGRGGRAEQLITNAVATKEDTAAASTSSKPGHELLLFEALREGLEEEMDRDPAVCVMGEDVGHYGGSYKVTKGLAPKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPVIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFKIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSDNPVILFEHVLLYNLKERIPDEEYVLNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIICLSSQDVPTPYAGTLENWTVVQPPQIVTAVEQLCQ >Solyc05g018020.1.1.1 pep chromosome:SL3.0:5:19464520:19464771:1 gene:Solyc05g018020.1 transcript:Solyc05g018020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLRCLWIDDCPLLQVLMYGIFNLISLKVLTLSKCKKLKHPPSRDVMQWLTKLWSLQIKGCPYFKESCINLSMVQDFSSSSN >Solyc07g021250.1.1.1 pep chromosome:SL3.0:7:16780770:16780982:1 gene:Solyc07g021250.1 transcript:Solyc07g021250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLFVWAWMFLFGYLVWANGFMFLISWRGYWQESIETLAQPHELPPFANLIRLRDKPVALSIVQERLVG >Solyc09g055870.3.1 pep chromosome:SL3.0:9:46133492:46144795:-1 gene:Solyc09g055870.3 transcript:Solyc09g055870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCANYASSDEEEQVTLPPPKSTSSFLSLPAPNSHDQQLRPPNVGITTSSSSSLFSVLPPPKTTMEDPPLLNSSDPKPKRVVQFKPPVNPFSLKSYSLDEDDEDEDEKEKQRKRSRSFAQTSSVKSFLSTIPAPKNSNTLGVLGSGSGRRSTIQADVPVPDPVSSNEVLVNSNTDYSESQQIDGSFQSVMGGFDGPSQHNADWNAQGYVNQEVYAGYDNDGASEHSPAAPPNVNYVECDSNYGAYTSYEQYGHNWTDGSSATEASTITDTAEVVFRLPGKRGRSDAPQNIVEVNQDELMKNGPREDQSRLTGIAFGPSYQLKPVSSKGKPSKLLKRKHQISTLYFDMKQKEMELSERRAKGMQTKAQTQGKYGW >Solyc11g066205.1.1 pep chromosome:SL3.0:11:52233646:52238503:-1 gene:Solyc11g066205.1 transcript:Solyc11g066205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNEVCGFLSLVQIWEWEIITSGPINFRLQLRLHVNGRDIEIIKMRHELLFDNLMRKMLLMDCLSGVGRDNMSRWHSDVSKDDDGNDETSTNTRDILYL >Solyc02g085350.3.1 pep chromosome:SL3.0:2:48907072:48915378:-1 gene:Solyc02g085350.3 transcript:Solyc02g085350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate dehydrogenase [ubiquinone] flavoprotein subunit, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4BB47] MWRCVSRGLRASSNRSISGGGHFRRLFSSQTNVGGSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLLMNSDGSCQGVIALNMEDGTLHRFRAANTILATGGYGRAYFSATSAHTCTGDGNAMVARAGLPLQDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPEVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGQVVTKNGDDPDAVIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIQKPGAEQKPLEKDAGERTIAWLDKIRNSNGSLPTSKIRLDMQRVMQNNAAVFRTQETLEEGCQLIDKTWESFHDVQLKDRGLIWNTDLIETIELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWMKHTIGYWEDEKVRLEYRPVHMNTLDDEVESFPPKARVY >Solyc03g026220.3.1 pep chromosome:SL3.0:3:3655793:3657972:1 gene:Solyc03g026220.3 transcript:Solyc03g026220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRMKTKTTAMPPMMNDNSAGGRDRAAADNEWEVRPGGMLVQKRNPDAENRPPPPPIRVRVKYGSIYHEINISPQATFGELKKMLTGPTGLHHQDQKLLYKDKERDNNAFLDISGVKDKSKIVVVEDPLCQEKRYLELRKNAKMEKAAKTIADISFEVDRLAGQVSAFESIISKGAKVVEKDLVKVIELLMNQLLKLDGIVAEGDVKLQRKMQVKRVQKYVETLDVLKMKNSAPSRSGNHIPKQESSPSPQQHQRRYSNDQASSPVQNQNGSRRSFANSPTPAKHQEPSRHSASGSVVITTQWETFDPAPGPLLDHDSTTTPSNNNNHASYAQPRFNWDLL >Solyc12g049230.2.1 pep chromosome:SL3.0:12:61572604:61584963:1 gene:Solyc12g049230.2 transcript:Solyc12g049230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSSKSESGTHVISVGVREILESIKEVVGNHSDADIYVTLKETNMDPNETAQKLLNQDPFHKVKRKGDRRKENHGYKSSTAPENGNYLEHDAHEMRINTHINRNIRRGSYNRTALPDAGLTREFRVVRDNRVNQNVNRVVKAVQTSTSAEPAISNTSAQSSSKGTSSNTLSTGSRSSQARNRNSQHTHSNDANLSSTNGQDPVHVPSLDSRPTAKVGAIRREVGVVGARRQSAETFAKSSSSQSRSSSNSHMEQARQDIGNSKGSLRPMSSNSRSDQSGVSDSPKSNLPMSKSLSGNQHINRLHHSVGHQKGVQWKPKLTKKSSVTDPGVIGKPSEGVYLTSKSEDLEKEGSQLQDKMSRLNISENVIIAEHIRVSETDRCRLTFGSFGAEFKSAKDLEEESQTKSSRLSVLVSESSTDDPVGSKQLDLADDHVQNPESTSPVSDVISDQKLSDNRESSSPEDLGNYADVGLVQDKSASYTPPESQQQQNASNLSSFSAYDPQTGYDIPYFRPAVDEALRDQGLQEALSSHAANSMPVSSIPMVQQVQQHQPIAQMYPQVHVSHYANLMPYRHVFSPVYVPPMAMPGYSSNAAYPHPPNGSNYLLMPGGGSHLSANGLKYGIQQFKPVPTGSASGFGNFTSPTGYAINTPGVIGSATGLKDSSRMKYKDGNLYVPNPQAETSEMWMNPRDISIMQSGSYYSMSGQTPHATYLPSHSGHASFNAAAPSSHMQFSGLYHPPQPAAMANPHHMGSAMAGNVGVGMAAAAPGAQVGAYQQPQLGHLNWTGNF >Solyc02g080610.3.1 pep chromosome:SL3.0:2:45346925:45348244:-1 gene:Solyc02g080610.3 transcript:Solyc02g080610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTHGFMASDGPSWADQWGAGGIGAMDEDDSYYKSNKDSENNKKATSSSSSGIGKVKAVAVAGAQKVKNGPSMLIKWVRSKSQKKHPSIS >Solyc10g038020.2.1 pep chromosome:SL3.0:10:18713569:18717040:-1 gene:Solyc10g038020.2 transcript:Solyc10g038020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITINNNKTSREVMCFMMDPTLEKTIRYVQFPQRLDGIDMNDPYANRNTVSLMFSSCQVFSRVLPGEGMAYSVGASANYTLHVTLLMLVRSSLGVVCKAFSEAIVEDLRKGGVAG >Solyc06g084380.3.1 pep chromosome:SL3.0:6:49564442:49567258:1 gene:Solyc06g084380.3 transcript:Solyc06g084380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAADVVGHHRVVPLDSKVTPTASMVSETFAKDAILAWFRGEFAAANAIIDALCNHITQLEGGRAEHESVFAAIHRRRLNWIPILQMQKYCSIAEVTLDLRKVADRKIKEREEFAISKQFDNQAITPEIVDGDDSPETDITHDTGLLIQHLEESVEFCSNHENCEARHAHIKMTKGFVSKELVKGHMVNVVRGLKLFEDIFTPNEISKLNDLVDELRVAGQNGETFILFNQQVKGNKREMIQLGTPIFGHIKEEAMCQKSNIEPIPALLQGVIDHLIQWNLISESRRPNSCVINYFDEGEYSQPFMKPPHLDQPVSTLLLSESMMAFGRALVSDSDGNYKGSFMLSLKQGKSFSLTWEIAIPPFPGESKAMLVSLLVMRGNSADMARHALCSSASKRVAITFFKVRTEMNNFVSDQKIPPLTKAMTLWQPGVPTHYSTANGAANGYKIMDVMPKWGFLRAPEFMVAPVHPMVLSPRKIPTGGTGVFLPLSRKPAKHIPPRAQKRRFLELPSPSGSPKSVSSSEASTAV >Solyc10g045740.2.1.1 pep chromosome:SL3.0:10:35847661:35847954:-1 gene:Solyc10g045740.2 transcript:Solyc10g045740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRRNEKNQNIPNRIRIPIVHNSSLYSNLTYCSAPPHKFSKYIKIKKIELPTQCSKINYRTPKAIVSYGPNIGHIPHNIRLTDPNFLHWSGNGRGQNI >Solyc11g069440.1.1.1 pep chromosome:SL3.0:11:54317213:54317713:-1 gene:Solyc11g069440.1 transcript:Solyc11g069440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETSKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMQPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEIPEN >Solyc12g056675.1.1 pep chromosome:SL3.0:12:63650851:63653842:-1 gene:Solyc12g056675.1 transcript:Solyc12g056675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSELASEIAEGTTLFSGKGNSNVGTNYQGNNSSYKGRSRRSDLYCDHCHLTGHTKAVCYKLIGYPPDYKFKKKAGSYLKDNDTSKGNPNAGSHTDSSDSGNQFGCPSTNFAGSSHMSKGSTDAFGAIPQFTELKYNQIFTMLNSENFEAAHVALTAGMIPCTTITAYDVKRIVDSGSSNHMVSSVALLSHNTTVNKNGLGKFHLPSGNVVNVRKVDKFSPRVIPAVFLGYSVSKKGYTMYDLESKTISTSPSHLFSLKEDELQASHIQDNNQLSFSVPAPSSTAYVPSMDVPSMGDTVQGELVIVLVYVDDLLVTGSNTDLILQTRNDLKLKFKMKDLGELKFFLGIEFAR >Solyc07g065125.1.1 pep chromosome:SL3.0:7:67157237:67158273:-1 gene:Solyc07g065125.1 transcript:Solyc07g065125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLCYPSSPSKFAATLACFFGGSVLFAFGVHHSYINIAPQQARTKARNDFEVVQLLEIVMVFGSFYGICSNDKEHIVVFSEARAGSNMTNTPNPVCGTQ >Solyc09g009040.3.1 pep chromosome:SL3.0:9:2385644:2393897:1 gene:Solyc09g009040.3 transcript:Solyc09g009040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNNLFISLTPSWTSVSMLIGFFLYLATVGSILPGKLVPGATLSDGTRLHYRCNGLLSLLLLVLLLGVGSQMNLVSPTAIADRGLELLSTTLIFSVLVTLMLYLVGLNSRAKSSSLKPHVSGNLIHDWWFGIQLNPEFMGIDLKFFFVRAGMMGWLLINLSVLAKCVVEAKLSQSMILYQLFCGLYILDYFFYEEFMTSTWDIIAERLGYMLVFGDLVFIPFTFSIQGWWLLSNKVELTTAAIIANCLVFLIGYSVFRGANKQKHVFKKDPKAPIWGSPPKVIGGKLLASGYWGIARHCNYLGDLLLASSFSLPCGISSVVPYFYPIYLLILLIWRERRDEARCAEKYKDVWAEYRKLVPYRILPYVY >Solyc01g017200.2.1 pep chromosome:SL3.0:1:23807944:23808390:1 gene:Solyc01g017200.2 transcript:Solyc01g017200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQIFIDTFIISSSESYGDSYIYRAISSGQSLNSIENEGSSRRTHTKDSDLTIRECSNDLEMSSSDRIELLINPGTWDSIDEDMVSLDSIEFHSEEEPYKDRIDSYQRKT >Solyc01g090320.3.1.1 pep chromosome:SL3.0:1:83852419:83853066:-1 gene:Solyc01g090320.3 transcript:Solyc01g090320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNINMKFSLSDFDFLESVKQHLLNDFDFFKYFSPMNLNNVELPNSAISSLGSSLSIESHEKFEYEEEIIKGPNMVVARQKNTPEDWRRYIGVRRRQWGTFTAEIRDPNKKGARLWLGTYETPEDAALAYDQAAFKIRGSRARVNFPHLIGSNMPKPARLKVRHHTSSLKPSSFSSTSLKNGIRKKKIDLINSIAKTKAKVKLNFFCQTLEKYLPQ >Solyc11g067000.2.1 pep chromosome:SL3.0:11:53062890:53072133:-1 gene:Solyc11g067000.2 transcript:Solyc11g067000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGAFVGNELARLRSSNSRGTSWRSSQSIMEVLGGQRDDFFMKNYSTRWREMAEEEEKELKWAAIDRLPTYDRMRKGMMKEVIGNGRVVHHEVDMTNLGNQDRKVLMESILKVVEDDNEKFLRRLRNRTDRVGIEIPKIEVRFENLSVEGDAYVGTRALPTLLNSTLNTMEAVLGLINLSPSKKKVVKILEDVSGIIRPSRMTLLLGPPGSGKTTLLKALAGKSEDGLRVTGKITYCGHEFHEFVPQRTSAYISQHDLHHGEMTVRETLDFAGRCLGVGTRYDLLVELSRREKEAGIMPDPQIDAFMKATAMEGLETSLITDYVLKILGLDICADIMVGDDMRRGISGGQKKRVTTGEMLVGPAKAFFMDEISKGLDSSTTYQIVKFMRQMVHVNDITMVISLLQPDPETFELFDDVILLSEGQIVYQGPKENVLEFFEYMGFRCPERKGIADFLVEVTSKKDQEQYWFRNSRPYVYISVPEFAESFNSFQIGEQIIIELTIPYDKFSVHRAALVKNKYGISNLELFKACFSREWLLMKRSSFLYIFKTTQITIMATIALTVFLRTQMKAGNVKDSAKFWGALFFSLINVMFNGMQELAMTVFRLPVFFKQRDSLFYPAWAFALPIWVLKIPISLVESSIWIILTYYTIGFAPAASRFFKQLLAFVGVHQMALSLFRFIAAAGRTQVVANTLGTFTLLLVFILGGFIVSKDDIQDWMIWGYYLSPMMYGQNAIAINEFLDDRWSAPTNGSQPTVGKTLLHDRGLFTTETWYWICIAALFGFSLLFNVLFIAALTFLNPLGDIKSVSVEDDDKNNSSPQEKRKVGGIQMAATCSQVNTSCVVPLPIKESRKRMVLPFKPLSLAFNHVNYYVDMPAEMKTQGIEEDRLQLLRDVSGVFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGYPKNQTTFARVSGYCEQNDIHSPYVTIYESLLYSAWLRLPSDVKTEIREMFVEEVMELVELKPLRNALVGLPGINGLSTEQRKRLTTAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRKTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGARSQTMVEYFEAIRGVPKIRECDNPATWMLDVSSSSMEAKLDVDFAEVYAKSDLYQRNQLLIKELSTPAPRSEDLYFPTQYSQSFLTQCKACFWKQNWSYWRNSQYNAIRFFMTVIIGIMFGVIFWDKGNKIYRQQDLLNLLGATYAAVMFLGATNASAVQSVVAVERTVFYRERAAGMYSELPYAFAQVAIETIYVAVQTFIYSLLLFSMIGYEWTAAKFFYFYYFIFMCFTYFSMYGMMVVALTPGYQIAAIVMSFFLSFWNLFSGFLVPRPLIPVWWRWYYWGSPVAWTIYGIFASQVGDRIDELEIPGVTVKMQVNQFMKEYLGYDHDFLVAVVFAHVGWVLLFFFVFAYGIKFLNHQKR >Solyc06g065920.3.1 pep chromosome:SL3.0:6:41428554:41434511:-1 gene:Solyc06g065920.3 transcript:Solyc06g065920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLPRCLSYSECSGGAGRSEIRGGESSNGGFGKDGLLWFHDIGNCGSGEYSMAIVQANQVLEDQSQIESGPFGTFVGVYDGHGGPETARYVCDHLFRHFQAISAEGNGVVTEETIQRAFLETERGFTSVVSENWHSRPQLATVGACCLVGAIYQQTLFVANLGDSRVVLGKKVGNTGEIAAMQLSTEHNANIESVRWEQKDLHPNDPQIVVLRHGVWRVKGIIQVSRSIGDVYLKHTRFCREPTNGKFRVPQPLNMPILLATPTILKHPLHPNDSFLIFASDGLWEHLSNEKAVEIVKSHPRKGSAKRLVKAALHVAAKKREMRYSDLRNIDKKVRRHFHDDITVIILFLNHDLICRGVVQDPTLSIRSALEH >Solyc12g094660.2.1 pep chromosome:SL3.0:12:65796650:65804016:-1 gene:Solyc12g094660.2 transcript:Solyc12g094660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAAEASVVIERLKDTLSNEITNKRVIENALEDMQRAIADTNDSEEFKKWAEGCLHSLYYVEDSVESFVLDIAPQNKKWSFLMNHTLLLKNFLGCKLTKKMKRIPSDIKRVINEKHKSTGEQDARRNGTTTYMGDQNGAEAEDGDIEDHNDEDSAKVRLICPKAQCSYPMIPEREEIVLEVDKKPLRCIDDVPLRLDRENISLGCISDKSMMLDRATHARLIYTYSYDDEELEMFDIKQEVYDLVERLTTTADDGRPPIVQIAGKMGSGKTTLARAIYRNRNIRNHFESGCAWVTISKKFNKPDILQNLLKQMGDSKDSVDLTTNELESRLLECFNKEKYLIVLDDVQSEQMWEELRSVFLGSRNGSKLLLITTSVSPMWYVSPPNCIHKVKKLKKNYSWNLFMKKAGWDTWELGNEHQDSKQRVLDVCSGLPLNIVLLGSMLSTKGDTNRFDYLQKMLRTNWETKDIVSLSYTDLPDHLKLCVLYLVLFPKEYDIPVRRLFRLWLSEGFVNPKPDKFPEDVVQENFDDLVKRSLIQISKFRSDGSPRRCRLLGVLHDYLLPKAQNIKFFHVHCSTCSTEDTVLLNVRRLVEHASSRDIYSDASRFKHLRSYLSFNFQRKDTPAKEVGILLTSVITKGFGLLRVLDLEGVYKPSLPENLGELFHLRYLGLRWTFLDALPSSVGDLPYLETLDVKHTNINNLPTSMWKSKKLRHLNLSHIRLDMPQHSDTNSLPTLLTLWGLSVDDDSPVKNGLDRLCNLREAGITFCLTDCDHLLNWISNLTSLQSLRLRSINDVGHPSRFGNQPLSLSKLSNLSHLNLLGKLPNLPENLPQGLKVVTLSLSKLTDDPMPILGKLQHLNVLRLLSDSYMGKEMVCPQRGFKELRVLKIWKLKNLEKWDVEEAAMEKLKEINIRCCYKLMSIPKILRKKTSLRELIITNMPEEFKQNVNKYMRSRDLILTFKDYDFTPLPWEHADHTSDETASAIFVNAERRRLINLIPDSVRKAWKDWEIEVLILGSLILQIMLILFGKRRQCVANLWIRMTLWTSYLLADWIAIVSLGIIAQNTLDKCKQTSDDDNFKDELMSFWAPFMLLHLGGPDTITAYSLEDNELWLRHLVGLIIQSGLTFYILLVSLPGSSWLPFLSLFIFVSGVIKFSERTCALRSAKIENLRDSMLTSPDPGPNYAKFMEEYTLKKAEGFYVIADEVKEISLPIDHSYPTRKDKLLLISEAFDQFQTFKRLFVDLILSFQDRDNSQFYFKQLTPKDAFDVIETELGFAYDTFYTKAPVIYTPLGFILRVITFSCTFFTLISFSLCKERSKYHILDLILTYLLLVVAFLLEICALIVLLYSDWTKNWLSKGKHDRKFCQSFLLKKLCLRRSTNMRWSNGTLQYNLLSYCVEFKPPRCYWFQKLFRINELLEKHKYKKDELVTPGLKKLIFDHFKKFAGESNNKHDHPVLCTSRGTEALKGNGCSSLVWSTELEFDQSFLIWHIATDLCYYTDDSSGTRSIESKQSKQLSDYMLYLLVVCPFMLPIGLGMIRFRDTCAEAKEFFTERKVGKDLTIASRKLLTVNTEVGPAKVKGDRSKSVLFDACILAKSLKAKGNQRKWEIISEVWVEMLAYAATHCRGNHHAQQLRKGGELLTHVWLLMAHLGITEQFQINRGHARAKLIVK >Solyc09g091520.2.1 pep chromosome:SL3.0:9:71255419:71260021:1 gene:Solyc09g091520.2 transcript:Solyc09g091520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:K4CWH8] MAIKPTKSEKKVMYDQKLCKYLDSYNQILIVNADNVGSTQMQGIRKGLRGDSVVLMGKNTMMKRSVRIHAGNTGNDAFLNLIPLLVGNVGLIFTKGDLKEIKEEVAKYKVGAPARVGLIAPVDVIVPPGNTGLDPSQTSFFQVLNIATKINKGTVEIITPVELIKKGDKVGSSEAALLSKLGIRPFSYGLVVISVYDNGSVFSPQVLDLTDEDLVEKFAAGVTMITTLSLAISYPTLAAAPHMIVNGYKNVLGVAVETEYTYPQAQQVKEYLKDPSKFAVAIAVVSESATTSQGIVETEDKKEEEAESEEDDAMFGLFDD >Solyc02g069310.3.1 pep chromosome:SL3.0:2:39807499:39815115:1 gene:Solyc02g069310.3 transcript:Solyc02g069310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIM1-like protein 1 [Source:UniProtKB/TrEMBL;Acc:Q6GUF2] MASSAEPSSSISFTSTSNTSNGSIGIGQNTCACGGSETGSSYEIISLSKLSNNLEQLLLDSSSEFSDAEIVVEGVSLGVHRCILAARSSFFRDLFRKRNGNCGKEGKPSYSMIDILPCGKVGYEAFLTFLSYLYSGKLKHFPPEASTCVNSLCSHDSCRPAINFHVELMYASFVFQVPELVSLFLRHLFSFVGKALVEDVIPILGVAFHCQMSELLTHCVDRVARSDLESTCIEKEVPFKVAESIKLSRLKCQGDESMVLTVDPLHEKRKNRIYKALDSDDVELVKLLLNESDISLDGAYALHYAVAYCDPKVVAEVLGLGVANVNLRNARGYTVLHIAAMRKEPSIIVSLLTKGAHASEITLDGQSAVSLCRRLTRPKEYHAKTEQGQEANKDRVCIDVLEREMRRNPMTGDALFSSPMLADDLPMKLLYLENRVAFARLLFPLEAKLAMEIATAETTAEFADHLASKASSGILREVDLNETPIMQKERLSKTVELGKCYFPHCSEVLDKFMEDDLPDLFFLEKGTPEEQKIKRRRFKELKDDVQRAFNKDKAGLHRSGSSSSSSSTTFNDGASVKARNL >Solyc02g088980.2.1.1 pep chromosome:SL3.0:2:51537909:51538112:1 gene:Solyc02g088980.2 transcript:Solyc02g088980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKRGAGSRVTKRHLNFFPAAKKLIGECTVRSAIRSSQIILWGSASAKHDPESRFLCQIKNSSQSILK >Solyc06g007510.3.1 pep chromosome:SL3.0:6:1499834:1502717:-1 gene:Solyc06g007510.3 transcript:Solyc06g007510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC39 [Source:UniProtKB/TrEMBL;Acc:B7U4A4] MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPTDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >Solyc06g007280.3.1 pep chromosome:SL3.0:6:1312935:1314721:-1 gene:Solyc06g007280.3 transcript:Solyc06g007280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRISLRSGNGIPFLSFFADSAITVRTCSSFHYSKESISVKDKICVKSSNLDDAVNLFHQMVTMKPLPSVVDFSKLLKNLINRKHYSNVVSLFREIMINSYCLMYRVDCAFSVLPIYLQNGIPFNAVTFTILIRGIFAQNNVKDAVELFFKKLVKEKICEPNQVTYATVMNGLSKRGHTQKTLSLLRLMEQGNTKPNIYIYNIVIHALCKDGNFDAAIKILNEMKQKDIPPDIVTYNSIIDGLCKLGQWEKVKTLFSEMVNLNMYPDVYTFNILTDGLCKEGKVEDAKEVMKHMVRKGIDPNIITYNVIMDGYCLRGQVDRARRIFDIMIDKGIKPDIFCYNILINGYCMTKEVDDAMQLFCEISQKGSKPDVVTYNTILQGLLKLSAGFIPDFYTHGIVINGYFKNGLVEEALSLFNKLEGNREINDIEFYNVVINGLCKTGKLDEARAIFEKLYLIGLLPNARTYNKITNGFCLEGLLDEANDMLRKMEENGILPNFFTYNALVRGFLRCSKITEMTTFMKEMTGRGFSFDATTTELLLEVLRESPSVLDMILDLHSKIMK >Solyc10g006860.3.1 pep chromosome:SL3.0:10:1287310:1291989:1 gene:Solyc10g006860.3 transcript:Solyc10g006860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALWQDGLSGKVVMVTGASSGIGRELSIDLAKAGCRIIVSARRVDKLKSLCNHINGRGNSELDPAPNAIAVELDVTANGGNIEAAVQIAWDAFGHIDVLINNAGVRGCVSSSLELPEEEWEHTFTTNLRGAWLVSKYVCRHMIDVKQGGGSIINVSSVAGLNRVLVPGGVAYASSKMALDKLTEMMALELGVHKIRVNSIAPGIFKSEMTESLIQQKWFHGVISRTAPLRTIGTTDPALTSLVRYLIHASSEYVSGNVFIVDSGATLIGVPIFSSL >Solyc01g006780.3.1.1 pep chromosome:SL3.0:1:1359437:1360056:-1 gene:Solyc01g006780.3 transcript:Solyc01g006780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLLAGKYRPYTPRRKMKQPLAGKYFKNPTQPKIRLGGWVGGGGRRRKWKSPEKMNGEAFVGKKKF >Solyc07g042630.3.1 pep chromosome:SL3.0:7:56220171:56230720:-1 gene:Solyc07g042630.3 transcript:Solyc07g042630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:K4CEC4] MWKLKTAQGEGLWLTSSNNYIGRQHWEYDEEGGTLQERAIVDEMRQNFTKNRFLHKQSSDLLMRMQVRKENGCVEIGGEVKLEESEDVREEAVKIRLRKSISYYSTIQAHDGHWPAESAGPLFFLPPLVMALYVTGDMNVVLSPAHQMEIKRYTYNHQNEDGGWGFHIEGHSTMFGTVFNYVALRLLGEYCENNNALDKGRKWILHHTGAIATPSWGKFWLAVLGVYEWDGCNPMPPEFWIMPTLFPIHPGKMLCYARLVYMPMSYLYGKRFVGRITSLVQTIRDEIYIQPYHQIDWNKARNTCAKEDLYYPHPFIQDTLWGFLHHFAEPMLKRWPFNKLREKALKVAMEHIHYEDQTSRYICIGCVEKVLCLMACWVEDPNSEAYKRHLARLPDYYWISEDGLKFQSFGCQTWDAVFSIQAILSSDVAEEFGPTLKKANNFLKASQVRENPSGDFKKMYRHISKGGWPFSMQDHGWQVSDCTSEGLKCALLFSQMPTQLVGEKLDVERLYDAVNVILSLQSENGGFPAWEPQHAYRWLEKFNPTEFFEDTIIEREYVECTSSSIQALSLFKKLHPGHRRKEIDVAITKGLQYIENTQNPDGSWTGCWGICYTYGTWFAVDGLIACGRTYSTSHALQKACQFLLSKQLLDGGWGESYLSNSNKVYTNIEGDKSNLVQTSWALLALINAGQGEIDPSPIHCGIRLLMNSQMEDGDYPQQGVTGAFMKNCTLNYASYRNIFPIWALAQYHKRLLNKLN >Solyc11g010300.2.1 pep chromosome:SL3.0:11:3380615:3388286:1 gene:Solyc11g010300.2 transcript:Solyc11g010300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIQNSMVFSHLRSDHGLYFINPTTTNYKSDLSSLSFTRKFPFSFKSGRGLLRATLLSGEDDILSCFNNQGAERGSFVNHGAEQTIIKQQPGETAFETPATVITPNSGFLSGVDEFDLDHAVEGFSSIPEAIEDIRQGKMVIVVDDEDRENEGDLIMAAQLVTPEAMAFIVKHGTGIVCVSMKGEDLDRLQLPLMVPPKENEEKLSTAFTVSVDAKYGTTTGVSAHDRAKTVLALASADSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEIVDDDGSMARLPRLRQFAEAENLKIVSIADLIRYRRKREKLVDLAAAAPIPTTWGPFKAYCFKSVLDGIEHIAMVKGDIGDGKDVLVRVHSECLTGDIFGSARCDCGKQLALAMNQIEQAGRGVLVYLRGHEGRGIGLGHKLRAYILQDDGHDTVEANEELGLPVDSREYGIGAQILRDLGVRTMKLMTNNPAKYVGLKGYGLAISGRVPLLAPITRENKRYLETKRVKLGHIYDSNDDANGHVNSSEVDRTSHDELHDNAD >Solyc06g054560.3.1 pep chromosome:SL3.0:6:37414430:37427988:1 gene:Solyc06g054560.3 transcript:Solyc06g054560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chromatin-remodeling complex ATPase [Source:UniProtKB/TrEMBL;Acc:K4C6E2] MGKPSRDRSSSEESVSESSEEEQVRGQVNDDEDEEELQAVARAAEDSDEEEDDDAPSDGADQEDEDNEEGVAVNEISKREKARLKEMQRMKKQKIQDMLDSQNAAIEADMNVKGQGRLKYLLQQTELFAHFAKGEQSTAPKKTKGRGRHASKVTEEEEDEEYLKDEDELSGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPVLRAVKFLGNPDERRYIREELLVAGKFDVCVTSFEMVIKEKSALRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGETDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNSGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVENAGKMVLLDKLLPKLKERGSRVLIFSQMTRLLDILEDYLLFRGHQYCRIDGNTGGEDRDASIEAFNKPGSEKFAFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSAKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDEKEENKVDFKKIVSDNWIEPPKRERKRNYSESEYFKQTMRQSAPARPREPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMTHQKNQVKDTIEMEESEDAGEPLTAEEQEEKEQLLEEGFSMWSRRDFNTFIRACEKYGRDDLKSIAAEMEGKTEEEVERYAYVFKERYKELNDYDRIIKNIERGEARISRRDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGHGNWDELKAAFRQSTLFKFDWFVKSRTTQELARRCDALIRLIERENQEYDERERQARKEKKHAKNTTPSKRTLARQAAESPSALKKRKQLSMDDYVSSGKRRK >Solyc02g087930.3.1 pep chromosome:SL3.0:2:50812286:50814505:-1 gene:Solyc02g087930.3 transcript:Solyc02g087930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRLTYRKRHSYATKSNQHRIVKTPGGKLIYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLPRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKLASKS >Solyc07g054610.3.1 pep chromosome:SL3.0:7:63012029:63015509:1 gene:Solyc07g054610.3 transcript:Solyc07g054610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRRSQDRISDLPEESVNGISDLPEESVNGISNLPEESANEISNLPEESVNGISNLPEESVNGISNLPEESVNEISNLPEDSVNGISNLPEESVNEISNLPEESVNRISNSPVDRISNLPVNGMSNSPVNRVSNSPLDRISNLPTDIIREIQSYLPWKVGAKFNILSKIWRRIWASHPRIILDEMDFGADYSEYRVSDKAKRVAFLSYLIKLLESRKSPSEYDCDIDKLFLRMTTLEDSPPPKNLVKKWICFALEKNVKLLSLALKTIYPNHYYLHGIAFCSTTLVDLTISDCHITNCSFKLPALKFLFLFVVCIEDHHFKDLIAACPRIEKLRVLDTQKLHTIVVSNPQLKSFGVHLPCSDGKIRIESQNLHSLEFISFIVDLCELEITSTTTVRELTLRKAYHQETVMHFMKKFPLLEKLKIDDCTILSEAEINPLPTVRNLTLRRAYHEETLLNFIGKFPLLEKLEIDDCSILSEAEINPMPTVQKLTLRNVCQENTAWAVFLEKFPLLEKLLIDDCDMVSEFETGPLPTVRNLTLCNVREKYTTWTDFINKFTLLEKLIIADCKLQMLHLSQPNLASLVLMDCIVEDEVQINSSKLKSLEFKGRLTKIKGIEDLKELEFVKVYLDPVRLSKCWYRWFRDILKSCARSKHLSVICNSKKVIINPKYVTDILPVTNMEHLELEIISRHGTFEEVIDDFISILPDLKTLSLTLGYTTKFFQFSRADNVLSAKEVPNPNLIRF >Solyc03g119010.3.1 pep chromosome:SL3.0:3:69220457:69224048:-1 gene:Solyc03g119010.3 transcript:Solyc03g119010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSSVRAIILAAGLLHIGKKVRAIMATKDGDILMLEAPPEYRPAVSSSAEVIDALPYIDDDYGDPNVKEEVDRLVEEEMRRSSKKPSDFLKNLPPLRKFNFENHPMLAREYERVRAGKPPVQIDTSRYGLEVPPVNKRNDETAWKQSLQKAQRLLQHQVIRLENLDLMSKHGPDVWKLYNQQLEAFLARMQSQAAELNEMIETANRERKYHQQTTAYELNALSMQWKELCLKNIEIQSACGELQDHREQLKKEAEERSGLEFGG >Solyc04g051120.3.1 pep chromosome:SL3.0:4:49640249:49650848:1 gene:Solyc04g051120.3 transcript:Solyc04g051120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGLITAYSRSSPTTVTLISGNPHAKTATLGVKFPSSFRLNSGYKISSFSLTICSSKSSSSVDSAGAGTETYNQTVDGEISSPQVTESLNIEVGSPKILPNYFPPKLSLSDQAFFLLTFIACTTSVAFTSLVVATVPTLFAMRRAAISLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEIADGVNKSARAVQAAEAGIRQIGSRAHQQTMSMIQERADLPVISLQPVVTGAAKKTSHAVTQATRRFMNMISGGELGSEMEDNGKIDPES >Solyc01g106270.1.1 pep chromosome:SL3.0:1:94116322:94117029:1 gene:Solyc01g106270.1 transcript:Solyc01g106270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFMHLQHPVLQRCAIQSQPTLFLTEMAERVKHDDGRTVENLVHMFLDEGLASEIGSIEDKDSVGALGTLEFKGWVVESLPNSLKHLNLDIRMSIEQLSYCCENSRRSVLVPHVVSSGVKANDLGAYFMRFLTTLWNILYVSIFRSLSCDDEEALNKLQDMDSQL >Solyc01g109370.3.1 pep chromosome:SL3.0:1:96260664:96264835:1 gene:Solyc01g109370.3 transcript:Solyc01g109370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQMGDDSGKQPRHRNLTPLRMIRGIFCLIVLVLSAFMVLVYFGFWTATGLRIVSVHYSRVGTSFFFGCWLALWPFWFEKINKTKVVMSGDSVPPAKRVLVIANHRTEVDWMYLWDLALRKGCVGSIRYILKSSLMKLPVFGWVFHIMEFIPVERRWEADALKLRQILCTYKDPEDPLWLVVFPEGTDFTEQKCIRSKKYASEKGLPILNNVLLPKRKGFYACLEELRGSLDAVYDITIGYKHHCPSFLDNAFGVDPAEVHMHVRCIAIADIPESENEAASWLMDTFCDKDKLLSDFHSQGHFPREGIESELSTVKCLANFIFVLTSTALCTYLTLFSSIWFKIYVSSVCAYLTTATYFNFRPSPIVSL >Solyc01g106090.3.1 pep chromosome:SL3.0:1:93974443:93979865:1 gene:Solyc01g106090.3 transcript:Solyc01g106090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLQASNIYGVGFGIPSISTRPNSSYHRHATIATGEALAKSAPIGRKFSEQHSLKKGDMEKEGNSVVLEKKDFAVPRRKAMALILSSFVLSNYELPKVAYAQSVEFREYIDTFDGYSFMYPRNWIQVRGAGADIFFRDPLVLDENLSVEISSPSSSKYKSVKDLGPPEEAGKGVLKQYLTEFMSTRLGVRRESSVLSTSSRVADDGKLYYDVEVNIKSYANNNELAVMPQDRVARLEWDRRYLSVLGVENNCLYELRIQTPEKVFVQEESDIRAIMNSFRANKIAA >Solyc02g068995.1.1 pep chromosome:SL3.0:2:39512317:39515855:-1 gene:Solyc02g068995.1 transcript:Solyc02g068995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCKEDNPFAQKENKLACELKFLKKLASLAFITLFPIVNFKDKDILSNWIESEIASFLAPQRIYHNHRVNINSVLPQAIVFQIARHIWLLWLRPHDDCCTEFEILHSSSLLIITFQQHGLMIRASPGQVPHSLEVQLQNCGICGER >Solyc01g108260.3.1 pep chromosome:SL3.0:1:95516381:95522468:-1 gene:Solyc01g108260.3 transcript:Solyc01g108260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFEVGGTTLCLALFSDVTNSKELLDLMQSATLEPEVAFLNASLIPDVFPVLAAAHKTLVAKSRDSLTTRTPHSELVYNFSGSKHISESLKRCGIADNTSYILAARFGASADEMVAIEKLIKGNEIDLKELEQRINQAQIQKHYKISNLELEISSLADAITCRIAARDAL >Solyc04g008010.3.1 pep chromosome:SL3.0:4:1651958:1680956:-1 gene:Solyc04g008010.3 transcript:Solyc04g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEPPNLISSDPTTPLLHRRLENGGRGGRQSSLAVLLGRVTGRRGGGGGGASLLVRETAARELDERRADWGYSKPVCVVHVVLVWLEYRRRSLQGGTDVEGNDGEGGEERNGVLGIGNQSSFAKRCEYMNTMGSLLWWIIGFYWIVSGGEILLQNAPHLYWLAVVFLAFDVFFAIFCVALACLIGVALCCCLPCIIAVLYAVGQEGASEEDLRVLPKYRFHICKNEEKPRVGAGRMVPIETSSGYLATERILLPEDALVLMMHRGSAIDIAFPSSDPTCGIIFALSVIPAAVLRNLSDKLYEKRKNAALELEGIVKHLTSAGDHDKITAVISLLSQEYTYSPQAHNRKATFFQKAFFSNKHTPELQGGLIGLAAVTVGLTSDAAQHLKQIVPPVLHSFSHQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLAADSDANVQSAAHLLDRLVKDIVTESEQFSIEEFIPLLRERMNVLNPHVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAGSQDEFTRLTSITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVSRETNDELRAIEADPAEGFDVGAILSVARRQLSSEWEATRIESLHWMWTLLNGHRSEVLVFLNDVFDTLLRALSDPSDEVVLLVLEVHACIAEDPQHFRQLVVFLVHSFQHDHSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASVMVQALNLILLTSPELSDLREDLKQSLIKDSGRDLLLSLYASWCHSPMAMISLCLLAQSYQHASSVIQSLVEEDINVKFLVQLHKLIHLLETPTFAYLRLQCANKACEEIAAPISICIHVKKANQIEILKLNALLPNYLDNLLYCTNERNRLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSYSFKEEKVARTSSGIPFFNGGGGLQISEDGNPSESSHDMHNGINFSSRLKHFKQIQQQHRLHLKSETQSRFSSASSMKLDDMGLKSLFKIKKKDVPSGNPFVVNDNPMSVNLSRVSSIDSRARIEEELEQVFKKFDVNGDGKICSSELGFIMASLGNRATEEELVNMIREVDSDGDGFIDLQEFIELNTKDIDSNEVLESLKDAFSVFDMDKNGSISAEELLTVLQSLGEDCNLAECRKMISGVDCDGDGMINFEEFKIMMAKGSRFDVKGC >Solyc05g026220.2.1 pep chromosome:SL3.0:5:40120745:40122123:-1 gene:Solyc05g026220.2 transcript:Solyc05g026220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARYIYSIVQHVDSTYNIVYLSIEYEFIQEHILNKSELLLKSNPVNKKIPILFHDEKPICESLVILQNIDEPWLNGPSILSSDPYNRSIARFWAAYIDDKEYRNAEGKEAKAVVVDKMSEGNMLLEETFIKISKGKSFFSGDSIGYVDIVLGSLLGWVIEKMDEMKILYKTKTPSLAEWDEKFCSYNVVKDMIPQPEKLVEIHHNIISSS >Solyc01g057910.3.1 pep chromosome:SL3.0:1:64318123:64319913:1 gene:Solyc01g057910.3 transcript:Solyc01g057910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQHVKVNLSRGDANNKNEDDMDLRRGPWTVEEDLTLMNYIAHHGEGRWNTLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAGAGASTSSEVINPQNIVQIDHNTCVTNSSTATASSDNSFGTPVSDLTDCCYNYPQQQQDCFQFVGESLISPTGYFHHALEFQGAAASAIDHQQNTTQWMDGANFSDNLWNIEDMWFLQQQLNNNVV >Solyc06g084050.3.1.1 pep chromosome:SL3.0:6:49361486:49362351:-1 gene:Solyc06g084050.3 transcript:Solyc06g084050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRIEFMILHSNLKLKLKGHCRIFILIKARSVNSIKRPNQTKDSKKDPTKKIITEPKWKPSSFCTHSFIH >Solyc10g081680.1.1.1 pep chromosome:SL3.0:10:62828949:62829179:-1 gene:Solyc10g081680.1 transcript:Solyc10g081680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKVYIQHTCIHLRTDLPEFRVAAVEGKKNCSSSTLLPVHHIGRFIVAPPAVLGGEIEDWLKSEIEEDEAKAGCC >Solyc05g054210.3.1 pep chromosome:SL3.0:5:65019455:65021614:-1 gene:Solyc05g054210.3 transcript:Solyc05g054210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDNTEKITIMMLKVDLQCSSCYKKVKKILCKFPQIRDQVYDEKANIITITVLCCNPEKIRDKLCCKGGGVIKSIEIKESQKPKESEKPKEPEKPKVVEKPKEPEKPKVVEKPKELEKPKVVVVEKPKEPEKPKVVVVEKPKESEKPKVVEKPKEPEKPKIVAVEKPKEAEKPKEPVKPKEPEKPKEPEKPKEVQKPKEPEKPKEAPKPAPPVALPPQPQPQPQPQFPPVPEPVIVMPVQGYPHQPSPGMYCCGQCYEGYTGGPCYHDNWYGRPVQPPPPPPCYDTYSYGYGPGPGPIPYGLPKGCYVNKCDYFSEENASGCSIM >Solyc05g018780.1.1 pep chromosome:SL3.0:5:23646545:23649516:-1 gene:Solyc05g018780.1 transcript:Solyc05g018780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLRKPQNAKRKNKSDLVASKVWEAGVDKLEEGEELQCEPSAYNALHAFHIGWPCMSFDVLWDSLGMVRTEFSHTPYCVAGTQADKRASNSIGIFKLSNISGKRRDLVPTKTGDDDNMDSESCDNDDDNEEKEVEVVLQVWDFSSHLNALAEAESDRSQGASAVSNHSPLFKFGEHKDEGYAIDWSPHIPGRLVSGDCKNSIHLWEPTSDTTWNVEDPFIGHSASVEDFQWSPVDPCVLASCSVDGSIKIWDTRKKRSPTATMKAHKTDVNVISWNRGASCMLASGSDDGAFSIQDLRVVKCGLNRDEEEQAEFKVKMKEQVNVPKDLPPQLLFIHQGHKDLKELQWHPQIPGMVISTAADGFNILMPSNIENFLPA >Solyc07g054170.3.1 pep chromosome:SL3.0:7:62655866:62659897:1 gene:Solyc07g054170.3 transcript:Solyc07g054170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHSPNFSFTKLYSVVAVIVFFWPFMASAGPLRRVVDTHWYPATATWYGSPEGDGSTGGACGYGSMVDVKPFRARVGAVSPILFKNGEGCGACYKVKCLDRSVCSRRAATVIITDECPGGYCSGGRVHFDLSGAAFGHMAVSGYGGSLRDRGVISILYRRTLCKYPGKNIAFHVNEGSTPYWLSLLVEFEDGDGDVGSMHIREARSNQWIEMSHLWGANWAIIGGPLQGPFSVKLTTLSTGRALSARDVIPGNWSPKATYTSRLNF >Solyc07g054940.2.1 pep chromosome:SL3.0:7:63200367:63218241:-1 gene:Solyc07g054940.2 transcript:Solyc07g054940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMYNATRPIAPKTKNVTLGKEKVVKEHRFFRKNLINKASSHPTAKLMALIVTSSPFPTMEIDYKKGVKYLVENSKKMKMVPSQFVLPIPEDERPSLAIEGSTPVIDLSGLNGTDEQRLSTIHAISSACAHWGFFMVSNHGIRTSIMDEMLKVVEEFFNLPLEEKMRYCSENVMDPVIYGTSFNTTGKHALHWRDFFRHYGGLVPQSYHFWPDNPPTYKQVAKEYLKEVWQLKIKIFRAISEGLGLDPDFIERSLGDEGTQMIASNYYPTCPEPNKTLGLAPHSDQSGLTILMDNGVPGLQIKHNQTWYSVPHVPGTFVVNLGDYLEILSNGKYKSVEHRAVVNAEAARVSIAVGHGPEMDTIVQPASPLIKEKSESKYRSIVYKDYVRAQQSTTKRGKYLVDNSKNMKMVPLEFVLPISEGERPSMAIDGSIPIIDMSGLNGPDEQRLSTIHAISSACAHWGFFRVTNHGIKSSIMDEMLKVIEEFFNLPLEEKMRYYSENVMDPVRYGTSLNTTRKHNLHWRDFLRHYGGLVPQSYHLWTDNPPTYKHIAKEYLKEVWQFATKIFGAISEGLGLDPNYIESSLGDEGTQIIAANYYPACPEPNKTLGLAPHSDHGGLTILMDNGIHGLQIKHNHIWYSVPSIPGTFIVNLGDYLEVLSNGKYKSVEHRAVVNAEAARISIAVGHGPEMNAIVQPASPLIKEKSKSKYRPIVYKDYIRGQQSTIKRGKSALHEIMNNMCKVLS >Solyc02g093330.3.1 pep chromosome:SL3.0:2:54866522:54869021:1 gene:Solyc02g093330.3 transcript:Solyc02g093330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPNSFFFSIYIPPFHYIDNQQSSLLLRLMSIFFGNTASTTNATSGQTQNSSTNSSTSPTFGKKYDFNSVANEPLVGSRDVNVSDSSIFGYPRAPAFGVSSNSHFGTARLDSYSFGQPAVSMSGSKGEESTPPFFMSSGFGKSTFGINQKGSRIASYIATPENDITGFSGGKIQSICGMQAYKDKSQEELRFEDYQLGDKGYAIQKVNSKIWAFEFIWLFGCILEMISPGGEGNGGGNIWLPMEEELKERTILTNQIKQRGKEQKLKYGTSYEVTVKMQKGNSE >Solyc09g091410.1.1.1 pep chromosome:SL3.0:9:71171151:71171648:-1 gene:Solyc09g091410.1 transcript:Solyc09g091410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTNESISVHGSCWPAAAQLFDHSFLSELESSFYSYRNSSYLNNGFRGEDPYYNSYMSYMYDTQYSWNNHINSCIDNYLQSQICIDTSIISGSESNGDSYIYRAICSGQSLNSSENEGSSRRTRTKDSDLTIRESSNDLEVTQKYKHLWVQIRNKISLITFDHI >Solyc11g069990.2.1.1 pep chromosome:SL3.0:11:54871975:54876782:-1 gene:Solyc11g069990.2 transcript:Solyc11g069990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNEQISMDHLSIEASWSLFKRHAFENMNPMEHPELEEVGKQIAAKCKGLPLALKTLAGMLRSKSEVEEWKRILKSEIWELPQNDILPALMLSYNDLPAHLKQCFSYCAIFPKDYPFSKEQVVHLWVANGLVPQEDEIIEDSGSRYFLELRSRSLFERVPNPSEWNIEKFLMHDLVNDLAQIASSKLCIRLEESQGSHMLEKSRHLSYSMGNDGEFEKLTSLNKLEGLRTLLPICISVNYCNHPLSKRVLHNILPTLRSLRVLSLSHYYIKELPNDLFIKLKLLRFLDLSETSIGKLPNSICGLYNLETLLLSSCYKLEDLPLQMEKLINLRHLDISNTSHLKMPLHLSKLKSLQVLVGAKFLVGGRGGLRMEDLGEVHKLYGSLSVVELQNVVDRREAMKAKMREKNHIDKLSLEWSGSSSVDNPQTERDILDELRPHKNIKEVEITGYRGTKFPNWLADPLFLKLVELSLRHCKDCDSLPALGQLPCLKFLSIGEMHGITEVTEEFYGISSSRKPFNSLEKLVFKDMPEWKQWHVLGNGEFPILEKLSIENCPKLMGKLPENLCSLKELRISTCPELGLETPIQLTSLKRFEVTGSPKAGVLFDEAQLFRSQLEGMVKIEKLYIHNCNSLTSIHFSILPSTVKQISVSDCQKLKLEASVGEMFLEHLLLEECDSITELVSRACYLYVSSCHNLTSFLFPTATESLNCCNLTMLKRLPQLPPSLKELKLWGCPEIEFFLEGALPFNLEVLHIYNCTKLVNGRKEWRLHRLP >Solyc11g069095.1.1.1 pep chromosome:SL3.0:11:53975818:53976228:-1 gene:Solyc11g069095.1 transcript:Solyc11g069095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLAKITNGKKKMNKIVNLKIVVEKLQRSLSMVRRLGAEFDIQHQHNQSDQKDSSNNVPEDVKEGHFAVIAVDEDELKRFVVPLKCLTHPSFLKLLEQAAEEYGFDHDGALTVPCRPSEFERILRHEQSSMLRSC >Solyc04g025060.1.1.1 pep chromosome:SL3.0:4:25890516:25890821:1 gene:Solyc04g025060.1 transcript:Solyc04g025060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRISRVRQRVELKNKLMNLEGVLQMEKYRGESHLEIMKRADGVWWESSIEELKLFKLQHLKKALETLNQKVEKEIQMMNNNAFPFQSLGSAWALPSFTS >Solyc11g005380.2.1 pep chromosome:SL3.0:11:303996:306518:1 gene:Solyc11g005380.2 transcript:Solyc11g005380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESSVLLENTAAGGAVTGADGEASELKNEGGGGGGSVGGGSEEEDKNFSGGNRWPHEETLALLKIRSEMDVAFRDSNLKSPLWDEISRKMAELGYNRNAKKCREKFENIYKYHKRTKDGRSGRQTGKNYRFFEQLELLDSQSLFSSPPLNHSQINRMETMPVPMPMPMTMIKPAASGCQDFGMDHSRVRGFNPGFMSTSTSTTSSSGKESDGSVKKKRKLASYFERLMKEVLDKQEDLQNKFLEAMEKCEKDRIARDEAWKMQEIARLKKEQEALAHERAISAAKDAAVIAFLQKVSDQTIQLQLPTDLPHRHTEERESESMKTIGNQENVVMQQDNDKENIDKQEIDSAGENSNSFQTNSSSRWPKAEVEALIKLRTNVDLQYQDNGSSKGPLWEDISCGMKKLGYDRNAKRCKEKWENINKYYRRVKESQKKRPEDSKTCPYFHQLDSIYQNKSKKQLPIMETPGSNMKAGEILMQIINQQQQQQALERTEC >Solyc05g056500.1.1.1 pep chromosome:SL3.0:5:66618305:66619510:1 gene:Solyc05g056500.1 transcript:Solyc05g056500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4C2X4] MKEPEVGIPILFRCPISLDLFKDPVTLCTGQTYDRSSIEKWLSCGNLTCPVTMQKLHDSTIVPNTTLRHLIDRWLQINSSHGYDLDYFQTVDDSFVVLKHNLQSKESTLESKVEALDKVLALSKDLPFENCPLIQLDFFQMILELALNDADERSSFEENVMFVEKALVCGLKLLPFSDLGTLNMLKQDESKFTILFKKGSFMIKKSLCHYIVAISSSLQLKELLVMFGKSKILVQELVHLIENKLDGYEESIKALSALSSVEQNREVIAKENAVKALITYIVNAPKCEYRSLFGLVMAMKTIEALLVVESAIEDVLDHPNGVSEIVKMVFRVSSDNEASESAMNCLIILCQDFINAREEAICSGILTKLLLLLQSQCSERTKNKARMLLKLLRSMCNGDTK >Solyc07g007080.1.1.1 pep chromosome:SL3.0:7:1852578:1852850:1 gene:Solyc07g007080.1 transcript:Solyc07g007080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAEMMLQCVFDGSLSMSDMNIERRPYHKNCSCAMHKQKGENTTTCVHGRNVSFPKRQNQKDMTLSIAASRFSSPSSSCNNSVVTSV >Solyc12g089170.2.1 pep chromosome:SL3.0:12:65268653:65269689:-1 gene:Solyc12g089170.2 transcript:Solyc12g089170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSTWTREENKMFENALAIFDKDTHDRWSNVAKATGKTEEEVKLHYQKLVEDIENIEADLVPLPKYNESEVSQDQ >Solyc01g104437.1.1 pep chromosome:SL3.0:1:92775274:92778165:-1 gene:Solyc01g104437.1 transcript:Solyc01g104437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENLLQENGRVMKLECTILGKFGYFVFMHVSLQNSLAEHLVRVEVHTGGSLTDNWINFSFGKYASTCELN >Solyc10g047825.1.1.1 pep chromosome:SL3.0:10:42762007:42762012:-1 gene:Solyc10g047825.1 transcript:Solyc10g047825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding G >Solyc01g098780.3.1 pep chromosome:SL3.0:1:89086058:89089483:-1 gene:Solyc01g098780.3 transcript:Solyc01g098780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase complex subunit 3 [Source:UniProtKB/TrEMBL;Acc:K4B0R5] MHSFGYRANALLTLAVTILAFMCGIASFSDNFNTPIPTTEVQVLNINWFQKKPDGDDEVSLTLNISADLQSLFTWNTKQVFVFLAAEYETPKNALNQISLWDGIIPTKEHAKFLIHTTNKYRFVDQGSNLRGKAFNLTLHWHVMPKTGKMSANKLVMTGYRLPETYR >Solyc12g089040.2.1 pep chromosome:SL3.0:12:65174306:65176608:1 gene:Solyc12g089040.2 transcript:Solyc12g089040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAAKIYTGLRAQGNYNLPKHCDNNEVLKALCTEAGWIVEPDGTTYRKGCKPTPMEIGGTSTNITPSSSRHPSPPSSYFASPIPSYQPSPTSSSFPSPSRADANMSSHPYSFLQNVVPSSLPPLRISNSAPVTPPLSSPTRHPKQTFNLETLAKESMFALNIPFFAASAPASPTRVQRFTPPTIPECDESDSSTIDSGQWINFQKYASNVPPSPTFNLVKPVPQPLRPNDMITDKGKSIDFDFENVSVKAWEGERIHDVGFDDLELTLGSGNARI >Solyc12g013665.1.1 pep chromosome:SL3.0:12:4508174:4512220:1 gene:Solyc12g013665.1 transcript:Solyc12g013665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFVKSLYNKHLLEVGMEGFYGDSIPKRKLVNPGYQVGTDFISNHFINEIDFATIHAYTDQWYVICTLSGQSDEAQLVWMEKWIRSHWEDARNILKKPLVLAEFGKSSRSGEGSRDIFMSSVYRNVYNLAKEGGTMGGSLVWQLMAHGMENYDDGYSIVLGLNPSTTQIISNQAHIMTALAHSLNS >Solyc07g043160.2.1 pep chromosome:SL3.0:7:56915710:56921364:1 gene:Solyc07g043160.2 transcript:Solyc07g043160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKAELVFIPSPGLGHVASAVEFAKLLLATNERLCISLLVMKHPADFGVQSYLQSLPSLSRFRFVDVSIDPKLVVPLLSNKDRFLYDFIDGHKHKVSEFVTSNNSTPSQFGSTQLAGFVLDMFCISMIDVANEFCVPSYIFFTSAAAFLALSFHFEALRNNFKFDYSESDEELSILGFKNPYPAKVLPRPAKAITPSSTLYYDGIRRFRETKGIVINTFAELEPFALQSLSDAKMAPPIYPIHAVFNSDDNEEKQEIESIVEWLDNQPNSSVVFLCFGTMGSFEPEQVKEIAIALEHSGHRFLWSLRRPPPKGKIEMPSNYDNSEEVLPEGFLERTKGIGKLVGWAPQVTVLSHPAVGVFVSHCGWNSTMESVCCGVPIAAWPLYAEQQMNAFLLVKELGLAVEIRMDYVKDFEGKNPVDINAELVIIPSPGVGHLVPAVEMAKLLIAREKHLSITVLVMNMNLNPYIPITSLKC >Solyc04g074240.3.1 pep chromosome:SL3.0:4:60317684:60321878:-1 gene:Solyc04g074240.3 transcript:Solyc04g074240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSAFAAGFVVILMVFEGMASPETANVSVRSVCPLKSVKDSIFMGFQDLTCPLDGIQSSYVAEVIQGDELSLQRALSMIHRNTHDYVALLFYASWCPFSRSFRPKFSIMSSLYPSIPHFAIEESAVKPSTLSKYGVHGFPTLFLLNSTMRMRYHGSRSLDSVIEFYGGTTGFHSASVDATSLGKMGCSSNLLKLHGSDQENCPFSWARSPENLFQQETYLALATAFVLLKVLYMIFPALRKIAQIGLGGCILQIRIRSLWELPLLYLNRAVQLCNSLKEPCKRSNLQEGAMNAKAWASKSLASVSFGESSASRVEPVSSTH >Solyc12g019220.2.1 pep chromosome:SL3.0:12:9700546:9710543:1 gene:Solyc12g019220.2 transcript:Solyc12g019220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLITKKHMSLLVLVIMYLFHLSSGMKITYNVQSYGAKPDGKTDSTKAFLNAWGAACASTKSVMIYVPQGRYLLANAAFWGQNCKNKDTTIKIDGTLVAPSNYNVIGNTGNWLKFERVNGLKISGGTFDGQGANLWTCKTSGKSCPNGATTLAFYNSNNIVISGLTSLNSQMFHMVIDGCQNAKLQNMKISASANSPNTDGIHIAASTGVTILKAVIGTGDDCISIGPGTSNLWIENVSCGPGHGISIGSLGWSMQEAGVQNVTVKATTFRGTQNGLRIKTWARPSNGFVKGVLFQHSVMVNARYPILIDQEYCPDQKNCPGQVSGVKISDVTYQDIHGTSTSQVALKLACSEANPCQGIRLEDVNLSFKNQQAQALCSNAAGTSYGVNKPGTKSNGKIDSSTAFMSAWDAACASTSPSTIYIPQGSYLLKNAYFQGQKCKSKSITLRIDGTILAPSDYNVNRNEENWIKFERVNGISIYGGTLDGQATSLWACKTSSNNCPQGTMGVTFSNSSNINIIGLTSQNSQMFHILVDNCENVKLQGVKISAPGNSPNTDGIHVQYSSRVTIMNSRIGTGDDCISIGPGTSNLWIENIECGPGHGISIGSLGWELQEPGVQNVTVKTVTFKGTQNGLRVKTWARSSYGFVKNILFQHIVMMNVQNPIIIDQNYCPNNENCPHQISDIKYRDIRGTSATEVAVKFDCSKKYPCSGISLEDVNLSYKNQPAEASCANAGGRAFGFQKPNSCL >Solyc12g014130.2.1 pep chromosome:SL3.0:12:4939179:4946385:1 gene:Solyc12g014130.2 transcript:Solyc12g014130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFCLKTMIGLKRNKRRKSKQLKITSTSTAFDEPKGDVQVPSAHYSNGTSSSKKITCKTKFTHNTAATKIQTAYRAHLARKTLRRVRGAVRFQGVIEGLSVNNQISGTLKQIHCWSKIQSEIRARRLNMVTQGHNKQKKIQNQQKLEAKLHELEVEWSSSAETIEEILQKLQQREEAATKRERAMAYAFSHQWRANSNKYFGQAYYDLGKESWGWSWMERWIAVRPWETRVQTNPIVPKTSHSQQVAKITSKATNLGPMKLVVSIKNH >Solyc06g005980.3.1 pep chromosome:SL3.0:6:964999:972377:-1 gene:Solyc06g005980.3 transcript:Solyc06g005980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLPICHRNILPISRSSTYARLHCCSSSLRHVIDEKRFIEVSKTGNLIPLYTTIFSDHLTPVLAYRCLVKEEDHEAPSFLYESVEGSSVGRYSVVGAQPSMEIIVKEHNVTILDHHAGKLTEKTVEDPMTIPASISNGWKPGLIDDELPDTFCGGWFGYFSYDTVRYVENNKFPFLRAPEDDRNLADIQLGLYEDVIVFDHVEKKAHVIHWVWLDQYSSLLEAYLDGKKHLEILMSRVQGIKSPRLSPGSVDFCIHEFETSLTQKNMTCVEYKNAILQAKEHIASGDIHQIVLSQRFERRTFADPFEVYRALRIVNPSPYMTYIQARGCTLVASSPETLARVKKRRIVNRPLARTTTRGRTPDEDLMLEMQMLKDIKPGFVNVEKLMSVKRYSDVMHMSSTVSGELLDHLTCWDALRAALPVGTICGAPKVKAMELIDQLEVSRRGPYSGGFGGISFSGDMDIGLALRMMVFMNGPRYDTMYSYTDASKRQEWVAHLQSESRIVADSDPDEKQKECEDKVASLCRAIDLAELTFVKGRPSVVKINGSVPNVFSRGRVHEKKETNYPNEMRMNSEYLSNSVS >Solyc09g018530.2.1 pep chromosome:SL3.0:9:15151772:15152393:-1 gene:Solyc09g018530.2 transcript:Solyc09g018530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKDNDIILWDVVGETGMFRLRGHGDQVINIAFLGSGKTLVTTSKEKFLRVWDLDTQHCGMQIISGHHTEIWSIDIDLEERYLVRSYFKEFIGYLSIVFEQ >Solyc01g097870.3.1 pep chromosome:SL3.0:1:88446054:88448953:1 gene:Solyc01g097870.3 transcript:Solyc01g097870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:K4B0H4] MADKAVTIRTRKFMTNRLLARKQFIIDVLHPGRANVSKAELKEKLARMYEVKDANAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKVRGVKKTKAGDAKKK >Solyc08g036510.1.1 pep chromosome:SL3.0:8:11161214:11161615:-1 gene:Solyc08g036510.1 transcript:Solyc08g036510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPHTAHLGMESREILCRAPKPNSLFDYHQPEATLRGTHFGLLIPFLDRFEALAKIKLIYLIFKDEIDAVFLVLGRARQPHAEERSPFQILARAFFFQRFD >Solyc06g059800.3.1 pep chromosome:SL3.0:6:37821554:37829376:1 gene:Solyc06g059800.3 transcript:Solyc06g059800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSYGPYFDPEYETLSIRINPPRVSVDNATCRDCTLVKVDSINKPGILLEVVQILSDLDLVITKAYISSDGGWFMDVFHVTDQHGNKVTDNNTIGHIEKALGPEGYTSGILKTCPGRKVGENSMGDYTTIELIGRDRPGLLSEISAVLANLHFNVAAAEVWTHNGRIACVLYINDNCSSLDEDEESRLCVMEEQLNNILRGREDDESGAHATFSVGSTHVDRRLHQMFFADRDYEGGCLEMEIEYPPNSKPDVRIESCVEKGYSVVSVSCKDRAKLMFDIVCTLTDMQYAVFHATISSDGPSASQEYFIRHMDGCTLESEAEKEKVVKCIEAAIRRRISEGFSFELCAKDRVGLLSEVTRVLRENGLSVTRAGVTTIGEKAKNFFYVRDASGNPVEMKTIERLREEIGQTMMLNVKKDPTSAKAPETGGLAKTSFFFGGLLEKFRT >Solyc08g077450.3.1 pep chromosome:SL3.0:8:61470898:61478838:1 gene:Solyc08g077450.3 transcript:Solyc08g077450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSPALSNSADNAPSPAPAPAVPTATAAAAFSSLPTTNASKNLRGLNKPKCIKCGNVARSSRCPFQSCKSCCAKAQNPCHIHVLKGGSTLPDKIPASGSPVVDQQSTEASHAGSSHRAVSLRQLSTNFAQFNNLQTPLRSRRPLSRKDAQVINEWRFMQLKEYRESNIAAENEAFDRYMQNIGLLEEVFGVNSAEDENNEDGSTEDENEAMMNRVKLQLRSDPVRIENTRKRMQYVIDQGLRKGRKLEAGDNATDLNDLDALGKKKKAKTAEAEHVAAFADLMDKLNKARNEEDLKVCWEMKSQLFNQPKKEKQTEPEDAEVSNEQSLKTSDPPIMPWGHSQPKWFSTVTIDDEELRRINEEFDSLEDIEEL >Solyc03g025640.2.1 pep chromosome:SL3.0:3:3060324:3066682:-1 gene:Solyc03g025640.2 transcript:Solyc03g025640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFIQFASMLGMVTILFVLCKVLYSWWIMPKLMFRKLKANGFEGPKPNFPLGNINDMKKELMMKRTCFTSSSSSINEISHDIHSLAFPYFATWQKLHGKVFTYWLGTEPFVYIADPEFIKKMSAGVMGKTWGKPTVFKRDRKAMFGKGLVMVEGDEWVRHRHIITPAFTTANVKGMANLMVDSATHMLDRWARLIDSGNAEIDVESEIIDTAGEIIAKTSFGISYEECEKVFKKLRNMQVTLFKSTRYVGVPYGNQIMCLKETLKAHNLGKEIDSLLISIIEERKRKSVDRTEHDLLSLLLAGNGRMLSTREMVDECKTFFFGGHETTALALAWTLLLLAQHPEWQNQLREEIKQVMGDDGEIDVTKLVGLRKMGWVMNEVLRLYSPAPNVQRQAREDIKVDDLVIPNGTNMWIDVVSMHHDKTLWGEDVNEFKPERFKDDIHGGCKHKMGFMPFGFGGRMCIGRNLTMMEYKIVLSLILTRFSFSISPNYKHCPSIMLSLRPTLGLPLILQSL >Solyc10g086460.2.1 pep chromosome:SL3.0:10:65396168:65398092:1 gene:Solyc10g086460.2 transcript:Solyc10g086460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVDDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVNNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNCPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTEHLAKILTERGYSFTTSAEKEIVRDMKEKLSYIALDFEQELDMVKSSSSVEKNFELPDGQVITIGAERFRCPEVLFQPSTIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEFDESGPSIVHRKCF >Solyc01g057170.3.1 pep chromosome:SL3.0:1:59422333:59426579:1 gene:Solyc01g057170.3 transcript:Solyc01g057170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTETHVTLVFQLWRNHFNSA >Solyc02g011815.1.1 pep chromosome:SL3.0:2:14141274:14142273:-1 gene:Solyc02g011815.1 transcript:Solyc02g011815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFFLFSLNWNVVNRNSVFFDTNNGSRSSILRIYLYPQFKLVDSTIYLVSYRVSENHVQITTVEFQELNFTNKIRRHTSHFELTKKDYLSQRGLKKILGKRQ >Solyc11g011980.2.1 pep chromosome:SL3.0:11:4926748:4943056:-1 gene:Solyc11g011980.2 transcript:Solyc11g011980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISISAMSFGTSVSSYSCFRARSFEKSSVLCNSQNPCRFNSVFPIRKSDGASRCSVSRKSCRVRATLLQENEEEVVVEKSFAPKSFPDNVGGGSNGKPPDDSSSNGLEKWVIKLEQSVNILLTDSVIKILDTLYHNRNYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYMKVHFAESWNEMHHLLIMEELGGNAWWFDRFLAQHIAIFYYFMTVLMYALSPRMAYHFSECVESHAYETYDKFIKDQGEELKNLPAPKIAVDYYTGGDLYLFDEFQTSREPNTRRPKIDNLYDVFMNIRDDEAEHCKTMKACQTHGSLRSPHTDPCDDSEDDTGCSVPQADCIGIVDCIKKSVTDTQLLMKKSARQMAKSATPVEQLRQAIQQGCEVSVKELDSLLSLLMDKKRKMEQEEAETNLQILLEFLQCLKKQKVEELNEIQNDLQYIKEDINAVEKRRIELHRTRGRYSAKMRTLVDDSSAMTVRPPLRDKGSGAIVSSPVNLQEQGRAGTSQTRNTDTRAPRNSQIVQRKDDNHGGSDSQDLNQPGKSLARKRRVHAQFNELQDCYLQKRRYWARQSQKQGERVANIKNREGYSAGLEDFQSVLSTFTRYSRLRVVAELRHSDIFHSANIVSSIEFDRDDELFATAGVSRRIKVFEFSSVVNKPADAQCPVVEMSTRSKLSCLSWNNYTKNHLASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSVDFCRTEPSMLVSGSDDCKVKVWCTKQEASVLTIDMKANICSVKYNPGSSAHIAVGSADHHVHYYDLRNISQPLYIFSGHRKAVSYVKFLSNYELASASTDSTLRLWDVNENVPLRTFKGHTNEKNFVGLTVNSEYLACGSETNEVFVYHKAISKPAARYRFVSDDQNDPDGDMGSFFISAVCWKRDSPTIVTANSRGTIKALVLAA >Solyc02g077710.1.1.1 pep chromosome:SL3.0:2:43162005:43163033:1 gene:Solyc02g077710.1 transcript:Solyc02g077710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIVKSFSVIFLLALFTSLQVLHARDGQFFNKVPSNNGEKEAATVVPNKEQQEPNFMPENENGAYGLYGHESVSTPSTTTNTNDNNFPNSKYLPKNYNPVSYVTVAEDNTNENTFNDNFSSKHSIDASTSTTNLNNNQFYSGPTNYRSNSNNNQQQQYYHGVSDFSTKSHNSNNNYQQQQFYSGDSFYSNNQQYNNNDNNEEEYYNASNTYYNNNYEPQQEFTETRLSAKSYNTNPTNYNNNQEQSYSTNYNSNEEQSYNTGNSNYRVHQQQGMSDTRFLGNGKFYYDINAGRHARDPYENAKEFAAMNQQYNNKNTYGNNEYSNNNNFENEDFQDDENMP >Solyc09g064277.1.1 pep chromosome:SL3.0:9:61682607:61685174:1 gene:Solyc09g064277.1 transcript:Solyc09g064277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPAATSNTSRKRARKSTPSCRRIWTPEEELTLIVGLKELCVNGWRGDNGTFRHGYLMELEHYMNARHPSCGLKFLPHVDSKIRAWKKSYATISLLKSRSGLGFQYSDESILVDYPKAWDDLIKVDPNAKSMNLKKWPLFADWEEIFGKDRATGEFAEGPEDAVEEIERIESQEITNGMSVRFPIDVVDKDDASGTRENQAAQEEPNVSTGATQSPFTAQAEPNESTGAAQSSFTATKGETHQSQKKGNCFKASSSKVNEKGRCKKRKTVEGDNETVLKGLMEVMKQFTESHDKRMAFLIDKLGERDLSEIRGKIFSIIGSPAYEIYNSNERVKAAMGITQDIKRMEFFLSISELECHSMIWMIINDKL >Solyc05g056295.1.1 pep chromosome:SL3.0:5:66483833:66489708:1 gene:Solyc05g056295.1 transcript:Solyc05g056295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase [Source:UniProtKB/TrEMBL;Acc:Q84T86] MTTSFGTTATPAASASPAVFPAKNAPKSLTLLPFCHHRHYNHLRSKLSITPKLRFSCKGLQSNWNHSVVTRAQSNEVALGGSSNNAATPITQSEEVKSPSETSSATSVSEEAISNFISQVSSLVKLVDSRDVVELQLKQFDCEILIRKKEALPQPPAPVPAQAPFIQSYHVPSIQSNASPPPAPAPSPAPIQTPAPSPAAAKSADSSLPPLKSPMAGTFYRSPAPGEPAFVKVGDKVQKGQVLCIIEAMKLMNEIEADRSGTIVEVVAEDGKPVSVDTPLFVIKP >Solyc06g073610.3.1 pep chromosome:SL3.0:6:45559103:45563095:1 gene:Solyc06g073610.3 transcript:Solyc06g073610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDAVPKILPKGGGGGEVKKKNGQANGRTRRALGDIGNIVTGPAVGAKPQTKVSHPITRRSAAQLIAKGQEPVQKNKTKPLVTKGVAARKVGVPAKAEAIKKDYVKAKAGTISGICPEEDVKTIEKIPLKERKVRKSGKTLTSILTARSKAACGLSNKPRSQIVDIDAADIDNHLAGVEYVEDIYKFYKLTEDENRPCDYMDSQPEINDRVRAILVDWLIEAHKRFELRPESLYLTVNIMDRFLSEEPVPRRELQLLCISSMLIASKYEEIWAPEQVNDFLTITDNAYVRDQILLMEKVILGKLEWYLTVPTPYVFLVRYIKAAVPSDQEMENMTFFLAELGLMNYTTVISYCPSKIAASAVYAARSTLNRSPRWTDTLKHHTGYTEDQLRECAKQLVSFHFGAAENKLKAVYRKFSSPDRCAVALLPPARDVHIGSS >Solyc06g030653.1.1 pep chromosome:SL3.0:6:20449428:20450858:-1 gene:Solyc06g030653.1 transcript:Solyc06g030653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLKLKKILLKKAWLKILGKLETVNKKFFYQVRICQFASVCFQIKELRQLKHFLGFELIVMKMKFKRYFKDLLMKFGMLNCKPISTPLEPNARICADEGKDLAYVTMYRQLVGKMIYFYHTRPKISFAVGVMSRYMHNPKKHPIEVKNSSWLDIVTLNMQGVMIPNVQKLTIWSDFVVKQKATNCVGVNNRSKV >Solyc11g006280.1.1.1 pep chromosome:SL3.0:11:1020314:1020526:-1 gene:Solyc11g006280.1 transcript:Solyc11g006280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKIILYCVSTLLLLVSIGISICFYVRDKPDNQSLFPNSTHQATHGDAVLAPPANGGGVPSAPPYVAGI >Solyc06g068030.3.1 pep chromosome:SL3.0:6:42278130:42282112:-1 gene:Solyc06g068030.3 transcript:Solyc06g068030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTACFMIVSRNDIPIYEAEVGTAPKKEDAAHQHQFILHAALDIVQDLAWTTSAMFLKSIDRFNDLVVSVYVTAASIHTRLMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Solyc06g011377.1.1 pep chromosome:SL3.0:6:6989998:6991588:-1 gene:Solyc06g011377.1 transcript:Solyc06g011377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAKVVSTPLAMHFKLSMKQCPSNNDEKEDMKKVPYASAVGKPTLCGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEGELIVVVEACKEFLWMKRFLGELGCAKESYE >Solyc09g064570.1.1.1 pep chromosome:SL3.0:9:62084212:62084952:1 gene:Solyc09g064570.1 transcript:Solyc09g064570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHNYMSSILLLAFIYFMHDNMITTTSARQILQTSSFSKPETPSFSKSETPFFSKPQKSSFSNHKITSFSKPETPSFSRPEIPSFTKPKTPSSSKSETPSFSKPDKSSFSKPEKPSFSKPEIPSFSKPQKRSFSKPETPSFSRPKTLSFLKPDTPTFSKPQKPSFSKPKTPSFSKPDTPSFSKPQKPSFSKTETPSFSKPKTPSFSKPDTPTFTKPKTPSFLKSEIPSFSNPQTPTSSKPEIPSF >Solyc01g044371.1.1 pep chromosome:SL3.0:1:43236481:43237592:-1 gene:Solyc01g044371.1 transcript:Solyc01g044371.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKEMISLVSTSPFLIVIRAPGMDVRKVPLAEPSEVANGVSVWEWSGSAFDEGDEASDWFSKYLGKPSHLVRFNEASQSRPTDPNYASGYKIKFNDAYPFLLISQKSLDVLNEQLKEPVSINRFRPKYHLFLIQSFIGRI >Solyc06g071770.3.1 pep chromosome:SL3.0:6:44342289:44346719:1 gene:Solyc06g071770.3 transcript:Solyc06g071770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKASSAAREIAELSKALSVTSLSYLKQACPVSGVPMGSVKSGEPSQAANPEELTVKTAGRPKSHTVSINASELKSSQPDQNGIQCEPLSKSPKRNSSLVDGKKEEGNKFGDSHLVGKALGNSDPSASTTGPKKTADKQQTENHPGAEPVGVVGLSGKLSGGFRSPISYWMPMVPVSNDTIQPQYSTFRIPVKRSHNHSDGTGSIFHRGVRCDGCGVHPITGPRFKSKVKEDYDLCSICFAQMGIDADYVRMDRPVSYHHPIAFKALHEPHDIFRGCGVKSPKLDSRFKHDVNVLDGTMMAPSTPFTKVWRMRNNGNIFWPQGTQLVWIGGDRLGDAVSVELQIPSFGLAVDHEFDVAVDFRAPKLPGRYISFWRMALPSGEKFGQRVWVLIQVDFSMIPKKEFSYEASQVLDLNLPPAGYDIAGSEYINVNADMTIEDIIADPKISNPATGSVEPVVDGNRNNEEFKSCISPSAAGSSISYPIDLSEAAPEVTSVAPPSVVEVQASPQEDVEMSLLKELDDMGFTQVNLNKEVLRMNEYNLEQSVADLCGVSEWDPILEELEEMGFHNKEINKTLLKKNNGSIKRVVMDLIAGEN >Solyc09g015495.1.1 pep chromosome:SL3.0:9:9225123:9226681:-1 gene:Solyc09g015495.1 transcript:Solyc09g015495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPPDVKEVLDTFKVEAELGSDSLGAYVISMASNKDAGLAVAGELGRPCPGGTRANVKPMNCLFSFHLMLVMVGYFDSGKDAGRFTAAWELYKAQEDVVVACNEYGIKITLFHGRGGSIGRGGGPTYLAIQS >Solyc11g069400.2.1 pep chromosome:SL3.0:11:54283405:54290159:-1 gene:Solyc11g069400.2 transcript:Solyc11g069400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein disulfide-isomerase [Source:UniProtKB/TrEMBL;Acc:K4DA96] MTSRLILLLFLSSLLIFSVLLTPSLAGTGADDDDDEDLSFLEADDVNDAAPEHTFPTETFSDDDDFDDDEDFENYDDFEVPSAFDDEKEEEGVKFDDKDVVVLTDRNFSDFVEDNKYVMVEFYAPWCGHCKELAPEYAAAATELKSENVPLVKVDATVENELAESYEVQGFPTIYFFVDGEHKTYSGQRTKDAIVTWIKKKIGPGVYNITTTEDAERVLTSGDKVVLGFLESLVGPETDQLAAASKLEDDLNFYQTTNPNVAKLFNVEDTVKRPALVLLKKEEEKVVHYDGQFTKSAIVKFVSANKLPLVTVFTRESGASIFSSPIKKQVLLFARTNDTDKVFPTFQEAAKHFKGKLIFVFVNMDDEEVGKPVSDYFGATGDSPKVIGYTGNENPRKYIFDGEITVEKLKAFGEDFLADKLKAFYKSDPIPEDNEGDVKIVVGNNFDDIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLAKHLRGIESLVIAKMDGTTNEHPQGKAEGFPTLLFFPAGNKTADPIPVDSDRTVVALYKFIKKHATIPFKLQKPASSTTTSESPEAKVGGNVESKNEKDEL >Solyc02g062310.1.1.1 pep chromosome:SL3.0:2:34447361:34447759:1 gene:Solyc02g062310.1 transcript:Solyc02g062310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDFMLSELGTNNIKAITTEVEGESSQIFQRYTMEKVEEIADGNNMVCHKINYPYAVHYCHVGGRTKTFMVSMIGVDGTKVKALSVCHQDTSFWNPKGLPFVVLNVKPGTTPICHFLLNDQIVIFPSKKATN >Solyc06g024200.1.1.1 pep chromosome:SL3.0:6:11650424:11650630:-1 gene:Solyc06g024200.1 transcript:Solyc06g024200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFDFGRGGVWGDESERQRAESQWIVTARPLCHLQYPIAYLCPMQRILPTARWKLYFKVVTTKLSSR >Solyc02g021470.3.1 pep chromosome:SL3.0:2:23333485:23353191:-1 gene:Solyc02g021470.3 transcript:Solyc02g021470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNLYQRIEKECESHIAAALRSLVGQSEDLVVFLSLVERCWQDFCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLASEVEHKTVFGLLQMIETERLGEAVDRTLLNHLLKMFTALGIYAESFEKPFLERTSEFYAAEGVKYMQQSDVPDYLKHVEVRLHEEHDRCLLYLDASTRKPLIATAERQLLEQHISAILDKGFTVLMDGNRIEDLQRMYMLFCRVNDLESLRQALSSYIRRTGQSIVLDEEKDKDMVPSLLEFKASLDTIWEESFSKNEAFSNTIKDAFEHLINIRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPTGIEMSVHVLTMGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEYPKGKKELAVSLFQTVVLMLFNDAENLSFQDIKEATGIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDDDTFVFNDQFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Solyc03g005010.3.1 pep chromosome:SL3.0:3:16729:28524:-1 gene:Solyc03g005010.3 transcript:Solyc03g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFFSPPAPPVHRITCQALSVAVPSVAVAVAPLPVNPNPSLSCALNCTHFQSCSGCTQEYNLHHPVVIDEAIGFFNKLGIKDFSFDSCRLWGWRCRAKLAVRGSSTNPLIGLYEEGTHNVVDIPDCKSHHPNINAAVKLLKKGLFLILLPIHVNELYILFLLLIWIFHPGIIELNVEPYDEDQGTGDLRYVQMAVTTHDTSLPIPERYKNGKVQVSLVWNSRSENSANTAKLNALANIIFGNRWRHLLGERDFWEHIGGIDVSLAPSSFGQANTRAFDSLLRKLQKYVPQGASVVDLYAGAGVIGLSLAVSRRCRSVKCVEVNKESRQSFEKTVERLPTNVESNISWHHADASIEPTSWLLGSDVVVVDPPRKGLDPSLVKELRHISAVELRTFKRWSEGNSMKPEKVKDEKRPWILRAREDSIQIESTTVHEENQSLPQTLIYISCGWESFKEDCSSLLASKEWHLHKAHGFNFFPGTQSIEILAVFKRGRGASTKKKKSGKKQKKPH >Solyc11g021050.1.1.1 pep chromosome:SL3.0:11:13309853:13310011:1 gene:Solyc11g021050.1 transcript:Solyc11g021050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPLILIFSRSFTVTICISSLIFNFSILFIKLSLLIDLLSNQVYEQNGCKR >Solyc08g062420.3.1 pep chromosome:SL3.0:8:51135412:51142246:-1 gene:Solyc08g062420.3 transcript:Solyc08g062420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIAGLVAGSHKRIRHDSDCGMDSEANEMQHKQERLRTRWTPSLDKVFADLVVEQIKLGNRPNNVFDKKTWNYIRDEFNRQTNLHFNNNQLRKHLDVLRTRYYNLKSASDQNDALDDSCYIGFDLWEDIGAQPKPESSKTKECPIYEQLCTIFADSGADGKYAQSSHYEGLDKSAGIDISFKDSGNLAPPSSTPLNSTATLPQTTTRTVAGKKRKCPSDIVPASGQNCGDREIIDAMVEAMGDMIAASKFQTVVMPQVDGRFTIRKCIKALDEIEGIPENLYYAALDLFDNPSLREMFICLNNSSMKLTWLQGKCTSLSSFIFPPGLQH >Solyc07g017260.2.1 pep chromosome:SL3.0:7:6592925:6600264:-1 gene:Solyc07g017260.2 transcript:Solyc07g017260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRYPTTVRTLRNLMENSSPTATQQKVHFHYNHTDSCKFSRWTSRECYEFMYARPWHKVVDFYADMVKGHISLSGLFGKETPADHEDAEIREDHEKSELVNIPVKDKSRDKSGRWARANFMIVLSYHGGSFDGWQKQPDLNTVQGLVERSLGEFVDEKKAQLLKDKNLPLEACALVAGRTDKGVSASQQVCSFYILFNISSFLLSSLKQTLQLQCMLKALAYLGSKSGIFSF >Solyc06g072490.3.1 pep chromosome:SL3.0:6:44851343:44854024:1 gene:Solyc06g072490.3 transcript:Solyc06g072490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:K4C8W9] MAVGKNKRISKGKKGGKKKAADPYAKKDWYDIKAPSVFEIKNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQKDEDQAFRKIRLRAEDVQGRNVLTNFHGMDFTTDKLRSLVRKWQTLIEAHVDVKTTDSYTLRMFCIAFTKKRPNQQKRTCYAQSSQIRQIRRKMVEIMRNQASSCDLKELVAKFIPESIGREIEKATSSIFPLQNVYIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLDRPAEETVAEAETEVPGA >Solyc06g083970.1.1.1 pep chromosome:SL3.0:6:49302850:49303146:1 gene:Solyc06g083970.1 transcript:Solyc06g083970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIIAPFFVLVLLLSRIETNEAANLKKFPAPSPLPGRSPAPHSGVSPSPQPGGGVTGKNVVGAPSPLPSTSKKVSPLPSTSKQNNVPSVSKKSTTRA >Solyc03g095783.1.1 pep chromosome:SL3.0:3:58551631:58552122:-1 gene:Solyc03g095783.1 transcript:Solyc03g095783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDSLKKKKGVQPFPSMAWLSFRNLLHTFVKEYLFSLSNAVGTTIHLDQAMIKRTRPSCARVKVLVDLKGSVPKYVIMNIEDEVNGEVRPTVVDIRYDYVFKRMQ >Solyc10g017495.1.1 pep chromosome:SL3.0:10:5435384:5436789:1 gene:Solyc10g017495.1 transcript:Solyc10g017495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPEVYRRESYGKSVDVFSFALIVHEMFLGGPSNREEAAEKVADKTAYEDYRPHLASYIFPEQIKTLLRECWHKNPDRRPSFQEIIDRLEKIHVTLQEKIVLGTCCSCMIL >Solyc01g108010.3.1.1 pep chromosome:SL3.0:1:95285124:95289077:-1 gene:Solyc01g108010.3 transcript:Solyc01g108010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPTSSFGLSSESVEQSGKLMANTNAKALATFSLQSPNSSQGPVAILWDIENCPVPSDVRPEDVAGNIRMTLRVHPVIKGAVTLFSAYGDFNSFPRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFAPALHILGQRGYTVILVIPSGVGVSSALCNAGRFVWDWPSVVRGEGFVPPAKALMPCRGGVSDITGILMGCCQINDNPDGQQEDEAILYRGLSQSYYNSREFSMISHSLSEYNTTAISMPCYPTGMRTHSLPSGLNEVSAGGSSSHEQSDLTWVQPGDINGLKGQLVKLLELSGGCLPLTRVPAEYQKIYGRPLYISEYGAAKLVNLLKKMSDAISVGGKGQKKFVYLHNSCAVPSAPPITILKRDNKGKGTQEGNADVVTGVGSSDEFSDDERGPIKEHGGSCEKSNMVEKSLENFKYELQEILVSYSCRIFLGCFDAIYQQRYKRQLDYESFGVVELEQLLAKVKDIVIVQEEPVSKRKFLAAVGA >Solyc01g103330.3.1.1 pep chromosome:SL3.0:1:91846408:91847011:-1 gene:Solyc01g103330.3 transcript:Solyc01g103330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVFFSSPFFGGPSPLKSPLSEESFPSLFSARGSLSIFSGVTPVIFFFIVVTI >Solyc04g056570.3.1 pep chromosome:SL3.0:4:54476287:54481244:-1 gene:Solyc04g056570.3 transcript:Solyc04g056570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLAETGSSSSLWPCTYDVFLSFRGEDVRKNFVDHLYTALQQRGIHTFKDDEKLERGKSISPSLFKAIEESMISIIIFSQNYAASSWCLDELVKITQCMKLRGQIVLPVFYDVDPSVVRKQKANVGEFFARHELDFKDDEERVKRWRTAMTEAANVSGWDLPNIANGHESKCIEQVVECVMEILGHTASDATENLVGIRSRMGTVYSLLNLESGKVQFVGIWGMSGIGKTTIARAIYDKIFRYFQGATFLHEVGETSAKHGIQHLQQILLSELLLLKDLRINNVFEGTSLVRRRLNGKRVLIVLDDVNHGNQLDALAKSHDWFGAGSIIIITTKDKQLLRQYNVDKMYKVSLLNTDESIELLSSYAFQNRLPKSGYGEIIAEVVRYAGGLPLALKVLGCSLYGGGMIEWRETVERLKRIPEGEIVEKLKVSFNRLSETDQKIFLDIACFFKGKKKGSVIRILRSFSFTPVLGIRNLIEKSLVTVSKGRIVMHQLIQEMGWHIVRKEASNNLGKYTRLWSPDDILHVLSENKATEAVEGIWLHLPIPKDINVGAEAFKQTYNLRLLKIHNASVSVAPDDLPNKLIWLHWHGYPMKSLPASFQAERLVCLKMQYSRVVHLWKGVKLLHKLKFLNLSHSQKLVSCPDFTGVPNLEKLVLEDCSSIIEIHPSVGYLKNLVLLNLKNCKNLKSLPNIIRLDNLETLILSGCLKLENFPEIMSDMNCLSEVYLEATDVKELPSSIEHLTGLRLMNLGYCRNLTNLPTTIGRLKSLRILILSGCSKLEKLPEELGHIEILEELYCDETAIQSPPSSITLLKNLKTLSFHGCKGMVSQSWSSLFYAWLQPRKHNHKPTSLMFSSFSGLFSLRKLDLSDCCMLDEGIPSDLGCLSSLVELNLSGNNFVDISQASLNMLPRLRILELVGCERLERLPELPTTIEEVFADNCTSLMTDDMGILTNYKMLQRISFTNCVGLLQNQQTRDMATSLWLHLFKKCIVKSGHFSIYLPGEQVPEWFGYKLNGTSVSLQLPNDWYNDKFMGFAICVVSDQETTWLSVHEGYLQEMPGISIEFTIKSHLRRSTSCLMNIGFVGTNKNVASDHTCLAYVAFEEYWSMYKNHLDTPNNWYQIDFSANSLRKHIFLKSWGIRLVYTDDLQSFTS >Solyc08g006970.3.1 pep chromosome:SL3.0:8:1548900:1552406:1 gene:Solyc08g006970.3 transcript:Solyc08g006970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPITKPPSPACTKIIEEITRIYKSLPPRPSILEIEASISVVKSVETEEKIELDEISKRRVEIEESVPAELYSVLEKVRKAMVLFQSKEQKKEAVELIELDKTYQDFDELIQEATELVCEDTQMGKINSFEDPMVEIGKKDEVLKKGLVTSGELINVSSSGIKHKEKYSLMKVAALIENAAKTRARVVDLQNKLMDKIEWLPLSLGKLVNVTELNVADNQIMALPTTIGSLNGLTKLDLHSNQIINLPDSFGELINLTDLDLHANRLKSLPASFRNLVNLIDLDLGSNRFTHLPDFVGNLTSLKRLNVETNQLEELPYTIGFCSSLVELRLDFNQLKALPEAMGMLEHLEILTLHINRIKGLPTTMGNLSRLRELDVSFNEVENIPETFCFAVSLEKLNLANNFADLKTLPRSIGNLENLEELDISNSQIRTLPDSFRLLSKLKTFRADETPLEVPPRQIIKLGAQVVVEYMAEFVTKNELQLQRPKRRPFFCPSCLFPKTEGKRRI >Solyc09g014626.1.1 pep chromosome:SL3.0:9:6434351:6438325:1 gene:Solyc09g014626.1 transcript:Solyc09g014626.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGLNSHRYESTRNFKKNQKAKKKTFAKPGSLSFLANKKRRLLTTNEIIKEIECKKGHLLKLIGAEQSVAPQSEAKRQSIEELPFENQPVEELPVVQPVEELPLVQPVEELPLDQHGKDFPFEDQVQMNFVTPRTNDQPEEQAGDVSTPNKRGRTQMHDVHARKERKLIILNSQNQPVGPTDDVVIEVSSFLGTLARNATLCPFDILDWRSMDTKNDLWDYTKGKYIIPEAAYHWAMVTIRDAWRRHRSDLKLNYYDPYDNDAVRMAKKPGHIPECQFRELLKYWNSEKFKKMSETNAKNRKKMMNPQTTGKKSFALVRNKLEKDKETVSSKDLFVVTRTRKPGRLYKASNEDTTSKIGCAEMEEIEKQISINGEYVDAFSSVMGPEHPGRLRLYGAGVTKTTLKKKVGNSESTLSATTDGMQQMQERMQKMEKQMEEQKKIVRQEVIADVIAQLKHAGLIDPNILATLSTPSPRESTFV >Solyc08g076140.3.1 pep chromosome:SL3.0:8:60287373:60298389:1 gene:Solyc08g076140.3 transcript:Solyc08g076140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVASAPGKVLMTGGYLVLERPNAGIVLSTNARFYAIVKPLYDEIKPESWAWGWTDVKLTSPQMARETMYKLSLKQLKLQPVSSSGSRNPFVEHAVQYAVAAAHERLDKDKKDRLQTLLLQGLDITILGCNEFYSYRNQIEAHGLPLTPESLASLPPFASITFNAEESTGETRKPEVAKTGLGSSAAMTTAVVAALLHYLGVVNLSSLDEDQLQGKKDVADLNVVHVIAQTAHCIAQGKVGSGFDVSSAVYGSQRYIRFSPEVLSSAQFAGMATPLTEVIDDVLKAKWDHERTKFSLPPLMTLLLGEPGCGGSSTPSMVGAVKKWQRSDPQGSLETWRRLSEGNSALEAHLNTLSKLAERYYNAYECIINACSLLPAGKWLERANEPTQTEIVKELLGARDAMLRIRYQMRKMGEAAGIPIEPESQSQLLDATVNMEGVLLAGIPGAGGFDAVFAVTLGASCENVTQAWSSLNVLAMLVREDPHGVSLENNDPRAKEITTAVFSIQLE >Solyc03g096880.3.1 pep chromosome:SL3.0:3:60677401:60683596:-1 gene:Solyc03g096880.3 transcript:Solyc03g096880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETEGGMLECDTGSIVWVRRRNGSWWPGKILGTNELSATHLMSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEFDDCIKRAEASQGMPPKKREKYARREDAILHALELERLLLDKKYGPGYSSTDKNNKSTADFVGRESLTSSEYPENGNGRHIGPKCHQVSTRSVASLEDKSALNISSTEVPKDRNQLSGDVDNAGVLPRMRGLQDFGLSTAPAEHNHSLSLAFSGTDQPVLDSSALAVPDESNNAEVLVNVEKRSKLEKRKPSDDALVEDVLVKRRERRRPLAHVLQSSENLPVSLPQPESAFISTSGTGKELPRVESLTKNIRFRHLAVEPSNSLCVDEVHQSQIEFPTLKTEDNSCSHPAVLCEQNGCGSTEFTETDSTESDSLESDTDDELATLSDGAVSIELEPKYLGRSEALPEHDSMSSEEVDDFTLADGTSHPRHQESVSSGFGVSKWKLKGKRNNRSLNKRPIDSFDGDLARRPSHMSTFKEKGGYARLQDDVVTNSSVQMAGYGSKALGRASRNMLSWGDLSWDDQASIRGYWEEADEYFDPMSSYRHVGGRTMLVDVDLKVQSSYQREHVPMISLMSKLNGQAIIGHPIQVEILADGSTDSFLGDIDNYPETLDYDPSLQPTWRTARRTANVRVPRPHVSSAKDNPEGIKHVQGSDHHRNVRKATVQKASTTRKATSRPPLERTFSRKPGKKVSLSSNQKIRTLSSIASQQKQSSDSNSYQVDGALKQETLPTVVACIPVKLVFSRLNEELVGRRP >Solyc08g059713.1.1 pep chromosome:SL3.0:8:44919685:44920367:-1 gene:Solyc08g059713.1 transcript:Solyc08g059713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELLSDTSEYRSMVGALQYMTITHPDIVYALNVVSCSDDRISTTWFAISLGSNFTSWHAKKQPTVSRSSTENEYRFIDLTKAEM >Solyc08g065790.3.1 pep chromosome:SL3.0:8:54154899:54167859:-1 gene:Solyc08g065790.3 transcript:Solyc08g065790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVKINVASFLIALFVVLTEGRNVIERFDEDYEDSIGTKWAVLVAGSKEWYNYRHQANLCHAYQLLKKGGLKDEHIIVFMYDDIANNPENPRPGVIINNPHGHDVYKGVPKDYTGKDCNAQNFYSVILGNKSALTGGSGKVVNSGPNDYIFIYYTDHGAPGLVGMPEDPPVYAIDLNEVLKKKHASRTYKKMVFYLEACDSGSMFADLLDEGLNIYATTSSKPDEDGWATYCYFTGDTSCYGECPPKDFKDNCLGDLFSVSWLENRYIHKRVLNNGTHGSHMMQYGDLHINKDALSIYMGSNSPKHTSSANNNNASNSRHVNQRDVQLLYLISKFQNAPEGSRRKNEAYRKLSEVISEREHVDKSVKHIGQILFGVENGQKVLNIVRQPLVDDWHCLKSFVKIFESHCGSLTSYGKKHIRGFANMCNAGIQRDQMDAAAKQTCGYMSCLSITEKRRFKR >Solyc02g091730.2.1 pep chromosome:SL3.0:2:53610622:53614765:1 gene:Solyc02g091730.2 transcript:Solyc02g091730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEYERDECEEYEDLDEYEEESGAEEEETQQPPEELLEYLELRQRLKEGIRKQMKKELGSANGLSGEIKKALPRDNYGSFFGPSQPVIAQRVIQESKSLLENPNLVAKVMKSNHTNNKSSSSEHTRLESGTRNNAPEVNNGLKTKVQMLRNTRDYSFLLSDDAELPVPPKALPPSTKQSSSNTGRKLRDDHVVRKRILGSSQMPHRLLSQKSVSVSKQTQLALDSRKQLGSSKGSEPGRPLGVQPKIIGGPNGKRVLTPCVKSTVPALHKSTSSKLQPSIPRQYLVPKKEILQSGKSKVMPQQAEPSYKQKLIMQKQRMKTYLPFILVWVLLGGGWGFQGVVVVMKNAHLDC >Solyc05g044450.1.1 pep chromosome:SL3.0:5:57291300:57292765:-1 gene:Solyc05g044450.1 transcript:Solyc05g044450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNLLPPPPLPIFKHPLWAAQLIKHLHGGNNRDEVLKQLNNLIKQQSSEAMRINLGFHLWNSSLTTTTLLQEVVAAYAKFEVSTLNMAESLQVCKALTVWQDLFYYAARIPHYLLPYLGMSTKVIWSLQFLRLRILEFLVALAKFDELHGQEILHVLLDTNLFSLCLHCIRHGDTQIQKAATLILMKILMQEDGLKYCCAYPDDFQSLIQVLRQLVEETFTFEIPCPQHLKYVIQCYLCISRVAREDGVYETVGNMLQLIGNTFHNIIHKDPEIPKMLNQLVRNLNH >Solyc01g011030.3.1.1 pep chromosome:SL3.0:1:6952016:6953304:1 gene:Solyc01g011030.3 transcript:Solyc01g011030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSISNAITSFGQKKECGAPSQACREFSDDDDAYSNASSEEEGLECPICWESFNIVENIPYVLWCGHTLCKHCLLGLKSASWKFSTQQVQIPLFISCPWCNMLTFRLSLSGNLKSPSKNFFLLWMVESKNGDRGKSSSTICRDHQQEMSVRCTSVTGNNTSVMNYRRVRRLGSQGSSTSTYNHTSGTPASQRAHSSLHKSLDLFIRITSKFPLVLVLLLLVMFAIPSCAAVLALYLLITILFGLPSFLVLYFAYPALEWLIKEITT >Solyc01g105340.3.1 pep chromosome:SL3.0:1:93434020:93440693:1 gene:Solyc01g105340.3 transcript:Solyc01g105340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPCGSTWVARWGVQPQSVPKFPVTNKLSASPFCFSSKIRALASPNSTLFCQESLQALFSSVSNKNHYQRRGTSIVVRAEKDYYDVLGVSRNASKSEIKSSYRKLARSYHPDVNKEPGAEQKFKEISNAYEVLSDDEKRSIYDKYGEAGLKGAGAGMGDFSNAFDLFESLFDGFGGMGGMGGMGGRGSRSRATEGEDQGYNLVLNFKEAVFGVEKEIEISRLETCGTCDGSGAKPGTKPSTCNTCGGQGQVVSSARTPLGVFQQVTTCSSCGGTGEISTPCGTCNGDGRVRKSKRISLKVPPGVDSGSRLRVRSEGNAGRRGGPPGDLFVMIEVLPDPVLKRDDTNILYNCKVSYIDAILGTTMKVPTVDGMVDLKIPAGTQPGTTLVMAKKGVPFLSKPNMRGDQLVRVQVEIPKRLSSEERKLIEELANLNKPKAATNSKR >Solyc06g060425.1.1 pep chromosome:SL3.0:6:38561046:38561866:-1 gene:Solyc06g060425.1 transcript:Solyc06g060425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTVGHHGLSDPFGRSNEPRSEHTPHFNDFCVLYHTIFWVIWITTSKMPNFFVDVRQDLVYAYGWPSRLLRPIWKTSVKTLSMHTVGHQGLSDPFGRSNEPRSEHTPHLDDFRVL >Solyc07g064480.1.1.1 pep chromosome:SL3.0:7:66743403:66744380:1 gene:Solyc07g064480.1 transcript:Solyc07g064480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNESGHSKRPMCPSCSKPTRLCLCTRLKTPCLENSVAVTILQHSLEKNHPLNSTRIASIGLQNLSVISVSDVNYEAEFVIRLLNSNLEMGSRNLDDNSRRNHKDSNFDSNAAVSFTIEKYGAISTSQNQHLNKPWEQSLAVMQGKTLHSGSLSAPSCASTASREASDDIRKGFLVKKLQRKLLDGGCEFQESKEFEISVPSGSVLLFPSENSIGTEEIDFEVKNLIVLDGTWAKAKRMYNENPWLKMLPHLRLDVEKLSLYSEVRRQPRAGYLSTIESIVYALKAVGEKDCEGLDHLLDVFESMVVDQRRCKDEGLRQRFSNCD >Solyc07g052420.3.1 pep chromosome:SL3.0:7:61057209:61063785:-1 gene:Solyc07g052420.3 transcript:Solyc07g052420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPVPRRESPWGLPEGDNRQPKAHRCNDRVEDVIQACFEGNPFKTIPGPFKLFWRCMRSKPGEEPTEPFYYLQLEPPKREVNLE >Solyc03g007430.3.1 pep chromosome:SL3.0:3:1969609:1977938:-1 gene:Solyc03g007430.3 transcript:Solyc03g007430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDELKQGENSWIDTAEQSDRVLNVDNDVCLMSQSVVITDGVEPLHPQQPPPQGTNPSSDIPLGFPERAVSAAGAAFLSAVLVNPLDVVKTRLQAQAAGVAYSHPMSNMTSRMAVFGPNMMFADLRCSPSCTRAGVHGTVSICPPDCFQYKGALDVFDKIIRQEGISKLWRGTNAGLALAVPTVGIYLPCYDIFRNKLEEFTAQHAPSLTPYAPLLAGSLARSLACTSCYPIELARTRMQAFKDMNKKPAGVWKTLFEVIANVRSTTSTNFGLQSYRVLWSGLGAQLARDVPFSAICWSTLEPVRRRLLCPLGDEANAVGVLGANFSAGFVAGSIAAAATCPLDVAKTRRQIEMDPARALTMTTRQTLLEIWRDGGLKGLFTGVGPRVGRAGPSVGIVVSFYEVVKYMLHQQYASS >Solyc02g068700.3.1 pep chromosome:SL3.0:2:39200676:39205389:-1 gene:Solyc02g068700.3 transcript:Solyc02g068700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMSSLTLLRGPSLVKALGFIKTSNYIPRIVPASRYPLQSQRSYVASAHDEEAAARAAAVNADTGAPTIFDKIIAKEIPASVVYEDQKVLAFRDINPQAPVHVLIIPKSRDGLTELGKAEQRHEDILGNLLYAAKIVAEKEGIVDGFRVVINSGPSACQSVYHLHLHVLGGRQLKWPPG >Solyc02g062515.1.1 pep chromosome:SL3.0:2:34750490:34761155:1 gene:Solyc02g062515.1 transcript:Solyc02g062515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTEMEMFKNSKVKLMSETTEGLMSTSSERIGYASVSFAERRGGIGLVISEISEPNPKGPAPMAKTTTPPSDQWTVTDVDSDFIDALQA >Solyc09g092600.3.1 pep chromosome:SL3.0:9:72095828:72118325:1 gene:Solyc09g092600.3 transcript:Solyc09g092600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMIIFILLVTLPIVLNFLAKKDRKNVMPPGPLGLPFIGNLHQYDGLTPHIYFSKLAKKYGKIFSLKLGSTPIVVISSAKLAKEVLKIQDLTFCSRPSFLGQQKLSYNGRDIGFAPYNDYWREMRKICVVHLFSLKKVQSFSPVREDEVSRMITKISQQATASQITNLSNLVISLTSTIICRVAFGIRYDEEAHEKRRFDELLAVAQEMMARFFFTDYFPMFGWLDKVFGNISRLEKNCKELDEFYEELIEQHLNPNKPKSMEGDIIDLLLQLMKEQSTPIDLTLDNIKAILMNIFVGGTDTSAATVVWAMTALMKNPKVMKKVQEEIRKSIGTKGFVNEDDVQNMSYFKAVIKETFRLYPPAPILVPRETMKKSTLEGYEIQLGTIIHVNSWAIARDPEVWENPEEFMPERFLNSDIDFKGQDFELLPFGAGRRGCPGIALGVATVDLMLSNLLYAFDWELPCGMKKEDIDTNVMPGITMHKKNDLCLKYGKIFSLKLGSTPMIVVSSAKLAKEVLKTQDLVFCSRPSILGQQKMSYNGHDIVFARYDDYWRETRKISVLHLFSLKKVQFYKPIREDEVSRMIKKVSQQAASSQITNLSNLMISLTSTIICRLAFGVRFDDEAHERKRFDYLLAEAQAMMASFCVLETNFKELDEFYEELIEQHQNPNRPKSMEGDMVDLLLQLKKDKSIPIDLTLEDIKGLLMDMLVAGSDTSAAGIVWTMTALMKNPKAMKKVQQEIRESIGNKGIVNEDDIQNMPYFKAVIKETFRLYPPIPLLVPRESMKKSTLEGYEIQAGTIVHVNSWAIARDPEIWENPEEFIPERFLNSDIDYKGQNYELIPFGAGRRGCPGMTLGVASMELGLSNLLYAFDWELPHGMKKEDIDTNVSPGIATHKKNELCLIPGSRKNDIAFAPYIDYWKEMQKVCVVHLFSLKKFRFFSLNREDESSKNNVPPGPIGLPFIGNLHQFDSLTTHIYFWKLSKKYGKIFSLKLGSTPMIVVSSAKLAKEVLKTQDLVFCSRPSFHGQQKLTYNGRDIVFVRYNDYWREMKKISVLHLFSLKKVQFYKPIREDEVSRMIKKVSQQAAASQITNLSNLMISLTSTIICRLAFGVRFDDEAHERKRFDHLLVETQAMMTSIFVSDIFPFLYWIDKLTGLTDRLEKLFKELDEFYEELIEQHQNPNRPKSMEGDMVDLLLQFKKEKSIPIDLTLEDIKGLLMNVLVAGSDTSAAGVVWTMTALMKNPKAMKKVQQEIRESIGNKGIVNEDDVQNMPYFKAVIKETFRLYPPIPLLVPRESMKNSTLEGYEIQAGTIVHVNSWAIARDPEIWENPEEFIPERFLNSDIDYKGQNYELIPFGAGRRGCPGKTLGVAFMELALSNLLYAFDWESPHGMKKEDIDTNVRRGITPYKKNELCLIPTTLSIFLIFLLPKAKKSVKNNLPPGPISLPFIGNLHQYDSLTPHIYFLKLSNKYGKIFSLKFGSTPIVVISSAKLAKEVMKTQDLVYCSRPSLLGQQKLSYNGHDIAFAPYNDYWREMRKICVLHLFSLKKVQSFCPICEDEVSRMIKKISQQAVDSQITNLSNSMISLTSAIICRVVFGVKFDEETHERKRFDYLLPEVQAMSVSFFIWIDKLTGLTDRLEKNFQDLDEFYEELIEQHQNPNRPKSMEGDILDLLLQLKKEKSTPIDLTLDGIKGILMNVFAAGSDTRAMTALMKNPKIIKKVQKDIRETIGTKGIVNEDDIQNMSYFKAVIKETFRLYPPSPLLIPRETMKKSTLEGYEIQPGTIIHNMILFLLFVAFAILLIFLLSGKRNLPPGPIGLPFIGNLHQYDSLTPHLYFWKLSKKYGKIFSLKLGSSIMVVVSSAKLAKEVLKTQDLVYCSRPSLLGQQKLSYNGHDIAFSPYNDYWREMRKICVLQLFSLKKVQSFSPIREDEVSRMIKKISQQAACFQMTNLSSLMISLTSTIICRVAFGVRFDEEAHERKRFDYLLAEAQAMMATFFVSDFFPSLSWIDKLTGQTDRLERNFKNLNEFYEELIEQHQNPNRPKSMEGDILDLLLQLKKEQSTPIDLTLEDIKGLLMNVLVAGSDTSAAVVVWAMTALIKNPKVMKKVQEEIRKSIGTKGVVNEDDIQNMSYLKAVIKETFRLYPPDPLLIPRESMKKSTLEGYEIQPKTIVHINAWAIARDPEIWENPKEFIPERFLNSDVDFKKEDYELIPFGAGRRGCPGITLGITAIELALSNLLYAFDWELPYGLKKEDIDTNESKQK >Solyc11g015890.2.1.1 pep chromosome:SL3.0:11:7397766:7398549:1 gene:Solyc11g015890.2 transcript:Solyc11g015890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKEKSLLDLPSPILLQILSTLPPTTLLYVKIVSKTLLNLILDSEFLKLSRSVSPATIIIHQFNSNRTNTLKILKFAVDYNFDHDSNVHLDLQLYFPVDLVFLVGSVHGFVCFNYFFGNVDGIYILNPTTREYIILPEPQRVRKWPNLVTYGFGFDPVRFEYKVVRIYQEEIRDSDTNGFRYYKSEAQFYTIGKWYWRSSVEHVMFCFGCRAYGVNLYGRIHWLVSDANGNELICSFNLENDLFESFPTAPGYT >Solyc01g065980.3.1 pep chromosome:SL3.0:1:72593184:72602924:-1 gene:Solyc01g065980.3 transcript:Solyc01g065980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRGAIISDYDPAGSFYRKLSARDLWAELDPISDYWSSSSSSSTVGKPDSALSPVTHSVDKPNKSDSGKKGNKTVKVEKEKSSGPRPRKNKYRGIRQRPWGKWAAEIRDPQKGVRVWLGTFNTAEDAARAYDEAAKRIRGDKAKLNFPAPSPPAKRQCTSTVAAADTPPALLLESSDNSPLMNFGYDVQYQSQTPYYPMEMPIVSEDYELKEQISNLESFLELEPSDQFSGIVDSDPLNVFLMEDFASTHHQFY >Solyc11g020470.1.1.1 pep chromosome:SL3.0:11:11153622:11154077:-1 gene:Solyc11g020470.1 transcript:Solyc11g020470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQTVARDAYNILKHARENQKDCYISAIARPSSSKHDVHSSSSRKCKFMSLATNVPKEVYEVKWDLVIADGPEGDKPKSPGRMAAIYIVDVVARRRKKNNGTHVLVHDVDRMIEKCFSWEFLCDTNLISSKGKFWDFNILAKPNRTTFCRA >Solyc03g117920.2.1 pep chromosome:SL3.0:3:68390653:68394726:1 gene:Solyc03g117920.2 transcript:Solyc03g117920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IIALSREPFNFTYNTKTTTLEESGLPEEASVDHQSGTGKSITPSSMMADNAATSSANLRKLSDSLLALQCCLTELNQHINSVRSIIPSVIPGVATNISTLLPTSSPPATEPLLNSELKSSRSKEVQFPHRSELESFCKSMNSSELRRYMVTRVSDTNRLLEEVPKALRLSPHPARLVLDSTGKIYFQGSNSYTKNSRMVWRRKAAVLVLECFLLMRVDKVEIEKEVKEEADKAALAWRKRMIAEGGVRKACEMDARGLLLLLGCFGIPGGFSNENIRDLLLISHITKIYRALRRSNVLKAKIPEIIEGMVKKNLEVDAVHIAYTFRIDRFNPRRLLTSFLLNSRESLKKRNEKSEGSLAAVNEAKRKHLNDLTSVIKCLKCHDIDPSKLLPEWKINEKIMALEKEIRGFDKHAERKRKSDETESSRGFRNREAKRSYNPPWVRQQRFDDHVDNNNTLPEGRTTGHLHGYTVSSTVLHGPSAGLIHENIAGSLVGTVGGVAMGVAGAGISPSGNGIHAGISAGTDVVQQGGPYAGGHGGTLVDSTPGQVGSHTDQLYDRSGNAAVNDSLASCSNAYVPSSYLDGSKGLPNTTHTDAYRSPPYSECSTRLPNAISGDAAGRSSASDIYQVADTDTASELHMSSGVRAVDTVSSAASAHPSSNLHQPK >Solyc03g120210.2.1 pep chromosome:SL3.0:3:70161833:70171067:1 gene:Solyc03g120210.2 transcript:Solyc03g120210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGRKDILEGFRARSRMVVEKEAAATTTSLTHGFINSLQPCFLASSKPVPVMSLTPFTKCVNHGFAAYISLEEIQNSENYTRVVIHSPGYRVIHRYMDCELEFEEDVETLRNTLCQREEQQSHVHLVGYKEKYPPREIRRCKICKKSLENEEIFFHRDTEFCSSKCRSNDVVPYFEQRVPVKDPKKRPSGARKNDDGDDDDERSNKVEFFIGDRC >Solyc09g065580.3.1 pep chromosome:SL3.0:9:63963545:63968126:1 gene:Solyc09g065580.3 transcript:Solyc09g065580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVELYELHYSDLLQLSSEKSLSDEFIEETQRLKSATRSVMKNLGPEGPGLLAITGVPEASNLRRTLLPLARKLALLNNEDRKRLLKEQNLGSDVSLKNPNRDVSSFSMQLKYEQCYERSGCQVDDLDVDNRDRGEVNQDEFKNLGCTFKELGYCMMDLGLRLAQICDKAIGGQELQQSLLESGTAKGRLIHYHSAVDNDIVREDAKRNGQSKGRNGKANKNEQLGLKQQGIESLKDQSNDYGLWQQWHYDYGIFTLLTVPMFLLSSHQEAPATINNDSPVSSKHEFPSPGGHTYLHIFDPKKNQVFIVKAPSESLILQVGEAADILSKGKLRATLHCVCRPPKVDNVSRETFVVFLQPAWSKQFSLLDYPLELFALSGQQCGVCSKGTEQSRQVPEELSHEIQKIVPPLLSRLKDGMTFAEFSRETTKQYYGGKGLQFSVYIFIWPEVPSSLIMSNWWLQSVTVNFSVSDSQKVGANGVYKLGPHPHNYRFCVLNVKAVDNKGHGGRSFRTNFQGNNYGNGYGNLGDTNSSSGGNHYPNQVVTMVVRALDNKAQITKEGEW >Solyc02g030307.1.1 pep chromosome:SL3.0:2:25968173:25969133:-1 gene:Solyc02g030307.1 transcript:Solyc02g030307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARFSIMVDLNSLVGLQDSSSVDTHLKLDVKYRSEEGDILLDPTMFRQLAWSLNYLNITRPDISFAVQQEGCPDTRRSVTGWYMFLGESLISWKGKKQDHMSKSSTEDEYRSMSTTTCSEVVRLRGLLTDIGFTQSHPTPLHVDNTISAIQFATNPVFYKRTKHIEVDCHYIREVVDI >Solyc04g055205.1.1 pep chromosome:SL3.0:4:53789882:53797655:-1 gene:Solyc04g055205.1 transcript:Solyc04g055205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSEETGSAEGSAESRVVVVDHHHPILARNPIVTVNEAYAIVSQEESQRTLGVVDDKKEVLTLLAGKSQSYRPKKELDTSNNSQRFDLFCEYCGYRNHKKDNCYRLVGYPPGFQSKRRENYNDGSVQFRPNGGNQGDGFNSFGNNQNRGSGYRPQSQMQHNSGGYRSPRPAGRGDSSGTRPKANTSHKAEAKTSSSQGHFFSDQQYDEIRQMLGKGGTPEYSLNLAGITVLLSTALEHEWIIDTGATHHITPFKELLTSLKTVQDDCKVQVPTGDKSRISSEGETQVMGDKAIHNVLHVPEFQFNLLSVSKLTRELSCAVIFLPDFCIFQALSNGKVMGIGKEREGLYILKDCLPSTFNMSANISAKITRSEEDTLWHYRLGHPSDMFTVLPLGTSNEIVTENDYAQHRIQVPDTATEEPAIIVSGYMEFADENDNEDYSELPVENNEAVHEAGYNEQQIDPSFNISGRPARNVKPPIWLKDYVTPSKPATNCYKQSSHDHSLFTKHCGDDIVVLLIYVDDILLTGSSHRLIDDAKQYLHSQFKVKDLGELKYFVGIEILRSQHGILMNQRKYALELISDVGLAGSKPVHTPLEPNVKLTSVEHDNCTGAKDDPLFEDMSRYQKLIGKLIYLTITRPDICFAVQLLSQFMQHPKQSHWMAALRVVRYVKESPGLGIFLKKGPIDDLVVHCDSDWAACPNTRRSVTGFVLQLGTSLISWKSKKKQTVSRSSAEAEYCSMAAAVAEVIWIVGLLKDLCVEVSTHSNNAPKKEYKEKMLKNNTIGSAKESRCKYSITYPESIMASAKRFRFKDLVRLQLK >Solyc11g066730.2.1 pep chromosome:SL3.0:11:52822656:52829471:1 gene:Solyc11g066730.2 transcript:Solyc11g066730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAKVTGFYREGNDWFCNASLVSDFTLVVDGVNFHLHKFPLMSRCGRIDKLLGAVQGPHEGTFLTTLEDFPGGSDIFLVTVKFCYGIRIEYTPRNIVMIYCAADYLEMTEDYGEDNLLFKADAYFHKNILKNWKDCILALQSCDSIIPRADKLEIISKCSHALSVMVCTDPSLFGWPMLLYGCLQSPGGSILWNGINTGARIQTIESDWWFEDISYLSVPLFQRLIRTMEVRGIRHENLAGAMMYYCRKYLPGLGRWQSGQIGKTRTVASFSMTPAAVNQKVLLESVAKQLPELKGKSFCRFLLGLLRVALILGVQQTCLDSLERRTGMQLDLASLDGLLIPSYSDSDTLYNTDCVERMIHHFISFESGITAIPNPSSFEIGTSPSSHPLRRVAKLVDSYIAEVASDVNLKPEKVRSLAEALPESSRSLHDGLYRALDIYFKAHPWLSAKEKEQLCNIIDCQKLSIDACAHASQNDRLPLRVVLQVLFFGQLQLRTAVAGRFQVLDTESGPAAPVTGPSDMAGQIVQRDGWVTVVRENQGLKVDLERMKSRVGELEEEFSKIKQEMRKVTKSHSYLSSPRFLARRFGCKLLPQSSDTQPDVVESTGPTPRASVEQPRSSRHRKSSSLIS >Solyc05g009970.3.1 pep chromosome:SL3.0:5:4174612:4176694:1 gene:Solyc05g009970.3 transcript:Solyc05g009970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNELSISVDSHLAMLRLSSAADATTGKFSGDQSPHQQCHSCRSVKKIPQFSPEISTTTIAAAGAAVITAETLATSCKKTTLYGGATTTTKGKRHSNCRFSSSLEEPFPKRTATVLPPISTTADGGAGGSSDTYNINNSNHFQGFIKIPLQNDQESPVTPSPSPSPAKPPLAPPFPRPLYRTTSDPTGKSPKPPSHPSWSPNVQELGGSVNNGETPSAMRLKRMKDGMREMRQWCDLMIQEEEVTLHEENKIVKDDETDSGGGEALCEEAVWVERMGNCLILHFKCPCGKGYQILLFGNNCYYKLTNF >Solyc01g049743.1.1 pep chromosome:SL3.0:1:46268872:46270223:-1 gene:Solyc01g049743.1 transcript:Solyc01g049743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRDPDAYRYKWSNGSKSFQEHLQLSTQTVMSRFPLVGLLSGTNRYGYSRILSCIMLDLTAQWELSTSSNTKDGKSIGLSGDNPDVLNCNSKFKGRRRYIKREIMSRPTVHPIKAPLMTMAVPMVRMKDF >Solyc01g008350.3.1 pep chromosome:SL3.0:1:2407143:2408160:1 gene:Solyc01g008350.3 transcript:Solyc01g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSRGVGEKHCNANLCLLSDNRTPPPPPALVIEIESTNEIECFEEVTRIIASDADNSYDVVLGMTTLPPERKPGDVVRMYSVMRLSKSLKQGRGDTIAWTDTPSVRAQEAATLARSSIDAELVVHYNKTKRSKSMVERHQETAARSKSMKQSKHESVNEESKGNHPWKPWDRERDLTAGRGNVEFDASDMSQKSHFQLFFVTTSLKSQCRQVISR >Solyc04g015940.3.1 pep chromosome:SL3.0:4:6463157:6486926:1 gene:Solyc04g015940.3 transcript:Solyc04g015940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQDNNNVFNDNSDICQQLLQRYGKSSAPQHRHLCAIAAATRSIIQAESLPITPFSYFAATISTISNSQDSLDPQALSGLSSFLSIVLPLVHNDDVSSDKVAEAIEVLVGLLEKETVESESSLGTSTVRAFVKCLGVLIGFCDKEDWDSVKVGFEILVKFAIDKRPKVRKCAHDCILTVFKSFVSSSVAKKAGERIYSLIKGNIALAMKLSAPKEISGSKDEHQEVLHSLNILKPIIPYLRVKDNEKVLAQLLELMRSQSSAFTRHIFDNIGAILDVSKIKIILLEADTIIKSLTSYMLSAETPAENVLFAATLAKGIIDKLHDGGKSAWVTYLPLVVGSISGLLTRPENIALPASNILKEMINAHIDVKEFLTGKKQADDAALSSSEFETVKAICLVFENMLLSSSEYPNDHMLAVLSVMFLKLGEVLDFCAKDIILKLADWMIVASGDAAYDTKNLQECIGSAVIAMGPEKLLALLPISLNTNDYSFTNSWLVPVLNKYICGSSLEFFMEHVVPLAVSFEQASCKVKKSVIRERLLAYARECWGLLPAFCRCPSDVHKNAQALTTLLIPFLKEDSFMLENISAALQELVNKNKKALASDNFSGDLTVHLTENENLDLALELKRKCSYSKKSSAKNIKALSSCSEDWLRALINVFFKASPANYQQFKEAIRCLTSITDSSLTQRIFTSSMERAGITNEIGEYQKLGLHLTDNKENNSTLLGEVAKRCIILELGSCFVEGSGEDLIKVLFGIARDVLETTHGAGHLEAYHILSRILEQHSWFHSSHAEQLMDLLARVKPPTDTKTLTSRFAFYKTLLIDALQGNDEENTQAFLILNEIILALKDSTEEGRKTAYDALIGVCSSLRDSSSAKSDESYKKFVDMIIAYLSGSSPHIKSGAVSALSVLVYSDVNICLSVPDLVPSVLTLLQSKDVEVTKAALGFVKVFVSSIQANDLHNLLSDIVNGVLPWSSVSRHHFRSKVTVIVEILMRKFGVAAVKSVAAEKYKSFLKTVSENRHGKSSSKEDGSAEMESIPSDSRQQHKRKDRESSDSFKEKNSRGPHKRMKRKEGEKDSSTNFTKKGFMGGKARNSDMKRKNNTNDEPYRKLVNRTKEFGRRKQEGSKTPPQKRGGFPGKGKIDRQKRRADGMRGSGANRRQRTNKNS >Solyc02g079970.3.1 pep chromosome:SL3.0:2:44898292:44901374:1 gene:Solyc02g079970.3 transcript:Solyc02g079970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEEDGSNWLIELGLMEDLPSLEPNAQWPSNAFSLPNNLSSGLEDSYGNSDSLKECGSKKRFQELSSILEPGKQPKMDKSVILGDAVRMVVQLRDEAQKLKESNNNLQEKVIELKAEKNELRDEKQKLKAEKDKLEQHLKAMNTQPGFLPHPPAMPSPFSAPHQVFASKMMPYIGYPGIPMWQFVPPAAVDTSEDHSLRPPVA >Solyc12g056960.2.1 pep chromosome:SL3.0:12:63989603:63995524:-1 gene:Solyc12g056960.2 transcript:Solyc12g056960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLINMTTYSSCAKKLLFIYYLFIISSFCIFNFSYGRNLNPNFRVKAVNLGGWLLTEGWIKPSLFDGIPNKDFLDGTQLQFKSVTVGKYLCAELGGGNIIVANRTDASGWETFKIWRINSTTFNFRVFNKEFVGIDGSGNVVALENKAGNSETFEIVRNSDDPNRVRIKSTNGFFLQVKTEELVTADNGGNGGWGDDDPSVFIIKTSGRLEGEFQITNGYGPIMAPQVMREHWRTYIVEEDFKFIASNGLNAVRIPIGWWIASDPTPPKPYVGGSLHALDNAFLWARKYGLKVIIDLHAAPGSQNPWEHSSNRDGTIEWGKTDDTIQQTVEVIDFLTARYAKNPSLYAVELINEPLAPEVTLDMVKKLYQDGYNAVRKHSSTAYVVMSNRLGSPDATELLTFASGLKGSVIDVHYYNLFSNIFDNMSVQQNLDYVNTNRTDQLNTVTQSNGPLTFVGEWVAEWQVRDATKEDYQKYANAQLEVFGRATFGWAYWTLKNVNNHWSMEWMIKNGYIKL >Solyc01g110684.1.1 pep chromosome:SL3.0:1:97218686:97223820:-1 gene:Solyc01g110684.1 transcript:Solyc01g110684.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFVNIWNRKLQTQGDCTSTRDGDSKEGWDGDSRKWIHAGNQGKTDEIIIMGRVEEVISAPWARNSLPQASLQEQQQQIKVSRLFVMCHSCQKLFAWSFHSSHTINVVNPPPQVVVTAENYGSGLSFCSPEPSPLSPDTLLGTKFQDVNP >Solyc07g032470.1.1.1 pep chromosome:SL3.0:7:39270337:39270561:1 gene:Solyc07g032470.1 transcript:Solyc07g032470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALVVQQRSFITERVASRDSKQLRRPLNQTTQKCVSNDTLSSNVSLRRKSCSFVLVQPKVKARCIQGQLRRSK >Solyc08g021920.3.1 pep chromosome:SL3.0:8:34277452:34330739:-1 gene:Solyc08g021920.3 transcript:Solyc08g021920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVSRHASDQQTPSESTSSYSVPPSHASEEPEYLARYMVVKHSWRGRYKRIFCISNFTLITLDPATLSVTNSYDVGTDYDGAAPIIGRDDNSNEFTISVRTDGRGKFKSMKFSSKYRASILTELHRIRWNKLGAVGEFPVLHLKRRTSKWVPFKLKITYIGVELIELKTGELRWCLDFRDMGSPAIILLSDPYGKKNTDHGGFVLCSLYGRKSKAFQATSGSTNAAIISNLTKTATSMVGVGLTVDSSHVLAVSEYINRRAKEAVGADETPCGAWLVTRLRSAARGTLNTPGVSLSIGPKGGLGEHGDTVSRQLILTKGSFVERRPENYEAVVVRPLSAVGALVRFAEEPQMFAIEFNDGCPIHVYASTSRDNLLAAVRDVLQTERQCPVPVLPRLTMPGHRIDPPCGRFHLKFSASQQPVADLETATLHLKHMAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYGGVPSGIEVPEVTLMALITMLPAAPNLPPEAPSLPPPSPKAAATVMGFIACLRRLLSSRSAASHVMSFPAAVGRIMGLLRNGSEGVAGETAGLVAVLIGGGPGETNVQTDTKGEWHATIMHTKSVLFAQQSNLIILVNRLRPVSVSPLLSMSIVEVLEAMVCEPHGETTQYTVFVELLRLVAGLRRQLFALFGHPAESVRETVAVIMRTIAEEDAVAAESMRDAALRDGALLRHLLHALYLPSGERREVSRQLVALWADSYQPALDLLSRVLPPGLVAYLHTRSNGVPVEGVSDQENSLLSRRRRRLLQQRRIHPGKEITSQGQSLPSATNYEVSDQAPVSSMHSSAGNAGECFQGELSAAAAPQTDQSSTIPAPDGPSTSTHYLVESNAANAVDSDVTAISQDTGLPAPAQVVVEDAPVGCGRLLLNWPEFWRAFTLDHNRADLIWNERTRQELRESLQAEVHNLDVEKERSEDIAPGGANRDSITDQDSVPQISWNYREFSVRYPSLSKEVCVGQYYLRLLLESGTSGRAQDFPLRDPVAFFRALYHRFLCDADTGLTVDGAIPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHYNTVGSFEGTAHITVLLDRTDDRALRHRLLLLLKVLMKVLTNVEACVLVGGCVLAVDLLTVVHEASERTAIPLQSNLIASTAFMEPLKEWMFLDKDGLQAGPVEKDAIRRLWSKKEIDWTTRCWATGMPDWKKLRDIRELRWALAVRVPVLTPTQVGEVALSILHSMVAAHSDIDDAGEIVTPTPRVKRILSSPRCLPHITQAMLSGEPSVVEGAAALLKAIVTRNPKAMIKLYSTGAFYFALAYPGSNLLSIAQLFSVTHVHQAFHGGEDAAVSSSLPLAKRSVLGGLLPESLLYVLERSSSAAFAAAMVSDSDTPEIIWTHKMRAENLIRQVLQHLGDFTQKLSQHCHSLYEYAPMPPVTYPELRDEMWCHRYYLRNLCDEVRFPNWPIVEHIEFLQSLLVMWREELTRRPMDLSEEEACKILEISLDEVSRDDTPKRQSEETVNISKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQVWRLLLLLKGQCILYRRHGDVLEPFKYAGYPMLLNAITVDKDDNNFLSSDRASLLVAASELIWLTCASSSLNGEELVRDGGIQLLANLLSRCMCVVQPTTPASEPSTVIVTNVMRTFSVLSQFESARADMLEFSGLVDDIVHCTELELVPAAVDASLQTIAHVSVSSEFQDNLLKAGVLWYLLPLLFQYDSTAEDTDKSEAHGVGVSVQIAKNMHAVRSAQALARLSGLGTDENQTPYNKVAADALSALLTPKLASMLKDKSLKDLLSKLNLNLEIPEIIWNTSTRAELLKYVDKQRDSQSPDGSYDLKDLHSFTYEALAKELFVGNVYLRVYNDQPDYETSEPEVFCVALVDFISCLVRSDAAVGTDTPSITGTSEFQNDTINEPHNEEQLSNDDSTSSDVKQMKKEENELVNKFRFALTALQNLLTSNPDLASVFSAKEKLLPIFECFAVPVASTTNVPQLCLSVLSRLTTHAPCLDAIVSDGSSLLLLLQMLHSSPSCREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLREVPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVSVIKDGPGEAVVSILEQTTETPELVWTPAMAASLSAQIATMASELYREQMKGSVVDWDVPEQATGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDVQSVDPELPLLLSAALVSLLRVHPTLADHVGFLGYVPKLVSAVAYEGRRETMAIGEVKNVDYSKEEYEADSSSKQPPSPTLQERVRLSCLRVLHQLAGSTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVVAGNRARDALVAQGLKVGLVEVLLGLLDWRAGGRNGLHSQMQWNESEASIGRVLAVEVLHAFAAEGAHCTKVREILNASDVWSAYKDQRHDLFLPSNAQSAAAGVAGLIENSSSRLTYALTAPPAQTGLAKPPVVTTSESSGKQDQVS >Solyc10g050060.2.1 pep chromosome:SL3.0:10:47599757:47602517:-1 gene:Solyc10g050060.2 transcript:Solyc10g050060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAYGIQGQLLEVTVVACNKLKDTEWISRQDPYVCLEYGSSKFRTRTCTDGGKNPTFQEKFVFKLIEGLREINVVVWNSNTVNSDDFIGSGKVQLQKVLSQGFDDTAWPLQRKNGRHAGEVRLIMHYANTNKPATSHAQSGPPFVTPTPGSYPYSVAPPHVASHPTPSGYPAPSPYSTASPPSAFYPASPYSTVPPPPAAYHSTSLYPPQSAAYPSPYSSSAYLPQPYPPQGYSYPPGQYPGVYPPRSY >Solyc03g025410.3.1 pep chromosome:SL3.0:3:2827583:2859634:-1 gene:Solyc03g025410.3 transcript:Solyc03g025410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLFKRKGPSGFSSSSTAEEVTHGIDGSGLTAIVTGASSGIGSETSRVLALRGVHVIMAVRNMTSGKDVRDAIVTEIPAAKVDTMELDLSSLASVKKFASDFKSSGRPLNLLINNAGIMATPFMLSKDNIELQFATNHVGHFLLTNLLLEKMKETARKTKREGRIVNVSSEAHRFAYREGIRFDKINDPKSYNSYGAYGQSKLANILHANELTRRLKEDGVEISANSLHPGTITTNLFRHMGIFDGLVGTLGKLLLKNVQQGASTTCYLALNPQVKGVSGEYFSDNNLAKTTALAKDMDLAKRLWDFSMDLIKSKGRSGFSYSSTAEEVTDEIDGSGLTAIVTGASRGIGAETSRVLALRGVHVIMAVRNIAAGKDVKEAIVKEISAAKVDIMELDLSSLASVRKFAADFISSGHSLNMLINNAGVVGIPFMLSKDNIELQFATNHLGHFLLTNLLLDKMKETTRKTEREGRIINGASTTCYVALHPQLKGISGEYFCDNNLGTTTAIARDMDLAKRLWDFSMELVKENGPSGFSYSSTAEEVTHGIDGSGLTAIVTGASSGIGAETSRVLALRGVHVIMAVRNMTAGKDVKEAIVKEIPAAKVDVMELDLSSFDSVKKFAADFISSGHSLNILINNAGVMAIPFMLSKDNIELQFATNHLGHFLLTNLLLEKMKETASETKREGRIVNVASDAHYGASTTCYIALNPQVKGVSGEYFQDNNLATPSKKARDMDLAKKLWDFSVDLGLSGFSPNSTAEEVTQAIDGSALTAIVTGASSGIGAETARVLALRGVHVIMGVRNISAGKQVKETITKAVPQAKIDALELDLSSLASVRNFASNYNSLGLPLNLLINNAGIMATPFALSKDNIELQFATNHVGHFLLTNLLLDTMKKTARESRKEGRIVNVSSRRHKFSYNEGIRFDKINDQSGMRVWRLQRIRFIQEPLPPTFSVRTASSVVISLTSESCVLPISAWVLHWYPQQGAATTCYVALHPDVKGVSGKYYADCNIAEASPQANDAGLAKRMWDFTMSLILSKCRKRLSNYDMVEV >Solyc08g081980.3.1 pep chromosome:SL3.0:8:65017479:65021358:1 gene:Solyc08g081980.3 transcript:Solyc08g081980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRQIGEVVGSLNALMVLKHDIQINQKQCCLLFDMYVQAFDTISEGIKHNLRLNERNTKWRALEHPMKELHRIFKEGEMYIKSCLDVKDLWGKAISLHLNKDCVEFHVHNLLCCFPVVIEAIETVAEVSGFDEEDMQKRRTALAKKYEGESTCDPRFFQWMFGKQYLVTREICSELESCWKEDRWYLVEMISQKMNVAENLAINEHRLAEILLKKLEGSKQLKQIKLLPSSILIGASDYHVKRRLGSRGGHVKEIQWLGETFALRNFFGELIESVVAEISLVYSLSHPNILQYHCGFYDEEKKEGYLVMELMNKSLATYIKEHSCQRKKGPFSIQVAVDIMLQIARGMEYLHSRKIYHGELNPSNVLLKPRNPSAESYFHAKVKGFGLTSVKSSYKAADENSAESFIWYAPEILTEKEKPESKCTYKYTEKADVYSYGMICFQLLTGKAPFDEHLQGEKMAQNIRTGERPLFPHPSPKYLVNLTRKCWQMNPDLRPSFSSLCRILHYIKKVLVINPGHGQPECPPPLVDYCEIEAGYSKKFAGEDSTGLAPVSQIPFQMFAYRLVEKERISGNSKDKHWDSSHYGHSSHRTASMQSDNEHMDAMDDLFFGPSDRRSVCSEIIESKGPRFWDQRTVISETPLRKVFSFEQLSVSSESPKEKIPAAATNEKPIYDDILERKEILIPSGDQSSPHVDTTPRKAISSMRKNKKLNVMEIKENVMSSKTDDRKPSSSEQKIVTPVISAKKNSSEEKNSSDNQQPKDSQSQEKKQSSTAANVKNIRGDPTQRKAVSRRTESKNPEKKVLSSPEANQNSISSEITASKPSNSKVTAKKAPFHKKLQDKESSTTPDPSPRSSPARAKRIQSSTMSSPTRSLKGSSPRSPAITTYGNGYQSPCASPLNPCSRYARVSREPHLSSAMSPSRPRKLQSISNNQSVHNN >Solyc07g056230.3.1 pep chromosome:SL3.0:7:64206110:64211942:1 gene:Solyc07g056230.3 transcript:Solyc07g056230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKVILHVAIFIVVLLPVATATQLHTKKTIHECSDHKVLEPSPKLSFQIVLHGILLWTSMGFLMPIAILVIRMSNKEQNGRRLKMIVYTHAFLQILSVLLVAVATIMSVINFENFFDNTHQRLGLALYVAIWLPFIAGIFRPDRGSKNRGVWYAFHWLIGVTVTLLGIVNLYIGLQAYNTKTMKNTSVWNWLFSIEVGVIAFIYLFQEKWQYIKQSGGILSNESVRPTDQEASPTQKKEISPMA >Solyc01g057700.1.1 pep chromosome:SL3.0:1:63474526:63475636:-1 gene:Solyc01g057700.1 transcript:Solyc01g057700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDTDSSGTLTYEELKDGLKKVGSDLGELDIKAMMKAADFDNSGMIDYSEFIAATLHLNKMDRERRICLLHSPTSTKMAVVISQLMSFNRLDGRIDYGEFATMMKKGNTRFAARTMRDNLNFNLADAHGASDSEKKQ >Solyc01g103030.3.1 pep chromosome:SL3.0:1:91573973:91578496:-1 gene:Solyc01g103030.3 transcript:Solyc01g103030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEARGDNNNNNNSCEEVIITTTTSVDWRGRPSNPIKHGGMKAAAFVLGLQGFEIMGIAAVGNNLITYVINEMHFSLSESANIVTNFIGTIFILSLLGGYLSDSYLASFWTILIFGFIELSGFILLSVQAHLPQLKPPKCNMLTDGEKCVEAKGFKALIFFVALYLVALGSGCVKPNMIAHGGDQFDQENPKQSKQLSRYFNAAYFAFSMGELIALTILVYVQTHSGMDVGFGVSAAAMALGLICLVCGTLFYRNKPPQGSIFTPIAQVMVAAFLNRKLVSPSDAHMLHKAHPTKAPPNANPTINLLHTNRFRFLDKACTKMQDGNTTKEISRWRLCTVTQVEQVKILISVLPIFACTIIFNTILAQLQTFSVQQGSTMNTKLTKNFHIPPASLQSIPYIMLIFIVPLYDTFFVPFARKFTGHESGITPLQRIGFGLFFATFSMVSAALMENKRRISAMESNEILSIFWITPQFLIFGISEMFTAVGLIEFFYKQSLKGSMQSFLTAITYCSYSFGFYLSSLLVSLVNKITSSHHGGGWLSDNNLNKDRLDLFYWLLAILSLLNFFNYLFWAKWYSKNGSSSSSSSTTTNQESNSKVVGEDGIV >Solyc12g099390.2.1 pep chromosome:SL3.0:12:67536611:67541861:1 gene:Solyc12g099390.2 transcript:Solyc12g099390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDSWIRLTTSSRRHQSRSDFYNIIEEYEGEEESRPEFLCPFCGEDFDVVGLCCHIDEEHAIEAKNGICPVCAKRVGTNLVGHITQQHGNILKVQRKRRFRRGGPNSTLSIIRKELREGSLQSILRGSSHLVSSTSADPDPLLSSFISSSASVDEPSEVQPLSSVDEALAACSTQESTVENLSDRNIQPTPISEEDQVEKARKCEFVQGLLLSTFLEDDDF >Solyc04g077925.1.1 pep chromosome:SL3.0:4:62879397:62882660:-1 gene:Solyc04g077925.1 transcript:Solyc04g077925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCKRPDDVFLANYLWKLYSSAQCSLIALVALLQEKKLLDLPKLLDICAIYGHENEDLTRILVVNAIKSQPWIHDDLSSVITHFLSIVQTMYERCSSSLEVLFSSSHFQDHGHSRLQTDYLEVSLLPDEQ >Solyc05g005280.3.1 pep chromosome:SL3.0:5:227170:231239:-1 gene:Solyc05g005280.3 transcript:Solyc05g005280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLGNDDQVSMTIENHEMLFSSDSEVESPSPRTNYHFRSLKSNEVMKLEEGDQDHDLIKAGFLSGMGQLGNEIEVVAVHKNSCSTILGQARSESFRIYSEAMRKKCSGDANIKYAWFGSSKDEICNIISHGFSTIMEPNYGDCFGNGVHLYPANIDGVFSAVEDENGLRHMLLCRVILGNTEVIEASSKQFQPTCQDFDSGVDNYLAPKTYIIWPSNMNSHILPNFLVSFKGPLSLGTSLKIKIPLKPTPRIKFSNLLRVLSNFLHPSRMVLITKKYEDFQKNKISKMIFVQKLRQIAGDTSLLKSCHDIVSKH >Solyc02g071750.3.1 pep chromosome:SL3.0:2:41687633:41691952:-1 gene:Solyc02g071750.3 transcript:Solyc02g071750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAGTAVMQQVQISEAVLEKHVYSVWALPREEVRVRVKKLMEGLRSEFGGPQFEPHVTVIGAIRLTEAEARDRFKKACETVKAYSATVEKIDSGTFYYQCVYLLLHPTTEVVEASALCCSHFGYNRSGSYMPHLSLLYGDLTDEEKKKAQEKAYILDEGISSLSFPISHLALCKTDTEDKSCKSWETVEECSLQP >Solyc11g005910.2.1 pep chromosome:SL3.0:11:718067:740389:1 gene:Solyc11g005910.2 transcript:Solyc11g005910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLLGLTRGEPAESPREVTRTIPTSEDIGESGWLIRFFDSAFFCEWIAVSYLYKHDHPGVRDYLCNRMYTLPLSGIESYLFQISYMMVHKPSPSLDKFVIDVCSKSLHIALKVHWFLMAELEDSDDNEGISRLQEKCQIAATLMGEWPPLIKPPNTSSNLLGKNQMLNKLLSSKQKLLSLTSSPPAVQRALSFSPSGSSLPQDDGLGSKISSPEENKIFKKLIPGLKVRDALLFRKSVEKDDEEPEKDSFLKRLLRDSRDEDVRKSAEKDDAEPERDGFFKRFLRESRDDDSRKSVDKDEEESEKDGFFRRLLSNSKDDYARKSVDKDAEESEKDGFFRRLLSTNKDDDEDVHSSTDGFFKRMFRDNKNDLEDKVVSKPVEDDEKDGFFRKFLKDKKFEEKKDVRERNETPEKSTRSSEDDEKEGFFKKIFKEKFEDKKDGNDRADDDLRRHANGEEEEPSDFPLFRRLFRVHPEDSKLSASNESSNGGSFLESSPGTENFFRKLFKDRDRSVEDSELFGSKGNKEKRPGSPKQNEKLNAKPPLPDNGLSQFRKGAYHQSLDFVQSLSDTSYGLVDVFPVEDRKSALCESLVEINAHLADAQNSGGVCFPMGKGMYRVLHIPEDEAVLLNSREKAPYLICVEVLKCESPNSKDTLNSQKLSKGGIPLANGDVLLPKPPPWAYPLWTGQDNHNDRMSRSASQAIDQAMAQLWDTKVKFVRVNFSVEMQSESAIDHCSLGSASESYSKCREVPSLPLKSDAIDSEWVRVVLTVDPGVRMEDIVDQEPPRKKEHRRVPSTVAIEEVKLAALKGEAPPGLPLKGAGQDSSDAQPKVTNGGLPNVSDALSGELWEVKKERIRKCSGYGKLPGWDLRSFIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLVTSSYTALIETIPDTASIHSIKSRFPHITSLREFYVAKYLENSPTFKLAQRNFVESMAGYSLVCYLLQIKDRHNGNLLLDEEGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEIMDSDAEGVPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGYPCFKGGPRTIQNLRKRFHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Solyc06g074230.3.1 pep chromosome:SL3.0:6:46037811:46038766:-1 gene:Solyc06g074230.3 transcript:Solyc06g074230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C9E1] MDSKSTSYETAINMSETESTKQKSSSGAPIIATTKTISHNQKGGWRRGLSIFDFILRLCALATTLAAATAMGTTEETLPLFTQFFQFQASYDDLPAFSYFVVANAIASAYVVLSLPFSIVCIVRPHLVGVKLLLLILDTVMVAFTTAAAAAAAAIVYLAENGNSTTQWVAICQQFGDFCQRVSGAVVASFIAAFIFIILVVFSAVTLRRA >Solyc01g090830.2.1.1 pep chromosome:SL3.0:1:84405913:84407598:1 gene:Solyc01g090830.2 transcript:Solyc01g090830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACVDEEQKPSFKHYCRVCKKGFMCGRALGGHMRAHGIGDERVNMDDDDDDDDEEASDWEENHGDNKRMYQLRANPNRLKSTRVCENCGQEFMSWKSFLEHGKYCGSDDAYDDHSLVSSPCSDGEEYNIGERKGYGWSKRKRSLRSTKVGTFTSSYNTNNAYSSEQEDLLLAKWLIDLANSGVDPLTIEPEESCASASKEEERRNPIMTYLSTSGLMNQDKDLEHDRKSEFFIIPSLDKAKGVPKGLFECKACKKLFNSHQALGGHRASHKKVKGCYAAKQNQLDDNTHDQDNYLKGSKSSSYNYQFEQGSSLTGASKRKSKVHECSICHRVFSTGQALGGHKRCHWITSNSQDSSIFTPKFHFHNPLKQINERSTLENQDPLDLNVPPNDQNMSRIKQEPWKIINPFEVSTDIHMHPWSSTNNSDHTKKETKDDVVNHNNSDQPREENEDDNFKNKNKNNNNNNNVRQDDATQINVEEDDEADSKLKLAKLSDLTTSGNPSQWLQVGIGPTNKVEADL >Solyc03g098700.1.1.1 pep chromosome:SL3.0:3:62415236:62415895:-1 gene:Solyc03g098700.1 transcript:Solyc03g098700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCINNILSLLFVLSSLSLFALSECFSCENPIVLPTSADDNIVLPEVYDQDDDPIRIGMTYIIKNPNIGGGAVYLDNIGHLKCPNAVLQHIPVPGLMGNGTAVMFVRDKSDDGEVVRVMTLVYIKFFVETTPLCVNETVWKVNDEQIVVTGGEVGNENDIFKIMSTDIKIRDYKNVYKLLHCPPYVKCNAIGGSFKNEHVRLVTVDGEGFTPFVFIKA >Solyc01g017390.2.1 pep chromosome:SL3.0:1:23861649:23862204:1 gene:Solyc01g017390.2 transcript:Solyc01g017390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEKLLQEAVDTLLDNRIRGQPMRYGHNKDYKSFSDVIEGKEGRFRETLLGKLVDYSGRSVIVGHPVLLNRGATLHRLRIDAFQPILVQRCFICLHPLVCKGFNADFDGDQMVVHVPLSLEA >Solyc02g082780.1.1 pep chromosome:SL3.0:2:46989804:46990325:-1 gene:Solyc02g082780.1 transcript:Solyc02g082780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSVRSNGASIENMKNQKSKGTKDDEMVVTCTNYKGKGKMPVRNDTHPGRRSFFENMTYPCPVPSYYADIPGIHLTVDLHIPRKDKASAKLPIPLAESTSKEPGKKTRPSKDQP >Solyc01g079420.3.1.1 pep chromosome:SL3.0:1:78356032:78358593:1 gene:Solyc01g079420.3 transcript:Solyc01g079420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHRIAHATLKGPSVVKEIVIASVLGLAAGSLWKMHHWNEQRKVRSFYDLLEKGEISIVAEE >Solyc03g093300.3.1 pep chromosome:SL3.0:3:55898716:55911654:-1 gene:Solyc03g093300.3 transcript:Solyc03g093300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKDKAVVIESPSTNEEEDYAQEDAAAANHSARRFSTRNASSKYDFVKVKVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLVDNSLLDVSQSDLEANLFKLMERRGFGEEYINRYKMITRFHHMRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTAMVYELLRTSTDAPLASSPVWARDFSSSDELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKLPPASPANQAGPESLKVEEQNVLHQKSNSNVRDNSQSDCNMNSEPGKSSELNNLTTALKSLDVVNEISENRGEKVKDSGAGQNPSHKREKSGAQPIIAPIVLRMAEFDHKALLEEWVATRTCSEKYPAQDKDNMISNLKTIQDYLCSFTSQGLTVVNISATTFPQTLDWLHNHLLQCIEQGTSHVSTGNSVEITKD >Solyc08g007295.1.1 pep chromosome:SL3.0:8:1857574:1859709:1 gene:Solyc08g007295.1 transcript:Solyc08g007295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSLKDACLLQEADHSVDYYYVKMHDVVDSRLRTLREPWEHIEELKLINCYEIKELPFSIQTSNKIRLMKGTSEWWNLLKWDNNNFKSNLEHCFLKKELKRQRKELLAPERQNFELKLISRVCCDATVPCLNWLRFLMLGF >Solyc10g050500.2.1.1 pep chromosome:SL3.0:10:49782484:49782690:1 gene:Solyc10g050500.2 transcript:Solyc10g050500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLAVTFCPKIDQLLYKYIYMKEMMLNNVAGARQVFERWITWTPAQKSWLFYIEFELRYNETDKAREFR >Solyc04g074810.3.1 pep chromosome:SL3.0:4:60808845:60812462:-1 gene:Solyc04g074810.3 transcript:Solyc04g074810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALETVIYNQETFGYGCKEYYNNNLGNFSYNYELGLTQGEGEMSFTNLLDHNNNNNREESSSSPTELMYNNNAREYNIWDPNSSLEDHHLFMEGSPAAELPAAAVRSAATSGRRKRRRTRSCKNKEELENQRMTHIAVERNRRKQMNEYLAVIRSLMPPSYVQRGDQASIIGGAINFVKELEHHLQTLEAQKRSHPQKQEHSDNHGSSSTPPFADYFAFPQYSTHSKSTSPTAAASDVAAAGSCNSPLATEKMSALADIEVSMAESHANLKILSKRRPKQLLKIVAGLQCLWLTVLHLNVTTVDHMVLYSLSLKLEEGCQLTTADEIADSVNQLLGRIQEEAASSS >Solyc10g084780.2.1 pep chromosome:SL3.0:10:64319853:64321712:-1 gene:Solyc10g084780.2 transcript:Solyc10g084780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITWMFCIASLLSFLTIVESKVRGVYTAGPWQSAHATFYGGSDASGTMGGACGYGNLYSTGYGVNNAALSTVLFNSGLSCGACFEIKCTDEKWCNPGNPSIFVTGTNFCPPNYALPNDNGGWCNPPRPHFDLSMPVFLQIAQYRAGIVPVTYRRVPCKKQGGIRFTINGFNYFNMIIVTNVAGAGDIQQVQVKGTNTKWIIMSRNWGQNWQSNAMLTGQALSFKVKTSDHRYVTSYNVAPSNWQFGQTYEGKNYRV >Solyc09g015500.1.1 pep chromosome:SL3.0:9:9298321:9299072:-1 gene:Solyc09g015500.1 transcript:Solyc09g015500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFFFFLLDSTSLFLHLGLLDADWPKTMPFSTSSTDGIIYVCKVGECRPVKTFSRHQACSNDGIIYIFFFISLMCYRLTRRHRK >Solyc02g010600.1.1 pep chromosome:SL3.0:2:13293019:13293791:1 gene:Solyc02g010600.1 transcript:Solyc02g010600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSEFAPICIYLLIRPLVSLIPLGLPFLFSSNSLTYQENYRPMNVVSILLKQGVCPRVSRKTPKKPNSAARKIAKVQRSNQHDIFAHIPGEGHHLQEHSLVLTRRGRVKDSPGVKFHCIRGVKDLLGIPDRRKGISKYGAE >Solyc11g043190.1.1.1 pep chromosome:SL3.0:11:33566656:33566808:-1 gene:Solyc11g043190.1 transcript:Solyc11g043190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLHFHYEDVSRQDPLLKPNHANVMKVPRLCKIIVVPNTTPSIKNGNWL >Solyc02g081770.1.1.1 pep chromosome:SL3.0:2:46146777:46148114:-1 gene:Solyc02g081770.1 transcript:Solyc02g081770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEILYKKLIKPFLPTPSHLQHYKLSFFDQIALKEHVPIVLFYANNKFINNFTIDERIKQSLSKVLTHVYPAAGRYDKDECSILCLDQGISYTKAKVNCKLNNFLEKAHRDLSLAALFWPHENKYINKSNLMVSPIVTAQVTEFECGGLAVSLSSSHPAMDGFSNIKFLLEWAKVCKMETPVENINFLRFNLGNVFPTRDISRLFKSTYDPVIEKDIVTKRFIICETIMSRLRKKCIDEARGALTFQPTRVEIITALLWRAFIRTSTIINGYVRPSLMDLPLNLRSKTSLTQVSNSMGNFRVDVPIKFIPGETKMELHKFIILIRNGVNKVVASCTKASPDEIVSTLVNINNGSVASPEWGGNDEVDKVSCSSLCNFPFHDIDFGLEKPKLLFFGSKDMQMFWLYDTDIHSQVCVQVDLKENYMKLFECNDDIKALTFIHNANL >Solyc01g066340.3.1.1 pep chromosome:SL3.0:1:73943826:73944125:-1 gene:Solyc01g066340.3 transcript:Solyc01g066340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILVPRSRESGSCTMKSPFLARSKAKSDANDSDEFLALEARSGIISRLQRPATMTRRCCEDDFAGAFIFSIVRATGEEDNPNHTVWGRVSIIVLDGATPF >Solyc01g005970.3.1 pep chromosome:SL3.0:1:642039:647471:1 gene:Solyc01g005970.3 transcript:Solyc01g005970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTIERSPNAQRGFRVQAPLVDSVSCYCKVDSGFKTVVGARKFVSGSKICIQPDINPHAHKTKNSRRERSRVQSPLLPGLPDDLAIACLIRVPRVEHNKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVIKRDRDGRISWHAFDPTYQLWQPLPPLPGEYSEALGFGCAVLSGCHLYLFGGKDPIKGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNRNRWTFVADMSTAMVPFIGVIYDGKWFLKGLGSHREVLSEAYNPETNGWSPVTDGMVAGWRNPSISMNGRLYALDCRDGCKLRVYDGASDSWNRFIDSKLHLGSSRALEAAALVPLNGKLCIIRNNMSISMVDVSSPDKQVETNPHLWENIAGKGHFRTLFTNLWSSIAGRGGLKSHIVHCQVLQA >Solyc03g052980.3.1 pep chromosome:SL3.0:3:23543700:23552579:-1 gene:Solyc03g052980.3 transcript:Solyc03g052980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSWADSVENVATDNGGPSGASGASASTRRSSYVPPHLRNRPMTAEPLAPSHGGPPSGNDRSGFNGPTSGPRWGGSRPDYGRQGGYGGGGRGGGWGGRGGGWGREREVNPFGNDADVDLEQSFDQENTGINFDAYEDIPVETSGENVPPPVNTFAEIDLGEAVNLNIRRCKYVKPTPVQRYAIPISLAGRDLMACAQTGSGKTAAFCFPIISGIMRGHFPQRPHGSRTVFPLALILSPTRELSMQIHEEAKKFSYQTGVRVVVAYGGAPINQQLRELERGVDILVATPGRLVDLLERAKVSLQMIRYLALDEADRMLDMGFEPQIRRIVQQMDMPPPGERQTMLFSATFPKEIQRLASDFLANYIFLAVGRVGSSTDLIVQRVEFVHDVDKRSHLMDLLHAQMANGVHGKHSLTLVFVETKKGADALEHWLCINGFPATAIHGDRTQQEREQALRTFKRGDTPILVATDVAARGLDIPHVSHVINFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNDGNLSMAKPLADLMQEANQDVPEWLTRYASRSSFGGGRNRRAGGGRFGGRDFRRDSSYNRSGGGGGMNYYDGGNSSGGYGNYSGGYGPGVTSAWD >Solyc06g036290.3.1 pep chromosome:SL3.0:6:25893273:25897839:1 gene:Solyc06g036290.3 transcript:Solyc06g036290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKVNKTLSIIDSGIGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYIWESQAGGSFTVTRDVDGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEQEGDIEEVDEDKEKEKGKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRYQSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLFMVDAIDEYAIGQLKEYDGKKLVSVTKEGLKLDDESEEEKKKKEEKKQSFESLCKVIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALKDNSMSSYMSSKKTMEINPDNGIMEELRKRAEVDKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEEEEAGVDVDDMPPLEDVGEESKMEEVD >Solyc02g092790.3.1 pep chromosome:SL3.0:2:54399306:54405345:1 gene:Solyc02g092790.3 transcript:Solyc02g092790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYLYALNFTLFTHNQYTHTLFFSIFGLYFLLATMDRKFVFLVSILCIVVASVTGQTPAAAPVGAKAGTTPPAAAPTKPKTPAPATAPASAPPTAVPVAPVTAPVTAPTTPVVAAPVSAPASSPPLKAPASSPPVQSPPAPAPEVATPPAVSTPPAAAPVAAPVASETTPAPAPSKGKVKGKKGKKHNASPAPSPDMMSPPAPPSEAPGPSMDSDSAPSPSLNDESGAEKLKMLGSLVAGWAVMSWLLF >Solyc11g006270.2.1 pep chromosome:SL3.0:11:1008272:1012768:-1 gene:Solyc11g006270.2 transcript:Solyc11g006270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQSFVFVEESSIITAITVITFLFLAYLGVSEIAGKHLQYSKFWNVNANSGSSSSNFLQGKMSSKLGMFILYAPACFMGFASFFMYPDGGTRFMLLQSAVTIHFFKRVLEVLFVHNYSGGMILGSVLLISSSYFMVAAAMICIQHLSLQYTEPEIDLKSIGVLIFLVGIIGNFYHHYLLSKLRGSKEKGYKIPQGGLFSMVICPHYLFEILGFVGISFISQTSFSFGCAVGVALYLSARSNVTRKWYLSKFENFPKNVKALVPFVF >Solyc01g059850.3.1 pep chromosome:SL3.0:1:68766611:68767110:1 gene:Solyc01g059850.3 transcript:Solyc01g059850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRFTFFSVSHNLKKETIKQQYEKVKERTSN >Solyc02g063320.3.1 pep chromosome:SL3.0:2:35916711:35921269:-1 gene:Solyc02g063320.3 transcript:Solyc02g063320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFLTLRFSLCVFLSLQIPLFMFKFPRATSIFALILFTFLMDLGAGDSYTTANSGDNIPKTPSSSSVYEILLLIQSDDLTLKVQAAREIRRLTKTSKRYRRYFSNAVKSLVHMLHSNSFESKEAALLALLNLAVKDERNKISIINAGALGPIICFLQSEKSTLQDHATASLLTLSASSVTKPIISASCVIPRLVDVLRQGSSQAKVDAVMALYNLATYQGNLNLILQTEPIHYIIDLLKSCKKSSKAAEKCMSLIESLVCYEEGRTAVTSEEGGVLAVVEVLESGSLQSREHAVGALLAMCQSDRCKYRETILKEGVIPGLLELTVQGTPKSQTKAQTLLRLLRDTPYPNSELEPDTLENIVSNIICQIDGDEQLGKTKDMLAEMVQISMEQSLRHLQQRALICTPADLSISSSKES >Solyc04g079450.3.1 pep chromosome:SL3.0:4:64032157:64032388:-1 gene:Solyc04g079450.3 transcript:Solyc04g079450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSTLPENISEEGTEAAAVTVTLITPMSLFTEKEIDFVADHPFLFFIKDESTGVVLFIGSVQ >Solyc02g065470.1.1.1 pep chromosome:SL3.0:2:37188605:37189147:1 gene:Solyc02g065470.1 transcript:Solyc02g065470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLFFLQFLLLATASTLTHISGQTVPPPPPTSAATPPSRAAQEFLDVHNKARSEVGVGPLTWSPMLAKETSLLVRYQRDKQNCSFANLSNGKYGGNQLWASGTVVTPRMAVDSWVAEKKFYSYENNSCTGDDKCGVYTQVIWKKSIELGCAQATCSKGAATLTVCFYNPPGNVIGEKPY >Solyc06g060535.1.1 pep chromosome:SL3.0:6:38670784:38674271:1 gene:Solyc06g060535.1 transcript:Solyc06g060535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDASSDYHMTGSAQPGAATQSGAARQRKSSQLGQLLQLYQPKLMLRNEKSATGVISSVTFHQTDTSGGKVTFETMVSSKSLKSGRYDLLTSAGSYAGGMRKLIWWNESVSIKPRGRDVSLVVGSTVNW >Solyc04g077357.1.1 pep chromosome:SL3.0:4:62372669:62374819:-1 gene:Solyc04g077357.1 transcript:Solyc04g077357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYESTLYDIRDPYCVPTTLRVFAPISFPATLLAMPKSDILGFKSVSSKTLLALSLEVSSERIRLTNLPFPIVVDI >Solyc05g014550.1.1.1 pep chromosome:SL3.0:5:8400846:8401595:-1 gene:Solyc05g014550.1 transcript:Solyc05g014550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKILEPNLIGFSLLFTIIYFFGYFIIFKDWKEKLKLDASSCIMSFVHGTLATILSIYSISQTSFQILDFSIRNSTFQEMILEYSIAYFLVDLLHYLIFHPSDILFIAHHLATLYVFLTCRFIVHHGGVTLISLLVLAEITSPLQNIWSLARYRRIDTPMAAELYDKLSPLFYMLYSFVRGILGPLFVYKMGLAFASGKGDGVICRTMWMSWMFVIVSAILVSILWVMNLWVDLLRERQRKLLKKCN >Solyc05g053220.3.1 pep chromosome:SL3.0:5:64202611:64205963:1 gene:Solyc05g053220.3 transcript:Solyc05g053220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENKPVIGLSWEPKLPQLSFGTKKSSNEVPGASAVYKPSSELMDGLFVPPNDPKKVNKLLRKQIKDTTGKNWFDMPAPTITPELKRDLQLLKLRGAMDPKRHYKKSGSKSKTLPKYFQVGTVIEPASEYYSGRLTKKERKTTLTEELLSDRKLGEYRKRKVREIEERNRPGGVDKWKNRGPQSRKRAKQRRH >Solyc06g073133.1.1 pep chromosome:SL3.0:6:45196340:45197598:-1 gene:Solyc06g073133.1 transcript:Solyc06g073133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAHPYLENPSFRSQSCYSFHLTSDAHHFNDVKNTKLESPKIELLLSNYGVQVYRKFYSEEKERSNGVLAISVKKLVSQPSIDLSSMLSVSMLLALLILTLKVHIEIERCLSSPNSESNFVQELSYAGVQRLLGFIYTAGTVSEALPPPTSSLISSFLLPHNPNV >Solyc02g086630.2.1 pep chromosome:SL3.0:2:49926901:49931205:-1 gene:Solyc02g086630.2 transcript:Solyc02g086630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPEYMLIKRKIQNRQNLEHLLSMVKQVPPASAHDAFRPAMEALAAIELLRHPDIDVKVSVTSCISEIMRITAPNQPCDDAHLRQIQLIQPSLSKFEVRMISSLSYN >Solyc10g011750.3.1.1 pep chromosome:SL3.0:10:3978753:3979666:-1 gene:Solyc10g011750.3 transcript:Solyc10g011750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMQPLKKPPGFKDPTIPIQMPPRKVQLPPSFNPQKERSNCCCRCFCCCFLIILILLLLLVSACGVFYLWFDPKAPVFHLRSLEFTKFNVTESQDGQRLNAQSVLGVELKNPNGELKIVYGDTKVDLKGENDVNMGAGEVVGFVLENRKVKVVKFVMKSNELLYDESSGKLIVDEFKNKNLRVSADVSTDIGVGYKGWKSWNIRVKVSCGSLRLKQIENGATPKCGITLFNW >Solyc03g025830.3.1 pep chromosome:SL3.0:3:3248148:3255913:-1 gene:Solyc03g025830.3 transcript:Solyc03g025830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSSKWKKEKIKTVFQMQFQVTQVPKLKAKKLMISLVPADAGKPTVRLGKAAIIEGTCSWENPIYETVKLIRDPKTGKFKQNIYYFAVASGSSKSGFLGEVGLDFADLVEATESLVVSLPLMPLETGAILHVAVQNMQGAQETRPTEDSDISRTESLDQSFETELGSNGHYGNGHCTSTEDGELNETFHYSMQNSIPRDPQPKNSLVKQFTSQNAINPLERHLHQRSSTDCSLGSDLDGSVTDTTHKSEEDLLDTAQETSSNSFEFMKNKIVMLERQAELSEMELQTLRKQIVKETKRAQEQSRQISNLKEERDVLKIKCEKLNLRCTDEVNAVASDNAGADDKKSTALLEEIRHKLQKEKNLNSKLMLKLQKTEDSNSELILTVRDLNKMLDQKDKDISYLSEKVRSNKDLLEAAAERTYLKIDQNEDRKAKELKFAEESQSLKQTIEKLQDEIEVYKTDSNEMKAQMDQLESHCQVLEDEIEVYKRDNDEMKAQMDQLESHCQVLEDEIEVYKRDNNKMKALMDQLESHCQVLEKENDDINHNLEQCELQNLKTQQEHSESLASIKHFKLQVERLEEEMTTQTSQYSKSLDTINELETHVSVLEKELETQSQEFEEHLEAVTQDKVKQEQRAIKAEEGLRRARWSNAKAAQKLQEELKRLSDEMTLKIDEKEELVNDAVTEANVLREENKVLEELLQKSEEELKSTKEHYEREVLELKASSVEIGRLDLAGSAYCKQMKHREKVRYDTEQMEKSTAETEVEQKMTIQKELERELASVRREAEMFLEELIPLRTKVDEKKILEESLQSEVEKLHLQNDKLRCSTNQLKLENENLMKLVLKLQEQEDEPPAEATQDSIVAEGRKCMGENIHHQGNGFTEGINVQNGSRKIVNITRREVDSGRVAETINGQSQNTHDPEELRGQVALLTERNKHMEHELKDMQERYSEISLKFAEVEGERQQLVMALRNFKNGKK >Solyc06g048970.3.1 pep chromosome:SL3.0:6:32203222:32207484:-1 gene:Solyc06g048970.3 transcript:Solyc06g048970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDCGVPDQSIGITGSGTGTKVIAGEKRGRVGVEERPGLCHKRVKMRDLESVLRTEEKTEMGTGNLVTDPTLRLIDLNANVVASSNVIASHVEETNKLASLGKKDNGQEGDPMKSKRFALDLNAEDVSSSINHESSYPCKNSVYLKSKDDFECASSVGPLDENESMRIWNEMKQNGFLSHSHGGAPMPKQQGRKSKSDGMKKKLELAKKERVDRFAKIAAPSGLLNGLNPGIINHVRNSKQVHSIIEALVKSEKRENAHGRSKVPSIQTKGGLKDHSERNKDQENIDGPGVSRFNPALEDLPGSRCRNGYLTSLNKSISLNSVFTGGDGGACMVDTRVTGKMVYHPNPNIGTENDALALKLSSSTTIASDNTSSLSNEESANLASVNSLSIKAASVASQWLELLHQDIKGRLAALRRSKKRVRAVIQTEFPCLFSREFSSNQENSSYGTQSSSVGHFDNATAHAHHARWTALFDQMDGALSDEERQLESWLNQVRQMQLQCEQGLQKFGAPHNLHQLGLLQNDCRLEKAESSETDLAVRAAAASIYSTCNFLSSMENLPCC >Solyc02g068160.1.1.1 pep chromosome:SL3.0:2:38762054:38762290:-1 gene:Solyc02g068160.1 transcript:Solyc02g068160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDWAPSVIAVGLFILLSPGLLFQLPSRTRFCEFGNMYTSGIAVLVHAILYFCIFTLLTVAFNLHIWSDFSFPPIKI >Solyc12g097050.2.1 pep chromosome:SL3.0:12:66683845:66686229:1 gene:Solyc12g097050.2 transcript:Solyc12g097050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAQCNSDKFHSKAQANHSVSKVEDAIQRACESAHQKREHNSGFLHQTGEQMLHMAQDAVDGVKNTFGIGTKNNK >Solyc02g030540.2.1 pep chromosome:SL3.0:2:26807801:26808710:1 gene:Solyc02g030540.2 transcript:Solyc02g030540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EDAQKKSYASIVSSQTKKRPCKIYAPTNTSRVAPPKAIKQTVAAVAQTAAPEASNPTTPSGIDVPKANYAEDEGAVVFDASRAAVCATAATNCFTAFLGDILEVFVGT >Solyc01g058720.3.1 pep chromosome:SL3.0:1:67909933:67920333:-1 gene:Solyc01g058720.3 transcript:Solyc01g058720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPTGSVLHSAAGAGKPNLRSAFDVLDVDRDGKISREDLRTSYGGFVGDDMIGTMMTVADSNEDGYVEFEDFEKVLDSSISRNSEEKRKNGALRGEMNVMEDVFKVIDKDGDGKVGVEDLKSYLSWAGLQVDDDDIKAMIKLGHGEENGGVTYQG >Solyc02g089280.3.1 pep chromosome:SL3.0:2:51790506:51792692:-1 gene:Solyc02g089280.3 transcript:Solyc02g089280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLKAHEKKLLKKVNFLEWKREGGHREGDVMRRYHVTGRDDYKKYSGLCRTVQKLVNILKQMDPRDPYRIEMTDSLLEKLYNMGVITTRKSLSVCENLSVSSFCRRRLSTILVRLKFAEHMKEAVTYIEQGHIRVGPDTVVDPAFLVTRNMEDFITWVDTSKIRRKVLEYNDQLDDYDAMN >Solyc09g015820.3.1 pep chromosome:SL3.0:9:11222414:11231139:1 gene:Solyc09g015820.3 transcript:Solyc09g015820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISDTVRSLFPCIKSHSTSDGDDSTHNVEFAGGNVSLITTKESWDQKLAEAKKEGKIVIANFSASWCGPCRMISPFYCELSEKYLSLMFLTVDVDELTEFSSSWDIKATPTFFFLKDGEQIDKLVGANKPELQKKITTVADRHVACEPQPQPQ >Solyc04g015620.3.1 pep chromosome:SL3.0:4:5924716:5930400:1 gene:Solyc04g015620.3 transcript:Solyc04g015620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIFSLLIFTLYISLASAATVPIVPYLDDLLENGDFEEGPKASELKKTVIIGKYSLPEWEISGVVEWVSGGPQEGGFYFPIPRGAHAIRLGNEASISQYVEVKPNTIYSLTFGATRTCAQDEVLTVSAGDMSSDLPIQTLYSADGGDTYAWAFKTTSNYVEVKFHNPGMQEDPACGPLLDHIAIKELPMATYTKGNLVKNGGFEAGPHVFKNFSTGVLVLPLKQDKYSPIPGWMVQFAKPAKYIDSKHFLVPSGNAAVELIGGRETGIAQTVRTVPKQFYNLTFIIGDANNDCHGTMTVQAFAGKASTQVSFVSSGKGWYKTASLKFQADKTRTTIAFYNPFYHTKVHDFGHMCGPVIDDVSLVHVKK >Solyc03g115460.2.1 pep chromosome:SL3.0:3:66644168:66646507:1 gene:Solyc03g115460.2 transcript:Solyc03g115460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVTRRFTNKLLRNPSFLRDSQINPKLSTPFNSYVKSAPFSQSQNHPPFIGMIQKQFHSSQYTENLRFQVRGLALTNCQNPLKMNPRYFSSEGSGNPKSPSEHPSENPEFKHQEITGPTVERDVTPLANETREVLEKMLKTMYNLSKAFAVLGLVHLGLGAWLTYKTQSSPIPEISIQSFLAFGLPFSLAFMLRRALKPIYFFKKMEEQSRLQILTLTLQAAKQLNLLFVRAQGVTYSCVAVTSLGLILVGYLIFTILAVLKSPELDVHGEICRIEKEQRNHSLMDNLHVKCTVRALYISEKRNVIS >Solyc03g006173.1.1 pep chromosome:SL3.0:3:827218:828899:1 gene:Solyc03g006173.1 transcript:Solyc03g006173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKEGAIVKKGHEEGLKMALSLLEEYQLPAGLLPLADVIEVGFVKTTGYMWILQTKKVEHNFKMISKLVSYGAEITGYVEKNRIKKLKGVKAKELMLWPPVGDISVDNPPTGKIHFKSLAGITKTFPVEAFAAGQSFFCLKGRRMTAKD >Solyc12g010940.1.1.1 pep chromosome:SL3.0:12:3822212:3822880:-1 gene:Solyc12g010940.1 transcript:Solyc12g010940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEEVLLLKLFDTYWFEQEILFSNTHKFKKLFQENPHEIQESSNLLGRSQSDCSLKISSDVCQIIQKQNIFEGSNTSPSFSQLGNSPTSVIITHNRVAQEVKVKARNGVKGIMRIRRSSSELELEELKGFMDLGFEFSEEDKNSSLVRIVPGLEKWGIVDENVKKKDIVHKRPYLSEAWEILEKRKMSKKLLKWRFPAMKNDMNMKHNLRFWAQTVASTFK >Solyc02g086820.3.1 pep chromosome:SL3.0:2:50071797:50075339:1 gene:Solyc02g086820.3 transcript:Solyc02g086820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:Q5NE20] MSTASINNCLTLSPAQASLKKPTRPVAFARLGNSSSSSSIPSLIRNEPVFAAPTPIINPIVREEMAKESYDQAIAALEKLLSEKAELGPVAAARVDQITAELKSADGGKAFDPVEHMKAGFIHFKTEKYDTNPALYGELAKGQSPKFMVFACSDSRVCPSHVLNFQPGEAFMVRNIANMVPAYDKVRYSGVGAAIEYAVLHLKVENIVVIGHSACGGIKGLMSLPEDGSESTAFIEDWVKICLPAKAKVLADHGGKEFAHQCTACEKEAVNVSLGNLLTYPFVREGLVKKTLALKGGYYDFVKGGFELWGLEFGLSPPLSV >Solyc10g086130.2.1 pep chromosome:SL3.0:10:65193284:65195253:-1 gene:Solyc10g086130.2 transcript:Solyc10g086130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNKSNCFDSRLWQACAGTMVKMPAVDSIVLYFPQGHAEHAGVNVEFRSDVKIPSYIPCRVSSIKYMAERETDEVFAKIRLTPVRLSEFFETPEEEGMVKIGSDNSRKPLSFAKTLTQSDANNGGGFSVPKNCADTIFPTLDYNVNPPVQTLSATDIHGKSWQFRHIYRGTPERHLLTTGWSTFVNQKKLVAGDSIVFLRNENDKISIGIRRIKKKSVAMEPETSPWWFPSVGNLTIPRGGFSAFLRDDHNTNSSWSLINRGNVKAESVIEATKLATNGQPFEVIFYPQSTTPEFFVKASRVKAALQIPWCSGMRFKMPFETEDLVISWFMGTISSVQANDPSQWPDSPWRMLQVTWDEPNLLHNVMCVNPWLVEPVSNMPTINFNPYTPPLKKLRLSHTSDFPLNGHLPMSGFPNNHLEFSIDPPMSSFPIHHLLRPYGCPPNNTPVGMQGARHAPYNLSLPDIHTNNLLSSLSPVGFPSLHHVVASPNTSNNTMIPKPSRNAGISSLLTLGSSTQTNKKFDSEKTTQFVLFGQPIVIEQQTSQSNSRISVSPRHATNSFSDGNEYKKENTSDSSDTSFVHNSVPDYLPSKSFRSEENVEIGHSKVFIESEDIGQTKQLGEW >Solyc03g083020.3.1 pep chromosome:SL3.0:3:54286972:54289700:1 gene:Solyc03g083020.3 transcript:Solyc03g083020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVASPWDFNCNLEVDLGSEENAHIVYTALAVDKELQPDKVKRHMSVSNGKLSVNFEAVEARFLRASFSAFVDVLTLTTKTIEEFGSKKE >Solyc08g067290.3.1 pep chromosome:SL3.0:8:56412410:56415969:-1 gene:Solyc08g067290.3 transcript:Solyc08g067290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEEELKHKIQELQKQLGKKQMFEEAVSSIRSLLLQYYPSASPSLRNSFYLVVCRVATILKTRYTMPGFWNAGLGLFIEAEHLVSGSAEKKHLQSCITQAREQLAEVQNESQNTTSTENDSTRRFLFEGHLTVDPEPEQPAWLEQSHILTAAAALLGGESSQGDPSNVNDTEGARNILEQLVARLDNMIPEILEDGPSAPRPPPASKQVVANLPVNTVTDELLKQLGADAECAICREELVVDDKMQELPCKHMFHPPCLKPWLDEHNSCPICRHELLTDDHHYESWKEREKEAEEDRKGAANAVREGEYMYV >Solyc02g065230.3.1 pep chromosome:SL3.0:2:36970080:36972668:1 gene:Solyc02g065230.3 transcript:Solyc02g065230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVNILLGLLFACFLVREVVISVRRSSKRVAPGPYPLPIIGNLHLLGDKPQISLAQLAKKYGPIMNLKLGQINTVVISSSVLAKEVMQKQDLSFSSRFGPDALCACNHNDFSVVWLPVNNSQWRSLRKSMNSHIFSVNKLDANKHLRTKKMQELIDYCHKSGQNGEAVDIGRAAFRTSLNLLSNTIFSKDLTDPFSDSDKEFRELVWNIMAEAGKPNLVDFFPFLKKIDPQGIRRRLTNHFSKVLQLLSGLIDERLKEREMGNRENVDVLDALLSISPEEIDRKHIEHLYLDLFAAGTDTTSNTLEWAMAELLKNPHTLEKAQEELAQVIGRGKLVDEADVAQLPYLQCIVKEALRLHPPVSLLIPRKVEEDVELCGYTVPKGSQVLVNVWAIGRDSGIWENPLDFKPERFWESEIDVRGRDFELIPFGAGRRICPGLPLAIRTIPVVLGSLINTFNWKLHGGIAPNDLNMEEKFGITLAKAQPLLAVPIPL >Solyc08g005170.3.1 pep chromosome:SL3.0:8:111313:116874:-1 gene:Solyc08g005170.3 transcript:Solyc08g005170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HSF8 description:Heat shock factor protein HSF8 [Source:UniProtKB/Swiss-Prot;Acc:Q40152] MEPNSYGSGKAAVGDGVGAPMLQTAPAPAPIPSANAPPPFLVKTYDMVDDPSTDKIVSWSPTNNSFVVWDPPEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLKSISRRKPAHGHAQQQQQPHGNAQQQMQPPGHSASVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQATDNQLQGMVQRLQGMELRQQQMMSFLAKAVNRPGFLAQFVQQQNESNKRIAEGSKKRRIKQDIESQDPSVTPADGQIVKYQPGINEAAKAMLRELSKLDSSPRLDNFSNSPESFLIGDGSPQSNASSGRVSGVTLQEVPPTSGKPLLNTASAIAGQSLLPATSEMQSSHLGTCSEIINNQLSNIIPLVGGEDLHPGSLSASDMIMPELSQLQGILPENNTDVIGCDSFMDTSAVEGKVGLDIIGSCLSPGADIDWQSGLLDEIEEFPSVGDPFWEKFLQSPCSPDAAMDDDISNTSETKPQINGWDKTQNMEHLTEQMGATNIKQQKHMI >Solyc02g082850.3.1 pep chromosome:SL3.0:2:47049422:47055517:-1 gene:Solyc02g082850.3 transcript:Solyc02g082850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCLSDDTWFALSQMRTTTTLQVEDPQIIGPNSPGMPEYCLEKVFPVYAVGVSEPDPNLIVSVANTASTLGDPIWDAVKLEAKLEAEKEPILSSFLYASILTHDCLERALSFVLSNRLQNPTLLATQLMDIFSDVIMHNRCIQCSIRLDLQACKDRDPSCLSYCSALLYLKGYHALQTHRVAHTLWNQGRKVLALALQSRVSEVFGVDIHPAAQIGEGILLDHATGVVIGETAVIGNRVSLMQGVTLGGTGKEIGDRHPKIGQGALIGASATILGNIEIGEGAMVGAGSLVMKDVPPHSMVTGIPAKVIGYVDDQDPSLTMKHDASKEFFKQVAIRCKEARSNGAVASKDDGST >Solyc11g020785.1.1 pep chromosome:SL3.0:11:12037147:12045101:-1 gene:Solyc11g020785.1 transcript:Solyc11g020785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELRYFLGIEFARSKDGIMMNQRRYALELVPECEIAGAKPTTTPFEQNQKLTSLEKSVTGFGIKIGESLVSWKSKKQSTVSRSSAEAEYKSMATTVAELVWLQGAGRVGKREGGPHTESHTYLSNAGDWGMGRINSPGAGKITDEALLR >Solyc07g020970.2.1 pep chromosome:SL3.0:7:15268755:15271219:1 gene:Solyc07g020970.2 transcript:Solyc07g020970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVGWQANMDRQSRGVTMPPYHKYFYCTFF >Solyc01g105090.3.1 pep chromosome:SL3.0:1:93255023:93258955:1 gene:Solyc01g105090.3 transcript:Solyc01g105090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAEQPVLAAVKIMLAISKRMKNSSIRSWGFIFRPSDINCVSREAPHMFCYSGQSVPNPYLPKATSLMRFLGHSNSSISRNYHQMRLIPKLSREDPALNTLLLPISLSSGSREIVLKFLHARRFSSPSIELNTEKGVVRFRFGQEIGKRGGQTQVKRVVKKFKMSKKAKLNELRFYRLKAKKKMRSPNPEVRIRYKLEKAKRKEAWLIEKLRNFEVPKAPDEPHDPEILTEEEKFYLKRTGEKKKNYAPVGRRGVFGGVVLNMHLHWKKHETVKVVCRPCKPGQIHEYAAELTRLSRGTVIDIKPDNTIIFYRGKNYVQPDVMSPPDTLSKDKALEKYRYEQSLEHTSQFIEKMEKELVEYHEYLAQKRKQENS >Solyc03g111973.1.1 pep chromosome:SL3.0:3:64027118:64030651:-1 gene:Solyc03g111973.1 transcript:Solyc03g111973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPWYSVVVPLIVFLFFLHNCLFTIFNTSNKKLPPSPRKLPIIGNLYQLGLHPHRSLYKLSKKYGPVMLLHLGSKPVLVASSVDAARDILKTHDLVWSTRPKSSIADGLLYGSKGVAFSNYSEYWRQVRSVIVLHLLSNKRVQSFRDIREEEVSNMIDEIRKRSTSSSNSVIDMRDVLSCMTNNIINRVTIGRTYNEGESGRAVKALLEDLLALLGTFNIGEYIPWLKWLNKINGLDNRVKKVAKDLDAFLDSVIEERVVRNKKAENSTGEAKDFVDVLLEIQNGNETGFPLQRDSLKAILLDSFIAGVDSIYTTLEWIMIELLRNPRAMEKLQNEVRGLVQGKAEITEDDLGNMLYLKAVIKESLRLNPPFPIPVPRESMEDVKLLDYNIPAKTQVLVNIWAIGRDPLSWDEPEEYRPERFLNSDIDFRGLNFELIPFGAGRRGCPGIPFAIVIIELTLARLVNKFNFALPQGIKKEDLDMSECTGISIRRKLPLLAVATPCSV >Solyc09g065175.1.1 pep chromosome:SL3.0:9:63331324:63331686:1 gene:Solyc09g065175.1 transcript:Solyc09g065175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPNALWRFTRGYTTAMELEKKSNDELVRSSPSKRKVVSTVKAIEKKCYKRRKVKIFVPDMIGEPVVNEEQDEMSEESHSSSIFFLLRLLGV >Solyc08g068714.1.1 pep chromosome:SL3.0:8:57916298:57916745:-1 gene:Solyc08g068714.1 transcript:Solyc08g068714.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKSLVSWKAKKQTTVSKSSADVEYRTLASTVSELIWLVGMLKEVEAKVQVPKTGVLNIFIPPNVKGSDEICEEVVK >Solyc03g044277.1.1 pep chromosome:SL3.0:3:8687783:8691788:1 gene:Solyc03g044277.1 transcript:Solyc03g044277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSHRDDPIVIEDDENDEPANTNPLLETMFSESILQAECMIEMRISTLIMARKYQLRERNDNNDSCCICLDTYEYGIELGKLSCGHEFHCYCIWKWLKINISCPLCKMRDLKS >Solyc06g075190.1.1.1 pep chromosome:SL3.0:6:46808494:46808781:1 gene:Solyc06g075190.1 transcript:Solyc06g075190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPLRFILVFFSALLAGYLAWRTVRSSDDLDFANPDDETTKSKSEEERRESDSGEVKFHKFQKIQNGFWVFVDMASGKYLWRNLKSMNNVVDKTN >Solyc04g047790.1.1.1 pep chromosome:SL3.0:4:37637016:37637372:1 gene:Solyc04g047790.1 transcript:Solyc04g047790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPYFLKHYFWAGMISTQKSELMHLVFDGYISKRSSLNQFVEQYNIALRYKYEKEFQPEANSQKKHAVCFNGFEWYLQLHTYYTQPFYVVFVAEHMNLLYNFEIERRHEFNVLEGVEK >Solyc11g065340.2.1 pep chromosome:SL3.0:11:51056802:51062363:-1 gene:Solyc11g065340.2 transcript:Solyc11g065340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLIKIFIILSSFGCVEISESESESWFVQQYVRQGQRKFELKTNKFWEYDEQSNSWLQVDLPYDLVSCFNDDCTKVNRIDQTNQEPEKDEIFSKVKDEGSSYTYLPLRKRVSLTKMSEASIWITGVSGSIYERFWNGLQWVIAPHDLSISAGYAVSVFMVNHTVLALSESGYIYQLQLSDDQPVWINITPASDHQTSKETELIQIVSGVVSSDRKRIYFCTKNGTLLELTEVDPIRWTNHGKPPGANVAAIADASTFISEVVFTISTAGDLYEYDQRSRPSWKKHIQKEPSDQDTSLKPSLGCSLKGVNGAISKSLFLLAKGGYLIERRSQQRKWKWKWINHGNPKDHVLSSITCLSEENLAESSNSLFLTTAAGYIFEYRIPDHSGIDQEDDVTESWINHVYPPYAKAARGIPGVQLHPGRIIFPLDDGRLGELRLSGLGSENSGPNYQINARRRSSQKYVWFLIDAPETEGWNAEYCTEEHGPSNCIAGIKDENNELDLTTSIARRRRTNKEQYSYISVDMSARKAAEPEGDYNIPDNWINKNFNLRVMHEGKSFFLITEGGLIFEYLNSDNVWFWLRHDHPTAMRGALGNYNGSLFLVDEQRSLLIRERDSAELAWINCTAMKRGRQVIGGPPWDDLPGKSRNARKEDALFFVSKSGRLLQFAVALRKFKWKDCRYPASTKIASIADQELLRENVVFVIGRNGRLYQYNKVTELWHEHYQSQHLVLSRSPGTAMRLSSRSLQGSLFMLSADGGLVEYNWNPSNGWNWIEHGTPDPSVILVGSPGPCFAGAHLFLIGSDGEVYLRFLDNGTWKWRSCGFPYMENEKHVSDNHDRKETCTSDDLADCLEKIEENLQTLNKNCDSKVALTRPIPFTEDTVLFELRDGRLAEMRRTGDTDWTWSRTIGTPTSLCVTSFWATLA >Solyc11g039980.2.1 pep chromosome:SL3.0:11:40538676:40540524:1 gene:Solyc11g039980.2 transcript:Solyc11g039980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLGGKDVGSSSPANSEVGLNQPPLQEDGESSEVVLKDQFQTELKIEEPKGKENSGTHPINHLIMELYPRAAELTSLLESRISHFYTNFQGDEIGRVVSLGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVDVPAGKAMLGRVVDGLGVPIDGRGALSDHERKRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRVNQKQLNSRATSESETLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVQSLQILTHSLPE >Solyc03g032180.3.1 pep chromosome:SL3.0:3:4700831:4714367:1 gene:Solyc03g032180.3 transcript:Solyc03g032180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLQLLLYRTVEFSSFMAAISNFTRENVLLSRFKLKALVYYLQKFKHTFAECDRESQVSTDSGNESVVEAANMICDGCDSGQIDFSKWRKLDSRNFGISRSMIPPSPQVVLKILHGEGFEAYLVGGCVRDLILNRIPKDFDIITNARLRQIKKQFRRCEIVGRIFPICRVHVKGSIVEVSSFDTVAKHAEKEEAPLVPEIPKGCPEKDFILWNNSMHRDFTINSLFFNPFANRIYDYANAMQDLRSLKLRTLVPAHLSFGEDSARILRGLRLAARLNLSFTKEIEDAMHELSSAIMSLSKSRIMMELNYMMSYGAAEPSLSLLQRYNILEIVLPFHGTYLTQQASKQSGKSSVMLMKLFSSLDQLVSCGQPSHDSVWVALLAFHMALITHPQHVFVILTFASVLYHANWKEAVKFAEKHSEDAAVYGPELSDSHGSISEDELAKKVAQLAVQVQKSINILADRDSLLEAMSKFPGAPCSGLVFVSNKMGRTVELMFDILVKDVTSLKTRKDVYRIDYISLGKGNMCETRFLLGKVILDTIVPRVTQDVKMIKEGKHILLGVDGQQKEDASHRNFLENLELMKPEFVSDDDDDNLLNENYQLQHNIFEKKRLNHEGCSDLVEAVTKKQKIVAAEHYEEMAGKKQDLIDDTDFLSQELDRVRDTVTKGEDAQLPKDEIRMLLEEVKYLQHCRDATGKENKNDEKFQKTVINPLNLLMNNVATKDHKVTGKYESLQQEHSIDEVNEKLDGENHNSRGKKFASAEKGKRTVSKQTLSTLFR >Solyc08g065330.3.1 pep chromosome:SL3.0:8:53301111:53310744:1 gene:Solyc08g065330.3 transcript:Solyc08g065330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcineurin B-like 10 [Source:UniProtKB/TrEMBL;Acc:G4XMX1] MDSTRNSLSWSSLTISEKICASFFPIIALIEACMYAVSGCFECHYPPNKKFSYEYTDLARLAGESRFNVNEVEALYELFKKLSCSIIDDGLIHKEELQLALFQTPHGENLFLDRVFDLFDEKQNGVIEFEEFIHALNIFHPYAPIDDKIDFAFRLYDLRQTGYIEREEVKQMVIAILMESEMRLSDELVEEIIDKTFADADADGDGKIDKKDWKEFAIRYPSLLKNMTLPYLKDITTSFPSFVFHTQVEDIEKIHLL >Solyc08g015675.1.1 pep chromosome:SL3.0:8:5216149:5218858:1 gene:Solyc08g015675.1 transcript:Solyc08g015675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFEATIKRHVEFQCQVLKVPIISLYVLVAIPNNATIHSIGPWTNTTNEEAFLGSKLYFLRVPYCQTNMTARKTKASTRETHPPCETLVNAEDKYTPSMNQKNRRKQVARAMFLCHTKIMTSVMRLLSSQEAYKSSITRFTKKSTLLDLHITLEEQSYKAFSCDDGLTGNNARKGSNDKNRPIYTICMKAMSSISEIGYNLINYPEKNLIQK >Solyc09g057740.1.1.1 pep chromosome:SL3.0:9:50586685:50586864:-1 gene:Solyc09g057740.1 transcript:Solyc09g057740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDELFFVDFEMVKFSPFFTVTNLYGIKSVQTFTIQVTQKINFCESKKLFILRNLPTQP >Solyc02g078240.3.1 pep chromosome:SL3.0:2:43561343:43564478:1 gene:Solyc02g078240.3 transcript:Solyc02g078240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSRVIFTIPYFSFPSISNKSPYPKLIPDTSAPICYAICCSTSTPGLPVTTEILRNDTPLTGGAFDFENATTSLTKRVLASPKKVTIVRHGLSSWNEESRVQGSSNLSILSEKGTMQAERCKMALSDIHFDQCFSSPISRAKVGI >Solyc03g114390.1.1.1 pep chromosome:SL3.0:3:65874624:65875457:-1 gene:Solyc03g114390.1 transcript:Solyc03g114390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFQDLSSKRQFHWTAKVSNEEQEEVTSKDSSPNRNTEEEKTENIKVHSFKNPEVSNTKSSTLNTIHENKAEDKQESSSATRRKLQTIAVARLKSVLTAFGRNRSNFQQGLGTRVVGTLFGHRRGHVHFAFQKDSTSQPAFLVELATPISGLVREMASGLVRIALECDKEEEKKVSRLIDEPVWRTYCNGKKCGFATRREIGAKELQILKAVEPISMGAGVLPGNGEEESADSGEIMYMRAKFERVIGSRDSEAFYMMNPDSNGAPELSIYLLRV >Solyc01g010500.2.1 pep chromosome:SL3.0:1:5390938:5395204:-1 gene:Solyc01g010500.2 transcript:Solyc01g010500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRGHNGKRIFLNAAFENFEQRNHPSIEIFNNKFLLEVFKRLPSGKETSVCACVSKRWLTLLCTVHKDEIAESNGYLARSLLVAIVVRTSNRGDLTKLSIRGNNLRHSVTYIGLKDISRGSPTLKEHSLWNVSYVGDEGLSQSARECHLSEKLDLFQCPRNRGPDCSSSLERKILDVVNECRMTNSSMTHPCDPNLVPSWKRSFDILGALKFVPWMLNSFIQAHPPSRVRLKVYEFSRILPDTLKFELVPHEDIWESLFNNHIPSKEDIGVYFFASEKERFERYIALVKSICSKDLVMRTLINDDELLILASTALGNDSQMDWCCEECDIDKWIMFQLSGLENVHYEQPRYFIMGYYVDPPVDWRCEECDIEIGIMFSSNKQENVHYEGPRLSASRKICLSTVQSKKHCKFPGGHRM >Solyc01g015230.2.1.1 pep chromosome:SL3.0:1:17940548:17940655:-1 gene:Solyc01g015230.2 transcript:Solyc01g015230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGQVALSNHQIREDRLTKVRGPLFIGSDKTSGIVP >Solyc01g011493.1.1 pep chromosome:SL3.0:1:9365195:9367016:-1 gene:Solyc01g011493.1 transcript:Solyc01g011493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPNYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLRPI >Solyc02g091140.3.1 pep chromosome:SL3.0:2:53185051:53186883:-1 gene:Solyc02g091140.3 transcript:Solyc02g091140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKIHMNGGDGPNSYANNSEYQKQLVGYSKKLMAELIEQELDVGIINSVCSLFCVADFGCSVGGNTYLAVQNIIKAVENKYKSSELDQENPQLQVPEFLVFFNDLVQNDFNTLFNYIHGNKPNYFTTGIPGSFYGRIFPKAFLHFAHSSMSLLYLSRIPEEVLDRNSAAWNKGRIHYSSSGAAKEVEAAYADQFRKDIQAFLDARAQELVPGGLMTIITLTILDGVLPSDSPMAINFTILGSCLQDMANMGIMSEEKLDSFNLPYYYTSPMEFETLIKTHGCFDIIRFEKLPTPLRQVVIDVQTAVLSVRVVTEQLFQQHFGNDITEELFQRYTEKLDSHPLLKDDKYRTDASYFVFLKRKAETSERES >Solyc01g096260.3.1 pep chromosome:SL3.0:1:87214771:87216447:1 gene:Solyc01g096260.3 transcript:Solyc01g096260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFSWVHRKLNQKDGLFCGNVKTDEVIVINDHKQLLIQDEFSMLGGWKEGILTIGTFGFDPIKDELSSCLHDENEVPEIIAVSDHEEPNALMSANNEPEESPIMANVITSNNPLVTAGDESNMDIKKERITLADLFSADLSDDDDDDDDKEEKVQLPDLYDDVTNIHKKSLKLPKVKNGVTFAKKIIPRVKEDSRRIQKLMTRALKRKIHPDMEGKNQKNSQAIAASTMLELFPITSKSISLREILEIPA >Solyc10g018870.2.1 pep chromosome:SL3.0:10:10806603:10809394:-1 gene:Solyc10g018870.2 transcript:Solyc10g018870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHDGAHPVHAAVCNKYQGTASVLLKTIFHVYFMLYQVAADAKSYILRSQLPADVYKAFVEDENRSHVNALTFVVTSIVRLAGGKINEENLWHHLRRLGLSETDESHPVYGNLKLALEAIVQQRYLHKERVNGPEGNATFYELAERSLDGPINDGMKEHIAKIVNKDITSVDAD >Solyc09g010800.3.1 pep chromosome:SL3.0:9:4094974:4096922:1 gene:Solyc09g010800.3 transcript:Solyc09g010800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPSITHFYTNQKKKITFDFSILVSDQSIMSCCGGNCGCGSSCKCGNGCGGCKMYPDMSYTESSTTTETLVLGVGPEKTSFGAMEMGESPVAENGCKCGSDCKCNPCTCSK >Solyc05g006760.3.1 pep chromosome:SL3.0:5:1385201:1391291:1 gene:Solyc05g006760.3 transcript:Solyc05g006760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKKKTHQNQKQEPTNFKKMEEFKVDKWGYQVKTSSDSCISAINSYYHQVLSYGRERSVILEAPKQDPTCVLANILAAHFLCSADSSRAMPLLEAAKSCLEQASLYEKVVFEVVSYLILPNRDDDVAVELHLKLLKDFPRDLVTVKRAQVLSFYMGRPDLSLKLVEQVLPVNKHGRYIYGMLAFALLELGRYAEAKEAAKKGFEIDSEDAWTHHALCHVYQYECRFKEAVQFMEECSRTWSSLSSFMYTHNWWHVALCYMEGHSPMEKVRDVYDQNIWKELERIDASLAEVYLNAVGLLLRVYLRGGINIFGDRLKILADRLTDKAFWYLEWHLDVLVLWALSYTGEVSKAEELLEGLKSRISTMTKKKREYMQRAVLLAEVLFEYGKGENERALELLGQTFDAIDYKIIGASDEQLDVFNEVWITMLLNSGRATKAIEAIEMQLKKREGTPFLWRLLEKGYSSMSRNQEAIQAGKKAQSLEGAYFN >Solyc05g009490.2.1 pep chromosome:SL3.0:5:3661928:3663472:1 gene:Solyc05g009490.2 transcript:Solyc05g009490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIVGILNVLVYIRSISSTIKSPCIHRFYAKLNAKLTLLLLLLLRIKVINYFFFLRLIIRIF >Solyc06g005040.1.1.1 pep chromosome:SL3.0:6:23461:23748:-1 gene:Solyc06g005040.1 transcript:Solyc06g005040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPHTPTIYLLKDAAYPCYILSIVGARKSPLLDILAAGTLRTSVTLLLNSLPLPNPLSFCKLSAYVPQHDSCLSQLTFSELFAFSARLHDLKLA >Solyc06g071450.3.1 pep chromosome:SL3.0:6:44098814:44106039:-1 gene:Solyc06g071450.3 transcript:Solyc06g071450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVSSKLYADDVSLLMVLVDTNPYFWSSMKNTAFTFPKFISHVLAFLNSILLLNQMNQVVVIATGYNSCDYVFDSSTSSMQRAECLLEKLEDFVDKDESLSQEDSVDGVGFSLLSGALSMALCYIQRVFRSGPLHPQPRILCLHGSPDGPGQYVAVMNSIFSAQRSMVPIDSCVIGSQHSAFLQQASYITGGVYLKPQVSDGLFQYLSTVFATDLHSRSFLQLPRPVGVDFRASCFCHKNTIDMGFICSVCLSIFCKHHKKCSTCGSNFGEARKQDPSASDQRRTSYGS >Solyc08g066240.3.1 pep chromosome:SL3.0:8:54785771:54788966:1 gene:Solyc08g066240.3 transcript:Solyc08g066240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQKEFDLTVVPTEGEIDAPLSPRKNLCLSVVESDIKNETSFQKLDMILTQYLETLSKRKKYHIGYPTNMHYEHHATLAPLLQFHLNNFGDPFAQHPTDFHSKDFEVAVLDWFAQLWEIEKDEYWGYITSGGTEGNLHGLLVGRELLPSGILYASKDSHYSIFKAARMYRMELQTINTLVNGEIDYEDLQSKLLVNKNKPAIININIGTTFKGAIDDLDFVIQTLENCGYSNDNYYIHCDAALCGLILPFIKHAKKITFKKPIGSISISGHKFLGCPMPCGIQITRKTYVSTHSKIEYINSTDATISGSRNGFTPIFLWYCLSKKGHARLQQDSITCIENARYLKDRLLEAGISVMLNEFSITVIFERSCDHKFIHRWNLCYLRGMAHVVVMPGITRETIDSFFKDLMQERKRWFQDGKTQPPCLADEFGSQNCMCSHNKMHN >Solyc06g066295.1.1 pep chromosome:SL3.0:6:41704033:41705809:-1 gene:Solyc06g066295.1 transcript:Solyc06g066295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTLLQVEWKKGDAKAVKVWRNHLLPFDHGRNLFSLEKDFQINLGIHRGAIKGKAGNKLDRRSGAIHQQIPDSSNNLSRLCSGALIDGRIARFMGVPESVAFPSGLLIRTEDPLSFHILPSCKSSIIQIPVSSPNK >Solyc10g038100.1.1.1 pep chromosome:SL3.0:10:19551543:19551929:-1 gene:Solyc10g038100.1 transcript:Solyc10g038100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSICFSPLTSYPYNKPMISLRPKISKLRVNCAVEENSHNVRLQSRWEEWISTAASLYPVYVTIGGVVACLRPSTFSWFVNAGPTSYSLALGFIMLVMGLTLELKDLINLFLQRPLSVSLCLFLSFH >Solyc04g074660.2.1 pep chromosome:SL3.0:4:60660462:60666249:1 gene:Solyc04g074660.2 transcript:Solyc04g074660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGSLKQAIFDRQTRKQQYQDHIRGLNAYDRHKKFLNDYVRFYGRERSTQEKLPVKTDQDTLKEGYRFIRTEEDDMNPSWETHYKSGKIGLRWRTEKEVTSGKGHFVCGNKHCNERDGLASYEVNFSYVEAEENKQALVKLVACERCAEKLLYKKRKEKDQSREDLKDKHRRRRGRSASDDEHKDDYYERRRRGRSVSDDENKDDNYKRRKRERSVSDDDTKGDNYKRRKGRSRGSKAPTSSDNQDNENMDEYLEGMFP >Solyc12g096730.2.1 pep chromosome:SL3.0:12:66506005:66518716:1 gene:Solyc12g096730.2 transcript:Solyc12g096730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINNPFSSILLISFFSIFFTKSIADVMFLNVINFGAKSDNKTDSSYAFLSAWDLACNSSKSSTIYVPKGIFLVKQAYFKGKCNNNPIIFRIDGSIVAPFDYNVIGNEKNWILFQGVDGVSIVGGNLDGQGSSLWTCKSSTKNCPRGATTLGFSNSNNVSITGLTSLNSQMFHIVINGCKNVKLQGVKVYAPGESPNTDGIHVQLSSDISILNSIISTGDDCVSIGPGTSNLWIQNVACGPGHGISIGSLAKDFEEAGVQNVTVKSVMFMNTQNGVRIKTWGRPSTGFVNNVLFQHVAMIDVENPIVIDQNYCPYNKNCPGQVSGVKVSDVTYQDIHGSSATRVAMKFDCSKRNPCKGIKLEDVNLSYKNEPAAEASCSNVAGTTTGVIQPTTTNTIYNVQNFGAQSNGKIDSTKAFLSAWGSACASTSASTIYVPRGNYLIRNIYFNGQTCKSNAIAIHIDGTLLAPSDYNAIDNDGSWIKFEKVNRVSIYGGIFDGQGASLWACKNSKKNCPKGTTALAFYNSNNIVMSGVTVQNSQMFHILVDGCHNAMIQGVKVLSPGNSPNTDGIHVQSSSGVSIMNSNIGTGDDCISIGPGNSNLWIEGIACGPGHGISIGSLGWESQEQGVQNVTVKMVSFTSTENGVRVKTWARPSNGFVRNVLFQHIVMSNVQNPIIIDQNYCPNHESCPNQGSGVKISDVTYEDIHGTSATEIAVKLDCSKTNPCSGITLEDVNLSYKNGRAEASCVNAGGRASGFEELSKCL >Solyc10g083990.2.1 pep chromosome:SL3.0:10:63795200:63798266:-1 gene:Solyc10g083990.2 transcript:Solyc10g083990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIAAVCLSAVSSIPLLKDPPCSSGSSFSSFRKLTLFPSQSPIRSVKFVAKASGSGGFLGDDAFGPYPWESSDSGDPSVQWVQEEKITLFTADGLVQLGGNMVKRRMSSSDKKQGKKLEVSPRLQRFRESSYMDPNQSLCLGALFDIAATNGLDMGRKLCIIGFCRSIEMLSDVVEDTVLEHGGEIVSAEKASKGDMQEKLTMTVAVPLLWGVPPASERIHLAVRNGGGIVDKVFWRWDFL >Solyc05g051100.2.1 pep chromosome:SL3.0:5:62144778:62146027:1 gene:Solyc05g051100.2 transcript:Solyc05g051100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKNDQHWFLHLLQYTLHKVRDQCPFCRADGKPVDKYLDKKGEDAYYELLDKTRKLSLASRDQDDPYNTGGYHCFFPSGNGRLIHAQAENAPIIFGKLCMSFIMVEIV >Solyc06g072390.3.1 pep chromosome:SL3.0:6:44804405:44804864:-1 gene:Solyc06g072390.3 transcript:Solyc06g072390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKAELREGCIFNGWQEEDIEFAPTYKYHPDSDDYYGCCQNGKRGKSRAPAWCDRIIWFGKGLKQSQYNRGEFRLSDHRPVRAIFKAEVKVPSPLH >Solyc10g086230.1.1.1 pep chromosome:SL3.0:10:65247100:65247342:-1 gene:Solyc10g086230.1 transcript:Solyc10g086230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPQKIKFKVESETSEFNVTMKEEDKVKDLVEIVKANFGDDLHYTLEYNSIEMKCDQALSTYNLKDGSIVKVKWFVDSP >Solyc12g019440.2.1 pep chromosome:SL3.0:12:10283556:10291282:1 gene:Solyc12g019440.2 transcript:Solyc12g019440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTRSVRLVCLLICSLAISKSDSTKANELLKQEKHADHAYFVDITIVQNAVSKGAVCLDGSPPAYHLDPGFGHGVRNWIILLSGGAWCRNTTDCLNRSKTDLGSSTLMLPFIFLGIFSKSKTENPDFYNWNKVFVRYCDGGAFTGDIENVDPATNLHFRGARIFDAIIEDLLAKGLKDAKNAILSGGSANGYPAMLYCDHFHSLLPKAHRVKCLVDAGYFIRVKNPLLTNVFESIFKDVVTLHGSTKVLPKSCTSRMKPELCFFPENIQRDIKTPFFTVMSAFDSYQVNSIIGFDIGEYIQARNCTENQNNAFKELRSEFLRALPKANDPKQRGVFIDSFNRHTQLELWWNKINATPVNNLTTIKVFGDWYYDRKYSYVIDEHDLPLPVYLYNNGTLKSRG >Solyc03g062780.1.1.1 pep chromosome:SL3.0:3:34397147:34397338:-1 gene:Solyc03g062780.1 transcript:Solyc03g062780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPGHPNWIDWELQKKIAKSDPIYRNRLVNMLVNRIHYLIRSSNLLFLLRFLQCSVLISERG >Solyc02g063520.3.1 pep chromosome:SL3.0:2:36162030:36163596:1 gene:Solyc02g063520.3 transcript:Solyc02g063520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HQARKTSSLQFQFLYFSISTRISSTISLFSFYRFQQNSELLLIIFDFSLIMESIYDSAGLDLGLGYSSTVNQTSRKDGQVAAVKSDLGFEPSLNLSLSSGDHQMVDLKTENKDQLSVASSYSNGSVKRERDIGSEVTEVERVISCRVSHDEDYYEDDDASNGKKKLRLTKVQSALLEESFKQQSTLNLKQKQNLARKLNVRPRQVEVWFQNRRARTKLKQTEVDCEFLKKYCETLTDENKKLYKELQELKALKVGQPLYMKLPAAATLTVCPSCERVGAGAAQNSAKPPHLYGSFTNPSAAC >Solyc07g053270.3.1 pep chromosome:SL3.0:7:61867966:61875466:1 gene:Solyc07g053270.3 transcript:Solyc07g053270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHSAEEHGKTQISAVIFDLDGTLLSTEHLTKEILKEFLAGYGKVPDKEKEKKRLGMAQKEYAIGIVSDYDLPITPDQYVQAVMPFYHDLWLQAKALPGANRLIRHFHKHGVPFALASNSKRKNIDKKVSLQEGWKECFSVVLGSDEVKSGKPSPDIFLEAAKQMGADAAHCLVIEDSVIGVKAGKAAGMKVVAVPSFHSEFDQYTIADSVLRSLLDLKPEVWGLPPFEDWVDNALLVEPVHFRGLYKNGLLHDIADDGPSTLPDQVFGVYFGWAKPEAYKFIKIALGVGWGHGCCSSKRKMQACIVDSSDKQIQHGKMEVVIVGYIRGSHDEGKSGKIEILEEDKSIANAALNLSEFSLGAFKSLFSEVAIQDDCDLNVK >Solyc02g069020.3.1 pep chromosome:SL3.0:2:39539326:39545239:-1 gene:Solyc02g069020.3 transcript:Solyc02g069020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKQSIKNPKDRERTSVRTEEPSELRPEISKTDVNYNEETTIIRVQPPCIRPKLPYYLNKILKESESPLEIDRLSMERLYNGVYLKQNKKKYWIDRTNNANCFMLYAKDLSITWVEDNRYWNWVEIKETSDEKILAAELKSVRWLEVCGRFDTEALTPEKLYEVVFVVKIKEYADGLESITLRLIIPNKSSKDVTVNLMNVTAREQWIEVPVGRPEYIHQHPQRVLLREMGSGLSQDQDLQQNQELSNASPQGHHSDAAVLNEEPRKIMPQSIKSTKTNKTMEAARVLRFPHNYEEILKEADSSVDRSSMDKLYDQLYTGVFLNQKRKKYWVDKKSYGNCFMLYARDLLITWAENNRFWHWPLVQESSDVLLPAAELLDVCWLEIHGRFNTTKLSPGLIYEVVFIVMLKDPAYGWENPVNLRLILPDGSRQGHTENMVEKRREKWIEIPAGEFMTSADQKNGEIEFSLYEYEGGNWKKGLVIKCAVLRPKA >Solyc09g011110.1.1.1 pep chromosome:SL3.0:9:4451272:4451820:-1 gene:Solyc09g011110.1 transcript:Solyc09g011110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDFPNSSNSQKYQITWGPDGGKISQVKCYRCSFCKRGFSNAQALGGHMNIHRKDRAKLREISIETSDHIKKFVSPSSPDHIQALSSTDELILQHDISSDDMSNNPSKRPCVTLEEQHHNHNHHHHISKEKDENHELIIGGDVLQLPLFVDSPSKEEINKGMQLSVDDSKLDLELRLGPEP >Solyc04g050490.3.1 pep chromosome:SL3.0:4:47283457:47292936:-1 gene:Solyc04g050490.3 transcript:Solyc04g050490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIKKKDQSFLVLTLCLFFVMCEAHNLNYTKYKQVSNMRLERIQRHLDRINKPPLFTIQSPDGDIIDCVHKRKQPAFDHPLLKNHKIQKIPPEIPKLKTSVKTDAMMSRDVVSNNSKSAVIDWQLWHQNGTRCPAGTVPIRRSEVHDVLRAKSLYDFGKKKRHGLPIARRVDAPDVVSGNGHEHAIAYTGGSEEIYGAKATINVWEPSIEVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCSGFVQTNSRIAIGAAISPVSSVEGSYWPAELFTHLAERATMVEWGGEIVNSRANGEHTSTQMGSGHFGDDGFRKASYFRNLEIVDSDNSLSSAQDISILAENTNCYNIKNAYSTEWGTHFYYGGPGRNPQCN >Solyc04g015980.3.1 pep chromosome:SL3.0:4:6570872:6574986:1 gene:Solyc04g015980.3 transcript:Solyc04g015980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKENILLLILLFVIQFSVSLSFSNETDQQALLAFQNLITSPNHFLVNNWTKDTSFCSWFGVTCSPKRQRVVALTLADLQLQGTISPSLANLSFLTVLNLHNNGIRGGIPYGLGHLPRLRVIDIQNNQLNGSIPTSLFQNRRVEEISLAFNELSGEMWRGPWYVPQLRVLNLRNNSLTGMIPPSVGNATKMMNFSLNGNRVSGNIPKEVGNLSQLAFLSLVDNQLTGSIPASLFNISSLLGVSLTFNSLSGPLLLDEGNIESNLYFLSIYRNQISGRIPSNICQLTQLKVLSMSYNNIIGDIPRNIDCLSKLETFFIGDNLIKGTIPASLGNISTLQYLECIDNWMEGKIPTEFGKLSYLRELNFARNFNLMGEIPEAIFNLSSLEVISLNLNNLSGRIPASTGLHLPNLKYFNLGVNQLEGEIPWFITNASKLQVLELNDNSITGTIPNNLGNLRELRELLLQGNQLTNEPRERELRFFDSLADCRMLRYLSVGNNPLSGVLPNSIGNLSSTLEDFFIGNAHINGLIPTSIGNITGLTSLSLSTNNLTGSIPSDVGRLKQLQGLSLSINKLHGHIPEAVCHLSNLVQLFLHVNELSGLIPECFGNLSMLQHLYLDSNKFSSKFPLTLWKMSGLLYLDVSQNSIEGEVPQDIGGLKAIVELHLYSNHFSGMIPTRLGELQILQYLDLSNNSFFGRIPSSFANLINLEFLNLSLNALSGTIPQSLEKLSYLKSINVSFNDLEGEIPSGGVFANSTLQSFLGNKGLCGMHILNIPACAITNPGKQSKVKEVVIKIVTPVIIASFMILLFVSIWIMKRHKKGKSKDVEKVPEIETHQLVSYHEIRRATNNFDESNLIGEGSSGSVYKGTLSCGTAVAIKVLDLENEQVCKRFDTECEVMRNVRHRNLVPVITTCSSDYIRAFVLQFMPNGSLENWLYNEDRHLNLHQRVIVMLDAAMAIEYLHHGHVAPIVHCDLKPANVLLDEDMVAHVGDFGISKILAISKSVAHTETLGTLGYIAPEYGSKGIVSASGDVYSYGIMLMEILTKRRPTDEEICNGNLDLRKWITQSLSGSMMEVVDANLFSEEEQITSKSEMCIASMIELALDCTKETPESRITMKDVVKRLTKINNTFLGT >Solyc03g005970.3.1 pep chromosome:SL3.0:3:655988:658879:-1 gene:Solyc03g005970.3 transcript:Solyc03g005970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BE20] MEVASSSSLGVKIIDIADDNDDVLVIYEIPKASKGKGKKFIEPISVENYLYSKPCCSGTKKVMIDLSDDEIEFLGTKCVQKSKGVSNIKSIVIDDDGDVNELFTCDICVDEKCVTEVFRIMGCSHSYCKECMAKYVGVKLQENICRISCPVSGCKGQLEPYNCRSILPKEVFDRWGDVLCESMIMGSERFYCPFKDCSALLIDENGKDSVVIQSECPECRRLFCAKCKVAWHSGIVCAEFQKLNKDEREREDLQLMQLAKGQEWQRCPQCRIYVARASGCAQMVCRCGCSFCYKCGAKSADHHCTRCGILNRL >Solyc06g009620.1.1.1 pep chromosome:SL3.0:6:3578343:3579743:-1 gene:Solyc06g009620.1 transcript:Solyc06g009620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDVHHLLSLVKKYQNHRKPIQQIHTQLIFTTAENTFNSTSSIPILLTLWNSLIRHYSLGIFPQESFLLFKQLRLQYHPIIFFDSFTYSFLIKGSANLGKFCIGKQIHCLSLKDGFESHVYVQTALVNMYGECGLVGEAKKVFDEMPVRNSVTWNALISGCIKWGDVKVARAVFDGMPEKNVISWTGLIDGYTRMGRFNEALSLFREMVVVEGIKPTEVTLLAIFPAIWNVRCLECCRMIHAYGEKSGIDAFDIRVMNSLVDAYAKCGSIDDAVRAFEDISDERKNLVSWTSIISGYAMHGMAKEAFDSYKMMVEGGIEPNRITFLSMLNACSHGGLVDEGLEFLRKMVDEFGIQPDIKHYGCLIDMLGREGRLEEAEKIALEIPNIMSNVVIWRTLLGACSFHDNADTGERVMQKIMEMEKKYGGDYVLLANILAGMGRYVDSEGVRRVMDEQNAFKAPGISFA >Solyc01g056290.2.1 pep chromosome:SL3.0:1:52798712:52799291:-1 gene:Solyc01g056290.2 transcript:Solyc01g056290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVVENDNIQSFQMRDNARQMTSYSRESMNVAHLNTLLNFQQRYPPPNENLSTSTSNSGGVHIDEHNTGFFRNKYEANTNLSLATPDIPYYPAFYYAGVSTILPSYTQKEG >Solyc06g069650.3.1 pep chromosome:SL3.0:6:43494904:43499374:-1 gene:Solyc06g069650.3 transcript:Solyc06g069650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRLVCGDSIETDKQLLLNLKSFLKEQNPVDKGFKYNHWNPTDLSPCRWPGISCTTSINRVTGIDLSESNLAGKLFNNFSAMTELNSLDLSKNTFSESIPSDLGWCRNLKFLNLSHNIIVGDLNLTGLNKLEVLDLTMNRIHGLTIPEICDNLAVANISNNNFTSESGFEFSHCKKLKYLDLSYNYLTGNLSFGLDMLNMFSASHNNLSGSLPSWIFTQNCSLQGLDLSENRFFGELPTSIANCKRLVELNLWGNSFSGSIPRGIGSVHSLKELCLGSNNFSSDVPDTLSGLNKLVFLDLSRNNFGGEIQEIFGQLTQVRFLVLHGNSYTGGIVSSGIPNLVNLSRLDLSDNHFSGPLPVEISEMKGLEFLILAHNQFSGNIPSEYGDLTALQAVDLSSNRINGSIPPSFGKLRSLLWLMLANNSLSGEIPSELGNCSSLLWLNLANNQLTGPIPPQLASIGADPMLTFLLNRGKEKLTASPGDCFAMRRWIPADYPPFSFIYPLLTGKSCRILGDKLFMGDGLMPLCEPGSNVRKNQVPGYIQLSDNKLSGEIPPEISNMKKMSMMHLGANEFSGRLPSEIGQLHLVVLNVSQNKFSGEIPKQIGHLKCLLNLDLSFNNFSGPFPVSFSNLHDLSKFNISYNQYIYGAVPETGQLLTFDKSSFLGNPLLRLPSFMHNSKNNTERNTNDTHKKPKKVGALLVIVVLVLAFLVCGVMSLVVCLLIKVPRGSAGILLEDTEGRHDSPSSTNASSSRGSDDVKVIRLDRTSFTHSDILKATWNFSNDRIIGRGGFGIVYRGVLPDGRDVAVKKLQREGIEGEREFRAEMEALSGNGSGWPHPNLVTLYGWCLDGSEKLLVYEYMEGGTLEDFITDRTRFTWKCRIQAAIDVARALVYLHHDCYPCIVHRDVKANNVLLDKDGRAKVTDFGLARVMISEHTHVSTMVAGTIGYVAPEYGQIMQATTKGDVYSYGVLAMELATGRHAIDGGEECLVEWATRVMGDGRKGFTRAIIPDALLVPGLVEGAEEMYELLRIGIRCTAETPHDRPNMKQVLDMLISVPSSQKGSSRSFGSSRSTSPLL >Solyc04g025775.1.1 pep chromosome:SL3.0:4:21074054:21101043:1 gene:Solyc04g025775.1 transcript:Solyc04g025775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMNAIVLSWLLNSISKSLLGGVAFASSAQSVWNDLKERCKGHIKDQFYKLIRYPSDFKSKRKLNNGAYMVGSDEVNSRKHGFEDSSYAKTESRSLVTKLKMQIIYMKLWKTLQKLYTGKLREVGKEDDDLYLLRKNLAQEKLKLISFVVPEKQLKEHSLSEYEVDLWYKRLGHGSSFMMRKVFPVSLDVIDKVVNNCSVCPYAKQTRRTRRSIRGVKPPVWMKDYVSFNVGKHVEYPIHECVEYNHLSKSYQELVAAMSMYTEPATFDETSKDSKIVEAMQAEVKALQENNTWDLVEFPKRIGLDSRYVKRLGS >Solyc11g066700.2.1 pep chromosome:SL3.0:11:52755871:52760119:-1 gene:Solyc11g066700.2 transcript:Solyc11g066700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGISSFLRIWLFVLTLDILINIVNGQPLVPALFIFGDSVVDAGNNNYLKTIIKANFPPYGRDFPKKIPTGRFCNGKLASDFTAENLGFTSYPPAYLSKKAKGKNLLIGANFASGSSGYYDTTARLYDAIPLSKQLEYYKEYQKKLVVIAGKLNASSITNASIHLISAGSSDFVQNYYINPLLYKVYTPDQFSDILIKSYTKFILELYGLGARKIGVTTVPPIGCLPASITIFGKDNNSCVKKMNKVAISFNNKLNDTSIKLQKKLFGLNLVILDIYQPLLDLVTHPADNGFFEARKACCGTGLLETSILCNAYSPGTCANASEYVFWDGFHPTEAANKVLSDDLLASGISLIS >Solyc05g013590.1.1.1 pep chromosome:SL3.0:5:6897323:6897721:-1 gene:Solyc05g013590.1 transcript:Solyc05g013590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWILYDMDNNDYLNYLCSLGYSNEKVRNATTYFLGTNNSTTRGIICPSKVPSRLDLNLPSIAIPNLKNSITIRRTVTNVGDVNSIYKLVVKSPRNSVIKLSPDVLKFDCNTRKLSFEVKHYVRIPIAVRK >Solyc01g067130.3.1.1 pep chromosome:SL3.0:1:75325254:75325670:-1 gene:Solyc01g067130.3 transcript:Solyc01g067130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQNIGGASSSNDEGAAGSSREQDRLLPIANVGRIMKNILPPNAKISKEAKETMQECVSEFIGFVTGEASDKCRKERRKTVNGDDICWALGTLGFDDYSGALKRYLHRYRESEGEKVNQEQAGGGASGSNQPRNLLD >Solyc05g005150.1.1.1 pep chromosome:SL3.0:5:135884:136996:-1 gene:Solyc05g005150.1 transcript:Solyc05g005150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIILEDEAPIHGDVLETILSHVPLVDLVPSSCVSKSWNRAVASSLKCFNKPKPWLIIHTQCTRSPYDISVRGYDPRSNVWVDMSRPSIKYISALRSSHSNLLYMLSPSKLSFSHDVMNLTWHHVDAPRVWRTDPIVGYVGGSIVIAGGTCDFEDDPLAVEIYNNETNTWETSESMPAILKDSAASTWLSIAATGDKLVVAEKFTGVTYCFHPKTKNWSGPYELKPDPRIFHSIIGFANNRLILIGMIGESENVAGVKIWKVNTENFECEELGEMPAALIQKVKSETYGVSSISVCLAGNYAYMSKSSEMAEEIVGCEFGNDGELRWWSMKNDAAGDGNRSQRVVFSCSVIGLGDLQRAMLSENRKFTVL >Solyc12g005790.2.1 pep chromosome:SL3.0:12:440265:443228:-1 gene:Solyc12g005790.2 transcript:Solyc12g005790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4DBB7] MATLNLFSVFIIYFAIVLRFVNSQGLEVGFYKKTCPNVEKIVKKAVVDYVSIAPTLAAPLLRMHFHDCFVRGCDGSVLLNSTKSNQAEKDAIANLSLRGFQVIDAAKSALEKQCLGVVSCADILALVARDAVSLINGPTWQVPLGRRDGRVSILSEATTNLPTPFDNFTTLKTRFGSLGLSVKDLVVLSGGHTLGVSHCFSFGSRMYNFTGKGDMDPNMDQKYIAQLKTKCKPNDVTTTVEMDPGSFKTFDTDYYNLVSKRRGLFVSDATLLTNKQTKDYVLAQLSSRGSTFFEDFGVSMVNMGKIGVLTDKSGEIRKKCAFIN >Solyc04g072660.3.1 pep chromosome:SL3.0:4:59711978:59713671:-1 gene:Solyc04g072660.3 transcript:Solyc04g072660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTGKKSKRTHESINNRLALVMKSGKYTLGKLILISNNCPPLRKSEIEYYAMLAKVGVHHFNGNNVDLGTACGKFHRVSCLSIIDPGDSDIIKSLPGDQ >Solyc12g006670.2.1 pep chromosome:SL3.0:12:1122020:1133263:1 gene:Solyc12g006670.2 transcript:Solyc12g006670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGTPAGSGRYVRQRLSQGYGSSGDDLEDDACSRFVSQSHSLPRTRTWTEILENFLWIASAIFIVYYGDRHHNLIFLLWHDDRIRRLPLYFGMFGVGFNVLFFIHTSMLALGVRKSYEKWEVSSTAALPYITALGILSFLLFCFALWPIWSLLTLPLVVCPESLYVSDSPFTLFMACMVILPYLVLRRFKLQTDLFRRKIMFRSFLRKAVSKQLSYHPDVTKQLCRHPFQFHSERGMHSRNKKAMEFIAKGWNALQEVDRVIDYCELNDKRLIPPLRTAKENFELALEADNSNTHARYWLSKLHLKYHVPGACKAVGAALLVEAAEMGDPEAQFELGCRLRVENEYVQSDQQAFYYLEKAVDQLHPGALYLLGAVYLTGDCVKKDVGSALWCFHRASQKGHAGAAIAYGSLLLTGVELPESITKFYVKRGSSSRISRRNGVDAELNPIELAREQFEIAAKAGSDLGFRWLQRIEEEVKRLQSS >Solyc02g005580.1.1.1 pep chromosome:SL3.0:2:9856008:9856649:-1 gene:Solyc02g005580.1 transcript:Solyc02g005580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRHGMPSRSFDGIHGRATLGVACHPFSKKAHTIGKHRAWMAIITLGQHIRSDDVGSGMLALHTHYTHSRTTSRMACHNHFFTAHTNGKNWAWHAISPLENTKVEGRQAFHARVDFGQHTRSDDGGHDMPSKSLDNTNSLTTSGMACYHNPCRGNTVRQRLLWHAFLALRLHTHKDDVGQGMLSFPLKNTHGWMMSGMVCHHGPWKAKTIG >Solyc06g071337.1.1 pep chromosome:SL3.0:6:44046416:44047287:1 gene:Solyc06g071337.1 transcript:Solyc06g071337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRVFCGSVVAESGPMKVKIFRISEYATSLIPTSLDYAEYVSSWLTLELHDVITSLDIADDTQLLHGHGKLNMKLVVYV >Solyc04g079643.1.1 pep chromosome:SL3.0:4:64120225:64121034:-1 gene:Solyc04g079643.1 transcript:Solyc04g079643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFWFLNPTTNYVISREVIKESIINFLYFMYVLFGIFGNKRVLKNYAVLGEGPYWREMEKIVVLELLSNHSIQMFRHILKFEVKMSINTKYERWLKEKMNDSSNLFRLK >Solyc09g056160.3.1 pep chromosome:SL3.0:9:47403809:47409205:1 gene:Solyc09g056160.3 transcript:Solyc09g056160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSIPIVFCGATPASLSRYSTPSLYYFLQPEIPNQYSLQLKTSCRLNLSALCTSNEVGAGSLAEEFGAQNGRMENHGHEVGDFDTSQYQVLLKGGEQVTSVLEEMAKLLEDMKMDEASEEVAVQLAAQGVIGKRVDEMESGFMMALDYMIQTADNDQDDKRKSLLEVIKETVLSHLTKKCPPHVQVIGLLCRTPQKESRHELLRRVAAGGGVFQSENGTKVHLPGANLNDIANQADDILETMESRSVIPDRKLLARLVLIREEARNMMGGGILDERNDRGLNILPESEVNFLTELVSLRPGKAVRDMIKNVMLGKEEGADSSNDEVGAAGGIAGRASVSGRKPSPVRPGMFLETVSKVLGGIYGGNVSGVTAQHLEWVHQNTLQILQEIAF >Solyc04g054410.3.1 pep chromosome:SL3.0:4:52415366:52422434:-1 gene:Solyc04g054410.3 transcript:Solyc04g054410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSILNEQTLTDKLSKLNTTQQCIETLSHWCIFHQDKAELVVTTWGKQFHSSEMTKKVPLLYLANDILQNSKRKGNEFVIEFWKVLPAALKEIVGTGDEHGKNVVSRLVKIWEERKVFGSQTQSLKDVMLGEELPPPLELGKKRSRSVKIVKRDTRSIRTKLTVGGTAEKIVSALHLVVSEHSTEDEEMSKCKSAVHHVRKMEKDVDVALARAKHPMRKTLSKELEEEERMLKQSIEKLKVVETNRLTLVSQLREALTEQESELENVRTQIQVAEAQAGEASNMRKYLDDEDYVGERKPLGTTTSPDIYGKAGETSKKTAAAIAAEVADKLTASSSSQYIMSSVLSTFAAEAAKSAGLAKPSSYLTSNSYISHPENTLSDSNALMSAQLPNPPPSNPYQSMLAPHPTVHQGQYHSLANPTSQQYLQPSAGMMSPYSYGSIAALPSVPPPPPPPAPPSYMTMTQQPLSMMQQGAAAQQQQPPLPQQPPPPNFRPVTPVQPPGMLYYSQPYHSQQ >Solyc10g084380.1.1 pep chromosome:SL3.0:10:64075904:64078509:1 gene:Solyc10g084380.1 transcript:Solyc10g084380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITAIRPKTVRLKPVTNHALVGESSSQVGVSEAPAGCRSDYILQSVEKPKVLYKPIAKLAPRKTIPLLENKGISISDQRREKADAKADVQSAKEAKQHHDLTTESKQSLLAKSGEDKRIVHSTIVSESTEEVPQSLINTSNVDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTHLKCPVKKKVERSYDGQIAEIVYRGEHNHPKPQPPKRNLSDVQVRAAVCNDTSKETNNPAWSNQHPQTSEAYVCRIENPNDVGLTINSAHSSKAPWFYDPIAAAGMHTDAGNSEDSAEGSKKLKATCDDTKTKRRKTKALCNGAGTSGESTFPYIPKQSTTDSEITEDGFRWRKYGQKVVKGSSYPRSYYRCTSPKCSVRKFVERTMDDPKAFITTYEGKHNHGVPNRRPNSEASKTSSKSSAMKEKS >Solyc05g007940.3.1 pep chromosome:SL3.0:5:2366230:2369433:-1 gene:Solyc05g007940.3 transcript:Solyc05g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSQKKLLIKIIVVQCLLVLCVASQDFDFFYFVQQWPASYCDTRRSCCYPTTGKPDEDFSIHGLWPNYKDGKWPQNCDRESSLDESEFSDLISTMEKNWPSLACPSSDGLKFWSHEWLKHGTCSALNQHAYFQTALDFKTKSNLLQNLNNAGIKPRNGDYYGVESIKKAIEKGVGHTPFIECNVDSQGNHQLYQVYLCVDSSASKFIDCPIFPHGGKCGSKIEFPSFSTNDDHDEF >Solyc11g008310.2.1 pep chromosome:SL3.0:11:2518032:2523346:1 gene:Solyc11g008310.2 transcript:Solyc11g008310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFENSDDEPLAFKKMHEFSTVDGFVEISESLADMIKFIANEPSVGLFYIQQHSQKAAPNLVNLKNSIEEKSREVALHTEDSDDSITVIRSMKECGLPIANGMIKDLRHTLAVISKKQPKKGLISGTSSSFPVGIATSWSPSTWVRNTVSEEDDNRTAGYLSNVFQSAKQKASNFKWPQLETGESLPAKSEPSSSDKDEPSVTHTNDALLAADVSSSSSLGRTNSEDLTLSSQTANELQQEQVNKSMSYDQLLSLTENFDEFKADKEAKLEEWLGGTLKS >Solyc02g081088.1.1 pep chromosome:SL3.0:2:45737879:45739525:-1 gene:Solyc02g081088.1 transcript:Solyc02g081088.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLCHYYLMAFSWFV >Solyc12g088650.2.1 pep chromosome:SL3.0:12:64910207:64913154:-1 gene:Solyc12g088650.2 transcript:Solyc12g088650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVIDSLYCKDETFQVFEVKPKSVTLLNQDLIWEKEELESLLSKEEENQMYNVVINNPFLSVFRSEAIEWILEAVDYHNFSAQTAILAVNYLDRFLFSLQSQNHDDEKQPWMNQLAAVTCLSLAAKIEETQVPLLLDLQVEESRYLFEPKTIQRMEILLLSTLKWKMNPVTPFSFLDYFSRRLGLNDHICFELLRRCEKVLLSTITDCRFMCYLPSAMAAATMLHVIDKLEPCIGQEYQEQLFGILGVVKDNVTDCCKLVQEVASNVDFNSNKRKFGALPGSPMGVMDVSFSSDSSNDSWAVAASVFSSPEPSSKRTRPHEKEK >Solyc02g065805.1.1.1 pep chromosome:SL3.0:2:37516145:37516525:-1 gene:Solyc02g065805.1 transcript:Solyc02g065805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAGKSLIQTVKRYLKKPWEITGPQSSPEYVSAVPKATEYRVTCPATAQDQAIIPTSNPDTVYDIKYFSRDQRRNRPPIRRTVLKKDDVEKMMKEKTFSIDGFPKPYLTAKVEEDYNAIGGGYQK >Solyc07g014755.1.1 pep chromosome:SL3.0:7:5295982:5297301:-1 gene:Solyc07g014755.1 transcript:Solyc07g014755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPNSFPSNPGFVQYIQQTYNPDYRGFSRNTVKSDVFEYQGKHCQFLRCLFSILDSRVSITSDMSRSVNGNYYLIIIAHWIDHNWNLQKRIISYKLCIDKKTGAYLASNILSVLDYYMIIDKIMFVALDNASNNTNAANMLKVRLFPIDNNVFHVRCVAHILNLVVQDGISLFDCGSVKVEYVVTWIFHTNNAARIREFNERCSLCELPPRKIPKHIKTRWNSLYKMLSVAYKYRRPIQMIFNAHNDDPLDRICDKDWEETKELLQFLRIFYDATTMFLGIYYSTISSVLINICALSIQFCKYKKIDKFRVSIEVFLTATLLHPAYKLQGVQGLVDTFYETLEILSEEIPNCQACKSSIKVEAKVLYEKYRTTENFQGEIGQTSNVEIDSSLPISCYMRGFLGINSTNILINLQKHWKSKMTMKIY >Solyc04g050470.3.1 pep chromosome:SL3.0:4:47210920:47221900:-1 gene:Solyc04g050470.3 transcript:Solyc04g050470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSISLHQHSSIYCLFSTPTLRFGKHPHHIISILPSFRKNFLKFHTRTTVPFAVTESDSPKSLEPNPQTLVQEIAESFVLPADFFSQLPSDLRLDDGSLRGLDVWVSFAFIVEGCGLNDAAFDLSNGPVKVECGEEVGETLLNISRAWEMADTSTSTALVDKLPLLLAFGRRLLSAGKRFQSMGQYGEGEVQKIAKVMIKTGKLLSASPVSGVDVEEFKQQTRMFKFGDLQVELTSGKAYTGAAIAFIFSFLSWELGQGIQSIPESSLQYANDNALLLAKSLRASLLVMSYSSTVLAAFAMVGLILLGGQLKSENKLALLGEGEPLGCLMGLITRRSSSDSCTQCGSVSHINMYFTCTSPVTNVVSDLDIPVTDRLKCGSTDCYWNSNGISRLGWIN >Solyc06g048905.1.1 pep chromosome:SL3.0:6:32013483:32017015:1 gene:Solyc06g048905.1 transcript:Solyc06g048905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVDLLFYYGGKWVLTPNVIYIKKFTRVWKEYDPDLLSYIDICEEFHEKLGFSKVQQLLLKGPSGRYYLIEGDSGIRTIQTALSIKSGVLELFAVDEGDDVVPAIDISHNDEPYLVKEDDENEPNLVTVNEPNLVTVNAGIDVATEGESSEEENDENEPYPSDYNSEELESFRLEKKREINDQLDNFKELEKCMSFKNLDEAKRVVSYYSIARKVALRVDKSDYVRVRYKCIVDGSYVDDFNKLECYAQELRDSNPGTDALKSGWRAGLRPFIGLDGTFLRGKFKGILLGLISVVSALLPKAQHRWCAKHIETNWSKSWSGPFLTLSAKTTPVKIILLSLSTNEILEARAKPIIKMLEDIRIKVMKRLKKLEEEGKKWTEEYSPYSVDLFPDEPILMPTLEFVASSSRQTSHQSSEEFNEVVGPSKSKRKMFQRTKLMHYQRGLKTMEKKKLLHL >Solyc12g009850.1.1.1 pep chromosome:SL3.0:12:3038854:3039198:1 gene:Solyc12g009850.1 transcript:Solyc12g009850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKILKFFAFFIILSTTNSLVAMASVDPILAPSPNDDEIIECWSSLKSLNKCVNGIYKVFLGIGMLDSPCCEVIHGINSKCWQKLFPFDPSFGDLLVFYCSISAPSPSPLAAI >Solyc08g029050.3.1 pep chromosome:SL3.0:8:38354364:38362531:-1 gene:Solyc08g029050.3 transcript:Solyc08g029050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKDLIFLILQFCKEENFTKTARMLGQESELFFDMGHIEALVLGGKWDEIENYLSGFTGVTESRYSKKMFFEIRKQKFLEALDRQDRKTALEILMKDLQVFAETNKELYAEMTQLLTFDNFREHPSLALYGDTLTARNRIMKILRVVIETNPQLNGKLHFPELTKSRLRRLINQSLNWQHAHCANPQQEPEIKTLFIDHKCSIPEDQSIMQRPGPTQTISAATPDHLVLQMPRPSKIISAATPAQSDTQMPRPSNTISAAAPDKSIMQMPKPSKAISAATPAQLVKQMPGPSKAISAATPAQLVKQMPGPSKAISAATPAQPDTQMPRTSKAISAATPSQPDTQMPRPSKAISAATPAQPDTQMPRPSNTISATTPDQLIIQMPKPSETTSASNPDQSVVQMPRPSETTSASNPDQSITQMPMPSETTSASNPDQSFTQLPGPSETTSAFNPDQSFTQMPRPSKTISSANPDCQQIHYSSSSIVTDDIASGEAAASGNLPCSCRAVQDSGNLSDVNTTRNMNEKVLSKTAPCQDQDASVNLSDDFPKTVERVLTIGNPPTASDYPTIRSIYPTVNYYPTTMDFHPVQQTLLIVGDGGGSVELWDVSSGKMLFRRTLMIWEVEVFSPDFLKSMGEDPRISVNRVLWNPDGSLFGVASSKNIVQLYSYHNNDNHAENHLEIEAHFGSINDLAFSKPNNQLLVITCGEDMLVKVWNANNGAKQYTFEGHKAPVYSLCPHEKEDVHFILSTSTNGEIKAWIYENSGPCVSYEAPSKCCMRMLYSANGKRLFSCGTNKDGDSHLVEWNETDGFIVRTYLGLGKCSSGVVEFDISRNNYVAAGDSHVIKFWNVNDAQLLTVVDAGGDLPSEILVFFIKASPYVRFNKNGTLLAVSVDHNSVKILANDGGRILLQTSLDASTYLSTRELAGNSLSGPANSSSIDGIVPPEMTAENLAKMEHHKILGNPSTSKVVQISRCQSLRLPSEVKTNKVCRLAYAQAGNILVALVTGGIHLIWKWSESDSNLTGQTTPKCTPQLWQPRSGVVPENSLLSSDAGAVSPCIALTNNGFYALSASGGAVSIFNINLYKIMKSITPPKPAATCIACHPTNNNVIAVGMDDLTIIVYSVRTEELISRLNGHSKRITGLAFSNTMNVLVSSGADSQIVVWNSTNWEREGSTMLQTSADWLPTEVSETSVEFQRDEKCFLVVHETQIAIYEPTKLECVKQWIIKNFCARISHATFSCDSEWIYAVMKDGIVLILSASDLSPKYEIDPSTFLTSDLSAHVFPVVVAAHPENPNQLALGLNDGGVVVIEPSESDGKWCEPPNATTLTNEQPI >Solyc01g106680.3.1 pep chromosome:SL3.0:1:94349300:94354133:1 gene:Solyc01g106680.3 transcript:Solyc01g106680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKQSPSRNHRSKGVKVKNVLQICLLLAVCFWLIYQVKHSHDKKKEFDEDDAKSSIKSGSINELVKLGRKDLPRIEGLDTVHEKHREELEDETVEEEEGNKPEEEDLEENNTKEKNDEHREDEVEDHDEEKNDEHREDGEDEVDDHDQEKNEEHREDAEDEVDDHDHEKSDVERDPELDAVDEDRERGEDNEKETEERDAEVNDSQVDEENSLEEHDHDEDSSSSHEAREEHYKADDASSAVTHDTVVTTTENESGKLEQEVEHSAEAKSKAGVDNIMQINTSQNTTVVLKEENGKADEEDSPPNTTNSEEKHDDPILSTAADTSISNSTRTEGLAENSESRTYSTELSTQAHDLLLQNGTQAVNQESNATVGDTTSSNMSTSQQSSNSADTVDDNQIDSNLTVSSKNDELDSIPVDSSNVSGDTEPSLAEKVVQGNATAEAVDNGGLPLNDNNATEVEKADTGSEETGITDEEVDASIVENLGDDLIDSSDSSSHLEEKHVRTDVETLPEIQTEGSMEDVIDCDSVTELV >Solyc05g005030.3.1 pep chromosome:SL3.0:5:51651:52861:-1 gene:Solyc05g005030.3 transcript:Solyc05g005030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQKLNFCLLLSMAIILVITRSSEANGAVPTSPYCKICTIPILCNNVVNGATNWKEAMVKSINACMENAYRIQNVTSSILPQITGVAPQTKTSIQDTCKEAMDGAVSDLQEAMKALNKNDPGTMLTNLASLHTDCADALDQFGIKFLPLNKVVGRYLKHMSVALSVAQAPH >Solyc05g008430.3.1 pep chromosome:SL3.0:5:2784370:2792363:-1 gene:Solyc05g008430.3 transcript:Solyc05g008430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSSSSTNVMLAIFEKKTVSLDLYRPLRNYIVFNYSEREAQNLEDDLQTIKQYRSEIERVPGDSLPARRDMLQNYYKALCAVESRFPISPDKDHINSVYFTWYDTFKIKQKAVQQNIHLEKAAVLFNLGAVHSQMGLSMDRSAVEGRRQASHSFIAAAGAFAFLRDNVAMKASMSTSTTVDMSVECAGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGIYYEEALAALNVAPLTYHFDKGWLAHVQLKAALFYAEACYRCGMELHEKEEIAEEIARLKSGISALSEAKKSSSKGAAQQLLDAINKLDTNLNQNLARAVKENDRVYLMRVPQASSLPPLPAFAMVKPMPMNDVLDASKEKMFASLVPDNSAKALSRYTEMVDDVIRAQAERLQQGSELARVKLKEMDLPDSILALEGNLTLPVALKEDVDAVQICGGPAGLEAELQQLNDLKRVNQELLIQTEELLQKEATEDAQFRSQFGTRWTRPQSSTLTKNLLDRLNRFAGNLKQAAESDTRIERSVRDHAALMSILDSRPIESALPTLARPIMSLDANEDAIAGALKQSLRQLEGLGAQRAGLEDMLKEMKRKDDILPKLMTSTGSHEDLFRKEITKYDHICEEISKNLEAQEQLLLQIQAQNVDFASTFNLEDYKASRERTYKQIEAAISKYQEIKENINEGLKFYVTLQDAITNVKQQGSDFVMTRNMQCREMMDDVQRQMSGLSFQENKGSNGYTYPSAAQSHQVPRSNPQPPADPANIPNAVRPPASTYQPPHQPAMPGYTQNPPPYGAPQPQPPPPYNLQASGPPYPPTQHQQQPPPSHEYGQPAYPGWRGPYYNAPPQQPAPLQQQGSMPQPPYTVPSPYHLPPHQSGYYRQ >Solyc02g069987.1.1 pep chromosome:SL3.0:2:40341923:40357450:1 gene:Solyc02g069987.1 transcript:Solyc02g069987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCQISGLFNMVMVSENDLSDIYKEEVDNQLGCCGRTPFPLQSDISIEGNPNEHQPFKLPFSALSKIIKFRFSANQIYSLFHSLGPHNYEFLDRLSCLYPTFTPEEKAENFLYIPCLKFLGTSGIEDNKETTEQKLSKNKTKDTIKNNCHTMLPYSINPSTGFLAINLTYFFTAGPDPPNKGGFTSSKLLMHFENSAIALEILWQIDLSETSLFQLITKVVCTSSIVQLYTQLNISSACPKTANTKST >Solyc12g036475.1.1 pep chromosome:SL3.0:12:46841520:46844178:1 gene:Solyc12g036475.1 transcript:Solyc12g036475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHGIIQQSQSPFSSPALPVKKQDNSWRFCVDYRGLNVITSKDKYPFTIVDDLLDELHGSMIFTKVDLRAGYHQIRMKVEDVYKTAFRTHMGHYEFKVMPFGLTNAPATFQALMNQVFQPFLKKFVLVIFDVILVYNLSLEDHIHHFIAVFTTLREHSLCAKRSKCSFGQPKVEYLGHVITKDGVSTDPTKIDAMIEWPTPNFVRALRGFLGLTGNIGMQRLMSFVALKTSMDTTPVLALPDYSKEFVVETDASHCCIGSVLMQDGRPIAYLIKVLAQQHREQKVTSELQQKGLTTVLGLDYEVQYKKGAENRVADALSRQHEIKDDSDQVIGKLLKISVTVPRWMQEVRDSYADDDLAQEFMVHLAVDSNGPTIWQFPSGVLRNKRKIYSTPFEALYGNSPPQLSVGPLLETIVPAAENVVMQRQQMLQLLKDNLHKAQERMKRQMVKHNNRAKVKMLIQWSNLPPEDATWEDYEFIQAEFPDFILNP >Solyc11g040120.2.1 pep chromosome:SL3.0:11:40046734:40052427:-1 gene:Solyc11g040120.2 transcript:Solyc11g040120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEDSNGDSGSHRPAESPSSADHRNGNPPSTPDSPTSVGFNTDQLPFNTSQNYSEEDEASVDPDIIRDDQEDADIEEEDGEDLFNDNYLDDYQRMGEADQYESLGLDDSMVDERDLDQIMADRQAAEVELDNRDVHVSNRKLPQLLHDQDTDDDNYRPSKRTRADFRRNFDDTDAMPSSPGASQRVNSSQDVPMTDQTDDDPYEDDENDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEHGDFEYLRQINEMVSVNKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEEVANKVVFDLHPNYKQIHQKVYVRITNLPVYDQIRNIRQIHLNTMIRIGGVVTRRSGVFPQLQQVKYDCNKCGAVLGPFFQNSYSEVKVGSCPECQSKGPFSVNVEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFSTVIEANYVTKKQDLFSAYKLTQEDKEEIEKLAKDPRIGERIFKSIAPSIYGHEDIKTAIALAMFGGQEKNVEGKHRLRGDINILLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADRGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPIGGRYDSSKNFTQNVELTDPIISRFDVLCVVKDVVDPVTDEMLAKFVVDSHFRSQAKGATIDEKSFTDSRDDARVTMAATDPEIIPQELLKKYLTYAKLNVFPKLHDADLDKLTQVYAELRRESSHGQGIPIAVRHIESMIRMSEAHARMHLRQHVTQEDVDMAIRVLLDSFISTQKFGVQKALQKSFKKYMTYKKDFNAIILHLLRGLVKDALQFEEIVSGSAANLDHIDVKVEELQSKALDYGMTDLKAFFSSNEFTKANFELYEDQGIIRHRLPAR >Solyc05g015945.1.1 pep chromosome:SL3.0:5:13080744:13084293:-1 gene:Solyc05g015945.1 transcript:Solyc05g015945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIKEKHELAYEYARRDCSLAIAKSLGSLDVISIIADVSKVQDCKRFVDQTVQRFGRLDHLVNNAGITSLCSINEVTDIAMLTPLMASKAALISFL >Solyc11g040400.1.1.1 pep chromosome:SL3.0:11:37830927:37831229:1 gene:Solyc11g040400.1 transcript:Solyc11g040400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNPRSNGGTKISFKVKGDEREGDIIFSIDEKIHPLYKREGDDLLLGVKVRLVQTLTGCTIIVPLLRGDEVMTMTFDDEIFYPGFEKVIQGQGMPKSKQE >Solyc04g072290.3.1 pep chromosome:SL3.0:4:59403831:59423207:1 gene:Solyc04g072290.3 transcript:Solyc04g072290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADDLGVEAKEASVREVAKLLPLPELLQSISSIKADYIARQQANDAQLSTMVAEQVEQAQGGLQSLSLSQKTINQLRENFLSIEKLCQECQTLIENHDQIKILSNTRNNLNTTLKDVEGMMSISVEASEARDSLRDDKELINTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDRTWETFEKTLWAHIANFSKLAKDSPQTLVRAIRVVEMQEILDQQLAEEAAEAEGGGAMASVANPRRNAKKTTSSKNLTQQKLKAQGKGYKDKCYESIRKSVEARFDKLLDEQDLKTAIEEARVIGEELGEIYDYVAPCFPPRYEVFQLMVNLYTERFVQWLRKMSDQASNMTNIEILKVTGWVVDYQESLIELGVDDSLAQVCSESGAMDPLMNAYVERMQATTKKWYLNILEADKVQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRENSTDVMLYRIALALIQVMIDFQAAERKRLEEPASEIGLESLCAMINNNLRCYDLAMELSSSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVSVIFEDPGVEELLVKLYQKDWLEGQVTEFLVATFGDYFTDVKMYIEERSFRRFVEACLEESVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISVSKVESRVRILSDLRELASSESPDTFTLVYTNILEQQPDCPPEVVEKIVSLREGIPRKDAKEVVQECKEIYVNSLVDGNPPKTGFVFPRVKSLSAAKHSIWRKLT >Solyc05g021200.1.1 pep chromosome:SL3.0:5:26637273:26638217:1 gene:Solyc05g021200.1 transcript:Solyc05g021200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIYCDLKPSNILLDENGIAKLLGINSDAANILTNGSMLVVLVKMLHHSKVSLLCNQLASLIGAPTNGLRDGQKNLRRFSVAALGELPFYISTQGEHVRDDKPMEYPSKDSRPSSCWQVSSLL >Solyc12g036310.1.1.1 pep chromosome:SL3.0:12:46244027:46244290:1 gene:Solyc12g036310.1 transcript:Solyc12g036310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNVQYQDILLAQGVLHFPWGLTLQIFFSSMIALYLMKGRHMMSIVPVMELTVHLRFLMLSFWSTVTIQSNRVRVLFMLLLRLIP >Solyc04g009950.3.1 pep chromosome:SL3.0:4:3261426:3266792:1 gene:Solyc04g009950.3 transcript:Solyc04g009950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACTKDENYDPNDDPRKLKPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTRGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDVRQRKRKRRGIDYNAEIPFEKKPPPGFYDVTEEDRPVDQPKFPTTIEELEGERRVDKEARLRKQDIARNKIAERQDAPTSILHANKLNDPEAVRKRSKLNLPAPQIPDHELEAIAKIGIASDLIGGDELSEGNAATRALLANYAQTPQHAMTPMRTPQRTPSTKQDAIMMEAENQRRLTQSQTPLLGGDNPLLHPSDFSGVTPKKREVQTPNPLLTPSATPGATSLTPRIGMTPSRDSYGMTPKGTPMRDELRINEEMDMHSNAKLGQFNSKKELLSGLKSLPQPKNEYQIVIQQPPEENEEPEEKIEEDMSDRIAREKAEEEAKRQALLRKRSKVLQRELPRPPIASLELIKSSLMRADEDKSSFVPPTLIEQADEMIRKELVSLLEHDNTKYPLDEKPEKEKKKGVKRKIVAEPAIEDFEEDELKEADGLIKDEAHFLRVAMGHESESLDEFVEIHKATLNDIMYFPTRNAYGLSSVAGNMEKLAALQNEFENVKKKMDDDTKKATKLEQKIKVLTNGYQMRAGKLWSQIESTFKKMDTAGTELECFRALQKQEQLAASHRINNMWEEVQKQKELERTLQKRYGDLIADTQKIQHLMDEYRIQDQMQEEVAAKNRALELAKAEMAEKDSVPSADDVEPSGTGQNSNTEENSASASHVPIEADVHVEPSGTNQCSNAEENSASIEADNVHVEPSGTSQCPIAEETSASISHDTTPRDVDGQVQVADVSTMDSEAISDHVPMEGQQNPGEESNTVVTKTEDSTVAAGDVDVTKTDDSGVVAGDGEADPKNM >Solyc06g042950.3.1 pep chromosome:SL3.0:6:30505703:30543014:1 gene:Solyc06g042950.3 transcript:Solyc06g042950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYSPSRTSATSRLPLGGAVAAAGGGGGVSGASRLRSSSLKKPPEPLRRAVADCLSSSSSPAHHGTPSATASEASRTLREYLAAYPTTDLAYGVILDHTLAERERSPAVVAKCVALLKRYLLRYKPSEETLVQIDRFCVSIIAECDMSPNRKLAPWSRSLSQQSSASTASSTVSPLPVSSYASGALVKSLNYVRSLVTQYIPKRSFQPAAFAGAATASRQALPTLSSLLSKSFNSQLGPANGKELLENKDVSTVSTSGSPIAEEINRMEDHEFTAFDVFKWRWCRDQQSSPSPSKSDHLLNPKDVSAHNFLEVGAAALLVGDMEAKMKGEPWKIFGSSEMPYLDQLLQPSLLTTVTNSVSARAHLRAITALKRSKPGPHQIWEDSPVSTFRPRAKPLFQYRHYSEQQPLRLNPMEVYEVIAAACSETSAPNTYLMTFSSKLSNNSGKPSMDVAVSVLVKLVIDMYVLDSETAAPLALSMLEEMMNSTRLESKTRAFDLILNLGVHAHLLEPPTADDASTIEEEYSKETFLDNETQLSLEGNKKSDYLKKAGSSSAIDKFECWILGILYEILLHLVQTEEKEESIWASSLSCLLYFVCDRGRIRRSRLKGLDIRVVQVLICVSRMNSWAEIVHSKLIGMLTNMFYENPEISNTALSATPEFLIQQVDLTGGIEFIFVELVLSNSREERRNLYLVLFDYALHQINESCIASGTSDYSDDEVQPVAMLLMLADAPEALHISVKLGLEGILELLQRPISSALSKYPNSDRLSMLLGKIVYNFEKLIKSFTHLDKEFAHMRQITKSCKSLESIDGAYGNSFGMKAKLSWATLHSLLHSERTQCRHNGYLWLGDLIITEIVEEGDASIWSSIRSLQEKISQASVIDYSPDLDVPLSIWLMCGLIKSKNNLIRWGFLYVLERLLMRCKFLLDESEVQHAISGEMVGDLHNKSRLEKANAVIDIMNSALSLMAQINETDRMNILKMCEILFSQLCLKVPTSTVTSMDDPTICIKDVSWNKKLGPGESLPRQESFGWEEHIEDTNHKLKRNKEPPKPETASMAALLLHGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVSVGSKGRGNVPGSTSDIRATLLLLLIGKCTADPAAFKEVGGEEFFRELLDDTDSRVAYYSSMFLLKRMMTEEPENYQRMLHNLVSRAQQSNNEKLLENPYLQMRGLLHLSNE >Solyc07g021730.2.1 pep chromosome:SL3.0:1:90066471:90067549:1 gene:Solyc07g021730.2 transcript:Solyc07g021730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYKNKMQPVRGQKFWKVDPSSAMLPPNVVKQLGRPKMKRNREPDEARKRKGEWSQSRKGTQMTCNNCGESNHNVKSCYKEKCAENNAHSKKGKRPMSNNEHGSDVEGGIEAETGAEAVTQEFEPYGPNVEDEEDPPLRPMVICESELRAEKLKKRVVPTGARKIQFYGDHTGASVPTNLPYSPIKTT >Solyc08g081050.3.1 pep chromosome:SL3.0:8:64288438:64296776:1 gene:Solyc08g081050.3 transcript:Solyc08g081050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRTKFYLYSDTCYSTHPSEKWETGGMQWLRVTGKGKGRKTHYESFEYDGNRYELEDPVLLVPEGQLQKPYVAIIKDITQTKDGNLMVTGQWFYRPEEAIKRAGGNWQSRDTRELFYSFHRDAVPAESVMHKCVVHFIPLNKEIPRRKEHPGFIVQKVYDTEQRRLFKLTDKDYEDTKQHEIDLLVQKTIARVGQLPDLETEDNSAGPVSQEDQLKSKRLLRKKSMMSLDVTRDDEAPSRSGQPRAETPGSCANNASEYFIILSNFRVLTGETQRDKWLEKLLQSIQYFCSPVDNVQTDGKAKGGSDAADLTGKPVNESLDNGADGDVFRWPDSAVTAVVSLEKVAHEALSSDFQKYNQKMRQLTFNLKHTSLLARRLLKGELDPSQILNMSPNELKEALTAEELASKEPEEPEPIQMTDARCKRCTEKKVRLMEIIQAGHGDRYSLECIACGNTWYASRDEAASLTIDGPNSAKSVGAEPLATAKFEDVEKNLTSPRKGDKGANDILKKTTEAYMPVLDSQRSFNKTKPEDNTTTSHAD >Solyc07g016020.1.1.1 pep chromosome:SL3.0:7:6172602:6173165:1 gene:Solyc07g016020.1 transcript:Solyc07g016020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLKKLLQGPREQEGTTLSDQAEVKRKETKVLPPLVNAITTSVKKNAAPLNFPGHKRGIAAPSSLVDAIGIGPFLHDATELPELDSFGYPIGPLLDAQQMTANLFGASQTWFLVCGTTCGILAAIMSTCSHGDTLILARNSHVSAASATVLCGAQPKYILHEHNLRWDIAGGVTPLQVILTAIMST >Solyc06g084150.3.1 pep chromosome:SL3.0:6:49409897:49417220:-1 gene:Solyc06g084150.3 transcript:Solyc06g084150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLKKSKKDSNKLKKIKAKNKKNNIVPAENKGTECDWWDSFWHKNKTSTPGSGVPSDEEEGFKYFFRVSNKTFDYICSLVREDLISRPPSGLINIEGRLLSVEKQVAIALRRLASGESQVSVGASFGVGQSTVSQVTWRFIEALEERAKHHLKWPEPSKMDIIKSEFEQSFGLPNCCGAIDATHIIMTLPAIQTSDDWCDQESNYSMLLQGIVDSEMRFLDIVTGWPGGMTTSRLFKCSGFYKLCESGDRLNGNVKMMSEGAEVREYILGGLGYPLLPWLITPYEGEDLSDPMLDFNAVHETASSVAVKAFSQLKGGWRILNKVMWRPDKQKLPSIILVCCLLHNIIIDCGDKLHPDVAVSGHHDPGYEGQSCKQIESVGRLMRGKLTKYLRSTKKEGSMKMIV >Solyc12g096140.2.1 pep chromosome:SL3.0:12:66160262:66163437:-1 gene:Solyc12g096140.2 transcript:Solyc12g096140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTLVRQKGIKIYWKYYSSLCHPKVNETRSSTNLRSFSSSNKFSDYSDESAESNYPPPPEPIPNRPLRADSRRPFNPSQRQHPSNRSSPNHSTTFRRSSENNESQMKSQDSEDFLKRFQLGFDRKEENPNTNPKAESRDCPVSEAPPAPPEDADEIFKKMKETGLIPNAVAMLDGLCKDGLVQEAMKLFGLMREKGTIPEVVIYTAVVDGFCKAQKFDDAVRIFRKMQGNGIIPNAFSYGIIIRGLSQGKRLDDALEFCLEMLEAGHSPNVVTFVTLVDGFCKEKSLEDAQNMIKTVRQKGFIVDDKAVREFLDKKGPFLPVVWEAILGKKASQRF >Solyc08g082180.3.1 pep chromosome:SL3.0:8:65158854:65160955:-1 gene:Solyc08g082180.3 transcript:Solyc08g082180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIMNVDRKISSEDEYIRRHHRHDVRDNQCSSSLVKHIKAPVHLVWSLVRRFDQPQRYKPFVSRCIVQGDLEIGSVREVNVKSGLPATTSKERLELLDDDEHIFGVKIVGGDHRLRNYSSIITVHPKVIDGRPGTMVVESFVVDVPDGNTKDETCYFVEALIRCNLKSLADVSERLAVQGHMEPIDRM >Solyc11g045570.1.1 pep chromosome:SL3.0:11:30338600:30343636:1 gene:Solyc11g045570.1 transcript:Solyc11g045570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSKFCSYLACSEKKLDSSSEINNCRKIIPYVSPVNNIRMAVYHHMRKSSICRSVMEVCRYILDGNEEVEVEVDSETNIVKEIKEMDKVEEGENKVFSHLNKSNELDFEFSQSVENMEQVPISQFKNKENAYMEKGLPYEDNYGEKEMIANLANNVEFVTFADFTLETGLDDPFLLYGIENDDFGDAYTPNIKDL >Solyc06g051330.1.1.1 pep chromosome:SL3.0:6:34659367:34659552:-1 gene:Solyc06g051330.1 transcript:Solyc06g051330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGPIREPTQHTIPMFVFFMRPERKSGNTQRTTAKLTPSAKSSQKPGKRAATLTATEQAAK >Solyc02g088345.1.1 pep chromosome:SL3.0:2:51105607:51108142:-1 gene:Solyc02g088345.1 transcript:Solyc02g088345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETGEASAISFPSLTIPPRPSFESFFNMSSFSPGPMSLVSSFLSDQSPDSADCPPSFSQLLAGYEYSGDPPGCQKNSGYNQNGSVNPQLFVIPSGLSPSGFLNSPGFLSPLQSPFGMSHQQALAHVTAQAEFSSSYMQMQMQMQAQDQCSSQVAPVEALGHELSIDPKESSLQIKECLQSSLDNKPSDNQGKQFEQPEVSQSENKTSSGALDKPACDGYNWRKYGQKKVKASECPRSYYKCTYLKCLVKKKVERSVDGHITEITYNGRHNHDQPTKRRKNGSALDNTDCFGVRPDISTHDWTVMNSSDGSSPSRSDQVPTQMVSELLVKRECDETESYLIDVDDEGHDEPDAKRTKTAIETVASSHVTVAESKIVLQTRSEVDFLDDGYKWRKYGQKVVKGTQHPRSYYRCTYPGCNVRKQVERASTDPKAVITTYEGKHNHDIPTVSVRNRGTRNKYS >Solyc09g065800.3.1 pep chromosome:SL3.0:9:64303550:64304995:-1 gene:Solyc09g065800.3 transcript:Solyc09g065800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4CUK6] MELLHPSNLFPIFVPSLILVLFWCKIMRRQRVFLVDFACYRPPKDQQICRQTFIEKSSRSLNSNKNTLEFMDRILQRVGLGEKTYLSKGLLKEPPDMSAKAAKEEVEMAIFGSIDELLLKTGVQCEDIDILVTVCGVYNIMPSLSSVIVKRYNLRHDIHTYNITGMGCTAGLVALGLVQNLLKVHDNSCALVVTSDSITENVYKGNDRSKLLSNCIFRVGAVALLLSNKPSDLNTSKYELIHTVRSQTSNDDRSYNCIFMEEDVEGHRGITINKDLLYAAMNTIRLNISTIAPLVLSLSEKLRYLVNLIAQYFHMIKSNVDRPYNPDFSKTVNHFFPHVGGKPVLDDLQKKLGFSDEQMEASRMTLYRFGNTSTCSVWYEVAYVEAKGRVRKGDTLWQIAFGSGFKCTSVIWRANRSIDRDEVNPWSDEIDEFPVDLSQMETLSDLFVASK >Solyc04g076965.1.1 pep chromosome:SL3.0:4:61995843:61996707:-1 gene:Solyc04g076965.1 transcript:Solyc04g076965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLKKCKFTNLQGPEIERHRTRHNRPAIREPVKPRVPLRLLLRVASVAGGIQFGWALQLSLLTPYVQELGIPHAWASIIWLCGPLSGLLVQPLVGHMSDKCTSRFGRRRPFIVAGAASIMIAVLIIGFSADIGWLLGDRGEIKVRAIAAFVVGFWLLDVANNMTQGPCRALLADLTRKLFNSILQLDFHFFPICLLLVNYSASAASFLRCSIA >Solyc02g086445.1.1 pep chromosome:SL3.0:2:49747022:49748054:1 gene:Solyc02g086445.1 transcript:Solyc02g086445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPVHESVPLSPDHRNDVIEPDRNLEEQIIEDKERLCDDLSNVYKEDFISAKVKMASWDIPLTV >Solyc07g008460.3.1 pep chromosome:SL3.0:7:3362180:3375401:1 gene:Solyc07g008460.3 transcript:Solyc07g008460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSEEDKCNVVQLPEGVTPFIYITQNEFLGRKHKKLKEDDIAICECKYDASVPESACVERCLNVITNTECTPGYCQCGATCRNQRFQKCEYAKTKLFRTEGRGWGLLADENIKAGQFIIEYCGEVISSEEAKKRSQAYEAHGLKDAYIISLDANHFIDATRKGSFARFINHSCWPNCETRKWTVLGETRVGIFAKQDISIGMELAYDYNFEWYGGATVQCLCGAANCSIFLGAKSQGFQEYNHVWEDGDVRYTVEEVPLYDSAEDDSLPVIAGTGGGNEQTKILNDSEGSTLKLEPSNTTCKSFNIGSGSTPKKTAQRLPKRKVKSSSRKQVNDGDFAKLFASKEAREEVTMYEGLKNEATSKLNSVYEEIRPTIEEHGRDNQDSVPTSVAETWIEAHCSKYKADFDLYFSVIKNVMHPRPATYTTAAAPSEGGAVPQMTNAEPKLSQGAK >Solyc06g049070.3.1 pep chromosome:SL3.0:6:32431534:32435521:1 gene:Solyc06g049070.3 transcript:Solyc06g049070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENKRFQLGTIGALSLSVVSSVSIVICNKALMSSLGFRFATTLTSWHLLVTFCSLHVALWMKLFEHKPFDPRTVVGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVFLETIFLSKKFSRSIQLSLCVLLLGVGIATVTDLQLNFLGSILSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQAMTLFVSGPFLDKFLTQQNVFAFRYTPQVLTFIAMSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYILLHDPFNWRNILGIFVAMLGMVLYSYYCTREGQKKATEASVQLQTKEDESDPLIGMETGAGAINDVALPMSPIGKADNDFRARDYNR >Solyc03g098480.1.1.1 pep chromosome:SL3.0:3:62195672:62196649:-1 gene:Solyc03g098480.1 transcript:Solyc03g098480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLIKFGLTMELLLLSTGLISTFFMIKKAISPYYLFNMFFVNLSEILKYLKSFLSSPFYICIFINSVVILILTFSKFQHTIKKFIDYDEVQIQPQAHHEEKEEEYMPNRTNSAIAMDTLMSFFNRYNSHPDIQDDMLTTTTTTTPQSQISWGQLKAKLDCPQTTTTTLLPQSQTRLRAKANSAKTTPPQSQTSWDRVSANIDSPYLSHLAEAREIVSPHSSDHETIIRTNYQDNNIEEGEIEGDSLEATWKAITKKKEVKKSLTFNDALSMSRIGGLKGDISVSTEESNKKFDDFIKKINQERLLQRQESDQRAHNVNMLNRAR >Solyc10g049510.2.1 pep chromosome:SL3.0:10:45979731:45983421:-1 gene:Solyc10g049510.2 transcript:Solyc10g049510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFFHTQRQFNNLLFLVLQYIYLHQISLIGIFHYLYPNMILKLNDHILVDLLNMTDKVGKLFNILSTVQILIVDIKNISDKYNLDLQLREINILDFCSRYLSIISTVLFWCVCLSLHFVTLFVVRVLCWQPNAGITINRQILIEVSNCIESINGVKEGGWKNNFCFYKPILKGISSYLINHYKDWTWEVFLPSLFFFLLQEPANESEIPQIFLGVSLQEQPEKFYMALIRQRLIVEAESSMQTIMENLQSYKMKLAINCEVCIILRSGFQYRLGDFRMRVGKVVPINSENLRGIVIEMEYLPISSWETSHLIMSEFFEILKVTLGKKSLPGHFVHTEPNFSKFGLSDQYTSQHTVVQYASILAQMTATSQSS >Solyc12g036710.2.1 pep chromosome:SL3.0:12:47780985:47782666:-1 gene:Solyc12g036710.2 transcript:Solyc12g036710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPVDGDTATFGDVILTLSVSTNNSKYLDFFRTGSSQIAMIRSTSFYTISETLGLQPLAFQLKLKEMELNRLRPLPSFKGTRGNATTETMGLQPLGLPLSLQEARRDEIAPLINNKREALERKEKAEKKDAFIPRDVFTRKRTPSLWSSRCSIQQYRVSLHNFLKDFPYNRLFFRSLFAPHPETDPRHSAHSLRAREGNEVQFMRPQRSGVEQPRHFLKPKEFVVGRSFHRSFEQLTYESAITQLNPSPQALSVVGCAKQPIPLYDDSVTFDTLHLVARNLDFCPSLVQLD >Solyc04g014857.1.1 pep chromosome:SL3.0:4:5089248:5090606:1 gene:Solyc04g014857.1 transcript:Solyc04g014857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKKELTLTDGLKELCVDGWRGDNGTFRHEYLMELKHYMNARHPSCGLKSLPHVDSKIRAWKKSYATISLLKSQSGLGFQFSDESTLVDPNAKSMNLKKWPLFADWEEIFGKDRATGEFVEGPEDAVEEIERIESQEITNDMSVGFSIDVVDIDDASGTRKNRDA >Solyc04g014930.2.1 pep chromosome:SL3.0:4:5177775:5178234:1 gene:Solyc04g014930.2 transcript:Solyc04g014930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISDTISLNNPLVDDLADADYRSSDDRIDELDVADRNSSNDDEFLLSNESLNRTMKKSSISILGFPRHVALNQNNNPMLQDPDGAGNTGLTKGDKIVLNEFK >Solyc03g083843.1.1 pep chromosome:SL3.0:3:55197044:55198718:1 gene:Solyc03g083843.1 transcript:Solyc03g083843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCRARGQIHRCCMALELNSSRQAFVMRVNRSTLRFTLREFALISALNCVNEETDFIFDQLEPNSFMEKYFEGVKLIRKIDIIRSFHRKVWGENDQDGLKFAILYFIQTVIFSGERATKKILRLYFDLVESGRYSEFPWGKKTFSLLTKSLSKRLNDEKQFYRIGGMPIVFQVWLFECSSSIDFQVAQKVDDHIPRLLNRRTTNESRRYKKLMNTIFSDVNNKIKFRNITPNQRELVVLQLPPEGIENQAPPQYSDSSDDDIDDEIIDRNDVQREGSYDDKDSEDDFQAPPPQAVKVKRKENGGSSTSPVRKRTKKLVTGGSKQVAKNLEPRIAVKQPMKKNVVSKKVKQEFVEIRNLINDNFKTVLAAINSTRDEQAAEHSDDHIVPPNSNDEDGYTPPYTFNKESPSNQVSCSSV >Solyc10g087017.1.1 pep chromosome:SL3.0:10:64174955:64176082:-1 gene:Solyc10g087017.1 transcript:Solyc10g087017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSGNQLLQRAQSCPHPLASDHQDTFSFRHQKEDTQSYSPRNPQSCARISQILLLAPVSTPRILIEGKMSAKLNPLANSTNFFTI >Solyc01g091340.3.1 pep chromosome:SL3.0:1:84858730:84866661:1 gene:Solyc01g091340.3 transcript:Solyc01g091340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4AZ74] MAFWGIEVKAGKPVTHLSNNERGRLRISQATLGIGNAETKSLVQCNVGNKSPVFLCALLPNKTESCHLDLEFEEAEDVVFSVLGPRTVYLTGYYVGNSRRANVNSDTESYGEDIADTETGESCHDSDDDKYDDSFINDAEPEISPPSPASSSGVQEDDENVSDNKLINNKGGHKRLRKKYQVSESENEDILQESDDEDSCLLSALKKKTDVKVVVASEDAQKNDIPHRTENGGAVESKKAKHENSINSKKKRKQPDGNGGKLLEANTISDRKDNREDKTNLVDVGFAMTIDMKDGQSDSLEPLAEEDSNHVPKSKKRKHSVEAKSVENHDTDCAVILKEDQLKQDPLKAGHLGEDPIAIDEEDQKLTINNSSKDGKSDSVADEHQPDKKLKKKKKKKTKSQDDCLVNKDLPVLQENEMNRQPVDVEDKSLKVKSTVIRTLSNGLTIEELAVGEPGGKLAAPGKKIKVHYTGKLKENGQIFYTNMGKSPYKFRLGDKDVIEGWNLGLAGMRVGDKRRLTVPPSIGYGSEGAGENIPPNSWLVFDIELIGVRG >Solyc01g108050.3.1 pep chromosome:SL3.0:1:95325733:95326989:1 gene:Solyc01g108050.3 transcript:Solyc01g108050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQNGVDLEKCNLRKQSNITCYPCQISWWFYPLSGSNVGSSWRISSIFGESDNRPFIEDDFISKQFSDPELR >Solyc08g074290.3.1 pep chromosome:SL3.0:8:58522167:58532835:1 gene:Solyc08g074290.3 transcript:Solyc08g074290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCWKFLFISFVFLAVVAPKVKADSGSVLDDEVEVVRSDVPTSQELEHLKSKIQSLESNIEETARALKGKDEAIADKENIIKEKSERIKSLSSELASLQKKGTLDSEEQVGKARAQADQLEKQVERLKEEAEMKNKEKRDFKAHIGETEKRVSELNLKVEKLEKTVEKQKEKLKKTERALKLAEEEMIRARLEATSKMKELMEVHGAWLPRWLEVHMTHYKSLLEKHWEEHGKPAMNTMIQKAVEKKAQAEVWAAPHIETVKTKWMPAVEEQWVVMTTNLKPQMELVRTKGFEIYETSKSAVTPHIVKVQELAKPHFQELKKFSKPYIDQVATATKPHVEKVRIAVKPYRDVAVHHYGKFLESATIYHNQLQGTVDKTLKKHELTRPLATKEFVWFAASAVLALPVIILFKLLSGIFCKKAKKPTRHGHSHHSRRKSKRAHTDK >Solyc02g032630.1.1.1 pep chromosome:SL3.0:2:29363577:29363882:1 gene:Solyc02g032630.1 transcript:Solyc02g032630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQDGIVVFADASVHKEKKTTSIGVVAIDSYCNLLHAFDNPIQYVGKDITAEAIAIRMVMENAKENEWTKVQILSNAKKKKKSDGYATTKNHSLMRDRDYL >Solyc08g082335.1.1 pep chromosome:SL3.0:8:65277194:65277503:1 gene:Solyc08g082335.1 transcript:Solyc08g082335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKTRNFLVFQIDLDACKTMPTRDLGDQAFFLGQAQSYLFYRQLFGCLSPL >Solyc03g007980.1.1.1 pep chromosome:SL3.0:3:2465111:2466106:-1 gene:Solyc03g007980.1 transcript:Solyc03g007980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQPLNPKPSSLYSSTFTPPNSLSPKTLKPIPAFRPSRNFYPSIHSMLQYNRKPQLAGDTPRVVVITSGKGGVGKTTTTANIGLSLARLGFSVVAIDCDVGLRNLDLLLGLENRVNYTVVEVLNGDCRLDQALVRDKRWSNFELLCISKPRSKLPIGFGGKALVWLVDALKARDEGGPDFIIIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVTGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLPLLGVIPEDSEVIRSTNRGYPLVLNKPPALAGLAFEQAAWRLVEQDSMETVMMEEEPKKRGFFSFFGR >Solyc01g091470.3.1 pep chromosome:SL3.0:1:84975515:84978670:1 gene:Solyc01g091470.3 transcript:Solyc01g091470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4AZ87] MDQLTAHGRPLPPPFHTRDVQLQNNNPHLHAQFHHIQQQQKSEDEQQSGHRNQKRDRDDNFSLNPDPSGSMDSKGNNTIGDGGRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIATGCDIQESISNFATRRQRGVCILSASGTVTNVTIRQPASPGAVVTLHGRFEILSLSGSYLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLNASGPVVIMAASFGNAAYERLPLEDEESPVGQQGSGGNLGTSTNQGAAAAQQIMGTDPNANNLLQGLPQNLLNSCQLPPAEAYWGPGARPPY >Solyc09g005050.1.1.1 pep chromosome:SL3.0:9:34873:35940:-1 gene:Solyc09g005050.1 transcript:Solyc09g005050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEFNKEKYGESDRPPFYIQQQHPWLVMCDGDVRHKQTFFSVSQNQYYLRRIPELKEKLIRAHADEWLVLQSIYSSECYLWNLISNDKIQLPPLPECTILECLLSAPPNDPECQLLFLIEENETTNDSDDENSNDSDDEYSDDENSNVNPPTFYFCKPGYHEEFHKQEDGHSIFENGSSGIWTVFKKKLYVSTLQTRRLLCLEPDNDSGTITITLISNEYPDVRKYLDTTRFVNFILQSCDDTLLYVHLFFNGKSHGIPYDFLVFRFDFARKSWIETESIGEVAIFISYYSSTGTTCSTKGTNLKKESIYFIEGRYLYVYNLITHSISLSLPCPHVSKKNPSTHWLPLPCQKK >Solyc01g098200.3.1 pep chromosome:SL3.0:1:88662921:88669833:-1 gene:Solyc01g098200.3 transcript:Solyc01g098200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSDLVEIAPRELQFTFEPKKQSSCTIRLINKSQNHVAFKVKTTSPKKYCVRPNTGTIKPRASCDFTVTMQAQKAPPPDMACKDKFLVQCTVVAEETVEEDITSAMFSKDDGKYVQENKMRVVLISPPGSSILSPINVEHSDLPSNIDSPREDQVHGNENISSHQEGDVNGVHNHNGRYKEALTRDQVNPLNQNTQKELDENAKEFRKENANMQMGGKHAQLETRKHDEEMDLVKDVTSMKSKITELERKLSEAKDTISKLTEERKSTAQERESLQRELVMLTSKKGGRKVRVGFPLLYVVTVAFISMVFGYLLHY >Solyc03g097270.3.1 pep chromosome:SL3.0:3:61021452:61026805:1 gene:Solyc03g097270.3 transcript:Solyc03g097270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4BJE4] MRVIRSRAILIVLFLVSAFGLSEQGKSGGFCSEEMATLGGVHDSHGSSQNSDEIHSLAKFAVDEHNKKENAMIELARVVKAQEQTVAGKLHHLTLEVMDAGKKKLYEAKVWVKPWLNFKELQEFKHVEDVPTFTSSDLGVKQVEQSSGLKSVPVHDPVVEEAAEHAIKTIQQRSNSIHPYKLQEIVHANAEMADDSTKLHLVIKTSRGGKEEKFKVQVQHNNEGAFHLNRMEPDN >Solyc02g093660.1.1 pep chromosome:SL3.0:2:55067966:55069375:-1 gene:Solyc02g093660.1 transcript:Solyc02g093660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLRDQLLEKNEISDSYYHVDQHSDSMKVEEQNNDSCDNLDNSENDSLQTKHSTGSPVDTGTAWVYRDENGKQFFEKQLSEDDITGDHLEIPRRLAHYIQSLKLKRRVNAGEKTRKVNLVGENECYYFTGQWKDFVMENQLKAHKDLVLLEISQQLGLRIGHTCDQKAIDMNNPVLLRRLSRADVKALYIKKLSERYSFSRGATGLIEIEPVVEMKLCSQIVYRYGGRTNGVMFIELPKKDVEENLGRDLPLPAAGGDIALPLFDPVGNNLVEMKLLHTEIGDQYHLGGGWEVYAAKHGLLISDTIFLDKVTVQVGNDHDQLNTAQFSCHYEITYQRRRRGGTKDHSGSAAPANSVKDVVRVTKIISESSEYEGDSSWLQYCTP >Solyc09g031570.1.1.1 pep chromosome:SL3.0:9:29918775:29918984:1 gene:Solyc09g031570.1 transcript:Solyc09g031570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTLRKIVIYHHVAQRVGGIDRNDRYASRNSVFFDLSANLNNQSLHILFTFQYTCVKSIFSFTRIKFI >Solyc12g056940.2.1 pep chromosome:SL3.0:12:63955439:63970297:-1 gene:Solyc12g056940.2 transcript:Solyc12g056940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESQRRPAVIGIRGGNGYINGALPLRSPISRAEVAEFCHALGGKRPINSILIANNGMAAVKFIRSIRTWAYETFGSEKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEMTRVDAVWPGWGHASENPELPDALDAKGIIFLGPPATSMAALGDKIGSSLIAQAAEVPTLPWSGSHVKVPPESSLVCIPDEIYAKACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSVQRRHQKIIEEGPITVAPLDTVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIPEIRRFYGMEHGAGYDAWRKTSIVATPFDFDKAESTRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRPLAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRENKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASGAAVVSEYIGYLEKGQIPPKHISLVNSQVSLNIEGSKYTINMVRGGPGSYRLRMNESEIEAEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLMSDGSHVDADTPYAEVEVMKMCMPLLSPASGVIHFKMSEGQAMQAGELIASLDLDDPSAVRKAEPFLGSFPVLGPPTAISEKVHQRCAASLNAARMILAGYDHNVDDVVHNLLNCLDSPELPFLQWQECISVLATRLPKDLRLDLEAKFKEYEGISSLQNVDFPARILRGVLETHLRTCSEKEKGAQERLVEPLMSLVKSYEGGRESHARGIVHSLFQEYLSVEELFSDNLQADVIERLRLQYKKDLLKVLDIVLSHQGVKRKNKLILSLMEQLVYPNPAAYREKLIRFSQLNHTNYSELALKASQLLEQTKLSELRSSIARSLSELEMFTEEGDTMDTPKRKSAINERMEALVSAPLAVEDALVGLFDHGDHTLQRRVVETYVRRLYQPYLVQGSVRMQWHRSGLIATWQFLEEHVERKSGSGDNVMVKPLVEKHSEKKWGAMVIIKSLQLLPTVLTAALRETAHGLHAEMANGSTPPVSHGNMLHIALVGINNQMSLLQDSGDEDQAQERINKLAKILREKAVSSSLKSAGVEVISCIIQRDEGRVPMRHSFHWSAEKLYFEEEPLLRHLEPPLSIYLELEKLKVYDNIKYTPSRDRQWHLYTAVDKQSPIQRMFLRTLVRQSTSDDSLLAYQGLNQGTTHSPLALSLTSRSILRSLTSALEELELNLHNTTLKVDHAHMYLYILREQEIADLLPYHKKADLNNEHKEAEVQKILEDLAHEINASVGVKMHKLGVCEWEVKLWVSSAGDATGAWRILVANVTGHTCIVHIYREVEDTRKQRVVYHSAIGNGPLNGMPVTAPYPPLDALDKKRLLARKSNSTTYCYDFPLAFEAALEKSWASHNPRTEKPKDKVLLKVTELSFADKEGSWGTPLVSVVRQPGFNDVGLVAWIMEMSTPEFPMGRKILVVANDVTHINGSFGPREDAFFQAVTDVACAQKIPLIYLAANSGARIGAAEEVKSCFKVGWSDESNPERGFQYVYLTPEDHERIKSSVIAHELKLSNGEIRWVIDTIIGNEDGLGVENLSGSGAIASAYSRAYHETFTLTYVTGRTVGIGAYLARLGMRCIQRLDQPIILTGYSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGISAILNWLSFVPPYCGGPLPISTPVDPPERPVEYFPETTCDPRAAISGFTDASGTWLGGIFDKESFIETLEGWARTVVTGRAKLGGIPVGIVAVETQTMKQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQALMDFNREELPLFILANWRGFSGGQRDLFEGILQAGSTIVENLRTYKQPVFVYIPMMGELRGGAWVVVDSKINSDHIEMYAERTARGNVLEPEGMIEIRFRTKEQLECMGRTDQQLINLKSKLQEAKTAGVYANVDALVKQIKTRETQLLPVYTQIATKFAELHDTSSRMASTGVIRKIVDWETSRSFFYGRLLRRVEEEMLVKTVRNAAGDQLSYKSAMGMVKSWFLDSKQGNVDAWIDDEAFFSWKNDPKNYEEQLQELRVQKVLLQLSKIGDSTLDLHALPQGLLSLLQKVEPATREHLISDLKKVLN >Solyc03g119800.2.1 pep chromosome:SL3.0:3:69855022:69856044:-1 gene:Solyc03g119800.2 transcript:Solyc03g119800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPPPQPNDGIRTRRKPSSRGHPRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDQAARTLRGANARTNFELPASDSQQGSSIVENSEPFNFEEACRTEEPENSLVGALKAKLFNSKNSRSFIQAYASNSSSELASKVKPSVPCSEIKKTSTQSEKLPKIGHVKNCSDYLFRGNHNLDYISLMTNDYQPMISSSQCQDNQLYSGTDSAIMWLNEQGTLPWGEPQMISVSEPTVDLSAFGGIDVLNGSIMMPDMNGLTHTSSVTTEQQFLQFENGLWGVGDSAGWDPFLLSSV >Solyc04g014670.3.1 pep chromosome:SL3.0:4:4933557:4937194:1 gene:Solyc04g014670.3 transcript:Solyc04g014670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGKRFEGKVVIVTASSQGIGFSIAQRLGFEGASVVISSRKQENVDEAVKKLRDGGIEVLGLVCHVSNAQQRKNLIDKTIQKYGKLDVVVSNAAVNPSVDAILETKSSVLDKLWDINVKAAILLLQDAVPYLKKGSSVVLISSISGYSPPASMGMYGVTKTALLGLTKALAAEMSPDTRVNCVAPGFVPTHFADFITSNEQVRREIEGKTLLNRLGTTHDMAAAVAYLASDDASYVTGETLVVAGGMPSRL >Solyc05g023763.1.1 pep chromosome:SL3.0:5:29299051:29306232:-1 gene:Solyc05g023763.1 transcript:Solyc05g023763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFFKVCAPSCDRNKEFAAASLTELRSDLFRVATLDAIAWPELSPEESEDVLFKEAWLTYFWRRAKAHGIQEEKANKRLQFWSSRSGHSPTSHDAVDELQLKQLVPKQKRYPDVLLESSTPPPQSLSEYPIRSNRKGGCPVK >Solyc07g042420.1.1.1 pep chromosome:SL3.0:7:55672890:55673288:1 gene:Solyc07g042420.1 transcript:Solyc07g042420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGGFGCWKWLERVEEARGGGEVADGKKERKKGERGSCREREEGGCEGEGRRREEGGWVVFGGREKRKREGRGRGKVGWKERGRGEGLAARRWREEGRGGSAGSNWEEKERRGGCGSLSFFLKIFPLFLT >Solyc12g044850.2.1 pep chromosome:SL3.0:12:61130333:61140040:-1 gene:Solyc12g044850.2 transcript:Solyc12g044850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSTSTPVGSAAAPPPPPPLKDSFLRRNKFLLPMILALNLSLGAYLFMTKKKDVGTEAGEVSDVPSSSVSSTAAITAVAGKQATVPALVQPAVVHEPIPEDRQRELMKWILEEKRKLKPKDPEEKKRIDEEKAMLKQFIRAKSIPSL >Solyc05g006703.1.1 pep chromosome:SL3.0:5:1370620:1373145:1 gene:Solyc05g006703.1 transcript:Solyc05g006703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFIHGGEPWHLQALMQYLGSVTKHMSFFSYSTNSAVAKRSCLTLTAARSFPDYSPKKPSIRDFELVQHISTSIKQRYSEHVRRVLKPFESKIKPDHIVWVLMTIKNDYKLVIDFFDWWCQRRDPSIEVRCIIVHIAAAQKDARIVHRLIHDFWARPGVDVTVFFPQFLEKLIYTYKDWGSNPFVFDIFFQVLVELGSLDYGRKLFDKMLHYGLVLSVSSCNFFLSRLSHEIEEHKMMLKVFNEFSEVGVCWDNESHNIVIHSLCRIGKVKEAHNLLLQMELRGCMPDVVSYSTVINGYCAAGQLESVMKIIEEMQVKGLKPNAFTFNSIILLLSKRGKVHDAEKILREMTSQRITADNVVYTTLIDGFCKTGNISAAYGLFNEMQSLNISPDLITYTTLISGLCQTGNIVEADKLLNYMLGRGLEPDEFIYTTLIDGYCKAGEIRTAFSLHNKMVQMQFVPNIVTYTTLVDGLCKLGELETANELLQEMCGKGLELNIYTYNSLVNGFCKAGDVNQALKLMEDMEAAGICPDAFTYTTLMDAYCKLGEMGKAHGLLRQMLLRGLQPTVVTFNVLMNGFCMSGMLEEGDKLLKWMLEKGIIPNAITYNSLMKQYSVRNNMCMTSEIYKGMLDQGVVPNANTFNILIRGHCKARNMKEAWFLHKEMIKKGFTPTLETYHALIKGFLKRKKYSEAKELFEEMRRYGLLADKEFYSIFADMNYEQGNFDLALELCDEAVEKCLTDKTDNRNA >Solyc11g030650.1.1.1 pep chromosome:SL3.0:11:22679382:22679639:1 gene:Solyc11g030650.1 transcript:Solyc11g030650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKIGVVVRYMQPGVIVPYENLKKMIGYLCPICNTGVIVPCEDLKNRIEVIVPYIQPGVIMHCEDIKMIGVIVPCTQSRGYCAL >Solyc03g033840.3.1 pep chromosome:SL3.0:3:5469796:5473617:-1 gene:Solyc03g033840.3 transcript:Solyc03g033840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTESKMATTKMVLSTIGSIAATAMLVRTIVNDYIPRELHEYLFFGLKNMFTKFSNQLTMVIDEFDGLVNNEIYDAVAIYLGNKLSPNIKRLKISKPAKEKNFNITMERNEEVTDVYNGQTFKWIWLCRQTQPKHFYNHRDMNSTLKSEIRSFELTFHKKNKDLVINSYLPYIIKEAKLQKHESKTIKIHTVDYENMYNLHDMWKPVNLDHPATFGTIAMEQDQKDTILKDLERFVKRKDYYKKVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDIYDLELTVLRRNSDLKKLLVATTNKSILVVEDIDCTIDLQHNLANRSAFAHSNGYQQESKVTLSGLLNFIDGLWSSCGDERIIIFTTNHIEKLDPALLRPGRMDVHIHMAYCTPCGFKLLANNYLGIKDHKLFKEIEELIDTANVTPAEVAEQLLKEDEAEDSLRGLVNFIHKKIKEKEEAEAKPEKVEIPQVEVGLTMEGGGLDAGQTHMNLQRLPR >Solyc10g047545.1.1 pep chromosome:SL3.0:10:41086626:41087327:-1 gene:Solyc10g047545.1 transcript:Solyc10g047545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAKFEMKDLGAARKILGMEIIRDGERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCMVTGYSDSDYAGDVDTKRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKKGIWLKGLVSDL >Solyc10g038170.1.1.1 pep chromosome:SL3.0:10:20373248:20374378:1 gene:Solyc10g038170.1 transcript:Solyc10g038170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFSGQSPEKIGKNWMEYQGIKNWEGLLDPLDDNLRGEIIRYGHFVEAAYRACNFDPSSPSYAMCKYSRKKLFHLSGFSGTGYRISKYLKATSGINLPNWVDKAPKWMSKQSSWIGYVAICHDQREIARLGRRDVVIALRGTATCLEWLENLRATLTPLPNIKHTICCPMVESGFLSLYTSKIDAQQSLQDMVREEIDRIKKLYDGETLSFTIAGHSLGAALATLTAYDIKQFFRDIPLVTVMSFGGPRVGNHSFRYHLDKQDTKILRIVNSDDLITKIPGFVIDNNDDDDKYVEKSDHWMKRLVEDSQWVYADVGCELRLSSSGSPHFNGINIATCHELNTYLHLVNSFVSSSCPVRATAKKIMHKSNNNVKCT >Solyc01g087030.3.1 pep chromosome:SL3.0:1:81822322:81830031:-1 gene:Solyc01g087030.3 transcript:Solyc01g087030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVLCKFFVNGACLKGEYCEFSHHWKDPPNNVCTYYQKGLCAYGNRCRYRHVKVSEQHSLPSSSAPAQHLFSASPPTTLSPGMLADGTGILSGISSEYLASGRPFYPPNQPVWSENSEHHDAAVIDNIVELKRMNPADRSICSLAAAGKCPREEQCPYIHGDLCPICAKNCLHPFRPQEREEHIRICEKRQKRLELLKHSQEIECSVCLERVLSKTTAAERKFGILFECDHPFCISCIRNWRSGTPSSGVDINSTFRTCPICRKLSYFVIPSVTWFSTKEEKQIILDSYKAKLRSIDCKHYDFGNGTCPFGNSCFYKHQYRDGHLEEVVPRHLGHENGRTLIAKNIRLSDLLGNLRRR >Solyc01g098720.3.1 pep chromosome:SL3.0:1:89027322:89029096:1 gene:Solyc01g098720.3 transcript:Solyc01g098720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEAITQQQQYLYALLGTTNWSIDSGSDYYNNNEFVPNHQNVTAPQEYEDYSNWNLPAPLLVDSQNEFHQWGQNCSSVLDHNFTIVDQQEQLESPIEMSSSTTRPRRRRTRTKKNDEEIENQRITHIAVERNRRKQMNDYLSVLRTLMPESYVQRGDQASIVSGAINYVKELEQQLQFLSGKKHLTSQNQEANGETSPFSEFFSIPQYSTTITAAAAATTTSENVCCENEYYRNQQLPATADIEVTMVENHANLKIRSKKRPRLLPTIISGLESLRLTVLHLNVSKVDQFVLCSLSLKVEEDCKMNSVEDIAAVVNQILSRIHEEAN >Solyc07g064250.3.1 pep chromosome:SL3.0:7:66597087:66604862:-1 gene:Solyc07g064250.3 transcript:Solyc07g064250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTSKIKSVDFYRKIPRDLTEASLSGAGLSIVAALCMMFLFGMELNNYLTVSTTTSVIVDKSSDAEFLRIDFNMSFPALSCEFASVDVSDVLGTNRLNITKTVRKHSIDKNLRPTGSEFHSGSTATELKHDAEDDEEYGEGSVSLNGHSFDRVTHHFPILVVNFFAPWCYWSNRLKPSWEKAANIIRERYDRESDGRILVAKVDCTEEVDLCRRNHIQGYPSIRIFRKGTDVRDDHGHHDHESYYGDRDTDSLVKMMEDLVAPIKLDSQTITSDNSSTKLETGLKRPAPVTGGCRIEGFVRVKKVPGNLVISARSAAHSFDASQMNMSHVISSFSFGKTITPKVMSDIKILLPHLGRSHDRLNGNSYVTNPRDSTENVTIEHFLQVVKTEVMTRSYKLVEEYEYTAHSSLVHSLHIPVAKFHYEPSPMQVLITENPKSFSHFLTNVCAIIGGVFTVAGILDSILHNTMRMVKKVELGKNF >Solyc02g089620.3.1 pep chromosome:SL3.0:2:52013726:52015927:-1 gene:Solyc02g089620.3 transcript:Solyc02g089620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BCB3] MANKVFCPKLLKNLGFHVRRLNSAPSPLSAVPPLNFTGDFNAVEPAQQINTTLHHHHDHHNIINFDDVKELFYGVPTTKLIRSSMTLQMAAIDPMVDLGMWVMNSKLMEMPIFREVILGFVKNTFYEHFCAGKDLTEARRTVTNLSDSGLKAMLDYGVEHATDNESCEQSTAAFIQTIESTKSLPESSASFVVAKITAICTPRLLKRMSDLLRWEQKDPSFNLPWKRESLPLFAESSPVYHTCSKPEPLSVEEERDLQLAHERLRKICEKCLEHEVPLLIDAEDTTIQPAIDYFAYSAAIKYHKDDQPLIFGTIQAYLKDAKERMVIAKKAAEKMGVPMGFKLVRGAYMCSEKELASTLGFNSPIHDSIEQTHACFNSCAEFMIEEIANGSGAVVLATHNIESGKLAATKAIDLGIKDERQNLQFAQLYGMADGLSFGLRNAGFQVSKYLPFGPVEQIMHYLMRRAEENRGMLSTSAFDRQLMRKELSRRFEVATS >Solyc09g057860.1.1.1 pep chromosome:SL3.0:9:51447516:51447947:-1 gene:Solyc09g057860.1 transcript:Solyc09g057860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFNCKWLNNKKGIIEKDDYGFTLYSCEFYSSTLYKTSVIRRTIHLYSQTQKVFHVDILMEIECRMVFKVKSRGFYDLGYGTPTIEHKERHKKFLPEQQLDDTTFETEEGIEGVGQGVLGLEIDKETLECNETYDDYDNIS >Solyc05g008610.3.1 pep chromosome:SL3.0:5:2921628:2927370:1 gene:Solyc05g008610.3 transcript:Solyc05g008610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDGATAMDWKKDGQSTNSTGNGMGNCVRNGSNGVVYPPYASHRLRLNPSVDHKPDNYDDLQLDFSPLLFSSLERYLPPALLNSSRDQKVHFMREILLRYFPEGERTRVQKHREYRQKIISNYQPLHRELYTMHAQNFFVPSFLKAISENSEESFRNIMSEPSPGVFTFEMLQPRFCEMLLAEVENFERWVRETKFRIMRPNTMNRFGAVLDDFGLDAMLEKLMEDFIRPISRVFFTEVGGSTLDSHHGFVVEYGMDRDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGVRCEKHVNVETQPEEIFDYAHTVGRAVLHRGRHRHGARATTSGQRINLLLWCRSSVFREIRKHQKDTSSWCAECQRNKKERQRQAVAATKLELLKRGSSAI >Solyc05g013850.2.1 pep chromosome:SL3.0:5:7237360:7240705:-1 gene:Solyc05g013850.2 transcript:Solyc05g013850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSINPLNEKVSMNNPMTTNPMNNMSSGSAANMMHPISNPTTGHHINPLNAQINPHSVVKPVSHHDMIPASVVPAAHHTAPINPRTSNLAARLPHRRGDHHMFLTSDDNAMMKHIEETHIPDGRDFDVKPLVHIIEDIVHRATPIAGHVHEAKVQAHLEALEEKAPHSGLTDILNYLAYPIHRISMELISKCANKEDAHSTTMSLLHSLTTYAWDTKVVITFAAFAQQYGQFGLLAHQYTTNPLAKSVAIIMELPEIMSRQDVLKHKFDAIHDLIDKMLDVTKCIIEFRDVQTSHSQHVITQELEMLINTAHISTAAYWTMRAAVMCAAMILNLIAIGHEQISSTSESWELSSLTHKLANILDHLKKVLNLCHQKIEEKRQHDAFEALLRLLRTPHIDNMKILSILIHSRDDQLPLFDGTHKRRVSLDVLRRKHVLLLISDLDIAPEELFILHHMYAESKMQPNRPESNYEVVWIPVVDKRLTPWTEAKQMKFEEGQASMPWYSVAHPSMIDPAVIRCIKEVWGFKKKPQLVVLDPQGKESNNNAYHMLWIWGSLAFPFTKARETALWKEQSWNIELLADSIDQNIFTWISEGKCICLYGGEDIEWIRSFTSSTRAVANAARVPLEMLYVGKKNPKERVRKNSSIIQMENLSHVVQDQTLIWFFWERLESMWHSRTQQDIPGESDPILQEIVTILSYDGSDLGWAVFSRGLAEMTRGKGDLIVQVMKGFDRWRNEVSDITTFVPALDRQLRDLHSPHHCTRLILPSTSGHIPERVVCAECGRPMDKFIMYRCCIE >Solyc04g049803.1.1 pep chromosome:SL3.0:4:43524054:43525169:-1 gene:Solyc04g049803.1 transcript:Solyc04g049803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLMIFGKKYMDEDLGLNELVKETMFIAARPNLGDYIPFLGVFDLQGLSRRMKELSKPFDEFLERVINEHLHKVNEQNQAKDIVDKLMGIMNSNEAVFEFNHHHVKAILLAIYYSNSTDTSSTTVEWILSEVLRHPDVMKKHQNDIERVMVIKEGFRLHLVAPLLIPHESVEDLINVWAIGRDPNVWPEPENFKLERFLECNIDLRGHDFQLLPFGSGRRSCPVMQLGLTIF >Solyc01g091660.3.1 pep chromosome:SL3.0:1:85130865:85132216:-1 gene:Solyc01g091660.3 transcript:Solyc01g091660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLRLEGKVAVITGGASGIGEAAARLFVEHGARVVIADIQDELALQVASSIGSDNVCYQRCDVSDEKQVNETVAFAVEKYGTLDIMFSNAGILNPFESILEMDMTVFDRTIAVNARGAALAVKHAARVMVANKIRGSIICTASVESILAGAAPLAYIASKHAVLGVVKAAARELGQHGIRVNCVSPFGIATPMVCKSFGADAAAIEAKICGNANLKGVSLTTMHIAEAALFLASDESAYISAQNLAVDGGLSSMMKLM >Solyc10g047160.1.1 pep chromosome:SL3.0:10:39880550:39881003:-1 gene:Solyc10g047160.1 transcript:Solyc10g047160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFQIVLEDLFSFENYCPYKKSIITYKRGELQSRCSLLTYGYPTNILSFFLFQREFLFSFS >Solyc05g008440.2.1 pep chromosome:SL3.0:5:2793730:2798560:1 gene:Solyc05g008440.2 transcript:Solyc05g008440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKKFMQLVEEKKKRALEKKEGHLKWEQKLEASAKGKSDAEAGEKTKAAKHKRKSVSGSDSGSDTDSEYEKKKSTRKSHKKHRKHHSSDLVDLDRKDKKSKRKPKRRSSDSSDDSCREYDGNLEEDRRRKKRGHKKHRHHDRYSDSSDSSDDEDVGRKHHSKHHKRHRRSQPNESESSSDDDHVAARKRSNSKHHKRHGRLDSDASVSSIFGKSSDENEELDRKDNNLMTERKEMNSGFVET >Solyc03g116890.3.1 pep chromosome:SL3.0:3:67623408:67626540:-1 gene:Solyc03g116890.3 transcript:Solyc03g116890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTSLVDTSLDLNFRPLRVSDELPKQEVESNFIGLGRDLVPVKDEASNLIEELNRVNAENKKLTEMLTVMCQNYNSLRNQLTEYMSKQNSSTSGADQDQNSDGSKKIKIENNNNNNNNNEIVKSSVQVLNSESSSSDEDSSTKKPREEHIKTKTSRVYMRTEPSDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPTCPVKKKVQRSVEDQSILVATYEGEHNHSKVDTAGPVTTTSPSSRFNPKNNTYAAAVMPRQTLTLDLAEPKTLQNDIKKVHSITSTSSASGQKRKSPGTDQQQQNRPEFQHFLIEQMASSLTKDPSFQAALAAAISGKFLQNNSNTKDK >Solyc08g043160.1.1.1 pep chromosome:SL3.0:8:22930517:22930753:1 gene:Solyc08g043160.1 transcript:Solyc08g043160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIFSIPLFITSVYYLGRILSPILTKKLKESSKIEERVESEEKRDIEIERASEMKGTKQEQEGSTLLTLILGEFWMS >Solyc06g008300.3.1 pep chromosome:SL3.0:6:2160064:2171230:-1 gene:Solyc06g008300.3 transcript:Solyc06g008300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPRWRLTEAKILLAAGNGGVKAGAEKKQFTRNLIFQGPNKPKTAPFAFASTEEATALLKWKATFKNQNNSFLASWTTSSNACKDWYGVVCLNGRVNTLNITNASVIGTLYAFPFSSLPFLENLDLSNNNISGTIPPEIGNLTNLVYLDLNTNQISGTIPPQIGSLAKLQIIRIFNNHLNGFIPEEIGYLRSLTKLSLGINFLSGSIPASLGNMTNLSFLFLYENQLSGFIPEEIGYLRSLTKLSLDINFLSEIGYLRSLTYLDLGENALNGSIPASLGNLNNLSRLDLYNNKLSGSIPEEIGYLRSLTYLDLGENALNGSIPASLGNLNNLSRLDLYNNKLSGSIPEEIGYLRSLTYLDLGENALNGSIPASLGNLNNLSRLDLYNNKLSGSIPEEIKLPKNALNGSIPASLGNLNNLSRLDLYNNKLSGSIPEEIGYLRSLTKLSLGNNFLSGSIPASLGNLNNLFMLYLYNNQLSGSIPEEIGYLSSLTNLYLGNNSLNGLIPASFGNMRNLQALFLNDNNLIGEIPSFVCNLTSLELLYMPRNNLKGKVPQCLGNISDLLVLSMSSNSFSGELPSSISNLTSLKILDFGRNNLEGAIPQCFGNISSLQVFDMQNNKLSGTLPTNFSIGCSLISLNLHGNELEDEIPWSLDNCKKLQVLDLGDNQLNDTFPMWLGTLPELRVLRLTSNKLHGPIRSSGAEIMFPDLRIIDLSRNAFSQDLPTSLFEHLKGMRTVDKTMEVPSYERYYDDSVVVVTKGLELEIVRILSLYTVIDLSSNKFEGHIPSVLGDLIAIRVLNVSHNALQGYIPSSLGSLSRVESLDLSFNQLSGEIPQQLASLTFLEFLNLSHNYLQGCIPQGPQFRTFESNSYEGNDGLRGYPVSKGCGKDPVSETNYTVSALEDQESNSKFFNDFWKAALMGYGSGLYFQEPRIRRRWCKGFASSYVAAYDCWI >Solyc04g005205.1.1 pep chromosome:SL3.0:4:149962:152796:-1 gene:Solyc04g005205.1 transcript:Solyc04g005205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKFFVDVRQDLVYAASWSSRSIQLIFKVKRAPKQAYPNFEDFLSWISQPIQLIFKVKRAPKKAYPDFDDFRDPDSDVKNAKFFCGRLSRPCLCSRLSLTASPAYFDGQTSPEESIPLILTIFVCYIANHFLGDPDSDVKNAKKFYRRTSRPWQCIQLAIMANPTHFEGQTSPETSTSKIPKNFVDVRQYLGYTASWTSQPIQLIFKVKRASKRAYPKFDDFRYPDFDVKKPKLFVEVRQNLGDPDSDVKNAKPFCGRAPRPWLCSDQFSRSNEPRSAYTPFQRFSCATANHFLGYPDSDVKNANFFVNVRQDLVYASGCPSRPKSKIPKNFVDVHQDLGYASNWPPRRVRLIFKSDQFSRSNDPRSAYTPFRQFSCAIANHFLGYPNSDVKMPNFFVDVRQDLVYASGCPSRTVGPILKVKRAPKRASPSFRRFSCPIAYFYLGDPDSDVKNAKLFYGRASRPWLCIQLALTASPTNFQANYFLGYPKSDVKNTKIFCGRPSRPWLCIRLAFTTSPTHFQGQTSPEARIPLSRRFSCAIANHFWVIRIPTSKMSNFFLDVGQDLYYASSSPSRTFQPILKVKQAPKPNHFLGDPHSDVKNAKNLCGRPSRPCICIRLDLMASPTHFQANYFLGDSDFNVKNAKKNCGRPSRPWLCSQLALTASLTHFEGQTSPEACIPPF >Solyc02g032060.1.1 pep chromosome:SL3.0:2:27920640:27921374:1 gene:Solyc02g032060.1 transcript:Solyc02g032060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPFGNISKPIPNFICDLKNLTFLDFNHNFIPGNFPHIYNCSNLEFLDLSYNYIDGNLPDEINRFSSNLKYLNITANNFNGDIPNGIGGLSQLKVLELMSLNPFAPQAIPSRFTKLKKLKKFWMTEANLIGNIPENIGNMTSLEFLDLSKNGLSGSIPDGLFQLKNLSIVYLYTNKLSREIPQLVSSRSLNVVDLCNNSLTGRIPEDFGKLTKMTGLSLF >Solyc02g077680.3.1 pep chromosome:SL3.0:2:43135013:43147294:1 gene:Solyc02g077680.3 transcript:Solyc02g077680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFSNAFTHVKVSSTTSSSNQVVTETTCTSSSTISVQNDDALDTTLFVIRAKNRIGLLQIITRVFKVLGLKIEKAIIEFEGEFFVKKFYVNDSNGKKIEKVEYLEKIQKALLEAIDGDDGGAGVTAPSAVAVSGRGVVVRKPGLKMELGDRKAKVEKMFGLMDEFLKNDSISLQKDILDHVEFTVARSRFSFDDFEAYQALAHSVRDRLIERWHDTHQYFKKKDPKRIYFLSLEFLMGRSLTNSVTNLGIQDEYADALTQLGFDFEVLAEQEGDAALGNGGLARLAACQMDSLATLDYPAWGYGLRYQYGLFRQIIVDGFQHEQPDFWLNFGNPWEIERVHVSYPVKVEAVAYDNPIPGYGTRNAINLRLWAAKPSDQYDMESYTTGDYINAIVNRQKAETISNVLYPDDRSYQGKELRLKQQFFFVSASLQDIIRRFKDLHRNFDEFPEKVALQINDTHPSISIAEVMRVLVDEEHLDWSKAWDIACRIFSVTIHAVQPEGLEKIPVDLLGSVLPRHLEIIYEINYRLMEELKKSFGQDYDKLSRMSIIEEGAVKSIRMANLSLACCHSVNGVSRVHLETLKTRVFKRRWIVVSNPSLCSIISKWLGTEAWIRNVDLIAGLREYAEDPDLHTEWKNMKRVNKMRLAEYIETLTSVKVSEHINFTSFFARKLAHLLSPDQAIYPIFQVSLDAMFDVQIKRIHEYKRQLLNILGIIHRYDCIKNMDETDKRRVVPRVCIIGGKAAPGYEVAKKIIKLCHVVADKVNNDPDVGDLLKVVFIPDYNVSVAELVIPGSDLSQHLSTAGHEASGTGCMKFLMNGCLLLATADGSAVEIAEEIGAENMFLFGAKVDEVPALREKGTTLKGSLQFARVVRMVRDGYFGLKDYFKSLCDTVEDGGDFYLLGYDFASYLEAQAAADRAFVDQEKWTQMSILSTAGSGKFSSDRTIEEYAQQSWGIEPCKCPF >Solyc08g041710.3.1 pep chromosome:SL3.0:8:26161878:26169248:1 gene:Solyc08g041710.3 transcript:Solyc08g041710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCKTSLSFCLVTAFFILSSVTLCLAGSDFDFPSLQATVENDMVVSWGTKRVLTEEEPPLNSSLILAAKRTYRKDPFNNFKRYTGGWNISNRHYWASVAFTAAPFFVVALIWFVIFALCLLFICLCYCCCRRVPYGYSRIAYALSLILLILFTIAAVVGCIILYIGQGKFYSSTVNTLDYVVHQANTTADSLRNVSGYLAAAKLIAVDQVLLPGLVQTDIDRIQTKINSSANTLANKTEDNKDDIAGLVESVRLALIILSAIMLLLTFLGFVLSIFGMQAFVYILVIFGWILVTGTLILCGIFLVLHNVTADSCVAMNQWVQHPLAHTALDDILPCVDNATAQETLTKSKEVTSKLVDVVNQVITNISNNNFSPSFRPLYYNQSGPKLPMLCNPFYSDLTDRSCSPGEVDLSNATKVWDNYVCQVSPSGICSTTGRLTPIIYGQMAAAVNVSFGLYHYGPFLVDLEDCDFVRQTFGDIYRIYCPGLQRYSKWVYIGLVMVGLAVLLSLTFWVIYGRERRHRVYTKEHMPKPAEG >Solyc02g093580.3.1 pep chromosome:SL3.0:2:55030013:55031984:-1 gene:Solyc02g093580.3 transcript:Solyc02g093580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BDF4] MSTLFFTFSLLLLAPLLVISSIQDPELVVQDVHRSINASLTRRNLGYLSCGSGNPIDDCWRCNPNWEKNRQRLADCAIGFGKNAIGGKNGRIYVVTDSGNDDPVNPKPGTLRHAVIQDEPLWIIFKRDMVIQLKQELVMNSYKTIDGRGASVHISGGPCITIHHTSNIIIHGINIHDCKQSGNGNIRDSPNHSGWWDVSDGDGISIFGGKNIWVDHCSLSNCHDGLIDAIHGSTAITISNNYFTHHDKVMLLGHSDSFTQDKGMQVTVAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAAPTINSQGNRFLAPNEKYRKEVTKHEDAPESQWRSWNWRSEGDLMLNGAYFRQTGAGASSSSTYARASSLSARPSSLVGSITTNAGPVNCKKGSRC >Solyc06g066473.1.1 pep chromosome:SL3.0:6:41842230:41842738:-1 gene:Solyc06g066473.1 transcript:Solyc06g066473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELGGSAVLYAVCFLEAAPAPLTHVHGPGADIDTLCVGPCYVNRENDFFFVLHNILVEREEVTELQPVPDAHVPVMKFKFDGISIDLLYASISLLVVPDVSVTSL >Solyc06g073010.3.1 pep chromosome:SL3.0:6:45134331:45135885:-1 gene:Solyc06g073010.3 transcript:Solyc06g073010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKDLDRDLAPAPVPVEESVSPKADAVPQEKNGEESKKEEPLIDVSTEDTKIEEASTETKAVVEAEKETAEEVKVEAVKEEPKEQQPAE >Solyc09g059994.1.1 pep chromosome:SL3.0:9:57370010:57372608:-1 gene:Solyc09g059994.1 transcript:Solyc09g059994.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYRKLNAWTEKDHFPMTFIDQMLDRLVGKRWYSFLDYYSGYNKISISLEDQVKTTFTCPYGTFAFKRMPFGLCNALATFKRCMMPIFFDMVEYIIEVFMDDFSVIGIVALFLSF >Solyc10g005240.3.1 pep chromosome:SL3.0:10:188223:189903:-1 gene:Solyc10g005240.3 transcript:Solyc10g005240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHCCSKQKVKRGLWSPEEDEKLMRHISTQGHGCWSSVPRLAGLQRCGKSCRLRWINYLRPDLRRGSFSEQEERTIIDIHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLLKNKSNNSTKKSNNYTHHIQDSTSIFTIDHTSTNKGITSMDLKSTFSPYCDNITYNHTPIVPNIEYQNPTFAWSEQINHNNTVITTLQSQTSDQRTRDSLLDFTSCCLMENAANINVSSYINENSMWNGTGLEPTTLNPINGQEEQEMQVVQLQGDQEFPIIKTKFCDDQEDVYKVVHDHDQTVEKTFNDSSNFDFEFMDSGLYTNVNSSMDQLSWDC >Solyc08g066060.2.1.1 pep chromosome:SL3.0:8:54571263:54571880:-1 gene:Solyc08g066060.2 transcript:Solyc08g066060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTNSDICQNMRTFSCVARGRPELSACRSLLAGQSSWSCCLLLSSSLERRRREKEARLSDLVLARYPAGFRGCSLASSSSLLAGEEERLGRQSEGEGRKRKGMRSVRERGGRGEEGGEVSEREGERNGKGERGGEAEGERGRREREGGRWKEGEGRGWRRLGEGQRGGGGWERGRGEERERKGRGRGTTGGGRREAVGRKREEGG >Solyc10g076780.1.1.1 pep chromosome:SL3.0:10:59838982:59840229:1 gene:Solyc10g076780.1 transcript:Solyc10g076780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDYYRKNRTRKIHLFSVLSFALIIFIVTFSDNLISNFSSSHSIAFVSKSSSQQPLKSTFIQKPIFSKKKETHIIKEEELDDHVNLVPPFNLTVEQRISWFKKNLSKFPILKSSRLSRQFNNRVNDFLNLRNCRVTFFMTWISPANTFSKREFFALETLFKSHPKGCLIILSRTLDTPRGSKILNPLIESGYNVISVTPELSFLFNRTPAETWYAELKTGNKDPGEIPLAQNLSNLIRLAVLYKYGGVYIDTDFIILKDFSNLRNSIGAQSVSTNGNWTRLNNAVLIFDRKHPLLYKFMEEFALTFDGNKWGQNGPYLVSRVVERLTEKNKYNFTVLPPISFYPVDWVRIPGFFMKLNTRTHSRWIDAKLLQLSGKTYGVHLWNKQSSNMKIEKGSIIGRLISDHCLFCKDIYNS >Solyc02g032825.1.1.1 pep chromosome:SL3.0:2:29849109:29849741:-1 gene:Solyc02g032825.1 transcript:Solyc02g032825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHVLWETKGLMGCSRTSSFMGGEENTPSAQRTLGFDWNEEYVEQIDKFSIEQLYKALRGDYQKVEWRRLTYNNVACPKWIFAFYLALQSRLLTNDRLATWGCVEDVHCVLCGTDGDSHNHIFFRSLFSSQVWQKVFCWQSIHGKARGWEEETTWVIAECKGKQARAEVYRMSLAATIYLIWQERNQQIFQKITRTLTSWKIRLFKRCI >Solyc10g051240.1.1.1 pep chromosome:SL3.0:10:51725712:51725906:1 gene:Solyc10g051240.1 transcript:Solyc10g051240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNISNLEVLDMHHNNISATLPMIFTIEGSLRSLNLHGNKLEGKIPPTLANCKVLQVLDIGH >Solyc06g068730.1.1 pep chromosome:SL3.0:6:42721574:42724393:-1 gene:Solyc06g068730.1 transcript:Solyc06g068730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFVSKCKLLVLVVLLLITIRLSLQTRLRNENKVRTATFLSPKFVLEPGLVANKFYNNIDFPNGHIAIKSFDAEVVDESRNPVPLYETYLHHWLVVRYYQQKGMEVSKYHDNLGFEQSDYILVRNSGICDRDLFQYFGLGSETRKTVQYVPDPYGIEVGNPLEVPPGYEERWLLNVHAIETRGSEDRMGCTECRCDLYNVTKDEYDRDIEPNYIGGLRCCHDETRCRTREGFQGAKRSLYLKYTIKYVDWHAFIKPVKIYILDVTDTWKRRKSTGLMPSRHHCQIEYEVESCSAAVANNGCIHTKKISVTLPNGGNVIYGVAHQHAGGVGSTLLGEDGRVICSSLPIYGEGKEAGNEAGYIVGMSSCYPRPGSVKISKGETLTLLSNYSCDQRHTGVMGLFYLLVAEMSRQSSSILHSKDNIGDIVILHNAVWALAGFGIAALVAATVTYQHQSEREEGCESILM >Solyc12g013940.1.1 pep chromosome:SL3.0:12:4771049:4774066:1 gene:Solyc12g013940.1 transcript:Solyc12g013940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTQEEQLTLPMEAIDYYYGNELTHALRDFVPGYIEGGTDASVFVDTNQINSWNVLGEQQTMNSPERSLRRQGKQPMVETGRKSKSPLSSLGLVGKEIGGQSNPMVVSTQKDKPKGIFLKNIHNYHATNIELLCCHFNTQGEFLAIGGHDNRVMIMDLGNNNFSTGEGHFQNITDIRFRPNSTIFATSSLDKTVKIWDAAEPTKTYGSLEGHYGHVMSVDYHPTMVNILTSCDHNNEIRLWNVNWGGSLLTLKGGSKQVRFQPQLGNLLASSTQNIINIFDIETQTLQKTLQGHDGNILSMCWDTTGYYMASVSEDRARIWSVREGICVFELLSGVNKFQSCVYHPGHILALVIGTNKFLELWNPMCNKNITSSFCAHEGVISSLADSRAKGTIASVSHDGWMKIWS >Solyc02g082985.1.1.1 pep chromosome:SL3.0:2:47131711:47132064:1 gene:Solyc02g082985.1 transcript:Solyc02g082985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHVRSISFPSRSQPEYLRVEIELNRLKTWESTSISSTTTPFSLNTIQQGLVGLAELYNCVQDLLVSPAIQMGRLAEEALEASVGLIDSCSTTRELVLMMKEQVQDLQSKHTECFYV >Solyc01g087050.3.1 pep chromosome:SL3.0:1:81845775:81850138:-1 gene:Solyc01g087050.3 transcript:Solyc01g087050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPYYNFFTGWFNFLPLHQQYISSCYNKEIAPSSPPLREALPLLSNNNNKYSCESSSTTFSHEENTTTTNYYNENVSVSLHIGPPNSCEGVVDSGGNKEEDQFDVVSNDNNNTSSTTLNKGQYWIPTPSQILIGPTQFSCHLCYKTFNRYNNLQMHMWGHGSQYRKGPESLRGTQPSAMLRLPCYCCAPGCKHNIDHPRARPLKDFRTLQTHYKRKHGAKPFMCRKCGKPFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKSFGRGHGAVGVPNLEELEEESNSEIEQDLM >Solyc11g012440.1.1 pep chromosome:SL3.0:11:5278706:5280725:1 gene:Solyc11g012440.1 transcript:Solyc11g012440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLEDSHSHNSVSSSAHFGQTRPYYYTPPPPSSIKINRAMGRSMRTIRSTIFRTDCSGSEHSGAISENMTDSVIDIRLGELAKKPPVNNKGSSSDEDYLQLSQAFSDFSACSSDISGELQRLASVPVSDLVLTQNPNSKPEPEPEPCLGFLEREKFSTEIIESISPEDLQPTVKLCVDSLHSPSVAVKRSAAAKLRLLAKNRADNRALIGESGAIPVLIPLLRCTDPWTQEHAVTALLNLSLHEPNKVLITSSGAIKSLIYVLKTGTDTSKQNAACGLLSLALIDENKLSIGACGAIPPLVCLLINGTNRGKKDALTTLYKLCSMKLNKERAISAGAVKPLVGLVCEQGNGLAEKAMVVLSLLTGIDSGKEAIVEEGGIAALVEAIEDSSDKGKEFAVLTLLQLCTDSVRNRGLLVREGGIPPLVALSQNGTAKAKHKAETLLGYLREPRQEASTSTP >Solyc07g008365.1.1 pep chromosome:SL3.0:7:3156146:3156604:1 gene:Solyc07g008365.1 transcript:Solyc07g008365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKCVSVLLFTLLILFIVDAAGKCCMDHKNISPCIAGKDDDPETDGKCWKYCIDSCERGGVCKKVGQKTLCHCTCS >Solyc08g016582.1.1 pep chromosome:SL3.0:8:7997116:7998175:-1 gene:Solyc08g016582.1 transcript:Solyc08g016582.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNHLLPSVNTLQNIVLQKQVEAEVTPTPAVRHRRPGPFNISPYMTSFGSDAGSPSRQPVVFYMKHPFVSLSDKEESDLFSNFWIWLKEDLLVKHYKKNYAEDRYKKGKATLSQLFNFYVATIDNKNWFYNIGFERQLIDNLHIDVLFYYIRKKAKYSNSSTYKFTNLCCNFNSVLLNAWNAYYGIKGDLSKEVLDEMIIDYINGYKMLAYTSWHTIDDVFIPVNLEGMLHWILIVISFNDRCIKVYDSINNSLHHSFVVNHIKKYA >Solyc03g007810.3.1 pep chromosome:SL3.0:3:2363284:2372507:-1 gene:Solyc03g007810.3 transcript:Solyc03g007810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:K4BEK3] MAQVVATRSIQSSFSSPSHGSLQSQVEKLKPPSLASKVLGRNERSNSCRAVRVNMPQIIARRSTRAEPQVLPVSPEDVPKSEEQEQYLQAIQQLGDTSVGMWSKPTVRRKTKIVCTIGPSTNTREMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNAQSKDNVIAIMLDTKGPEVRSGDLPQPIMLKPGQEFTFTIQRGVGTADCVSVNYDDFVNDVEVGDMLLVDGGMMSLQVKSKTEESVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNNIDFYAVSFVKDAAVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIINICRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVSLRTEATIVGGETPTNLGQAFKNHMSEMFAFHATMMSNTLGTSIVVFTRTGFMAILLSHYRPSGTIFAFTNDKKIQQRLASYQGVCPIYMEFLDCAEETFTSALSLLQKQGMVKEGEQVALVQSGRQPIWRLQSTHNIQVRKV >Solyc01g094960.3.1 pep chromosome:SL3.0:1:86232632:86240402:1 gene:Solyc01g094960.3 transcript:Solyc01g094960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:K4AZN6] MEASTGDHGVQSNFRGVPTHGGRYVQYNVYGNLFEVSKKYVPLRPVGRGAYGIVCAALNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHDNVIAIRDIIRPPQTENFNDVYIVYELMDTDLHQIVRSNQQLTDDHCRYFLYQILRGLKYIHSANVLHRDIKPSNLFLNANCDLKVGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEMMTRQPLFPGRDYVHQLKLITELIGSPDDASLGFLRSDNARRYVRQLPQYPRQQFAAKFPNASPGAVDLLEKMLVFDPSKRVTVDEALCHPYLAPLHDINEEPVCPRPFSFDFEQPSFTEENIKELIWRETVNFNPDPTH >Solyc06g082970.3.1 pep chromosome:SL3.0:6:48611760:48613140:1 gene:Solyc06g082970.3 transcript:Solyc06g082970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPNIYTQKFPTITTQNYIASNNVTCFIDFRKQSRKLALIVGNSSSSDTASAIDTDETSPVEIPPSIISALNVEKALLGIAITDINHYGILGLSRKCSYAEVPVAYKKKIEEITNEGLVEEELNKKLQLLKESYSILSTPEERRLYDWSLVRSEAPDDYKWPFEVDPTPPSTGTPPPQEPEDVEPTILVGYFFLGWFVLAAVLSISLNL >Solyc10g055150.1.1 pep chromosome:SL3.0:10:56363495:56364238:-1 gene:Solyc10g055150.1 transcript:Solyc10g055150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 20 [Source:UniProtKB/TrEMBL;Acc:K4D1A8] MALSRQRLIVEAESSMQTIMENLQSYIIKLALNCEGFQYRLGDFRVRVGKVVPINSEKLRGIVIEMEYPTISSWKTSHLIISEFFDILKETLGKKSLPGHFVHDELNFSEFGLSDQYTSRHTVVQYASILAQMSTTTQ >Solyc04g050140.3.1 pep chromosome:SL3.0:4:45589735:45592766:1 gene:Solyc04g050140.3 transcript:Solyc04g050140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRECWCWDNLCDYYPVVESKKFSLPSPLPKWPQGNGFGTGRICLGEIEVVQITKFKKIWGCGSSFGKSDCVSFYMPDGIPEGYYILGHYCQPDGEHITGYVLVAKDLSNVQDSASKLPALQKPLNYTLIWRSDSQYNGGGYIWMPNAPVGYKSIGCLASVEPNEPDRDEVRCVRADLTENCEASEMIFSSDSFFQRKQFQVWKTRPCKRGMLCAGVSAGTFFGSTSFSSGDELDIACLKNLDSSLHAMPNLEQIHALIKHYGPTMYLHPDEIYLPSSVAWFFMNGALLYKDGRNNGTVINSKGSNLPAGGLNDGQYWLDLPNKDDANRTSVKCGNIESAELYVHIKPSIGGIFTDIAMWIFCPFNGPATVKIGLLSFTLNKVGEHVGDWEHYTLRISNFSGELWSVYFSEHSGGEWVDACKLEFIEGNKPIVYASKYGHASFPHPGCYLQGSSKLGFGLRNDCARSKYYVDSSSKYEIVAAEYLGEGVVAEPPWLQYMREWGPTIIYDGRSEVEKIIKHLPFFMRFSVESFIELFPTELYGEAGPTGPKEKDNWLGDERW >Solyc03g044373.1.1 pep chromosome:SL3.0:3:8918636:8921047:1 gene:Solyc03g044373.1 transcript:Solyc03g044373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFFLDRLGYLSEYNIDKTGDFGDFDVVKRQHRHEAREAQATKPHPDPLESTLPRTHALIGGGNFSARSAHVPARDWVTQYFRPEPGQFSPDPITGVRVPHFQTKDLGRLKYFLGIEVSQSRSGIREPLSNPERYRLFVGKLNYLTVTRPVISFPVSVASQFMTSPCDSHWEAVVRILRYIKSAPGKVLFFEDHGHEHIIGYTDADRARSSFD >Solyc07g015960.1.1.1 pep chromosome:SL3.0:7:6047933:6049288:-1 gene:Solyc07g015960.1 transcript:Solyc07g015960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILKNQWVVKPAEATWNGTVSLSEFDQTFALTHVPTIYYYKYFNDFVTDEIVDTLKISLSKALVYFYPLAGRLRWINGSRLELDCDASGVVLTEAEADAKLDNLTDFLLSPDYNSLFPRVDYTVEINELPLLFVQLTKFQCGGIALSFAISHAVVDGQSALYFFSEWARIARGEPLMFSPCHDRKLLRAGEPANASPTFEHLQFNTPPLLIGKSSTENEKKKTTKGAMLKLTKHQVEMLREKANQGRCLEDSKERSYTRYEVVTAHIWRCACKARGHKFEQPTNLCICVNIRQKMNPPLPNTYFGNAIVDVIATGASGDIASSPLECAAKRVREAINMVTSDYANSTINFLKKQEDLSIYQDIHAFRNKEGPFYGNPNLGVISWISLPVLGLDFGWGKEIHMSPGIHEYDGDCVILPENEGDGSLTVAIVLQHAHVDAFEKFFYEDIEC >Solyc05g015955.1.1 pep chromosome:SL3.0:5:13137008:13145889:-1 gene:Solyc05g015955.1 transcript:Solyc05g015955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLICDSTASSPVIPWRDPTSTPSSIDLVDQSSPAITPVAVVDTSSWENVSSLEDQQRRHLIKIQSKGVLWKHPQDQNASMVFRLSHGGEVEADGNCLFTACGKSMGVKTVSYARDLRRRTVRRFLEDLGSEKEAIESAIKHMYSPDLKSGWGIHVVQELKLLAKKDDREVLESAISELVHLGMQRELAAESIYKERCIAVDDGPSWAKYMSISGSPDDEYDIITLQYTEDGLLTVDENCDGHAAAFGDDIAIECLATEFKREIFVVQAHGSDAMVDEENCVFFLPHRPKCEICEPPLFLFMKGTGWCGAGADHYEPLIASPSAYVSQEKLSAIFSVRPPLISSVRLPLSCPPFFSTCPPLKIKVVLSVRTPSSNLSASVRTPSSNLSAISVRHFFLTMDNQSSKNHKTQEEAHAKTPEKRGRKLAMAMSRPPRPPHNCTNIQPTGEECTTLQLPQPSHVTHDEPGTSNVNIDVGKPQEVPGFEDFSSETPHQLLRISTRVSSTRSTPPPKRRKVVHPHKTKVSKSTTAEKQPTQNVYTPDLPTSQADNVSNVPVNSDFRKVIFENSQLEGLKQYLKGYVDQQVGCLVELMKKNHSELMKVVGEKDNKTEKKHNVDQDIGGSVVDADEQTDKVDQQSVSPNHMDCSKEQHMEEAVEVIHSPQRSHVLIEKVSLNNENDYTTGEASHSDTKILNADEHDVDTLQHNIEKHTTSLFSVDTSTEVENNVQPLCLMSHVEQNESAFWSSDSQLPTQLPVNKSSLPPDTETSAPRHRMPSRILQSPYLTDFGSNDKRKAKIDDDVLPLYPFEGCGILEQLSLGMMDEFSQWIEKGLLKLHANK >Solyc09g075610.3.1 pep chromosome:SL3.0:9:67712652:67713484:-1 gene:Solyc09g075610.3 transcript:Solyc09g075610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKEVKRSKEAEAMKSCSYCHTTTTPLWRSGPSGPKSLCNACGIKYNKKRRQILGVEKKRRIEKKSIEIGKLMGFGGKLREEEQAAILLMALSCGSVGKLLC >Solyc08g061670.2.1 pep chromosome:SL3.0:8:49388165:49397524:1 gene:Solyc08g061670.2 transcript:Solyc08g061670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNILQIESEDVVYDFALKWDRRHYPKFEDRRDALFYKSEEAYQQLAIATYVGNDLGHCYMERAYKFRSVKALEFEAPHQQSVVYLDLKRDECVILFPEGKFYSQGFHLGMQMEESEPFTIDYEFSVRIRPDNKFVSMYMGSQTLSSDIEADS >Solyc02g072210.1.1.1 pep chromosome:SL3.0:2:42093038:42093715:1 gene:Solyc02g072210.1 transcript:Solyc02g072210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAVLTLFDSCWFNLQILENHLNPTRKNPDGKIQEKPAETVFDSTKLEIQMESQSDDLSYNSDSLSPDSVLPATHFQSDTKKAVPKKAKGRRRELRRRIKRGLSKSISELEYEELKGFMDLGFEFSEEDVNSSLIELIPGLQKLRKNRDSDDDQQKVNFFEKSDQLRARPYLSEAWGVIEKKRKKKPLMNWKLPETSNEIDIKHSLKWWAHTVASSVKQHNIT >Solyc06g068340.2.1 pep chromosome:SL3.0:6:42454135:42457586:1 gene:Solyc06g068340.2 transcript:Solyc06g068340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSCSTSNFDEHRWIIQIRRTLDEELEEDTEIPVSIFNVPKALLLSNQDCYVPQLVAIGPYHYWRSDLHDMERYKLAAAKRTQKHLYSLKFQHLVEQLIKFEHRIRSSYHKYLNFNGETLAWMMAVDASFLLEFLQIYAIKEGKILTRVSSRMSHLVDVAGRKSAHNAILRDLIMLENQIPLFLLRKILEVQCSSLELADTMLMSMLTGFCKELSPFQTTDESPKVNITECSHLLDFLYQFIVPKLDVTTSEITEDDHEQSSPIEEENITLGGKLSHIAQLVNEIWKIIVKINRGPVRFLKKIVFSKPIKFLLKVPWKLFTNLPVIKLLIQPITYLFFSQEKAEVISESNMNKPPLFEELAIPSVTELSESGVHFVATSEGIMSINFDDTNIKFHLPRVSLDVNTEVILRNLVAYEACNASGPLVFTRYTELMNGIIDTEEDAVLLREKGIILNRLKSDKEVAKLWNGMSRSLRLTKVPFIDKVIEDVNGFYNGRWSIKIGKMMKHYVFGSWQFLTFLAAIMLLLLMTLQAFCSVYKCARIFHTQNSG >Solyc02g082010.2.1 pep chromosome:SL3.0:2:46303670:46308913:1 gene:Solyc02g082010.2 transcript:Solyc02g082010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIGGGSWRSRFDLVPKIGIVLVHCFAIFTGAVPIVSEQTARGWSIVIIVLLMGLEIEEFIWQYDKILGNVEFHCPLLSLTGTLFWRTDSFVGLPFFKMLQSLYKCQRNLCTKIRTTSLLVQFVSTSCGSNIPTDESTLVDLYHRKTHGLSKENVISEAEPLQLDTAQCSKVSAFVEFFQNHGFSATQTKRLIRLRPKLLVSKVDKTLKPKLKFLQSIGFTEDERNKIICGNPNILMSSVEKQLTPCFDSLKMFMGSEMQAMAAIKRSPQIFNYKFSDGLEQTLQVLHQLGIPDSEASEFISKVPIILTINPKKMSKVGLRLKELGFDVTSSAFRAAFTAMSVLSDSKMEKKLENYRSMGFSDGEIRNIFRLQPTCMFYSEDNIRAIVAFYVNKLHLSLSHLSQRPSFLLRSLKKRVIPRCSVMQVLWSTGVISKVNKLSSILMISEKDFLRKCVTKYEAQVPQLLAAYRGELVFQCQRHHLLKLRSLVVFAPSVSFTAPFTVAFMLSTLFPIFKLNYCRSVRRVSDTAYAFFYTEHQNFRAKLENIVQAKSRNVTGSELIGDRVI >Solyc02g080220.3.1 pep chromosome:SL3.0:2:45052132:45054042:1 gene:Solyc02g080220.3 transcript:Solyc02g080220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4B9P3] MTTNYSSQPLLDSPKTKSSSSTKALYVLLSLAAIVGSVGFISIWAINRTSISLTTRVCDTAHDQPSCLAMLSEVAPAGLMDTKTVDLLQMVLHKSSLKIHETIHLASNVNGRINNGQEQVALEDCLELMDLSRDRLMDSMMGLGNLTAQAHFDVHSWLSSILTNHVTCIDGLNGQVRSIMEPMLNDLVARARTSLALMVAIAPQKNIVPTVSDGLPSWVSANDRRLLQLSANAIAANVVVAKDGSGKYKTVKEAVASAPDNSKTRYVIYVKKGIYKENVEIGKTKKNLMLVGDGMDATIITGNLNVIDGSTTFKSATVAAVGDGFIAQDIQFQNTAGPQKHQAVALRVGADQSVINRCKMDAFQDTLYTHTLRQFYRDCYITGTVDFIFGNAAVVFQNSKLAARKPMSGQSNMVTAQGREDPNQNTGTSIQNCDIIPSSDLEPVKGSVKTYLGRPWKAYSRTVYMQSNIGDHIDPAGWSEWDGDFALKTLYYGEYMNKGAGAGISKRVNWPGYHKALTTSEATKFTVGQLIQGAAWLKSTGVAYTEGL >Solyc02g088160.3.1 pep chromosome:SL3.0:2:50958981:50960497:1 gene:Solyc02g088160.3 transcript:Solyc02g088160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYVLTLDSWFPSCCHQIVYSVDRVWNLSHIYILECHITRGLWSLSMCLPGYKRQIQDWNVEVTWACKLAKSKRGVDEITSCAFAMVVYLIWQARNSSRFQQKSIHVDALIKEMALHLHIRGRNSDRLRTLALPELETPGYRCWDNFSPRVTVENHAIYG >Solyc02g083360.1.1.1 pep chromosome:SL3.0:2:47358718:47359098:1 gene:Solyc02g083360.1 transcript:Solyc02g083360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIYSCKECNTNFNLHTNYLFPPDFYFEAGNKGTLSFSAVDSSKFKFEKEDKIKPFFETLDYWGIQRKRTKMMCMNCGKVVGYVYDDGPPMTESPGQFHFGPSQVIPRAARYRIKNKALKITSET >Solyc09g005547.1.1 pep chromosome:SL3.0:9:357711:367882:1 gene:Solyc09g005547.1 transcript:Solyc09g005547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVTLGFGALDTYQNMAFSWSNMNSAANVSYSTGLLMLTFQDIMSLLDQKSESVIGLLTDAKMIFENSEWVDPGTMI >Solyc11g020217.1.1 pep chromosome:SL3.0:11:10541606:10543009:1 gene:Solyc11g020217.1 transcript:Solyc11g020217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAHRPLKTRMVTIPDPFLKVWWRFMNNDDKRVVQKHIGHLPSLLEMNAWPGLVGTMVKFWDSKNMVFRFREVELTPTIEEILISYESVAMCNKRKRHPDTDLLNPITWDFAKIKEKLSLVKAEWMDKLPGPNIPFRKLYYRFGRARAYEKHEDDHDWWLYHNRCNKTGGESFWYPRLRGLREEDVQWSIDSLVVTKNMVVRTEKVPYLVFAGLRGTRPYAPGRVLRQLGGKQELPQIADMRKFVTDHENGRVAFFEDMRRMWRSRRVLGEPVPDRFRLECSREYKEWLKKSLARTIEPGPNVPHVIADVGAKHQVRLHRLQEKFDRSELEHQRRHSEDAKVIARLKQEL >Solyc11g066290.2.1 pep chromosome:SL3.0:11:52310033:52313747:-1 gene:Solyc11g066290.2 transcript:Solyc11g066290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISNLRKLILMLLFLSSFQLCKAFGGVVNPLAKLRFDGKNGEFRILQVADMHYGDGKTTPCEDVLPKQMSSCSDLNTTDFIFRMIHAEKPHLIVFTGDNIFGSDATDPVNSMDAAFAPAISSNIPWAAVLGNHDQESTLSREGVMKHIIGMKSTLSQLNPPDVPDIDGFGNYNLEVHGTEGSELTNKSVLNLYFLDSGDYSTVPSIPGYGWIKPSQQFWFQRTSRKLKKTYLHDSNARKAPAPGLAYFHIPLPEYASFDSSNFTGVRQEGISSASINSGFFTTMVESGDVKAAFAGHDHINDFCGKLMDINLCYAGGFGYHAYGKAGWSRRARMVVASLEKTGKGGWGDVKSIKTWKRLDDEHLTTIDTQVLWSKRSAGARRKKPIGHH >Solyc04g050390.2.1.1 pep chromosome:SL3.0:4:46907308:46907694:-1 gene:Solyc04g050390.2 transcript:Solyc04g050390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPFFSFKVGQQPERPLASVTVISAVCVFLSKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDVVIEIAKVMQPRSMVKDLSEIVKEILGTCVFVGFTVDGKDPKYLQQDIIDGDEEIPQD >Solyc04g082710.3.1 pep chromosome:SL3.0:4:66384296:66385826:1 gene:Solyc04g082710.3 transcript:Solyc04g082710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSFTKFSLLVLFSISLLACTSLARDHSIVGYSSDDLTCIDKLINLFDKWMDKHGKIYKSIEEKLHRFEIFKENLKHIDERNKMVTNYWLGLNEFSDLSHDEFKEMYLGLKVDQELLNKREKLSQEEFAYRDFVDLPKSVDWRKKGAVTPVKNQGQCGSCWAFSTVAAVEGINQIKTGNLTSLSEQELIDCDTTYNNGCNGGLMDYAFQFIVSNGGLHKEDDYPYLMEEGTCDEKRDESEVVTIDGYHDVPANDEQSLLKALANQPLSVAIEASTRDFQFYSGVNILIHSFSL >Solyc10g018600.2.1 pep chromosome:SL3.0:10:8768822:8778449:1 gene:Solyc10g018600.2 transcript:Solyc10g018600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTWERSESSSSPSAPSWFSHFWSSALRSKPLASPSETAIHASSGEGLVRRLSLFDLLLLGIGASIGAGIFVVTGTVAHDTGPGVTVSFIIAGGSCVLNALCYAELASRFPAVVGGAYLYAYTAFNEIIAFLVFSQLMLDYHIGAASIARSLASYVITALELIPFLNNNIPSWVGHGSEEIYGAFSFNLLAPILLVLLTIVLCRGVGESSILNSVMTVTKVVIVIFIIIVGVFEVDVSNWSPFAPNGFESVLTGATVVFFAYVGFDAVANSAEESKSPQRDLPLGIIGSLLICIALYVGVCLVLTGMLPYKSLGGDAPLADAFTSKGLQYVSVLISIGAIAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFGEVHQTRHTPVHSQIWVGIVASVLAGCFNVHILSHILSVGTLTGYSVVSACVVTLRWKDKTACQVFGKSISSRAEGLMCLITVVCCGFAAGVFYRFGASLIFVIIAVFIAILAAAALHFRQVNTSTPGFSCPGVPILPTVCIFINIFLFAQLHYEAWVRFVVLSIVTVGMYAVYGQYHANPLSSNTLIIYQKAPTEAD >Solyc10g007270.3.1 pep chromosome:SL3.0:10:1670878:1672157:-1 gene:Solyc10g007270.3 transcript:Solyc10g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LAT52 description:Anther-specific protein LAT52 [Source:UniProtKB/Swiss-Prot;Acc:P13447] MAKAIVLLSALCILALANFAHCRPEVFDVEGKVYCDTCRVQFETKLSENLEGATVKLQCRNISTEAETFSVEGVTDKDGKYKLTVNGDHENDICEVTVVKSPREDCKESVSGYEKARIECSDNVGIHNAVRFANPLFFMKAESVQGCKEALDELGLFPLEF >Solyc10g085820.2.1 pep chromosome:SL3.0:10:65013972:65019972:-1 gene:Solyc10g085820.2 transcript:Solyc10g085820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARFARSIGALSSSATHRSAAGIEAVSYGVFKPRLASSSSSPESEFPKTDNKKMTDRLSGVIDVVNDRKLPPELRGQRDAVRSETDIINVVEQRIWHSMEEGQFENLPGKGKPLDLNTNPHADPAEDTLYRILSRNKCAPEWVELNKEIRDRVVEWRSALKRAWTHQGSVDDSIWIEASDSLKLQIRDINNKVFRYNLIVPFGRQMLGLKWEKEMDRLKEENTGS >Solyc01g044543.1.1 pep chromosome:SL3.0:1:44391261:44403012:1 gene:Solyc01g044543.1 transcript:Solyc01g044543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFDTGYGPLVGSSEPIPCNLKSITDIGLTFSEKNEVSQSDQGSFILVDNGGLQGSRKGIKEENERSTYSCGFINFVILCEADKSQFRPLNTTEAKENSRHWDHDKDLGGKCSNYHVSLVDNLERTLFPSSLRDFIYKHTLFWSQSYI >Solyc04g009700.3.1 pep chromosome:SL3.0:4:3045827:3049803:1 gene:Solyc04g009700.3 transcript:Solyc04g009700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGISVLIAIKSVTLFILFATLKNYGYPLLSIPCLYASLVSLLVALAANPSIDLPILLGKNTDGTFPIWSLVMFSPYLYFVRGFSALRRMKSGEPPYSEISEGLYVGGWPCSLDKLPPGNPAIIDCTCEMPRMLEFTGNHAFLCIPTWDTRSPQPADIEVAIKWACRKRAQKIPVFIHCAYGHGRSVAVMCALLVALGLAEDWKNAEKLIKEKRPYIRMNALHRKALEEWSKDRLSSPKVGVSSVILSSANDRS >Solyc04g011620.3.1 pep chromosome:SL3.0:4:4053290:4058724:-1 gene:Solyc04g011620.3 transcript:Solyc04g011620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4BPL5] MGDAIDLTGDGGVMKKIVQRSKPDAIAPSESLPLVDVHYEGTLADTGEVFDTTREDNTIFSFEIGTGSVIKAWDVALRTMKVGEVAVITCKPEYAYGSAGSPPDIPPEATLVFEVELVACRPRKGSSVSSASDERARLEELKKQRETAAAAKEEEKKKREEAKAAAAARIQAKLEAKKGKGKGKAK >Solyc06g017940.1.1 pep chromosome:SL3.0:6:15630727:15631298:1 gene:Solyc06g017940.1 transcript:Solyc06g017940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQWDILSYSGLMQNIVLTTKGQNLESSVSPLYANMNTLMQGGTVLPNGISIVPDTFQDYSAFYNNGGNNYEFYSGSLVTMRFQMLVNNLLITDLPEKSIIDATDITSPTIHKIKIALKCK >Solyc10g078370.2.1 pep chromosome:SL3.0:10:60325111:60329110:1 gene:Solyc10g078370.2 transcript:Solyc10g078370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:D6RT09] MISLSDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIASNNPYAMNYRFIAADTLQKIIVLFVLAIWSRVSSRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDASGSLMVQIVVLQCIIWYTLMLFLFEYRGARMLIAEQFPDTGGSIISFKVDSDIISLDGKEPLETQAEVGDDGKLHVTVRKSTSSRSEIFSRRSHGPNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVNGKNANMSPRNSNFGNYGHDEESGVAGFGRGNGVYGQGNAGYPAPTNAGIFSPATGPVTKKKANGGTEGGKDLHMFVWSSSASPVSEGGIHVFRGGGDYGNELGVGAHPKDYDEFGREEFTFGNKQNLNGNDREGPVVRSSSTTELRPKIAQEETKATAMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNVQMPAIIAKSISILSDAGLGMAMFSLGLFMALSPRIIACGKTIAIFSMGVRFLTGPAVMAAASIAVGLRGVLLHIAIVQAALPQGIVPFVFAKEYGVHPDILSTGVIFGMLVALPITLVYYILLGL >Solyc01g102280.3.1.1 pep chromosome:SL3.0:1:90973429:90976314:-1 gene:Solyc01g102280.3 transcript:Solyc01g102280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSRLRIHPLQNTMKMKCMVASTPYCKESKTNNCQSIISERGPDTTKVMSILSTKNL >Solyc02g092760.3.1 pep chromosome:SL3.0:2:54358406:54365069:1 gene:Solyc02g092760.3 transcript:Solyc02g092760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGEEAHNVKVVGSGEKTIVLGHGFGTDQSVWKQLVPYLVDEYRVVLYDNMGAGPTNPDYFDFDRYSSLEGYAYDLISILEELQINRCMYLGHSLSAMTGVVASIFRPDLFSKLILVSASPRFINTDDYYGGFESEDIEQLCQAMESNYKAWVSGFAPLAVGGDMNSVAVQEFSRTLFNMRPDIALSVFRTVFTFDLRHYLSRVTVPCHIIQSSMDVAMPVTVSEYLHRNLGGKSIVEIISTEGHLPHLSAPEATIPILGTGDRSIVLAHGFGTDQSVWKHLVPHLVEDYKVVLFDNMGAGTTNPDYFDFERYSTLEGYAYDVIAILEELQIPCCIYVGHSVSAMIGAIASVARPDLFTKLVTVSGSPRYLNDVDYYGGFEQEDLDQLFEAMRSNYKAWCSGFAPLAIGGDMDSVAVQEFSRTLFNMRPDMALSVLQIIFQSDLRHMLPHVTVPCHIIQSMKDLAVPVVVSEYLHQNLGGESIVEVMSTDGHLPQLSSPDVVIPVLLRHIRFDISVDK >Solyc03g059470.1.1 pep chromosome:SL3.0:3:32016706:32017896:-1 gene:Solyc03g059470.1 transcript:Solyc03g059470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVKRARKHSDSYLSASSSSDSSLELSTHPKEDNAATPAALSSPDVSASQAPQWSMISSSPHEETGNPLFAQPIKSPPPSIDHPPGYDPNRIPKSIFSSKPTTTDWSSASNESLFSIQMGASSFSTDYMLPKSGEVQPEEWKNSTVNPSEIKSNENKSLSSPSPHPLMEDNNDGKSTRPFELGPGINLKEEKIMNNNIIQKAPTNIEPTFNKSDDRVNPPIEETHISSPVHASSPCYSEASGNSSKSFVFPVLVNDGGKSNSLKSASEKVEKSEPQPQPEFQPDIQQLQKQSESLPKVAEKSWCSCLTCWPRCC >Solyc02g072150.3.1 pep chromosome:SL3.0:2:41998514:42004874:-1 gene:Solyc02g072150.3 transcript:Solyc02g072150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKSYTNLLDLASGNFPVMGREKKRLPRVMTVAGVISELDDDQANSVTSDVPSSIIVDRIIIVANQLPVKAKRRSDNKGWNFSWDEDSLLLHIKDGLPDDMEVLYVGSLKVEVDSSEQDDVSQLLLDRFKCVPAFLPPDILSKYYHGFCKQHLWPLFHYMLPYSASHGSRFDRSWWEAYVAANKIFSQKVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYFGRTVGIKIMPVGIHMRQIENVLQLADKESRVAELKQQFEGKTVLLGVDDMDIFKGVDLKLLALEEMLKQHPKWQGRAVLVQIANPARAKGKDIEEIQEEIQTSVKRINDKFRQPGYEPIVFIDRPVSLSERTAYYSVAECVVVTAVRDGMNLTPYEYVVCRQGIPGSECTAESNGLKSSMLVVSEFIGCSPSLSGAIRVNPWNVEATAEALNEAISMADGEKVLRHEKHYKYVSTHDVAYWSRSFFQDLERSCRDHFRRRCWGIGLSFGFRVVALDPNFKKLSIDTIVSAYSRAKNRAILLDYDGTLMPQTAINKVPSPEVISIINSLCGDERNTVFLVSGRGRDSLGQWFSPCEKLGIAAEHGYFLRWSADKEWEVVGQNNDFQWMQIAEPVMKQYTEATDGSSIETKESAVVWHYRDADLGFGSCQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGVSKGLVAEKIFATMAESGRQADFVLCVGDDRSDEDMFEIIGNAINSGILSSSTEVYACTVGQKPSKATYYLDDTAEVRTMLHALAEESIPPPPSEIVT >Solyc08g068520.3.1 pep chromosome:SL3.0:8:57717888:57721334:1 gene:Solyc08g068520.3 transcript:Solyc08g068520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRRRSQDRISDLPEESVNGISNFPEESVNGISNLPEESVNEISNLPEESVNGISNLPEESVNGISNLPEESVNEISNLPEESVNRISNSQVDGISNLPVKGISNSPVNRVSNSPLDRISNLPTDIIREIQSYLPWKVGAKFNILSKIWRRIWASHPRIILDEMDFGADYSKYRVSDKAKRVAFLSYLIKLLESRKRPSEYDCDIDKFFLRMTTLEDSPPPKNLVKKWICFALEKNVKLLSLALKTIYPNHYYLHGIAFCSTTLVDLTISDCHITNCSFKLPALKFLFLFVVCIEDHHFKDLIAACPRIEKLRVLDTQKLNTIVVSNPQLKSFGVHLPSCDGKIRIESPNLHSLEFISFIVDFCELEITSTITVRELTLRKAYHKETVMHFMKKFPLLQKLKIDDCTILSEAESNPLPTVRNLTLRRAYHEQTLLNFIGKFPLLEKLEIDDCSILSEAEINPMPTVQHLTLRNVSQVNTAWAVFLEKFPLLEKLLIDDCDMVSECENDPLPTVRNLTLRNVREKYTTWTDFIDKFTLLEKLIIADCKLQMLHLSQPNLASLVLMDCIVEDEVRINSSKLKSLEFKGRLTKIKGIEDLKELEFVKVYLDPVRLSKCWYRWFRDILKSCARSKHLSVICNSKKVIINPKYVTHILPVTNMEHLELEIISRHGTFEEVTDELISILPDLKTLSLTLGYTTKFFQFSRADNVLSAKEVPNPNLIRF >Solyc12g006095.1.1 pep chromosome:SL3.0:12:665235:675257:1 gene:Solyc12g006095.1 transcript:Solyc12g006095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4DBE7] MENERLTQLHPGPLDRSVLTRQENHRSEDVWGGEVEAVLFCRRCDGGFWSQVQRRVLHILISAGFYGVYRVGLIKLDHAFITALVERWRPETHTFHFKVGEATVTLQDVALLYGLPIEGEPVVGIDSSKTPQEWQDLCEQFLGFRPHDDKLNGSRLDISALESHLEAFGEINDDTDELVIHQLARCYMMLMIGGILFPDTSGNKVKLIYLVHLENIDQIGRYSWGSAVLACLYRALCRASNSKKKEIGAFLPLLQVWAWERLKIVHPDRLQARDGAIIFSDDLPNPPYASRWSVQLSWKHSPQYALSLFRDQLDNLVDEQFVWQPYVMENLPDYCRSGEYFWHAVVPLLCWDVVEMHQPNRVLRQFGMRQNIPDACNFSKKHEKSDRRGRQNTDWSTHHASHILVWNDRANRVWEAPLAEGFLPYHDDYLKWFRLITRQIIGNPEIRIPTGYASLAPTSEIMARQLHMLYQYGIKLRQESTTEVAGRNVMEMCIDGLMAANDAQRLGVSPSYVPKQPDNSRNRRRRGWAGRRRKQRGANPDDVSPMEDANPNVEPDCGTSTRFTGENLNDEAQFGMGSSPCDDVSGSHYTDNHIVIYNAESSPMDDANPDVECRSSHTMIHNVQPLRQFANEDVGYATPARPDHVQSMNDDLNEQASSFRGSTSSISLPSSPTPSTPQIPISWPEDGTLTLTWVRNLMLAFDWASRNLPPSDLLTVLPIEVFDRLAEMASGIMHKEPNCLQIDSRSGLDPNSTVVVVGDVHGQLHVVLFLLKDAGFPSENRFFVFNGDYVDRGAWGLETFLLLLAWKILMPNRVILLRGNHESEYCTSVYGFEEEVLIKYADNGRHVYQKCLECFKELPLASVIGECHVLFNYLTQSHPTFLQIKR >Solyc04g050340.2.1 pep chromosome:SL3.0:4:46747158:46747688:-1 gene:Solyc04g050340.2 transcript:Solyc04g050340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKAKMTSLTDGALKGTEQDKKKAKNINHNGKISLDVVIEIAKVIQPRSMAKDLSITEILGTYVSVCCTVDGKDTKDLQQDIIDGVVDIPND >Solyc07g019460.3.1 pep chromosome:SL3.0:7:11514313:11519964:-1 gene:Solyc07g019460.3 transcript:Solyc07g019460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH--cytochrome P450 reductase [Source:UniProtKB/TrEMBL;Acc:K4CCQ8] MDSTSEKLSPFDFMAAIFKGGKIFDKLNSSLDSGDSTSPASLTALLMENKDLMMILTTSVAVLIGCAVVLMWRRSSTSARKVVELPKLVVPKSVVEPEEIDDGKKKIAIFFGTQTGTAEGFAKALAEEAKARYEKAIFKVIDMDDYAADDEEYEEKLKKEKLAFFFLATYGDGEPTDNAARFYKWFEEGKERGDCFKNLQYGVFGLGNRQYEHFNKIAKVVDELLAEQGGQRLVPVGLGDDDQCIEDDFAAWRELVWPELDKLLLDGDDATATTPYTAAVLEYRVVTYDKSNFDNDLTNTNGHANGHVIVDAQHPVRANVAVRKELHTPASDRSCTHLEFDISCTGLTYETGDHVGVYCENFVETVEEAERLLNISPDTFFSIHTDKEDGTPLGGSSLPSPFPPCTLRTALTRYADVLSSPKKSSLLALAACSSDPNEADRLRYLASPAGKEEYAQWIVASQRSLLEVMAEFPSAKPSIGVFFASVAPRLQPRFYSISSSPRMAASRIHVTCALVYDKMPTGRIHKGVCSTWMKNAIPLEESLSCSTAPIFVRQSNFKLPADNKVPIIMIGPGTGLAPFRGFLQERMALKEEGADLGPAVLFFGCRNRQMDYIYQDELDNFLEAGALSNLVVAFSREGPNKEYVQHKMTQKADDIWNMISQGGYVYVCGDAKGMARDVHRTLHTIAQDQGSLDSSKAESFVKNLQTTGRYLRDVW >Solyc01g005665.1.1.1 pep chromosome:SL3.0:1:461647:461982:1 gene:Solyc01g005665.1 transcript:Solyc01g005665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEPLRSSINNNNCPGETTSPDDDYQYQSSNVMLANYRDDDMNIVVHDEGDDEMNCCADDVFSSFLNSLINEDMFMNCQNQQINGTLQDFDHFMASSSPSYDQNNLKTMDE >Solyc10g012330.1.1.1 pep chromosome:SL3.0:10:4960186:4960749:-1 gene:Solyc10g012330.1 transcript:Solyc10g012330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLNLCNNLTSLVDHTVDHVKELTFVPNEMLRNKISLQFLLVSECGEFRDLPQSLYNLKTFRIFDCPNLTSLHVPNRENCLYSPEAMYVLVCKNLVSLPLHVGEMPSLSSLCISDCPKLISLPSGGLYRLIGLMDLGIGPFSETVDFEAFQLIFNGIQQLLSLRRLNLGDTCTGILCLISLFNPGP >Solyc05g006040.3.1 pep chromosome:SL3.0:5:773631:777583:-1 gene:Solyc05g006040.3 transcript:Solyc05g006040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYLPTFTIAAASILNYSIQHKPKTSLKLHLSKPISRNHLSVPHSSTQTQKQVYPDPIPEFAVAETNKFRVELLKKLSKEKEIRGDELDDVISVCAEIFNEFLHNEYGGPGTLLVEPFTDMMVALKERKLSGAASAARASLLWAQNCSFQLQLHSLIFDCLATL >Solyc03g006220.3.1 pep chromosome:SL3.0:3:848622:854116:1 gene:Solyc03g006220.3 transcript:Solyc03g006220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLIFNSWHWWTHKGKSQGWDYMQEGTKVSKDMDRLIAFYKGLTTWARWVDQNVDSSKTKVFFQGISPTHYMGKEWGSSTKNCNSEQLPLSGSTYPGGLPSSTIVVNKVLSSIKTQVYLLDITLLSQLRKDAHPSAYSGQHPGNDCSHWCLPGLPDTWNQLLYASLVM >Solyc01g111190.3.1 pep chromosome:SL3.0:1:97444868:97450087:1 gene:Solyc01g111190.3 transcript:Solyc01g111190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLFIGTSFTLKKKSLMRAAVGTRAAILVTQLGALSIIVSAVLTHFILRERLQQLGVVGCILCIVGSVALDTFNAAIVSPIYSVMFTTLTIIASTIMFKDWTGQDVVVSYLISVDLSQFFRE >Solyc07g053230.3.1 pep chromosome:SL3.0:7:61826065:61827296:1 gene:Solyc07g053230.3 transcript:Solyc07g053230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTPCRDENGRKKGTWTPEEDRKLAAYITKYGSWNWRQLPKYAGLARCGKSCRLRWMNYLRPNVKRGNYTKEEDEIILNLHAQLGNKWSAIAIHLPGRSDNEIKNHWHTTLKKRAHYNSSEVSKKCNKKRSESNITKRKSNVENQNASANNINNNMHENIVLESSEWSPNESSSEALSSIDYQQDIFQEELANLEEITSGSFWTQPFEVDTKIDFVAPPIDYCGLVCPPSPFIPHEFLSSFDFDDCNNYW >Solyc03g053040.1.1.1 pep chromosome:SL3.0:3:23889141:23889350:1 gene:Solyc03g053040.1 transcript:Solyc03g053040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSWRGRMTETSMPIGNTCSAGSGRFEDKSLMEEVKAINKHRFQVLFSRGAPVQSSFTKIELGENVTET >Solyc11g066150.2.1 pep chromosome:SL3.0:11:52142950:52147564:1 gene:Solyc11g066150.2 transcript:Solyc11g066150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTANGDHQTTTKPPPTPSPLRFSKFFQPNMRILVTGGAGFIGSHLVDKLMEDEKNEVIVVDNFFTGSKDNLKRWIGHPRFELRRHEGVTVSVTCLLFVDVTEPLLVEVDKIYHLACPASPIFYKYNAVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIGCPQYSTDAFMISMHFATEIRIARIFNTYGPRMNIDDGRVVSNFIAQSLRDEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGDNTGPINIGNPGEFTMIELAELVKEVITYSQEAALCLISPKVEIKMVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRLRLGVSKKI >Solyc04g076510.3.1 pep chromosome:SL3.0:4:61449239:61486016:1 gene:Solyc04g076510.3 transcript:Solyc04g076510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQDTDISLYYLTLRALQLALVDNPRGQNHFRSIGGLEVLLDGLGVASNSALRLRHFSTSDTSSFGNLNNLQFLSENGRVQKLANSFCSLAFMLQEYKEKSDNLFAQDDMEITVSSDNDTTGEEVLETKLSSKSSTPYLKDWHDYVSKLSAVLFTFLLSPEDAKADKSQASTVKSSLPVSSAYGELSVKWIIRVLLTVFPCIKACSNQKELPGHLRTFIYTLQHHVLSAFKKILVLSPSLLHVFRAEGAWDFIFSENFFYFGLESLGSSDDSLSKKGSSDDCNEQCCDSNGRTTSLNLHELEVLQTEVVSFFEFAATLTGSSHNLPECSILLEALELSACNPGVSNLLAKGLLQIMRSSSEKTLSSFRTLDAVPRVLKVACIQAQESKRHGIASPHTEDDPVFSLNQDMNSFEMIHSWQNSMGTFIELFTEFFSLTNDAKNTTLHSATCIDHLFDLFWEEQLRNRMLPLILDLMKIVPSSEEDQKAKLYLCSKYLETFTHVKDRVNFVELSIDLLVGMIDLLLTDIEYYQALFRDGECFIHVVSLLNGNLDVPKGEELVLNVLQTLTCLLSGNDVSKAVFQALVGTGYQTLRSLLLDFCQWQPSEALLDALLDMLVDGKFDLKASPVIKNEDVILLYLSVLQKSSDSSRNQGLDIFLQLIRDSMSNQASCVKSGMLNFLLDWFPQEGKDTVVLKIAQLIQVIGGHSISGKDIRKIFALLRSEKVGSHQQYSSLLLTSMLSMLNEKGPTAFFDLNGVESGISIKTPVQWPLNKGFSFTCWLRVESFPRGGGTMGLFSFLTESGRGCIGVLGKDKLIYESINLKRQSVVLQVDLVRKKWHFLCLTHTIGRTFSGGSQLKCYLDGTLVSSERCRYAKVNEPLTCCTIGTKISLPSYEEESLTVSSKDPSAFYGQIGPVYLFNDSIASEHVQGIYSLGPSYMYSFLDNETAVHLDNPLPSGVLDVKDGLASKIIFGLNSQARNGRRLFNVSPVVDPGIDKSSFEANVLVGTQLCSRRLLQQIIYCVGGVSVFFPLFTKTDLYEIEEAKQAGQDLLTPITKERLTAEVIELIASVLDENLANQQQMLLLSGFPILGFLLQSVPPEQLNMDTLSALKHLLNVVANGDMLVKDAISHIFLSPVIWVYSVYRVQRELYMFLIQQFDNDPRLLRSLCRLPRVLDIIRQFYWDDVKTRFAVGSKPLLHPVTKHVIGERPSKDEIHKIRLLLLSLGEMSLRQHISASDIKSLIAFFERSQDMACIEDVLHMVIRAVSQKQLLASFLEQVNLIGGCHIFVNLLERLAYFQPTNCTTSSARSRLPYGSEVTQSACYVNHLDWMETAINDYKSGMVELSGVLDGGTVGFKVVCVGAVAIGECTAGKCQEIAHTRHVKNLSPEIVGFDRCMDFEPIRLLGLQFLGRLLVGLPLEKKGSKFFSIAVGRSKSLPEGLRKVSSRTQPIFSVISDRLFKFPQTDLLCATLFDVLLGGASPKQVLQKHNQLDRQKSGRSSSQFFLPQILAIIFRFLSGCKDAHTRIKIIGDLLDLLDSNTTNIEALMEHGWNAWLDASVKLNAFKNYKLESKINDDTETSEQNLLRSFYCVVLCHSMHSIKGGWQHLEETVNFLLVQCEQGGIAYRHFLRDLYEDLVRKLLDLSAVENVLVTQPCRDNMLYLLKLVDEMLLSEMKFNLPYPAGNTEFSSEFLELEQLNDLGSALLDALQGEPDEKLSRSHVFKLPDTNEVEKIDDEWWNLCDNIWSAIGEMNGKGTSKMLPRSSQSVAPSLSQRARGLVESLNIPAAEMAAVVVSGGISNALAGKPNKPVDKAMLLRGEKCPRIVFRLIILYLCKSSLERASRCVQQIIPLLPCLLTADDEQSKSRLQLFIWALLAVRSHYGALDDGARFHVIAHIIRETVNCGKLMLATSIVSRDDSVESGSSAKEGSTIHNLIQKDRVLSAFADEVKYVKSSTADRTTQLHELRLRLDETTITDSNQKKAFEDEMRSILNVILASDDNRRSSFQLAYDEQQQIVAGKWIHTFRSLIDERGPWSADPFPNSTLTHWKLDKTEDTWRRRQKLRRNYHFDKKLCRPTSTTPSIEALNPSSDAKSGFAAHIPEQMKRFLLKGIRRITDEGSSELNESESELTGQKPGSEDLSDRQYLEVVKESGDLKDLTKEDLDCSSTQTESEDSEVLMSVPCVLVTPKRKLAGHLAVKKKFLHFFGEFLVEGTGGSSVFKNFDSSDSERGRPINSIGAVNNDEHQKHPNNINRHRRWTIFKVKAVHWTRYLLRYTAIEIFFSDSTAPVFFNFASQKDAKDVGSLIVLNRNESLFPKGYRDKAGVISFVDRRVALEMAENARERWKRREITNFEYLMALNTLAGRSYNDLTQYPVFPWILADYSSETLDFNKSSTFRDLSKPVGALDAKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLFYLLRLEPFTALHRNLQGGKFDHADRLFHSIGGTYRNCLSNTSDVKELIPEFFYMPEFLINSNSYHFGVKQDGERIADICLPPWAKGCAEEFVSKNREALESEYVSSNLHQWIDLVFGYKQRGKPAVEAANIFYYLTYEDAVDLDTMDDELQRSAIEDQIANFGQTPIQLFRKKHPRRGPPIPIAHPLRFAPGSINLTSMVSCASSCPSATLYVNVLDSNIVLVNQGLSMSVKTWVTTQLQSGGNFTFSSSQDPFFGIGSDILPPRKIGSPLAENIELGAQCFGTLSTPSENFLITCGTCENSFQVISLTDGRMVQSIRQHKDVVSCISVTSDGSILATGSYDTTVMIWEIVRIRTSEKRVKHTQAEVPRKDCIIAEAPFHILCGHDDVITCLYASIELDIVISGSKDGTCVFHTLRDGRYVRSLQHPSGSPLSKLVASRHGRIVLYSDDDLSLHLYSINGKHISSSESNGRLNCLELSSCGEFLVCAGDQGLIIVRSMNSLEIVGKYNGIGKIVTSLTVTPEECFIAGTKDGSLLVYSIENPQLRKTSIPRNSKSKTSMT >Solyc01g096960.3.1 pep chromosome:SL3.0:1:87830370:87838876:-1 gene:Solyc01g096960.3 transcript:Solyc01g096960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRPRGGGVGPNRGPAARAVYEDFRPVHERHQDEEAEKLVIYLPGFMKENIRVSTEGKNTVRVRGERFVGGNKWHRFQEDFQAPDDCNMRGIHAKFENGILIITMPWKMPKQLADEHTKQSAPIIPHKDDNVPPRTTHPTVETPRKTTAQRADKDQDSTRNETSKTTYPTTEATPKKPTHLKPTAQLPKPQHDDKDKVSTRNETMGSAESSKTQNGDNVPPKTTYPTTQAAPRKPTPLKPTAQLPKPENTDNDQDSRVKEMKFFDDQLMSPESSKTQMGDEDIDFPALRATTLGKTSGEREKPKDEKKIFEGLVGSIEPKIQKSKEENLDQNRTQLIKSGKDVGKEESHDAGGKIVAEKIKDLREEFQEQVGQKGSKEKESTSNVAAGTSFYTGSISNLKKSIVELNEERQLLVNAGAAVMVIMALGTYVYYSIRSGRTE >Solyc08g068013.1.1 pep chromosome:SL3.0:8:57162446:57169372:-1 gene:Solyc08g068013.1 transcript:Solyc08g068013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCPQGKTKEIEHQASHILELALLNRPPNDAIKLFQVPPSILIHLIVVRVEGKQYQNNHKNNHEMDEAARNVKQDGPSVFQEAPVHKKQDQLDEQFCMVQNIEDKPSALQDILTGEMILLSSKDLQRQGHKERALAMLHQMIEDAHMGKRQFLSGKLHNVARALADEETEMEQVKEEGSRSDRKVLLLYSKKGVLGLGLKTFKQPLTTSATGDNNVPSGSYDVKETGKRLFGPFSSRMATFLSQFVLYLAAIGDIVDGADTTHDFNYFSLVYEWPKDLLTRLVFEQGSTDAAEKAAEIMNADFVHEVVSACVPPVYPPRYGHGWACIPVIPTYTEIYSENRVISPSCREAKPGSFTPSAGDAELPLYPLQLDIVKHLIKLSPVRAVLACVFGSSILYRGRETTVSRSLKSCFLQTPDADRLFFEFALDQSERFPTLNRWIQMQTNLHRISEFAIMADHTRNDGKDDVPECKTAMKRFRDHDSDAESEVDELAGSSNISKNPQEIKNETRGSSDLRHDSLKSENSDRTTVFLSFDCENEGPYEKAVERGERKFLLVNWEKCHNTKCGLDLFKDLKVFNKSLICKLDLDSACDHVNNKFLDFTYLVARGVATRESVITTAFYLGDGCYEQDDGAIMTRIIKGFEAAVDELRGSSWITRDKS >Solyc12g100080.1.1.1 pep chromosome:SL3.0:12:67931991:67933016:1 gene:Solyc12g100080.1 transcript:Solyc12g100080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQFACALAFFLIATCTVAYSPYESSDSTYNKVTTKEVKSEDFKVPSVSEKEYKESSFPKFDYFKKPSVSDDIYKKESYVPESKESFLPKFDYFKKPSVQGDNYKKASYVPEVPTKAKLEYKESSFPKFDYFKKPSVSDDSYKKESYVPEEPSKAKPEYKGSFLPKFDYFKKPSVSEDSYKKESYVPEEPSMPKEEYKVPVLPKNNYFKKPLVSKDSYKKVSYVPKVPSVPKEEYKVPSLSKNDYYKKPTVSEDNYKKVSYVSKVPSVAKPEYKVSSLSKNDYYKKPSVPEDNYKKVSFVPKVPSGPKEEYKVPSFPENNYYKKASPSPSPPPPYY >Solyc02g082763.1.1 pep chromosome:SL3.0:2:46976826:46978909:1 gene:Solyc02g082763.1 transcript:Solyc02g082763.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNKSFVFLLVRGSIPLLWNQIVDLTYKYKFEMVRIVEYWNLRKKYGNILSIDLVNKHGGGGRGGGRLSEKFANAMQHVIAFCRYLHFNINLGDDIRIPYSGTPALKGDFVRQQLSCS >Solyc03g119050.3.1 pep chromosome:SL3.0:3:69241951:69253533:-1 gene:Solyc03g119050.3 transcript:Solyc03g119050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDKMMQTEARLEELKKLTERVKILKSFQLTCKKRMGRALSQKRDARVQLISLPKQRFSSKGKKLSATHCGPPENSHVASYREALTHFAVSLSRKEWSKEESENLVKGLKQQFQEMLLQRSVNLLSDEDGCSRESGDLDDVIASIRDLAITPETMRLFLPKVNWDQVASMYLPGRSGAECQSRWLNWEDPLIKHEGWDLLEEKNLLQVVQQKKMSDWVDISTSLGVCRTPFQCLSHYQRSLNASIIRREWTDEEDIKLSAAVETFGESNWQFVASVIEGRTGTQCSNRWIKSIHPAMKRCGKWSADEDKRLKVAVMLFYPKTWRNVVQSVPWRTPIWKKVAQYVPGRTHVQCRERWVNSLDPSLKLDEWTEEEDLKLKSAIDEHGYSWSKVAACIPPRTDNQCRRRWIVLFPDEVSMLKEAKKIRREAFISNFVDREDERPALRPNDIVPTQKLSSRAGRETTSVNKKRKLRPRATKDDMAPRCDTMSEMEKPHAEGSEGPESSHLLKSSLLPSRDQGCNDAMKNKRPSKLRRRKTKKSTPNDKVPEASASTDSTIADGNICKRRRRSTSSLVKKKSRTVPSASSMVESTTADGNICKRRRRASSLVKPKSRESSSSLPNLSSSMAVVEEAESLVQDSRKAKNVMDKCNSASEYDDPCISPQGHPLAHPHLDEGTADLNVGENENASATGFQDYSLLLQRNAVVCTDENASQFEASATPGTREGEDCGASVCHKLNKCNQLENNVKSSLDYLPQTADDGMTLASFVCKLRAKVSSSSSTKVARLHSGKAPSKAMSGDHCSRSCISGGHDGMEKRSKQECTSSNQTSGTKVEDDMPLSSFIGRVKKRECTEVGDDMLLSLFVGRLKRERH >Solyc12g019148.1.1 pep chromosome:SL3.0:12:9352877:9353329:-1 gene:Solyc12g019148.1 transcript:Solyc12g019148.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSAAFKMKDLGPAKQILEMKISRDRSAAVGSLMYAMVYTRPDIAHVVGVVSRYMANPGKDHWEAVKWLLRYLRGTSSTSLCFGKVKVTLQGFVDVDLGGDAD >Solyc01g014623.1.1 pep chromosome:SL3.0:1:14380084:14380604:1 gene:Solyc01g014623.1 transcript:Solyc01g014623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSVKSKRQKRICKEIIIKYGKTKVTSKMVSSNEKKYTKQHQENVKKYMGVRMKKRHWLGSFSIADEAALAYDKAAIEIKGLNALTNILNPPPKKSDPIHH >Solyc05g053960.3.1 pep chromosome:SL3.0:5:64817900:64819768:-1 gene:Solyc05g053960.3 transcript:Solyc05g053960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGYVILMFLMAAFVLSSHQQAVAKNIATYSQSRFSATTSCSNSDKGKIKKCMTQTVSIDKCCPLFKRTIGANCKCYNYAEDLDNQALITLQSYCDINNPCKTVQKVVADRSRTGTVEAVATISASPSPRVRPQAQPKCSAADKAKVKTCMTKTSSIDACCPTFRSILGKSCPCYNYAMKLDNQALITLEAYCDVNNPCNKVQVI >Solyc06g066680.3.1 pep chromosome:SL3.0:6:42011065:42015393:-1 gene:Solyc06g066680.3 transcript:Solyc06g066680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWPEFLASSWGKEFVAGGFGGIAGIVSGYPLDTIRVRQQSCTPGSAFNILRRVAATEGPLALYRGMAAPLASVTFQNAMVFQIYAVLSRALDRNVPASDPPSYKGVALGGFGTGALQSLMLTPVELVKIRLQLQQRNILDNKNQMTSCKGPTDVARNIFRQEGWRGIYRGLTITVLRDAPAHGLYFWTYEYVREQLHPGCRKNGQESFRTMLIAGGLAGVASWICCYPLDVIKTRFQAQSQSTPLRYTGIVDCFRRSVKQEGYNVLWRGLGTAVARAFVVNGAIFTAYETALRCLFINNNKEVHANIQTENAF >Solyc12g049400.2.1 pep chromosome:SL3.0:12:61897549:61902508:1 gene:Solyc12g049400.2 transcript:Solyc12g049400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRQLCSLDSEKSHLMNTCNLLTQFFNGKANINDLNLTISNNGEAKASATKDLLTNMEELSTKTTEQDQKLIDHVPKSAINKASGSKEIPHKEQKLAQLSIFYGGKVVVFDDFPAEKARAVMLLASKGISNNSCAIFQTPTTTQTNGSNNFDLPIARRSSLYRFLEKRKDRDTARAPYQMHNPLQSSSRTRGDHFDLNF >Solyc05g013410.3.1 pep chromosome:SL3.0:5:6480442:6484790:1 gene:Solyc05g013410.3 transcript:Solyc05g013410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAISPESQMIQRTWPCNSDGGPRFTAISFIGKHVNKNDNRRITNNPTTNNFQISPKNQDFADFSQLPDDVLLKIAANFTLPNLRAASQVCKSWCDGLKPLREAMLFLKNGKRFKHGRGGVKPDLNKALESFLKGAALGSTLAMVDAGLVYWEMGRKEQGIAFYRKAAALGDPAGQCNLGICLLQVNLTDTEEAIKWLYKASVAGYVRAQYQLALCLHKNRGPSRNLREAVRWFLKAAEGGYVHAMYNIAVRYSVGEGLVQSHKLARKWMKRAADRGHSKAQFEHGLSLFSEGNMKKAVVYLELATRAGETAADHVKYVILQEMSTSCRDRAMLLADNWRPLPSSSH >Solyc02g090370.3.1 pep chromosome:SL3.0:2:52564823:52566969:1 gene:Solyc02g090370.3 transcript:Solyc02g090370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQTTTSTDSPTPQLENHSDSESNLHTSTDSPTPDVNVGKTSTDILRDVILWKRKSFTVAALLAATAIWLALEVYGLTFITLFSWIAMFLIVSTFLWGNIHMLLGKEPLDMSRMYISDESVVEAGIKFRESVENSLRFLFNVSTKREWFVFAGTVASLGLLSVVGSYLDLLTLLYIGIVMGLTVPVVYVKYEDRIKDLGQRARVRCHIYNSAATERCRMYYSSIAERVEKMKSKLQQKKKKKNE >Solyc07g005580.3.1 pep chromosome:SL3.0:7:457897:464999:1 gene:Solyc07g005580.3 transcript:Solyc07g005580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-acyl-sn-glycerol-3-phosphate acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CB29] MEVCYHSKFNNFHFFQSPPLHFWKKKGKLSQSLSPSLHPQLTSSHGNYSCCSSLRQSVWRNTKFYAVPGSTTFGTSREACVSLPSSPQQKKYNSAFGNNSFYRQYRSLRDIIARSELANTSSAGAAYPLSELELRSKVRAICFYAVSAFSAIFLFVIMLVQHPFVLLFDRYHRKAHHLVARTWATLTITPFYRVEFEGLENLPSPDTPAVYVSNHQSFLDIYTLLTLGRNFKFISKTAIFLIPIIGWAMYLMGLIPLRRMDSRSQLECLKRCMDLVKKGASVFFFPEGTRSKDGKLGPFKKGAFSVAAKTGVPVVPISISGTGRIMPAGMEGRVYPGSVKVVIHKPLKGNDSDVLCSEARNVIKDVLIHQG >Solyc08g007713.1.1 pep chromosome:SL3.0:8:2215997:2217781:-1 gene:Solyc08g007713.1 transcript:Solyc08g007713.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFFVDVRQDLFYAYGWPLRLVRPIWKVKQALKRAYPSFQRFLCAIAHHFLGDPDSDVKNAQFFSWTSVKTLSMHTVGHHGFTQFLGDTDFDVKNAQFFVDVCQDLAYAYGWPSRLVGPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPDSNVKNTKSFHGRPSRPYLCIRFATTASHHFLGDPDSDVKNVKRSPKRAYSSFRRFSCAIAHHFLVIRIPTSKMPNFFMDVRQDLVYAYGWPSRLVRPIWKVKRASKRAYPSFRRFSCAIAHHLLGDPDSDVKNAKFFCGHPSRPCLCIRLAITDCSTHLEGWPSRLVRIIWKVKRALKRAYPSFQRFSCAIAHHFLGDPDSDVKNAKFFRGLLSRLCLCIRLAITACTTHLEGQTSPEASIPIISMIFVCYSTPFFG >Solyc06g065440.1.1.1 pep chromosome:SL3.0:6:40964083:40965138:1 gene:Solyc06g065440.1 transcript:Solyc06g065440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQANQSNENHDFAGLQEWDPRAMLKSMSFLEQKIHQLRELVRIIVDHRSLAGIQGSDLSIQQQQLITADLTSIIIQLISTAGSLLPTVKHQANPPTKRLEQFGGASVPSETGTNIGALTCNGYVPKAKDQLSHVDQMGDCFVDEHEAKDEDEPHEEENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTSAALIKPQKDSSSGTTLIKRYSCPCIGCKRNKEHKKFQPLKTILCVKNHYRRTHCEKRYACSRCNLKKFSVIADLRTHEKHCGKDKWLCSCGTTFSRKDKLFGHISLFQGHTPAIPLDETKGFAQTSNQGQPMMQLQRLEI >Solyc10g049500.1.1 pep chromosome:SL3.0:10:45971309:45973863:1 gene:Solyc10g049500.1 transcript:Solyc10g049500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGEGVYSYTRNSLLKKNAAENAKIMVREAIIENFDIQTKTFFIVDMGCSVVPNNFSIFCSCNSGSFYGRLFPSCSLHIVYSCYALKWLSQLPKDMENKRRIHYDGASIKVWNAYVAQFHKDMEVFLSARAEEIVPGGLIVLVLPSIQVKYTTPKLDIGYSHFLSIVLLIWSMRLATLYYPSTKEMTKGVENNGCFSIERMELTSPQSCIDANSFINNIRAGFEEMFTIHFGSKIAYEMYERTSEKIVEISAWLEDEYCKTTGQLCLVLKCKINL >Solyc08g066050.3.1 pep chromosome:SL3.0:8:54566004:54569075:1 gene:Solyc08g066050.3 transcript:Solyc08g066050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAMENQPQQQQHKNFQLLQTSSEASSEADKKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLKQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYIASLARALIYCHGKHVIHRDIKPENLLVGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCFEFLYGMPPFEAKEHSDTYRRIVQVDLKFPAKPVVSLAAKDLISQMLVKDSSQRLPLKKVLEHGWIVQNADPSGVYKG >Solyc04g005550.2.1 pep chromosome:SL3.0:4:362242:367146:1 gene:Solyc04g005550.2 transcript:Solyc04g005550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAIFVEKLTDSLIQPVARGIGYFYYYKRNMTSLDEESQKLENLRSGVQERAEAARKNLQVISHTVEDWLTSVDTTTADAAIVTRRGRNGVKSGCFYGCCPNLKSRYLLSRRAKKITLKAIELRNEANKYDVFSYPVPHVEAEAMVSNSGEAFDSRKVKEDEVIAALRDDAVTMIGICGMGGVGKTTLAEKIRQRAKLEKLFDDVVMVTVGQQPDFKRIQGEIAEGVGLTLEGDNLWSRGDRLRLRLKGQDNILIIFDDVWEALHDLEKLGIPTGRNHKHRCKVTFTTRFRHVCESMEAQKIMEVGTLSEEEAWNLFRQKAGNSVVDPSLLDIAKDVAKECKGLPLAIVTVAGALKLKTKPSWEDALKQLRNAETRNIPDVHTKVYRPLRLSYDHLESDEARYIFLLCSLFEEDSDISTEELLRYGMGLGIFLEIKNIEGARNRVCHLLETLKDRFLLSQGSNRNSVKMHDVVRDVAIYIASEGKHIFMVSHDVNSEEFPRKDSYEQYSHVSIVANKFDEHPSPIIGPNLKLLMLKLYFKEPIKLQDDFFDGMSKLNVLSLSGYEYSVWPFPVSIQRLSNLRTLCLSNLRLEDISIIGQLVTLEILSIRDSQLEELPKEIGKLTNLIMLELRNEKKPLEMISPGVLSRLVRLEELHIMNVRNCSYSTLKELESLSRLTALTLSECSGDVIYSNMGLTSKLTQFAITVGKAYRATPSMDDYDKNISLEVTETAPLGDWIRHLLSKSELVHSTGEGTKNVLAELQLDEFQNVKYLCLKSFDSLTHIQCQNNVSFPKLEKLEVRKCRSLQYVFFVSLAGESSTVACLDDEEGEISRRTHEVIKFPNLYDLNLVSLKGFSHFCNDTVDGIEFPRLRNMNFMDLPEFKNFWPTANNFILGSNPLFDEKVSCPNLEKLQLIRANNISSLCSHLLPTAYFGKLVKLKVDSCGKLRNLMSPSVARGLLNLRKLKIENCESIKEVITEEELQGEEIMTSEPLFPLLEHLNLDNLPKLEHFFRTKHALEFQSLRELWIHHCPEIKTFVQQGSVSTPSLESVNNDDEVKVDDLNKAMFNSKVSCPSLVDLVVVGVNSITALCSHQLSTAYFSKVETVYIENCGKLRNLTSPSVARGLLNLQVLTIEACQSIEEVITEEEHRQGEEIMTNEPLFPLLEELVLCKLPKLRHFFLAKHALEFPFLRVVWINSCPEMETFVRQGIFVSTPQLKWMNNDVEMKVDDLNKWIQQTFNSKEQNASQGTTDGYQYEAGDVDKSEATDGDESETSSNIA >Solyc12g014270.2.1.1 pep chromosome:SL3.0:12:5091578:5093422:-1 gene:Solyc12g014270.2 transcript:Solyc12g014270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFFLFLIFFTLLQKPLFSIATLHGTSLFKSQLITQLDSSSKNNNATPTTYFEVTKPINLPKTNPCSYLVLKHDFGYTYGKPPFLANYTPPFSCPSQKFSKIVLEWKSTCEGRQFDRIFGVWLSGVEIFRSCTAEPRANGIVWTVKKDITRYYSLLMMKNQIFAVYMGNLVDSTYTGVYHVELFIHFYPAEEMYKRNSYEAFDSRADLILPISRNMPLNDGLWFEVENSTDVQSKEFEIPQNAYRAVLEVYVSFHENDEFWYSNPPNDYIRANNLTDTPGNGAFREVVVSVDDVVVGVVWPFTVIYTGGVNPLLWRPISGIGSFDLPSYDIEITPFIGKILDGNMHKISFSVTNALNVWYIDANLHLWLDDKSIKTEGKLLKYTSLPLSFSLRTNFTGIDGSFVTNASRSITLTGWVKSSYGNITTKSAQVLSYSNYMVEGNGGNLQNVDQIIYFNETVDVVRPTSYVQSRKSFKKFLLLLHSDNVDKGDESYASISNVTLGFDDNRIKTSKNVSSASSTENMQKAQGHILVKGQLVVSGIGSTQQVYKYKDDSFCYSRNISSSNYTIHYDKVSDNCPRITLSRLPFSFGKFQSVPARRVSLASHLGDVKDGV >Solyc03g006740.2.1 pep chromosome:SL3.0:3:1290301:1290993:1 gene:Solyc03g006740.2 transcript:Solyc03g006740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLTHQLLSKSLFPALKVLFDKLASGDILNILKVWNVNEMLLDKLKISYFINTAVLDDAEEKQYLNPAVETWIDMLRDAVFEAEDILDELATEALRCKLEPDSQKFSQQVCNSWNFIGMKSRIEELITRLEYIGKMCRGTPSTPLLLRSHVYGRYTEKEELIELLVSDL >Solyc03g098230.3.1 pep chromosome:SL3.0:3:62019648:62030450:1 gene:Solyc03g098230.3 transcript:Solyc03g098230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-cysteine desulfhydrase [Source:UniProtKB/TrEMBL;Acc:B2MWN0] MSSCQWSSFTRVSLSPFPLQPAQLNTALNLKKQCCFTKSSMEDSSSQGHQSAFQFLTKKPYEPPPWASLLSPIPSHTFSLGHFPTPIHKWNLPNLPKNTEVWLKRDDMSGMQLSGNKVRKLEFLLADAVAQGADCIVTIGGIQSNHCRATAVAAKYLNLDCYLILRTSKLLVDKDPGLTGNLLVDRLVGAHIDLVSKEEYAKVGGEALTKILKEKLLNEGRKPYVIPVGGSNSLGTWGYIEAIRELEQQLQHLSIEQKFDDIVVACGSGGTVAGLSIASMLSGLKAKINAFCVCDDPDYFYEYVQGLLDGITAGVSSRDIVSIKTAKGLGYALSTTDELKFVKQVAETTGVILDPVYSGKAAYGMMKDMGENPTKWEGRKILFIHTGGLLGLYDKADEIGSLMGKWRKMDINESIPRQDGIGKMF >Solyc09g014605.1.1 pep chromosome:SL3.0:9:6241359:6242746:-1 gene:Solyc09g014605.1 transcript:Solyc09g014605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDPLVDVHGSPLEKAESQTIEAEIDDSEPADTSTVHEDSQSNETENSSEDQMSRPSTTEEVSENTHAIPSHADSYVRRSSRSIKEPMWMKDYAITKSHSSTKHPMVSYLNYEKIKPECRSFLSKLSEYIEHDLLITRSNTQPITEVKACLHKQFKLKDLGIEVLRSSGGIILNQRKYILELIAEAGLIGAKPAVTPMESNLRLTSVKHDQANGYNKDVVLHDITSYQRLVGKLLYVTITRPYISYEVNLKSVHAISQEITYGSSY >Solyc05g013963.1.1 pep chromosome:SL3.0:5:7447658:7448347:-1 gene:Solyc05g013963.1 transcript:Solyc05g013963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AKAFTKIDLRKGYYQVWIAEGDEPKTTCVTRYGAFEWLVMPFVLTNAPATFCTLMNKLFHPYLDQFVQQHGGLCGILVQGVQSFARQRLGREAREVQFCPASTVKFLGHTISHGEIVMDGDKVEAIRNWEAPTKVPELRSFLGLANYYRHFIFSYSAIAAPLIDLLKKNREWEWTDAYQTAFEKLKATVTEEPVLALPDFLAS >Solyc11g018630.1.1.1 pep chromosome:SL3.0:11:8844349:8844636:1 gene:Solyc11g018630.1 transcript:Solyc11g018630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSEIENVDSTTGRQIEVTDELIKFKTKSLKLVQQILEIHREMWKLKGHIEEMIRHVEALNKYAGDDRVEAFDTPYACGGTRTVDSNVYPKSSK >Solyc06g036440.2.1 pep chromosome:SL3.0:6:26078783:26082660:1 gene:Solyc06g036440.2 transcript:Solyc06g036440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEITNSATEAIPSLIKYIYDEMDDFGIIVDNQLEPTGSTSFENSPVVVTEAATERQHGRKHSVSISLPPSPLAGYSPPIQKRVVFSDNNEIIFSNVDSSDSATTSTDNSTRRNKKVKFYSHTMPRHTAFPEAPAMGKLLSYSDFASRSPKTMKQRDSRFDSYKTWSGKLERQISNLRGKNVEGQQESNSRPSAEIENIPVDRYFAALEGPELDTLRASEQSILPEDKKWPFLLRYPISSFGICLGVSSQAIMWKALATSSSTKFLHISLDVNLVLWCISVALMAVVAFTYALKIIFYFEAVRREYYHPIRINFFFAPWISLLFLALGVPQSVTKTLPIALWYILMTPIFCLELKIYGQWMSGGQRRLSKVANPVNHLSVVGNFVGALLGASMGLKEGPIFFYAVGLAHYVVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASMAWATIQGSFDYGARISYFIALFLYFSLAVRINFFRGIRFSLTWWAYTFPMTGAAIATIRYSAVVTNTLTKCLVVILCSLATLTVTSLLVTTIIYAFVIRDLFPNDISIAISERRHKSSGIWHLSSSDTKHIEQYLKYVDASEEKDIEASLAQPNSTTINSASNQLPQQ >Solyc11g005450.2.1 pep chromosome:SL3.0:11:358971:361880:-1 gene:Solyc11g005450.2 transcript:Solyc11g005450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEFRLILSESKVDVWTMMDAAISVAAVDCADELKRRRDGIVEKLYFTRSRSSDDVGNGQHRLDNGTRNVEMMMNKSPLTPESNQREKENSNDKNEEEEDADPYGGLFDDDDEQTQILTIKQQLENPQLSDEDVVDLLQNLADMDITFQALQDTDIGRHVNQLRKHPSSEVRRLVKMLVRKWKGTVDDWVRLNPPEQHESANLIAADDDSPQQSVRRNQQNGNHQVPDFAYSPNPRNGSSSSDRNNSESEYKPKPVPQRNVTPTRPLQSAPKPVSAPPPSRPLPRESAIDIERLNSARRRLQENYQEAQNGVVLDFRILYCRIISNSKTKKQRTIQVMDIHEIPKPKNGFIAKNKGGFQSRHHHR >Solyc12g009075.1.1 pep chromosome:SL3.0:12:2374202:2377434:-1 gene:Solyc12g009075.1 transcript:Solyc12g009075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDHVYAYGWPSRLFRPIWKLAITACPTHLEVQMSPEASIPLISTLGDPDSDVKNAKFFVDVHKDLVYAYGLQSQLVRPIWKIKRAPKRAYPSFRRFSCAIAHHFLGDPDSDVKNTKFLRGRPSRPCLCIRLAITACPTHLEAHHFLGDPDSDVKNFKFFRGRLSRPCLCIRLAITAYPTHLEGQTIPEASIPLISTIFVCYNAPFLGDQDSDVKNVKFFCGRPSRPSLCIRLAITACPTHLEAHHYLDDPDSDVKNVKFFRGRPSRPCLCIRLAITACPTQWEGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPNSEVKKAKFFCGRPSRLCLRIRLDITACPTHLEGQTSPEASIPLISMIFVCHLFLGDPNSDFKNAKFFRRRPSRPCLCIRLAITACPTHLEDVRQDLIYAYEPRSVHNPSIRRFSCAIAHHFLGDPDSDVKMPNFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPMRAYPSFRRFSCAIAHHFLGDPDSDVKNAKFFRGRPSSPCLCIRLTITACPTHLEDLFYAYGWPSRLFRPIWKVKRAPKRACPSFRRFSCAIAHHFLCDPNFDVKNAKFFRGLRQDLFYAYGWPSRLF >Solyc07g062840.3.1 pep chromosome:SL3.0:7:65615279:65618030:1 gene:Solyc07g062840.3 transcript:Solyc07g062840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Goblet [Source:UniProtKB/TrEMBL;Acc:B8XS01] MEIYHQMQFDCGDPHLPPGFRFHPTDEELITYYLLKKVLDCNFTARAIAEVDLNKCEPWELPGKAKMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIFSSKTCALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLDGKFAYHYISRSSKDEWVISRVFQKSTGSNGAATSTGGGKKRLSSSINMYQEVSSPSSVSHLPPLLDSSPYSTTATSAAAIVIGDRDRDHSFKKEHVPCFSTTATATITAQSLTFDPTSVFDISSNTLHALQPTPSFASILDSSPSNFTNYTRNSTFPSLRSLHENLQLPLFSGGTSAMHGGFSNPMVNWTVPETQKVEQSELDCMWSY >Solyc06g060427.1.1 pep chromosome:SL3.0:6:38577374:38580902:-1 gene:Solyc06g060427.1 transcript:Solyc06g060427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFDVQMLLQHINTVKRAPKRAYPHFDDFHSDVKNANFFCGRPSRPCLCSRLSLTARPTHFEANHFLGDPDFDVKNANFFVDVRQDLVYVASWSSRSVRPILKQTFLGDSNSDVKNTKFILDVRLYLGYAASWLSQPIQLIFKVKRAPKRAYPNFDDFYLGYAASWPSRPVRPILKVKRVSKHAYPHFDDFIPTSKLRNFFVDVRIDLVYVASWPSRPVRPIFIVKEASKRAYSPFRRFSCAIANHFLSYPNSDVRNAKSFFGRPSRPCLCIQFALTASPTHFEGQTSIEASIPLISTIFVCYSKPFFR >Solyc09g015780.1.1.1 pep chromosome:SL3.0:9:10993913:10994125:1 gene:Solyc09g015780.1 transcript:Solyc09g015780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVHTAHFVPTPNILCSHFTPWTWTLDFGLVILFVDLTLTMNVYRNGQHYLLENLLLPFNSLTIPFHLI >Solyc02g067800.3.1 pep chromosome:SL3.0:2:38467948:38482759:1 gene:Solyc02g067800.3 transcript:Solyc02g067800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQSHSKIDQSPNNFSIAVDYDHKATEFRPFSLSSPHMRAFHLAWLSLFSCFFSTFAIPPLLEVIRKDLNLTQTDIGTAGIASFVGSIFSRLAMGPACDVFGPRVASATLSLLTAPVVLSTSLISSAKSFILVRFLIGFSLANFVASQFWMSSMFSGCTVGLANGFAAGWANVGSGVTQLVMPLVYTLFTTCFNIPSFISWRIAFIFPAIFQAATAILVLVYGQDLPDGNYKRKITNNQSENVLFNGLKNYRGWILGLTYGFCFGVELTTDNIIAAYFYNRFHVNIEMAGAIAASFGLANCVSRPVGGIVSDKMGKRFGMRGRLWSLWAVQTVAGLMCVLLGRVNTILASVLVMACFSLFVQAASGLTFGIVPFVSKRHDRKWWDFRRSYNTAPAIFRRIIKGQKLSLYQEDQEMSSTSNYSTPPCAACKFLRRKCLPSCVFAPYFPPEEPIKFTTVHKVFGASNVSKLLNEIQPHQREDAVNSLAYEAEARLKDPVYGCVGAISVLQRQVLRLQKELDATNADLMRYANNNNNNNNNFQYGRRMVNSGYYNYSSAWNNGISGGHNNIGTDNCDGGR >Solyc03g093830.3.1 pep chromosome:SL3.0:3:56938950:56945600:1 gene:Solyc03g093830.3 transcript:Solyc03g093830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAGRILYLSCSTTPFSPSTSAFPTSTYFHANRRNGIRLRSMASDADASSYATSLDSESSDRNAAGFCIIEGPETVEDFAKMELQEIRDNIRSRRNKIFLHMEEVRRLRIQQRIKSAELGIITEAQENELPNFPSFIPFLPPLTSSNLKQYYATCISLIAGFMLFGGLLAPSLELKLGLGGTSYADFIGSMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGSLVLIEPVSTIYGADKPLSPPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Solyc09g008100.3.1 pep chromosome:SL3.0:9:1570280:1581440:-1 gene:Solyc09g008100.3 transcript:Solyc09g008100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSLKSTFLSFGGLRSTFWCLQLKIECKANTSDDFNMQMVQQVSLERDTCLHPETFMGKDGLSGVTQHPAVALSVIPSNDHLASQQSVLTHDHAVTQLVPSSQDHVMLQLPAKRQPRQWAAWTRQEEESFFSALRQVGKNFEKITSRVQSKNKDQVRHYYYRLVRRMNKLLGPELCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIETLESQLLKDRKKNVRRRPSQGESSSTAAGSPSSHGRVSTNDSRTVKVVLLDNQDVQKFGSGKGSSIKRHVMGVNRSNAKVDSSVKNARHRRRTGSASTAAYKRWEKAAIAGVSLVADAAEHLERATIDKDVGLVQNSQAINGFEHVGKNVHSLPTLSQNLLNETNLQSCMKLKLQLFPVDEGTRRSLEMDNHNPYLELTLSNRKKMSSVLEHLNRKWGSSSIATGELVLFPYHVQMENLVQSLRWTKDTTQSAADVHNLIGSPPVFRLRYGWFPNAELGTPLELLSSAIPFMQNINPNITNENNAEILASSPGKLVRFSKEPLASNPRMTVTSSSIKLSGESNLQASMGLNTYTYDHDGTLPLNRRENGDATTAEQAEMGSKASALSAGDWEDSLTNISVGDLLFDAPDDEETDCIDSALCGSSHFLSQMPLSCDSFDAAIAAHIYKHQSKADSQMALPPQASSIWNAEDTCDAFAFKKNVAFSDKSQCSSSNVGAENSQRIAQSSSLVLDAVIKDLPGNMESFNGEPAHDDAVDECQSDAQALDGSSKDLNGLSGIYWTDSLGPLELDAPSCRYHSEDITLSDSLGGFNRLIVNSLDAFQNCSFFGLDKTEPGSTVEPVKTSDLKIGAEV >Solyc09g066270.3.1 pep chromosome:SL3.0:9:64929984:64931455:-1 gene:Solyc09g066270.3 transcript:Solyc09g066270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGSPCGACKFLRRKCIKGCVFAPYFCYEQGATHFSAIHKVFGASNVSKLLAHIPVSDRGEAAVTIAYEAQARLQDPIYGCVSHIFALQQQVFNLQAQLASLKEQAAAQNIQNGSNYITNPNYDKFQDVQSWFHHQSENQNTMPQFDHSSLTSNNNIGSSIPYYDENNYNNNMTSNDHNYHMGNNYENINFVVPIKENLSSFEEGGSCSVDSSFDNNKQWTFQDHDVVDDLQSVAFRYLQHS >Solyc06g072320.3.1 pep chromosome:SL3.0:6:44740394:44746067:-1 gene:Solyc06g072320.3 transcript:Solyc06g072320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIPYLTALSTYFSYGLLFAFGQFRDFFRKIFDWWNASNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPICSPPDAWFDVVERVSNDNNKTLNRTTKVSRCLNLGSYNYLGFASSDEYCTPRVIESLKTFSASTCSTRVDGGTTTLHSELEVCVANFVGKPAAIVFGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGSTIRVFQHNTPSHLEKVLREHIAEGQPRTHRPWKKIIVVVEGIYSMEGELCQLPEIVAICKKYKAYVYLDEAHSIGAVGRTGRGVCELLGVDTADVEIMMGTFTKSFGSCGGYIAGSKELIEYLKYTCPAHLYATSISPPAAQQIISSINVILGEDGSSRGQKFRPAKCNSTFHYILNATQHWYNDSLLIIRATLVLIGAQKLAQIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKRKVAVVTVAFPATPLLLARARICISAAHSRDDLIKALEVISEVGDLVGIKYFPVEPNKEQLEANRVKLE >Solyc07g053470.3.1 pep chromosome:SL3.0:7:62034224:62053703:1 gene:Solyc07g053470.3 transcript:Solyc07g053470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPLLRSLWSTTRRSFSSSHYSHIKPLSYARAFSTATATAPVGSAATAPGGALDPGRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERALDSIDLERERGITIASKVTSISWKDKELNMVDTPGHADFGGEVERVVGMVEGAVLVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDKPAVTEERCNEVESLVFDLFANLGASEEQLDFPVLYASAKEGWASSIYTKSPPDVKDMSQLLNAIVGHVPPPSASLDAPFQMLVSMMEKDPYLGRVLTGRVTCGVVRVGDKVQGLKNTDNGTVKIEEGKVVKLMKKKGMRADPVDSAGAGDIVSLAGLSSPTIGHTVANAEVMTALPTVVLDPPTISMTFGVNDSPLAGSDGIHLTGGKIGDRLLAESETNLAINVLPSTSESYEVQGRGELQLGILIENMRREGFELSVSPPKVMYKVEKGTKLEPIEEVTIEVDDEHVGLVMEALSHRRGEVTDMGPVPGSMGRTRMCLTCPSRGLVGYRSVFSSDTRGSGFMHRAFLTYEKYRGPLGNVRKGVLVSMGRGLITAHALMGLEPRGVLFVAPAMETYDGMIIGEHSRDTDLDVNPVKAKELNNIRCASKDENVKLTPPRLMSLEEAIGYVASDELIEVTPKAIRLRKRYLEVNKRKSMSKRPKD >Solyc08g067770.3.1 pep chromosome:SL3.0:8:56856543:56864510:1 gene:Solyc08g067770.3 transcript:Solyc08g067770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSLGLHRKSQTNQFQLLMEQADNRSNDEHVIDITSSNDASSSSNPHDRPVNNVLHVQAEHPPSTSTTVPVSQHAFSSASRSNLRNSSFARRGSGRRHRSPLNSVLWISIELVLTLSQIIAAIAVLAISRDEHPRAPLAQWIVGYASGCVAILPLLYWRFRHRNQNSDQDSSQQPQISSQVELSARPSSSTRSSEGEGRQTTATASTGGQSNEILSTRIKSFVEYFKMALDCFFAVWFVVGNVWIFGGHSSSSEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVMGFREDLTQNKGATPESINALPTYKFKVKKNKSGNKEAAEGGVVAAGTDKERVISGEDAACCICLAKYVNNDELRELPCSHFFHKDCVDKWLKINNSCPLCKAEVGETLLSSLTEATASLRQSSAF >Solyc03g031770.3.1 pep chromosome:SL3.0:3:4291325:4294526:-1 gene:Solyc03g031770.3 transcript:Solyc03g031770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVKGICKYISNIFVVKERELEIGGPTDVKHVAHIGWEGPSGGAPTWMKSFKAGPEFSVTSSGKSQGCGETTKQLTTTSIHKDMKTSDVTSPPKKQKRRKPKSTSSPKSSSPSTLRSSRTTKHKAKSVEGNHTPTPLEMV >Solyc02g077620.1.1.1 pep chromosome:SL3.0:2:43071500:43072561:-1 gene:Solyc02g077620.1 transcript:Solyc02g077620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4B8Y7] MDSSPINNMTINLSHVAEEEEEEPSSRFKKLISTLPKVKGSNDFMDLYQYQGFWYPFAMLQAIISTQENFKSSWNDIYVCSAPKTGCTWLKALCYAIVTRDHFNMENSPLLTNLPHVLIPSLEFDSPENHSSMKAQNIPLIATHIPFDALPKSVQSLDGTKMIYLCREPKDTFISLWHFMQRFTWLHQGNSDETTELLISFEEGFELFCEGKTMWGPYWDHVLGYWKQSLVKPESLLFLNYEELTKDTLFYVRKLAEFMGKPFSRDEEGEGLPERIVKLCSFDNLSNLEVIKNGKDWPISSLQIDNSAYFRKGKPGDWKNHLTENMIERMDHITKEKLRGSNFKFGVSNTTNS >Solyc02g085676.1.1 pep chromosome:SL3.0:2:49139560:49140652:-1 gene:Solyc02g085676.1 transcript:Solyc02g085676.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILKFKKYVLFSKNYVVILAGYVKDVQTRLAAEIIICTPSLNFNPVSTRKFCSIYYFTSSSEAVLFFSRNGLVLMGERYVCVEDV >Solyc12g049235.1.1 pep chromosome:SL3.0:12:61612793:61613839:1 gene:Solyc12g049235.1 transcript:Solyc12g049235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGDHLHVRCMAHVLNLIVQDGLKEIDVPTRWNSTYSMFDIAQHFELAFERYSFYDIGYLNHLLTFGSDSSENKDGTSVEDGTSVEDGTTANILSSGDWKNMMTNEDPNLKQMTESMNEKLKKYWGEPQKMNKMIFISSVLDPRNKLDYVPFAIVDMFGKEVGEKLCSEVKKYMNKLFEYYVKKSLKSSLHVPSFPTSSGNSSSISSVSGCGNFANRGRLRTKQQFEKHKEVSGSSSNKSELEKYLAEDIELDSDDFDILMWWES >Solyc12g006000.1.1.1 pep chromosome:SL3.0:12:616066:618252:1 gene:Solyc12g006000.1 transcript:Solyc12g006000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLKKAIGAVKDQTSISLAKVSSNTSSTLEVAVLKATTHDDVPIDDRYVQEVIKLVSSNKAYAAACARAIGKRIGRTRNWIVALKSLMLVLRIFQDGDPYFPREILHAMKRGAKILNLSSFRDDSNSSPWDFTAFVRTFALYLDERLDCFLTGKLQRRYNNRDRENSSIHNYRSTGSSRRRGDEPVRDMKPVMLLDKISYWQRLIERAIATRPTGAAKTNRLVQIALYAVVTESFDLYKDISDGLALVLDSFFHLPYQSCVNAFQTCVKAAKQFEEISVFYSVCKTIGVGRTSEYPSVQTISEELIETLQEFLKDQSSFPAHTQSKPHLLLPGTGGGSTRTTSSKRDSYGCQSDFSMTTGTTEPYSEKSANTCGDSRCTSLEDLISATETWKSPANISIDLEAYSDIQFEKQLHEKDESGSTHSLPVSNSMADLVSLPDLHEYDEDDEKKQEAQLEQHHKQNPSLDSISSAKGWELVLTEAIPSTSFNAFPEQPKPDHLSRNETRGVITPSASFNAFPEQGQVNVPTNEEEVSSSNGWELVLFENIPQAQSTQPVVPSTTNNNNVNSFSFATLDDLYNQNQTPFYPNNSQNSHFNHSTNNFLYDQTPAPQHYNPFLQDTSMELAMVPVTSAPAPYPTLTTNSSFSFPTSTDMFTSSAPAPTFQATPTFSAQNHTTGPMQGTNMDDPFATFSSSDQMFNGIKNEQNLMQEQQLWLQNQNKIIAKHRA >Solyc03g113405.1.1 pep chromosome:SL3.0:3:65041737:65046753:1 gene:Solyc03g113405.1 transcript:Solyc03g113405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGYRQVLTAIGNFCICSIAVGMIIEIIVMYPIQHRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKALIEVFAKGIDADTVVLMAARASRIENQDAIDTAIVGMLADPKEARAGIREIHFLPFNPTDKRTALTYLDGEGKMHRVSKGAPEQILNLAHNKSDIERRVHTVIDKFAERGLRSLGVAYQEVPEGRKESAGGPWQFIALLPLFDPPRHDSAETIRRALNLGVNVKMITGIMCFLKLLDSSIQCVEMFPANCMHIVLATHLMAMIPTALPLGLESVRENSVLGETRILKYGDQLAIGKETGRRLGMGTNMYPSSALLGQTKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVDDATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGGYLAMMTVIFFWAAYKTNFFPRIFGVSTLEKTATDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVFAFFVAQLVATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVTYIPLDLIKFLIRYALSGKAWDLVLEQRIAFTRKKDFGKELRELQWAHAQRTLHGLQVPDPKIFSETTNFNELNQLAEEAKRRAEIARLRELHTLKGHVESVVKLKGLDIETIQQSYTV >Solyc03g115600.3.1.1 pep chromosome:SL3.0:3:66737893:66740823:-1 gene:Solyc03g115600.3 transcript:Solyc03g115600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSMTSPPLPSLTPTYTSSRRKRNAESSNSGFVSLQQQHTPNVMHYCKDCEVYCSGDLCYELHLRGNKHKVKLQCRGCSSVSGKNKQAIRCDLCEIYCQDENLLKMHLKGQKHKAKQHGKKMKDEKRQLLWCELCQVPCMNEDNFISHRNGKKHRRQLCVLEELKKAEPRGLYHA >Solyc01g087290.3.1 pep chromosome:SL3.0:1:82096906:82104422:-1 gene:Solyc01g087290.3 transcript:Solyc01g087290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAAYAGLGGKKMKSAGHCLIASITPFHSVIRFGRFSSNLFYGSSSKQRTLCAYHQNSKLHSASLMAAERHPPPWFSVAPMMDWTDNHYRTLARLISKKAWLYTEMIAAETIVYQTGNLDRFLAYGPEQHPIVLQIGGNKLENLAKATQLATPYGYDEINLNCGCPSPKVAGHGCFGVRLMLDPKFVAEAMSVIAANTNVPVSVKCRIGVDDHDSYNELCDFIYEVSSHSPTRHFIIHSRKALLNGISPADNRKIPPLKYEYYYALLRDFPDLQFTINGGITSIEEVNAARIEGAHGVMLGRAAYGHPWQILGLVDSAIYGAPLRSITRRQVLEQYQVYGDSVLRIYGPKPTVREVVKPLLGLFHAEPRNVVWKRAVDAAFRHCTTIKSLFEETLGEIPDEVLDAPITEVPSGSTDTFIKAKSLLPPPYTVNEEELLYA >Solyc09g007800.3.1 pep chromosome:SL3.0:9:1320396:1324820:1 gene:Solyc09g007800.3 transcript:Solyc09g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFGRKKEKMGGGRKQIFLGLGLVMVMGLAVYLRLWTIDYNFSSNETELLRRQFDLASREAMDESAVWRKRYDDEEKISSACQKELIKIKQLLKEDGAAGIKKKMDLLQKENIDLLERLESLKQELESEKLKCSMKQI >Solyc06g035540.1.1.1 pep chromosome:SL3.0:6:24593908:24594066:-1 gene:Solyc06g035540.1 transcript:Solyc06g035540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIRFNNLLFIQTMNRIKYFVCIYLTTFDQSILDFDPPPYSHLITKVILPQ >Solyc11g006710.2.1 pep chromosome:SL3.0:11:1307248:1312033:-1 gene:Solyc11g006710.2 transcript:Solyc11g006710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYGSSFSNVKTYLRALSDTPHRLARRACSVSTTFEETSRVRARSGGDMKRSLRWYDLVGFGVGGMVGAGVFVTSGRASSMCAGPAVVLSYAIAGFCALLSAFCYTEFAVDMPVAGGSFSYIRITFGEFLAFLTGANLIIDYVLSNAAVARSFTGYLCTALGISTESRLRIIVNGLPKGFNEIDIVAVLVVLALTVIICYSTRESSLLNMVLTVLHLVFIVFVIVIGFTRGETKNFREAGDENHASGFFPFGASGVFNGAAMVYLSYIGYDAVSTMAEEVRNPVKDIPAGVSGSVILVTVLYCLMAASMSMLLPYDMIDPDAPFSGAFMESDGWRWVSNVIGVGASFGILTSLLVAMLGQARYMCVIGRSSVVPAWFAKVHPKTSTPVNASVFLGICTAAIALFTDLQILLNLVSIGTLFVFYMVANAVIYKRYVSIGVTNPWPTLSFLFCFSLTSILFTLLWQFAPPGKPKAFMLGACTAIAIAVLQLFHYMVPQAQKPEFWGVPLMPWIPSMSIFLNIFLLGSLDRPSYIRFGFFSALAVLVYVLYSVHASFDAEEDGTLGQKSIELVKESIEVQDHTLKV >Solyc11g010360.2.1 pep chromosome:SL3.0:11:3435479:3439117:-1 gene:Solyc11g010360.2 transcript:Solyc11g010360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPVEPVLTKKPSFNYHRPSYTSNTTNITGTIFGYRKGKVNFCIQTNPNSSTPLLLLELAVSTSTLAREMRGGLLRIALESSNNEGGSGNNENSSLLSMPVWTMYCNGKKVGFAVKKKPTKSDLQVLNQMESVVVGAGTIHGKEINRDDDIMYLRGKFERVHGSSDSESFHLIDPEGSMGQQQLSIFFLRSRSS >Solyc12g096770.1.1.1 pep chromosome:SL3.0:12:66528917:66530242:1 gene:Solyc12g096770.1 transcript:Solyc12g096770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEIISTKFIKPSSPTPNHLQTYKLSFFDQVSDETHLPLVFFYPPTNNINFSSHHEEQLEQSLSRILTHVYPISGRFNEDINSISCQDQGVKFIKAKMNSKLNEFLDKAHKDVNLSLLCWPQDSWNVDPSNLFTMPLVIIQITEFECGGLALSMSHMHMTMDGYSTFSFINEWSKVCRHKIPLEKIDFMSFDLANVFPTRDLSKLLLPRIPPVDRVECKLVARRLYINEDSISRLREKVSGDLCKFKPSRVEMIMAILWRAVIRASEKKHGYLRRSLMNIPINLRTRLISLPQVEKSFGNLGVDAPIKFIPEENKMELHEFVTLIHNAVKETITTCDKTSPEDIVSAVSNIYNESFLAQDWGGNDEVDRIISSSLCKFPIQEADFGWGKPCLMHFGSRHGQVCWLYDAECGNGICVQVDLKEDNMNLFECDNDIKDFFQF >Solyc11g065830.2.1 pep chromosome:SL3.0:11:51690785:51694757:-1 gene:Solyc11g065830.2 transcript:Solyc11g065830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALTSAVNLRLRPTPSQKPRISITQSLHFTQTSLKSTNLGKSLNLGGKRLNLEKSRRVIVKASASASASSSPAIVPQQQPPWQGAAMKPLIASIATGVILWFIPAPAGVTKNAWQLLAIFLATIVGIITQPLPLGAVALMGLGACVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVKLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNAGDGTEHKLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLTLSTINQTIGWMDWAKAAIVPGLVSLIVVPLLLYIIYPPTVKSSPDAPRLAKERLEKMGPMSKNEIIMAVTLLLTVGLWVFGGALKVDAVTAAILGLSVLLVTGVVTWKECLGEAVAWDTLTWFAALIAMAGYLNKYGLISWFSETVVKVVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYLGALVLSFLSNLMGGITHYGIGSAPVFYGANYVPLAKWWGYGFVCSVVNLLIWLGVGGIWWKAIGLW >Solyc12g036170.2.1 pep chromosome:SL3.0:12:45247711:45249455:-1 gene:Solyc12g036170.2 transcript:Solyc12g036170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTFIKPSIPSPTSELLHPFSKSRLRHCPTSPFGNNAGRRHQIERNRVKALPDWPLMAVLVEHMEGQRDLITHKSVWHLSDEAMKNVYTFYIMFTVWGCCFFGSTKDPYYDSEQYRGDGGDGTGHWVYEKQEDIEEEARAELWRDELIEEIEQKVGSLRELEEAGKKEQLVK >Solyc02g082910.3.1 pep chromosome:SL3.0:2:47096706:47101398:-1 gene:Solyc02g082910.3 transcript:Solyc02g082910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLVVCPANHVPLTPLSFLERAAFVYRKNIALIYGNISYSWEELHGRCINLASALSQLGVSSGDVVATVAPNVAAQYELHFGVPMAGAVLSALNTMLDATTLAQKLQQLEAKIIFVYSDFIELVLQALAILQEKDKSCLIVLIHEGNNVTKPPVSSSGPSKILDYQTLLEMGQPNFKIMHPKNEFDPISINFTSGSTGKPKGAVYSHRAAYLNTIADIFRYEMGKSPVFLWTVDMFRCNGWCLPWTIAALGGTNVCLSEINGKDILDAIYLHNVSHFCGAPMILTKIANAMGINQPLLPHKVNVTVAGVLPQPEILIKLEKLGFTINHAYGMSEALGPMISMPWKFQDEHFSKSNQDVDVKIREGVHNIMMEEVDVKDPESMKSVPADGKTTGEIMFRGNAMMMGYLKDSSRTEEAFEGGWYRTKDLGVKYANGNIKLKDRAVDVVKTDGKTISTLEIEGVLIRHPMVLEVAVVARCDDVLGETPCAFVKLKKGCFMTDEEIIKFCEDWLQDYMVPKAVIFGDLPFNSSGKIQKFILRDKVNNAMKLCLQVGCNSVGELPTPSS >Solyc06g054160.1.1 pep chromosome:SL3.0:6:37062798:37064605:-1 gene:Solyc06g054160.1 transcript:Solyc06g054160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLLSDSPFGVITCKAGNRICSSLTAENPLTSTRFCDLCCSEPGFCGDCCCILCGKLIVLDYDGYSYIRCEATVVDGHICGHVSHLECALRSYMAGTVKGSINLNAEYLCRYCDSRTDLVPHALKLLSICTSVASYADIKKILNLGIRILCHSQKSSAKELLDRIKPINAKLVKGVGIQDALKRENCGDSTEQLAADRWSRIRPPVLMTLVAGLLSYRRFPLLIAEVIKIVPIRLGWHVHLLCDSSCDHGR >Solyc11g056670.2.1 pep chromosome:SL3.0:11:47567194:47569979:-1 gene:Solyc11g056670.2 transcript:Solyc11g056670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFLLSFATTLTIIIYSLFKYFFAKPKEKMPLAPGTFGWPIIGETIQFLFSLYYGLVHEFVQERTKKYNSHVFKTSLLGQKVVVFSGPAANKFIFTRGNKLIIGWRPKSVQKLFPSTSFVPIEHDTKRAHNVISYFLNSQNVERLTSTMENMSHLHLKNHWKGKNEVMVYDQVKLFTFSLSIRAFMGIEDSDKILNLYEKFKIFTQGLLAVDINLPGTTFYKAMKAGNELRKQMKVIIKQRRVELFENPNLSKVDVLTQMINEQDEDGKYMTEVEIEDKVFGFIIGSYDTTATTITLTMKYLQQMPEFFNEIIQEQNEISRQMMPRKELCWNDIQKMRKTWSFVNEVLRNTPVVQGIFREAIEDFTYEDFYIPKGWKIYLSFGATQKNGEYFPNPTKFDPSRFEGNGLVPYTSVPFGGGHRMCPGKEFARILILVFLHHLLKNFRWEPKVPLEKILYPFFLLAIPTDGYPITLSSI >Solyc03g005960.3.1 pep chromosome:SL3.0:3:646199:656342:1 gene:Solyc03g005960.3 transcript:Solyc03g005960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFMYVCRIFISLLLILVHCEVTVEQATHGPLRNICTVTKHNTSRSELPPLAREDVVEMDFSNRRIEGWIPKNIGNLKYLTTLNLDGNKLRGPIPESFENLTRLTHISLCDNSLNGTIPHFLGYMSSLKKISLCKNMFDGIIPPNLKSLESLEILELSYNLLSGNISELGGIGTLTELNLADNQLSGELPDSLTSFVNLEELQLQNNEFVGGLPSSFYSLVNLKTFDVRGNNLSGKIPDFTEGWKNLLIMNLMGNNFSAPLPHKFSELKNLAQLYISDLVEEHSSPLVGEHSFPDLSKMKSLKTLTLRNCSLNTGIPDWIWTIPHLEYLDLSFNNLSGVIPDTVQESLKFIFLRKNKFTGRIPAWADHHPYVDLSENHFNLSLKNTKNHNFNQFVCCLNETEEDAKRNQVDLHCRNGAQLNDHLYINCGGVSASVYGNVYEADVQEEGGSTFFMSNNLNWGYSSMGTSLWAKRDRYTLNDVCEVHTGEAELYGTARLSSISLKYYGFCLVPGTYKVILHFADISKRKHRLSHGKTRRVFDIEIQGIKVEPNFNIEAEGEGQAVNEPITKEYSAEVHNNLLEIHLYWSGKGSILYPSDNYGPLISAITVTLDQSHLSLGVLVGISGSALLFLILFIVFSSMYQEKLRREESIEFYPGGLYNYRKIKAATNNFDDKNRLGEGGFGTVYKGTLSNGAAIAVKKLSTTEEGMNEFMEKSRRITGMKHPNLVTLMGCCAGKNKFLFIYEYIGTKSLQDALFGPEELRPPLDWPTRYRICLGVAEGLSFLHEGSKHKIIHGNIKAENILLDDDLNPKIYDFGFAKIYQKQKSEGTMSYLAPEVKNRALEANADVYSFGVVMLILFSRRRISTPGAGGGDREYLVDQAQIMNRKGHLLNLLEDIQNCDWVEADIVLRLAIQCIDTPPFRPTMSEVVEVLRKERSIETILNKSKGDCSAEEQH >Solyc08g076810.3.1 pep chromosome:SL3.0:8:60823556:60854252:1 gene:Solyc08g076810.3 transcript:Solyc08g076810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTSNFDSVSNTVCVMDASTRLGSSLVKRLLTNGYTVHAAIQNIHNGGHGEFLDACDMKRLKNFHLDPFDYHSIIDALKGCSGLFYSFEPPKDQSCTYDEYIGEVEVRAAHNVVEACAQTDTIEKVVFTSSATAIIWGHHENDQNSASMLLDERHWSDINVCRKFKLWHALSKTLAEKTAWALAMDRGVNMVSVNAGLLMGPDLTIKNPYLKGAAEMYEDGVFVTVDLDFLVEAHICVYEQISTYGRYLCFNHIINQTEDALKLANICFIQNLLYTWHLRNSPNGTPIDPRVSANGQLGLDPSIIKSFPTFTYSSVKNYRKESCGLECAICLVEFDNNSVLRLVTSCNHVYHQDCIDLWLESHKTCPVCRANLESPENYFLNFTPFRFRTARTADGATAHTLQVLTNFAIFVTDDGFSGEHIQSKQASQGRVCEQFNRNFNDGVIPSHYHSLEALSKKDDNVNAVVCKKSLGSYLAAATLDVFCIVFPVILCLTSFVDEVVVSCVQADLKFWELDLILDILDQMSNYSISDSGRLDIYQCSWDDLIAVFHVQCRSGFSVSQEEGVRSLRTNISAYRVAMNFLTCICILAVDFKIFPRRYAKTETYGTGLMDIGVGSFIVANALVSRQARGIAKTNLRNAISSTCPLIVLGFARIFFTSSVDYQQSSLTFLTILLCQNGNFRRVLNKHHSRLALNFLSEYCIPVLSKVHVGEYGVHWNFFFTLAGVAILTSIINIPPSYCGILGWFILVVYEVILLLGLNEYLLSNERGHDIISQNKEGIFSIFGYWGLYLVCVQLGNYLFFGKPGDAVLRTNDWARIRVWIICLLLWLLTILLDRHVERVSRRMCNLAYVTVVLAMNLQVFAVLTLADYVPGYKVAALIEYFDRNLLGSFLLANVLTGMVNLSMDTLSVSPFGALAILFGYAYILSIAAAVAHYYEQQYSIAASVPRPESSREKNNLSVAAQSGGGGGGRKKRRRRPKICKNKEEAENQRMTHIAVERNRRKQMNEHLSVLRSLMPESYVQRGDQASIVGGAIEFVKELEHILQSLEAQKFVLLQQQQEGGTSNDNDDCDGGKREVSKADYVGTPFAQFFSYPQYTCCELPNKYTSKSKAAIADIESLYISVLHLNVTTLDPLVLYSISVKVEEGCQLNSADDIAGAVHHMLRIIEEEAATL >Solyc07g054490.3.1 pep chromosome:SL3.0:7:62924952:62930239:-1 gene:Solyc07g054490.3 transcript:Solyc07g054490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:K4CFZ4] MAGRYNDNPFAEEDEVNPFSNNGSVPAASNSRPYPLPHEPAGYDRGATVDIPLDGSNDMKKKEKELQAKEAELKKREQELKRKEDAITRAGVVIEDKNWPPFFPIIHHDIANEIPIHLQKLQYVAFTTLLGLAACLVWNLVAVTLAWIRGEGPTIWLLAVIYLISGVPGAYVLWYRPLYRAMRTDSALKFGWFFLSYVFHIGFCIIAAVAPPIFFKGKSLTGILPAIDLLGWHALVGIFYFIGAGFFCLETLMSIWVIQQVYMYFRGSGKAAEMKKEAARSTMMAAL >Solyc05g042030.3.1 pep chromosome:SL3.0:5:55345500:55353236:1 gene:Solyc05g042030.3 transcript:Solyc05g042030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYSIKGTNKVVRVGDCVLMRPSDSDKPPYVAKVDKIEADHRNNVKVRVQWYYRPEESVGGRRQFHGAKELFLSDHYDFQSAHTIEGKCIVHSFKNYTKLENVGPEDYFCRFDYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPICMGMTIDEAKKLDPFLCSDCSSEDDAKRPLNSFHVEPKVELKRRKR >Solyc03g078247.1.1 pep chromosome:SL3.0:3:51676203:51686061:-1 gene:Solyc03g078247.1 transcript:Solyc03g078247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTNSSFSDEFTVLSPISFMKIKEVDVALPPSQPFAVGPQQQRSQPFDSRRKELLLQEKQNNKFFKPKASYSFTRDQKRKICKWFEQLKMPAGHASNLGKCVDTDHGKYRT >Solyc01g102685.1.1 pep chromosome:SL3.0:1:91299666:91301675:1 gene:Solyc01g102685.1 transcript:Solyc01g102685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHQQVFKGTLTAGEVVAIKRAQHGSMQGAFEFKTEIELLSRIHHKNVVSLVGFCYEQGEQMLVYEYIPKGTLRESLSVKPKFQLEWTRRLKIALDAARGLAYLHELADPPIIHRDVKSNNILLDDHLTAKVADFGLSKLLRDEDKGHVTTQVKGTLGYLDPEYYMSQQLTEKSDVYSFGVVLLELITARAPIERGKHIVRLVAETIYDSKDNSKLYQLIDPRIGPGSKLEGVDRLFTLGMRCVNESGAERPSMGEAVKEIESILGLASLSKYTEGDLTSSSYEDTTQVSLDDFYNDKAFDYSGKFPSGGMNTTY >Solyc11g017440.2.1 pep chromosome:SL3.0:11:8386502:8388221:-1 gene:Solyc11g017440.2 transcript:Solyc11g017440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPNCIKYAYIKPYPSSLLISLPFFYTIYFFLKKNMKIFTLFLIFILLIQVFANAATEQIEAGNEGALHKKIHPIKRIHCGYACARRCKKSSRKKVCMRACKTCCARCKCVPPGTYGNKEVCPCYARLRTHGNKPKCP >Solyc04g083150.2.1 pep chromosome:SL3.0:4:54864113:54867177:1 gene:Solyc04g083150.2 transcript:Solyc04g083150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSIYVVVAFFSFLISLLFYFIHFRWTPEKLHRKLPPGPRGIPIIGNLHILGTLPHRTLYNLSKTYGPIMFLKLGNIPTVIISSPETAELVLRTHDAAFASRPKLKAIHYMSDGTKGLAFAAYGPQWRNNRKFCTQELLTAEKIGYSAGMRKEEIGVLVDEVKGFGGELVNLGKKIGDLIGNMTYRMLFGDGNSERFDLENIVKEMVRLAGIFNVADYVPFLEPFDIQGLNKQLKEAGKRVQEVFDTIINEHEQDARNYTHKSKNKDLVDIMLSYQDNPNSSYSIDRATMKAILSDMIVGAIDTSHTWIEWVFAEIIKHPTVMNKLQDELTSIVGLDRMVEEDDLLKLEYLELVLKETFRLHPVAPLLVPRESIEDVVISECYIPKGSRVMINCWALGHDPNIWSDNVEEFIPERFIGKKKTDLRGHDFHLLPFGYGRRSCPGINLGLITVKLIVAQLVHCFNWDLPDGIFPVDLDMTEKFGLAAPRAQDLLVIPTYRLLC >Solyc02g080370.3.1 pep chromosome:SL3.0:2:45161417:45170901:1 gene:Solyc02g080370.3 transcript:Solyc02g080370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 homolog 3 [Source:UniProtKB/TrEMBL;Acc:Q402F0] MARLPLGSSPIDIAGPVTNWWDHVNESVQWQDGIFYSLCASYGLVSAVALIQLIRIDLRVPEYGWTTQKVFHLMNFVVNGVRAIVFGFHKHVFLLHYKVLTLAILDLPGLLFFSTFTLLVLFWAEIYHQARSLPTDKLRISYIAINDAIYFIQACIWVYLWINDNSTVEFIGKIFMAVVSVIAALGFLLYGGRLFLMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVVLSAFDSDASLDVLDHPVLNLIYYLLVEILPSALVLYILRKLPPKRVSAQYHPIS >Solyc02g089640.3.1 pep chromosome:SL3.0:2:52026447:52031934:-1 gene:Solyc02g089640.3 transcript:Solyc02g089640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSSVVVTMENTKNISLVEVNDLDSPAFRDKNKAANPKRFTKVLLLKAQRTLGCIPKTFASVKKRIALSDEEPKYRGKLYRFIRAFLAISVVALCIEIFAYFNKWELNLVNPWEVQSILQWTYMAWISFRADYIAPSLSKLTTFCIVLFLIQSIDRLVLCLGCFWMKFRKIKPIINEDASDLEDGSYFPMVLVQIPMCNEKEVFAQSIGAVCQLDWPKDRFLVQVLDDSDDEVLQQMIKNECVSWKEKGVNIIYRHRFIRTGYKAGNLKSAMTCDYVQDYEFVAIFDADFQPNPDFLKLTVPHFKGKPDVGLVQARWTFVNQDENLLTRLQNINLCFHFEVEQQVNGHYLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLYGWKFIYVNDVRALCELPESYEAYKKQQHRWHSGPMQLFRLCLPAILTSKISIWKKANMIFLFFLLRKLVLPFYSFTLFCIILPLTMFIPEAQLPAWVICYVPIVMSILNILPAPKSFPFLMPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKTGRSSESDLLAFAEEESKKMNEEKISRRLSESGLELYGKLKEHEQEIPKKKKANKIYRKELALAFLLLTAAARSLLSAQGIHFYYLLFQGLTFLIVGLDLIGEQVS >Solyc07g009490.3.1 pep chromosome:SL3.0:7:4598913:4606382:1 gene:Solyc07g009490.3 transcript:Solyc07g009490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFESNNWVWEGMYYYPRLFGGIMLTAALLGLSTSYFGGISVPTLLYPFPYLSIFYKKKHEKKRVRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIIATKGPPVLCMEERLALVSGLKWVDEVISSAPYAITEDFMNHLFNEYKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSASKSGDSPQDCSNSTPVGEADDIKNKFGAEKQAKGGQMSHFLPTSRRIVQFSNGKGPAPNSRVVYIDGAFDLFHAGHVEILKSARQHGDFLLVGIYPDQTVSELRGHQYPLMNLYERSLGVLACRYVDEVIIGAPWEVTQDMITTFNISLVVHGTVSESNFSTEGGQDPYAVPKSMGIFQVIESPKDITTSSVAQRIIANHEIYVKRNTKKAASEKKYYAERKYVSGD >Solyc06g008840.3.1 pep chromosome:SL3.0:6:2786006:2794103:1 gene:Solyc06g008840.3 transcript:Solyc06g008840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKNAYTLPFYDTFNIESPSNGVDNLDFPTMILDVPDCVENEVSHIANECEEEVVLDSDDERMHGTEVASVSKLRSSDDTRYKQQLGEWKLSPVPKQTYVGCLRRSKNLFKFLDSARSTSDFEKTDQQKQCSEINGRLSLQIDKSSVNAKPRVEEDWCQAGELTNEEIYKGNLETEGSTVYGVNGDKDFPLHSCKIELPKLNKTESQVSGELSEANALDFVDHYLSVYNEDALNEVKDRGVNKIVSPPFFSRVGSQKLASRMNVQNAVKNSGVFDWPERQSDSANGSFSRHRKILTYHRKNYGLKKQKVSCIQSSKEPMESRTDLNKAEPKFLPEAHMNVESNFLRKSDEQFDMGTFEQQVDYDGNRSDGLDTYDVGLDTQLAAEAMETLLHAPPLKSDLLLAPPIPKTSLVKERKYPEIAISREFNIGDSSPEPTLCSSTEVELSSVVHRTRHQASLNLRRLENPATNSIRESNFPKKRRKQHELEELNDNLFKVATVRGKVSKSSTNTSRKSRKVSMNNRGEICLSVAATLSQVKLENWVSKGKRTHKGVRRISNGSSNLYPLLMPADQGNDFKFPVLNHKAERKCQPVEFKSQNQSLQKTQSGLLSIQTASTNVLTKEMKSDVLLNGISDPSYCLNDHKKGKQHMRSLSRSPLSQELIRLGYAEQLPDFLPRGSRRRKGAGDICVLFSQGLDSKLIKQQKKILARLGFISTSNCSDATHFVTDSFVRTKNMLEAIACGKPIVTHLWLESCGRASCFVDEKSYILRDAKKEKELGFSMPVSLAHARKHPLLEGQRVIITPNAKPNRDTLLTLVKAVRGEVVDECNSKITSDDLLILSCEEDYKACIPYLEKGTLVYSSELLLNGIVIQKLEYNRHQLFTKFHDENCKE >Solyc11g008880.2.1 pep chromosome:SL3.0:11:3054440:3056811:-1 gene:Solyc11g008880.2 transcript:Solyc11g008880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRMERFVLLPFSVGCISESSVAIGHQQHKSSSLHQPNLIPTKMLEEEKEENLEGENLKNQLGLPKFQRLFKNFKNLSHLFVDKDQMEEEEEEMGMEIGLPTDVKHVTHIGIDGGEVNTSLILNSTKTNWDYVNLKSPNHDLPTQFSSNFSFPNMANHSPNHTSMATSS >Solyc06g082270.2.1.1 pep chromosome:SL3.0:6:48192892:48201727:-1 gene:Solyc06g082270.2 transcript:Solyc06g082270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPETSREPCPDRILDDVGGAFGMGAVGGSAFHFLKGIYNSPKGERLIGGTQAVRMNAPRIGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLQMRQGLGAASRSALFGGVLLALIEGAGIMLNKVMSAPQNFPPMDDPLPNVPGVPGYPPGQLPGQPMRQLPGQAPVSIDGMMTESSAPASSSSTSWFGGLFGGGKKEETAPNGGSKTQVLESFDAPNPPTFEYK >Solyc06g082330.1.1 pep chromosome:SL3.0:6:48231073:48232414:1 gene:Solyc06g082330.1 transcript:Solyc06g082330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITKICKRQKKHVMSIPKEVVMEILQRVPGKDLAEKLKMVCMQWCSIIYSGSFAYSHIKQIIKSSSFSQLEAVIIKEANDQQSITVSSLEWHNYCNQNRDEFEHWETKDLYTTKIVSAQPRPMLHLWQWQIMKTANSMNGFICFWSRHDACFHIFNPVSKEHVITPTYTHKGLQNGYVAMGFGFCPVTYEYKVVVLYDTNSNNIKPLVFTIGRDKSWRALKDIPHKNFANEVQAVVYLKGKLYWSGENVIGEEIVNTLICFDVSKEEFEIVVAPIEIPEGPLTVAENRGRLSDRIDDDLSNLNSWKIKFCVTLPSIAYRMDGLGDVYLVKITDEILLIQLDKKNWGLFHVTNGKLLGLIPLPYFVTAAIPYVPSLVALRHRPLLASSSYSP >Solyc03g082363.1.1 pep chromosome:SL3.0:3:53744696:53745205:-1 gene:Solyc03g082363.1 transcript:Solyc03g082363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGELGCTYAALLLFDDGIPITAANISVESYWPSLFAKLFEKRDIEDLILTVGTGGGPAEEMKEDSDEDLGLSLFD >Solyc06g019174.1.1 pep chromosome:SL3.0:6:18135180:18136746:1 gene:Solyc06g019174.1 transcript:Solyc06g019174.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPLHDNVLPLSVYVSETSLPSSLCDNDSSLAPLPPVHHMVTRTQTGSLKTKILFSFPVVKPTTIILLLFFIMTRDWNITQLDISIVFLYRHLDELHAKFFVRDLGTLSYFLGIAATLNNDILFLSECKYVEDLLNLQRWGTLVPHLYSTKRHLFCEQSIPIHEFSPGCSLDSCETSRTEAEYPALALATSEIIWVEFLLREIEDS >Solyc06g036670.2.1 pep chromosome:SL3.0:6:27234085:27237238:-1 gene:Solyc06g036670.2 transcript:Solyc06g036670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAKLISSEIISTLPNYPPKLWSYLVTFHIKGISFSQVEVELTIPHEDKLRQLKLKLLSKHQIPILKDVNGFSSSENSSALKEVRFADAQGRGIPQSIRAFARVLCSNSPQEINYLAMEAAENDGRVARRPLKDKSREIQAHQFLLSKITGLIDEYNASIKKTKLSSLCKVHFYLAAKGGQQPLDGSPATHAGRVALTISLNPPLYGIGICLQLLCNSERKMP >Solyc04g047690.3.1 pep chromosome:SL3.0:4:35515959:35536223:1 gene:Solyc04g047690.3 transcript:Solyc04g047690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMNQALEKMKMLVGMDVEDEEVAPQQESFMDDLNRNCTLSTKQRLYGFAICLTTGIACTLLSMLVFFNPIKFGITFSFGNLLALGSTAFLIGPKRQATMMLDPVRIYATAIYIASIIIALFCALYVRNKLLTLLSIILEFGALIWYSLSYVPFARSMVSKVMLACFDTEF >Solyc07g063145.1.1 pep chromosome:SL3.0:7:65844552:65846453:1 gene:Solyc07g063145.1 transcript:Solyc07g063145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSHSKLILKTQNSRSWLSVIFLLFHAHFGNEIKSIYQTDTHSFPKENNIAIDIHLPNPKNVTDKCDQLESQKTLNSPFQLSLYLQSITSTIILKFEAIRDGRGENVQELT >Solyc01g109590.3.1 pep chromosome:SL3.0:1:96404516:96408787:-1 gene:Solyc01g109590.3 transcript:Solyc01g109590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPSENHSSGNSLSSFFTSRTPILGLQLYIVIAATVIIMVVVLFLIFLLLRLNQSSKRRRSGGKKSAGLLPLVADVIRDSRTTDLNEIGKVNHLLKKENETIAILRKEDQEVIEIESDGLKGSSGSNESSTSRSDTSSAISGSTESTNIGWGRWYSLKELEMATKGFRAENVIGEGGYGVVFRGVLQDGSVVAVKKLLNNKGQAEKEFRVEVEAIGKVRHKNLAGLLGYCSEGVHRILVYEYIDNGNLEQWLHGDVGSVSPLTWEIRLRIAIGTARGLAYLHEGLEPKVVHRDVKSSNILLDRKWNPKVSDFGLAKLLGPEKSYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVMLMEIITGRSPVDYSRPPGEMNLVDWFKGMVSNRRGEELVDPLIEVHPPPRSLKRVLLVCLRCIDMDANKRPKMGQIVHMLEADEFPFRSEPRLVQEKDPLNPRSAGTNRLQLATKDGAGGDEQKPRGR >Solyc08g013845.1.1.1 pep chromosome:SL3.0:8:3281573:3282895:1 gene:Solyc08g013845.1 transcript:Solyc08g013845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKNTISVLMLPWLAHGHINPYLELAKKLANRNLHIYICSTPVCLSSIKKRVTQEYSQSIDLVDFHLPSLPNLPPHYHTTNGLPPHLMTTLKSTFEMSTPNFSKILQTLQPDLVIYDFNLPWAADCASSVNIPAVLFLTFGAAVIALGIHVCDRPEEMFPFTEFYLHEQEILSLKNTVPGWKFPFDEGLRRSQDIVLIKTCRGFEGKYIDYLSSLVFKKIVPVGTLVQESTNKDDSEDIMQWLDKKHRGSAVFVSFGSEYFLSKEEIHEVAQGLELSKVNFIWVIRFPQGERTKIRDALPEGFLERVGERGIIFEGWAPQATILQHTSIGGFVSHCGWSSFMESMKCGVPIIAMPMQADQPMNAKLVEYIGMGMEVVREERGKLQSEEIAKAIRKVVVEKGGEVMRKKAKELSEYMNVIGDEEIDGVVEELLALCKNK >Solyc04g077440.3.1 pep chromosome:SL3.0:4:62436844:62441796:-1 gene:Solyc04g077440.3 transcript:Solyc04g077440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLGLFGSLLVVVLGFVAVFCFFIGRNKSKNDGSATSTTTTTLYNGESRSKDGNEDVDIIIVGAGVAGAALAHTLGKEGRRVKVIERDLTEPDRIVGELLQPGGYLKLQELGLEDCVEKIDAQRVFGYALFKDGKSTRLSYPLEKFHSDVSGRSFHNGRFIQRMREKAAALPNVKLEQGTVTSLLEEKGIIRGVQYKTKSGEELKAYAPLTVVCDGCFSNLRRTLCDPKVEVPSCFVGLVLENCQLPHENHGHVILADPSPILFYPISSTEVRCLVDVPGQKVPSISNGEMAKYLKSVVAPQVPPEIKDAFIAAIDKGNIRTMPNRSMPAAPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLNDASTLCRYLESFYTLRKPVASTINTLAGALYKVFCASPDQARKEMREACFDYLSLGGVFSEGPVSLLSGLNPRPLSLVCHFFAVAIFGVGRLLLPFPSPKRIWIGARLISSASAIIFPIIKAEGVRQMFFPATVPAYYRKPS >Solyc02g090440.3.1 pep chromosome:SL3.0:2:52633725:52634231:1 gene:Solyc02g090440.3 transcript:Solyc02g090440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPQVETMDISSKSYPPIEDEQDKIVDQCCSCFYDCTNCLLDYLCCYDFWCS >Solyc12g009680.2.1 pep chromosome:SL3.0:12:2935876:2939107:-1 gene:Solyc12g009680.2 transcript:Solyc12g009680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKNIERNKREKYPLISYQELPEYMKDNEFILNYYRANWPLKQAFFSIFSWHNETLNVWTHLIGFILFVVLTIANAEHLSQIADFMTMFIRNFPTSGDANISHNFKGETRLIDQHLQMDITSTNEATWPFYVFLVGAMFCLLSSSTCHLFSCHTQKLNLFLVQMDYVGITIMIITSFFPPMYYIFQCSPHWQIVYLTGITVLGICTIITLLFPVFSTGKYRSFRAGLFMSMGCFGLFPAIHALVANWSDPIRNITLAYEAAMAFCYIIGAIFYVSRVPEKWRPGLFDLVGHSHQIFHTFVIFGALAHYGAARIFVEYRTRFGCHNR >Solyc11g065030.2.1 pep chromosome:SL3.0:11:50636640:50641445:1 gene:Solyc11g065030.2 transcript:Solyc11g065030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMIISAVTGGITDLSFHAIGYTWQIINCFLTASYSLTLRRVMDTAKQVTKSGNLDEFSMVLLNNTLSLPLGILLILLFNEMDYLSRTPLLQLPAFWLVTTFSGLLGLAISFTSMWFLHQTSATTYSLVGSLNKIPLSVAGIFLFHVSTSLENSASILFGLLAGVFFARAKMQDKSQTRS >Solyc12g056220.2.1 pep chromosome:SL3.0:12:63128257:63130530:-1 gene:Solyc12g056220.2 transcript:Solyc12g056220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmamembrane intrinsic protein 13 [Source:UniProtKB/TrEMBL;Acc:K4DFV3] MAENKEEDVNLGANKYRETQPLGTAAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFMATFLFLYITILTVMGLKRSDSLCSSVGVQGVAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIVMQCLGAICGAGVVKGFMVGPYERLGGGANVVNPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDEAWDDHWIFWVGPFIGAALAAVYHQIIIRAIPFKSSRS >Solyc06g064590.2.1 pep chromosome:SL3.0:6:40366613:40371723:1 gene:Solyc06g064590.2 transcript:Solyc06g064590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSGNYNYEDESFFEFKPNISQGIYDLSSAYNTNIEEKSGQKSISSNNSSSNSGGFLISFSSNQEEDIGAMISSENSCQESFLLGENNNNNNNNNNVMYKRSPQQAQDHVIAERKRREKMGDLFISLSKIVPGLKKLDKSSILGDTIEYMKELQEQVKLLEESKKNTSSSLEHNDSNKEQVLGSNKIKVRIMDKNVLINIHCNKQDGMLGRLLVQMEQLHLSVHDMRIMPFGPTNLEISLLAQMEDGCCINVEDIVKAIQINILDLVNN >Solyc10g048120.2.1 pep chromosome:SL3.0:10:44218711:44222140:1 gene:Solyc10g048120.2 transcript:Solyc10g048120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFLRITIANLAVMLPANRNLPSCVFLDCNIKLKGFPTQVSTINAFPKQDLVIENKIHASFNLNKTDIEKIIARGKTCCLKIEICATKSMGIGFGYYTGGRILGSVFVELDLKGFERKGSRGVVIKNGWVLVGVAKVHLNVKVQPDPRFIFQFDGEPECSPLVFQVNGNVKQPVFTCNFSFKNPGDWNSISRSSLSEGSTSIGCFNCWTTGNAIRRKERKGWLVTIHDLSGSPIAAASMVTPFVPSQGSNRVNGSNPGSWLILRPDQGTWKPWGRLQAWLENSGELGYCFEIIPDGANDTIKLVNSTINTKNGGKFNIDITNVSIPTMASANNSFDFSSVSGSWSDFGSSGYLGQILHRGFVMSSTVKGDGKCSKPEVIVGAQHVSCSEDAAVFVALAAALDLSMDACRPFSQTLRKELRQSDQV >Solyc05g042073.1.1 pep chromosome:SL3.0:5:55657083:55659785:-1 gene:Solyc05g042073.1 transcript:Solyc05g042073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVLVYIDDMLIVGDNLNLNEETKDALQKTFNMKDLGGLRYYLAMELARSKEGILMHQTKHTLEIISESGLGEVNPSMTPMEINVKLTTKEYGDHIFRGTNQAEHIAYQGSYQRLIETMRFGHRLFRVQATFEKG >Solyc05g012360.3.1 pep chromosome:SL3.0:5:5622649:5627312:1 gene:Solyc05g012360.3 transcript:Solyc05g012360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFSAGAGGATPPALTNLKWLNSRRLTIWAGCDFPEFLPKQVENIKDPYARKLASRIERIPVNFSKGCVMSSCVKPKEQKEANPVVLLHGFDSTCLEWRYTLPLLEEAGLETWAVDILGWGFSDLGRLPSCDVASKRDHLYQLWSTYIKRPMVLVGPSLGSAVAIDFSVHYPEAVDRLVLIDASVYAEGTGKLATLPKAVAYAGVYLLKSIPLRLCAISLAFNGLPLSTCIDWTNIGRLHCLLPWWEDATVNFMISGGYNVIDQIKHVKQKTLIIWGEDDQIIDYKLGVRLHCEIPSAKLRQIPQCGHIPHVQKPDVVSRLITEFVQSDQSQRTKPNTVSTISFPVITGTCKSGCLAYPN >Solyc01g011520.1.1 pep chromosome:SL3.0:1:9504985:9505920:-1 gene:Solyc01g011520.1 transcript:Solyc01g011520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQNFHLESLENLDDSFLRYAISIQSFKTYENYHEGLLNIKKSKIISNVALFKLGCVPTSARTGNVAALLFMKWIEMDIDFIDAPILRHFIVNAKKLVNVRYLEITATLNVSRPFAASLHKHILHSSLDLQRQICYTVENCEKLDIVSTFGWESETTFPIVVEDVVRTFIGAIFVDSIFEKDT >Solyc11g013360.2.1 pep chromosome:SL3.0:11:6347735:6350454:-1 gene:Solyc11g013360.2 transcript:Solyc11g013360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFHQQTNTPKEFIYLKEDSIQAQEELNEPIIDLIGDINSVSMLVKTACLNHGFFQVINHGVDSQLINMAHAHVNHFFKLPLEVKLKVQKQHGSLWGYSSAHAERFTSRLPWKETLSFNFHENCGSNKGGIVVDFFESSLGKEFEQMGLVFERYCKEMKRVGLTIMEILGISLGLEKCYYKEFFKDTSSIMRCNFYPTCQDPNLVFGTPPHCDFNSFTILHQDQVGGLEVFVDNKWKSIQPRHDALVINIGDTFTALSNGIYKSCLHRAIVKRQVERISLAFFLCPKEDKVIVPPQHLLVSNSRIYPDFT >Solyc02g094756.1.1 pep chromosome:SL3.0:2:37001409:37004678:1 gene:Solyc02g094756.1 transcript:Solyc02g094756.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQDIKDALHNNFSMKDLGDLRYFLGIEILRSKTGILLNQRKYALELIFDCGLSGSKPAATPLEPNKKLTSVDYDEFTGNISDPLFKDVTAYQRLVGRLLYLTTTRHDICFAVQVLSQFMQRPKISHWEAGMRLVRYIKGCPGQGILLSSEPSTQLEGFCDSDWASCPNTRRSVTGYTIKLGNSLISWKSKKQHTVSRSSAEAEYRSMAAAISEIIWLVGILKELNVNTETPKHFVLVHGACHGSWCWYKLKPLLEAAGHKVTALDMAASGIDLRKIEEIRTLVDYTAPLMEFMESLPHEEKVVLVGHSLGGMNLALAMEKYPKKIYAAVFLAALMPDSAHMSSYVLDQQFERTPTKNWCDTQFVSYGSPEEPLTSIILDPKLLAHRFYQLCSPEDVALASTLIRPSSQFIEDLSKAKYFTDEGYGSVNKVYIMLE >Solyc11g008480.2.1 pep chromosome:SL3.0:11:2664737:2666613:1 gene:Solyc11g008480.2 transcript:Solyc11g008480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHHYFRTRSTTSNQWWWSQNFVHDNRVRTSTKRIQIYMTHESSSDNQNDTIQPNQFSPLESVFRRRLLTGIATASIVALGANFTGITSSLLGLSPDGARSLKLDVIYPIGGYTRCLDTNEGFEFVYPSSWVGDQTILYRAAGRVERSLDPPSLSGNGDRRRRNVNEPVVAFGPPGSNGELNVSVIVSQVPIDFSIETFGGPKEVGEAIVKIITGSGKRPNVKGTLIQANLREDSNKKYYTLEFQVESPTFERHNVAVCCAKGGKLFTLNSQSPQSSWPMVKEDLYKIANSFSVTS >Solyc11g006115.1.1 pep chromosome:SL3.0:11:899444:904148:-1 gene:Solyc11g006115.1 transcript:Solyc11g006115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVEVVSDQVAVTLSHATVLDESQSMREKSRIEKLQARQGLRFRSNGMRQTVHSILCLLSIFQDEKASFYQKFFVNTMVMGDEKRTFYAFNQIAQSPPFICVEEGVTFREVMKGWSFSICKKIVQMTGP >Solyc05g016310.1.1.1 pep chromosome:SL3.0:5:15500178:15501758:1 gene:Solyc05g016310.1 transcript:Solyc05g016310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKLSILIFVLLSLFSFGFVVSREFGINLNTSASGIEFPQHPSFNVVSSSTNSGCNHVKSTSMLTREEQTSQDAQPKEAVKFHLRHRSAGKTREVKNSVFESTSRDLGRIQTLHTRIVDKKNQNSVSRLGEKTVISSQFELSGKLMATLESGVSHGSGEYFMDVFVGTPPKHFSLILDTGSDLNWIQCVPCYDCFEQNGPYYDPKDSSSFTNITCHDPMCHLVSSPDPPQPCKTENQTCPYYYWYGDSSNTTGDFALETFSVNLTTPSGNSEIKKVENVMFGCGHWNRGLFHGAAGLLGLGKGPLSFSSQLQSLYGHSFSYCLVNRNSNSGISSKLIFGEDKELLKHPNLNFTSLVGGKENPAETFYYVQIKSIIVGDQVLKIPEETWSMSPQGVGGTIIDSGTTLSYFVEPAYEMIKEAFVNKVKGYPLIQDFPILRPCYNVSGVENLELPSFGITFSDGAVWNFPVENYFIKLDPEDIVCLAILGTPNSAMSIIGNYQQQNFHILYDTKRSRLGYAPTNCADA >Solyc05g024290.3.1 pep chromosome:SL3.0:5:31017920:31028485:-1 gene:Solyc05g024290.3 transcript:Solyc05g024290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCFDSKEDEKLNPQKDRDDSDRKQPPPSNISRLSSGADRLKIRSSNGSKREFLGLKDAPDVQIAAHTFTFRELAAATNNFRPESFIGEGGFGRVYKGQLPSGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIASGAAKGLEHLHDKANPPVIYRDFKSSNILLKENFFPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTKPQGEQNLVAWARPLFNDRRKFAKLADPSLQGQFPMRGLYQALAVASMCIQEQAAGRPLIGDVVTALSYLANQSYDPGTVPGQIHRFGADSVDRRNKDDRVGRILRSEDGEGGGSGRKWDVDGGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWRDKRRQNGQGSFDGGNE >Solyc09g011280.1.1.1 pep chromosome:SL3.0:9:4613598:4614134:1 gene:Solyc09g011280.1 transcript:Solyc09g011280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSISLIFIILLSVDLFGSTLRVSATTRLVKNACNFCEVKDFCYNVLGENLEANRATTRYTLEDVTIKLAYSNYTNIQRKVITITENEVNPTLKQMYEQCLHDYVLLKSAFDFIVQTLVTNGDMGEAIEGARTHLFACMALFTQAPTSPNPFAQDNDNLAKFLELIRDIAYIPLI >Solyc04g051510.1.1.1 pep chromosome:SL3.0:4:50659799:50663422:1 gene:Solyc04g051510.1 transcript:Solyc04g051510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CURL3 description:Brassinosteroid LRR receptor kinase [Source:UniProtKB/Swiss-Prot;Acc:Q8GUQ5] MKAHKTVFNQHPLSLNKLFFVLLLIFFLPPASPAASVNGLYKDSQQLLSFKAALPPTPTLLQNWLSSTGPCSFTGVSCKNSRVSSIDLSNTFLSVDFSLVTSYLLPLSNLESLVLKNANLSGSLTSAAKSQCGVTLDSIDLAENTISGPISDISSFGVCSNLKSLNLSKNFLDPPGKEMLKAATFSLQVLDLSYNNISGFNLFPWVSSMGFVELEFFSLKGNKLAGSIPELDFKNLSYLDLSANNFSTVFPSFKDCSNLQHLDLSSNKFYGDIGSSLSSCGKLSFLNLTNNQFVGLVPKLPSESLQYLYLRGNDFQGVYPNQLADLCKTVVELDLSYNNFSGMVPESLGECSSLELVDISYNNFSGKLPVDTLSKLSNIKTMVLSFNKFVGGLPDSFSNLLKLETLDMSSNNLTGVIPSGICKDPMNNLKVLYLQNNLFKGPIPDSLSNCSQLVSLDLSFNYLTGSIPSSLGSLSKLKDLILWLNQLSGEIPQELMYLQALENLILDFNDLTGPIPASLSNCTKLNWISLSNNQLSGEIPASLGRLSNLAILKLGNNSISGNIPAELGNCQSLIWLDLNTNFLNGSIPPPLFKQSGNIAVALLTGKRYVYIKNDGSKECHGAGNLLEFGGIRQEQLDRISTRHPCNFTRVYRGITQPTFNHNGSMIFLDLSYNKLEGSIPKELGAMYYLSILNLGHNDLSGMIPQQLGGLKNVAILDLSYNRFNGTIPNSLTSLTLLGEIDLSNNNLSGMIPESAPFDTFPDYRFANNSLCGYPLPIPCSSGPKSDANQHQKSHRRQASLAGSVAMGLLFSLFCIFGLIIVAIETKKRRRKKEAALEAYMDGHSHSATANSAWKFTSAREALSINLAAFEKPLRKLTFADLLEATNGFHNDSLVGSGGFGDVYKAQLKDGSVVAIKKLIHVSGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERLLVYEYMKYGSLEDVLHDRKKIGIKLNWPARRKIAIGAARGLAFLHHNCIPHIIHRDMKSSNVLLDENLEARVSDFGMARLMSAMDTHLSVSTLAGTPGYVPPEYYQSFRCSTKGDVYSYGVVLLELLTGKQPTDSADFGDNNLVGWVKLHAKGKITDVFDRELLKEDASIEIELLQHLKVACACLDDRHWKRPTMIQVMAMFKEIQAGSGMDSTSTIGADDVNFSGVEGGIEMGINGSIKEGNELSKHL >Solyc10g050320.1.1 pep chromosome:SL3.0:10:48879071:48879519:-1 gene:Solyc10g050320.1 transcript:Solyc10g050320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLQNISAEATSITDDTISKRGQFLANLQDNKGNFTLIYDHSGLPFQDLIIDFINEAVNRLTNLPDFLYYLVKHALNLPEELPILFEDHAANER >Solyc06g034310.3.1 pep chromosome:SL3.0:10:8929966:8931370:-1 gene:Solyc06g034310.3 transcript:Solyc06g034310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKGEKQFRWSKPMKYLMLEILADEVKQGNKSTNQFKVISFNRVSNAINEQLGMDCSPKHVENHLKTLRSTWNIVQTLLNKSGLGRDDNLKMITASPRVYAMHIQAHPSHDKFINKKIDMFEEMSLVCGNDPARGDCAKSFEDIGLDCSSEKGNEDEIEGPPKAKEVQDVSETSQVKSSRKRNRPSDVQDVVGDISTKLGEVAAAISKIADSRLDVTRLYEEVMAIEGYGEEFLGDAFDYLVQSDTLAKGFMTKNQNLRKVWLERFKRLHK >Solyc01g065910.1.1 pep chromosome:SL3.0:1:72387801:72390636:1 gene:Solyc01g065910.1 transcript:Solyc01g065910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHSKLSSILLLAFVYFIHDHMISTITARRILQTPSFSTSTIPSFSTPTTPSFSNTPGLSKPSTSSFSNSPSLSKPESPSFSKPQTPSFSKPETPSFSKPETPSFSKPKTSSFSNPETSTFSKPQTPSFSKRETPSFSKPEAPSFSKPKTPSYSKPQTPSFSKPETPTFSKPETPSISKFETPSFSKPETSSFSKPVTPSFSKPEIPSFSKPKTPSFSKPETPSFPKPETSSFSKPETTSFTKTKSPSFSKPETPTFSKYETPIFSNPKNPSFSKPKTPSFSKTETPSFSKPETPSFSKHETPSFLKPETPSFSKTETPKFSKPETPTFSKPETPSFSKPETPSFSKPETPSFLKPKTLSSQNTEATFSKPDAPTFSKYDAPTFSKPETLTFSEPETPSFSKPETHSSQNFSKPETPTSLKTETPTLTKSETPSFLKPEIPSFSKPNIPNFLESETPTFSKPNTPSSQKFETPNSPKIEVPDFSKPETPIFPKSETPTFSNPKTLSSSKSETPTFPKPEIASSRKLETSSSPKPETPSSLKSESPSFSKPEMPSALKSETSSFSKPKTPIFSKSETPSSPKSETPTFSKSEIPNSPNLETPSTPKTMTPSFSKPTPSFSMIETPKFSKPDTPSFSKPEIPSSLKLEAPSSPSSETPSFSKSDTPSFSKPETPSSPMPETPNSPKPETHSFLKSKTPSFSKSETLSSLKPETPSSPKTDTPSFSKPEFSSYSKPETPGSSKPETPNLSKPETPSSLKPKTPTFSKTKTPSSSKSEAPSFSNPETPISFKPETPRFSKPETPSSPKPETPISSKFETPSSQNPKTPSSPKIENLKNETSSSPKSEIPSFPKFETISFSKPETSNSSKPEFPTTPKHETPSVSMPEIPSIPKRELPTTPKPELQTFTKPELPVVSNPDIPSVIKT >Solyc03g005533.1.1 pep chromosome:SL3.0:3:405782:409087:-1 gene:Solyc03g005533.1 transcript:Solyc03g005533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSEYKLDPGPLESNVLTGQLTHRSQDIWEGNVNMILNTRREDGNFWKLIEKYPIHPRVLEVIRLSGLYGVYKSNRPAIDRSLITALVERWRPETHTFHFRTGEATITLQDVEVLYGLPVNGDPVLGNEMIRTIEDWQNICQRLLGFVPSREDFKTNSIKVATFNSHMLSKPHLSNMATQDMVNQKARCFMLWMIAGMMMADTSGGYLKLMYLPMLEDVNKIGSYSWGSATLAYLYHFLCKASQSTQNEIAGFLPLLQIWAWERVTVLRPQIVAHRDARTICHVGLPRGPHATRWFAHLSWTNTTKHVLKVYRDALDSMIEDQFIWEPYSDDLIESLPLYCHAGRDIWRVRVPIFCWDVVEVHLPDRVMRQFGLQQAIPTPFPFDSNHFRHDRRGRPNTNWELEHAHCVVAAK >Solyc04g039810.1.1 pep chromosome:SL3.0:4:12540434:12540932:1 gene:Solyc04g039810.1 transcript:Solyc04g039810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRSNDICIELIIGSRKICNFCWALIFFLGSLGFLLVGTSSYLGINLPSFFPPQQVFFSQGIVMSFYEISVRIEVKEGMYARRVLYMDIRGQGSIPLTRTDENLTPLEIE >Solyc09g059750.1.1 pep chromosome:SL3.0:9:55599902:55604361:1 gene:Solyc09g059750.1 transcript:Solyc09g059750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTTSEDGGAIFDSRTSLISLVAEAYDSVMRGGILVLGNIRNPSIVYTPLVPSIIFALLERRERQCKKRYFVYSCWGHYNVNLHNVAVYGKFLHIDPTIFTNSKDGGAIFYSRTSLITLVAEAYDSVMSTISIICHILFP >Solyc03g080075.1.1 pep chromosome:SL3.0:3:53389820:53391610:1 gene:Solyc03g080075.1 transcript:Solyc03g080075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGFFYYGLKDTSATYATNFLNLVPIVTFIFSTVSRVEKLRWKTRLGKIKLLGAILCLAGALTITFYKGKVFYISHHHKHQNSSNYHEHKLRGTIFLMCSCMSYGCWFLIQSKVSKLFPYKYSATFIISIIATIQSAIIGVSMDRRKASWKLGFDMQLITIVYSGGLATAASLCLISWAVTKRGPTYPSMFNPLSLIFVAVAEAFFLGEEISIGRLLGMFLIIVGLYSFLRAKSKEFSQIGSPNVGAAEAPVPEIEATTPRSNMELPILARESARFQSTTIQVASPILKQDEDKEEEKQYI >Solyc04g025050.1.1.1 pep chromosome:SL3.0:4:26035727:26035897:1 gene:Solyc04g025050.1 transcript:Solyc04g025050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTRNLRVTFSKHRVGLFKKASKLCMLCGAEISIVVFSPNGKVFSFGHLSMDTLI >Solyc02g093150.3.1 pep chromosome:SL3.0:2:54715052:54718090:1 gene:Solyc02g093150.3 transcript:Solyc02g093150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP2 transcription factor SlAP2c [Source:UniProtKB/TrEMBL;Acc:F6KQN2] MWDLNESEEGCSSPIEFEGDDEKGKRVGSVSNSSSSAVAVDDISEEELDGERGKKKRGKIFGFSMVGLGNGDEEQPVTRQFFPVDESEMGGVAAENGCPNFPRAHWVGVKFYQTETLGNTGLARPVDMVQQQQQPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFSLEDYESDLKQMTSLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPSIYENELNSIESTDNVADHNLDLSLGGSSSKQGSQELGDNRGQNSSSIMQLDIDWQRHGLRPEKQSALIDARRRENRYNETETLQLLSQTHLHSPASLKHNNNNNSQVQRFGQFMRPGDQSHMIQMFPQQFGSSNYQIQFPSGSNGGRIGATNVRDLSLAATSNGSSQWQSNFPPQIFVAAAASSGFPQQIVRPQNWSSENGFHHSLMRPS >Solyc01g049912.1.1 pep chromosome:SL3.0:1:47058909:47060474:-1 gene:Solyc01g049912.1 transcript:Solyc01g049912.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWSTSEDQAGAISHIFSAPCQDLRPISGLTISVADIGYLCMSSFISVSVHELGHVLAAARCISHAALINETSGARKSEAHSGKWKLKGQESDPGSPATYFWCLADFVFLLRILSSRALTSVSEWQRVLWTNVGAGGKRGLWISISG >Solyc01g086990.3.1 pep chromosome:SL3.0:1:81789955:81798500:1 gene:Solyc01g086990.3 transcript:Solyc01g086990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPKELYPTEDDLPYEEEILRNPFSLKQWWRYLVARADAPFTKRRVLYERALQALPGSYKIWHAYLRERLELVRNLPINHSLYQALNNTFERALVTMHKMPKIWIMYLVSLTQQKLVTRTRRTFDRALCALPVTQHDRIWEHYLVFVSQRGIPIETSLRVYRRYLKYDPSHIEDLLEFLLNSELWQEAAERLAGVLNDDRFYSIKGKTKHRLWLELCDLLTQHATEISGLNVDAIIRGGIKKFTDEVGRLWTSLADYYIRRKLVEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMLALKMEEMSDSEVEDEGTNGEVGAEEDVDEEDDRLNVAKLEKKLKEFWLNDDKDIDLRLARLEHLMDRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTFTEAVRTIDPMKAVGKPHTLWVAFAKLYENHKDIANARVIFDKAVQVNYKTVDHLASVWCEWAEMELRHRNFKGALELMRRATAEPTVEVKRRVAADGNEPVQIKLHKSLRLWLLFVDLEESLGSLESTRVVYERILDLRIATPQIIINYAVLLEDHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKSKLERARELFEHAVEQTPADAVKPLYLQYAKLEEDYGLAKRAMRVYDQATKAVPANEKLSMYEIYIARAAEIFGVPRTREIYEQAIESGLPDKDVKVMCLKYAELEKSLGEIDRARALYKHSSQFADPRSDPDFWNKWHEFEVQHGNEDTFREMLRVKRSVSASYSQTHFILPEYLMQKDQMQTLEEAKDVLKKAGIADDEMAALERQLVPPENGTKSKEESRVVGFVSAGVVESNGQKVTANNEDIELPEESDSEEDDDKVEIALKEVPDAVFGGLIRKRDEGDEAEDNSTAKNKDSDGPLGALERIKRRKQAAS >Solyc08g066435.1.1 pep chromosome:SL3.0:8:55156433:55159277:1 gene:Solyc08g066435.1 transcript:Solyc08g066435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHNREETIVGTKKLAIDNGLQRTISDISFELSKEVAALVVCDRDDDDDIEKNVLPPISEVEDAKCECCGMSEECTLEYVKRVKEKYSGKLICGLCSEAVKEEMEKNGGVITP >Solyc08g006610.3.1 pep chromosome:SL3.0:8:1210400:1220206:1 gene:Solyc08g006610.3 transcript:Solyc08g006610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKRVCSGWRPFLLCLPLFFVFVHIMSVLELRQQSAAEVPQRKQYKKFDHLVFGPAAGEGLPDRLQCKGNKALTKTHIGASSNNLKAGGNISIVTVFATYNVDQQTNGKSLDSVTVGNISYNKVERSIAILNVFVNFIQETMPQSNIIILTNPSSKLPVERDRLTILPIQELPQFLLLVTECQVFLETRASEHSKLKGQVNHYIFTDSDIAVVDDLGQIFNDHPDFHVALTFRNNKEQPLNSGFIAVRGTPEGILRAKFFLEEVLKAYTSKFMKASRMLGDQLALAWVVKSHSSLDVRRFSRKQAFMDQISGASVLFLPCSIYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWSFLKSTSSSDIADMLCLILRSGRTKRMTRPSEVVGCKTSHRSRSKWSLSLKQQELQHCFLLF >Solyc01g010490.3.1 pep chromosome:SL3.0:1:5318432:5320344:-1 gene:Solyc01g010490.3 transcript:Solyc01g010490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLLLFLVAIPIIVIFLVKLRYTHNHPPSPPGLPLLGNLYQLNQESPHKYLWELSKKYGPLMFMKLGFSQLVVISSSRIAKEVLKTHDFAFSGRPSFLGQRKLSYNGLDVAFSPYNNYWREMKKICTLHLFSPKKVQSFRPIREDEVSRMINRVTQLASSSKLVNLSEIMSSLSSNISCIVGFGKRYDEKGYESKRFSKLLCEAQAMIGGFFLSDYFPIFGRWIDCIFTRKANRLEKIYNELDLFYQELIEEHLSPTRPKSMDGDIIDMLIALRDQNQSSSSLNLTWDHIKAVLMDIFIAGTDTNAAALVWAMTALMKEGGSARRKLQQGIRELVGKKGRVYEDDIQNLPYLRAVIKETLKLYPPAPLIPRETMEKCIIDGYEIKQNTPVSINAWAIGRDPECWENPDEFIPERFCDVNNNGIVDYKSGEFEMIPFGGGRRGCPGISLGVATVELALANLLYAFDWELPYGMVKEDIDIDTLPGMTMHKKNPLCLVAKKYLLQ >Solyc10g049380.1.1 pep chromosome:SL3.0:10:45470207:45471299:1 gene:Solyc10g049380.1 transcript:Solyc10g049380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D095] MALIVAATIVLCCQSQLILETPHVVVSHHGIGDFKTITGAILAAPTKSVRPQYIKIKKGTYREYNLVYKNKTNLVLIGEGMGITKIMGNRNFYTGNKTYETATVAVRGSGFTAQDITFRNDAGPAEYQAVGLRLEADLTSFYICHLDGYQDALYVKRNCQFYLDCEICGTIDFICSSAKALFKNCLIEAYIPLARQHNIIIAQKREFKKDKTGRVLQNCTIKATRDLENMDNVTTYLGLPWGKYSRAVVMESHIDHFITPKGWIKWTISVKKPIVHRHPYFLEYKNRGPGVVIRKRVTWASHTTNQRIASNFTVRKRIHGDKWIPTNIPYYLHFSYTI >Solyc09g014950.2.1 pep chromosome:SL3.0:9:7301926:7303583:1 gene:Solyc09g014950.2 transcript:Solyc09g014950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKKMINSPRKRIRKYHTRKTPIINSYTDMAEARREIVHALHLHRSSSFNNPNNYALLGQRVNSQQYYYSIVESMPIPQPTWSTTAPAIHKTPPPPSPLPPSSSGDVTEFDWWLGFLKSLDLKKNTSEVENILMENSKVISQLQDGLKKDATNNLIDEWLIIPTADDDDVLIEF >Solyc05g055280.2.1.1 pep chromosome:SL3.0:5:65831439:65831882:-1 gene:Solyc05g055280.2 transcript:Solyc05g055280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVSIPSGSLLPSIRSSAFTKSQVSLGSVKSISKAFGLKLSSSFKVSAMATYKVKLVDRDGKESEIEVADDEYILDKAEEAGVELPYSCRAGSCCTCAGQLVSGTVDQSEGAFLDDEQMEKGYLLTCISYPKSDCVIHTHKEEDVV >Solyc12g036450.2.1 pep chromosome:SL3.0:12:46777237:46779825:-1 gene:Solyc12g036450.2 transcript:Solyc12g036450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRQKIHKDKDAEPEFEEFVAQALFDMENTNQELKSELKDLYINSALQLDVSGNRKAVVIHVP >Solyc02g089290.3.1 pep chromosome:SL3.0:2:51793294:51799225:-1 gene:Solyc02g089290.3 transcript:Solyc02g089290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKADLVIIGICVGVAFGILIASLVFFGIRWYKRRVHLQHHPNERSLATLPIRTNGLGTSVDFSASLSNSVAIKTSGFPATKPLHSWWSHPSKDQFASASGLPRYFYKDIQKATQNFTTILGQGSFGPVYKATMPAGGVVAVKVLATDSKQGEKEFFTEVTLLGRLHHRNLVNLVGYCVDKGHRMLIYEFMSNGSLANLLYSEEYSLSWEDRVQIALDISHGIEYLHDGAVPPVIHRDLKTANILLDQSMRAKVADFGLSKEEVYDGRNSGLKGTYGYIDPMYISTSKFTTKSDIYSFGIILFELITAIHPHQNLMEYVNLAAMSSDGVDEILDKKLVGTCSVEQVRSLAAIAHKCIHRTPRKRPSMGEISHAILKIKQRRLVKEDTMSFTRYDSSRMARRIEHQQVELRNMASINEREVE >Solyc04g081120.1.1.1 pep chromosome:SL3.0:4:65247025:65248698:1 gene:Solyc04g081120.1 transcript:Solyc04g081120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSVIFCFIVWQLPLLLLLPCHDRNLAYAAAGGKWDLLMSNIGISAMHMQLLNDDRVVIYDRTDFGMSNISLPDGKCRNNNNDLALKVDCTAHSVEYDVSTNSVRPLMIQTNVWCSSGSATSDGSLVQTGGSNDGKFVIRVYRPCIIGKKSNCDWLEIENGLIQSRWYSTNHILPDGSQIIIGGRDAFNYEFFPKTASTNNVFNLPFLQQTNDPREENNLYPFVFLNVDGNLFIFTNNRAILFDYTTNMIVKTYPQIPGGDPRNYPSTGSAVLLPLKNLEAHTIQAEVLVCGGAPRGSYLKATRGEFIGALNTCGRITITDPNPQWTMETMPLPRTMGDMVILPNGNVLIVNGAAMGSAGWEIARGPVLSPVIYRPDNLPDSRFEVQNPNAIPRMYHSTAVLLRDGRVLVGGSNPNEFYNFTGVLFPTELSLEAFSPSYLDSESAHLRPQIISPVSRHKFKHGQRVDIQFSISGLVNKNSIKVTMIAPGFNTHSNTMNQRMLVLTNGVVKQVGKSSYHMNCLFPKSGSLAPPGYYLLFVVHQDIPSEGIWVRIF >Solyc08g007600.2.1 pep chromosome:SL3.0:8:2130632:2146052:-1 gene:Solyc08g007600.2 transcript:Solyc08g007600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLETYIVQVESPESHISTQSSRMDLENWYKSFLPNTIATAGLDEKPQLIYSYHNVIIGFAARLSAKQVKEMEKKPGFISAWPQRILSLHTTHTPSFLGLQQNVGLWRDANYGKGVIVGVLDTGISPDHPSFSDKGMPPPPAKWKGKCESNFTTKCNNKLIGARTFVNSDSPIDGNGHGTHTASTAAGGFVKGANVYGNAKGTAVGIAPLAHLAMYKVCDSFGCSDSDVLAAMDAAIDDGVDIMSLSLGGNRKLFYEDPIALGAYSATKRGILVSCSSGNEGPYESTLSNEAPWILTVGASSTDRKLKATVKLGNKKILEGESAFHPKGHNSTFFPLYDPSRNETDFDSLYCGPGTLSETEIKGKIVVCSAGGGYSRIDKGQAVKDAGGVGMIIFNTADDGFTKFSDPHVLPALHITYKDGMEILDYMNTTSEPNARIAFQGTIIGDKDVPVVASFSSRGPSIASPGILKPDIIGPGLNILAAWPSSVDNKKNTKSTFNIISGTSMSCPHLSGVAALLKSTHPTWSPAAIKSAIMTTANTVNFANDPILDERLLPANIFAIGAGHVNPSRANDPGLIYDTRFKDYLPYLCGLNYTNRQVGNLMQRKVDCRSVKHIREAQLNYPSFSITLGDISQTYARTVTNVGEAKSSYSVEIALPPRVSVSVKPSILKFSKLNQKLKYHVTFTRRDNSPNSGIVQGFLKWNSKKYSVRSPIAVVLEPKIGVRLVGFPSAIQSTLPRLLVTRCISESFSDPLGPNDFESLEGANSFLPHVVLASTILALIYPPSFTWFTNRYYAPALGFLMFAVGVNSSEKDFLEAFKKPAAIFAGYIGQFALKPLLGYLFGTVAMSVLGLPTSLAAGIMLTSCVSGAQLSNYATFLTDPQMAPLSIVMTALSTATAAFITPTLTLLLIGKKLPVDVKGMISNILQIVVAPVAGGLFLNRCLPQISNAIRPLLPPLSVFVTALAVGAPLAINIDSLMSPSGMSVLFLVIAFHLSAFILAYFLSGLAFHKAPDVKALQRTLSYETGMQSSLLALALANKFFQDPLVSVPPAISFGCFLPLSLPKGSIFVLSGCDHVINGLHTSDAVD >Solyc09g018130.2.1 pep chromosome:SL3.0:9:13159975:13163035:1 gene:Solyc09g018130.2 transcript:Solyc09g018130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVGRNYLGDQGTTSNSSFIKPITHGANPLMVNNNIPTSFMTWSSPDMILNVCGKDAHISVCCPKKLGLFTFICYVLGKHNIEIVSAQVSSDQSRTMFMIQAHFKDCEQGFLVKIIFNLFYVSLYIQAKGGIGIAKFSEAPTVEEIYKQVAIKIIIFSFKFHSVEDEWLLQIVSSSDKG >Solyc01g080783.1.1.1 pep chromosome:SL3.0:1:79895656:79896249:-1 gene:Solyc01g080783.1 transcript:Solyc01g080783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNYRRRIQIVRIQNQTSRQATFSKRRFGLFKKASELSTLCGANVGIVVYSPSNKVYSFGHPSVESIVERFLGEDPTASTNDPNPMIIAQQNANEDEINRKLNTLERELEKEKKRGQTLQALRTESSHEKLDFPGLKSLFEALEAAHEEVERVVSQLLEADEVFPYQTIGSALDPLIVRENTSSNFDEGSSQSSE >Solyc09g014280.1.1.1 pep chromosome:SL3.0:9:5773185:5774567:1 gene:Solyc09g014280.1 transcript:Solyc09g014280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSSLFVVSKCTIYPDHHTSLNNTLKLSVSDLPMLSCQYIQKGVLLTQSPFESSNSLISHLKTALSKTLSHFPPLAGRLITDSDGYVYILCNDAGIDFVHTKAPHLMISTLVPQNQSDIPIYFRKFFQFDKTLSYAGHHKPLMAIQVTELNDAVFIGCTMNHAVVDGTSFWNFFNTFAEFSKGEMKITRQPCFGRKTVFDSPAVLKFPEGGPSATFSGDEPLREKIFHFSREAILKLKLRANKTGVNGKTNGKITPVNDETGLKNGAAMKNSASEISSFQSLSAQLWRSVTRARKLEGNKTTTFRMAVNCRHRLEQRLEPLYFGNAIQSIPTIASVKELLSNDLYWSANQLHQNVVAHGDVTVRQGVKDWESNPRLFPLGNFDGAMITMGSSPRFPMYDNDFGWGRPVAVRSGMANKFDGKISAFPGREGNGTVDLEVVLAPETMVGLEEDMEFMQYVS >Solyc03g026310.3.1 pep chromosome:SL3.0:3:3768020:3772672:1 gene:Solyc03g026310.3 transcript:Solyc03g026310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPNTFWGLPLTPFPIQRRRNHRLVLLRSNGPSQFSISTAQPFRSQFVNCRKLKRSVVSSAYITGPAFDAFVSESDPKFEESDDSLVAVQPIEVIRWGFIWKLVSRHKLKLLASVFSLVICTTCSLSLPLLSGRFFEVLIGTRTDPLLELLSKVALLYTLEPIFTIIYVANITSIWEKVMSSLRAQIFRRVLIQKIDFFDRYKVGELTALLTSDLGSLKNIVSENTSRDRGFRALSEASYSH >Solyc12g042530.2.1 pep chromosome:SL3.0:12:58814663:58821397:1 gene:Solyc12g042530.2 transcript:Solyc12g042530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLISENGVFLPIPPLHAVRLHYLLGVILLLTSMVAHTVFPTNPITHPTPTLLMIWAFEGPGLMILFANFQQDKEQCSYFRAVVRGYVGLVVGAIVNALGAIILGAPVGFEYFTKTLNWSLLMSSFTFVPATCAFGSAWTHWRRVFASTKAFSFIDYMIRLPAYGAVIGAWLGACAMPLDWGRPWQEWPVCVSYGAMAGYLLGLIASSVCIIFHDRRQQHLKGE >Solyc08g061880.2.1 pep chromosome:SL3.0:8:49703754:49709346:-1 gene:Solyc08g061880.2 transcript:Solyc08g061880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVGASTSGSRKRFPLAAQQEIMRAAKKDDQYVSLVYAACRDAFCHGTRVIVAYQNEPACLALNNDHLIILHKKVAELFWILVSNFPCSLGMD >Solyc11g031950.1.1.1 pep chromosome:SL3.0:11:24211677:24212561:-1 gene:Solyc11g031950.1 transcript:Solyc11g031950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKNQSPINVKLILIGIILVFLLFLVLRSTYSPSPDQQTKSLVSKSSSSSQDEETDHQSSEACPSNTCNKISPSLANALVHYATSNVTPQQTLKEISVSLKVLEKKSPSNFLVFGLGHDSLMWTALNHGGRTVFLEEDKSWIEQIQSQLPNLESYHVIYDTRITQADELMEIGMSNEDCKKVTDPRLSKCQLALKGLPQQVLEIDWDLIMVDAPTGWHDGAPGRMSAIYTAGLIARNKQEGETHVFVHDVDRVVEDQFSKAFLCEGYLLQQEGRIRHFNIPSHKARLGRPFCP >Solyc02g064640.3.1 pep chromosome:SL3.0:2:36294152:36305406:-1 gene:Solyc02g064640.3 transcript:Solyc02g064640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIRSVRFKMVAIGKCSSIYRTSTEFDFPETSSGKLGLVKLPACNHGGKSFGFSISGSEVKTSFVRPLRAIEASRMSHVDGKAEKFQRAGSDSNEDLPTNDCNGFAGKGIPQMSTIGNSTNIVWHKCSVEKCDREELLQQRGCVIWVTGLSGSGKSTLACALGRGLHARGKLTYILDGDNVRHGLNRDLSFRAEDRAENIRRTGEVAKLFADAGVICIASLISPYRKERDACRALLAEGDFIEVFMDVPLHVCEARDPKGLYKLARAGKIKGFTGVDDPYEPPLTSEIVLRQNQGLCDSPNDLADIVISYLDKKGNMYDFSYELMETCSFCSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADHDNLSISKSELHDLLNKTSLSGIPLLVLGNKIDKPGALSKQALTDEMGLNSITDREVCCYMISCKNSTNIDSVIDWLVKHSKSKS >Solyc10g078960.2.1 pep chromosome:SL3.0:10:60727561:60729856:-1 gene:Solyc10g078960.2 transcript:Solyc10g078960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRAFRKKGTIALSTYLRIFKIGDYVDIKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQVRNKILRKRIHVRIEHVQQSRCTEEVRERIKKNDQLKADAKARGEVISTKRQPLGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Solyc12g007205.1.1 pep chromosome:SL3.0:12:1629681:1630390:1 gene:Solyc12g007205.1 transcript:Solyc12g007205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLARDLRLDTCRGSSSGALYQRVPTQDVSGGKQSCSPSSTSYEYVPVSSKGLNLSSSDSPRRAA >Solyc03g059275.1.1 pep chromosome:SL3.0:3:30662630:30666774:-1 gene:Solyc03g059275.1 transcript:Solyc03g059275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGLSSTNRDDFEEYLNQSLENLEIKDGNEDLLGWWSRRSDAFPTLSKMVHDILAIQASSVASEAAFSATSLFKELQIKFDTAYSGLPLKTPSKGKVYSTDLR >Solyc03g006905.1.1 pep chromosome:SL3.0:3:1459877:1470202:-1 gene:Solyc03g006905.1 transcript:Solyc03g006905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPDYDVKNAKYFGGRSPRPCLCIRFAITSCPTHLEAHHFLGDLDFDVKNAKFFRGRPSRPCLCIRLALTACLTHLEAHHFLGDPDSDVKNAKFFRGRSSRPCLCIRLAIHT >Solyc06g048395.1.1 pep chromosome:SL3.0:6:31070322:31077036:1 gene:Solyc06g048395.1 transcript:Solyc06g048395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILNVVLLPPVHIFLYYFLNVTVKSSILLYGLPDVLLYILLLRLQLNFIKTSEKPVKSSILLYGLPDVLLYILLLRLQLNFIKTSEKPGNQVVTYIYIFTSFPIYWLSHPHTLHLNLKYPIK >Solyc07g052130.2.1 pep chromosome:SL3.0:7:60794142:60794399:-1 gene:Solyc07g052130.2 transcript:Solyc07g052130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFGDEAEIIRRCANHHPSVWGDHFLAYANLLGANECEKKKHEDLKKEARK >Solyc06g071370.1.1.1 pep chromosome:SL3.0:6:44062064:44062534:-1 gene:Solyc06g071370.1 transcript:Solyc06g071370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSEFPHAYPQTPSIDTSETLFQRRSRCFCFPSFRSPNQLTKYVKFDWWHRLRSGAVKEGSVWAKGINALKKLREWSEIVAGPRWKTFIRRFHRNKSGNRNAKFQYDPLSYSLNFDEGRGNNGEEEEELVLRNFSTRYASIPASSNLGKDGPSFV >Solyc12g019420.2.1 pep chromosome:SL3.0:12:10234209:10235711:-1 gene:Solyc12g019420.2 transcript:Solyc12g019420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPPPPGKIYSKSITHDTGHGKSYRVPKKTRDTWEKFIKYEYGEDVHISTDNGSFIFAHSTLLRIASPIMGNVVQQSKVKNCIRCIKILRFPHDAVFIFIRFLYSTCYNGYLKFISPCLSYCNYGEREMKKFVLPLLVLSHSYLVPSLRRVCTRLFEQDRLNLDNIIDVLQLGRNCDASRLIVVCIRMIVRNFITISSSEGWEVMRRTNPTLEQELLQYVCDADLITQ >Solyc12g008640.2.1 pep chromosome:SL3.0:12:2016869:2029053:-1 gene:Solyc12g008640.2 transcript:Solyc12g008640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIEQPEFGSQVEEKKVSFNANELILDGGFMVPKTLSSQDEIFEVPDINAFGQSFRDYNVESERQKSVEEFYRVQHINQTYDYVKKMRKEYGKLNKIEMSIWDCCELLNDVVDDSDPDLDEPQIEHLLQTAEAIRKDYPNEDWLHLTGLIHDLGKVLLHPSFGGLPQWAVVGDTFPLGCAFDESIVHHKYFKENPDINNNIYNTKNGVYEEGCGLDKVVMSWGHDDYMYLIAKENKTTLPSAALFVIRYHSFYVVGLTHHGDIGVWLIGDVNSSRYNERLQHNADVVESEEAVVAADDGRCSKIGISMLKIGGHAVDAAVATALCLGVVNPMASGIGGGGFMVVRSSSTSEVLAIDMRETAPLAASQNMYDNNGDSKLNGALSMGVPGELAGLHAAWSKYGRLPWKTLFQPAIKLAREGFVVAPYLGNSIAKKAKLLLKDPGLRQVFAPEGKPLRAGEICHNVELSHSLELIAEQGPEAFYNGEVGEKLVEDVKRAGGILTMDDLRNYRVETPEAVTVNAMGYTIVGMPPPSSGTLGISLILKVVENYVSSNAAKGALGLHRMIEAMKHMLAVRMNLGDPDFVNISSTVSDMLSSSFAKEIQQKIFDNTTFPPEYYLPRWSQLRDHGTSHFCIVDSDRNAVSVTTTVNYPFGGGVLSPSTGIVLNNEMGDFSTPNEISPDKLPPAPANFIQPKKRPLSSMTPVIVLKDNQLAGVIGGSGGLKIIFAVLQVFINHFVLGMDPLAAVQSPRVYHELIPNVVLYENWTCIDGDHIELSDDKKHFLEERGHKLEARNGGAICQLIVQNLPNPPLQMGRRSGKEYKDGIFRGLLVAVSDPRKDGRPAAI >Solyc12g021220.1.1.1 pep chromosome:SL3.0:12:14831255:14831512:1 gene:Solyc12g021220.1 transcript:Solyc12g021220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEPPAGSTNIFYPKNPMQPDRPTSLSNEYHSDFETKELLCYQNIQYFEKISRYICKHTNKIMYINKRKGIKSPLKLSRICKKTP >Solyc06g064520.3.1 pep chromosome:SL3.0:6:40300028:40303329:1 gene:Solyc06g064520.3 transcript:Solyc06g064520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSIKIIMAYFQRLISCIFVLFLLSLAFACEARVLLDENNANDQGFVRVNGAHFELNGSPFLFNGFNSYWLMHVAAEPSERYKVSEVLREASSAGLSVCRTWAFSDGGDRALQISPGVYDERVFQGLDFVISEAKKYGIRLILSFVNNYNDFGGKAQYVQWARNAGAQINGDDDFYTNYITKNYYKNHIKKVVTRFNTITGMTYKDDSTIMAWELMNEPRNQADYSGNTLNAWVQEMASFVKSLDNKHLLEIGMEGFYGDSVPERKSINPGYQVGTDFISNHLIKEIDFATIHAYTDQWYIMLSGQSDDAQMIFMQKWMTSHWQDAKNILKKPLVLAEFGKSSRDPGYNQNIRDTFMSTIYRNIYSLAKDGGTMGGSLIWQLVAQGMENYEDGYCIELGKNPSTAGIITSQSHAMTALAHLVKI >Solyc03g095220.3.1 pep chromosome:SL3.0:3:57605524:57617423:-1 gene:Solyc03g095220.3 transcript:Solyc03g095220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQIIREWAGIQQFPAATQSKLLELLGKLKQENVSTLTILVMGKGGVGKSSTVNSIIGERAVAVSAFQSETPRPVMVSRSRAGFTLNIIDTPGLVEGGYVNDQALDLIKKFLLNKTIDVLLYVDRLDAYRVDNLDKQIVKAITDIFGKEMWCRGIVVLTHAQLSPPDGLTYEEFTSRRSEALLKIVRMGARIRKQDIQAASIPVVLVENSGRCNKNESDEKILPSGIAWIPNLVQTITDAVLSGSKGILVDQKLIEGPNPNNRGKVLIPFILAFQYFFVVKRIQRSIKNDIARETRPSWA >Solyc07g053820.3.1 pep chromosome:SL3.0:7:62366881:62373415:1 gene:Solyc07g053820.3 transcript:Solyc07g053820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAVISKNQSNTTSSDDPLLPWLWSIEKSLKERTSIDGRDLHELCSNCISTFKNNPRYRNDVRFLKIWFLYMDSSSNHESIYREIEQNKICLFNSLLYETYALFLEAKGRLIDAFLIYHLGISRNAEPLGRLKKAQVLFLERMSEKVTAGSLQKMDIVSENGGSCVNPWVISTIKNLLQKKNTEIIRYDGYHPSKKAYSGKVALSTLQKSARNKTIDIGGYSYQIKGCAGQGGFAQVFKACCDGNPDEVVALKIQKPAFPWEFYIYRQLDMRIPEKERLSFGYAHRLHLYSDYSILVSDFLANGTLQDAINSNVVLGGAMEEVLCIYYTIEMLCILEILHDTRIIHGDFKPDNLLIRYARDNLADDVENFRHRTGSWREQGLSLVDWGRGIDLSLFPDQTEFIGDSRTSGFRCIQMQEQKPWKYQVDAYGLCVIVHMMLHNSYMEIERRPSPHGGHSYQPKLPFKRYWKVELWKNLFTTLLNIDHTEDHKNMLKSLRESFQDYMYSNPQLIKKLRQLLAKQKTSLCSS >Solyc08g080050.3.1 pep chromosome:SL3.0:8:63510115:63514729:1 gene:Solyc08g080050.3 transcript:Solyc08g080050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKLGFSITSSKLFHAPFKRPIFSASSSPRPPLIPFGVSRKLSIRRKLLVLSPKAAADQPGQVKEEEEEDTKILQYCSLDRKQKKSMGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSADEQRFLEASMAYVSGNPILTDKEFDKLKLKLKMDGSDIVVEGPRCSLRSRKVYSDLYVDYLKMFLLNVPAAVVALGLFFFLDDLTGFEITYLLELPEPFSFIFVWFAALPFILWLSFTFTNFIVKDFLILKGPCPNCGTDNTSFFGTILSVSSGGKTNKVKCTNCATEMVYDSATRLITLPEGSSA >Solyc06g053530.3.1 pep chromosome:SL3.0:6:36412820:36422851:-1 gene:Solyc06g053530.3 transcript:Solyc06g053530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKYWVYKQKISLSLRLRKMMKCICSGEQLRIEDIIPSSESLATRDYSASGYSSRAGDDAKADTSNIEEAESSLRESGILNYEEARALLGRLEYQKGNIEAALHVFEGIDIAAVVPKIKLSIARRGEVPRRNSLSDAIPPMSMHAVSLLFEAILLKATSLQALGRFTEAAQSCAVILDTVESALPDGLPENFSTDCKLLETLNKAVELLPELWKLACAPQEAILSYRRALLYCWNLDVETRSKIEKEYAIFLLYSGTDAAPPNLRAQAEGSFIPRNNIEEAILLLLVLLRRYILNKIVWDPSILDHLSFALSIAGEFRALARQVEELLPGVVVRRQKYTILALCYYAEGDDMAALNLLRNLMNNRDNKNGIFELVLAAKICAEYPNLLEEGMGYSRKVLPKIEGKCNQMASVAKCLLGLLLSGRSRTIVSDSERTSRLCEALESLDSAHKMTGGRNPNVLFYLSLENAEQRKLDIALYYAKQLLKLEGGSTVKGWLLLARILSAQKRYIDAESIINAALDETGKWNQGELLRTKAKLQIAQGHLRDAVETYTHLLAVLQVQRKSFGVHKKLLKNTRDNSRSLEMETWHDLANVYTNLSQWRDAEVCLIKSEAINPHSASRCHSAGLLYQARGLYKEALQSFQKSLDIEPNHVPSLVSTAIVLRQLDGQSLPVMKSFLTDALRLDRTNPSAWYNLGLVYKSENGVSALEAAECFEAAELLQESAPVEPFR >Solyc03g083870.3.1 pep chromosome:SL3.0:3:55231492:55233259:1 gene:Solyc03g083870.3 transcript:Solyc03g083870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4BIG2] MAIKLSFFVLLISFSSFFFPILSINIFDSGINAWCNKTPYPDQCKYFMNHGSYHFAPKNKIDFKKMAVKIALERALQAETYTKGLGVKCRNELERAAWSDCVKLYESTILQLNKTLDSNTKCTDFDIQTWLSTAMTNLETCQTGFIELGVNSDYILPSLMSNNVSELICNTLALNNVDFVSNRKQTYKDGFPSWVSPGDRKLLQLSSISPNLVVAQDGTGNFLTIKAALDAAAKRSGNERFVIRVKRGVYRENLEIGNKMKNIMLVGDGMKYTIITGSRSVGGGSTTFNSGTVVVTGEGFIAQGITFRNTAGPENHQAVALRSGSDLSVFYRCGFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVVLQNCMIFARRPMDKQKITITAQGRTDPNQNTGISIHNSRVMAASDLRPVVGTFKTYLGRPWKQYSRTVIMKTYLDSLVDPAGWLEWDGDFALNTLYYGEYRNNGPGASTSSRVKWPGYRVITNSNEAARFSVENLIAGGSWLPATNVPFRAGL >Solyc01g008920.3.1 pep chromosome:SL3.0:1:2875874:2877839:1 gene:Solyc01g008920.3 transcript:Solyc01g008920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKISVSPFWNLPISTQKTQIFTQFPLLQHFTSSSRFNSSKLKSISYKSISLSAAVTEESQTSPPASSSPVSSSKLVLVVGGSGGVGQIVVASLLNRNVKLRLILRDPEKATTLFGEQDEEKLQVWKGDTRSPTGIDPSVFEVCSSILEPFYDFLGS >Solyc10g081880.2.1 pep chromosome:SL3.0:10:62943432:62946173:-1 gene:Solyc10g081880.2 transcript:Solyc10g081880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQWTALPTPFPFRSEESEPISIDPLSSQLLNLLSHPNWQKHPSLKNLIPSLSPSRLSSFLSQNPNLNPHIAFSFFDYLSRIPSFKPSVQSYAPLLRILISNKLFQVAEKTRLSMIKSCGTTEDVVFVMGFVREMNKCEDGFRFKLNGWGYNTLLMALSRFVMVDDMKCVYNEMLSDMIKPDVYTFNTMINGYCKLGNVVEAEVYLSKIFQAGLMPDTHTYTSFILGHCRRKDVNSAFKVFREMQIKGCQRNVVSYNNLIHGLCETRRINEAMKLFLEMADDGCSPNVRTYTILIDALCRLDRRVEALSLFDEMREKGCEPNVHTYTVLIDGLCKDSKLDEARELLNVMSEKGLVPSAVTYNALIDGYCKKGLVHVALSILDTMESKSCLPNVRTYNELISGFCRAKKVHKAMSLLDKMLERKLSPSNVTFNLLVHGQCKDGEIDSAFRLLRLMEENGLAPDEWSYGTLVDGLCERGRVEEANTIFSSLKEKGIKVNVAMYTALIDGHCNAEKFDFAFTLFKKMIKEGCSPNACTYNVLINGLCKQGKQLEAAQLLESMAESGVEPTIESYSILIEQLLKECAFDHADKVFSLMMSRGHKPDVCIYTSFLVAYHNEGKLKEAEDVMAKMAEAGIRPDLMTYTVMIDGYGRAGLLNRAFDMLKCMFDSGYEPSHYTYSVLIKHLSQGGLDLKIEASSINIADVWKVVKYETLLKLLNKMEEHGCPPNTNGFSSLAIGLCREGRLEEASRLLDHMQSCGMSASEDMYTSMVNCCCKLKMYEDATRFLDTMLTQGFLPRLESYKLLICGLYDNGNNDKAKAAFFRLLDCGYNNDEVAWKLLIDGLLKRGLVDRCSELLDIMEKNGSRLSSQTYTFLLEGLDRTDNK >Solyc01g101050.3.1 pep chromosome:SL3.0:1:90786801:90789593:1 gene:Solyc01g101050.3 transcript:Solyc01g101050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4B1D5] MKLSTLFILYFFTVSTLANASLKIGYYHSSCPNAESIVRKAVNKAVSRNPGLGAGIIRMHFHDCFVRGCDASILLDPTPGNPTEKEHPANNPSLRGYEVIDEAKIELESICPETVSCSDIIAFAARDSAFKLGGIRYSVPSGRRDGRVSIKDEPTANLPPSTLNAGELEENFAKKGLSLDEMVTLSGAHSIGRSHCSSFSDRLYSFNSTHPQDPSMDPKLAQQLIKRCPRPSTTDPIAPLDVDTPNRLDNKYYLNLKNKRGVLTSDQTLWNSPSTARMARSNAIHGANWAHKFADAMVKMGSIEVMTGIQGEIRKNCRVVN >Solyc05g015060.3.1 pep chromosome:SL3.0:5:9773755:9780228:1 gene:Solyc05g015060.3 transcript:Solyc05g015060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLSKMPSTTSVLSAYTTLTASAVLVRTLVSEVKNMTNQLIPKNLQDKILSKVGIFIGNISPQITLIIEENNGITPNEIFEASKLYLGTIVSSSAQRVKISKAEKEKKFAVNISKDEKIIDIFEGVELIWELKVVESQKTSCDDGYFSLDKVEKTWYELSFIKSYKEMVMKTYLPFVLERSKAIKEENKVIKLSPLGIYVEESVNLDHPSTFDTIAMDPEEKNKVIDDLERFVRRKDYYRRVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDVYDLELSSMRGNADFRRMLVSTNNRSIIVIEDIDCTIQLHNRDDGAQNFNDSESQVTLSGLLNFIDGLWSSCGDERIIVFTTNFKERLDPALIRPGRMDMHIHMSYCTPSGFKILASNYLGLEKHYKFREIEELITEVNVTPAEIAEELMKSDEADIALDGLIKFINKKKEDRKDKIIVENEVDEIIDEAKKTRKHKGKSRRGGRNKRKIY >Solyc04g014990.2.1 pep chromosome:SL3.0:4:5190962:5192373:1 gene:Solyc04g014990.2 transcript:Solyc04g014990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQTEVRNSDLKEDEVAIVMVPFPGQGHLNQLLQLACLITSSYDDLPVYYVGSATHNLQARVRANAHSQNHFHDIPTPDFTSPAPDPNASSKFPSHLEPSWDACMLLREPIASFSRDISSKSRRFVVVHDSLMSYNVQDVSSLHNAESYIFNCISVFTFYCIICLSVGMSVQLEEELLKKLPSLDGIMTDKIRDRGASQSPYLDIRSGDIHNTSKVIEGKFLDLLAQVASDQKKKNWAIGPLLPTKIDRISNKEDICLDWLNKQPPRSVLYVSFGTTTSFSDREIKELAMGLEQSKQRFIWVLRDADRGDIFTEEARRVELPEGFEERVKGVGLVVREWAPQPEILAHSSTGGFMSHCGWNSCIESITMGVPIAAWPMHSDQPMTGFLVTDVLKIGLIVREWEKREELVNASTIEDVVRKLMASEEGNVIRKRAEELGEAVRQSTEKGGASRIELDSFIAHITR >Solyc03g083395.1.1 pep chromosome:SL3.0:3:54669441:54670177:1 gene:Solyc03g083395.1 transcript:Solyc03g083395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSVASWKVFLSRKKLNHLFGYSLTLLFYWDSGTWKFMCVEVSFVKLRELSIRTGCKQGLTCFLRDSVDRNIGIHFPPYQRI >Solyc01g106000.3.1 pep chromosome:SL3.0:1:93887375:93889043:-1 gene:Solyc01g106000.3 transcript:Solyc01g106000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKTVDLLKNEIPIEEESVVITEDIKAGLVLVDIINGFCTVGAGNLAPREPNRQISEMIDESSRLARAFCDKKLPVLAFLDSHQPDKLEHPYPSHCITGTDESNLVPALRWVEEEPNVTIRRKDCYDGYIGSFQEDGSNVFVDWVKNNQVQLLLVVGVCTDICVLDFVCSTLSAKNRGFLNPLKDVVVYSQGCATFDFPLSMARYTKDISPHPQELMHHVGLYMAKGRGAKIAKEVSFNNLNKP >Solyc09g008350.3.1 pep chromosome:SL3.0:9:1817401:1822327:1 gene:Solyc09g008350.3 transcript:Solyc09g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILYDDVVLLNHSEREGEPSVITVNCPDKTGLGSDLCRIILFFGLTVVRVDVSTDGIWCYIVFWVVGRPDTRWNLLKKRLMGACPSCSSASGISYYRAELSPRPPEVFLLTFCCNDRRGLLHDVTAVLSELELTIKKVKVSTTPDGKVMDLFFITDTRELLHTKKRQEDTHYQLKSVLGDAMISCDIEMVGSEITECSQGPSFLPQEIAEDMFSFEILHEQLSTSLACNKAIITVDNLMSPGHTLIKIACQDHKGLLYDIMRTLKDYNIQISYGRFAAKTKTECELDLFIMQADGKKIVDHSKLNGLCSRLQMELCRPLRVSLMNRGPDAELLVANPVELSGKGRPIVFYDITLALKMLNIGIFSAEVGRYQIGDREWEIYRVLLDEGNGSGPSRNKIVEAVWNMLMGWE >Solyc03g063567.1.1 pep chromosome:SL3.0:3:37192703:37193459:-1 gene:Solyc03g063567.1 transcript:Solyc03g063567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDECSAHETSVGVNESKEPPKNKMRKLKSSIWRHFKKIGRDKERVEKAMCRGCKKPYRISSTPGPNGKNYGTSHLKRHLTKCKKKVYFREKEKLKQALVKIPNRVCLNSDCWTASTSEEYLCLTAQYIDDNWKVVSKILNFFRMIPPHTGVESAATIYDCFKEWGVDRKVFSIT >Solyc12g036860.2.1 pep chromosome:SL3.0:12:48502053:48503212:1 gene:Solyc12g036860.2 transcript:Solyc12g036860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHAFYELCNALRRNNLLSSSKNMSIQEQVLIFLEIVGFNERFRKIGSHFYRSIESIHRCFHTVLQAVLKLYPILIKPPDGSIKSEIRNNYRYYRWFANCVGVIDGTHVLAPVPIEQQSRFRGRKGTPTQNVLAAINFNLKFTYVLAGWEGSAHDSRILNDALERPHGFQIPQDKFYLGDAGFGLRKGFIPPYHGVCYHLQEYSDRPPQNEKELFNLRHASLRSTVERAFAILKRLFRVIYNEPFWDFKTQVDVVLASCILHNHIINLDPNDMIIQEVDVEPLVQPQNVQITQSSQGSQSYQTQRQRREVAREWSSKRDAIAHAMFTNYYRRRN >Solyc08g065760.3.1 pep chromosome:SL3.0:8:54135489:54142130:-1 gene:Solyc08g065760.3 transcript:Solyc08g065760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSKEMMKKIVKNIGGEKNLASGVKERLEKCVPNAKVVMGRAQRGLFAGRHIQFGNRVSEKGGNTSRRTWKPNVQEKRLFSYILDRQIRVKVTTHALRCIDKAGGIDEYLLKTPYHEMDTELGLFWKAKIEKLYEELGKMEVVFFTPEEETKLEEQFKEVKLEERAARREARRKMYGWSPKSEVKADSEGTDGEGSLPTDFHEGMVANA >Solyc07g061900.3.1 pep chromosome:SL3.0:7:64921127:64922415:1 gene:Solyc07g061900.3 transcript:Solyc07g061900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSSLSFFSSTIFFSNSNTLHSSKQQQQKQLIFKPKQQQFSIRSEAAAATIPILSFDGTEVGSTTLNLKSAPLDTARAVVHRGLTTDLRNQRRGTASTLTRAQVRGGGIKPYPQKKTGRARRGSNRTPLRPGGGVVFGPKPKDWSVKINKKEKRLAISTALSSATQNAIVVEEFDDKFEKPKTKEFIDLMRRWGLDPKEKSLFLMTEVSDNVILSSRNISTLKMLTPRTLNLFDILDSEKLVFTKSAVEFLNERYGNDDDDDDDWEVVDEEEEDGGEVEESAESSE >Solyc09g009830.3.1 pep chromosome:SL3.0:9:3324979:3330300:1 gene:Solyc09g009830.3 transcript:Solyc09g009830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVGGISVWVINICVVLLLNLFSTASGDALGVKFGYTGPVGPNGWGRLSPTYSACSNGKSQSPINIISHNCVVNKNLKPLIMQYNHSEVATLVDNGFNVGIKYGDNAGSLIVDGKTYNFKQMHWHSPSEHTIDGKQYAAELHLVHFAQDNTIAVLSILFHLGHPDPLMTKLQNKLNELARDVATHKETQVQLGVIDTHEIRKHSHKYYSYTGSLTTPPCTEIVSWYILGKVRSISREQVEELRAPLDPTCKRNARPTQPLNGRQIQMYEAQPTP >Solyc04g047760.2.1 pep chromosome:SL3.0:4:37449698:37449975:1 gene:Solyc04g047760.2 transcript:Solyc04g047760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKSRLYFSEEKFDIFYVNTTRRLSFEAVTFLLQFNSTKIFSVTNNFS >Solyc04g008870.3.1 pep chromosome:SL3.0:4:2492282:2494487:1 gene:Solyc04g008870.3 transcript:Solyc04g008870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVDKSRSSIWSREQDIEFENALATYPEDCVDRWEKIAADVQGKTLQEVKNHYEILLDDVSRIESGYVPLPWYNSTFDRSLCNDVGGERIGKKSGVSGRLNSESNDGGKSTKLEQDRRKGVAWTEDEHRLFLLGLEKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRTSIHDITSVNNGDVSVPRVPITGQTIGSSGKSNKLSPTAPIAPIGVGIYGATTIGQPVTGPMVNVVDQRQIQDLDIMGSSLGYYYDQP >Solyc02g085220.3.1 pep chromosome:SL3.0:2:48818081:48830819:-1 gene:Solyc02g085220.3 transcript:Solyc02g085220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTAYDTFQLLNNSPSKIDAIESYRSNLLIACSDGSLRVYVPESSVSDQSDFHSETLGLHQGPYVLERTLNGFSRRQMLAMEVLVSRELLLSLSESIALHRLPDLETLSVITKAKGANVYSWDDKRGLLCFGRQKRVCIYKHDGGSGFVEVKEFGVPDTVKSMSWCGENICLGIRREYKILNTTNGVLSEVFSSGRIAAPLVVALPPGELLLGKDNIGILVNQNGKLIQEGRICWSEAPAAVIIQKPYAIGLLGRHVEIRSLRVPYPLIQTVVLRNVRHLVQSNNTVIVALDYSVFGFFAVPLGAQIVQLTASGNFEEALALCKLLPPEDSSLRSSKEQSIHMRYAHFLFENGSYEEAMEHFLASQVEITYVLALYPSIIVPKSSCIPEPQKFADVADAPYLSRGSSGLSDDLDSPSSDVFESDEMDIESKKMSHNTLMALIKYLQKKRYSVIEKATTEGTEEVVSDAVGDNFISYGTDRSKKPTKGRIHIPITSIARDMAAILDTALLQALFLTGQSSAATNFLKVLNYCDVKICDAFLQERSQYACQVELYRCNSMHHEALKLLHQLVEESKSEQTPVELLTKFKPDMIIEYLKPLCATDPMLVLEFSLPVLESCPMQTIELFLSGNIPADLVNSYLKQHAPDMQATYLELMLAMNENSISGNLQNEMVQIYLSEVLDFHAEHNSQQKWDEKTCPPPRKKLLSALEGMSGYTPEVLLKRLPPDALYEERAILLGKMNKHELALSIYVHKDWLLSNALVLSRVFKLHAPELALSYCDRVYDSGLQQHSAKSYGNIYLTLLQIYLNPRKTTKKFEKKITNLVSAQSPRIPKVGLGTTGKVKGGRSKKIAEIGGAEDTRFSLSGTDSGRSDGDTEDAAEEGGSTIMLDQVLDLLSRRWDRIHGAQALKLLPRDTKLQNLLPFLGPLLRKSSEAYRNFSVIKSLRECENLQIPYPKCSEGTFDILPHVEPALRTVFEKKTGHSDAYNSLYVVIWVKDELYNQRKAVLKITSDSMCSLCNKRIGTSVFAVYPNGKTIVHFVCFRDSQNMKAVGRGSQLRKR >Solyc07g009430.1.1.1 pep chromosome:SL3.0:7:4484404:4485531:1 gene:Solyc07g009430.1 transcript:Solyc07g009430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLPCEVLVNILCRLSLKHVHQLQTVSKLWFKIISSPHFRRLYNVKSMNRPQARVVQVPDTKFSPSWEMISRTIIISAMDLNIDNGEIHEEFSFEDIMSPQHSFTISSNLIIFNHKVCNPTTKEIIDIPISSHPSISFDATYIPSNNTYKVVHLYGTKPGHDYNFNYGNTPVEFRFETLTLRDGGPIPSSWKALTHQEWFSSKIESTCVNGVVHWLLERGLRKERRIISMDIESENFLSSIGCPNNPYVEEAPILENVQLADLNGRLCLAYYSEELLRMDLYFVKDRTNQEWVKEHTINLSAGMGSWFKIVGYVQLQGNNGDIVIDGKQLILYNIEENRFRSLPRPKMNIHIGLYFDRCFKLESTMQQTDRFSI >Solyc02g083835.1.1.1 pep chromosome:SL3.0:2:47660672:47661223:-1 gene:Solyc02g083835.1 transcript:Solyc02g083835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIISTTSPRSFLQTPPPPVNIFSSGDNSLLSPSSVRFRQSGNFTTAAAAAATCASVETESTTSRYSTLPSDIIHASSASFYEILGIPIGATIQEIKAAYRRLARVCHPDVAAIDQKDTSADDFMKIHSAYSTLSDPDKRADYDRRLFRRRRNVNLYSGGCSPSAMSAFTGYTTRNWETDQCW >Solyc07g021040.1.1.1 pep chromosome:SL3.0:7:15729114:15729266:-1 gene:Solyc07g021040.1 transcript:Solyc07g021040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHKESCVQDTFELFNESTIKVTFFGNWTHRSDIPSVVEKYMNKELEFD >Solyc04g025230.1.1.1 pep chromosome:SL3.0:4:25167735:25168028:1 gene:Solyc04g025230.1 transcript:Solyc04g025230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNFNRKGIAYISNVAVQIIYRRKWIAKKLVMKAEAQAKSWGCRAIALHCDTSNPGATKLYIGQGFRIIKVPEGENWPQPRTSPNIEFVFLMKLLDV >Solyc11g020977.1.1.1 pep chromosome:SL3.0:11:13178710:13178775:1 gene:Solyc11g020977.1 transcript:Solyc11g020977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIQTQMQILINMQISMQMQN >Solyc09g056190.1.1.1 pep chromosome:SL3.0:9:47654704:47654943:1 gene:Solyc09g056190.1 transcript:Solyc09g056190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGIISFFYRSFSLFRNAYFGDIRLCFFYVRLAYISIVGLLSFRVTLRLLYVLILDSNHNRFYIIWYKISALHEILLL >Solyc01g101240.3.1 pep chromosome:SL3.0:1:90934541:90942699:1 gene:Solyc01g101240.3 transcript:Solyc01g101240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKHLCAALLLWAIACSALPASSGDLFRIGLKKHRLDVDSIKAARVAKLQDRYGKHVNGIEKKSSDSDIYKVPLKNYLDAQYYGEIGIGSPPQKFKVIFDTGSSNLWVPSSKCYFSIACWIHSKYQASKSSTYTRDGESCSIRYGTGSISGHFSMDNVQVGDLVVKDQVFIEATREPSITFIVAKFDGILGLGFQEISVGNTTPVWYNMVGQGLVKEPVFSFWFNRDANAKEGGELVFGGVDPKHFKGNHTCVPLTQKGYWQFNMGDFLIGNTSTGYCAGGCAAIVDSGTSLLAGPTTIVTQINHAIGAEGIVSMECKTIVSQYGEMIWDLLVSGIRPDQVCSQAGLCFLDGSQHVSSNIRTVVERETEGSSVGEAPLCTACEMAVVWMQNQLKQEQTKEKVLEYVNQLCEKIPSPMGESAIDCNRISSMPDITFTIKDTAFVLTPEQYILKTGEGVATICVSGFAALDVPPPRGPLWILGDVFMGPYHTVFDYGKSQEAGSRSHQEGENPQRDPLEQKPHQPMFQKVETQLC >Solyc12g035600.2.1.1 pep chromosome:SL3.0:12:42570775:42570939:1 gene:Solyc12g035600.2 transcript:Solyc12g035600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKARWPKLFVISPFHVLLLSVLISFVGGEELMNPLRLPSEHDNQEICALIIDG >Solyc04g054900.3.1 pep chromosome:SL3.0:4:53323896:53327820:1 gene:Solyc04g054900.3 transcript:Solyc04g054900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDNAVERCHKSRPVLRNITNQLGNKRPFSSLLGNSSLKCVDKGNENLGGKFVLVDDGDNAKRVCVGPRPCSKIKSSKVDVVSGISNIPNDNQDPSLLRVDSATAICQSTIGDKENNDACGSAIEIVNDREIIPKKNSAVINDMDGVVTGVPVPALSGTDDHDATRSCGINEDSEDTESEDMFPNGPDEQGLDNLVMSLSGSVDLARLPDSQESRVKLETCTGTQACEDNSGLSASIESIKACSCTFCTKAAYIWLDLHYQDTKGRISAIKKSQREASLLVERSGKGKELQKEGPEKNTEAANLESSLMGHWKSLFQHMEHIFQREADQLEGSLVTLTDLKDRCKTELDSSNGTSENC >Solyc09g009930.2.1 pep chromosome:SL3.0:9:3399433:3401307:-1 gene:Solyc09g009930.2 transcript:Solyc09g009930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVASFPAVDAEKELNPQTNKEFQVDSVSSQVASSLEKEAKEKLDKMKNEKDRKDAIQNFKTAMIISGVVVAVAGAIFAITKKLRERSN >Solyc11g065620.2.1 pep chromosome:SL3.0:11:51433911:51438753:1 gene:Solyc11g065620.2 transcript:Solyc11g065620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfite reductase [Source:UniProtKB/TrEMBL;Acc:H6WYS2] MTTSFGAAINIAAVDDPNPKLQIQRFNGLKSTSNSILLSRRIHRSFSHSNSTSIVRAVSTPAKPAAVEPKRSKVEIFKEQSNFIRYPLNEEILNDAPNINEAATQLIKFHGSYMQYNRDERGSRSYSFMLRTKNPGGEVPNKLYLVMDDLADQFGIGTLRLTTRQTFQLHGVLKKDLKTVMSTIIHNMGSTLGACGDLNRNVLAPAAPFAKKDYVFAKQTADNIAALLTPQSGFYYDVWVDGEKFMSVEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPSDNSVDIFTNDIGVVVVSDEDGEPQGFNIYVGGGMGRTHRMETTFPRLAEPLGYVPKADILYAVKAIVVTQRENGRRDDRRYSRLKYLLSSWGIEKFRSVTEQYYGKKFEPCRELPQWEFKSYLGWHEQGDGSLFCGLHVDNGRVKGEMKKALREVIEKYNLNVRLTPNQNIILSNIRQSWKRSITTVLAQGGLLQPRFVDPLNLTAMACPAFPLCPLAITEAERGIPDILKRVRAMFDKVGLRFYESVVIRVTGCPNGCARPYMAELGLVGDGPNSYQIWLGGTPNQTVLARTFKDKVKVQDLEKVLEPLFFHWKRKRQSKESFGEFSNRLGFEKLGDLVEKWDGIPESSSRYNLKLFADKETYQAMDALARIQNKNAHQLAIDVIRNYVASQQNGKSMD >Solyc05g005333.1.1 pep chromosome:SL3.0:5:269372:271999:-1 gene:Solyc05g005333.1 transcript:Solyc05g005333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYPQYHKQHIYHITKYVECLSDQDNIQLGYDQVRFDEVDSRPKRYEPRRNIPTISQNRGNIKLGYDQVRVDEVDSRPKRYDPRRNISTISQSMWNVYQTETTFNFGMIKCELMKLIVGPKRYELQRNISTISQSMWNVYQSETTFNLGMIKCEFMKLIVDERDMSHDGETRKNTIVFDRHVNKYSCPNLFFQQHIYHITKYVECLSDQDNIQLGYGQVRVDEVDSRPKRYEPRQWN >Solyc08g079090.3.1 pep chromosome:SL3.0:8:62856357:62860553:1 gene:Solyc08g079090.3 transcript:Solyc08g079090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTWSCLITLICIFLFMGLSYAGDPFKFFNFEVSYIDASPLGVSEQVIAINGKFPGPTLNVTTNDNVVVNVRNKLDEELLLHWSGVQQKRSTWQDGLPGTNCPIPPKWNWTYQFQVKDQIGSFFYFPSLHFQRASGGFGGITINNREEIPIPFDFPYGDITIMIGDWYTRNHTSLRSTLLAGKDLGKPDGVLINGKGPYRYNDTIVPDGIDYETITVHPGKTYRIRVSNVGISTSLNFRIQNHNLLLAEAEGSYTVQQNYTSLDIHVGQSYSFLVSMDQNASSDYYIVASARFVNQSQWQRVTGVGILKYTNSKGKAHGPLPEPPQDEFDKTFSMNQARSIRWNVTASGARPNPQGSFRYGSINVTEIYVVQNTPPKVVDGKRRTTLNGISFVNPKTPIRLADQYKLKGVYKLDFPTAPLTGPPKMETSIINGTYRGFMEVIFQNNDTKMHTYHMSGYAFFVVGMDFGVWSNNSRGTYNKWDGIARTTTQPLVCDAYNPLFDIAISLRYLFTTLILLQNQAKLKTQFACGLPLNVFPGAWTAILVSLDNVGVWNLRTENLDSWFLGQETYIRVVNSEPTNKTELPMPDNALFCGQLGKLQKPQDISYATSNESKFSFMIIMLVSAILVVFQ >Solyc01g017567.1.1 pep chromosome:SL3.0:1:24048205:24049177:1 gene:Solyc01g017567.1 transcript:Solyc01g017567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSVIEKEETEEGISAETDFTSGLLRGFLHEGKLRDNRFNPFESLVIIYGYADMNMAFDGDIVAVEHLPQEQWHEE >Solyc01g106257.1.1 pep chromosome:SL3.0:1:94104986:94106883:-1 gene:Solyc01g106257.1 transcript:Solyc01g106257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFVKIFSPENSGKRLKIPTSFTYYKNRKLPMKINLRDRFGNMWPVGVNKIGGNLYFQYGWEKFIEDNSVEVGDFLVFDYDGNKMFDFKLLGRTKCEKNGVGGLKAEEMIVEHQKSRESKEKNWASDSYIFKSGRATQPKNPYFVAKIRSKRRDQLYVPVDVVKDYKLELPSSMTIRDSIGREFVTKLNKWKDGRIWLVGGWRSLCRWNLVEKNDHCICEFVRGKRNKDLHLRVQVLREGESSVTL >Solyc01g056375.1.1.1 pep chromosome:SL3.0:1:53873079:53873195:-1 gene:Solyc01g056375.1 transcript:Solyc01g056375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KVATSGSSNWRIEATPSSSNGGSHLPTPLFSLLQEKNR >Solyc11g042855.1.1 pep chromosome:SL3.0:11:34336398:34343987:-1 gene:Solyc11g042855.1 transcript:Solyc11g042855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDGSPIILNGADSLGIIGGQEMIFELTGWLPDTSYFSGVSRLLTYKLIDYVEGLDGINDHSTEHEVQQRFRLYLLWLYGGSIFPDKSNNKINVDILIDMRNLDLMSTQAWGSAALSYLYNCLCRASMKKSNEVCGFLSLVQIWTWERIIPLQPLPKPLGPINLRLQLRLHVNGRDVKIIKMRHELVTLFSPPHFSTTALLHRLHRTSPPSTSALLYSGTSPYNSVNFSYSPNSKWDMCSKFRGENQEAWIVQAIHYFDFYKIEEDQKLNVVSLYLDGEALQWYPVKRQSRTGNLRDRVPKSL >Solyc05g023640.1.1.1 pep chromosome:SL3.0:5:28495366:28495590:1 gene:Solyc05g023640.1 transcript:Solyc05g023640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGEIISRFPSSPFLDYALFINPLEFKFTELCKELKGDSWVVVFVFTRRPFLGWSGRYYRRPTRLVSQAELEG >Solyc03g120930.1.1.1 pep chromosome:SL3.0:3:70647507:70647974:1 gene:Solyc03g120930.1 transcript:Solyc03g120930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHAHQFSSSSSSSASSSTPESVCARAPSSEYEFSCSNSPAYPAFHLPFHFNKRGKHHAHLFPCAHAPATADDDVVVMNAAMMKALEMIQSTNASPANNLPGFGRTPTVRQLRITDSPFPLKDVEEDSQVDEAAEKFISKFYRDLMRQSSPGGA >Solyc01g009160.2.1.1 pep chromosome:SL3.0:1:3106221:3107370:-1 gene:Solyc01g009160.2 transcript:Solyc01g009160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPMDLPSSSSNPPSSNNSGEVVSPKKPSFPSPAKPIQPPAGTYVVQVPKDQIYKYPPPENSRRYESLTKRKPRRSCCCRCLCFTFSVLLFLIISIGITAGVLFLVYRPEAPKYTISSIAIKNFNVTSSSKISPEFDISVRSENPNNKLGIYYRKGSSVTVVYSGVDLSAGVLPAFYQPVNNVTVFQTALKGSNVILGNAVKSTLRNEQRKGKVPFRVNIKAPVKIKIGAVKTWEVIVKVKCDLTVDVLTVKSKLVSKDCDYSVRLW >Solyc02g067975.1.1 pep chromosome:SL3.0:2:38607765:38608431:-1 gene:Solyc02g067975.1 transcript:Solyc02g067975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVSKEVDESRLLVCSYHDTFLKVAFPRSLSEQMDTGSIWIPMQRLYIWNCMTALQGKPWKN >Solyc11g056680.1.1.1 pep chromosome:SL3.0:11:47650813:47651907:1 gene:Solyc11g056680.1 transcript:Solyc11g056680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFMYIAILLAAIISTVHSCPPSDRAALLSFRAALNESHLGIFNSWKGNDCCHEWYGVSCDPTTHRIADINLRGESEDPLFEKAHRTGYMTGTISPSICKLERLSSLTIADWKGITGSIPSCITSLPFLRIIDLVGNKLTGSIPSTIGRLSRLTVLNVAENQLTGYIPRSLTNLSSLMHLDLRNNGIYGNIPTNFGKLRMLSRALLSRNKLTGPIPYSISYIYRLSDLDLSLNKLSGTIPPSLGKMHVLATLNLDGNHISGTIPPSLMNSRINILNLSKNAMEGYIPDSFDEKSYFMVMDLSYNKLKGSIPKSISSATFIGHLDVSHNHLCGQIPEGSPFDHLEASSFTYNDCLCGKPLKPCR >Solyc06g062420.3.1 pep chromosome:SL3.0:6:39489350:39490001:-1 gene:Solyc06g062420.3 transcript:Solyc06g062420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALLSNNSIKSIVITLMIYAIFLAPLLLWSCDASRELASNKFSPRVACLHCVECCEPTPPGTCCTRCGC >Solyc02g020930.1.1.1 pep chromosome:SL3.0:2:20837157:20837504:1 gene:Solyc02g020930.1 transcript:Solyc02g020930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKSWCTPEYYAWFMMGGILARPTYEGTLGFTDTQRLDKIGSELLNLMHITTTMYHQLTPRSIDHVIQVVDDETNEEMEEYPKDDPREPIDEMEEDPEEYSEHDPTCFTLEMEE >Solyc08g006550.3.1 pep chromosome:SL3.0:8:1162789:1165797:1 gene:Solyc08g006550.3 transcript:Solyc08g006550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFRTEMENKAENENGPSSESSIWSRSSSDESKEKDSSTSSPSSPLGWPIRRAEKDDVNSKELEMMKERFSKLLLGEDMSGSGKGVCTSLAISNAITNLCATIFGQLWRLEPLPLEKKSMWRREMEWLVAVSDHIVELKPSWQTFHDGSKLEVMTSRPRADLFINLPALRKLDNMLIEILDSFTNTEFWYVDQGIIAAEDDGSASFRKAIQRQKDKWWLPVPRVPHGGLLEDTRKQLNHKRECASQILKAAMSINSITLAEMEVPESYLDALPKNGRACLGDVIYRYITSEHFSSECLLDCLDLSTECVALEIANRVEASIYVWRRKHHPRPPTNPNRSTAKSSWEMVKDLVVDGDKRESLAERAENLLLCLKQRFPGLTQTTLDTSKIQYNKDVGKCILESYSRVLESLAFNIVARIDDLLHVDALTRNSSVPIGNVINHKKVSIPYSGTPYRTPNFSPVPLISPVRGDRTPFLNANSNKLTGRGFGVKRVLSNYLGCEAKTKNSGNAISNKSSDHIIRSPELCQAGKGLRSIDR >Solyc01g067550.3.1 pep chromosome:SL3.0:1:76112894:76119659:-1 gene:Solyc01g067550.3 transcript:Solyc01g067550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYSGTQAMEGEPVDPVSEWAVPGGETGLEEPMWQLSLGTGPEPYPERPNEPDCIYYLRTGFCGYGVRCRFNHPRDRTSVLGAMRATGGEYPERVGQPVCQYYMRTGMCKFGASCKYHHPRQGGVSPAPVTVNVYGYPLRPGEKECSYFVKTGQCKFGVTCKFNHPLPAGAQVPAPAAGPGSGPGPLTAPAAVPAPHIYPPAVQSPSVQSAQQYGVVSGNWPVARPTLLPGSYIPGTYGSMLLPPGMVPLPGWTPYPAPVNPVPSPSTQLAAAAGPMYGLSQLSPSAPAYTGPYLSVPSSAGPSSSSQKEHAFPERPGLQECQYYMKYGDCKYGSSCRYHHPPEWSGPKTSFILSAMGLPLRPGAPVCSHFAQNGVCKFGPSCKFDHPMGLTYSSSSSSLADMAVAPYPVGSAVGTLAPSSSSSDLRPEVNAGSGRDGFSTQVSSMNTSSGSVGSMFSKGGPASHSGVQQSVQSSNPSGGSSSSAGHGSEARTSN >Solyc03g007545.1.1 pep chromosome:SL3.0:3:2111388:2112208:1 gene:Solyc03g007545.1 transcript:Solyc03g007545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELRAASENICAALHQRQWKISKNSQKKIHKQDHCLTSLDIPTGFSGQLPNMKTSLKPVLINFYTERKTAIEMDEHQSARSSLQIPAFQETLACIRSKFKLAVQYYLNIAIKLPLQSNHKLFIKELSMTVI >Solyc06g083360.3.1 pep chromosome:SL3.0:6:48831820:48834148:1 gene:Solyc06g083360.3 transcript:Solyc06g083360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIPVRCFTCGKVIGNKWDEYLDLLQADYAEGDALDALNLVRYCCRRMLMTHVDLIEKLLNYNTLEKSEGS >Solyc08g078800.2.1.1 pep chromosome:SL3.0:8:62637677:62640512:-1 gene:Solyc08g078800.2 transcript:Solyc08g078800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVIPQSNNLPAKGVLGVSGFVPSISSSSSPEASICKKDANFTRNEPVSVLDTRSPSPSASSSSCSYGAQHGGNTAVPGVGSGKTDGRKEELVTELEPFTVGLEPEKSVLGFGDLDNLLPEFAGSDQSFLRWISGDVEDPSVSLKQLLNGDLGCGVSLQSSGFEVSATGSLAHSDNVSFSGSNICLNANIEKLSSVIDSINSRNNNFENPNVNPSLEQKPQPFGPQVMANQTQFQNAACVNIFGSSSYDINQEQPPPKRHNSGTLGGALLPKVPFFDANCDFMLRKQPLGQMQPQVNLLPPHQFQPKPLIVPKLEAAGGGGNGNLMVPRHQLQEQQFIYDQIFQASELLLAGQFSNAQMILARLNQQLSPIGKPSRRAAFYIKEALQLPFLLPCTSTFLPPRSPTPFDCVLKMDAYKAFSEISPLIQFMNFTSNQAILEALGDAEQIHIIDFDIGFGAQWSSFMQELPSSNRKATSLKITAFASPSTHHSIEIGIMHESLTQFANDAGIRFELEVINLDSFDPKSYPLSTLRSSECEAIAINFPIWSISSCPFAFPSLLHCMKQLSPKVVVSLERGCERTELPLKHHLIHALQYYETLLASIDAANITPDIGKKIERSLFQPSIENMILGRLRSSDRMPPWRNLFASAGFSPVAFSNMTEIQAECVVKRTQVGGFHVQKRQTSLVLCWKQQELLSAVTWRC >Solyc12g039215.1.1 pep chromosome:SL3.0:12:53864495:53865602:1 gene:Solyc12g039215.1 transcript:Solyc12g039215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFKDKEFKLEESIGMSPLCNIDAKNYVKMVEYWKKYSEEGVSKEVLMDFDNNFVKEMLDVLCQEVVDRIKGKTLDKIRKEFCIKNDFTPEDQEENR >Solyc09g092450.3.1 pep chromosome:SL3.0:9:72008185:72021410:-1 gene:Solyc09g092450.3 transcript:Solyc09g092450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTTMSLKSNLFATPCRGRHGVSQFWSQQQLVTILVSKSRKLGRVHCQSKTAEVDIRKCSPFLESELLSGNGGLPLTEWRTVPDIWRTSAEKFGDRVAVVDPYHDPPTTMTYKQLDQEIVDFSEGLRVIGLKPHEKIALFADNSCRWLVADQGMMASGAINVVRGSRSSDQELLQLYSHSESVALAIDNPEMYNRIADTFGSHAALRFVILLWGEKSSLVTEARQGYPIYTYKEIVELGHKSRVDLLDSEDARKRYSYEAINSDDVATLVYTSGTTGNPKGVMLTHKNLLHQILNLWEIVPAVPGDRFLSMLPPWHAYERACEYFIFTRGTEQVYTTVKNLKEDLRRYQPHYLISVPLVYETLYSGIIKQINSNSAARKHIAQLFLRISMAYMEAKRIYEGKCLTKDTKQPSYIVSLLDWLWAQTIAAILLPLHMLAKKIVYSKIHSGIGISKAGISGGGSLSSHVDKFFEAIDIKIQNGYGLTESAPVIAARSLTCNVLGSIGRPIRHVEVKVVNSETDEVLPPGSKGTVKARGPLIMKGYYKNPVATKQAIDENGWLNTGDLGWIVPDLSIGRSRNCGGAIVLEGRAKDTIVLSTGENVEPSEIEEAAMGSSLIQQIVIIGQDQRRLGAIIVPNKEEVLLAAKRSAIVDSETTEVSKDKALGLLHEELRKWTSDCSFQVGPILVVDQPFTIDSGLLTPTMKIKRDRVAALYKEQIDNLYK >Solyc11g013150.1.1.1 pep chromosome:SL3.0:11:6018243:6019706:1 gene:Solyc11g013150.1 transcript:Solyc11g013150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMVDETITDFDCYGSLSIATTTITTTTATTSSSSWNDWSPVFDWDVFSGGDNFQDLIESMVQGSGNCFYKSEHQDCAEFMEEEEESGDSNNNQSEDINGLKLVHLLMAAAEALTGVNKSRELARVILVRLKELASPNGATNMERLAAYFTDALQALMASGTLHTKHMFSPNYKDEHRQGDVLAAFQLLQDMSPFVKFGHFTANQAIFESVTHDRRVHIIDYDIMEGIQWASLMQALVSRKDGPPTPHLRITALSRSGSGRRSFGTVQETGRRLTAFAASIGQPFSFHHCRLDSDEIFKPTNVKLVRGEALIINCMLHLPHFSYRASDSVASFLSGSKTLNPRLVTLVEEELRPIGDEEGFVGRFMDTLHHYSALYDSLEAGFPLQSRARALVERVFLGPRITGSLARIYRARGEGERCSWGEWLSGVGFCESNISFANHCQAKLLLGLFNDGYRMEEIGTNKLVLGWKSRRLLSASIWTTMDIDL >Solyc05g055230.2.1.1 pep chromosome:SL3.0:5:65813803:65816480:-1 gene:Solyc05g055230.2 transcript:Solyc05g055230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RPS17 description:40S ribosomal protein S17 [Source:UniProtKB/Swiss-Prot;Acc:P49215] MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDLIEVDKETLDMLSALGMSDLPGVVKQAAEPQAVAALPSYGRGGGGFGRKY >Solyc03g117800.3.1 pep chromosome:SL3.0:3:68314300:68318649:1 gene:Solyc03g117800.3 transcript:Solyc03g117800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFICVVQYLLYGPFLAKFIHSMYWKESMEDIWCLHILVLCSLRGLVHQLWSTFSNMLYLNSTRRVSYEGIDYDQIDNEWDWDNFLILQAVVGSFVYLNFPSLANLPVWDVRGLISCLILHIGISEPLFYWMHRLLHSSYLFPLYHWHHHESKITHPFTAGHGTFLEHLLLCVVIGIPTLGTAFIGYGSISVMYSYILAFDFLRCMGHSNVEIIPHSLYQRVPLLRYVIYCPTYHSLHHQEMKTNFCLFMPLYDMLGNTLNTASWSLHKEISSRTSTDERAPDFVFLAHIVDIMSSMHAPLLFRSFSSVPFSTRLFLLPMWPFAFVVVLTMWLKSKTFLFSFYNIRGRLNQTWIVPRAGFQYFLPFAAEGINKLIEEAILRADRIGVKVISLAALNKARKTFKVKDWDINESLNGGGTLFVNKHPNLRVRVVHGNTLTAAVILNEIPRDVNEVFLTGATSKLGRAIALYLARRRVRVLMLTKSTERFMKIQREATVECQKYLVQVTNCKEAKQCKTWIIGKWSTPREQSWAPSGTHFYQFVVPPIIPFRRDCTYGKLAAMRLPKDVTGLGTCEYTMGRGIVHACHAGGLVHLLEGWTHHEVGAIDVDQIDVVWEAALKHGLKPLYNSS >Solyc04g079370.3.1 pep chromosome:SL3.0:4:63979985:63981509:1 gene:Solyc04g079370.3 transcript:Solyc04g079370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRKEEKIDYCPQVTSRIILKEIQKEFKKTKNSNTNILLSPLSFHAVLNMTAVGAMGDTLDQMLRFLGVRDINDLNSKFLNMIHVIESNSNGGPDLSFLNGMWVAHTHEIRDSFKHLANTLYKIQPKIVDFKLREEVAEDVNIWAESASRGLIKDILKPKCITDDTTVLLANALYFKGRWDFDEERTIDRDFYLLNGDMISVPFMTGCEHFTYGSFEGYQVANIPYEIGKNGDNKEVLCLSSFQMKKMDC >Solyc03g025720.3.1 pep chromosome:SL3.0:3:3160346:3166495:1 gene:Solyc03g025720.3 transcript:Solyc03g025720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTLTGLLKHVAEKFPSRRAISTSGKFDITHARLQQLVERAASHLVSAGVKHGDVIALTFPNTIEFVIMFLAVIRVRATAAPLNSAYMAEEFEFYLSDSESKLLITAKEGNEAAQAAASKLKIPHMTVALPEAESDIVYSPAPPESNVESAAEIVNDPSDIGLFLHTSGTTSRPKGVPLSQLNLVSSVNNIKSVYKLCDSDSTVIVLPLFHVHGLMAGLLSSLGAGASVTLPSAGRFSGTTFWSDMKKYNATWYTAVPTIHQILLDRHLNKPESDYPKLRFIRSCSAALAPSVMARLEEAFGAPVLEAYAMTEATHLMASNPLPEDGPHIPGSVGKPVGQEMAILNENGVVQGPNAKGEVCIRGPNVTKGYKNNPEANKSAFQFGWFHTGDVGYLDSDGYLHLVGRIKELINRGGEKISPIEVDAVLASHPEIAQAVAFGVPDDKYGEEINCAIIPREGSNIDEAEVMRFCKKNLAAFKVPKKVFMTDSLPKTASGKIQRRLVAEHFLAQISTAKVPKFGA >Solyc04g024950.1.1.1 pep chromosome:SL3.0:4:27289190:27289486:-1 gene:Solyc04g024950.1 transcript:Solyc04g024950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMGGIGDSYLKDMTADEMSELNDMNENEISDLTKVSALKGKMSNLELMDKIESSKMKKVSSLDEKVSKLELKVHKLISLLAVSWAIILGFAAAKMI >Solyc09g042263.1.1 pep chromosome:SL3.0:9:22977201:22980753:-1 gene:Solyc09g042263.1 transcript:Solyc09g042263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKFCFEALDRTLRDILRLKYENSADKPFGGLTMVFGGDFRQILPVIPKGTRADILDASLNSSYLWTFFKIYELKQNMRLCCGRVSDSEAAEVITFDKWLLQIGDGSFYSDVYNDLIKVPTDICIMPSNDPIGSIVDAVYPSLLQKYNDPTYLQERAILTPKNEMVHELNDTIMKMIQGEGRTYFSSDNVCKASVNTNDEDLLYPTEFLNSLRFPGIPNHEVQLKVGTPVMLLRNLNQSEGLCNGTRLIVTHLVKFSITSFKVPELYIEVPETATVGSLKVRKGELAAIVGMVGSGKSSLLASMLGELHKVLGDS >Solyc04g071740.2.1 pep chromosome:SL3.0:4:58787885:58788814:1 gene:Solyc04g071740.2 transcript:Solyc04g071740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCVTGAAGFIGSWLVTRLLESGYNVHATVRNLGTNKFSMESRLKEDGSFDEAIKGCEGVFHVATPMDFESNELEINIYDRINEVIKPKARGILSIIESCVEAKTVKRLIFTSSVGQQQQ >Solyc09g018250.2.1 pep chromosome:SL3.0:9:13907080:13907496:-1 gene:Solyc09g018250.2 transcript:Solyc09g018250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLVVGAACLKCSNENSALWLHGHGSRTMPTVPANTYYGFQGQNQQTGGFRQGQQPSQSYGSLGGYPHFYNSQAGISLDQQQQENLRDGSLSGSQGQPKQSQQQL >Solyc10g052850.1.1 pep chromosome:SL3.0:10:53698676:53699234:1 gene:Solyc10g052850.1 transcript:Solyc10g052850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFAAEVDDQIHDCKDNEPDQGPQDLNEQITNEDV >Solyc08g006475.1.1 pep chromosome:SL3.0:8:1067981:1072730:1 gene:Solyc08g006475.1 transcript:Solyc08g006475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWCGCCTLGLAGKAILPGLHILMTYLFALVVFRTFLTGLFPCCFTTFPFFAKSRTKTGSSCDKSSLGMEAAGLSTVSFMSGFKLRAGFDRQVYKASQSTNLSSPRVQNSSKLNQISESITQHWSQAFLPRNQLPPPKTLRKNQMPPSLFTSLSDHSKDKPTYQNTKITTPFDKIGVYILSKGQNESEQAERESLLTEYGASSSKSFAFICEGVLSPLLSTLGDAHGGRTFIYDLAIST >Solyc12g019095.1.1 pep chromosome:SL3.0:12:9114468:9116013:1 gene:Solyc12g019095.1 transcript:Solyc12g019095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVSEEAEGSGVFSSPEVAKSFDFSNEERIYKWWESQGYFKPNIVKGSDPFVIPMPPPNVTGSLHMGHAMFVTLENANKNEKKLNSPRNNFFWEGNADKGKFHVAKWQEVMKEK >Solyc09g083070.3.1 pep chromosome:SL3.0:9:69165173:69169183:-1 gene:Solyc09g083070.3 transcript:Solyc09g083070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIGTSKGVLEIVKFAVYVSVPIGLMYIFANNNKNLQKVMGHREYVVYPTETVRPQSPEELREMAKEIGRKRERDQAMRS >Solyc07g016085.1.1 pep chromosome:SL3.0:7:6258253:6258978:-1 gene:Solyc07g016085.1 transcript:Solyc07g016085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNICKSPSQTFKGLCFTDSSCTKACLTEEFTDGHCSKLLRKFPCTKICIFDKKSNEVKTTLGYVKLFDTINKL >Solyc06g042952.1.1 pep chromosome:SL3.0:6:30626909:30632083:1 gene:Solyc06g042952.1 transcript:Solyc06g042952.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPNGEVTNIMIKAMNQPDELNRRFTPNPDEEAHKEKLTELQKILSEAKEKRRSYEPQVENINKWKKVMHIRSILWVLWNGFNDLKLQGSVIGHQTTSEKLLYGSQPGLSHLAKNLTRHVKLMARSRPTFFVRRHVIFRKDAFPFANESGSNYHPIFVDTVHGSGGYHMNVIEQQEHKVTEQQKLEVTNSFNQAIENVVPHIVTDKVDHVVEFAVPGPTKMSTRNTQTPTWVRNIISLNITKDISPPKRVYFT >Solyc09g031840.1.1.1 pep chromosome:SL3.0:9:27882868:27883374:-1 gene:Solyc09g031840.1 transcript:Solyc09g031840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSDSEGKNLHQYLNFNSNMGLIHTPSSEKDLSSEKRKKWSLCLKKCVEKGQTYRTFQRDSAFSTLSKWNLFQTYMPWFLTSTGYKYLNLIFLDTFSNLLPILSSSQKFVSIFPDIMHGSGISWRILQKKLCLPQWNLISEISSNCLHNLLLSEEMIHYGISEQVPG >Solyc02g062355.1.1 pep chromosome:SL3.0:2:34510986:34511332:-1 gene:Solyc02g062355.1 transcript:Solyc02g062355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQEHEKDNEEQLPNSFQTAVALVISFPLGIIVPIFTAAFIANYKLSLLAYGGIGTSLSTNRMVKSCSRVLIGGRMAMVITCCLAKLIVSTTNISFYFEST >Solyc03g062915.1.1 pep chromosome:SL3.0:3:34706814:34708323:1 gene:Solyc03g062915.1 transcript:Solyc03g062915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLMKFGFSISLGHTSLSTKQNFVAKGRDLLDDYFIPEVLLKLGDITSTSLGLTFKGLNFRHNMYPSYKSNRSPTPDTIVQGLQFLKASLKAMSIKVIEVRFVEHWVKDQSSKFWNLGC >Solyc01g035225.1.1 pep chromosome:SL3.0:1:37036913:37039869:1 gene:Solyc01g035225.1 transcript:Solyc01g035225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCMPTWPFRSTHGRTMSGVSCHLRIWAIHRSEDVLHGMPSSPLIAHIVIKRRAWHDIITLGHDTRSDYGGRGMPSSPLRDTQGRDMSGVACRSDDILREMPSLLLDSTHGRMMSTVGCNHRPWKVYMVKQHRVWHIIIALRQQTRSDHVGRGMPARPLGDTYGGMTLGKACHYLPCDTHTNDVGCDMPSLTLSSTHGRMTLGVACHQRPRVEQTVRKYQAWHAIISLGHHIRSDDIRRGRPSLPLGKNTWSDYVGHGMPACPLGSKHCQMTPGKAFHHRPWTTYKVRRRRAWHVIVDLGQYTRWTTSSVDEIITFCQHTQSDYVGRDNIGRGMPSWPLGNIHGQTTPAVDMPSTPLKCTHGRMTSSVAYAIFALGKIHDQTMSGVACYHFPSKTQMIGRRAAWHVRMELRQHPQLDDVRCCMLSSPLGCTSECDHRLRKTYTIELRRAWHAIMNQHTRSANIGHGMPLSPLNNIHRVKQRRDTRSEDLRHEKLSSPLECTHSQKMLGVEMLSSPFGNTHGRMTLGIKCHYCPMDGKHYRMMSTWHTIKAFGLHTRTEDGPGMPVTLDNTHVCPLGSKHCQTTSGKAYHHRPWTTYKVRRRRAWHVIIDLGLWTTSHVACHHCLLIAHMIRQRRVWRAIIAFGQDTQSNGVGRGVPS >Solyc04g008280.2.1 pep chromosome:SL3.0:4:1954738:1957735:1 gene:Solyc04g008280.2 transcript:Solyc04g008280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:4-hydroxy-4-methyl-2-oxoglutarate aldolase [Source:UniProtKB/TrEMBL;Acc:K4BNY4] MSYSKGQVVLMLSSDNRHSNSDILSILYRKKTKILLRRHVVLQWLFLRGKKLYYSLQRSTSDPDLFFSSSPTFKQVSKNLLHLHQMALVTTAEVCDANPQLIVSGELRALQPIFKIYGRRQVFSGPVVTLKVFEDNVLVREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCVRDVDEINGCDIGVRALASHPMKANKKGIGEKHVPITIAGTRICDGEWLYADTDGILISKMELCV >Solyc06g033960.2.1.1 pep chromosome:SL3.0:6:23530660:23531103:1 gene:Solyc06g033960.2 transcript:Solyc06g033960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALCQIFIGISVKVFELDFSYIGIFGKVPNSISILHSLWHLNLFNCHLSGWIPESFGNVTTIRELILSGNNFTGNVLSTISKLNKLINLHLSSNHFQGSIPESIGNLPAITELTLSDNNFTGNVPSSIRKLNKISFISLSSNNFKARL >Solyc03g063493.1.1 pep chromosome:SL3.0:3:36821716:36822628:-1 gene:Solyc03g063493.1 transcript:Solyc03g063493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDTPRTWILYEPMDRDKSLLLSMTSSLITSFFPYPYPLFSVTHQMKESMENHNLDLEVPKTTEGDFPTKDEKCRTWENAGGRH >Solyc10g046930.2.1 pep chromosome:SL3.0:10:38069835:38076061:-1 gene:Solyc10g046930.2 transcript:Solyc10g046930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKGRDRRDKYYHLAKESRYRSRAAFKLMQLNSKFSFLTSSQSVLDLCAAPGGWLQVVVKKVPVGSLVIGVDIDPIRPVAGAISLQEDITTAKCKSTLKRIIAQKGCSGFDLILHDGSPNMGGAWAMEATMQNSLVIDSVKLAAQFLLPNGTFVTKVFPSIFLFTDYMDQMNYITFAIDCQLFEKVEVEKPLASRSESAEIYVIGFKYKAPAKIDPRLLDIKHLFQGGKEPLKVVDVLRATKQKRHRDGYEDGETVLRKVCSAADFVWSDIESLGSVTSIMFDDPASLPMREHPLTTEEFNFGPQVRTLCEDLRVLGEQDLKHLSKWRKLMRKALAPSETISNPKVEIECEREEDEDTRLVKELEELKETELRKTKREKRVLAKRQAKDKAREVLRMQSDAAEDGFADPELFSLTLIKCKRDVVLVDDNECDDGTAEVNSEADGNDLEAPENASTDMDSEEDRLRRDDEIEGLLEDHVKSYMARGERKTKRSKISYLDDCNLLERGEEDGMTHSAQDSDGDKGEDQVNPLIIPLETAPSQEEVVKTWFTQDVFVEPEEQEMLDKYHSEDEMLVVDEVTLISKSQTTGELLAPNLSKKRMDGSLQVPSSETPNDFEIVPVPPTYSSDSSSDESGVDIDRKAEILSVAKKLILKKQREAMMDDGYNKYMFVDEGLPKWFVDEEKRHRQLIMPVTKEEIAAMRAQFKEINARPAKKVAEAKARKKRAAQRKLEKIRKKANSISDQADVSDRSKSRMIEQLYRKATPKRAEREYVVAKKGVQVKVGRGKVLVDRRMKKDARRHGMSKQGLKKGGRDRKP >Solyc02g092285.1.1 pep chromosome:SL3.0:2:54048651:54049544:1 gene:Solyc02g092285.1 transcript:Solyc02g092285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIFWSDTVCVFFYYKKNQFFLALEKRLLLQHLVSYKENGQALNKRGAFGGNYIKQFGEAQLSSRGFKFDHSPLSTKHDGLTSVERRFICSSWEHFSRAGIKEYQTFGTAVVKHIEVSHSCNELGKEM >Solyc02g093260.1.1.1 pep chromosome:SL3.0:2:54813355:54813522:-1 gene:Solyc02g093260.1 transcript:Solyc02g093260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSTPTAGDNPSQPPSTAATVPKLRQLGMERTGIVDENGAENRKKSLHDPMNL >Solyc05g009460.3.1 pep chromosome:SL3.0:5:3622674:3623848:-1 gene:Solyc05g009460.3 transcript:Solyc05g009460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANFCLAVIRAPLKLWVSVSSVIFGLCMQQILCCDHQQQGITLFFTTVSMRLRPKRTCSGVVCFGGFHINRFLHPILLLRRPIT >Solyc10g033570.1.1.1 pep chromosome:SL3.0:10:15937180:15937434:1 gene:Solyc10g033570.1 transcript:Solyc10g033570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVLVLVLVLVLFFGVVVAVVVVGVGVGVVVIVVDVVGVVTAYILFLLFTYKYILQHIKVLSLFILSIILSYNKNLKLFTILF >Solyc10g055310.1.1.1 pep chromosome:SL3.0:10:56520621:56520785:1 gene:Solyc10g055310.1 transcript:Solyc10g055310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVSVKGDIYSFGILVLEIFTRRRPTDDTLFQASSSLHDFVETTLPEKLWRF >Solyc01g080840.3.1 pep chromosome:SL3.0:1:79979062:79997278:-1 gene:Solyc01g080840.3 transcript:Solyc01g080840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein translocase subunit SecA [Source:UniProtKB/TrEMBL;Acc:K4AXX7] MELVFSPPSVDWPATAGGRSSSLANRRSTANEKVRGLIGTRSWLRGGEESLRLLGLELKKTRRRRMMTPEASLGGLLGGIFKSSDSGESTRQMYAATVTLINGMESMVSSLSDSQLREKTAALQERARRGDSLDSLLPEAFAIVREASKRVLGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALTGKGVHVVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYMCDITYVTNSELGFDYLRDNLSVDELVVRNFNYCVIDEVDSILIDEARTPLIISGPAEKPSDQYYKAAKVAAAFERAIHYTVDEKQKNVLLTEQGYADAEEILDVKDLYDPRQQWASYILNAIKAKELFLKDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETVTLASISYQNFFLQFPKLCGMTGTAATESAEFESIYKLKVTMVPTNKPMIRKDDSDVVFRATSGKWRAVVVEISRMHKIGRPVLVGTTSVEQSDALSEQLREAGIPHEVLNAKPENVEREAEIVTQSGRLGAVTIATNMAGRGTDIILGGNAEFMARLKLREILMPRVVRPAGGVFVSVKKPPPKRTWKVSESLFPCTLSKEKTKLAEEAVEVAVKMWGPRSLTELEAEERLSYSCEKGPVQDEVIAKLRSAFLEIVGEYKVYTEEEKKEVISSGGLHVIGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNIFRIFGGDRIQGMMRAFRVEDLPIESKMLTKALDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRIYTERRRALEADDLQALLIEYAELTMNDILQANIGSDAPKESWDLEKLISKLQQYCYLLNDLTPDLLAANGSTYEELQQYLQLRGREAYLQKRDIVEKEAPGLMKEAEKFLILNNIDRLWKEHLQALKFVQQAVGLRGYAQRDPLIEYKLEGYNLFIEMMAQIRRNVIYAVYQFKPVMVKPQDQKKSDKVDKANTNGRGSNGATNPSPSAVSSQSSA >Solyc12g062810.1.1.1 pep chromosome:SL3.0:12:34919848:34920009:1 gene:Solyc12g062810.1 transcript:Solyc12g062810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFLVAIHREPMYISSANTWPEPIPIDLLEGPIGVHPVGIEPTNLPIMSWAL >Solyc01g107695.1.1 pep chromosome:SL3.0:1:95043377:95049815:1 gene:Solyc01g107695.1 transcript:Solyc01g107695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGLTDAVVTSSLLESVESDDLTADGHIIVVRFPFLVSCFPDLVGYLGTPTVLRRLMALESFLLRCPGDFSSYCEEILHLILKSCAKCLAALVVTRPEMLSKQACSKLIDRFKGRKSKYEIEPGQYSAEIKRVFFLRRSLSKFQIPVTVHSHSGEMKIPVFLLLLITVTLNPIVSVADSAYPSPYGIETTTSCQSTDLIPVRREVYGNGRIFDITHRLTPDMPSWGLEDGLGQFLWLPKSMKNGSLANNSEMKLPTHTGTHIDAPGHVYDHYFDAGFDVDTLDLEVLNGNH >Solyc01g104525.1.1 pep chromosome:SL3.0:1:92875821:92878214:1 gene:Solyc01g104525.1 transcript:Solyc01g104525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPFDITRFKFPLIQETGNLGQVDREAVDFSKAKLQETIAQSEAELQICAREFFATVHKVSKYNEFISSKTAVMKKSHSTFTGY >Solyc10g076380.2.1.1 pep chromosome:SL3.0:10:59443049:59443564:1 gene:Solyc10g076380.2 transcript:Solyc10g076380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGKGGPENSSCKYRGVRQRTWGKWVAEIREPAYISGDNKSKGKRLWLGSFDSADEAAIAYDEAAKVMYGSNATLNFPNYSSNGSITRTSSLELSGQSCVDHEDLVLDGSKNDEIESDLKTSDTPNTDLSYDYVNHGSPACSWNEEDLEVIMEENSKNELIDSECNSEIFT >Solyc08g061265.1.1 pep chromosome:SL3.0:8:48407948:48410429:1 gene:Solyc08g061265.1 transcript:Solyc08g061265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLILQMAVGMSERSYQPDPRSDMKALNRWGYYPLILIGSWFFGTINRIHDVIEPGHKIFWLSVLDVGMAQLMGLFNSIAYGLNSSVRRAIYERLDLLPEWSQRWLPKSSRSRGQQQDDSELVSLKIQDQQ >Solyc08g078820.3.1 pep chromosome:SL3.0:8:62653144:62656981:1 gene:Solyc08g078820.3 transcript:Solyc08g078820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy-related protein [Source:UniProtKB/TrEMBL;Acc:F1JYZ6] MAKSSFKQEHDLEKRRAEAARIREKYADRIPVIVEKAEKSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFIDNVLPPTGAIMSAIYDEKKDDDGFLYVTYSGENTFGVLSEL >Solyc01g006980.3.1 pep chromosome:SL3.0:1:1551135:1554997:1 gene:Solyc01g006980.3 transcript:Solyc01g006980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSLILPSISSNGFRRFSPLKLDKSRVFMSSISVGSQIAAVDDALFADYKPTNAFLFPGQGAQAVGMGAEAQKVPAAAELYKRANEIMGFDLLDICINGPKEKLDSTVLSQPAIYVTSLAAVEILRAREGGQQIIDSVDVTCGLSLGEYTALAFTGAFRFLPYITLCFSSGLCLDVVSYPSHRLRYKYLDSTNLIAFASFEDGLKLVKLRGEAMQATSSTPADNINSVQDAADAAKSAMVSIIGLDSDKVQKLCDAANEEVDEANKVQIANFLCPGNYAVSGGVKGIEAVEAKAKSFKARMTVRLAVAGAFHTSFMNPAVSRLEAALSATEIRTPRIPVISNVDAQPHADPDTIKKILASQVTSPVQWETTIKTLLTKGLKKSYELGPGKVIAGIVKRMDRGAEIENIGA >Solyc04g080230.3.1 pep chromosome:SL3.0:4:64561782:64564793:1 gene:Solyc04g080230.3 transcript:Solyc04g080230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYQEMKDEEAQSRISTNTVTTVSSVSAVATPAAAAATSTVKKDQGFSGVFGKGKYKLWVLAAILLLAFWSMFTGSLTLSLNWSTSNLSRLSHASDFTIHEDLDILVLEEREKMVKHMWDVYTQNSRIRLPKFWQDAFQAAYLDLTSDSPATRDTAVSEIAKMSLRSTSTYESPSNKKPEPREAEKEKGSKSPAKTITTKKQK >Solyc12g043160.2.1.1 pep chromosome:SL3.0:12:59833052:59835374:1 gene:Solyc12g043160.2 transcript:Solyc12g043160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKSIEVPKLITETRGGKLLKGIMLYLSNWLIPSFKYLKNPSNLIFTLFNKENKIVKHHYFYSYSNNTNKKKLV >Solyc05g007755.1.1 pep chromosome:SL3.0:5:2213773:2222023:1 gene:Solyc05g007755.1 transcript:Solyc05g007755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDTSSSGCGLEKLESLSDDSITVISENWNGFCSSSEALLKGSGDLSFSDEFVMRAKNLCKHGLSSLVEQHFLRCIEEIFERNGAKRFWSYFEPYRNAAPSETNKDLILEEEIQQIICKALEEISSQKQFQEKCLLLLARALQSYEEDKLQGQANPDSTRVYLLSKYQLIVSSVLLASLPHHFPGILHWYFKGRLEELSTIAAANSEDEEELGMDDKMDLDEKSKLPYKCGDMDSDISHKYAVFSENNKLVKNIGMVVRNLRNIGFTSMAEDAYASAIFFLLKDKVHDLAGDDYRSSVLESIKAWIQAVPLQFLRALLDYLGDFTNCNDPSPGLKSPLASHPSCYSGTGIPSEGLVRWQLRLEYYAYETLQDLRIAKLFEIIVDYPDSAPAIEDLKQCLEYTGQHSKLVDSFISSLRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIREYLRGRKDTIKCIVTMLTDGTGGNPNGPGGSGDSLLEELNRDEESQENTTIDDDINSDDKQAWINAQNWEPDPVEADPSKGSRYRRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDAEIRTLELLKPGIEQKDLDISLDNVNATIISSNFWPPIQDEAINLPEPVEQLLTDYAKRYTEVKTPRKLIWKKNLGSVKLELQFEDRAMQFNVTPLHASIIMQFQDQKKWISKNLAAAVGVPVDVLNRRINFWISKGILAESMGADSADHAFTLVETMNDTGKSGTIDGGCEELLAGEDDGERSVASVEDQLRKEMTVYEKFITGMLTNFGSMALDRIHNTLKMFCIADPTYDKSLQQLQSFLSGLVAEEKLEFRDGIAEISVNSLQSIIACYLIKLRILRDEKETKPSKSRRWIWFVIEERGAIVYLLNAVYDVN >Solyc05g005450.2.1 pep chromosome:SL3.0:5:334566:335707:-1 gene:Solyc05g005450.2 transcript:Solyc05g005450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSNKGVEKPGNESAKQKTTSETYGVDEVNVGVGDLSVKSDQNDGFLRSGAGKKQWIPQNPTSKSWCQPDVVQKLGLEDDELDLPDDDSDKYEFLSGDDLYDHSDMKEMSFEERKKSRWFKKFFQCLDKLTITTINDPERQWHCPACKGDPGGIMWFKGLQSLVLHTKTKGGSKLHRELAQLLEEELRLRGTTVVPASEVYGQWDGVESEDKKILWPPMVIIMNTALAKDDNEKRIGMGNQELRDFFSSCTLINSVRHSYGPQGHRGISVLIFEATAMGYIQAQVLC >Solyc12g036165.1.1 pep chromosome:SL3.0:12:45238685:45250886:1 gene:Solyc12g036165.1 transcript:Solyc12g036165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGVLFAAFALEYIRYKGRSKRDDGRWAWQDTLHRDSRSSSSSRRYEPSPLPKFLGASPDSRLVFPWLGDHTPHSAGMVSVNSNTGVVS >Solyc09g055885.1.1 pep chromosome:SL3.0:9:46243042:46243304:-1 gene:Solyc09g055885.1 transcript:Solyc09g055885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSLQKGTTRLARVVNMRGDVDYLWQVRCYEAYSYLKKLRIHMESLSPYIRIYMMQKPMPIIYLASISLIDT >Solyc08g005140.3.1 pep chromosome:SL3.0:8:91644:96889:-1 gene:Solyc08g005140.3 transcript:Solyc08g005140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKRDGNDESFVLIKQGAEARVFESTFVGRRCIIKERFSKKYRHPTLDSKLVLKGLNAEARCVAKARRLGVATPVLYAVDHVMHTLTYEYIEGPSVKDIFLVFGLVGVDEEQMVDIATQIGNAIGKLHDGGLVHGDLTTSNMLLRSAADQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGDVMDRILAAYRKSSKQWSSTLNKLAQVRQRGRKRTMLG >Solyc03g118580.3.1 pep chromosome:SL3.0:3:68936746:68938347:1 gene:Solyc03g118580.3 transcript:Solyc03g118580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAYLKSCIVLSILLCFLMGFSHGIHLDKSTILALLASGVGSGSVSAMPCVQKLMPCQPAFAAHMKTPPATCCTPLKEMISNDAQCLCTVFANSDVMKSMNVTQDEALSFAKACGAKPDLSLCKKAHGEASAPSPNTSQTNDSSSTNNTASPPPANTASVTSKFGGFVAVASLMSLVI >Solyc10g050100.1.1 pep chromosome:SL3.0:10:47719848:47723102:-1 gene:Solyc10g050100.1 transcript:Solyc10g050100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPDQVVAGLVGMGFSLSDIANALEVKIEDNTSDERKMKIVRGKIVA >Solyc10g006010.3.1 pep chromosome:SL3.0:10:757094:761165:-1 gene:Solyc10g006010.3 transcript:Solyc10g006010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNINQPLLDQLPKTLQNVDSRRRRLRRLKSAPMPEFIPGEMNDIKDDQSLPRYESILNKLHPSFRKVILYLVIYLGIGTTCFYFVQNQIQGKKVNGVLDSVYFCVVTMTTVGYGDLVPNSSTTKLLASFFVFSGMALVGMVLSKGADYLVEKQETLLIKALHMRDKVGPSVILEEVETNKVRYKCFVITATLVVLIVVGTVFLAEVEKLSTIDAFYCVCSTITTLGYGDKSFSTKVGRIFAIFWILTSTICLAQFFLYVAEFNTERKQKELVQWVLSRKMTNVDLEVADLDNDRVVGAAEFVVYKLKEMGKISQDDISLLLDEFECLDVDQSGTLSTTDLSLAQSS >Solyc02g068590.3.1 pep chromosome:SL3.0:2:39110611:39121635:-1 gene:Solyc02g068590.3 transcript:Solyc02g068590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4B7J1] MASGMGLDEDNGETKGGMWDLDQKIDQPMDEEAGRLRNMYREKTFSSLLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPHGIDDTEDVIGALSLIIYSLTLIPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKIKTIPNQHRTDEELTTYSRSTFHEHSFAAKTKRWLEAYPFRKTSLLILVVIGTCTVIGDGILTPAISVLSASGGIKVDHPKMSNDVVVIVAVIILVGLFSVQHYGTDRVGWLFAPVVLLWFLLVGGIGIFNIWKYDSSVVRAFSPVYIYRYFRRRKKDGWTSLGGIMLSITGTEALFADLANFPVSAIQLAFTVIVFPCLLLTYMGQAAYLMQNKEHVVDAFYRSIPDSIYWPVFIVATLAAIVASQATITATFSIIKQALAHGCFPRVKVVHTSKKFLGQIYIPDINWILMVLCIAVTAGFRNQSQIGNAYGTAVVIVMLVTTFLMTLIMLLVWRCHWMLVLVFTFLSLVVEFTYFSAVLFKVDQGGWVPLVIAAAFFVIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAVHSVVVFVCVKYLPVYTVPEDERFLMKRIGPKSFHMFRCVARYGYKDLHKKDEEFERKLFDNLFLFVRLENMMEGCSDSDEYSLYGQQTQHSADYLLRSNGNSTTGNNDYTCSTVDSIIPVKSPTQGHNNTVTSSGRESSQAEADEMEFLNRCRDTGVVHILGNTVVRARRDSRFYKKIAIDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Solyc08g082770.3.1 pep chromosome:SL3.0:8:65581653:65584659:-1 gene:Solyc08g082770.3 transcript:Solyc08g082770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4CPS3] MTFNRDNARFAVGVIGNIIALILFLSPLTTFVRIWKSKSVEQFSPIPYLATFINCGLWVMYGLPWVTRNSLLVITINGTGLGIEIVYLTLFLLYSDRKQRMKVILIVIVELIFIVALGFIVLTFVHEPKKRAAIIGSISMVGNIMMYAAPLSVMKLVIKTKSVEYMPFFLSLFSFLNGVSWTTYALIRFDAYILAPNSMGTLLGLAQLLIYAAFYKSTKRQMAAREAKGETVMTEKSAGRVAQNPRSDFRV >Solyc04g071060.2.1 pep chromosome:SL3.0:4:58048570:58051628:1 gene:Solyc04g071060.2 transcript:Solyc04g071060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDYWNNQPQLSESAGSINQPQLSQAVGLLNQPHLEYVAETPQSGMPSAQVVDPQSIGQSILLAYQRYLDSVVISEAENAPTFFCGPELATAGGSGSTIPDPRPSAALRDPRPSPALRDPRPSPALRDPRPSPTLRDPRPSPALRDPRPSPALGDPRPSSALRX >Solyc07g017870.2.1 pep chromosome:SL3.0:7:8207411:8213024:-1 gene:Solyc07g017870.2 transcript:Solyc07g017870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIIELKRSTESIKRTMMMHEDIFKQQVKELHKLYHLQRKLMLDVKNEMSKIEDARHKSMINNMSTWHEPRREIEFNGVNVYGLGDDQQRETSGSCSGENSKTILIPIRGLNIEMEERSSTSNYEDEKMKNSNTHRCDEETNVELTLSIGPSNSKKRLKSHNKEKEISFSTSTKFEDCGDATSSVIFNKESAPQAYWFSQDLSLNRRS >Solyc02g036450.3.1 pep chromosome:SL3.0:2:31267663:31273565:-1 gene:Solyc02g036450.3 transcript:Solyc02g036450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATAHNNLNAKLVLLGDMGAGKSSLVIRFVKGQFLEFQESTIGAAFFSSTVSVNNSTVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDITSTDSFARAKKWVQELQKQGNPIMVMALAGNKADLEDKRKVTAEEAHLYAEENGLFFMETSAKTAVNVNDIFYEIAKRLPRAQPAQNQAGMVLVDRRAEGSRTSSCCS >Solyc01g109010.3.1 pep chromosome:SL3.0:1:96013185:96017540:-1 gene:Solyc01g109010.3 transcript:Solyc01g109010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDFLGPLQVNVDDSVDDIVRQFRGVSDSLMRKVFGYPSYSSYEPTTSTSDINLFWNVEKYINWL >Solyc07g005170.2.1.1 pep chromosome:SL3.0:7:172888:174657:-1 gene:Solyc07g005170.2 transcript:Solyc07g005170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNLGRQGKVKEARQLFDEMPQRDVVSHASMITVYLKHKDLPKAERLFYSMPERSVVSDSAMVHAYAKAGRIDEARRIFDLMPDRNVYAWTSLISGYFQNRRVDEARKLLQQMPEKNVVTWTTAMVGYAQNGLIAEARSIFDQVPEKNVIVWTAMIRAYVENHQVDQALELFDKMPERNLYSWNVMIQGCLNDNRVEKALELFNAMPWRNMVSWTTVVTGLARNEMIEMAREYFDQMPNRDPAAWNAMITAYVDEGLVAKANELFDSMSNKDLVSWNVMIDGYAKSGLEGEALKRFILMLRSGLRPNPTTLTSVVTSCGGILELMQAHVLVLLLGFDQDTSLDNALVTMYSRCGDINSSFIAFENLKVKDVVSWTAIILAYANHGLGKQALQSFAQMLKSGNQPDEITFVGLLSACSHAGLVKKGQKLFESMRHAYGLEPRAEHYCCLVDILGRGKLVDEAIRVVQRMPPEERGAAVLGALLGACKLYGDVGVANQICNEIVELEPGNSGAYVLMANTYAASGRWGDFAQVRKKMKERKVKKVPGFSEIEVNGKNHIFFVGDKSHPEKEEIYTLIKENLLPLMQDKMI >Solyc03g120380.3.1 pep chromosome:SL3.0:3:70287015:70288910:1 gene:Solyc03g120380.3 transcript:Solyc03g120380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPW6] MATELEITELRLGLPGGILEKNEKKRVYSEITTSDRNSSSSNNNNNVEANNYKCQYKNEVVGWPPVCAYRKKNSFNGREAESNNKMYVKVSMDGAPFLRKVDLSTHKGYDQLVMALEKLFDCYGIGEALEDADKSEFVPIYEDKDGDWMLVGDVPWIMFSESCKRLRIMKRSEAKVIGLGARDFLKGMSQEK >Solyc03g123670.3.1 pep chromosome:SL3.0:3:71954255:71956288:1 gene:Solyc03g123670.3 transcript:Solyc03g123670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFPIYPKYEGGDYCGYGFDPHTDFTDFLSEARKHVSEGKFSTTPPRSVELRNNNFGNDVKKTCKKSWKRSLFSWLKTDKKKNQGSKETSKGSTINKPKRGCVSGPMPGISGPGTIAGRPNKPLSGPLTYLFGPINRVDNEIPYLCLNKVKNNTPDVQSYGPLYLVT >Solyc12g044400.2.1 pep chromosome:SL3.0:12:60251967:60264747:-1 gene:Solyc12g044400.2 transcript:Solyc12g044400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNSILNSPFLPSFPPKNKPHYRKNTIPVIISCNSHKPRTEEEKKIRISQLGLLNLSVTLTVISASLVRPANAAKVSEKRKKSEALTPQELKKWSQGLPTVSNRLPYTEILDLKREGKLKHIIKPPNVGLKQRPEVVLAVLEDSKVVRIVLPSVESDPRFWAEWDELKVDGLCMNAYTPPLKKPELPSPYLGFLSNIPAWLLSFMKAKPQSKKALELKRMREELKRRQKQELAKIQNERERMAKAMKMQKKMEESKRKRELKRMRYEESLRQANRSSRDMAMVWESLASDSNVSTALGLVFFYIFYRTVVFSYRRQKKDYDDRLKIEKADAEEKKKLRELEREMEGIEGVDDDEEEGRKGEDNPYMKMAMQFMKSGARVRRARNTKLPQYLERGIDVKFSDVAGLGKIREELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEARENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVCLDGFEGKGEVITIASTNRPDILDPALVRPGRFDRKIYIPKPGLIGRIEILKVHARKKPMAPDVDYMAVASMTDGMVGAELANIVEIAAINMMRDARTEITTDDLIQAAQIEERGMLDRKERSPEMWKQVAINEAAMAVVAVNFPDLRNIEFVIIFTLLYFVTVCFVVVVLCRQSLLDHITVQIAPRAADELWYGEHQFSTIWAETADNARSAARTFVLGGLSDKHYGLSDFWVADRINDIDSEALHVLHMCYDRAKEVSLEIMSPLYPGLSGKKSEDSILHQNRNLMDAVVDILVEKKSLTKEGFFKLVELHGSLQPMPPSVVDLRSAKRLEFQDTLTKHKEIISQGRN >Solyc04g014885.1.1 pep chromosome:SL3.0:4:5136809:5138338:-1 gene:Solyc04g014885.1 transcript:Solyc04g014885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNGSLENWLYKENRHLNLHQRVAVMLDAAMAVEYLHHGHVTPIVHCDLKPANILLDEDMVAHVGDFGISRILAISKSMAYTETLGTLGYIAPEYGSEGIVSASGDVYSYSIMLMEILTKANR >Solyc04g076360.3.1 pep chromosome:SL3.0:4:61353840:61359100:-1 gene:Solyc04g076360.3 transcript:Solyc04g076360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRKVNKRGFVTEDDMSTLLQRYTAFTMLTLLQEVGQVNGSKIDWNDLVKKTATGITSAREYQMVWRHLAYRKVLLDKFDDNAQPMDDDSDLEYELESFPPVSSEASTEAAAWGKVSKHKPRMVFIASGALRDSNMSNGNTVEASLTIQIPNGQTSGTVAANSLQGISAFGKKLTVPVTVQTQPMPSVSAAEGLDTSGPATANLPRRRRKAWTGAEDMELITAVQKYGEGNWANILKTDFKGDRTASQLSQRWATIRKQHVMMVGNGSHLSEAQLAARHAVSMAFRDNVRAACPISPNGCGIVSAGTNSGSGPSNSSHFAAADVASAGPQPKHQQDLVPSKPIIPKIPLPKPAINPDLMVKTAAMAASSRVATHSGTAASLQKAALSKKGVHIMPGGTPAVKSSVPGSFNGLPSNVHFMRTGLVSRPAGPSNAPQSGTQQLHAPRTQQLQAPRSVSPAVQPKPTTVPSRTNASSGVRSAPSSYPTTVLDVKSKAAVSQENQIAVLSNTRGEKTQVIQAASLANTPQQQVPKDQNFGDLLSGKVEGQTSVLCDTVKKLGGESKASRIWVQEKLTPSQETTSNKK >Solyc06g073650.1.1.1 pep chromosome:SL3.0:6:45586330:45587952:1 gene:Solyc06g073650.1 transcript:Solyc06g073650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFECQKSIESLRICSIEPSKNRDNLTLESEKIKPLYKLDAKGGIVNSKCEELLTGNEVLPGCQSITELPPVLISEIFNLLEPKELGIVSCACTLLYQIASEHHVWKEFYCERWGQPILQAPFGAGLSDGKLWKELFVEREFRSKTFMGRYTIDMLYGHTEDVRAVFVLASKKLVFTSGYDQIVRMWDLEEGLSIASSEPLGCTIRAVAADSRLLVAGGTGGFIHGWKANEENPHLFDLRASQSEEMQFQVWEHGGPITCLALDFNRMYSGSWDMSIRVWDRSSLECLKVLIHSDWVWNLAPHDTTVASTAGSDLYVWDTNSGSKLAIIGNAHAGYTYSLARSHTGKLLFTGGEDGAIHMFEIFENVTYHVRRVATWIPHLSAVYSLAFEFPWLVSASSDGKLSLIDVRKLLRTNRNSALNSSSKAVNLVDNVEPPQRMLHGFGSNLFAVDVGSNRIVCTGEEGLVRIWNFSQALENEQRVQALRGLRLENRMRRRNRQLETNDKGRRGNQCSFTENKNQLDGHRNSWNNRRKMVWKVKA >Solyc11g007510.2.1 pep chromosome:SL3.0:11:1769414:1774948:1 gene:Solyc11g007510.2 transcript:Solyc11g007510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGKEDQDQSKIDGVEDSKTTIEFLRGRLLAERSASRTAKQRADELAQMVSELEEQLKVVSLQRKRAEKATAAVLSILEDHSIDDVSEEFSSGSDKETILSDQKDAGNKTGGDISSSAKEKEDDVDILSSSGTVSSSSTARSLSWKSGKSSHSLDRRKYTDSNRRRYSNFSYTDISSPKRVGNSCRQIRRRDTRSASDKLRNSSAECASEPLSSSANNEPHSLTAGAGISDVNDQVHVPALDVPGNGREADKSDEDSQRALHQQVQPIGQYEAEEKAQREWEEKYRESNSCTPDSCDRENYSDVTEERDDLKASQEPCLAGRTSMQNHANQCGAADVSRTKQNGNIDNSPSTPNVNMSCLEDKKGSRTVGSDSSASELARPMSTGNYLENHGQTSAFSHQQSFPVTRSSMHPRSSSLQAGQALQTGYELALVSHNTSNGVDSVLGKLEQAKLSLTKQINSSLPTASYPGTPSRFSSLNHSPELSTYEISLTPPYVESRSKYVTQSNRVTYPFQRAFPEVSSSAPSYRPISETNFEAGQPSSTPYVESRSKYVTQSNRVTYPFQRAFTEVSSSAPSYRPISETNFDAGQPSSVRFNPNSSSRLPFSSKLTYPSYPKFPDMVPKLPPNEVFSRNFPTNETDLPPSFSFSTLSQEVVPRLPSTEKVSRIFPTNETNPPPSFSFSTLSPEVVPRLPSTEVFPRNIPTNEAGIPPSFALRNDPHIRPNMYRG >Solyc02g092290.3.1 pep chromosome:SL3.0:2:54049555:54053819:1 gene:Solyc02g092290.3 transcript:Solyc02g092290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQDQSPSSSGDDGHSKGQILENPPQEKVNIETESEETGIDWISELPDALIVQILSLLPIQDAFRTSVLSKRWQYPWTSIDNLIFDNRNTNWSNRENVINKFISFTDNVLPLLCCSNIKKFCLYFRFCLVASYSSKIDKWLEIVLKKKVEDLDLEIWYYDQSPYVLPQVLCNNSSIVKFNCEFCRIPEECVLNWTSLKSLTLTYLFLRDEHVEQIVTNCPQLELLKLCEFCGFHRLHITSPKCRSLQLIDHAHPDGDWGPFGGDCSFEVVAPYIQHLKISGHFDNVEIRLGDLSSLVIADLTFSVDIVYDNTMLTLFFGGSPGLNKLLKAPARGVKGETLTHEFGMFLSPIKFDIVILNCKRLTINSWISKNAILGIGVLLKSTPYLENLTILHEEGDFFVWDEYLEEEVFDWLGDKSLSLHENIFKGSLQNLKHVEVTSNCCSCQHKADATERLSEFLKSLLEHAKNLEKLVIAPWHNGCNISSANISKVIKNLLALPGASNIAVVSLGLVSGFDFSGE >Solyc03g097285.1.1 pep chromosome:SL3.0:3:61030500:61036058:-1 gene:Solyc03g097285.1 transcript:Solyc03g097285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMPNRAIKISWEVQESLKMVDHGGLSERQFATPRVACFTFIVRHETCLTHDNLQKRGFTVCSRYLLCEEAVEFLHCAFTRHIWTMFPTIFGVHWVMPGCIKLLQCSWYTIAYIKWYQALLDCYPCLAACFFCSLGWKGHGYFEDKEDSTQQDPDDTTRVTCNFCGKPTTGGINRAKQHLIGNIRNAAMCKKYPEEAREKLKNYMEEKKIRKEVYVNEFPEFDEFEYQDNVGGEDEVQEINHKKRGGRSFPSGSNKKLAKGKGPMDIFLQKRGTLRQTNIKDSCDKEARAMTIQKVASFFYDNGIPFNAANEAVGRYGSNLKRPSYHELRVSLLRKEVELTNEIINRHRKEWVKYETSIMADGWTDRKQRTLIKFLVNSPHGTVFIESIDASSLVKTREKLCELLDRYVERVVEHTRAPRIDIEDEVDSDESGEEDIEGYKSSDTDTNENHPIEEDDMEEYYFSD >Solyc09g009130.3.1 pep chromosome:SL3.0:9:2464872:2466169:-1 gene:Solyc09g009130.3 transcript:Solyc09g009130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokine peptide [Source:UniProtKB/TrEMBL;Acc:Q7PCA9] MEQKNIFFLLSLMVLLLISYTTTARLLPTINSQESNGIISNNPISSQVQEDFNDLMGIEECEEKDEICFKRRMTAEAHLDYIYTQHKPKH >Solyc02g088958.1.1 pep chromosome:SL3.0:2:51528689:51531661:1 gene:Solyc02g088958.1 transcript:Solyc02g088958.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWWTEECTVGVNWKSRNAAHPHSSNVFPKEGDFLDNKFSASDIGTWFRDVNPYIAENGIETSVEHEVGLTIGALDAWVVYSSGPSEFYYEGDDLSLLHSHGVDNLNNYLTPRAMLSSRVDGTRKLQRQGDCSILQKLSARSFHIYVINLHPKLW >Solyc05g006820.3.1 pep chromosome:SL3.0:5:1449607:1452944:1 gene:Solyc05g006820.3 transcript:Solyc05g006820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNLLKVSRNASEEDLKRSYKRLAMKWHPDKNSQNNKEAEAKFKQISEAYDVLSDPQKRQIYDLYGDDALKSGQFASASPTSAGSGTGNGRGFRFNTRDAEDIFAEFFGGSEGLSSGSGAGVGRKAAPVENKLPCSLEELYKGSRRKMKISRILLDDSGKPTTVEEVLAIHIKPGWKKGTKITFPEKGDYEYGAAPGDLIFVIDEKPHAVFKRDGNDLVTNQKISLLDALTGKTISLTTLDGRELTIPIKEIVKPGQELIIQNEGMPISKERGKKGNLKIKFDIKFPSRLNADQKSDLRRVLCRSID >Solyc09g060080.3.1 pep chromosome:SL3.0:9:57753882:57775854:1 gene:Solyc09g060080.3 transcript:Solyc09g060080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANFYLHSSEAKGSFAINASPHGDSVESSGRLGAPQLCEPNSADNLMLFDGENEFIEGVRSCRHPSKSNLTPSEQSSKLDRSRNAKELGVSAAFGVPRKAYKRRHRPRSNGDGTRSSTTDIILARGGHSTSLPSQHFTEDVKGLVSDGENPKDQKSSLNISQPSIPNGFMPVETPSSDNQLDSEIHGVKAAEATTYLKNEDLAHSIPEASASRDLLDNQHDQNSLTGVEEMSILEGLEKPQSSLGKEGVGSAGQEGHLCTAAAELENQASISNLNRLSRGKSEQKSLPIDVQSSGAALGTKGLDSESSRTQAIHSLDRNTNDNETFTNPTNLDSNGDLKEQLSVPEGTPVIESNLKEQKEVKADDSCGFTNEICNSGPKNHQSNFIDTSQDEFAGSKSNLQSEVKDKITTQVEKVAPSSLETERKPCTNSSDSSNFQKGYACIVGRKGSIESRIPEPSQHVSPHGVLNPSPEAQAPEINLKLATPGDEDSILKEAQIIEAKRKRIAELSAVAFPLENRRKSQWDYVLEEMVWLANDFAQERLWKMTAATQLCHDVAFTARLRFQEQNSSCKLKKVAHIMAKSVMGFWQSIEGGNKQLELPISRKDHDLAIREYAMRFLKYNDSDVRQSLAEAPVTPERVSDGGIVDVPREDHLGEENLFYAVSFGAMEAYRKSIESHVLHREKTGSSMHEEVETSAYDTIPDYAFEEDEGDSSPYDTSVAIEGNKSSRFSQKKRKIHIKTYSGRPYGVRADVPFTQRAENKLGTHQSMQLGKRPSNNLNASIPTKRMRTASRQRVLSPYSATTSGCAQLPIKTDASSGDTSSFQDDQSTLHGGSHMPNNLEVESVGDFEKHLPFDSAEVSKPKKQKKVKILGSAYEQRWQVDSNFQNEQRDSSRKRLEGHQLDSNGSNGLFGQHVAKKPKMMRQSLENSFENVGPVGGFVPSPAASQMSNMSNPNKLVRMLSGRDQGRRAKALKMSAGQAGSGSPWSLFEDQALVVLVHDLGPNWELVSDAFNSTLQFKCIYRKPKECKEQHKILMDRSSGDGADSADDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLRSHFEKMILIGQKYLLRKNQGYKHDPRHLQQPHDSHTHALSQICPNNLSGGPILTPLDLFDDAPLPSPDYLSVGCQGPRPGGLSISSQCALNSVLPVAGANLAVQGSSSMIGGNNFPSSSSPLNASVS >Solyc12g056120.1.1.1 pep chromosome:SL3.0:12:63037978:63039468:1 gene:Solyc12g056120.1 transcript:Solyc12g056120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:6-phosphogluconate dehydrogenase, decarboxylating [Source:UniProtKB/TrEMBL;Acc:K4DFU3] MEGAATAQALSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLDRAQNEGQLPLIGQYNPRDFVLSIQRPRSVIILVKAGSPVDQTIAALSEYMEPGDTIIDGGNEWYENTERRIDEASSKGLLYLGMGVSGGEEGARNGPSLMPGGSYGAYTNIKDILEKVAAQVEDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNAGGLSNSELADIFAEWNRGELESFLIEITADIFNVKDELTGNGELVDKILDKTGMKGTGKWTVQQAAELSIAAPTIAASLDSRYMSGLKDERVEASEIFRKEGLKEEISSDINGISSVDKKRLIDDVRQALYASKICSYAQGMNLLRAKSSEKGWNLNLGEMARIWKGGCIIRAVFLDRIKKAYQRNPNLANLMVDTEFAREMVQRQAAWRRVVGLAVQKGISVPGMSASLQYFDTYRRSRLPANLVQAQRDYFGAHTYERVDKPGAYHTEWAKLARKARV >Solyc02g070820.1.1.1 pep chromosome:SL3.0:2:40991132:40991455:-1 gene:Solyc02g070820.1 transcript:Solyc02g070820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKAVGYSFSFNRLSKKPFAGTVASEVAGDHHDVLTQPTPPPPHRQSSLRWRFKNLSSGLRWKSKRLYKLRYWFVDCFLFKIVSVFEAIFLVSALAFFYLCFGCHI >Solyc05g049890.1.1.1 pep chromosome:SL3.0:5:60499859:60500134:1 gene:Solyc05g049890.1 transcript:Solyc05g049890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIITPFFLLVLLLSRMETSEAAKLPPARSLAPHPAVSPSPRPAGVSPSPHPAEKNSVGLKKVSSAPAPLPPTTKKNNVPLVSNKSKTRA >Solyc07g055140.3.1 pep chromosome:SL3.0:7:63401846:63404783:1 gene:Solyc07g055140.3 transcript:Solyc07g055140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKNLMEDKQLNLDQPFLSVRRASPTVASGSDETKKTEYSLPSVTHPPRYKSELKSGPVRNPGVVPFRWEQSPGKPKYESKRQIRATEKPPIAPRLPPGRKLKDIQDSDKAHESQNVSESQTGNAQHFTPSCMNLDEKVKKIESIDCSKDMIQDMEKCDSEDADEVYLDASNTLSRTESFFVNCSVSGLSGLDEPEAKLSGTSLRDPQARDFMIDRFLPAAKAMASEKSLETPQYAPRKQPAVQEQPRQLKKVLNGDKRPQLRYGPSFALRYSQFHDNYEEESDDDSCYDGNLPTKVCGLLPRFCLKSSFCLMNPIPGMSARTRVPMSPASRTQTGSSSTASCSGSENEPLMLSVQI >Solyc05g054630.3.1 pep chromosome:SL3.0:5:65348774:65355908:1 gene:Solyc05g054630.3 transcript:Solyc05g054630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKILKEQFSKIQLQSEDEVISFAAFDVERNRLFLASSSNFIYTLSLPSSNKNYSLIILVLWQNAGGWNSISDNLVDLEPGDFITSMDYLMEKEALIIGTSYGLLLLYTADDNTTEIVGRLEGGVKCISPSPDGDLLGVITGFGQILVMTPDWDVLYEMALDDLPEDIDVHEHTYSSNYSSESPISWRGDGKYFATLSRVNNSQTLHKKLKIWERDSGALHSVSESNSFMGSTLDWMPSGAKIAAVYDRKEDRKCPSIVFFERNGLERSSFCLNVEIDATIELVKWNCNSDLLAAVVRGEKYDSLKIWFLSNNHWYLKQEIRYMKDDRVRFMWDPIKPLQLVTWTTSGHITGYNFVWNTAVMNNSVALVIDDSKILITPLSLSLIPPPMYLFCLNFPSAIQSMAFCSRSSLNHLAASLSDGRLCVVELPAIDCWEELEGKEFDVDAASFDSGYNSFIHLAWLDSHKLLGVSHYLVSNSAIKESSKDKLSMYCLQEIDLMCSEDRLPNSVTCSGWQAKGLNRLSLEGTVIGIAPNQGNGCSAYVQFDGGEVFEYALKLADARGLHQKREDMSFSSSCPWMDLVQIGGCLPQKALLFGLDDSGRLLVGERTLCNNCSSFSFYSNSADHSVTHLILSTKQDLLFIVDISDILKGELEVKYGNFLAVFKHRKGEDERNYIQIWERGARIIGVLHGDESAIILQTVRGNLECVYPRKLVLASIINALIQGRYKDALLMVRRQRIDFNVIIDHCGWQNFVQSAAEFVKQVNNLSYITEFVCSIKNENIMKTLYKNYISLPHDIEAKAVDGDLKSSHSNSKIHSVLLAIRKALEEHVTESPARELCILTTLARSDPPALEQALERIKIIRERELSGSGELRRELYPSAEEALKHLLWLSDTEAVFEAALGLYDLNLAAIVALNSQKDPKEFLPYLQELENMPIVLMRYNIDLKLQRFEAALQHIVSAGDAYFEDSMILMKKNPQLFPSGLQLITDSVKRNQVLEAWGDHFSSTKCFEDAAATYMCCSCLDKALKAYRECGNWGGVLTVAGLIKLGKEEVLQLAQELCDELQALGKPGDAAKIALEYCADVNAGINFLVSAREWEEALRTAFLYRRDDLVLEVKTASLECASSLVSEYEEGLEKVGKYLTRYLGVRQRRLLLAAKLQSDERSINELDDDTASETSSNFSGMSAYTLGTRKGSAASINSRASTKARDMRRQRNRGKIRAGSPGEEMGLVEHLKGMSLTSGAKRELKSLLICLVMLQKEDIARKLQHVATNFQLSQMAAVKLADEAISDDIVNEHFYVLDNYIPKIKEDMQHSELFSWQSKVLI >Solyc02g084167.1.1 pep chromosome:SL3.0:2:47913054:47923766:-1 gene:Solyc02g084167.1 transcript:Solyc02g084167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVKLKNFCLARHFRRVNEILGNVPLIGLSSLSPRQTEKLLLGMTPQFEYHEDMEILSSLEVQLNLFSLELMKLLLGTAPQDHLTLHFLLKDHLKLYLLLKNHLKLYLLLKDHLELYFLVGQLLESPPLRIGHAEDERIRLEELRPLLKNQDKNYLDSEQELVSPSTLTSSHNLILRLTPIFLMEYLLKFSEECICNPQLADTDGSSIVPLLVNKQGLRVIGSIKRMPPAIWSLTQFPPVVISSEGSSQVALSFEESSDSEVVLSFEGSSQVALSFEESSDLNFLLKDHLKLYFLVGQLLESPQRTGMTSVCTLEFDDCTQYIGTPVGRTVIVRDAITTNQFEGSKCTR >Solyc03g032055.1.1 pep chromosome:SL3.0:3:4594694:4595666:1 gene:Solyc03g032055.1 transcript:Solyc03g032055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTQQYLQVLTGFYRSSLDFPGSSSFVRIRCLLLLTHPRTNTAVLTSEAGGTFKSTIAFTSCRWLGHFKNGPRREIEHIRIIRLVRIVKLPGRAEFGRVKIDRVNDLPKSYFD >Solyc02g067160.3.1 pep chromosome:SL3.0:2:37919619:37929781:-1 gene:Solyc02g067160.3 transcript:Solyc02g067160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFSIHLEETLPEWRDKYLCYKPLKKLIKHIPSSTADNTPPLPPLPPATAAATELQDWFIRILNEELDKFNDFYVDKEEDFIIRFQELKERIERVKEKSDKDGVLATNNEFSEEMMNIRKDFVSIHGEMVLIKNYSSLNLAGLVKILKKYDKRTGGLLRLPFTQVALHQPIFTTEPLTRLVHECEANLEVLFPLEAEVVESAVPADEQIGATTSYASNVLPDTTFPQGEENGDIYRSTLAAIRAIQGLKKASSTHNPLSFSSNSGNQDNDSPGAVTAEDSDSDSLVASQNGEEMNREVSSSPK >Solyc01g081240.3.1.1 pep chromosome:SL3.0:1:80357826:80363060:-1 gene:Solyc01g081240.3 transcript:Solyc01g081240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILLKWASHICFRNANSCFLIRYVGSIANSLYNWNSKITNSFKKGDVEGARKLFDEMPQRNVVTWNCMISGYVTNGMMCDAQQVFDTMPSRNVVSWTALLSGYAKNGNLQVARRMFDGMDDKNVVCWNSMISGYVSNGRIEEGRALFDAMRIKNDVSFGVMIEGYFKYGDVSEAERLFSEAQVKSVPLYNVMLAGYGVMGRTEDSYKLFMRMARRDVASWTSMITCFLRAREVEKARRLFEDMPDKDVVAWTVMIKGYCENNNVEEAGKLFAAMPQKDNIAWNSMLSGYLQHGRLKDALHLFHTMPWRNTVSWNSILCGFIQQGDITSARELFEQMPRKDETSWNTIISGYQNEEALALYIRMLQNNYKPDQTTFSNVVSLCGVLALYGWGRALHASVTKSGFENDTMVMSAFISMYSRCGFIYEASSLFRSMKKRDTISWNAMIVAQACHGSAKEALDLFPSMIQAGYEPDHVTFLGVLTACAHSGLVDEGWSYFVSMERRWSITPKAEHYNCMVDLLGRSGMLAEAFELIKQIPLDPPAHAQETLLSCCRVHENFDLSDLVEQKLLSLQPSNTGICVLLSNMYSARGMWKDAARVRTLLKKYDLKKELACSWIEINGCISQFVSNDRCNPREMDIYKALGSLSALIEDSGTLVYRGCYSKFGSSEEINVR >Solyc06g084621.1.1 pep chromosome:SL3.0:6:49720839:49731889:-1 gene:Solyc06g084621.1 transcript:Solyc06g084621.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEREGAGVSEELVYRISTMEEWELMQKTGSTFGGDLDRTTGFIHLRHSAHRGDKTADRDTEPSNNISFNNLLCKLVYMDSAKQHPVPDPPCVFFCLILSSTTLTSSDLIPTHAISSEHMFEPAYTINVTQISCKGMWQTSQVQSTLLNFFLNVKDDLYLLQIDAKKLGNGLVYEAVGDSNVFPHFYGPSRSFSPLPLDTGCVETKSLQ >Solyc05g025940.2.1 pep chromosome:SL3.0:5:38171854:38172777:-1 gene:Solyc05g025940.2 transcript:Solyc05g025940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKKYIKISIVLLPMLKNKILMTKMEIIANYSFSGISLKQLSEKNEVDKRWSADPQSVHSFFGDVLFLMVLQQWLSSCTFPAKTITEIMIWFLLMNFLLSYLVPMRIKTFNPCIIKIYVGVLQALKWTMKLELSLNIQNVYAVEIKVRFMETLIHKLEEIVSVSTLSRIVFLNHQMATLVEMLKFLRANLI >Solyc01g012760.1.1 pep chromosome:SL3.0:1:10018202:10022152:1 gene:Solyc01g012760.1 transcript:Solyc01g012760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGENPNESHSISRIVNKNQFLRLKNLLDEPMVKKSIIYGGSSDEDNLDIEPTVLLDPPVQSTIMADEIFGPLSPIITLDKIEDSIEFINARPKPLTIYAFTKNEEFKRKITKRTSSGSLVFNDTIIQYATDTLPFGGVGQSGFGRYHGKFSFDTFSHEKAIAKRSFLTDIWFRYSPWSDHTLQLFRSAFIYDYISVVLITLGLKRA >Solyc07g018360.3.1 pep chromosome:SL3.0:7:10397368:10404933:-1 gene:Solyc07g018360.3 transcript:Solyc07g018360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Ts, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CCP7] MAPMVTIATTNVSVTPGAVLLTRRNQCLSKYDVSRKSSKQTLPTPKYILPLSTSIKLFPHFRVGCILRHKLRGLVVSATETDVAVEEVEATAADDGSGGVAEASSDAAEISEESSVSDVSPRSVQSKRSRPARKSEMPPVKNEDLIPGATFPGKVRSIQPFGAFIDFGAFTDGLVHVSRLSDSYVKDVGSIVSVGQEVTVRLVEANTETGRISLTMRESDDPSRPQQQKDAPTNSDRPRTQRKSTQRNNQRRDEKVSKFVKGQDLEGTVKNLTRSGAFISLPEGEEGFLPASEETDEVFGIIDSGSSLTVGQEVNVRVLRIARGQVTLTMKKEEAASELDSKLNQGVVYSATNPFLLAFRSNKEISSFLDEREKEDEQAEQSKEDAQESDAATIKIDVLPETTSIEEESVNAANDGVPETINGEETKQNVDEEVESAPEGSTSTIGQQAEVSPVGDAEETEAETGSYEQAADQISASETVVGEEVVEKLTDDNVNVVATEIPSVTEAVKETEETSASENDSISSPTGQSEASLENSKDEESQDGVGVLDTQVESAPSVGEQSSDTAAQQEEGAPNTDQDIANSSEQNGTASLNEAAAKAISPALVKQLREETGAGMMDCKKALTETAGDIVKAQEYLRKKGLASADKKSSRATAEGRIGSYIHDSRIGVLVEVNCETDFVSRGDIFKELVDDLAMQVAAYPQVQYLVPEDVPKEIINKEREIEMQKEDLLSKPEQIRSKIVDGRINKRLEDLALLEQPYIKNDKMVVKDLIKQTISTIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPVSSPGKEQPAVEAKETTVEAPKAAVSAALVKQLREETGAGMMDCKKALSETGADLEKAQEYLRKKGLSTADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRGETFKELVDDLAMQVAACPQVQYVSIDEIPESAVNKEKDLEMQREDLKNKPENIREKIVEGRVSKRLGELVLLEQPFIKDDSVLVKDLVKQTVAALGENIKVRRFVRFTLGEEAKKEGIIEEPAAV >Solyc12g100030.2.1.1 pep chromosome:SL3.0:12:67911485:67914283:1 gene:Solyc12g100030.2 transcript:Solyc12g100030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYRKFLFLLFVCFCQFSFSSSIPHLCHKDQSTALLKFKKTLTVDSSLVTCSSYSYTSSWNRSRDCCSWDGVICDEMTGHVIQLNLSCSGLVGKIDSNSSLFQLSHLQRLDLSSNNFSNSHISPEFGRFSSLTLLDLSDSYFSGHIPSEISHLSQLQSLHLSPSFETILRLTAHDLTLLLQNLTQLRELDLTSINISSTIPPNFSSHLTTLRMGNTGLYGIIPESIFHLPNLETLVLQNNNQLTGYFPKTKWNSSASLIELDLSGVNFSDNLPESIGYLTSVHSLSLKNCKLRGPIPESLLNLTRIEDLDLQYNSLNGTIPSGMFSLPSLSRLVLSNNQFSGQFEDFNSNSLIWIDLSNNQLQGPLPKLIQNHVNLTGLILSFNNFSGHVDVSLFADLKQLYYLDLSYNHISLTNENKHNVTLPGSLMSLQLAACEVKELEFLRSAKLLWHLDLSNNRIQGRIPDWAWSNWMFSLQRLNLSHNMLQGVDSIPLLSIEAIDLRSNLLQGSLPIPPISTRFFFISRNNLSEEIPSDICNLTSLVMLDLARNNLKGEIPQCLGYISSLEVLDMHHNILSGTLPTTFRIGSALKSFNFRGNKLEGKIPQSLTNCKQLDVLDLGDNNLNDTFPVWLGTLPKLKVLSLRSNKLHGSIKTLPTGNMFPQLRILDLSSNAFTKSLPTSLLQHLKAMRTVDQTMNAPSDEGNRYYQDSVALVTKGLELEVVRILFLYTTVDLSNNKFEGYIPSIMGDLIALRVLNLSHNGLQGHIPSSLGSLSSVESLDLSGNHLVGEIPAQFASLTSLEVLNLSYNHLEGCIPQGKQFHTFENNSYEGNDRLRGFPLSEGCGNDSETNDTTSGLDDEENDSEFLNDFWKAALMGYGSGLCIGLSIIYIMFSTGNPRWLARIIVELEHKIMMGRRKKQQKQRSDKRRNNSLA >Solyc11g066920.1.1.1 pep chromosome:SL3.0:11:53013141:53014886:-1 gene:Solyc11g066920.1 transcript:Solyc11g066920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAMDISTMAQAMQLHARLLKSGQEDPTHFQNLSKLFTFSALSPSGDLTYARHILTNLRTPNSYYYNTMIRAYSDSIDPTRSITLFLSMHCREEQPGVVVPGPDKFTYPFVLKACSKLRNTQMGKQIHGLIFKSGLISDRYVNNALIHMYAGCGDSGLALKVFDEMSERDVVSWTSMIDGFVDNNRPIEAIKLFELMMESGVDPNEATLVSVLRACADTGALSIGKKVHSFVKEKDLGMKANVGTALIDMYAKCGCIDDARRVFDETMDKDVYAWTAMISGLASHGLSEEAMEHFELMKSCSVKPDERTMTAVLSACRNAGWIGKGLYHIRSMKKYKLRPTIQHYGCIVDTFVRAGQLDEAEQFIRKMMPIDPDVVLWRTLLWGCKVHGDVERSKRLLKDVELLKMDSRDCGSYVLLGNVYAATGNWKEKAKMRELMNQRGLVKSPGCSRIETDGNVHEFTAGDSRHVEAEHVYAKLDEMEQNVRREGYDPKVSEVLLEIDDDEKASQLLHHSEKLAVSFGLIRTDPGTVIRIVKNLRSCEDCHSFMKLISKLYHREIIIRDRIRYHHFKDGECSCGDRW >Solyc12g062347.1.1.1 pep chromosome:SL3.0:12:32244827:32245870:1 gene:Solyc12g062347.1 transcript:Solyc12g062347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPMRKIPTLSRDLIVEIFLWLPVISLMRFRCVSKFFNALVLDSDFTHVHHQRSMTRDGGTRFLMGKAEDLCAVDLNKYGNISRWNFDRPDQYFNGPCVNGSYCIWEYDKEPVRIFNPSIRKMVVLPYQRKNSAPVEKSYKVLMQVHNSSGHAQSFIFTLSIDKSWRKIKDIINFFPCYSMKNYFIKGFIYMMDYSKNSIVAFDLRAENFRVIGLGDDISKNIFDYDLIEVKGKIALLDCWGSFTGRNDLWILENPEKEEWKSHGIQIPSHWKDLEDILKPKYGPPQGFCDSRDGEIIFIAAKDNILFCYFYDVGEVSWRCLEIHGPPIEDEINGINIYVESLYLCQ >Solyc05g018797.1.1 pep chromosome:SL3.0:5:23785601:23795987:-1 gene:Solyc05g018797.1 transcript:Solyc05g018797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDRTESLHGLTEVEFLEEKDHFSMPFMDQMLDRLAGKGWYDFLDGYLGYNQISIASEDQDKTTFTCSYGTFAFKSMSFGLCNAPATFQHYMMLIFSDMVEDINEFFMDDFSVVGDHFGIDVLLESWGKSMETGTSVDHPEPRHVPPAIQVAPWGNEAGPSNHPPRVVPYLYQLDEVIGGIPFSLSSRGS >Solyc09g082845.1.1 pep chromosome:SL3.0:9:68945488:68945929:-1 gene:Solyc09g082845.1 transcript:Solyc09g082845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSYSSHHHFVLCLFLVSFLCVNSQNSYVVILRNESPNNVATDQCYVNDEIDPAEKVVLNPGMSDHITTTFFPGNFNTLSCNLQLEEKHANLFTLFDSNDTSICHNPSEECLWKMQEDGLCMCSQVTN >Solyc02g079880.3.1 pep chromosome:SL3.0:2:44830768:44836699:-1 gene:Solyc02g079880.3 transcript:Solyc02g079880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKATMTLKDFHGGSIPSDLPLPSAPGVMIRPSDRGGFDRQASWGNPMGRPEHRLRPGSAGATRNFDEKTPFLSHNAPIGRNFDEDERKPLDGSSGPRRTVSDESIRALPSRVEPKAEYSVTGMVGSGQVSAPPSQNPRGPASWFNESTSVGASTKGSAGGSGRSVNSRNVSASSAQVVAGSYPNAWGLRKEVASAKESVSAPWSAPDSESKLAHASALEKVTSGRWHSKQQVHSQTDVEVVKRQDTEKELYYHGSTTVNDSVYNMPDVVGGPEYSDQVLAVHAERNLVLTDGVHGFYKELPARERARSPLFMEANERRTSSNITGLQRPHNVVSSGGYEMQSPASSEPSERPKLKLLPRSKPLESLEQSVDYKQVNQHPSNPVHVEKLADAYPTIDPTKTGFVEHERSNQVTERPKLNLKPRSQLLEQSDGNTENKRKPLFGGARPREMVLQNRGIDDVMHNHDLQQPHPRGKQHAGKAEAAMHATRHNEKTESIPIDHRMAKSADRRDHRIDVEKGDGQRRNWRKENWRNNKETERHHHHHHPQQHVQERPPSPETWRKPVESPRPASADAPGMRYGKAASAVELAAAFSKSVSDPATATDRFSSQKSLPNRGQIPFSRLTGPQQRPQINGY >Solyc06g066220.1.1.1 pep chromosome:SL3.0:6:41641800:41641985:1 gene:Solyc06g066220.1 transcript:Solyc06g066220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSCVLIPNRNTLSSTSATIATKGSMFSKMYFKSLSLNLTPLKSFVIHFTKETSLLIRLE >Solyc04g009135.1.1 pep chromosome:SL3.0:4:2650483:2650863:1 gene:Solyc04g009135.1 transcript:Solyc04g009135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVFVSFALQKLGDFLIHKVSLLRSRDQRVQQWVFEINSIANDVVAILKTYNFEGGKSDDIGFASCLKKLILQMSPRCQGDSITQAKNHGYLSQMRDLWGTVFHGNNIEENQLQCG >Solyc02g082150.1.1.1 pep chromosome:SL3.0:2:46421212:46422261:-1 gene:Solyc02g082150.1 transcript:Solyc02g082150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFMDPQESDDETFIEVTDPFMNKSPGSEKQISVDPISLKEPNELTIPFSKPKPLNITKKISSFSLPNSTTSSPDFSKKKHILHSPLSSTNHLARQHSVALTNLERLREIQLRKSKSCGEGRASAPPEEFDIWFTPNSTIQQVADNNNKYLINNNSFSPESEISNRNEEFYEKKTLEGRNQDEQKFKCGALCLFIPGFGKGIKHVKSGRRQVSGVSSSSDIGPHVVSRTVSLEKFECGSWTSSAAIINDVGDAASNNMFFDLPLELIRCSNANDDTFSPVTTGFVFDKEVKGVLKNTTSSRKSHESTRHVRFSTSSPTSYPPSPTSCITPRMLTSREDFTTFLEAQSA >Solyc08g029070.2.1 pep chromosome:SL3.0:8:38324486:38325242:1 gene:Solyc08g029070.2 transcript:Solyc08g029070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVFAYFWCFFLASMVFKFSHAIISLLLETASGHDTSKLEARDMVDPRRPQGTTPRGRGVGREGALRYYTSRPRAGRPRGTTPRGRGRAVLEAGGRAEQPRDTTPRGQRAGATSGQDALSQGAGRAASGRGVGQATLRHGASRLEGRVGASSRRSALKLVGRVGAFSFV >Solyc09g013120.3.1 pep chromosome:SL3.0:9:5516238:5525269:-1 gene:Solyc09g013120.3 transcript:Solyc09g013120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIRLSKPANESGGLPPETVTVACPDHLVIADLPVAKSLGTVNPASLPKTVGRRSRRQLADRVHFCICCDFPIAVYGRLSPCEHIFCLDCARTDSVCYLCDERIQKIQTIKMLEGVYICAAPHCFKSFLKETEFESHIHETHSDLLRLENDGNISEYASARKPAASESTVQAAPRPIFSPGSGSQAHDREDKGHHSQTRDQQLPRPFMQQKPPFTGSIQSQPLDQQFDSNPPPGFERNRLPQQGFDAQGSFPVHMPQPHGFAVPVSSNPGLAHQFGYPQFAPEGAQPFYGAASVEMPRLDSTPERGSEQGSLLGFPPGAAGNRNFPENYPRPWNMGQLAGQSNLPLPLSANRGLEGGQSSNSMDTRDSKGILMSQPASLQPPLPHHRSQLQRGGRNYSGDSSHGGQGYGWQHEKHGNFRSNQD >Solyc10g044580.1.1.1 pep chromosome:SL3.0:10:26887943:26888350:-1 gene:Solyc10g044580.1 transcript:Solyc10g044580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKAETKSSAEKAAAAVAEKSKSIMKIPTYATGDKKSKTSKKSVETYKNYIFKVLKQVNPDLDISSKAMGIMKNFINDIFEKLSQEFSTLARYNKKRTITTWEIQTAVWLVLPVELAKHAAIEGTTTVSKFKIS >Solyc09g064510.3.1 pep chromosome:SL3.0:9:62013058:62017663:1 gene:Solyc09g064510.3 transcript:Solyc09g064510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMEGFQATPYDGGIAKRFWVKFKNESLCALYTPFIVCLASGTLDSKSFLHCISQDVYFLQAFAHAYELAEDYADDDEDKEAIGVMRKRVLRKLKDQDDLVREWGFELPEVSTCDSATVRYTDFLLATAAGKVEGEKGRGKIVTPFEKTRLAAYALSAIAPCMRLYSFLSKEIKSVLVREESNNIYERWIDCLCSESFEANASRIEDLLDKLSVTLTGEELDVVEKLYHQAMKLELEFIASQSITQSTITPISQVQEPAECNLTLFCDFDMTCSAVDSSALLADVAIIAAAKTDLEDCESPYVHISAADLRTTWSNLSSKYIEEYEQCIESIRPSETVGRFDYEGLCKALVQLSDFESRANDMVVHSGVLRGLSQEDIKRVGEHLVFQNGCKNVLQEILGRENMHADVHILSYCWSGDLIRSAFSSGALPELHVHSNELPYEGSVTTGDMIKKMESPMDKLQAFNDILKSCENNGKHTTVYVGGSVGDFLCLLNADVGIVIGMSAGLKRLGDQFGVSFVPLFSGLVTKQRELAEGSCSGWTGMSGILYTVSSWDEIHAFILGL >Solyc07g045280.2.1.1 pep chromosome:SL3.0:7:58515653:58515748:-1 gene:Solyc07g045280.2 transcript:Solyc07g045280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGIGRRRLYCLVCGLDSCTMFSSLLLTRP >Solyc05g021163.1.1 pep chromosome:SL3.0:5:26616597:26617138:-1 gene:Solyc05g021163.1 transcript:Solyc05g021163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQHMKEHDTIILDQLDMSSSAAKNVQADRSLQPTDNAGHTVHAGHVRINVVNVQNVTDIPDLEGSESLHRQGYGDQTSVETQSPSDSNTRQQLGEEIKKKAEESSPQTSNNKSNTRLSKKRRDAQKKDKRRSMTQGLKLNSKNNKRELKKWMNIVI >Solyc05g056055.1.1 pep chromosome:SL3.0:5:66303429:66308354:1 gene:Solyc05g056055.1 transcript:Solyc05g056055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAMYIRVKRNKTTYFIQCDPTETVLQMKEKLSNLIDQPANDQQLVLMPAGDVLEDSKSLADQKVENDAVVALTLRKDDNEFEEVNIVKPNDEF >Solyc06g083390.3.1 pep chromosome:SL3.0:6:48847143:48848916:-1 gene:Solyc06g083390.3 transcript:Solyc06g083390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARANVPKFGNWGNEDNTPYTVVFENARKNRGGKMINPNDPQENPDMFPNVAPSSRPKTPPTEEPMGMETARQTNKRRVSKEDGDFRASSPARNEPTTHQRHGGGRGSNSGRPSRQSGGSDHSIAKSPLHPNSQAKISGRVAASPVWEGKNLYDSSHGTPGRSFESSHATPGRHQMKQESPDRGTVVPKFGGWDDNDPQDAENYTEVFNKVREQRHVDTGNMPAAGVRTSYSTQRQQRNEKQK >Solyc12g099740.2.1 pep chromosome:SL3.0:12:67738370:67759715:-1 gene:Solyc12g099740.2 transcript:Solyc12g099740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHEIHSEDDKGHVGKLKARIMKTPAQLEGLEKFYQEHKYPTESMKLEVAQSLGLTEKQVSGWFCHRRLKDKRLSSRETNAKGRQDRSSGVIQDRGSGFRQDSCGSTKQGDDKYFDHREVESRRLTGPEVSTADVTREADTRYRAESNLMEDTPSGSSSSLRDARFSQNVESFDMATSRYLPGNEPTDLKCVKPRTGPSGYLKVKAKVENASITAVKRQLGSLYRQDGPLLGVVFDQLPQGAFESSVQTPVDDPIYSGEPVPDLTPDFTKVDKRSNSCIGYGSISKINSHGSDLDGANLKKSNKSSHPEYYINQKPDRNSSMHNGDRYYLGRNSSIEMRKDSGREVAVDGRCNYKLMAKHDGAANPGSSGGFQSSYIGKVNREQVTARFTSRDGLSLDIAEEEDLQCKPSNFVHKGSKQHYCPERELSSTVEKDYIHVDRPTVDEDYNEDHVKIQWEKEMRVAKRATDEEAPNHQEYVRKASVTRMPSQTNHQISSVLIKKLGSSLFDELMNLRWSLLGGLSFGAERVGEMNSDVNRASGLIERDIEQAITALKKGACLLKYGRRGKPKFCPFRLANDESALIWFSGKEEKHLKLSHVSRIISGQRTTWFIAPVAVVNSAFAVDCATILFLDDHEKIPEPRLKQWPEPIFQRHPRPEKEYQSFSLIYNDRSLDLICKDKEEAEVWFSGLKALISRGHQRKWRTDSRSDGIPSGATSPRTYTRRSSPLHSPFGSGDSSQKDGGDQLRLHSPYGSPPKTGVNKAFSDVILYAVPPKGFFPSDSASASIHSLSSGGSNDIHGQMKAMGMDNFRVSLSSAVSSSSQGSGHDDGDALGDVFMWGEGTGDGVLGGGPHRIDSCNGVKVDSLLPKALESAVVLDVQNLACSGKHAALVTKQGEMFSWGEESGGRLGHGVDSDVLHPKLIDSLSHTNIELVACGENHSCAVTLSGELYTWGAGDFGLLGHGNEVSHWVPKRVNGPLEGIHVSYISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSISKPREVESLKGLRTVRAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVAALVEPNFCQVTCGHSLTVALTTSGHVYTMGSPVYGQLGHPQADGKLPCHVEGKLSKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGTTDDRNSPTLVEALKDKQVKSIACGTNFTAAICLHKWASGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKTSMAPNPNKPYRVCDNCCNKLKKVIETDASSEASMSRRGSLNQGLTDDIDKTTKLDIRSRPHLTRFSSMESFKQVETRSSKQKKKFEFNSSRVSPIPSSNSQLGALNSSKSSNQVFASSKKFFSASVPGSRIVSRATSPTSRRASPPRSTTPTPTLGGLTSPRIVVDDAKRTNGSLSQEVAKLRAQVETLTRKAQLQEVELERTSKQLKEAISIAGEETAKCKAAKEVIKSLTSQLKEMAERLQVGPGRNVKSPKSVSSESNITSSDIPNGCIDQVHSQLTFQELETCVFNSHLLSNGSSNASNRSAVHNRQGNPEATTKNGGRTKECDSRNENEWVEQDEPGVYITLTSLPGGVKDLKRVRFSRKRFSEKQAEKWWAENRARVYELYNVRVVDKASIGTASVDLAH >Solyc12g042920.2.1 pep chromosome:SL3.0:12:59302813:59309781:1 gene:Solyc12g042920.2 transcript:Solyc12g042920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGKKIRIGLDGFGRINRFIARAAHRDENKLSNASTVLKTSTFKHDSDGFGSAGLKSFRAFAVVGAGISGLLSFAGVAYSDEAEHGLECPSYPWPHEGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYPNEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGIPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Solyc05g010207.1.1.1 pep chromosome:SL3.0:5:4399144:4401074:1 gene:Solyc05g010207.1 transcript:Solyc05g010207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGQEERNHVAYAFCFNEASGPYKVLRSVLRNFEGYPSVSEFEVYTIGVDEKWRYVGKAPKPLHESFSNSNVNGVVHWMNMDKNDRIYSFNSWTEKMKT >Solyc06g005630.2.1 pep chromosome:SL3.0:6:691857:694520:-1 gene:Solyc06g005630.2 transcript:Solyc06g005630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEICSIRQARDRERIAPVNHLIDSDELHYDVPPLESFPIRRRLQDLRLQIANLNREVDEFEHHTYGELSSIFRIPSVNDEEIDACLESEDAPPEQASSSSTSALASDQESMTIGVPDEDGSSDELICNICLEPVIEGDLVCCFPCIHQFHAVCLDKWLELSPTCPVCNLC >Solyc10g052795.1.1 pep chromosome:SL3.0:10:53480427:53480731:-1 gene:Solyc10g052795.1 transcript:Solyc10g052795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVANNIEHDSFGNVYKGVLENGVEITGKKQDVTSHKGYTEFEKEVKLIANVQHCYLTKFLGYCINGEENS >Solyc11g013675.1.1 pep chromosome:SL3.0:11:6940996:6943119:1 gene:Solyc11g013675.1 transcript:Solyc11g013675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSQRGVLMFDPLDTGRTFWPKILLQSVVISEKDFFGRKIIKKGNRWTEDEQRSFSKGLDFHGKGNWTNIVKNFVPSRTPTQVSSHAQKCLVRLLDANSNSNETKKQKKSSVFDLRIEKTEDTHQAMVPLINNQATHNVTNYMMRSAPTVMPLTWVSV >Solyc06g071810.1.1.1 pep chromosome:SL3.0:6:44375481:44377358:1 gene:Solyc06g071810.1 transcript:Solyc06g071810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine-rich repeat receptor-like kinase [Source:UniProtKB/TrEMBL;Acc:K4C8Q3] MTSNIHFFLLYVVSLFLFVQARLNLYPPDHAALLLVQKDLGIISVNNPCTLAGISCERRPGNTTQVVRVTRIVFRSNGLKGTLSSAIGKLTELKELSLSDNQLSEQIPVQIIDCRKLEILQLQRNRFSGKIPSELSALNRLRIVDFSSNEFSGNLDFLKYFPNLEKLSLADNMFTGKIPFSLKSFRNLRFLNISGNSFLEGPVPVMSQIEHLSADLNRKNGVPKRYILAENSTRISAMGPASVPAPAPAPVNRVVPAMHKRKNKKRKLRSWFLGFLAGTFAGGISAVLCSLLFKMVMFFVRRGNNDSSLTIYSPLIKKAEDLAFLEKEDGVASLEMIGKGGCGEVYRAELPGSNGKIIAIKKIIQSPMDAAEITEEDTKALNKKMRQVKSEIQIVGQIRHRNLLPLLAHMPRPDCHYLVYEYMKNGSLQDILQQVTEGTRELDWLGRHRIAAGVAAGLEYLHINHTQRIIHRDLKPANILLDDDMEARVADFGLAKAVPDAHTHITTSNVAGTVGFIAPEYYQTLKFTDKCDIYSFGVVLAVLVIGKGPSDDFFQHTSEMSLVKWLRNVMTSDDPKIAIDPKLIGNGYDEQMLLVLKIACFCTLDNPKERPNSKDVRCMLTQIKH >Solyc04g071133.1.1.1 pep chromosome:SL3.0:4:58149407:58149838:1 gene:Solyc04g071133.1 transcript:Solyc04g071133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGARHVHTPLYPNIKLICIEYDSHIQDTNTIARDKPLTDVGRYQRLVGRLLYLTMTRVDISFAVQVLSQYMHAPKESHMEAELRLIRYIKSAPGLGLFMPSQSSELLTVYYDSDWGTYVQTRRSISGYLMKFGGALVSWK >Solyc05g053700.2.1 pep chromosome:SL3.0:5:64593438:64595542:1 gene:Solyc05g053700.2 transcript:Solyc05g053700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKLPSKDSAGVVTTFYLHSHTSHHDELDFEFLGNRKGKPYILQTNVFANGIGDREERIQLWFDPTTNFHEYSILWNSHHIVFFVDEIPIRVYKNKSYRGIGYPTQPMQSEATIWNGESWATENGSQKINWSNSPFIAQFQGFNIEGCPSNYHSLNCNSTKWWWNSKKLWKLTLDQEKSYKDIRSKNMIYDYCKDTNRFQNIPLECSSDY >Solyc05g032850.3.1 pep chromosome:SL3.0:5:44034459:44045067:-1 gene:Solyc05g032850.3 transcript:Solyc05g032850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTNQQSIDPFTSVSSSGERVEEPHNVAEQPISPKDERIGSANASPDGAIPGASRNVQDHPVTSEAGAIPTFYPLNSYSPQDPGLYYGGYDNGTGSWVEQSNDGNVNNLHVAPPGMFNENPLFFPPGYGFDPQMAFGQFSPIASPLSPFMIDGQLYSHQIPVSPPYYAPPVSPGLPHVTSALPASQPDLVAPGSTGHEIDGTHFGPGSGYYIPVGPFGGGELSGSSNMGFYNYQGEVGSGQSLPNLANPVDSGRYISQMTSARLYPPPVGILGSYEQNAMQASHQGLGFTPGSLSRKYSQHSLYPSANYGSGSSSLWEPGHRNWLTPDRGGRRERDRHSVNISTESLGMASERNRGPRALKPKSKASIEDSSSSVICREVELTSILQPGQYNRPEFVTDYEHAKFFVIKSFSEDNVHKSIKYGVWASTPQGNRKLDAAYAEAKEMNANCPVFLFFSVNASGQFCGVAEMVGPIDFENSAEYWQQDRWSGKFPVKWHVIKDVPNSQFRHILLEHNDNKPVTHSRDSQEVKLPEGLEMLKIFKNYETDTSLLDDFTYYDEREKSLLEKKSKQRPLPPGSAAADTISQLADSLAGTLNLEGNKKLP >Solyc09g015030.1.1.1 pep chromosome:SL3.0:9:7455385:7455603:-1 gene:Solyc09g015030.1 transcript:Solyc09g015030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWSFGTVHQSLEHLIGAHERILNLARESRVLDGRRRKEEVRRSSMLLLPVRWGGSCWPKIMEKTERYEQ >Solyc07g053055.1.1 pep chromosome:SL3.0:7:61633472:61634368:-1 gene:Solyc07g053055.1 transcript:Solyc07g053055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFNIKILSLHSLGDLCCSTASDRVMKRLTITIAKSITGLVQVKYLKLSMRFLNIVHLFSIIKLSQLFSTFLWGVNEVAEHVELQNLLYISFLRYEDVFLRLLHF >Solyc10g054935.1.1 pep chromosome:SL3.0:10:56087957:56095515:1 gene:Solyc10g054935.1 transcript:Solyc10g054935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSIVMEKVTDCLMHPIARGIGQQSDPKRIHGEIAKGVGLTLEGDDMLSHGDRLCTRESPTEDLLVQ >Solyc06g066100.3.1 pep chromosome:SL3.0:6:41553519:41557301:-1 gene:Solyc06g066100.3 transcript:Solyc06g066100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITEGVNKMSVSETQKKNRIQVSNTKKSLFFYVNLSKRYMQQYNEVELSALGMAISTVVTIAEILKNNGFAVEKKIRTLTVDMRDEPGARPIPKAKIEIVLGKTEKFDELMAAEAEQNGDNEEQQN >Solyc05g015860.3.1 pep chromosome:SL3.0:5:12528743:12532779:1 gene:Solyc05g015860.3 transcript:Solyc05g015860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPIPPWLNQFRASANSFLSQYEPIILLITPLFSLLIARILQSIFLVIHDRGLKATIISFVMTAIKLVPGVKKHIDSEKQKIVDKLQGSSNRDGWRTELPKSGLGDNVLVQMRDEKQKDVTWQGKCSGTVYIGGNENEGHFSLINEACSMFAHTNPLHLSVFPSVVRFEAEVVAMTAAILGSKEKASGGKICGNMTSGGTESILLAVKSSRDYMNAKKGITKPEMIIPVSAHSAYDKAAQYFKIKLWRVPVDKEYRADVKAINRYINKNTIMELGDLASSYQICFHVDLCLGGFVLPFARKLGYPVPPFDFTVQGVTSISVDVHKYGLAPKGTSVVLYRNREIRKV >Solyc05g055690.2.1 pep chromosome:SL3.0:5:66075993:66092368:-1 gene:Solyc05g055690.2 transcript:Solyc05g055690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSFEIPPSSTTSVTAQPPPPFLGSTQNVPPSSSSSTFAPPVQAMPPPMVAPYGMQTLQTQPHQVAPLSVVPGSMQPRMMFGMASLHSNQAEAAITPSMGHAGSAFAGQSNFDSSQIPRPISNSAVILHETRQGNKANPPPPATSDYIVWDTGNCSPRFMRCTVNQIPCTSDLLTTSAMQLSLLVQPLALPHPSEQPIQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGYTDETPQDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVREPMPAVYFFLIDVSMNAIQTGSTAAACSAISQVISDLPEGPRTLIGIATFDTTIHFYSLKRARQQPLMLIVPDVRDVYTPLQSDVIVQLSECREHLELLLENISTMFGHNRTADSAFGAAVKAAFLAMKNTGGKLLVFQSVLPSTGVGALSAREAEGRSAVSAAEKVKFEFQEAHKLLQPADKTLKTMAIEFAEYQVCVDVFLTTQSYVDIASFSVIPKTTGGQLYYYFPFSAISDAAKLYNDLRWNITRPQGLEAVMRVRCSQGLQVNEYSGNYCKRIPSDVDLPSIDCDKTIMVTLKHEDKLQDGSECSCQCALLYTTIYGQRRIRISTLSLPCTTMLSDLFRSADLDTQFACILKQAASEVPTAPLPHIREHVTNHCINIIYSYRKFCATVSSSGHLILADALKLLPLYTLALIKSTGLRTDGPIDTRSFWINYVSPLSISLAISWVHPRLIAIHELNTKENDESLIPPPIPLSSEYINDNGIYLLENGEDCLIYVGNSADPGVMHQLLGISSVEQVPAQFVLQQHDNPFSKKLNNIINEIRRQRCNYLSLKLCKKGDSSGMLFFSNMVEDKTSIGLSYVEFLAHIHRHVQRKMA >Solyc11g005560.2.1 pep chromosome:SL3.0:11:418624:426098:1 gene:Solyc11g005560.2 transcript:Solyc11g005560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCLSKLMNLEGVLDDYSVVQVESVHELSEQMCQICGDELETSDGELFVACNECAFPVCRLCYEYERREGTQACPQCKTRYKRIKGSPRVEGDEEEDDTDDIEHEFDYGVEILRQGSGPVSFVYGRSGHAGTYEYGSSSRQGSSTDGMEIPLLTYGEEDSQISSNQHALIVPPSNGFGNGIYLNPRTESSVSLLPRPMVPEKDIALYGYGSVSWKDRMEDWKKKQNENLPMVKHQGDGGGSFQYLPMMDEGRQPLSRKLPISSSKINPYRILIILRLTILGLFFHYRILHPVNDATGLWLTSVICEIWFAASWILDQFPKWCPIVRETYLDRLSLRYEKEGKPSELAPIDIFVSTVDPLKEPPLITANTVLSILAVDYPVDKVTCYVSDDGAAMLTFEALSETSSFARKWVPFCKKYNIEPRAPEWYFSLKMDYLKNKVHPAFVRERRAMKREYEEFKVKINALVATAQRVPDEGWTMQDGTVWPGNNVRDHPGMIQVLMGNDGVHDVEGNDLPCLVYVSREKRPGFDHHKKAGAMNALMRVSAVISNAPFLLNVDCDHYINNSKALREAMCFLMDPISGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPVKKKRPSKTCNCLPKLCSCCCCFRSKTNKKGKTKKLKKPKHWEASSQIHALETIEEGVKEANVDSRPTSQVKLEKRFGQSSVFVASTLLENGGVPKEASASSLLQEAVHVICCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCMPQLPAFKGSAPINLSDRLHQVLRWALGSVEILLSKHCPIWYGYGGGLKRLERLSYINSVVYPLTSIPLIVYCSLPALCLITGKFIVPEISNYASIIFIALFISIAATGILEMQWGGVGIEDWWRNEQFWVIGGASAHFFALFQGLFKVLAGVETRFTVTTKAGDDGEFSELYMFKWTSLLIPPTTLLIVNIVGVVVGISDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLMGRQERTPTIVIVWSILLASVLTLLWVRVNPFVSKDGPLLEVCGLDCDD >Solyc01g087650.3.1 pep chromosome:SL3.0:1:82466488:82474178:1 gene:Solyc01g087650.3 transcript:Solyc01g087650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDSEDPMDEASPVTGLLPLASAAQQPYISELLSFTLDRLHKEPELLRVDAERIRRQMQEVAVGNYRAFISAADALHAIREEVSSVDKHLDSLLNEIPKLTSGCSEFSTSAEHILEKRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVSKLSAMHPKLPVIQALAAEVRQTTQSLLSQLLQRLRSNIQLPECLRIIGYLRRIGVFNEYEMRLQFLRCRQAWLSGILDDLDQRNAYEYLKGMVNCHRMHLFDVVNQYRAIFADDTSGREENYDGGLLFSWAMHQISSHLRTLKMMLPKITEGGSLSNILDQCMVSIYICARQYCAMGLGWVGLDFRGLLPPLFEEVVLNLFSKNMNAAVENFQLVLDSHRWVPLPAVGFPTSSLSEESHEDVTPPSSLMEHPPLAVFVNGVSVAMNELRPCAPLSLKHVLAQELVKGLQGVSDALLRYNTTRMLRENESLLFLSLCRAFIEVAFPHSVTCFGRCYPGGAALIADATTLFDGISRLLATSSGSSREVPKPVGNSEANSTSENGDAPKKENGEIPSSEQPESRNAEEEPNNVPSENEEKPGNLSS >Solyc02g076980.3.1 pep chromosome:SL3.0:2:42629280:42635414:1 gene:Solyc02g076980.3 transcript:Solyc02g076980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTINWKSAFLALAVLTMWTIEVTSRELNEASMVQKHEKWMARFGRVYRDDAEKAKRFNIFKDNVDYIESINKSGMRPYKLSINGFADLTNEEFRATHNGYKPSSHQKSSKTVSFRYEDVTAPATMDWRKKGAVTGVKDQGQCGCCWAFSAVAATEGINEIKTGKLISLSEQELVDCDTSSDMGCEGGLMDDAFKFIIKNHGLTTESNYPYEGTDGTCKTGKKSNGAAKITGYEDVPANSESSLLSAVANQPVSVAIDASGSDFQFYSSGVFTGECGTELDHGVTAVGYGITSDGTKYWLVKNSWGTSWGENVDLMNILITLFFVISMFNTQTRGRSQPKLSVSERHELWMSRHGRVYKDEVEKGERFMIFKENMKFIESVNKAGNLSYKLGMNEFADITSQEFLAKFTGLNIPNSYLSPSPMSSTEFKKINDLSDDYMPSNLDWRESGAVTQVKHQGRCGCCWAFSAVGSLEGAYKIATGNLMEFSEQELLDCTTNNYGCNGGFMTNAFDFIIENGGISRESDYEYLGQQYTCRSQEKTAAVQISSYQVVPEGETSLLQAVTKQPVSIGIAASQDLQFYAGGTYDGNCADRINHAVTAIGYGTDEEGQKYWLLKNSWGTSWGENGYMKIIRDSGDPSGLCDIAKMSSYPNIA >Solyc05g008495.1.1 pep chromosome:SL3.0:5:2837392:2840791:1 gene:Solyc05g008495.1 transcript:Solyc05g008495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGHVESNCFRLHGYPPDWKFKKKGTGNIINAYNVQIDGASTKGKSMDSSVNENLQRAPQMTADQHGHIMKMLDGNVSTANAIANMAGLKWIIDSGATNHMISNLDLLHNVHTVKTNQNSKVHLPNGGVTLDLTTGKLKGIGKEKDGLYFLVQQQRGAKPAWTPLEANVKLTTQELDCVTGEQNDEPFEDRELYQRLVGKMLYLTMTMLDIAYSVQTLSQFLQNPKKSPWEAALRVMRYIKREPGLGILLSSKSSNKISVYCDADWASCPNTRRSVSGFIIKHGETLLSWKSKKQNVVSKAQQRLNIELWQMQFQN >Solyc02g065760.2.1 pep chromosome:SL3.0:2:37478531:37480513:1 gene:Solyc02g065760.2 transcript:Solyc02g065760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFFFLCIILLIPHVLKVLNQDHAYANSLRKMPSLIAGRELVNNLTRLSSVHPTNSPSRTSIPEYP >Solyc06g052100.2.1 pep chromosome:SL3.0:6:35879144:35882060:1 gene:Solyc06g052100.2 transcript:Solyc06g052100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPDLTPLFPEIPLNEYGIKSTLFPFLEAPSSPFYYDSDDEWEMMRLRSTASIEASYEHYLRTGVISFYFLLSLSYESILSVIMGIEDVGMVPEDILPSNASSTLYVVGLPEDCTTRESAILEVTKKLVYMQPGANTLILCFVDFLSPLHAAAAMDKFDLGKHDSGNLLLQFARNPGARSVRSGGGNR >Solyc04g008170.1.1.1 pep chromosome:SL3.0:4:1849460:1850914:1 gene:Solyc04g008170.1 transcript:Solyc04g008170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSYDHLPCHLKPLLLYFATTQKSQRTPVSTLMQMWMAEGLVDHDSLEETTQSYLDALISSSLIMVDHIPSWSKWWASVMIKVCYMHDVVHDFCSLKAKKERFFKLINSGDPFHASDLLHRRLTVYTDDDRQLQKKFVLFNSNKCLGGCKHLISLKVSGSLDNYKYTRHLRLVRVLQLDGIVLEDSLMDDIGFLFHLRFLSIRSNMEAIPESWVNLQNLETLLINTENTIVLLPRLFKLSKLKHVSIDKSSFFDEEEEDNIRLLEGENSKLKTLSKVDISYSQGTNDALEKFPNLEHLDCTIMVPECPPTHGDWFPKFDILNKLQSLIAEYIIEWNYHVYPIEYHFPTSLKELRLFDIPMRPALLSAIVALPQLEILEINYCEFVDYKWDASEDIYQSLKTLRLACPELLEWEVYRETFPKLEELILENCFMLTEIPYAFGDIDTLKSIHVVQSELELGDSAMKIKKDVIDFTGEDRLHVHIS >Solyc02g090520.2.1 pep chromosome:SL3.0:2:52696238:52699708:-1 gene:Solyc02g090520.2 transcript:Solyc02g090520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSICLCHKWFSLLTVRFYLHPLFICRHLNKEFTLFSVMSWDMKTHQIFVVRLDFVFMYTMHTL >Solyc11g027645.1.1 pep chromosome:SL3.0:11:18756883:18758817:1 gene:Solyc11g027645.1 transcript:Solyc11g027645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRLVEDRLVEDCLMEDRLVEDQLVKDHVGGMTRPLNAMNASPDIRDETTAGITATACTKLVLQWILVKGFRLYSFQLPDSWSPAPSPKSNTNSPSPVTTMGRNLNDASPARWTCDPSSYHESSQQLAEPASTFYLINASLPEVGDQLGSIPQKRHAPHETGMPFQSRSGLRRARQLFTRSIRIGQIEADEGPMPTISTVSENSRRRSRTMPLYNKAREGVGRESVFWDSDVRPS >Solyc08g068380.3.1 pep chromosome:SL3.0:8:57546826:57554074:1 gene:Solyc08g068380.3 transcript:Solyc08g068380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSSRHPMDKEMMNNLVKYVMGKPFPGTHTVMDVDDVYGIRIFSPSQILESTKGTNNNIRLMITFQNRENCKVFASKEAPGFWKCTPKRKSIFDANKRHIGNVKISWYCYYNVDDGRDKSSSRLRQSEWQIREYYLTSKYLPQSKVERKNVLLTMMIKIKAAAANNDNDKKMQKIIRDKQEIMQSLQWAEHGTHSFEPADGDCWLCRNTCFRSILVYTQASASYREMWCTHKMYLQLDGCMSMNRVKCVVLTLMVSSSDANGPTNMYSGVTKDSGGN >Solyc12g057077.1.1 pep chromosome:SL3.0:12:64134957:64137166:-1 gene:Solyc12g057077.1 transcript:Solyc12g057077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLKTGGRRSRQEDRTCQKCRDKKLKLVESRLGILLLAKMLATTFFFHHVSSKLQEMRLSFDEIIVVISWAKHVKVLFKVIVLRLNLRISACSNYR >Solyc01g090800.3.1 pep chromosome:SL3.0:1:84383736:84387288:1 gene:Solyc01g090800.3 transcript:Solyc01g090800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin [Source:UniProtKB/TrEMBL;Acc:K4AZ21] MANISPRKLRDDLYCYSYEQDSNIPLVISVLASLIERNLARNERIAKNCTWALSKNVRTRVFDCHETPDMTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQLYPHFRISPRNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNEMNKLEMEFVFMMGFKFHVNVSVFESYCCHLEREVSIGGGYEIERTLRCAEEIKSKQIEERSCCSQITRVLL >Solyc05g008880.1.1.1 pep chromosome:SL3.0:5:3100776:3100997:-1 gene:Solyc05g008880.1 transcript:Solyc05g008880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRELRATGIRGVEDITNVGIWSLWLMVLVCLCIISTIIFSCADGVSKEKEATTHTDNYGAGCGAGCGAACGA >Solyc07g052700.3.1 pep chromosome:SL3.0:7:61284382:61290779:1 gene:Solyc07g052700.3 transcript:Solyc07g052700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKIVMKKIEDSTSRKQFYSNRKDSIVKKSNELAVVCGTDVGLLMFSPSGQLTTYSSKESIEDIMIEAMNKSVNPRPIPNLNEQLLMQSLKQSKSEGQMVGKIAIAEAHEKKLNELKETLREAQQKIRYCNPQVENISSVQEAEAYEQFLRSNMEQIQQSKAKLLGVQGLVHRNEYPAVNTEDTAAAGTSSGWMF >Solyc11g008070.1.1.1 pep chromosome:SL3.0:11:2269849:2270871:-1 gene:Solyc11g008070.1 transcript:Solyc11g008070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDETPTTATAPSAVTTAAGDQDTDSSTTANKPHIRWSDSYTKAHDAIQSLSSILPSVPPSLSSSETPAACLLRDTETAAQISKLLRQPDSGAGDDNLCRWLYDTFQSNEPELHLVVLRFLPIIAGVYLSKVNLHKPLAGFEAVLLALYAHETSNRNSQSITVNIPDLSHSSIYHETNKAAKNSATELNLAVISPTLEPFGTVRSMKRGRIVGVALELYYTKIPQIPVESKLEFCEFCRIWSSGQVGEDNADVKRRIHMPWELLQPILRILGHCLMGHKKDEKLYENAIGAIGSLYERALHDLNTKAMLATGSLLQLVKLATECEEVDYTEITETNTITL >Solyc07g021345.1.1 pep chromosome:SL3.0:7:17156397:17157066:1 gene:Solyc07g021345.1 transcript:Solyc07g021345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKDIEVECMKLGDVVIRRMDVEVNDYPGSGANNRHTPGHP >Solyc02g032480.2.1 pep chromosome:SL3.0:2:28986758:28997782:-1 gene:Solyc02g032480.2 transcript:Solyc02g032480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASIQLEEEIEGDQIGSKNYRFSRIGTPVPIKSGEDSSFDIENECPPLQPLVVSERFRLLFVAHSNGFCVARTKEVMTSAEEIKEKGTGPSIQELSVVDVAIGKVSILALSGDESLLAACVGNKIHFYPVSALLYKDQTPAFSHSLNDSSIIKDMQWAKKAEKVYVVLATDGKLNSGVGQSPIKQVMDDCDAFGWSPDGEFIAITRKNLVSILSSKFEEKFSILLSFKSLLDDLNAKYVIKVDVVRWIRPDCIIIGCLQVNDDNEEEESYAVQVITSENGRITNPLAKPVVRSFRDVFLDFRYDAVPSCSGPHLFSSYLDQHQLAFVANRKNLDQHILLFGWSVGDTKNEAAIIEILNDNWSPKIEAHDIGDDILILGLAIDKVSQNGEIKLLLGEEEKEVSPCCLLLCLTNDGRLSIFHFASATAASVSPQSTDFEEKNNSYKVASSQDLVVESSSARKQINQVDSALQPHEIDRGHKVLATSAQSSVAEKFSSEEAIKTTNQNQGANLMLSASKTFVSVDAGGVNNFRTQETEKVAXXXXXXXXXXXXK >Solyc02g081845.1.1 pep chromosome:SL3.0:2:46193500:46197597:-1 gene:Solyc02g081845.1 transcript:Solyc02g081845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCYGMTITELKLLGIDLVEFITQYYQAANLSSVRFSLTLLSQPSDFSTKGAFYTHVKIKRDGTQVPDFIIGVKTYALALPISSSKILEVIGFFG >Solyc03g083680.1.1.1 pep chromosome:SL3.0:3:55071897:55072211:1 gene:Solyc03g083680.1 transcript:Solyc03g083680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVMKVVIVLSIIVCILLTPTVAKGGSSGRSGGSRRSGGSGTKSRGGSGSSGTATTTWIPSARARPTTVGSNSNRHSNSSSSTSFGWSSMAMTLFAYFTFVYF >Solyc03g123570.3.1 pep chromosome:SL3.0:3:71885785:71897467:1 gene:Solyc03g123570.3 transcript:Solyc03g123570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSLDLLGLLKSWIPQRDSSADVSRDFWMPDESCRVCYECDSLFTLFNRRHHCRLCGRVFCAKCTSNSIPVPPREPRLLQEECEKVRVCHYCYKQWKQGFNHAIQVSNLDSNTFLSAASFISVKSSGTGDSSSSSITLVPQSPVLSSCKSAVMESSLVRQNNVATAKGSTDPADIGIRDPLTNQFSFCTTRSYDEDDEYGVYQLDSQGKHYSQTNGYFSYDDNGKDYGSNKVHPNGEATDEKSVSSLSSQNNFETQASEEVQQIVKQDIGDECEASSSLYAAQDANLEPVDFENSGILWLPPEPEDEEDERDGMLFDDDDDDGDAAGEWGYLHASSSFGSGEYRGRDRSNEEQKNVVKNVVDGHFRALVSQLMQVEKLVIGEEEDKESWLEIVTSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGHRSDSAVVKGVVCKKNVAHRRMTSKVEKARIVILEGALEYQRVSNHLSSFATLLQQEMDHLKMAVARIDAHNPDVLLVEKSVSRYAQEYLLEKDISLVLNIKKPVLERIARCTGGQIVHSVDHLSSQKMGYCDMFHVQKFLEEHDTAGEIGKKLVKTLMYFEGCPKPLGCTILLRGANRDELKKVKRVVQYSIFAAYHLALETSFLADEGASLPELPLDSPITVALPDKPLTIDRSISTIPGFMIPADERTLGPLSGSEPQRSMSAPPTGLVKAVSNCAQKMGVLESPGLCATKDNFSSFCKPSLDHESEIGIMDMMKCSEVKASVANDVQDAHGNKFLSTSFGPSQEVDQDMLSQSVQNDCNTMDVNQGGEDAPDDLTSLKKEFSPSPSDNQSILVSLSSRCVWKGTVCDKSRLFRIKYYGNVDKPLGRFLRDQLFDQSYRCHSCEMPSEAHVQCYTHRQGTLTISVKKLLEVLLPGEKEGKIWMWRRCLKCPRDNKGFPPATRRVVMSDAAWGLSLGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGKMVACFRYASIDVHSVYLPPSKLDFNYENQEWIEHEVNEVIFRAELLFAEVLNAIRLLVEKRSGRQLNSSINVPEARRQISDLEGMLQKEKQEFEESLQRILMEEVKKGQSVDILEINRLRRQLLFQSYVWDHRLVYAASMDDKSHWFSGDVTSLEPEKPLVCDDKSTDLDNCADPSNCPNSSESVPAILKAGENGDEGRSVGQNSHVDAVHQESAVDFDADCAIEKPPGLPVATKSFCGSHPEESILQRRRALSAGQFPNMESLSDTLEAAWTGETTSGVVVIKGDTCKSSEPHLVNTLTTGMAEKVYTEDHATMLSQTPSLLASKGSENMEDAGSWLGVSFISFYRTLNKNFLPSAQKLDPLGGYNPVYISSFRESDAQSGARLLLPVGVNDTVIPVYDDEPTSIISYALASHDYHAQLSGELEKSKDASLDSNFSFHSLDSSNLHSPQSVDEMFLESYRSLGSMDESLLSLPISRSSFDLDPLSYTKTLHARVSFGDDGSLGKVKYSVTCYYAKRFEALRRICCPSEMDFIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFMKFAPEYFKYLSESIITGSPTCLAKILGIYQVSSKQLKGGKESKMDVLVMENLLFGRKLARLYDLKGSARSRYNPDSSGSNKVLLDQNLIESMPTSPIFVGNKAKRLLERAVWNDTAFLAAVDVMDYSLLVGVDEEKNELVVGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIISPKQYKKRFRKAMTTYFLMVPDQWSPTSVVPSKSLTNLCDENMQGG >Solyc06g048590.3.1 pep chromosome:SL3.0:6:31430517:31436624:1 gene:Solyc06g048590.3 transcript:Solyc06g048590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYRRGKCDLMYVIEGLLFLTSWIMVVNGSIHEYKDEAFIPRFNSFFFHGGSEGLYASKLQDSPLLLSDDTNNNNNKPINGKSFIRFESIIFRRTKEAANKQNEMQQSTGIVEAIILEVKDRNEIGGSYLNSDAICCTPALAKDGSCKVGEVIIHQDPENPGWPKRIQTSFEGNSEEANMVFHNVQINKTGMYYLYFMFCNPELKGTLISGRTVWRNPEGYLPGKMAPLMTFYGLMSLAYLILGLLWFLRFVQHWKDIIQLHYHITAVIGLGMCEMALWYFEYANFNATGSRPMGITIWAVTFSAIKKTVSRLLLLVVSMGYGVVRPTLGGITSKVLLLGVVYFLASEALELVEHLGNINDFSGKARIFLVLPVALLDSCFIVWIFSSLSKTLEKLQVRRSLAKLELYRKFTNSLAVSVLLSVAWIGYELYFNASDPLSELWRRAWIIPAFWTLLAYLILWVICILWAPSNNPTRYAYSGEGLDDEEEGLSLTGSTVIVGGDLSKLDRKERKASITADHVFGLGEVVLEEDKRA >Solyc08g014030.1.1.1 pep chromosome:SL3.0:8:3626855:3628111:1 gene:Solyc08g014030.1 transcript:Solyc08g014030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPNYHENSSSSRSESPEGVEPVSDAKWAPKLLHECATAISNKDSTKIHHLLWMLNELASPYGDCNQKLAFYFLQALFCKATDTGLRCYKTLTSAAEKSKSFDSSRKLILKFQEVSPWTTFGHVASNGAILEALDGENKLHIIDISNTFCTQWPTLLEALATRNDETPHLKLTVVVMESTLVKSHVMKEVAQRMEKFARLMGVPFEFNVISGLNHLKELTKESLNIKEEEALAVNCIGALRRVEVDERGAFIRMIQSLNPKVVTIVEHEADFSSTRNDFVKCFEECLRFYTLYFEMLDESFPTTSNERLVIERECSKCIVKVLACDNDIGDDQGYSERRERGNQWCERLKECFTPFTLNDDAVDDVKALLKRYKAGWSLVLPQANQESPGIYLTWREQQVVWASSWKPQEKSMEVET >Solyc12g062840.1.1.1 pep chromosome:SL3.0:12:35420281:35420721:1 gene:Solyc12g062840.1 transcript:Solyc12g062840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHGYSPQTVKRNKKGKNHRHAVVDHDDKIKCSGKSCRSCSGGLIADCVAVCCCPCAVVNILALAFLKIPWMVGRKCLRMVKKKKLEDKNDKSITITDSTVQEGIHVEQELKDSFSTTIDVDQVWLDLYQVGSMGFGRVSFTGTN >Solyc09g059090.1.1.1 pep chromosome:SL3.0:9:53450078:53450452:-1 gene:Solyc09g059090.1 transcript:Solyc09g059090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQGDSDDHDSKRFIFNAKRDLEDPNFKFTLNMIFSSSKEFKWAVEVRAVMMKKDIKFKKNGSRRERAICNVSSCKRFIYASKANEDEPFMIKTIALIIHMANKEKTKPLTLDLWLRNMKMSS >Solyc06g071210.3.1 pep chromosome:SL3.0:6:43947381:43951455:1 gene:Solyc06g071210.3 transcript:Solyc06g071210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:Q56R02] MAFAAQQKKAIHSMLANKLTHLKIPDSSSSSSPPPTDPDFDFSDVFGAPTSPSSSSSFLTDPQIIHSRSHSFVGPSPRITLSKPLPFHQEVDSEGESDSDKVNTHVGTHQTECSDGIEEISGDEGSAVTKFGPGDFEILRMIGKGSFGKVFQVKMKGYGGEGEGDGILAMKVMRKDTVIKNNHVDYMRAERDILTKVEHPFIVQLRYSFQTKSKLYLILDFINGGHLFYHLYRQGIFSEDQARIYAAEIVSAVSHLHQRGIVHRDLKPENILMDGDGHVMLTDFGLAKEIDESSRSNSMCGTMEYMAPEIIQSKGHNKDADWWSVGVLLYEMLTGQPPFTHANRKKLQEKIISEKLKLLPRLTGEAHSLLKGLLQKDPSKRLGSGPRGGDEIKSHKWFRTINWKKLDARELQPKFKPDVIGRDCTANFDKCWTTMPPDDSPASTPTTGEHFQGYTYVAPNPWLSSS >Solyc02g071070.3.1 pep chromosome:SL3.0:2:41132179:41136306:1 gene:Solyc02g071070.3 transcript:Solyc02g071070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKCESSPMHATSSGLLQGDNPLHYSLPLVIVQICLVLVLTRVLAYLLRPLRQPRVVAEIIGGILLGPSALGRNKNYLNAIFPPSSLPVLDTLANLGLLFFLFLVGTELDPRSLRRTGKKALCIALAGITLPFVLGIGTSFALRSTIAQGVNQAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGSGSPIVSLWVLLSGSGFILLCILIAPRIFKWMAKQCPEGEPVNELYVCATLAIVLAAGFATDAIGIHALFGAFVVGVLVPKEGPFAGALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGAQSWGLLVLVIATSCFGKIAGTVCVSLMCKLSVQESLALGFLMNTKGLVELIVLNIGKDKGVLNDQIFAIMVLMALFTTFMTTPLVIATYKPAKMAVTEYKNRTIMRKDTSKQLRILTCFHGTRNIPTLINLIEATRGTDKKEGLRVYAMHLLELTERPSAILMVHKARKNGLPLWNKEKAGESNQVIVAFETFGQLSKVSIRPNTAISPMSSMHEDIIASAERKRVSMIIVPFHKHQRLDGHFETTRADLRNVNRRVLEHAPCSVGIIIDRGLGGASHVSASEVNYSVLVLFFGGHDDREALAYGMRVAEHPGITLNVVRFIIDPAVIGASVHVDIVQNSSPVPESQEDEIFLSDMKQKSSGDSSIIFQDSIVKDIRETTEVIRGFKKCNLFIVGRMSEGQLVSAFDSKSHHCPELGPLGNLLISGEISTSASVLVVQQYRSELPQESLRSLRAGDSSRVGSSRIGHSGRVGHSARVGHSTKGVDGDEEVTEI >Solyc03g117360.3.1 pep chromosome:SL3.0:3:68004321:68014843:1 gene:Solyc03g117360.3 transcript:Solyc03g117360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQTLFLHNSSGLGDEVVAMSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRSKGVEILVKDLKVFASFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSVPKPGSFPPLGAHGVGFFVELTCYLCLTTKSFAYSVTNFIQPFQPGPAPVAAPLAGWMSNPPTVAHPAVSGGPMGLGPSSIPASLKHPRTPPTNPSIDYPSGESDHAAKRTRSLGISDEVNLPVNVLPISFPGQGHNQSLTVPDDLPKTVARTLNQGSSPMSMDFHPSQQTLLLVGTNVGDIALWEVGSRERLVLRNFKVWDLSACSMPLQTALVKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDDIRQHVEIDAHVGGVNDLAFSHPNKQLSVITCGDDKTIKVWDATSGARQYTFEGHEAPVYSVCPHHKETIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESHIVEWNESEGAVKRTYQGFRKRSLGVVQFDTTKNRFLAAGDDFSIKFWDMDHVPLLTSIDADGGLPASPRIRFNKDGSLLAVSANENGIKILANNDGIRLIRTFENLAYDASRASETTKPTVNPISVASANNSGFADRVASVVGISGMNGDARNPVDVKPRINEEPNDKSKIWKLTEISESSQCRSLKLPENLRVTKISRLIYTNSGNAVLALASNAIHLLWKWQRNERNTSGKATASVSPQLWQPSSGILMTNDVHEPNHEEAVSCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNVLVSSGADSQLCVWSTDGWEKQRARTLQLPGRSTSQSDTRVQFHQDQTHFLAVHEAQIAIFETTKLECLKQWVPRESAAPISHATFSCDSQLIYASFLDATVCVFTAGHLHMRCRIIPSAYLSPSISNSNIHPVVVAAHPQDPNQFALGLSDGSVHVFEPLESEGKWGVPPPLENGSTNGMPTAPSIGASGSDQAPR >Solyc02g071325.1.1 pep chromosome:SL3.0:2:41374158:41380661:-1 gene:Solyc02g071325.1 transcript:Solyc02g071325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASSPADLEIGAEVVPTLGNNSSYKGRSRRSDLYCDHCHLTGHTKAVCYKLIGYPPDYKFKKKTGSYIKENETSKGNPNPSDIGNQFGGQSANFAGSSHMSKGSTDAFGAIPQFTEQQYKHILTMLDSEKSEADHVALTAGMIPHTTIISDDVKWIVDSGASSHMVSSVELLSHTTTVNKSGLGKVHLPTGNVVNVTHTGSSYLFPGHKKAFTANVAAAATNACSSSLGMSSTDSDSYSMSMNLWHKSSSMGDASVSETPTLQSPTVPITRKSSRTTKPPIWMHDYVSTSKGSANCCYPVSDVGIVMSQRKYALELISELGLSGTKPVNTPLETNLKLTSVDYDDFITKEAGSTSEDILLVDPTQYQRLVGKLLYLTMTRVDIAYVVQVLSQFMHSPKQSHMNAALRVVKYIKNAPGLGLLMPSDSSGKFVAYCDSDWGGCLQTRRSVTGYLVKFGNAIVSWKSKKQETVARSSAKAEFRSMASVVAEITWLIGLYKELGISIKYWALVMSKWINIGSYNQLMGQESPILKELF >Solyc02g082790.1.1 pep chromosome:SL3.0:2:46993907:46994447:-1 gene:Solyc02g082790.1 transcript:Solyc02g082790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRGSSYNDLFEKIFTRCMNDDYDFEDDGLVVTISCKGNNERAKGQSSFSQTMMNPHLKASFRGLTVDQNKAAALTVDQNKAAAVASAEPRPAIHDVTHELSCISLVGGLIPSAEEATRPSKDQP >Solyc05g054520.3.1 pep chromosome:SL3.0:5:65273378:65279325:1 gene:Solyc05g054520.3 transcript:Solyc05g054520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDSDFLSLSDLESELDSISIPMFFDKNRHICYLEMMLELLPSPYQSQEINRLTLAYFVVCGLDILRSLDRVDKEGVINWVLSLQTHLQDEAELSNGQFYGFHGSRSSQFQPNDYGNAIPNCSHLASTYCALSILKTLGYDLTLMDSMSIIKSMKNLQQHDGSFMPIHSGAETDLRFVYCAAAISSMLENWSGIDKEKAKEYIINCQSYDGGFGLTPSSESHGGATFCAVASLRLMGLIEDDILSKNVSSCFIDVPLLLDWCLQRQAATDGGFQGRLNKATDTCYAFWVGGVLKILGAHKFIDYEGLRKFLFTCQSQYGGFGKTPEQLPDLYHAYYGFCAFSMLEEPGLKSICTELGITNGPVQLL >Solyc04g055170.3.1 pep chromosome:SL3.0:4:53724841:53729476:-1 gene:Solyc04g055170.3 transcript:Solyc04g055170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Annexin [Source:UniProtKB/TrEMBL;Acc:K4BSR4] MSSLKVPASVPDPYEDAEQLKKAFKGWGTNEELIIQILAHRNAAQRKLIRDSYAAAYGEDLLKDLDSELTSDFQRVVLLWTLSPAERDAYLVNEATKRLTASNWVIMEIACTRSSDDLFKARQAYHARYKKSLEEDVAYHTSGDFRKLLVPLITAFRYEGDEVNMTLARKEANILHEKISDKAYNDEEIIRIISTRSKAQLSATFNHYNDHHGHEIIKDLEADDDDEYLKLLRAAIECLKTPEKHFEKVLRLAIKKLGTDEWDLTRVVATRAEVDMERIKEEYHRRNSVTLDRAIAGDTSGDYEKMLLALIGHGDA >Solyc12g021195.1.1 pep chromosome:SL3.0:12:14746484:14748489:1 gene:Solyc12g021195.1 transcript:Solyc12g021195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLFYFLRIRLNFFICVHIQDLINFPLILGASLHEQPNKLYMTLNRKRLVVEAQSLMQKIMENLLSYRMKVAIHCKEYSNTIPINSENLREIVMEMEYLPISSWETSHMIMSDFFKIWKETLGKKIITGLFYAC >Solyc11g020330.1.1.1 pep chromosome:SL3.0:11:10877535:10878107:1 gene:Solyc11g020330.1 transcript:Solyc11g020330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVISKLTLLIISIACIFQVSSLSADGSSLVPLIIDQMISSNPANTFLDPFKVLEQIPFGLENTLLARVDWKETAKGHVISVEVPGLKKDDIKIEIEENRVLRVSGERKKEEEKNDEENHWHCVERSYGKFWRQFRLPENADIDTMKAKLENGVLTISFAKLSADRIKGPKVVSIESKQQGKESSVREEL >Solyc11g010915.1.1 pep chromosome:SL3.0:11:3965941:3969929:-1 gene:Solyc11g010915.1 transcript:Solyc11g010915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGMNRRQSPDPVSVLRGHRASVADICFHPSKTILFSGSTDGELRIWDTVQHRTVSSSWVHSAAHGVICVAASPVLGDNKVISQGRDGSVKCWEFGEGGLSRTPLFTIKTNAYHFCKLSIAKSPSETLETDDLEINKIVDDMQRGEQGDPLTDSTISKGKELSEGPKYVAIAGEQSSVVG >Solyc09g082180.3.1 pep chromosome:SL3.0:9:68412564:68415671:-1 gene:Solyc09g082180.3 transcript:Solyc09g082180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTPVSTPNSSVKSSSTTSVMDSEMKDSYYFPGCRKDTNCNCEICIASFNATLDLMTNSMHKSSITKLSAARALQFPRSPISYTPSRTFSTPKSSSNSYASSSMSPPLNSTARGSFHEKVKKRKRGFGFGVLFMRLIFGLGVVLGLEFGLSSLVSGFLQPQLSQQMVKNLSEKSWGLKDFDERLMFFKKELEGLIDDDEISSCSPLNSTWKINQDSLLLNSRCTLYKSSSEELSIWGWPLQTAGLLTAGFSARSYTILSGRLTEWSNGEIGYSIRKSNSSWTQQKWSGSAVQMDPNTWILEYSQSPLTENGKLVSAVLEFLKFRLRREVQKLKQEFWLSSSFVGQQSDFTIERLQVPT >Solyc12g005970.1.1 pep chromosome:SL3.0:12:594216:596685:-1 gene:Solyc12g005970.1 transcript:Solyc12g005970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCNHPLLNNLKRKEQILSLSKRIIAFQEFLTDSETIKHRHERLKMLEGKIKEKTYQVEDIVDSKLRKYFVAKNANYRRKAFEVLCRRLQVAIEEMEFIKKEVTKIKGDKISTLKFHKQVLPARHISTSSPDVQQKPVGFQEDLEKIIDRLRGGPSELDIICIVGMAGIGKTTLAKRAYNDISVVNRFDVRAWFPSEIMQLVNLRYLALATSSEFPPTVSQFWSLQTLILHVYSRNSTLPREIWKMPNLRHLHIKPSICLPSQTNEERNGYNSLVLNNLQTLTNITLADCTTDVFSSTPKLKKLGICETVEYTYPVQIPWSDFLYTSENLWPYCSDTISDLWSDCLRNLALLPQLEALKIVGLRAPVQVPKLAFHLDEQSGNKLKRDSVL >Solyc05g053320.1.1.1 pep chromosome:SL3.0:5:64285482:64286147:1 gene:Solyc05g053320.1 transcript:Solyc05g053320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRLFDIGKFDRKTSFTLWKIKMHAVLVQNSLHKPLTGEKPIDMKADQWKEIDEQARSLIYLYLADEILRQVIEEKTAKQVWDKLEALHLENIRVNKLLKKHCLYSLRMKKGTTTASVTSHINDFESIVTDLENLDEKIDDETKALLLLRTLPCSYEDFVDEIVDGKDAISFDEVKSSLLKISSTESSSRSRNGDVVCWNCGKIGHVSRNCDYSNSKTDS >Solyc05g054780.2.1 pep chromosome:SL3.0:5:65467785:65469017:-1 gene:Solyc05g054780.2 transcript:Solyc05g054780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVSQLTSKTLQATICIFLLVLTFDCASSARILDEVSQVADASSEIDEPVVAPVVAPATTLPRVATAAPGGTTGAATSGAKVESPILEHPTFSFFMHDILGGSLPSGRVVTGIVATSDANNLPFSKLNNQIFPINGGQQANTVLQNSGNNDVVTGDDTQPFVTAGQLPSGLSLQQLMFGSITVVDNELTEGHELGSSVLGRAQGFYLTSSSDGTSHTLALTTLFHGEHNHEVDDTISFFGIHRTATPISHIAIIGGTGKYENAKGFATIETLPHVDQHTTDGLETITHFTVYITP >Solyc04g045290.1.1 pep chromosome:SL3.0:4:32163542:32165222:-1 gene:Solyc04g045290.1 transcript:Solyc04g045290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKLLVAGILQGELIVRSVCIFVTYVLNLKKPGVCLCYPLAYHDNAVTTGVEINNITRHCIILLIHTLLSPNGRLLIIVGDDRKGNQDKTCRIWDVRNLSKSDTVLKGNLGPIQSIRYTSDGRFVAMAEPSDFVHVFDVKSG >Solyc03g059070.3.1 pep chromosome:SL3.0:3:29786075:29796538:1 gene:Solyc03g059070.3 transcript:Solyc03g059070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFYHIISVKIRWTLLWNYTQQKNGVGAISDSEAPLRNNRPQIVRIDSAFSPQDNYLINCGSNADVLVDNRLFLGDFNSVYTSQGKSIVFEENTNPSSNLSFLYTSARVFSDASKYVFNINKIGTHFLRLHFSPFTSRNYDIKKAFFSFSANGVLLFSVNTTITNSIVREFILMVDKFELQIDFTPNPYESSFAYVNAIEVFSAPDDFIIGDGAKSVGPKGIQEFNQNMTLQTLETVHRINVGGLKLTPFNDTLWRSWIPDEDFLILKYAAKIAKTSHVPNYQKGGATREIAPDNVYMTAQQMNTDNVTTDFKFNITWNFPVAIKDASHFVRLHFCDFVSLSPGQLYFNVYLNGFTAYKDLDLSSLTFHELATPYYIDFVVYSGSSGVVQVSIDPSNLSSSIRKNAILNAVEIMKMVNFVASRTGSNKRNVWVIVSSVLGSFVLLTVVMILAALLFFICRKKKLKPKPSESAGWTPLCRYGSSSHATLSDGTSPGPNGYLGQRIPFAEIQLATNNFDKSLLVGSGGFGMVYKGILGDNRKVAIKRGVPGSRQGLPEFQTEITVLSKIRHHHLVSLVGYCEEQSEMILVYEYMEKGPLKRHLYGPGISPLSWKKRLEICIGAARGLHYLHTGFAQGIIHRDIKSTNILLDENCVAKVADFGLSRTGPCLNETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEVLCARPAIDPLLTREQVNLAEWAMQWQKDGQLDKIVDPHIRGQIKLNCLRKFGETAEKCLADYGIDRPTMGDVLWNLEYAFQLQESGTHGEVAEISNELPVPVPEGLHNEVYNGDGASNVSTSKEAREYLSKKNEKLKTKQERTSECEPEVENISIPLLEEESIGDVNQLSDKAEKEFDRLPLCGTSDFAYEDSSFKQEEFLPTSNSV >Solyc06g065750.1.1.1 pep chromosome:SL3.0:6:41334159:41334878:1 gene:Solyc06g065750.1 transcript:Solyc06g065750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLWKRGTTLGQGGFSVVSALFRGVTLPSIIVVKSCNYSDSQSLKEEVEILRMFKHSPYIVHCFGANVSFEDNVNLYNLLLEYASGGSLADRLQNCNSLSEFEVKKHTKNVLIGLSCIHNKGIIHCDIKPGNILLVGADRTAKIADFGLSMTLEQGMNQKQGIIRGTERYMAPESVINTEYTPKVDIWALGCTVYELITGTPQWEDAMCWTKSSLRNQSFRIQSCQMRLEIFWKNVL >Solyc04g056743.1.1 pep chromosome:SL3.0:4:54812729:54813026:-1 gene:Solyc04g056743.1 transcript:Solyc04g056743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLHAPVPLLVRRECREETEINGYIYCTESFKPERLEQTLLVTILSILRLVEGEGFVPGYHLPLAQLLYQFDWNGAKRLLLD >Solyc07g063450.2.1 pep chromosome:SL3.0:7:66061242:66065786:1 gene:Solyc07g063450.2 transcript:Solyc07g063450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQNSGGLISERPVRKRIASRNYDENLMDNFIDEQLGGPVGKKIRTKKDLEKETEKEALIALSLGFPIDDLLEEEKKAGVVSELDGKEQNDYIVVRNHILVKWRENVHIWLNKGRIRETVSVEYEHLVAIAYDFLLSNGYINFGVSPSFVSNLPEEPREGSVIIVGAGLAGLAAARQLMSFGFKVSILEGRNRPGGRVYTEKMGWKGKFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDKCPLYKPDGAPVDAVVDSRVELIFNKLLDKVTELRKIVSGLANDVSLGSVLEKLRRIYTVAKTKEEKQLLHWHFANLEYANAGCLSELSAAYWDQDDPYEMDGDHCFLAGGNWGMIRALCKGVPIFYGKTVETIKYGNEGVEVIAGDQLFQADMVLCTVPLGVLKRRLIRFEPELPEKKLEAIDRLGFGLLNKVAMVFPHVFWGEDLDTFGCLNNYSHRRGEYFLFYSYHTVSGGPVLIALVAGDAAQLFESTEPSTLVNRVMNILKGIYEPKGISVPDPIQSICTKWGSDPFSFGSYSHVRVQSSGSDYDILAENLGGRLFFAGEATIRQHPATMHGAYLSGLREASHIYRSMKARLNNPRKTVSKNVRPSNDVLEELFKNPDLAFGKFLFVFDPLTCDSKSLGLMRVTFGKSNNEFNTEEADNMPQHLLNPSLQLYTVVSREQARELQLVKEGNHCKLSDLLKGLGLKLVGANGLGVQGHSLSAKIVNARKGRKRSRSCTAKHKAGNSNNTGPGLTIKKSHNIEIERHMNGHTDEFDHSEGSKDDYTSAATPEVKQMSFHGNIELPDWASNITSVLWIPVRATCDELLKGAPAVEGLRTIALKLEFGVSQNQIFERTIAVHFTDPFSVSIRVTDKSTDKKLLLQVILQSQVQATLTIYDSWLDLQDDFAPTRNGDKKPISGFFPLVISPKSRAGILFSVCLASAPIEEET >Solyc11g013815.1.1 pep chromosome:SL3.0:11:7233729:7236641:-1 gene:Solyc11g013815.1 transcript:Solyc11g013815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSENPPKNPNQLISMEHMQQLFQTLNKNSTNIEPGTSQTVRVAEKLNFTNYTKWCKLMQIAIGGRGRLNHIIVNPVSPDDPEYQQWAQKDSMVLSWIIENIDGDLVNQFLDYKTARDLWKGIETLLSSGRDELQIYDLNTKATSMKQGIDTIEVYFSKLNTLWKEIDRRMPNPMKCAEDITLFNSFIQRQRLYQFLAGVNDSLDKEKRDILNLDPLPTIDAAYATIRREIARRGIMTGNSSLGRGPSEIGSVIAEEPNTPRKGLIGYPEWWEDLRQRKAATKVTKTGGKANAAIGEGEPTSEDSSTIVTDRRTGTSGKDGFTKVSGEPWMKIEESTGRGREKVLEESSFRNEGEEKKIKTKSPKKSESLVPFKNQKPLKSEAQLYNKPTENQSVGFVCNKSNWIFDCGATDTMSYDPSDFLSSTSTTRTKIQTANWEFIPITQAGDDAQTGWIIGRGIERGGLYYVNEVTQQGNILLAQGSPEYQIWMWHRRLGHPSLGYLKRLFPSFKDIDFVLDCEACPGPQGETTNDDLSWLIYPEMMDHDPPTQVSNTTDVNSETSVSAPSLQSTPMTTTEHPESTSVEVNSESCTVPTDSMSIPSDNCQNRYELPPRSTRGVPPKRYDPEYEDQRSR >Solyc11g068940.1.1.1 pep chromosome:SL3.0:11:53787417:53788688:-1 gene:Solyc11g068940.1 transcript:Solyc11g068940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4DA51] MEELDIPQYFLCPISLQIMKDPVTTVTGITYDRESIEMWLYTAEEEVVTATCPVTKQYLPKDVELLTPNHMIRRLIQAWCIVNAEKGVDRIPTPKYPMDKSNILRLIRQVNNSNSNSNNDQQVCVNALRKMDDLVIENEKNIKCMEEVGAIKAIIGFILKSYKIGKLLIPGLEEALRIFHSIWNPSHEDHKNHVKDNHDLVKAILWILKNETKNICPGNVVTARAMLVLKDVIEVSTSNLLSGLDSEFFQETVHALRKNNHQATRAALQVLIAVSTWGRNKLKIIESGAIFELIELELTNPEKRVSELGFCLLANLCVLADGRAKFLEHAAGIALVTKRTLRISASIDDNAIQIFGLICKFSATKEVLLEMLRVGGVSKICMVMQANCEIHLKKKAREILRSHSHIWCNSPCIQILSFDKTIE >Solyc01g068365.1.1 pep chromosome:SL3.0:1:77381829:77388857:-1 gene:Solyc01g068365.1 transcript:Solyc01g068365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSSKKDSVKLERHHYYDNRPELELQTADSIANPPSMACPLDPHTNTCSTSLSSSSMIHSNMNFFLLLDQPHSLHCQSCLPATSTSNNKKKLTLKIIFKCSQLHLKFFHFPCTRSELIHFIFLRAIQRPFATPVAAAIRCVQEAESEYKNAKNIGRHSERRQEPYLDLSLLCKKRFGNQDGQAYEYDSTGDATTYDQGKNHFRSMFLSLMLRHQQPKPHSGKMKMDVEYHLRLLSSTSPLKLFFESESTRRLYKSRSVSGKCPSSELLFKLKTAKTESEPRPSGILPVNLFPSNTKVWRSVKDETASGNGPHKPRETNLRTFKLGSLASALTNERMENFLEICLIIPTTQSCWIVQKMLNSQKSLHLR >Solyc07g063090.1.1.1 pep chromosome:SL3.0:7:65798422:65798862:1 gene:Solyc07g063090.1 transcript:Solyc07g063090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILLKFIAIILLISSTNANPNSLFRYDDEEESIYILDTPFSSLRSSKKVTTIKKGASCDANRNNICDGVSANKGTSMLYCCKKHCRNVLGDMNNCGKCQNKCNLLQRCCGGVCTRVIDDPKNCGKCNRVCKDGVKCENGYCGYA >Solyc01g098870.3.1 pep chromosome:SL3.0:1:89125945:89142025:1 gene:Solyc01g098870.3 transcript:Solyc01g098870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDDDSFGDFTFASFSTNSLNTQFTPPKSVTPPEDDEWGDFVEYPSGSEPSTASSLSQSKPFDPFGFSPNSASVSESPSKSEQAKKTTGWVKPSGALPLSLFGEEENAEEEEKEKSAKEDTNTKVRNGSNANLGYGFDSTKSNLYNQKLKSENGPLSNTGNLVGLDSVNSNSKMSALQSNGLGFDPNMGSPCVSRVQSLNYLASLIGEDQQIRAQSTGLVLDSDDFSSSANVSSSIFNMSNPDFDMSKSTLNGLNRTLSADAITSLNDRGLQIKTGGIGLVFESNALSSSANFTSSCLSVWNPDFHLSKSNQNGLSRTSSLDVISNLNDQGKNVGIDLNLTGVSSSAATSSSVWNLDSNRSRSNQTGLNRALSLDALTNLNDQAQQIKTENSGLVPNSNGSSSFANASSSTFGGWNFDFGGFGSAVEMSNSSSNVGGFNSNINAVGSSADVDDHHNDNDEDEDGWEFKDAYSISKVGDCNSKATSEAKKEHESSAFSFDFHNGLNGSVDLFATSKGSATSDSEADHAGHMQADSFGFGNSSMDLFTMSSQPIDLFATSSDGRHEQKESTGALDPHPVVGSAESDEDFGEFTTASSDSGLKLEEEQKLGDVAHSELQASESDDKDQVKESKLENHKGALPLSIFGDEELEVDESTNTEDVIVPHNASYSKNDRSPDSNISINDLISNLYSKAEQTSPVQDGSSQMRTYNDISLLTFEDPPQRSFSDLNLDNYLELYSKLRNKLCFHAKCHLDDLKGAQSIDGLPVEEAKILTLNKEIEEVCKDFDQDNVMCKGDHLEGHLSQNACMSAFIEILQDSKFQALESEYHLSRRLSLVENDLETTVDLIRHATMMLKILRSGSLEEQSMYVSVWYKMISACAQELQHGSCIWKKILEMNGQSHVLSHPRGRAFIRALGEIYRVAVVLEASVKLCKPWTWLDSAQYGSIHSMLDECHSIWSSLGLGEALSSMLDSASGDGSSVASLLDSIKLIHGLDGLTLQKHLYAQKEVCRLSLLTLEVLPGMELIDWNGEHYLLTLANLWANLISSDPPELPQLIIGWVLAFGLFGRVFFRTKVYFGTKSCRCEHQDTYAEAWLEVLYRRQYRDGVRYRLLDFCLAVFSCKICSKACQSSFPIFPFFSPQQGEEEEKMLDINLFREDKGHNPEIIRESQRRRFADVDLVDQVIQLDKEWRQRQFEVDNLRKDFNKINREIASLKISGEDASQKIKDTAENKQLTAKKEAEVQEARAALYSKLEIIGNLVHDSVPISNDEANNAVVRTWGERRTEKGLKNHVDLVEALGIADITKGANVAGGRGYYLKGDGVRLNQALINFALDFLEKRKYTPLQTPFFMRKDIMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLDDWIHPSQLPLRYCNTTWHQQRPLLLLLPVGLYGLTSMPFTRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNGNDSWDMHEEMIKNSEEFFQQLNIPYQIVAIVSGALNDAAAKKYDLEGWYPASSAYRELVSCSNCTDYQSRKLEIRFGQKKGNEQAKQYVHLLNSTLTATERTMCCILENNQREDGVEIPEVLRPYMGGKTFMPFQAPPAKEAKGKKSKA >Solyc05g006900.2.1 pep chromosome:SL3.0:5:1492988:1500040:-1 gene:Solyc05g006900.2 transcript:Solyc05g006900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAASETSDGPVLNVINKRLRALRKKYNRILQMEESLANGKTLNKEQEETFRSKPSVVAAIDELEKLRQPLAAAVTEEINLATQHHLVSAADSPDEKLAGKGDNSCVEVEDLLNIIYFGSMFAHANWGKNDFVSTMWKRAMHERACCLNYDCMPEDESTDVIDMLSEKDLDLISMLSELLICRPVNSTLSHKHALQACIEHAKLWITKSDQLIVPESDATYAGLRSKLNKIMSSFYFTTEPVFRVEQTVAEYESYQVPVEETVLPVNATGQVESSVERYHEKGGEHVNSQADKSSEIHDSSAKDINQENLSEQHAAPEEVGHEGEGVEDPKDANVDNQQSNPRRSHQHFRGNRGGGGRRGYNNGRGGRGRGRSYHDDHNPYYDQPGNYYPRNYPNYRGRGGRGSRGGGNYSYYASGDQAGNVPAFS >Solyc09g018480.1.1.1 pep chromosome:SL3.0:9:15018376:15018639:-1 gene:Solyc09g018480.1 transcript:Solyc09g018480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAKIIKDHETGDRHCYAFIELEDKESCAKAYFKMDNTQVYDRSIQVDFIQSVAKLWSQYRHRNKRSNSRFSNGSVDAIKQQKEDN >Solyc01g091370.3.1 pep chromosome:SL3.0:1:84878231:84882234:-1 gene:Solyc01g091370.3 transcript:Solyc01g091370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESREAIAPEAPSNYHMVSRTESTPIPPGTLAVIPPPVATAFPISSEKKKRGRPRKYGPDGAVARTISPTPISADFLSKKVSVARPESEKKARNKVGAENLGGNFLPHMITVEAGEDVTMKIISFSQQGPRAICIISAVGLISNVTLRQPNSSGGTLTYEVGFFLVCSTSSDSNLHHIVVVISFLISDSNELGIEGRFEILSLSGSFTPTEFGGSRTTSRTGGMSISLASPDGRVVGGTLAGLLIAASPVQVVVGSFLPSNYQEVKPKKQKAELKAITYGTLSPAAPHSSNMEPRSSNAHTVNVPAAGTQNVISSSIQPNHWTAMPSVQDSRKSTTDINISLQGE >Solyc01g060200.2.1 pep chromosome:SL3.0:1:69718518:69724450:-1 gene:Solyc01g060200.2 transcript:Solyc01g060200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGLDCEGVDLCRYGTLCIVQLAFPDAIYLVDAIRGGRKLINACKPALESVYVTKVIHDCKRDSEHYSISLVSSCTMLWIPRLASDSLFLIEEQLGKKSSLDGHISLCAFLQIHVIVLYIIRGEKRSCSLLREDPQFWTYRLLSELMVRAADDDVRFLPYVFHKMMEKLSEESLWKLAVCGSLCCRCFCISDNEYADWPAIPSIPGQKSLSVDRRERQTSETMG >Solyc11g068920.1.1.1 pep chromosome:SL3.0:11:53777310:53778524:1 gene:Solyc11g068920.1 transcript:Solyc11g068920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4DA49] MEEIDIPSYFLCPISMEVMRDPVTILTGITYDRQNIEKWLFSCKHTTCPVTNQDLKFKDLTPNHNLRRLIQSWCMLNSSNGIQRMPTPKPQVQRAHVVRILNEAQKNPDMELDCLRRIKSIARVSESNKMCLESAGVVDFLTSIVMKKKEEPEASDEALNILFHLNPSDTELKKLINSHNDNQFLDSLLQFLNNGHVQSRTNAIALLRSTLSMADPAQLIGIQPVYIKGIVCILNDKVSQQATKLALKLLVELCPWGRNRIKAIENGAVFALIELLLDTNERRVCELILTALDHLCTCADGRSELLRHGGGIAIVSKKILRVSHLASDRGVRILYSISKFLATCYSTKVLQEMLQVGIVSKLCLVLQVDVSPKTKEKAKEILRLHSRVWRDSSCIPPHLLSSYP >Solyc07g055660.2.1 pep chromosome:SL3.0:7:63752445:63753579:1 gene:Solyc07g055660.2 transcript:Solyc07g055660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSMISDTLTAVVTTQGLGVSKFLLNRNLNFSSNNVFSISDSSSEQQSPSTAGVRQISAVICPIDALAPPPVKYNKVKAAPRRLARKTRRIIRKSLIGGADEESSSNSFSDPAFDFVYELMCWAALSNCLHFAFKKVVRIVAGGFSDTAREKVVPVRLTSVY >Solyc09g008240.3.1 pep chromosome:SL3.0:9:1690145:1697317:-1 gene:Solyc09g008240.3 transcript:Solyc09g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQDSEEIKTIEHWKWSEMQGVELVVSEDKNSNTPTTTTTTTNSHQFQETRMEVKKEEGGDVEKPTSPPPAVGFGELFRFADGLDYALMIIGSLGAFVHGCSLPLFLRFFADLVNSFGSYANDVDKMTQEVLKYAFYFLVVGAAIWASSWAEISCWMWTGERQTTKMRIKYLEAALNQDIQYFDTEVRTSDVVSAINTDAVVVQDAISEKLGNFIHYMATFLSGFVVGFTAVWQLALVTLAVVPLIAVIGAIYTMTSAKLSSQSQEALSKAGNTVEQTVVQIRTVLAFVGEAKAMQAYTAALRVSQKIGYKSGFSKGFGLGATYFTVFCCYALLLWYGGYLVRHHFTNGGLAIATMFAVMIGGLALGQSAPSMTAFAKARVAAAKIFRIIDHKPSVDRNAKTGLELDTVSGQLELKNVEFSYPSRPEIKILNNFNLVVPAGKTIALVGSSGSGKSTVVSLIERFYDPTSGQLMLDGNDIKTLKLKWLRQQIGLVSQEPALFATSIKENILLGRPDATQIEIEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGNVSEIGSHDELMSKGENGMYAKLIKMQEAAHETALSNARKSSARPSSARNSVSSPIITRNSSYGRSPYSRRLSDFSTSDFSLSLDAAYSNYRNEKLAFKDQASSFGRLAKMNSPEWTYALIGSIGSIICGSLSAFFAYVLSAVLSVYYNPDHAYMSKQIAKYCYLLIGVSSAALIFNTLQHYYWDVVGENLTKRVREKMLAAVLKMEMAWFDQEENDSSRIAARLSLDANNVRSAIGDRISVIMQNSALMLVACTAGFVLQWRLALVLIGVFPVVVAATVLQKMFMKGFSGDLEAAHAKATQLAGEAVANVRTVAAFNSETKIVNLFDASLQTPLRRCFWKGQIAGSGYGIAQFLLYASYALGLWYASWLVKHGISDFSKTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFELLDRKTEVEPDDPDATAAPDRLRGEVEFKHVDFSYPTRPDVSIFRDLNLRARAGKTLALVGPSGCGKSSVIALIERFYEPSSGRVIIDGKDIRKYNLKSLRRHIAVVPQEPCLFATTIYENIAYGHESATEAEITEAATLANAHKFISALPDGYKTFVGERGVQLSGGQKQRIAIARAFLRKAELMLLDEATSALDAESERCVQEALDRACAGKTTIIVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYSDGIYARMIQLQRFTHGEAVNMATGSTSSSRPKEDLD >Solyc07g053440.3.1 pep chromosome:SL3.0:7:62016413:62019803:1 gene:Solyc07g053440.3 transcript:Solyc07g053440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLLCQIFSSMVEKMQDTWPTLTCIEMSRRKLNRDFLQMFSLHSTWCFLYYSPEGGVMQAFLEKAYYSSGWFIYCRIGWTTKMGTPFIIERFFIFDSLTRNSIKDKVISMH >Solyc03g083965.1.1 pep chromosome:SL3.0:3:55341800:55348362:1 gene:Solyc03g083965.1 transcript:Solyc03g083965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVNKGKGIANNVQVDKNGEEDVFGVVNEGDKNEQGSHDLNSVRTSLQALAMKSTYTPDQYRKIMKLLNKEKQAEVNMAGISNDFDSLLECDRRRSYDDAMNASNEHNIFSKKGHWIVDSGATCHMTSKFENLDKISRNNKNTERKVYLPNEEKTVTANVDDRRSMNKANSQFMIWHYRMGHPSYKVLKQLYQSVPAGLKDENVRVFIEQPFCDYDIAEVHTPLDVETSDEHAEQTLVPTEHVSENITEEHGDDNMVDVPSPVPRVSSRMSHPPVWMKDYVTHLTNSIHPHSLANYMSYSHLSGSYQTYLSTMSAEDLRELRYFLGIEFCRSEQGIVMNQRKYALELISETGLSGARPSLTPLETNMKLTSADYMQDVHDELFTDINKYQRLIGKLLYLTNIRPDIAFSVQCLSQFMQKPTLSHWNATLKVVKYVKTAPGLGILMSSDKQAQLTNFCDADWAACPNTRRSVTGYLLKYGKSLIAWKSKKQNTVSRSSAEAEYRSLATLTAEVVWVNNLFKELGMNVKHSALHPSYCFENQQSSTSLLVSGYSCWLLN >Solyc08g077070.3.1.1 pep chromosome:SL3.0:8:61120980:61124359:1 gene:Solyc08g077070.3 transcript:Solyc08g077070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLETYRQFQVCGEVERKRTILMQQCEEVH >Solyc01g006960.3.1 pep chromosome:SL3.0:1:1519534:1539151:-1 gene:Solyc01g006960.3 transcript:Solyc01g006960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPVNSTLARMLLDEITPVVMVLRTPFVEESCQKNQLSFIEMLSPFCNFNNIDVPVRTASDQPYRLKKFKLRLFYASDIRQPNIEVAKERLNQVITDAGEKDLSNLSSEPLQIESVLNSSQNEFLPSWFQYFNKELVRTVSFSEHEAFDHPVTCLLAVSSRDEDPINKFVDLFNINQLPSLLNDGSMDPKMLKHFVLVHDGVEVPLERATKTLAEMRSTFGANCCHLLCINSSKDGSEEHENLWSAYKTDISHGQQLRCFLSSDDLDELKKFVQDLSSKHIIPHMEQKIRLLNQQVSATRKGFRNQIKNLWWRKGKEDAPENPAGPTYTFSSIESQIRVLGDYAFMLHDYELALSNYRLLSTDYKLDKAWKHYAGVQEMMGLTYFILDQSRKDGEYCMDNAFTTYLRIGSSGQRNATRCGLWWVEMLKARDQYKEAASVYFRISGEEPLHSAVMLEQASYCYLFSTPPMLRKYGFHLVLSGDLYKKCDQIKHAIRTYKCALSVFKGTTWRHIRDHVHFHIGKWYGFLGIFDVAIKNMLEVLACGHQSKTTQELFLKDFLQIIQQTGKTYEVPKLQLPVINIPSVKVVYEDHRTYASQAAIHVKESLWRSLEEDMIPTLSSKSNWLELQSKMLPKKLRESNICVAGEAIGITIEFKNPLQIPISISGVTLICEHSPAVSEPNANNSIGEQNGETSNKSATSGNCASDTSSFTLSEADVALGEGETVLVQLTVTPRAEGTLKIVGIRWKLSGSLGGFCTFASDLVRKKVMKGNRKSKRSTFDNLKFLVIKSLPKLEGFIHHLPETVYVGDLRCIALELKNPCKIPVKKLKMKVSPPRFLQIGHKEDLEVQFPACLERKSSKQRSLRSKTDKVSDDIFSFPEDTSIADGTPISWPLWLRAAAPGKISLYLSVYYEMGDISSVMTYRILRVHFNIEVLPSLDVSFQISPCPSRLQEFLVQMDVVNRSSSKGFQVHQLSSVGNEWEISLLEPTKVLPSDFLLAGQAISWFLKLKNCRSVTDQDRPSVKADVNLLCGSEMVFDLYSSPLSEFHHCERVHQRISDQEHEDTVDFILVSRSQCEENDRANIFSHHICHCSFRTSSPIWWIIDGPRTVKHDFKEPFYAITLKMIVHNSSDDVVSIRCNPSDSAVNISSSGTTSAASGNEVGWHDLSLSNDVKITPDTPGTRVVKPMSSDTVPSFIWSASSSTHFTLDPLSSRETPMEICVFSPGTFDLSNYSLHWSLSSPSDQRVESRASSGTCQGHPFYITVLQQD >Solyc07g026810.3.1 pep chromosome:SL3.0:7:31736079:31755637:-1 gene:Solyc07g026810.3 transcript:Solyc07g026810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKGNRQKYRKGVSDSGSGLLNENENSNLTEAEGKNAEEPFNGNLSGISITESLNNKHESEGKKKSKNKSRRSLKKEKEGIDTLSIPEETGPEDTDAGIFQNCKSRGVDSESGKGIDTSPNSSKHSDNSKGCFGHLGTGLDGDNTLENFKVSMMVVFRNLMTLALSASKVSIQWLERWKPLLDPLKSNLLVAIGYVQEKGQQAYPIVFRWIMHFGNIMLLLSMVWLDCALRGIESFLCMRMTSILSVIWCGVLSLIAAAKFKFLLILAVVAVIGLLTGFIITFLGVAAVSISYLWFYGRFWAAVLLVLSGGALYRLKHERLAVFVITSYSVFCAWTYVGWIGLIFILNLSFISSDILIFFLRNNVNEQRRAHTFPDQTTGVQGEPSFCSRGSVPPSTDDGYVHPVDRDTGIPSTSGSDVEMTSEDEVLRLLNSTNHYSALGLMRFQNTDASVLKREYRKKAMLVHPDKNMGNEKAAEAFMKLQNAYEVLLDSFKRKAYDDELRREELVNYLHRFQSPSQKGRGYGFFTSGFTQTEAAGEDPLGEARRITCKKCGNFHVWVVTKKTKSKARWCQECKDFHPAKDGDGWVEQPSHPFFFGMLLKVDNPVAYVCADSRIYNATEWYICQGMRCPVNSHKPSFHVNTSVTLKNSNWKRSSSGQKSGMPMPNMEESMTEEEFVEWLQNAVEAGMFDDFDGSTPQSPSTPAGSTSKSSSSSTSNGSGSGNKRKKKGKKQW >Solyc05g020020.3.1 pep chromosome:SL3.0:5:25882923:25888982:-1 gene:Solyc05g020020.3 transcript:Solyc05g020020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHMCEFCGEQRSIVYCRSDAACLCLSCDRNVHSANALSQRHSRTLLCERCNSQPAIVRRVEEKVSLCKNCDSIGHAGSGTGSVHNRQALSSYTGCPSAAELSTIWSFLLDNSLGGDSTCEKGMGSMSITDNRLTDSRAPQGKFNSQDASATVEVSEIHTPGKSSILVGSSMPNLGSKLNKVEHIAGSVNISSSKDCYSGVKASTIYEDDPFSQDFNMDEVDLSFENYEELFSGSLDNPNQLFENDDIDGLFGTKDMSVSDSSCQDANAVEVTLTINIISSASVEIHANSVNDES >Solyc02g071760.3.1 pep chromosome:SL3.0:2:41692116:41693593:-1 gene:Solyc02g071760.3 transcript:Solyc02g071760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTAGSHGEQIPPGKAMTTEQHILDKGAQMLQSLKPIKQMNQHVCTFALYNHDMNRQIETHHYVTRVNQDFLQCAVYDSDHSNARLIGVEYIVSDRIFETLPKEEQKLWHSHAYEVKSGLWVNPRVPEMVVKKDLENFAKTYGKFWCTWQTDRGNNGDKLPVGPPSLMMSPQAVNLGMVKPELIQKRDDRYNISTDAIKRTRLEIAEPEWINPEADYWKQHGKCFEIHVEDVEMKKIAPFP >Solyc02g032603.1.1 pep chromosome:SL3.0:2:29312538:29322268:1 gene:Solyc02g032603.1 transcript:Solyc02g032603.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALNIAFGKSSRLNYYLTFLKLGFSTISSIASLCGVSSCSFFLVPVPLGRDPVSWVMDLAFSATTLARFGSRVSSGGTIRVSTELSVVTRVHDVDEAIVVAESLNDFRADAANGRDNRSKTVPPKVDNHRNKGRPTPNQGSDTKSNTRDQPSNFCKSYEDHKKGVPHLEGCYICGEMAHATCYCPSLRKLSAMVAAEKQEEKAATQIGGSAGEQRGQNSGTDKGKNVVVGMFNHMALINHISLDTPQPASIRSRESLFVNAKLNGKDVRIMVDTGATHNFVTKQKAKDPGLTYVATNTILKTINALPTSIHGFAPKVPIDLGGWTRLTDFTIAPMDVFDIILGLDFWYEVNTFISPRHNQLHISDTEGSCVVPLIRVAQNGMHLSAMQFVKGFKRGEPTFLATLVRGVKSFPKEVSLPHWIEQVLSDNRDVMPEELSQRLQPEEKLFIKLSWFPRKAACQDVLSFGTPKIRRTEETTQGVD >Solyc06g036807.1.1 pep chromosome:SL3.0:6:28701207:28719239:-1 gene:Solyc06g036807.1 transcript:Solyc06g036807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVKLVGEAAAEAPSVKAMYSASVVDKVTVGCKVSFQLTTDPPRSIAIMYVSYSNLGLAETDPKSIAIIAICSKVIRRCDLDKMKAEIIDIECELEKIFPLSFFDIMTHLPIHLVDEIKLGDPTHLHWMYSTQRTMCDFKGLVRNRKNPEGSIVEGFSAVDCLNFISIYLPNTVKTKLSRCEIEDGEYIQTEEGGVSHLFPKTGHPIGSENIRKGKIFNMDQHELFEAHRYSLFNTGDEQVEAFIKEHKSLTDNRTRGNAWVKAQMLDGLEKIFLLISMMLLPLHNIHKINLWKHWKRRMTLMILIEIGWNFSEQFQYISGPANQSVLISLLRSNTPGQNSHRYEVRERTSAQINWRTTVVAPQSEAKRQSAEELPFENQPVEELPVVHPVEELPLEQPVQELPLEQHGKDFPFEDQVQMNSVTPQTNDQPEEQAGDVSSPNKRGRTQMHDVHTLKERKLIILNSQNQPVGPTDSVAIELSSFLGTLARNATLCPFDILDWRSMDTKKDLWDYTKGKYIIPEAAYHWAMVTIRDAWRRHRSDLKLNYYDPFENDAVQMAKKPGHIPECQFRELLKYWNSEKFKLLIFAPFPASSHLVTPNTSKRKWIIIMPKLKAIRATFWHKMSETNAKNRKKLMNPHTAGKKSFALVRNKLDKDKETVSSKDLFRVTRTRKPGRSYKASNEDTTSKIEQKKIVRQEVIVDVIAQIKHVGLIDPNILAAFSTPSQRESTSVQGDKQDSNIDSTFLVLNSEDTSTLKVTKQETSASLVLASITLQNCTFLDIVILSLTVTKSKKAMKAIVKT >Solyc08g060817.1.1.1 pep chromosome:SL3.0:8:45649739:45650329:1 gene:Solyc08g060817.1 transcript:Solyc08g060817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLNLKWLKISLILSTKSYYITAKNDRSYQMRPFIYDSKFKIEEETSQAIAWISFPNPLPTFFIKETLFTLTYAVGKPLKLDMVTNKTRPSRARVKLQLDLLAERPQFVQIEIEDENTNATRSVKVKIKYDNILSYCRKCKLQGHMEDECRILHPKLKKITNNPEEETQQDQQRRMRNEKYVGNRKWIPTTKVIF >Solyc02g055535.1.1 pep chromosome:SL3.0:2:2260183:2262033:1 gene:Solyc02g055535.1 transcript:Solyc02g055535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSAIIKEYNGSLNISMEVNLLQQLLPELVSLEQMLKQKESTNASTGECSRVNKELGSLKNSRWKYSRLKRKVALIEKQYKNHPKRLIVQLIRFVNRFTKLAKLTNFSSRHVPIHQNGGRVQLPLLREPPPYLKYLLGKESGQLGINFRKNIRAYNSMFAFTSMGGRVDGSINHSKGPYVFRMCGQNYHRIGSLLPEIGKRPQFAQLYICDTENEINNRMNCLLEGDIDPEIVQGLSVMLEEHNILRDIIVEHMKNGLQRISDLHPSFMSMTYPLIHPYGEDGYRVGINLGDVINKTYKRQKLTMRDFYCFRIQQRLNEGKTLLLAGRLLQQYIVDGYMAIEEERFRYIRNNQPKLRADLYSGLMDAILRGDSDCSLVGKTVILPSSHTGGSRYRAQNYQDAMAICRWAGYLDLFLTFTCNPKWPEINEMLCLIEQSGDDNRVDIVCRVFQIKLFQLMQDLKKQQPF >Solyc07g054420.2.1 pep chromosome:SL3.0:7:62861979:62868411:1 gene:Solyc07g054420.2 transcript:Solyc07g054420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHDKKMLKLMLACCKVYISESRNRGALESIEKAAKLFPESPIINKFEDEIYNRVGYTLVSKISPNSSSGSCSLTLKNASFAMVKAAFETIDLQEHCGTHPRLGVVDHICFHPLGTTSLDMVADTAKTLAFEVGSNLKVPTFLYGAAQQEGRSLDSIRRELGYFHPNSSENQWIGGTKLETLQLKPDEGPPHATQAKGVITIGATRWVDNYNIPVFTNDISIVRKIAKRVSGRGGGLPSVQSMALTHGGGTIEVACNLLEPTIIGGNQVQLEVERLAMEEGISVGKGYYTDLSEEKIIESYLKLVQHSD >Solyc12g038170.1.1.1 pep chromosome:SL3.0:12:50055084:50055368:1 gene:Solyc12g038170.1 transcript:Solyc12g038170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQMKKQFACALTFFLITTCTMAYSSYSFESTDSTYNKVPTTIAKTEDYKVPSIPDNEYKTSFLSKNNYYKKTLVSEDNDKKVASNRSTSYPR >Solyc02g038770.1.1.1 pep chromosome:SL3.0:2:32021300:32022031:-1 gene:Solyc02g038770.1 transcript:Solyc02g038770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRSYLFCHSCDVNQLSGKQSSHDDPSSSSSLPLLNINSSLRIRHDIWYSNNLEQEAQLYRTGVRVETILKHAFLTSSVILSYDNFYYAISQLFGNWKLEFQDMRESLIGNIMSQVRYIAESNKGHRGVLELYVDIKLVCSDVIEERREDSSSSQYGMVPASESSMQSLLKKIEIDEENTTDDKCMVCLEELVKKEREGDDEIVSMPCSHTFHGECITKWLETSHYCPICRFEMPMQEGITK >Solyc09g042480.2.1 pep chromosome:SL3.0:9:24047159:24048979:1 gene:Solyc09g042480.2 transcript:Solyc09g042480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKKSKAHRSVGFLEGEVPKGKLSGETDAGTTEKDESFVVDVDSRYLLRFRVSNVNEHLTRIKLGHWHAFSATSVCLEIVAKQEKEGLEEMVVLIEGSFDGPDEGISGLVHQASLKFFTLTLVIVPSYLASIRMKVEILKCAFDGCE >Solyc09g064430.3.1 pep chromosome:SL3.0:9:61881211:61888898:1 gene:Solyc09g064430.3 transcript:Solyc09g064430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGLKPMDAEQLREYGHKMVDFIADYYKNIENFPVLSQVQPGYLRKLLPETAPAHSETLQDVLEDIDEQDANACNLDPDAFDPDVETKILPGVTHWQSPDYFAYFPSNSSVAGFLGEMLSAGINMVGFSWITSPAATELEMIVLDWLAKVLKLPDEFLSTGQGGGVIQGTASEAVLVVILAARDKVLRRFGKDAISKLVVYCSDQTHSSLQKACQIGGIYPENFRVLKADPSRDYALSPDTLSEAVSHDMATGLIPFFFCATIGTTSSTAVDPLLELGKIAKVKPGESNSIWFHVDAAYAGSACICPEYRGYMNGVEEADSFNMNAHKWFLTNFDCSALWVKDRSALIQSLSTNPEYLKNKASQENLVVDYKDWQIPLGRRFRSLKLWMVLRLYGLEKLQAYIRNHIELAKLFEELVAQDKRFEIVTPRKFSLVCFRLLPPPSNEDYANKLNHDLLDSVNSTGKLFISHTLLSDRYILRFAIGAPLTEARHIVGAWKVLQDEAATLLSKC >Solyc08g023275.1.1.1 pep chromosome:SL3.0:8:29309095:29309469:-1 gene:Solyc08g023275.1 transcript:Solyc08g023275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLDHLHLFLGVEVKYFDGGIHLRHLSKSKYAADLLDKTEITAKTVATHFAQKNGLHEIVESLVEASLLQNDSREPSILDSHKVGYYSCCEFSKSICAKSEQWTSSRGEKDSQVHQMHSALCT >Solyc09g074550.3.1 pep chromosome:SL3.0:9:66796832:66798402:1 gene:Solyc09g074550.3 transcript:Solyc09g074550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLETSNGASGSNEGKNRFDEELNNCRKYDMILRIMGLIFTLIAAIVAGSNKDTESVAISLVDGLPPLHLTLTAKWSYMSSTVYFVAVNAIACAYAAISMAFISLTGGRNSKGSILVVGLDLAMVALLFSANGASASIGLIALNGNSHTQWHKVCYAFKRYCIQGGAALVLSMFGSFFFICIVLLFTYNLHRTTLN >Solyc06g065980.3.1 pep chromosome:SL3.0:6:41472595:41475957:1 gene:Solyc06g065980.3 transcript:Solyc06g065980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGITDGVNKMSVSETQKKNRIQVSNTKKSLFFYVNLSKRYMQQYNEVELSALGMAISTVVTIAEILKNNGFAVEKKIRTLTVDMRDEPGARPIPKAKIEIVLGKTEKFDELMAAEAEQNGDNEEQQN >Solyc12g019320.2.1 pep chromosome:SL3.0:12:9971420:9977203:1 gene:Solyc12g019320.2 transcript:Solyc12g019320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4DDF8] MEENKVPFLPSITPSSKASFDADEDDIRSINGVKSFVKEFHYESKKLWYLAGPAIFTSLCQYSLGAVTQIFAGHVGTIQLAAVSIQISVIAGFSEGILLGMGSALETLCGQAYGAKQKDMLGIYMQRSWIILNVTALVLMFLNIFATQILRFIGQQEKIAEWAGQFSLWMIPMVFAYAFEFPIMKFLQAQSKIMTMAVIAGVSCAMHALLTWIFMLKLGLGLAAGAVILNCSWWLMVTAKMVYILWGNSCGDAWSGFSWEAFKNLWGFVRLSLASGVMICLELWYFMSLILAAGYVEDAEIAVDATSICANIIGWTFMLCIGFNAAISVRVSNELGAGHPRRAKLSVLVVSITSLVIGALLTILLLLTRSQYPLLFTNNVKVQKMVYDLTPVLGLTLFINTLQPTLSGVAIGAGWQEHVAYVNIICYYVIGIPLGLFLTFFMKWGMPGMWYGMLLGTTIQTSVLIWITARTDWDKEASVAGERIKQLGGNKSLGVIDGYAIN >Solyc11g011080.2.1 pep chromosome:SL3.0:11:4162066:4166345:-1 gene:Solyc11g011080.2 transcript:Solyc11g011080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMASSSYSSESNSQYPCPQRKYKYDVFLSFRGKDTRRNFTSHLYERLDNRGIITFLDNKRLENGDSLSKELVKAIEESQVAVIIFSKNYAESRWCLNELVKIMECNVKDGQLVIPVFYDVDSSHVRNQSESFADAFTKHKLRYENDDEGIQKMQRWRTALRDAADLKGYDIRDWIESECIGDLVNRISPKLRETSLSYLTDVVGIDAHLKKVNSLLEMKANDVRIVWIWGMVGVGKTTIARAIFDLLSSKFKFDGACFLPYNKENKYEIHSLQSILLSKLVGEKESVHDKEEGRHLMARRLRLKKVLVVLDNIDHEDQLDYLAGDLDWFGNGSRIIATTRDKHFIGKNDAVYPMTTLLEHDAIQLFNQYAFKNEVPDKCFEEITLEVVSHAEGLPLALKVWGSSLHKKDIHVWRSAVDRIKRNSSSKVVENLKVSYDGLEREDQEIFLDIACFLRGKKQTKVKQILESCDFGADDGLRVLIDNGTSYSRTSSAIVNKRNMRNLALREGTKAIETIWIPEIQDLSFKKKAMKDVEKLRILYINGFHTHDSSNDQYLPSNLRWFDCCKYPWESLPAKFDPDMLVHLDLQQSSLFHLWTGTKQKFPFLRRLVLSRCANLRRTPDFSDMPNLEYLGLKECTNLKEVHHSLRCSKKLNKLNLRDCKSLESFSYVCWESLECLYLQGCSNLEKFPKIRGKLKPEIKIQVQRSGIRKLPSAIIQHQSCLTELDLIGMQNLATLPSSIGELKRLVKLKVSDCSKLKILPKEIGDLENLEILEARCTLISQPPSSIVRLNRLKVLTFAKQKSEVGLENGVYFSFPRVNEGLRSLEHLDLSYCNLINEGLPIDIGCLHCLKALNLRGNNFEHLPQSIARLCALQSLDLLDCKKLTQLPEFPRQLDTIYADWSNDSICNSLFQNISSVQHDICASDSLSLRVFTNEWKNIPRWFHHKGKDKSTSVELPENWYACDNFLGFAVCYSGCLIDTTAQLLCDKMMPCITQSLALSNQSEGFPESAIHFFLVPLAGLWDISKANGKTPNDYRHIKLSFSEELKEFGLRLLYKDESKLKALCEMTGNNDEPTEHCIVKRRGQYDDARCSSSKKQRSQL >Solyc08g059653.1.1 pep chromosome:SL3.0:8:44503191:44512575:-1 gene:Solyc08g059653.1 transcript:Solyc08g059653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDLSFVVQVLSQYMHSPKSSHMEAALRVVRYIKGTAGLGLFMPSNKNNEMVAYCDSDWGACVETRRSVTGYMIKLGGTLVSWKSKKQNTISRSSAEAEFRSMATTVAEIVWLKGLFKELGMNIQLPKHFFWKFQLLLVRHSEERLVMF >Solyc10g047827.1.1 pep chromosome:SL3.0:10:42788828:42789690:-1 gene:Solyc10g047827.1 transcript:Solyc10g047827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGMRAGYYPPPVMPPPTYAAPPPRKNTGAGNVNVSSSNSLFSHTSSPATFLRALYSASAEDRDIVFCFLDFQLSGLFPINTI >Solyc10g045157.1.1 pep chromosome:SL3.0:10:31690808:31695058:1 gene:Solyc10g045157.1 transcript:Solyc10g045157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERGGLMPGLFPSSQHQSSPQHQSSHPNKDKHRATEIAAHSAGNITSFHVSSEPDKWIVDTGAKNHMTPNLGMLHGAYEQQRQNVHLPNGNRSNTVLVLVYVDDLLISGSSSTLILDTKNLLNHHFKIIDLGEMKYFPGLEIARSSKGISVYQRKFCLDLISDLGLTGSKPASTPLEANHKLTSVMYDESIAASLEKSLNDEFLKDPTSYQKLIGKLLYLTMARPDIGYAIQNLSQFMHSPNKSHMEAALRVFRYLKNAPSLGIILDEVQDQLFCKSFPSNNVIWNIYGEKKLLESSGDRVDMQETSRLENPIEIMICDAFEQYRQQSADLGTFQPFG >Solyc04g082090.3.1 pep chromosome:SL3.0:4:65966124:65971133:1 gene:Solyc04g082090.3 transcript:Solyc04g082090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:K4BVT6] MGFDESQQSDPLVVIRNGKEIILQAFNWESHKHDWWRNLDMKVPDIAKSGITTAWLPPVCHSLAPEGYLPQNLYSLNSSYGSEDLLNALLDKLKQYKVRGMADIVINHRVGTTQGHGGIYNRYDGIPMSWDEHAITSCTGGRGNKSTGDNFNGFPNIDHTQSFVRKDLIDWMRWLRSSVGFQDFRFDFAKGYASKYVKEYIEGAKPIFAVGEYWDTCNYKGSNLDYNQDSHRQRIINWIDGAGQLSTAFDFTTKAILQEAVKGEFWRLRDSKGKPPGVLGWWPSRAVTFIDNHDTGSTQAHWPFPSHHVMEGYAYILTHPGIPSVFYDHFYEWDNSMHDQIVKLIAIRRNQGIHSRSSIRIIEAQSNLYAATIDEKVSMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Solyc09g008030.1.1.1 pep chromosome:SL3.0:9:1505133:1505738:-1 gene:Solyc09g008030.1 transcript:Solyc09g008030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEIVVSGAISGVGNGSVNSETNGKTALAVVPTKKKNALSVSSSKDRHTKVNGRGRRVRMPALCAARVFQLTKELGHRTDGETIEWLLRNAEPAIIAATGTGTVPATQVTTTSENIPLSQSQPSVLAPLTRATPVSGFPVGGGFFSMTPQSQPNCRLDLCQPSLEFSGNAYRHMPFTALLLQPVTADDGEEKVAEEDEKQ >Solyc02g085550.2.1 pep chromosome:SL3.0:2:49022346:49023141:-1 gene:Solyc02g085550.2 transcript:Solyc02g085550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSIALRQARHLTTTAAAVASSPSTITISISKAKSKLKVEHDPDKAFEIYSSVYDRYVSSMSSRYAQEFTVKRLAKSHRFSDIENFLESHKNRPKIFIHNSFLWCRRHMDDLGTPRPVISFNVLLSACVRSKLYDCVPQLLDEISVKYGFLPDKVSYDILIRSYCEMRSSKMAIKILKVIEEKSVEITTITFTTILHSFYKKGKNDEAEKVWNEMVNKGCGPDVGAYNVKIINIQGGDLEGVKALIEEMTMLD >Solyc02g091190.3.1 pep chromosome:SL3.0:2:53211904:53217028:1 gene:Solyc02g091190.3 transcript:Solyc02g091190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-lysine N-methyltransferase 101263561 [Source:UniProtKB/TrEMBL;Acc:K4BCR8] MAGMRLLPEDPDVAQVRPAAAADLISDDDRSVAADSWSIKSEYGSTLDDEQRHADATEALNYRADYSSDKDEQEGEGVSSMLGFQSYWDAAYADELTNFREHGHTGEVWFGGDVMENVVSWTKGLCMDICKSHLPNHVADADSEPVGQREIDISGWSVLDIGTGNGLLLQELAKQGFSDLTGTDYSEGAVDLARSLADRDGFTNVKFLVDDILETKLDKRFQLVMDKGTLDAIGLHPDGPIKRIIYWDSVSRLVAPGGLLVITSCNSTKDELVEEVDTLNQRRVACQRPGTVEASVDPPPFRYLDHVRTYPTFTFGGSVGSRVATVAFLRN >Solyc04g072760.3.1 pep chromosome:SL3.0:4:59814618:59820168:1 gene:Solyc04g072760.3 transcript:Solyc04g072760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSIDAKEMDYRSLSSSEHQQPPYMHKVGLPPKQNLFDEFKTTVKETLFSDDPLRPFKDQPRSRKFILGLQAVFPILEWGKSYNVSKFRGDVIAGLTIASLCIPQDIGYAKLANLAPQYGLYSSFVPPLVYSFMGSSRDIAIGPVAVVSLLLGSLLSSEIDPTTNPIEYRRLAFTATFFAGITQATLGILRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKETDIISVMKSVCRSAQHGWNWPTILIGAIFLTLLLFVKYAGKKHKKLFWIPAIAPLISVILSTFLVYITHAEKQGVEIVRHIEKGINPPSVKEIYFTGDYLLKGLRIGIVAGMIALTEAVAIGRSFAAKKDYQLDGNKEMVALGTMNVVGSMTSCYVTTGSFSRSAVNYMAGCQTAVSNIVMSIVVVLTLLFITPLFEYTPNAILSAIIISAVIGLVDYEATILIWKIDKFDFVACMGAFFGVVFASVEIGLIIAVSISFAKILLQVTRPRTALLGKIPRTNVYRNIQQYPEATQVPGVLIVRVDSAIYFSNSNYMRERILRWLTDEDEQLESVNQPKIQFLIVDMSPVTDIDTSGIHAFEELHRSLHKREVQLVLSNPGRVVIDKLHASDFVNQIGEDKIFLTVGDAVLTCSAKSPAEVV >Solyc08g021790.1.1 pep chromosome:SL3.0:8:35015730:35017630:-1 gene:Solyc08g021790.1 transcript:Solyc08g021790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLGNTCFVNAVVQSFMQTIVLFKLLGSIDHISPCDNKFSPDFSWYQQEDSHEFLYCSLNKLENCFYNLELQICGGGLWWCKTHGPFEKQLLVYRAPFVASLDFEIFKNNGLVVNKVYKYVSFSLELDMLLYTSKINNVVFVEEDFVLAKEAYIIFYAKRGTPWFFDYIQIHNPFIKLVVPTSPCFPNNHAFHVGESNNGDEETSMKYEHNKTNDSDSRGKLIFYSISAFSLF >Solyc10g075100.2.1 pep chromosome:SL3.0:10:58868679:58869437:-1 gene:Solyc10g075100.2 transcript:Solyc10g075100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:Q4A1N1] MEMVNKIACFVLLCMVVVAPHAEALTCGQVTSTLAPCLPYLMNRGPLRNCCDGVKGLLGQAKTTVDRQAACTCLKSAASSFTGLNLGKAAALPNTCSVNIPYKISPSTDCSKVQ >Solyc10g012000.1.1.1 pep chromosome:SL3.0:10:4274428:4274982:1 gene:Solyc10g012000.1 transcript:Solyc10g012000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESQGKTEARLVIADHRVLPKDTRFDWFWVGILGLPLQLWSKEVMKMIGRVCGGLLENEEEKKLKNHLKWARITVRGPKEKIPSLIVSDGGLICKLSVWVEAPVTYRRKEVDDSPSRGVSIAAKIEKANIVVLGRSNLSLYGKGKDTKKNLQSDDNWELIVSALGRKTKPSPLWSMLTETFTN >Solyc04g052890.2.1 pep chromosome:SL3.0:4:51156653:51166524:1 gene:Solyc04g052890.2 transcript:Solyc04g052890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFKGKMEMLSAKKLIKMARKWQKFESMQRKRISFPRNGSDVERCSTFSSSIVEKNHFVVYTIDQARFVVPLAYLENEVIRQLLDMSEEEFGLSSGGPITLPCESDFMDYIISLITTEDLVNALLLSIPSSCCSTSSLCQESGRQQLLVC >Solyc01g056695.1.1 pep chromosome:SL3.0:1:55967691:55977318:1 gene:Solyc01g056695.1 transcript:Solyc01g056695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPQEVLVDQSIDIWVMKKYGVRESWVKELTVGPILIMTPLSIWKNETKLMIESKDGTLVSCNMLFHEIKDLHMSCVPNTWEAMLCKESLISIMKERETSGNHSPGNVTRHAQQLSHQSSKYARDLLQKTGLEKCTSQPTPMAYLAITRPDIQFAVNRVAQRMPQPSEHDYHCLKRILRYIFGTLGRGLLIRPRDMELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISWCTKK >Solyc11g010950.2.1 pep chromosome:SL3.0:11:3997975:4003295:1 gene:Solyc11g010950.2 transcript:Solyc11g010950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSRPRGGSFSRNISSAATAQIPGVKLGPNGTSFLSSGIPDLDQILGGGFTLGSLVMVMEDPEAPHHMLLLRNFMSQGLIHKQPLLYASPERDPRGFLGTLPSPMASKEEKSNERPSEQDANLRIAWQYKKYFGEQTEVQRGGKAEYCNDFDLRKPLERHFYSGQRVDCISLRDSPNLVPLLERCSTFSAQISKSDGNITCAGRIAIQSLCSPQCDFSDKDWEMLSFIRSLKGMVRSSGAVAVISFPPSLVSPAFLKRWQHLADTLISVKAIPDEDKELAKLLTGYQDMLGLLSVHKVARINTQVPAILEATTFSMKLRKRRALVLECLNQAPVDGSSGSSYGTSGACSGSSKTGNLDF >Solyc05g055970.3.1 pep chromosome:SL3.0:5:66229949:66232088:-1 gene:Solyc05g055970.3 transcript:Solyc05g055970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENIMQAAQIHLVVATLIMTVTFTAGFTSPGGFDNNIDSTNKGMAILLRRSAFRAFVVTDAIAFTSSAVAVFTYFAMAASVISVTELPVVMRLYKFATFLQLVAMSAVVIAFVTGIEEEHDVESERHRDQCYLKLTIKLAMVDRESYGKCIQQRLDTSDKRKRKVEIDHPLVYTKMNSYDNDKRTIKIIRDAAQIHIVVATLLVTVTFAAGFTLPGGFESDKDSFDKGMAILSKKSAFCAFVVTDAIAFACSAGAVFSYFVIAMTYMPKTGGELEITLTKKEQRILLKTFDLATSLLFLSMSAVVIAFVTGLYATLENSVGLAATKPLSSGGAKNFTKEQGIVE >Solyc06g007220.3.1 pep chromosome:SL3.0:6:1284814:1285338:-1 gene:Solyc06g007220.3 transcript:Solyc06g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Solyc10g018270.1.1.1 pep chromosome:SL3.0:10:7295481:7295891:-1 gene:Solyc10g018270.1 transcript:Solyc10g018270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTESLGLESFDERTINDDNKKNIENLNDHRVKSSTSFPNCNKTISVKKKENKSFPPPLSSLNQDGKPIFSLKPTRKDGKLELNEVKMDIPSSIVVTSRQQGRLRLNLIPEQEGEDNHNDNDNDNNNDEDEDVVM >Solyc07g007150.1.1.1 pep chromosome:SL3.0:7:1914817:1915233:-1 gene:Solyc07g007150.1 transcript:Solyc07g007150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDEFTIPNSPPSLKQKLKNSLCFSCCFTHRNTTTKLHSLDYHPPPSRQPSSSDENPSLIWIKPDIKDKCRTIFNFISNGNGNRHKRHSSAEFRYDPLSYSLNFEDDAPLTNFSSGLPPSPPQPPVKNLGIAAAVYE >Solyc11g011548.1.1 pep chromosome:SL3.0:11:4616792:4622892:1 gene:Solyc11g011548.1 transcript:Solyc11g011548.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPERRKSGRHRSSIDRLSNLPKNVINSILMRLPLQDALMTSILSKKWRYKWCSLPQLTLDDELWEETRALLSPSIKFTKILYQILTLHSGPLTKFTLSISALRKYLKINSLIYFLSRNDIQDLVLKFSEWNRYRLPPSFFACSQLRHLTLQNCVICPPPAFKGFDMLNSLNLCDVTISSKLLESLISCSPLLEKLVLKISDTADHLQINAPNLRSFDFTGCIKYISLRSVPLLSKLSLPHQKSIEESEKCDFDKFFQLLSALEHLYLANGSDQFLVTTAAEIPRRLSSPLNYLKRFNITLRGLADFFVALCLIQSSPYIQDIEMELLGDYCYMMPPTGREHCQGLPPDILSDLHDNVIDVILMFLPCKDAVRTSVLSRRWKYHWCRLARWKFDESLWSTQKDKLYPTVKFRKIVYQLLTHHEGPITKFKLDITYLQECPRIDNFIFFLSRKDIQHLVLHLPPRKDELYRLPSSIFICSQLRHLYSASSSIGL >Solyc01g067215.1.1 pep chromosome:SL3.0:1:75444265:75448929:1 gene:Solyc01g067215.1 transcript:Solyc01g067215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDDLLANIKITTIQHSTIDLEHEWCFVQRYITKLKNIREGLPELHIVLSSGQFTSCVLRSPHMIILNSCMTNIVKLLKNISQQRGFASSRMEKLARRLLYDKSANDEHERSILTNLGGIKDGGNGKLSRSVFSDDILLLFLYVIDLTLATENQASFEEYLSNNPIANPRIG >Solyc11g008740.2.1 pep chromosome:SL3.0:11:2911153:2925934:-1 gene:Solyc11g008740.2 transcript:Solyc11g008740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVGYLFDDCSEALLKVKQAFRSTAVDLPPEESKAPYHSITLPETFELDDFELPDNDIFQGNYVDHHISSREQITLQDNMEGVVYSTSKFGLDERFGDGDTSGLDLDEELFLDKVAAAGDANGSADPQASVEPMTPIKQEEHHEEMVANSESMLDGVDGDADFMDHAPCTPGLVEEPNLSNIQEISACEDHLGLEDRHLTEYAVKANSVNLSCENNVKNGSVLLENQALTDVSNADTVHSGAAEENGYHLGNKCDKQLLPDGQLPPSGVAVDLVSLGDPTVASGPSSAAVHQANAKSSVLECADEIVAASDGQTNERSFQCMLSDMDKVDVSTPGDFPDKPPLPNGISSTKVNYDVSALSSICQPVREDISPSNPRSPKAVSNNIAIPENMDAGESQDITCFETPKTADCLEQSIFDEDTGAQVHILSRCNASAQLDASKSSCEHAVNNELPSNFSGFHQPETSKEGALHASGYSEQISKESLVKEPVPLEDIRKDTDKSTDRADNVVPEDHHMEFMSSSAASALPAPEKILSMSRGLVDLPRSIFPEATPDYLAGFNEVEAGDKFISGKKRSYTESTLTEQSLNSAESSRMVRSKKSGGFIPDDDDLLSSILVGRRSSALKLKATPRPSEITSSKRARSAVRMSASKRKVLMDDIMVLHGDMIRQQLIHAEDIRRVRKKAPCTHAEISAIQKQLLEDEIFRAAVLTGLSVELASLHKQTFDLSTVKVSSSSDVSCSHAEKAVEPQITAEYAENSISNLEEQRQQPSVECAEKPISNLEEQRQQLTAEYAENPITNLEEQQAMVCNESHVERESGKEGSDERFVARDDSMLGAVEATIPTENKEVGEHDQCLNSDASQLRPDTVTDVSAANGFHLEPSDNTAEIGSQVTYLSGADAADTATAAKESLACPKSGGLGGDGDIAAGLPLTDPFNESGREADFILPEVSYGSPNRAPAAQADKSLENLNDENLVVSSDWPESNYFISEAETETENMVEDAVLLEAAQDSATVEIATNVEDIVADDVNQSFADNIMGTEQPKTDASYDETNMHLLDDPIGAGDYPCKQENFSYNMMGTDLTNGNLGDLNDLHYSAAGNDTGFLNFDDDDDEEAEAADDYVPAADVTRITENIGWSSRTRAVSKYLQTLFIKESERGRTSLSMDSLLVGKTRKEASRMFFETLVLKTRDYLHVEQVIPFDDITIKPGMKLMKSDF >Solyc09g042600.1.1.1 pep chromosome:SL3.0:9:24518454:24518603:-1 gene:Solyc09g042600.1 transcript:Solyc09g042600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEANESINSSTPDGGRTKRSKRESSKCPTTDRRRSRVFFKKNFTENR >Solyc07g043310.3.1 pep chromosome:SL3.0:7:57117187:57124219:1 gene:Solyc07g043310.3 transcript:Solyc07g043310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKISRLFGSTVKAAITAQAGFHGKRIPAVSSLQEHIVKSTPARYNSTQACLENDISGTDNKGFKGHDMLAPFTAGWQSTDVDPLIIEKSEGSHVYDMQGRKYIDTLAGLWCTALGGNEPRLVDAATKQLNTLPFYHSFWNRTTKPSLDLAKELLDMFTAKKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKAYHGSTLISASLTGLPALHQNFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLAKNLEDLILKEGPETIAAFIAEPVMGAGGVIPPPATYFDKIQAVVKKYDILFIADEVICAFGRLGTMFGSDMYNIKPDLVTLAKALSSAYMPIGAVLVSPEVSDVIHSQSNKLGSFSHGFTYSGHPVACAVALEAIKIYKERNMVERVNRISPKFQEGLKAFSDSPIIGEIRGLGLILATEFANNKSPNDPFPPEWGVGAYFGAQCQKNGMLVRVAGDTIMMSPPFVVTPEELDELIRIYGKALRETEKRVEELKSQK >Solyc06g036030.2.1 pep chromosome:SL3.0:6:25517633:25518245:1 gene:Solyc06g036030.2 transcript:Solyc06g036030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIEADVQPGDLCTRREYARWLVSASTILSRTNASKVYSAMYIENVTELAFDDITLDDPDFPSIQGLAEAGLISSKLSRHDKKSSSYPDQSPFFFTPERFNTAQNNFSLFYPLFINSASHLMSYEYCYFYYS >Solyc07g052820.1.1 pep chromosome:SL3.0:7:61392247:61392709:1 gene:Solyc07g052820.1 transcript:Solyc07g052820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSTMYPNGTSFLKEKMSLIATDKAEVLEEKWKKLEDDEAALMVKRLDLIAERYKLVVDAMRGSTNIR >Solyc04g005370.3.1 pep chromosome:SL3.0:4:257826:264725:-1 gene:Solyc04g005370.3 transcript:Solyc04g005370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKIPNLLLMVTSNNTTLFFIILTIFISIILKKWNTSVRNYNKPFPPGPKSWPIIGCFPQIILKNKHALINRIHKIMEEMNTEIACIRVGNYHVIPVTSPELACEFLKGQDSIFSFRPLCMSASLVSNGYLTPIFVPSGDQWMKMKKILTSHVLSPTSFQWLHCKRKEEADHLHRLVYNQCSNQLVINLREVTRYHCGNVIRNMIFSKRSLFGIIEQDEEQIDAVFTLIEYVYSFSISDYLQWLSFLDLDGHKAIIKKAYAIATKYIDIEVDKRIQIWKDGNKTLEEDILDVLIMLKDTNGNPLMNVKEIKAQVLEFFMATVDNPSNAVEWALAEMLNEPKLMQKAIEELNTVVGINRWVQESDLSRLNYVKACIKEAFRLHPFMAFNVPHVSVSDTIIGEKYFIPKGSIVLLSRLGLGRNSRVWEDPLKFKPERHLKMKDGGEVVLTDSNLRLLSFSIGRRGCPAVKLGSTITTMLLARLLQGFTWDLPSNSPCNDLIESSKINHFSTLPLLAQAKPRLAKAIVRNYNKPFPPGPKSWPIIGCFPQIILKNKHALINRIHKIMEEMNTEIACIRVGNYHVIPVTSPELACEFLKSQDSVFSSRPICMSANLISNNYITSIFLPIGDQWMKMRRILASHVLSPTTFQWLSCKRDEEADNLHRFVYNNQCISINLRRVTRCYCGNVIRNMIFSKRSSFGSTIEEEEQVDAVFTLLEYLHSFGISDYLPWLSFFDLDGHKAIIKKAYAKATKHIDIEVDKRIQIWKDGNKTLKEDILDVLIMLKDTNGNPLMNVKEIRAQVLELMLATVDNPSNAVEWTLAEMLNEPKLMQKAIEELNTVVGINRWVQESDLPRLNYVKTCIKEAFRLHPISPFNVLHVSVSDTIVGEKYFIPKGSIVLLSRFGLGRNCRVWEEPLKFKPERHLKMEDGGKVVLNLRLLSFSIGRRGCPAVKLGSTITTMLLARLLQGFTWSLPPISPCNDLIESSKINHFSTLPLLAQAKPRLAKAMYL >Solyc01g067680.3.1 pep chromosome:SL3.0:1:76371460:76375015:-1 gene:Solyc01g067680.3 transcript:Solyc01g067680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWGYGSKSRCRQSSVVAVAEETEGIDEVFVESCWANLPLELLREVLMRIEDTESKWPLRRSVVACSGVCRSWREIMKELVKTPEVSGKLTFPISVKQPGPRDTLLQCFIKRNQTTQAYHLYLSLTQALADDGKFLLAARKYRKATCTDYTISLDANETSKGGGTCIGRLRSNFLGTKFTAYDSILPYAGAKLVKSRSTRLVGSKQMNSRVPAGNYEVAHISYELNVLGASRGPRRMHCVMDAIPASAIKPGGIAPTQVDFPMGNSGSSLPMRFLRSKSSRLEKSLSGPIETQREGSLVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASPENGAAGPEHEKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKIACE >Solyc10g083500.2.1 pep chromosome:SL3.0:10:63413538:63419721:1 gene:Solyc10g083500.2 transcript:Solyc10g083500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFRANCEIVESKDEAELFQHSRRFNQVLEPDRKQQKPPLARKGAGKYIGDDINQLFESINVRTSKSLDLTDHVRRDASKRPMRGGGSNSPVTGFSDPVSLKQAFRGLCISQAAEMAAMRRLSKPPGSPSGLEPGRITCSSRFAGPSESGFLRSERLLQDESISGGLHKLSHNPHERYVKSANHSPRSSPRFAIKPFNMSQNGRVVPAESMSSSVPQHIQESRLKSPGQSALSSPRYASKPSIKSTESISRQSERILSAENVLGSRKKDFLQPPEANMRSSSQTALSSPQIGDKPVTKQAESVVPQNERTGGAESISGFFNMIPDYPEPMKSPHQGAISSPRLVAAQALQLTTSSLQETKNAQPSNYHEIKPLGTASTKEKTQTAVPSSSSCESSNVVLVSGPKRSPDNQKRNVVTSFRVANKAALKLRRKGRSQTVPSSNVVKGNKESKSRRSTSRSIKPPVKNKYLVKKKLKEEVALAAGTFNLCYEINGPTESPSQLICQRCQCTLEGAGKESSEEPAKQISGCMTVGASENSTSCAANGYNNSGPPVLKFNKTSKSREQGEFSQSSKSSIGDYSTSTTISEESNLSGSFSGNRPHMSKDGRWEAINQVRKHYGFLGLSHFNLLKKLGCGDIGTVYLAELLETNCLFAIKVMDNEYLARRKKLPRAQTEREILRILDHPFLPTLYAQFTSDNLSCLVMEFCSGGDLHVLRQKQPGRYFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVNPTLLQSSSLGVEAPRISGPCAGSNCIDPFCAGPSCQVSCFSPRVLPGTAKARKLKAEAAASLNRSLPQLVVEPTEARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTLGVFLYELLYGKTPFKGAGNEETLANVVLQNLRFPDSQIVSFQARDLIRGLLVKEPENRLGTETGAAEIKRHPFFDGLNWALIRCAVPPQVPGVTKVALEEKSKKFLECGSTGEHLEFELF >Solyc02g083640.3.1 pep chromosome:SL3.0:2:47526102:47528426:-1 gene:Solyc02g083640.3 transcript:Solyc02g083640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDMWNAPPGFRPTKSAPSSPAKPLGVSRTRSESFHVAHKVPIGDSPYVRAKNVQLVEKDPERAIPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAVKSLRTRCSDQAQEALDNILLDLYKRCGRLDDQIVLLRHKLFLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALVIAPDNNKMCNLGICLMKQGRVGEAKETLRRVKPAVIDGPRGVDSHLKAYERAQQMLRDLESEMMNKGGDRIEQRKLFDAFLGSSAIWQPQPCKEHTTSTLSKPTKLSQPQDEFANENINSTNIIRNQTAPSLQKSMNPNISYGNLLNIDAPPFYSTKTTNEPANVPIPESLKRTRSGNATQLMRNESLGTIISEPENKMRKQSISPEKTENIWAGLLPDSKDFEDAIIAAALGSNQETFKPEGSKDTGILPRKVEKRLRVFQDITLSMSPRA >Solyc02g092200.3.1 pep chromosome:SL3.0:2:53998627:53999754:1 gene:Solyc02g092200.3 transcript:Solyc02g092200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREEKRQKLHERLLRMLYPPPPSPPSQEENDDDEPFDILQQGDQIPDELEEDRGSSSSGDQETNHGPDKLTRAQRKRIRRKKLKEASSRRQNIIGPLLPTEESDLEGVNVSTPDEEPQGVRQNANETDDADSCLKQNKQKQRRMAKRLVGGSSKSTGGGNKN >Solyc04g078890.3.1 pep chromosome:SL3.0:4:63628893:63634255:1 gene:Solyc04g078890.3 transcript:Solyc04g078890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFLSTSKAIKRLVTHRNVLKQRLGIDNNGWGLGNHVQVRCLSNIVGVPSVSPGCDAGSKVITPSPRGEVYRIGPVIQTRGFLGCGDGEEGSMLTKIHEEKRVLGYSPEQLYAVVAAVDLYEDFLPWCQRSEIIKRHPDGSFDAELEIGFKFLVESYVSHVELNKPKYIKTTATDTGLFDHLINVWEFNPGPTPGSCNLNFLVDFKFQSPLYRQVANMFFKEVVSKLVGSFHDRCRLIYGPGVPILEDTYQQRL >Solyc01g086755.1.1.1 pep chromosome:SL3.0:1:81598220:81598651:1 gene:Solyc01g086755.1 transcript:Solyc01g086755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKMYFNLDINDVLEEIPSCQQVKDSIKIEARKLYDLYNANINLSSEYELQNSRVRFDENNIDDYLHDYLELSHDNRNDFDAYVTQNTEPTEDILQWWRNRGKGFPKLVPMARDILAMQASSVASEGVFSTSRFNLENIGIR >Solyc06g082290.3.1 pep chromosome:SL3.0:6:48210020:48212838:-1 gene:Solyc06g082290.3 transcript:Solyc06g082290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDASPKFQQRDLLPVPQPPDYHPVVAVSPAHDGLHFWQFMVAGSIAGTVEHMAMFPVDTIKTQMQALGTCPLRSASIRTALGGILKSEGVAGLYRGIGAMGLGAGPAHAVYFSVYESCKKKFSGGNPNNSVAHAISGVCATVASDAVFTPMDTVKQRLQLSESPYKGVLDCVKRVLKEEGFKAFYASYKTTVLMNAPYTAVHFATYEAAKLGLSGVSPDSASDESIIVHATAGAAAGALAAVVTTPLDVVKTQLQCQIAEFCVAFHKGVSVAVIDLNLGQLGMSFR >Solyc09g059992.1.1 pep chromosome:SL3.0:9:57316671:57321097:1 gene:Solyc09g059992.1 transcript:Solyc09g059992.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGVDTPFADITHFRSLIGALQYIFGTIGRGLLIRPEDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISWCTKKQPKGVVLQETRVFYDPQLDARRCS >Solyc04g024650.2.1 pep chromosome:SL3.0:4:29727124:29727423:1 gene:Solyc04g024650.2 transcript:Solyc04g024650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VWKGQVQQRSPAGTVTFHQNTMCGSPARAMGWSDPGFIHTSFLKELWPNVVKFIWWYSFVSSIDMYTRF >Solyc03g097720.3.1 pep chromosome:SL3.0:3:61503354:61505858:1 gene:Solyc03g097720.3 transcript:Solyc03g097720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BJI9] MNHFAIKSIETPSMKSEKHFIFAQILLRILATAFTLTAACITFKSAQTLSVFNVETDARYTYSPALKFFVYANVIGCAFSFFSLFLASIFGCTNLHKHKYFYLFIHDMIMMDLLLSACSAAATIGYVGKYGQIRSGWMPICDSVTKFCHKMTASVIFSIFGVIFYLCLTILSAYQSRKIQV >Solyc01g097800.3.1 pep chromosome:SL3.0:1:88387672:88390703:1 gene:Solyc01g097800.3 transcript:Solyc01g097800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQSTKRPLKIIAGADSFGCNLKDVLVSQLRALNIQVEDLGTDKYYSVGEEIGRRVSQAADDPAVETRGLLACGTGVGVAIFANKFPGVYAATCLNTDEARNARSINNCNVLAVSGMNTTPEVASDVLKTFLETPFKSPCPASGSNPWPDEIDQFLENSIHEMNKIGTPKPVESSSDCHLCSLVKSREFNAVDIMPGGSISIVRESPTSAFVRFTAGSVEPAHHHTFGHDLVVLKGSKRVWNLSKGERYDLGIGDYLFTQAGDVHRVKYFEDTEFFIKWEGQWDLFLDEDHAAANAAIDKEKENSLIPTNK >Solyc03g025710.3.1 pep chromosome:SL3.0:3:3145689:3148004:-1 gene:Solyc03g025710.3 transcript:Solyc03g025710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCQWQPHFQTRLKEDVSWKCRGISINKYKDKSKGIRNWRKRLQMPQCCSSSFPVSSTTWRGLEVGLINSEGKQRGDCEVGCFVNENGWKVRRMIETEQEMRNVAAVQAQAFYEPLLIFNDLFFQFFQAEVLSGLLYRLKNSPPDRYVCLVAEASSDTPEVEQDLVGVVDAMVYRDTDVLQFLPGATEYIYISGIAVLNNFRRRKVATALLKACDVLARFWRFEYLVLRAYEDDFGARQLYTNAGYIVVSGDAPWKTTWIGRRRRVLMVKQVSPESTYIY >Solyc12g094430.1.1 pep chromosome:SL3.0:12:65622269:65624424:1 gene:Solyc12g094430.1 transcript:Solyc12g094430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPVKVYGPTLSTAVSRVLACLLEKNVQFHLIPVNMAKGEHKKPAYLKIQPFGQVPAYQDEDITLFESRSINRYICDKYGSQGNKGLYGTNPLEKASIDQWIEAEGQSFNPPSSVLVFQLAFAPRMKLKQDENLIRQNEEKLKKVLDVYEKRLGDSQYLAGDEFTLADLSHLPNIQYLVNGTDRAELITSRENVGRWWGEISNRESWKKVVEMQTSPPPS >Solyc01g096630.3.1 pep chromosome:SL3.0:1:87566323:87568026:1 gene:Solyc01g096630.3 transcript:Solyc01g096630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACI112 [Source:UniProtKB/TrEMBL;Acc:Q3SC80] MEESVLQHLENLMSQLTEETRICFRDSLYRLAGNSKHGACQSRNAMPDQDSTRFNEEETTESRTNVIDRTVANFLFSNVEFGASEGSSFDFTEATNIRHHSGGAMLWNISAGSSGCDVPTFSG >Solyc10g005450.2.1 pep chromosome:SL3.0:10:327302:331922:1 gene:Solyc10g005450.2 transcript:Solyc10g005450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDSSIISTPTRLEMVVCWILGLGSLVSWNSMLTIGDYYYQLFPMKKYHPSRVLTLVYQPFALATMVILVYNEARINTRKRNLTGFTLFFLSTFALLVLDLATSGAGGLGNYIGICAIVAAFGVADAFVEGGMVGDLSFMCPEFIQSYLAGLAASGALTSALRLVTKAAFERASNGLLLFLAISTFFEFLCILLYAFVFPKLPIVKYYRTKAAAEGSKTVAADLAAAGIQTEATERVDANAKQLERLSNKQLFFQNIDYLLDLFLIYVLTLSIFPGFLYENTGSHKLGSWYALVLIAVYNMFDLIARYIPLIEKIKLKSRNGLMIATLSRFLFIPCFYFTAKYGDQGWMIMLVSVLGLTNGYLTVCVLTVAPQGYKGPEQNALGNLLVLCLLAGLFSGVALDWLWIIGNDKF >Solyc06g075340.3.1 pep chromosome:SL3.0:6:46906856:46912243:-1 gene:Solyc06g075340.3 transcript:Solyc06g075340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYCMNRCENAAYRLISSSSSYVLPSSRIYSSRTQLFPWFPHSTYKKSSFLHLQSRPYVFSNTHLQKRVHSIVNEQINDDTVQKGFLGTDKLGKRTDIKKILILGAGPIVIGQACEFDYSGTQACKALREEGYEVILINSNPATIMTDPETADRTYIEPMTPDLVEQVLENERPDALLPTMGGQTALNLAVALAESGVLDKYGVELIGAKLDAIKKAEDRDLFKQAMKNIGIKTPPSGIGNTLEECFEIANNIGEFPLIIRPAFTLGGTGGGIAYNREEFEAICKSGLAASLTSQVLVEKSLLGWKEYELEVMRDLADNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIAIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVIPRFAFEKFPGSEAILTTQMKSVGESMAVGRTFQESFQKAVRSLECGYSGWGCAQVKEMNWDWDKLKYSLRVPNPERIHAIYAAMKRGMKVDDIHELSYIDKWFLTQLRELVDVEQFLLAHSLSDLTKDDFYEVKKRGFSDRQIAFVTKSSEQEVRLRRLSLGVKPAYKRVDTCAAEFEADTPYMYSSYDLECESAPTQRKKVLILGGGPNRIGQGIEFDYCCCHTSFALQDAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVINIIDLEGPDGIIVQFGGQTPLKLALPIQNYLDERKPKSKSGAGFVSIWGTSPDNIDAAEDRERFNAILNELQIAQPKGGIAKSEKDALAIAAEVGYPVVVRPSYVLGGRAMEIVYNNEKLVRYLENAVKVDPERPVLIDKYLTDAVEIDIDALADLYGNVVIGGIMEHIEQAGVHSGDSACMLPTKTVSDSCLETIRSWTTKLAKRLNVCGLMNCQYAITTTGEVFLLEANPRASRTVPFVSKAIGHPLAKYAALVMSGKSLYDLNFTKEVIPKHVSVKEAVLPFEKFQGCDVLLGPEMRSTGEVMGIHYESSIAYAKAQIAAGQKMPLSGTLFLSLNELTKPHLTTIARAFAELGFQIIATSGTARVLELEGMPVEQVLKMHEGRPHAADLIANGQIQLMVITSSGDALDQIDGRKLRRMALAYKIPVITTVAGALATADAIKSLKCNKIKMTALQDYFDDQKVTAERKNLQSASSS >Solyc01g060282.1.1.1 pep chromosome:SL3.0:1:69983131:69983427:1 gene:Solyc01g060282.1 transcript:Solyc01g060282.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNHRRRIQTARMQNQTIRQVVFSKRRFGLFKKASELSTLCGADVSIVVYSPTNKLYSFWHALVKSIVERFLEEDLTPGNNDPNPIIIAQQNANVD >Solyc03g078830.1.1.1 pep chromosome:SL3.0:3:52962353:52963672:-1 gene:Solyc03g078830.1 transcript:Solyc03g078830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLMKGGNNEDYEPKVVSFGPYHHGKENLKLVEDFKSTAVQRFVESDINENIFISAILGEIENAKNCYPREVTCRYTNIEFAQMMFRDACVILNYFGPTTDVEHSSKKKETINHLGIAVYKSIRRDMYLLENQIPFWILEILVALRYPNTRTGSFIKNMEQHSFNMFFNDKKGKIEHAEPNDGLGSNPAHLLEIFRRVIVTGPTHDPILRDNDGCCNVNDMLSKLEKGVCKCCEDDEKNEDIHGLYVFRSVTDLKSKGINSKASGIKSLKGVRFSPTRFCRSAELKLPFMYVDMYTRVFFNNMIAYEFSPNSNIIDKSVTGYISFMKLLVVTKEDVKEMRENKILINSLGSDDDVVQVYKDLNTFEAGDSSNFWTVKQSIENHYHSKIRTWMAEFRITYFNNPWTIIALLASLFLLCLDIVQTYYAIHPAPNDPGDA >Solyc02g089920.2.1 pep chromosome:SL3.0:2:52221759:52227210:1 gene:Solyc02g089920.2 transcript:Solyc02g089920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYSHLIFVFTIILAYSSVSILAQQPYFGTGTNDCSSQDTSTSAFGYLCNGVNRTCQSYLTFRSQPPFNTVSSISSLLGANPSQLSQLNSVSQNATFNTNQMVLVPVTCSCSGQFYQSNASYVIRRDDSFLNIAMNTLQGLSTCQAINAENSEQANNLVVGSRINVPLRCACPTQNQTNNGTNYLLTYLIASGEFVSFISDKFGVDFRATLAANSIPEDAPTVFPNTTLLVPLSTPPLSSQSSSNKTWIYVVAGVVGGLVALCILGVVVFFLFFRKKEKKADPQFVSESFEAVEKPSNKKVEEESEEFLESLSSIAQSVKVYKFEEVKAATENFSPTCLIKGSVYRGTINGDFAAIKKMSGDVSKEINLLSKINHFNLISLSGICFHDGHWYLVYEYAANGPLSDWICHHNGEQKSLSWAQRVQISFDVATGLNYLHSYTSPPHVHKDLNGDNILLDGDLRAKIANFGLARSADGQEGEFALTRHIVGTQGYMAPEYLENGLVSPKLDVYALGVLLLEILTGKEVSALYEGSNTNLAELLIPVLNDDNAKESLSNFVDPSLQGKYPVELAFAMVRLIDNCLMKDPSHRPNTDEIVQSVSRIMTATHSWETSIILASKVYAQQNYSGNTVMSCKGTNETGTTASFLYSCNGEKFSCRSFVIFRSDSPYNTVSYISKLLSSNPDEIVHINNISRFKILDQNQEVIVPVNCSCSGQYYQADTSYVIPSKYDTYFRIATSTYQGLSTCSAVMHENVYNALDLFPGLNLRVPLRCACPTRDQSRNGVKYLVTHLVTWGDNVSSISAQFSVSSQSTAYANGLSENSVLYPFTTVLIPLPKEPSSSETRTIKREHTETSYPSRHKISYGSLFIGVGTGVSLAVLCFILFIVLKHKKENGRGEILGNRRQGKQKRNLPEHVLESIVGEGQMIKVYEFEELLAATENFSSRKKFGHCVYKGVLRGKLMAIKEMSTDISKEVKFLAKINHFNLISLAGFCKHHQLSYLVFEFMENGSLKEWLFKDDNPEAQSWNCRIRIALDIADGLDYIHNFTAPAYVHNNISSNSILLNRHLRAKISNFSLARSANNEGKVTSSMKFIEGNNGYMAPEYIETGQVTPKIDIYAFGIVLLEIITGKGAVFEQDGKEVLLSETVLESMDEESKIQELTDPRLQVKHPLGYIIQQTDLVLRLVRLCAACLTMEPERRPSANEVISTLLKIQSDVQN >Solyc02g070000.3.1 pep chromosome:SL3.0:2:40369757:40377177:1 gene:Solyc02g070000.3 transcript:Solyc02g070000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFSFWMLVLCFGSAMGQLPSQDILALLEFRKGINHDPTGYVLQSWNEESIDFNGCPSSWNGIMCNGGNVAAVVLDNMGLSADADLSVFANLTMLVKLSMANNSITGQMPKKIGDFKSLEYLDISNNLFNSSLPPEIGKIGSLKNLSLAGNNFSGPIPDTISELMSIQSLDLSHNSLSGLLPSSLTKLNNLVYLNLSLNGFTKKVPKGFELMANLEVLDLHGNMLDGTLDPEFLLLTTATYVDLSGNLLVSSASQHEKFLPGISSSVKYLSLSHNQLTGSLVSGGEAQAFGNLKVLDLSYNQLSGELPGFNFVYDLQVLRLSNNRFSGFVPNDLLKGDALVLSELDLSGNNLTGSISMITSTTLRVLNLSSNALSGELPLVTGSTAVLDLSKNQLEGNLTRIQKWGNVEFLDLSQNQLTGNIPEVTAQFLRLNRLNLSHNALTGSIPKVITQFPKITVLDLSFNQLNGPLLTSLLTVPTIEELHLQNNALVGNIDVAAPSATPNLRVLDLSHNQLAGSFPDGFGLLTALQVLDIAGNNFSGSLPTLIGQVGSLTSLDISQNHFTGPLPMNLPDGLQSFNASLNDLSGVVPDNLRKFPLSAFYPGNSELQFPNPPSGSGQASPENQKSRSLKTIIKLVIIVSCVIAFIILVLLVIFFYYIRASRKRHPRVTEKVVHRQATSNPSGFSSREGAGGAVVSAEDLMTSRKGSSEIISPDEKMAAITGFSPSKGSHFSWSPESGDSYTAETFARLDVKSPDRLAGELYFLDDTISFTPEELSRAPAEVLGRSSHGTSYRATLENGLLLTVKWLREGVAKQRKDFAKEAKEFTNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWPQRLKISVDVARGLNYLHFDREVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPLPSFKSDVYAFGVILLELLSGKCAGDVVSGEDGGVDLTDWVRLKVAEGRSSDCFDNVLSPELENPAMEKQMKEVLGIAVRCIRSISERPGIKTIYEDLSSI >Solyc10g019000.2.1 pep chromosome:SL3.0:10:11170727:11172641:1 gene:Solyc10g019000.2 transcript:Solyc10g019000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQLGSIYLRYLVDINKKYLMYYEFNPSCLAERRIFLAHYQTITYSQTSCGENSFHFPFHGKTLFAPLSLIPLYGYFVIGFIGIGQSYLVKYLATNSYISFITVFLNKFSDNKYKAKAVSPCIIWIPNIHDLDVNESNDLSLGLLVNYLSRDMDPALIAPNKLNTYIKIRRLLIPQQRKHFFTLSYARGFHLEKKMFHTNGFGSITMGSNARDLVALTNEVLSISITQKKSIIDTNTIRSALHRQTWDLSVQDHGILFYQIGRPVAQNVLLSNCPIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKRLMILLYLLSCSAGSVSRDLWSLSVPDEKNGITSYGLVKNDSDLVHGLLEVKGALVGSSWIEKDCSQFDNDRRFLYEKYESEFEKGEGEEALDPQEDLFNHISFRGKWIIYDEKDDLQENDSGFLQSISKPFSNKTIHLGPADPLFFLFKDQPPGSVFSHRELLAYEEMSNGLLTS >Solyc12g040500.2.1 pep chromosome:SL3.0:12:54939054:54960517:-1 gene:Solyc12g040500.2 transcript:Solyc12g040500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFWRRYRRKAYVTLGVLGSGYFLYKLYDRHRRKLSDLHTELEQERRSDELIKFLVKAHFENVQRIADSTTLPHVVRCLRSQIETKLDLTHLTERLMKGKDLPNSLTAAQKLELWDRLKILSFTRMVLSLWAATLLSLYIRVQVNILGRHIYIDTARGIGGYGQFDEVDLIDRNDQQQFLASADYLSSFGLPTLISSFEGATSEVLEGKQLKDFFNTTVLHDSVIQILNTFMSMGSPHHWLSFLMPEDSKPNNLGVTSSTGSTDLCNASKFEQLMLETRAVFSSTEFRNIVDILLKAAVDVLMEDISVLCGDANLTSGMPLAKLLPRIAHMDQILLEEPNRNRYIQVIQDIPEIEIFFTLLYASTAAS >Solyc11g008090.2.1 pep chromosome:SL3.0:11:2286269:2292284:-1 gene:Solyc11g008090.2 transcript:Solyc11g008090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANTRNSPRKKLADISNLPLRKRLSRQDKTPEHIPAASKEYLERIQKENMALMKMLAERNKIIEITGVEMQKLRINVRKMQQQNQLLAQANTKMLAELNSNKDRVKTLQHELGCIKGVLNVRKSEAEEQLRTNMCQDLNDEVKPMKCEEAGDLSLRKGDTEKARNLKKRAQSKSMGSSEQVQCEDKTANKRSCVRRQSARFKPEALKLSEDSFEVQDNCALHSLSDPVQENGSASVCKSSDDVHPSSRFEPTPFGRASLGRPSREAAKRVQSYREIPVNIKMRRPQ >Solyc10g084010.1.1.1 pep chromosome:SL3.0:10:63808063:63808416:1 gene:Solyc10g084010.1 transcript:Solyc10g084010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGTTNRKHIAKILKKILQLPRRSSFKFAFDDWEGETASLPLPKDVKEGHFVVHAIDDGKQRRFIVELSYLADPGFIRLLEQAEEEFGFEQGGVLAIPCRHSDLEKILENKNKNKK >Solyc08g028815.1.1 pep chromosome:SL3.0:8:39921174:39921836:-1 gene:Solyc08g028815.1 transcript:Solyc08g028815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRGYHQVRIAECDEPKIACVMCYGVFDWMVMPFGLRNAPATFSMLMNRLFHSYLDQFVIIYLDDIVVYINNMEDHVEHLCKVFEILRNNELYVKREKCSFAQPTVHFLGHTISNGKIQIDSDKIDAINNWEAPTKKDRDWNWSASCQTAFGRLKFVVMEETVLSLPDFSKPFEVHTNASNFAIGG >Solyc11g017073.1.1 pep chromosome:SL3.0:11:7873281:7874242:-1 gene:Solyc11g017073.1 transcript:Solyc11g017073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLDVPTKWNSTDLMLEVTQNFKKTFDRFYLFDKYCVIGLPACDDWANISGSQYVTSSVHFEDICELYVYLKQRLTSEDLNLRNMVDGMMMKFKIYLGTPDKMNSMIYIAYILDPRNKFVYLERYLKEDQEPEHDDFNVLSWWKVNAPRFPILLELARDVLVIPISSVSGGCIFDRFRSSLTPKCVQSLVCAQDWLRKEPNSI >Solyc08g015820.1.1.1 pep chromosome:SL3.0:8:5789903:5790202:1 gene:Solyc08g015820.1 transcript:Solyc08g015820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQFLTFVGINFLATYRSLFLICYKSALELCPLYVSCAQSRIQASCFSGSNTYSFRIVCYFQNDKFDNLSLKRLPWQSVTFSYLHYSAVLEFFSGYPC >Solyc11g007440.1.1.1 pep chromosome:SL3.0:11:1752127:1752315:1 gene:Solyc11g007440.1 transcript:Solyc11g007440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIENHTTLRVLMFPFLAYGHISPFLNVAKKLADRGFLIYLCSTSINLEYASEKIPEKIRFS >Solyc05g052360.2.1 pep chromosome:SL3.0:5:63462851:63475769:1 gene:Solyc05g052360.2 transcript:Solyc05g052360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALKSIAKPLCYLLFLCIFLLFADAASAKTHYHDFVIQATPVKRLCKTHNTITVNGQFPGPTLEVNNGDTLVVKVVNRARYNVTIHWHGVRQMRSAWADGPEFITQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKEGGNYPFPKPRRETPILLGEWWDANPIDVVREATRTGAAPNVSDAYTINGQPGDLYKCSSQDTTIVHMDSGETNLLRVINAGMNQELFFTVANHKFTVVGADASYVKPFTTSVLMLGPGQTTDVLIKADQPPSRYYMAARAYASAQGAPFDNTTTTAILEYKTSSCSSNCVKTNPVFPSLPAYNDTATATAFTTKFRSPRRVEVPKEIDENLFFTVGLGLNNCPRGARSRNCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHNGIPGVFSTDFPAVPPVKFDYTGNVSRSLWQLIRGTKLYKLKYGARVQVVLQGTNISTAENHPIHLHGYDFYIIAEGFGNFNPKRDTSKFNLVDPPLRNTASVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVENGVSQLESLEAPPVDLPVQATLVKRLCEIHNIITVNGKFPGPTLEVNNGDTLVVNVVNRARYNVTIHWHGVRQMRTGWADGPEFITQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIINPKEGVIYPFPKPKRETPILLGEWWNTNPIDVVRQATRTGAAPNISDAYTINSQPGDLYKCSSQDTAIVHMDSGEINLLRVINAALNQQLFFAVANHKLTVVGADATYVKPFTTSVLMLGPGQTTDVLIKADQQPARYYMAARAYASAQDAPFDDTTTTAILEYNTASCSTNCVKTKPVFPSLPAYNDTSTATTFSTKFRSPRRVEVPKEIDENLFFTVGLGLENCPRGAPSSYCQGPSGTRFTASMNNVSFTLPSNNSLLQAHYQGISGVFSTDFPAVPPVKFDYTGNVSGSLWQPIRGTKLYKLKYGAKVQVVLQGTSIFSAENHPMHLHGYDFYIIAEGFGNFNPKTDTFKFNLIDPPLRNTASVPVNGWTVIRFIANNPGVWLMHCHLDVHITWGLAMAFLVENGVCESESLEPPPLDLPIQATPVKRLCKTSNAITVNGQYPGPTLEVNNGDTLVVNVVNKARYNMILYLLCFKRHGVRQMRTAWADGPEFITQCPIRPGKSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKEGGNYPFPNPKRETPVLLGEWWNTNPIDVVRQATRTGAAPNVSDAYTINGQPGDLYKCSRQDTTIVHMDSGETNLLRVINAGLNQQLFFTVANHKLTVVGADANYVKPFTTSVLMLGPGQTTDVLIKADQTPSRYYMAARAYASAQGAPFDNTTATAILEYKKSSCSSNCTTTNPIFPLLPAYNDTTTATAFTTKFRSPRKVEVPTEIDENLFITVGLGLENCPTGAPSSNCQGPNGTRFAASMNNISFVLPSNFSLLQAHHQRIPGVFSTDFPSVPPVKFNYTGNVSRSLWQPIRGTKLYKLKYGAKVQVVLQGTGIFTAENHPIHLHGYDFYIIAEGFGNFNPKTDTSKFNLINPPLRNTASVPVNGWTVIRFIADNPGVWIMHCHLDVHITWGLAMAFLVENGVSELESLEAPPVDFPVC >Solyc03g121500.3.1 pep chromosome:SL3.0:3:71064695:71068992:-1 gene:Solyc03g121500.3 transcript:Solyc03g121500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRNRVPREAFDNRRGFPAEGHIARGPMPRPSLHPALLEEELEIQHLEIRRLLGENRRLVEDRIALQRESAAAKEEVYRMNLAIGDMQAEHEIRSRELIERGLKLEGDLRATEPLKNEAKQLHVEVQKLNIMKQDLTGQVQTLTKDLAKLQADIQQIPHFRGEIDGLHQELLRARSAIEYEKKAKIELMDQRQAMETNLVTMAREVEKLRAELSNSDGRAWAAGGSYGMRYGRHDASFPAPYGEGYGVHMGAADRGPLYGAASASRGGLEKPQMNRR >Solyc11g066620.2.1 pep chromosome:SL3.0:11:52687448:52696555:1 gene:Solyc11g066620.2 transcript:Solyc11g066620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVVFLVFLFVISPAAPAAVVGDGGMTMTLQLERAFPTSHGVELSQLKARDRVRHGRILQQFPNGVVDFPVEGTYDPFLVGLYFTRVQLGSPPKEFYVQIDTGSDVLWVSCASCNGCPTSSGLQIQLEFFDPSSSSTARPISCSDQRCALGEQSSDSGCSTRNQCGYTFQYGDGSGTSGYYVADLMHFDTIVGNSLTTNSSAPVVFGCSTSQTGDLTKPDRAIDGIFGFGQQGLSVIAQLSSQGVTPNVFSHCLKGSNGGGGILVLGQIVEPNLVYTPLVPSQPHYNLNLETIALNGQTLAISPQVFETSSNRGTIVDSGTTLAYLAEEAYEVFVNAVSQAVSQNVRPLVARGNQCYLTTSSVGDIFPMVSLNFAGGATMVLRGDDYLIQQNSIGGAAVWCMGFQKIPGQGLTILGDLVLKDKIIVYDLAGQRIGWANYDCSQAVNVSATTSRGKTEYVNAGQIGNSSPRNDPYTLLLSVILTFVLQALAFGTYSFL >Solyc02g011840.1.1 pep chromosome:SL3.0:2:14144081:14145276:-1 gene:Solyc02g011840.1 transcript:Solyc02g011840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKEDNKTKEQERIALKEEWDTIPFAQIIGGLIKKINESFRQIQYGNWPNSSLIETKMKDLTHRTSKIKNQIERITKEKKKVTPEIYINTNNINNIIKFESPNFFPNIKKQKSSINMEIPLFSKFIHSMIIHQSIIIYH >Solyc12g010655.1.1 pep chromosome:SL3.0:12:3603271:3607443:1 gene:Solyc12g010655.1 transcript:Solyc12g010655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQVHLKALQDSLYSGSTSNSYSDNATYGYSPQGGGYSGGASSSSVGNKSRKFLLQCEHCGCRGHTKDQCYKIVGYPADFKAKRKPLKSGVGNTTQSAGVINANSQSGAFFTPDQYKQILQMLTTHGHDSTAQSSVNVAAVDNAGEGLISWKSKKQETVSRSSAEAEFRSMAACAAEVTWLIELECSPDSM >Solyc07g053760.3.1 pep chromosome:SL3.0:7:62319501:62322435:-1 gene:Solyc07g053760.3 transcript:Solyc07g053760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGREILHKMKEKACFMASMPDSPDTRGKGGASKRITHGSHLVKGKSNHAMEDCLVCEFKQVHNNDLGLFAIYDGHMGHDVANYLQTHLFNNILKEVMHNSREEFVLSQLCPDNSLFVHFRYSVIHITCICEQHDVWTDTVNATRRAYHSTDRDILAKAFELGKGGSTAVTAMLINSQTLIVANVGDSRAVISKKGVAEQLSVDHEPNREREIIESKGGFVSNIPGDVPRVDGQLAVARAFGDKSLKRHLSSDPDVAIELMDNDVDLIILASDGLWKVMSNQEAVDCIKNMKDAKAAAKHLSEVAISRKSKDDISCIVVKFQ >Solyc01g100240.3.1 pep chromosome:SL3.0:1:90188486:90193341:-1 gene:Solyc01g100240.3 transcript:Solyc01g100240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTTLTSTRLLVSLPNWTLMVLKRNINQDSDENSEFPPRESKRQHPSNAFFSGLRSYSSPQELARKLEPLIRSWVREEIEQTLQSTLRSSLNDDETSKSRDIQLLFDDVFPSSLFTGSKLSMENRPIKVLLYDASSNQRITSGPLSSAKVDVVVLNGEFNPSNLEDWSEEEFSKMVIRAREGKRPLLTGDLIIQLRDGVGYLGDLSFTDNSSWIKSRTFRLGVKLNNRSGEFRVREGVSKPFTVRDHRGEAYKKHYPPTLDDEIWRLKKIAKDGASHKRLSKEGISCVKEFLRLFVTDPSLLRKMLACGNNIWEKITEHVSTCPLDNSEWYVYNAGESIVLLFNCIYELVGAILDGQNFQSIDKLDIFQKRMVEDFKRSVYKNLNCLAPLEDHSLIGQAILTSNLQNGLNHIPSSSQQNMNYSDEQGQVEFQSNSDHATISSPLAYTAQQDSPTTVSMPESFNGIQAFNSTLASSFLVGEPCSSIYPGDYDWGSNGSLDSLGMTDYLPPNNNYQFETPVWQGNELLASSSVQPVSPNIGFHITRRGNPRAIWCTIRAVLKWKTLVKRK >Solyc06g048600.3.1 pep chromosome:SL3.0:6:31436276:31439974:-1 gene:Solyc06g048600.3 transcript:Solyc06g048600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFANSSSISKGMGEVVASEEPHVLAVDDNLVDRKLVEILLKKSSCKVTTAEDGLRALEYLGLAAHQDSSKNSNGSKVNMIITDYCMPGMTGYELLKKIKESSMMKDVPVVIMSSENIPTRIHQCMEEGAQIFMLKPLKHSDVERLRCQIMQCRG >Solyc11g020345.1.1 pep chromosome:SL3.0:11:10914599:10918555:1 gene:Solyc11g020345.1 transcript:Solyc11g020345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQILGYIAAMKIKKKSKFLNNPIALELNDEFVISGSYKRKEGSTMKLDKIKIDKTRKVKYIVLDTIGKHGRTIEPLHADLKGNLKPIQFNQFKYTMFGEYTKMHYQEFAITIGLNCFADKYDLFSGTSEPKRLINQYFEGKSIIRKAKCIPRLVNWRTRNIKVRYEFLIEGMFSDKDNLLKYKNIQSSFKEIAFYQPP >Solyc08g061090.3.1 pep chromosome:SL3.0:8:46723555:46727429:1 gene:Solyc08g061090.3 transcript:Solyc08g061090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRDIQAFERKKDGSMSISHLGLQWSLQDSNFCPGGLFASVGQMGSMGFGVSSPNPSDSRDENGGFKLPYSDLCLKYLSFSEGFKIAGNGEEEGVVKEEKKKGGLKIKLKVSNPSLRRLISGAIAGAISRTAVAPLETIRTHLMVGSSGHSSTEVFNSIMKTEGWTGLFRGNFVNVIRVAPSKAVELFVYDTVNKNLSSKPGNQSKIPIPASLVAGACAGVSSTLLTYPLELVKTRLTIQRGVYNGLFDAFVKILKEGGPAELYRGLTPSVIGVIPYAATNYFAYDSLRKAYRKIFKEEKIGNIETLLIGSAAGAISSTATFPLEVARKHMQVGAVSGRAVYKNVIHALVSILEQDGIQGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEAENEK >Solyc02g021362.1.1 pep chromosome:SL3.0:2:23056994:23059087:-1 gene:Solyc02g021362.1 transcript:Solyc02g021362.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRILRHNNTYDAKNNRLTSQCLFLRYSNFSFCIMDLRSRFMEFPLLKNPIPQRIVHRTTINILRNIFPSIIPMFIDKVVVETTITIRAIGHQWYWSMTPHECSEICGTNHAFMPIIVEAVPRKDYGSRVSNQLIPQSQINIQYLSALP >Solyc04g006960.3.1 pep chromosome:SL3.0:4:649329:653345:-1 gene:Solyc04g006960.3 transcript:Solyc04g006960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPHDQENSSNIHGGSSNVLVRARMDNISLQIEPNGPTCDVSPRVNDDRISNHQQSHHAKLSILRESLHPVTLKFEDVSYTIKLKSNKGGYFFSHQQKQNRVILQNVMGIVKPGELLAMLGPSGSGKTTLLTSLAGRLHGKFSGNITYNDQQFSSSMKRKTGFVTQDDVLYPHLTVFETLTYAALLRLPSKLTKEEKIEQVELVIMELGLTRCRNSMIGGQLFRGISGGERKRVSIGQEMLVNPSLLLLDEPTSGLDSTTAQRIVATLRWLARGGRTVVTTIHQPSSTLFRMFDKIIVLTEGCPIYYGKSSLVMEYFASIGYAPGFNFMNPADFLLDLANGITHDTRHYDQHELIMKQDQSENQNATKQSLISSYRKNIYPLLKEEINQSCKRSIRSSSISRDHQWTTSWWLQFKVLLGRGLKERKHEAYSGLRIFQVMSVSFLSGLLWWHCNTNHIMDQVGLLFFFSIFWGFFPLFSAIFAFPQERPMLIRERSSGMYRLSSYYFARSVGDLPMELVLPTIFVTVTYWMGGLKPKFLTFILTLLIILLNVLVSQGIGLALGAILMDVKQATTLSSVLMLVFLLASGYYIQHIPIFIDWLKYISFSHYCYKLLLGVQYSRNEVYECGIGKYCEVLEFPPIKYLGIDNLVLDVVALVVMLVVYRLLAYVALRLWHQ >Solyc11g066260.2.1 pep chromosome:SL3.0:11:52268439:52276610:-1 gene:Solyc11g066260.2 transcript:Solyc11g066260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVMNILKPKPNPQQILRDWQRRLRQECRNIERQIRDIQREEKNVQKAIKEAAKRNDMGSAKALAKEIVRSKKTVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEVAMTMQEFSKEMTKAGVMEEMVNDAVDSALDSEDMEEEIEEEVDKVLTAIAGETTAQLPEAVRKEKLKQPAQAVEDAEDDEEDLEELRARLAKVRS >Solyc02g030230.3.1 pep chromosome:SL3.0:2:25810281:25815520:-1 gene:Solyc02g030230.3 transcript:Solyc02g030230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLVRTRTQLRSNRSMPLVGMDYADPKKKNNFVGKILMAAILTALCILMLKHSPDFNPPTAFSHHEPGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVMILQELFPEPGRFQFIYADLGDAKVVHKIFSQNAFDAVMHFAAVAYVGESTLDPLKYYHNITSNTLTVLEAMATRGVPTLIYSSTCATYGEPEEMPITEETPQLPINPYGKAKKMAEDIILDFHKNSNMSVMILRYFNVIGSDPNGRLGEAPRPELREHGRISGACFDAARGIIPGLKVRGTDYKTADGTCIRDYIDVTDLVDAHVKALEKARPGKVGIYNVGTGRGRSVKEFVEACKVATGVPIKVEFLPRRPGDYAEVYSDPTKIRNELNWTAKYTDLQQSLQIAWRWQKSHLNGYSLSVATS >Solyc01g098550.3.1 pep chromosome:SL3.0:1:88913665:88919768:1 gene:Solyc01g098550.3 transcript:Solyc01g098550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHSYTTVRPYLTPSPSASPLFLHFQVERRFGDGMASFLKATHFIHSSNNHQNHPFSQSYTQTIKISTSRKPLMAALSATATVGLSETFTRLKEQGKVALIPYITAGDPDLATTAEALKVLDRCGSDIIELGVPYSDPLADGPVIQAAASRSLTKGTNFAKVISMLEDVVPQLSCPIALFTYYNPILKRGTEKFMATVRDTGVHGLVVPDVPLEETEMLRKEAARHNIELVLLTTPTTPKIRMKAITEASEGFVYLVSAVGVTGARASVSSKVQSLLLDIKEATSKPVAVGFGISKPEHVKQVAEWGADGVIVGSAMVRILGEAKSPEEGLKELEVFTTSLKSALS >Solyc03g118630.3.1 pep chromosome:SL3.0:3:68963730:68964890:-1 gene:Solyc03g118630.3 transcript:Solyc03g118630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDPATDLVPPPSSPTISSFSSSDLDTESTGSFFHDRSITLGTLMGVTFQAITFRAPSMTQNRQSIVESPAGAGITSQKNRKSKKSRVAAVEEEERRHCRRRRWWRLCRDECDSKPASLGEYLEVERRLGDGAFDGGAAAELEGVVIDAQPTNGRTLFADGRVLPPVEVEEESSSAGALGLCRFSVASLSGICSRGAGCVG >Solyc12g044950.2.1 pep chromosome:SL3.0:12:61236791:61237892:-1 gene:Solyc12g044950.2 transcript:Solyc12g044950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNMSAMTSKNEQKKNPLERVPSSKPPFTLGDVKKAIPPHCFERSLVKSFSFLIQDLILVYIFYYIANTYIHLIPTPYRYVAWTTYWIAQGCVCTGIWVIGHECGHHGFSDYQWVDDIVGLILHSALLTPYFAWKHSHRRHHANTGSLENDEIYIPRLKSKLRWYYKYLNNPLGLVLVLAFTLTFAWPLYLIFNISGKKYDRFACHYDPYSPIYSNRERLQIYISDVVLITLMHHTHSSLPHYDSSEWDHLRGALATVDRDYGLLNKVFHNVTDTHVLHHIFSYISHYHAMEATKAIKPLLGEYYKYDDTPILKAMWRDTKECIFVEKDKDKGVYWYKNKL >Solyc09g061550.2.1.1 pep chromosome:SL3.0:9:59844216:59844461:1 gene:Solyc09g061550.2 transcript:Solyc09g061550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSQRYKTSNITLHGSNNERLGDFRQARHMDHKKSPASILIAGTKMGYIAPEYLQYRKSNKKTTVFNYGVFTLKAAGERRPI >Solyc06g050810.3.1 pep chromosome:SL3.0:6:33676633:33679211:-1 gene:Solyc06g050810.3 transcript:Solyc06g050810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPEINFEVPLLPIVGDGFCFPYLVNLTVKRKILGLSQLNIHVLDDTGTSLLQGDGKLWHLRKKKRIIAHPDGLPLLTLREKTLSWRNTWKVYRAESSDINDLLYTVKRSSTFQMKMQLDVFLASNINGELCDFHVKGSFTNQSFKVYKGDTLIAEVKERFKLGSFFKGRENFEVRVYPGVDYAFIVSILIVYNEIYGES >Solyc03g033610.1.1.1 pep chromosome:SL3.0:3:5196732:5200118:-1 gene:Solyc03g033610.1 transcript:Solyc03g033610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPLISMATSSSSVNFFFFFFFFTTPSFFCFSQQNPEIQALQSFKSSIHDPLGALTSWDSTSPSAPCDWRGIFCSNDSYVSEIRLPHLQLSGFLTTQISDLRMLRKITLRSNFFNGTIPASISKCKLLDTVSFQYNSFSGQIPPEIMNLTDLETFNVAGNQMYGEIPTDLPVNLRYFDVSENLFTGGIPEKISELSQVILLNLSYNRFSGDIPASLGRLQQLQYLMLDYNELEGTVPSAISNCSSLVHLSAEGNGITGVIPAGIAALPKIKVINFSHNKLSGYLATSFFCNGSVYPPSLQIVQLSFNAFSEIIHPQSSTCFSSLQVLDIQHNQIRGDFPFFLTDNSALSSLDLSGNLFSGTIPSSIGNLLSLEQLRLGNNSFEGNIPVGITKCSSLSVLDLEGNRFIGEIPAFLGDLSNLKILSMGRNQFSGSIPSSFSNITSLESLNLEGNRLTGSLPEELMFLSNLSTLNLSGNKFSGSIPVVIENLQQLSVLNLSKNDFSGTIPSSIGTLYKLVVLDLSRLNLSGELPSVLGGLPSLQVIALQENNLSGNVPEGFSSLMGLQYLNLSSNSFSGHIPSTFGFLTSLVVLSLSKNHISGSVPPDLGNNTALKILNLRSNSLSGQIPSDLARLSHLSVLDLGRNTLTGEIPEVISNCSSLTSVLLDMNHLSGNIPASLSSLSSLITLDLSGNNLSGNIPENLTVLPNLVNFNVSNNKLEGQIPVKLGSHFNDPSDYSGNQGLCGEPLKRKCERTGNGKNRLIMLIAVSASGGLLLASFCCFYIYALLRWRRKLKAKAGGEKKHSPARVSSRTSGSRGSGNNAGPKLVMFNNRITVAETIEATREFDEENVLSRTRHGVLFKACYSDGMLLSICRLPDRSLDENTFRKEAESLGRVKHRNLTVLRGYYAGPPDLRLLAYDYMPNGNLATLLQEASHQDGGHVLNWPMRHLVALGIARGLAFLHAASIIHGDVKPQNVLFDADFEAHLSDFGLDKLTVATPAEPSSSTSVGTVGYVAPEATLTGEATRQSDIYSFGIVLLELLTGKKSLMFSQDEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMTDTVFMLEGCRVDQISLS >Solyc01g057003.1.1 pep chromosome:SL3.0:1:57909065:57911948:-1 gene:Solyc01g057003.1 transcript:Solyc01g057003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRDKDPSDPGVGGAHTSDRVVILSWTYKLLSFISGYSNKGAPVTELLKKNNSWCQKAFEGLKVAVTEEPVLTLPDFSKTFKLHTDASNFATGGINSEGGRKVF >Solyc06g083190.3.1 pep chromosome:SL3.0:6:48759426:48763458:-1 gene:Solyc06g083190.3 transcript:Solyc06g083190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDFGIPPVEGMDEDMDLPEDSSTMKVGEEKEIGNQGLKKKLVKEGEGWETPEPGDEVEVHYTGTLLDGTKFDSSRDRGDPFKFTLGQVFALDGLDFASRLADAFYFLIGQVIKGWDQGIKTMKKGENAIFTIPPELAYGESGSPPTIPPNATLQFDVELLSWVSVKDICKDGGIFKKILTEGEKWENPKDLDEVLVKYEAQLENGTVVGKSDGVEFTVQDGHFCPALAKAVKTMKKGEKVQLTVKPQYGFGEKGKPASSDGGAVPPNATLQINLELVSWKTVSNVTDDKKVVKKILKEGEGYERPNEGAVVKLKLVGKLLDGTVFTKKGHDGESEDNLFEFKTDEEQVIDGLDRAVMTMKKGEAALLTIAPEYAFGSSESKQDSAVVPPNSTVHYEVELVSFLKDKESWDMSTPEKIEAAGKKKEEGNALFKAGKYARASKRYEKAAKFIEYDTNFSEEEKKQSKALKITCNLNNAACKLKLKDYKQAEKLCTKVLELDSANVKALYRRAQAYMNMADLDLAEFDIKKALEIDPDNRDVKLEYKALKEKVKEINKKDAKFYGNMFAKLNKQDSSNSANSAPKEPEPMSIDSKA >Solyc08g029000.3.1 pep chromosome:SL3.0:8:38969588:38973709:-1 gene:Solyc08g029000.3 transcript:Solyc08g029000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoxygenase [Source:UniProtKB/TrEMBL;Acc:K4CJW3] MLLEKIVEVISGKSENVKKVKGTVVLMKKNVLDFNDVNASLLDGVLEFLGKRVSLQLISAVHADPGNTLQGKRSNPAYLEKWLTTGTSLVAGESAFDVTFDWDDDIGVPGAFIINNFHFNEFYLKSLTLEDVPNHGSVHFVCNSWVYPAKRYKSERIFFANQAYLPGETPEPLRNYREKELVNLRGDGNGKLEEWDRVYDYALYNDLGDPEKGKQYARTILGGSAEFPYPRRGRTGRKPTKADPKSESRIPLLMSLDIYVPRDERFGHIKLSDFLTYALKSIVQFLIPEFQALFDSTPDEFDSFEDVMKLYEGGIKLPQGPFLKALTDSIPLEILKEIIRTDGEGKFKFPTPQVLQEDKSSWRTDEEFAREMLAGVNPVIISRLQEFPPKSELDPEVYGNQNSTITKEHIENTLDGLTIDDAIKTNRLYILNHHDILMPYVRRINTTNTKLYASRTLLFLQDDGTMKPVAIELSLPHPDGDHLGAVSKVYTPANQGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHAAIEPFVIATNRQLSVLHPIHKLLHPHFRDTMNINALARQILINAGGVLEMTVFPAKYAMEMSAVVYKSWVFPEQALPADLIKRGVAVEDSSSPHGVRLLIQDYPYAVDGLQIWSAIKSWVTEYCNFYYKSDESLLKDNELQAWWKELREEGHGDKKDEPWWPKMQIRQELIDSCTIIIWIASALHAAVNFGQYPYAGYLPNRPTLSRRFMPEPGTPEYEELKTNPDKAYLKTITPQLQTLLGISLIEILSRHASDEIYLGQRDSSEWTKDQESIAAFERFGKKLSEIEDQIIQMNGDEQWKNRSGPVKVPYTLLFPTSEQGLTGKGIPNSVSI >Solyc06g074590.2.1.1 pep chromosome:SL3.0:6:46285460:46285732:1 gene:Solyc06g074590.2 transcript:Solyc06g074590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPSLFIVATVVIVNKVETSRKKLRIGSTISPIESQVSNIFIPNDFPQSGDETYNLYKSFHFPSRSIKTASFFRFRNNLGEIGFYRETMDF >Solyc04g071803.1.1 pep chromosome:SL3.0:4:58896797:58899866:-1 gene:Solyc04g071803.1 transcript:Solyc04g071803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSSSGNGGYYNNNTTSSGTGRGVSNFQNSGYRNKGYGDARTSYTTGKSQLYCEFCHFKGHTKETCYKLHGYPKKKGGVSSYANNASTGNESGIIDSTSSSNTRTNESSNDTSLGQGVSMFTQEQYYEILQMLRKGKSKEVDTMANVATAGVSGTSDTGASNHMVHNFGLMSQPTNLDVQGGKELLVILVYVDDLLVTGSSLHYIQQIREELQQRFRMKDLGELKYFLGIEFSRSKEGILMNQRKYALGLVSELGLAGCKPSSTPLEFNHKLTSTVFDEFIGKMLMQKTHFLMTLENIKG >Solyc05g050930.2.1.1 pep chromosome:SL3.0:5:62010098:62011516:1 gene:Solyc05g050930.2 transcript:Solyc05g050930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEMEGTAKPPQISEMFHKFAHVVRTKTFELFADEENNSFIADDENTDTDVFTLLDSAEEFIPDQKVVVIKPDFCKFPHLANTHFSKSLISSLFATISSFEASYLQLQTAHVPFDEKAIESADKALVTLLQKLTEMKSLYKDFRRNPSCNIDLLMGSELEFQVQEHQSKLRVLETMVNQLLSYMESKDEEVSILRKKLDKIQDSNLSLSKKLGVENEKSNNSTTEVLCTVRVFESMLRDSIKSANKFSKLLMELMKRAGWDLEKAANSVYSDVNYAGKEHHKYAFLSYICLGMFKGFDLEDFGLCDEEILSDGSVSDENDHLKQLLEHVSCNPMETLSKNPSCAFSRYCDKKYEQIIHHTIESSIFRDLDGKEVIVDSWKSLNVFYELFVRMASTIWLLHKLAYSFNPVVEIFQVERGVDFSMVYTEDVTRKIQFPLSKTRPKVGFTVVPGFKIGSTIIQTQVYLTGLKGME >Solyc08g079900.2.1 pep chromosome:SL3.0:8:63435473:63469044:1 gene:Solyc08g079900.2 transcript:Solyc08g079900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKIFFVFIFCSFPWPTIQSDFETYIVHVESPESLITTQSSFMDLESYYLSFLPETMSAISSSGNEEAASIIYSYHNVMTGFAARLTAEQVKEMEKKHGFVSAQKQRILSLHTTHTPSFLGLQQNKGVWKDSNYGKGVIIGVLDTGIIPDHPSFSDVGMPSPPAKWKGVCKSNFTNKCNNKLIGARSYELGNASPIDNDGHGTHTASTAAGAFVKGANVHGNANGTAVGVAPLAHIAIYKVCGFDGKCPGSDILAAMDAAIDDGVDILSISLGGSLSPLYDETIALGAYSTTQRGILVSCSAGNSGPSPASVDNSAPWILTVGASTLDRKIKATVKLGNGEEFEGESAYHPKTSNATFFTLFDAAKNAKDPSETPYCRRGSLTDPAIRGKIVLCLAFGGVANVDKGQAVKDAGGVGMIVINPSQYGVTKSADAHVLPALVVSAADGTKIRAYTNSILNPVATITFQGTIIGDKNAPIVAAFSSRGPNTASRGILKPDIIGPGVNILAAWPTSVDGNKNTKSTFNIISGTSMSCPHLSGVAALLKSSHPDWSPAVIKSAIMTTADTLNLASSPILDERLSPADIYAIGAGHVNPSRANDPGLVYDTPFEDYLPYLCGLNYTNSQVGKLLKRKVNCSEVESIPEAQLNYPSFCISRLGSTPQTFTRTVTNVGDAKSSYTVQIASPKGVVVKVKPRKLIFSELKQKLTYQVTFSKRTNSSKSGVFEGFLKWNSNKYSVRTMGFFKVLLVLIFCSFPWPTIQSGLEIYIVHVESPESLISTKSSLTDLDSYYHSFLPKTTTAISSSGNEEAATMIYSYHNVMKGFAARLTESHVKEMEKKRGFVSAQKQRILSLDTTHTPSFLGLQQNMGVWKDSNYGEGVIIGVIDTGILPDHPSFSDVGMPPPPAKWKGVCESNFINKCNNKLIGARSYHLGNGSPIDGNGHGTHTASTAAGAFVKGANVYGNANGTAVGVAPLAHIAVYKVCSSDGGCSDSDILAAMDSAIDDGVDILSISIGGSPNSFYDDPIALGAYSATARGNRGPLLASVGNAAPWILTVGASTLDRKIKATVKLGNGEEFEGESAYRPQISNSTFFTLFDAAKHAKDPSETPYCKPGSLKGPVIRGKIVLCLAGGGVSSVAKGKVVKDAGGVGMIVIKTSQYGVTKTADAHVLPALDISDADGLRIRAYTNSILNPVATITFQGTTIGDENAPIVAAFSSRGPSRASPGILKPDIIGPGVNILAAWPTPVDDDKNTKSTFNIISGTSMSCPHLSGVAALLKSAHPDWSPAVIKSAIMTTADTLNLANSPILDERLLPADIFTTGAGHVNPSRANDPGLVYDTPFEDYVPYFCGLNYTNREVGKMLQRQVNCLKVKSIPEAQLNYPSFSIFRLGSTPQTYTRTVTNVGDATSSYKVEVASPKGVAVEVKPTELNFSELNQKLTYQVTFSKRTNSSNFVIVDGFLKWTSNRHSVRTMGFLKILFVFIFCSFPWPTIQSNLETYIVHVESPESLITAQSSLMDLDSYYLSFLPKTTTAISSSGNEEAATMIYSYHNVMTGFAARLTAEQVKEMEKKHGFVSAQKQRILSLHTTHTPSFLGLQQNMGLWKDSNFGEGVIIGVLDTGILPDHPSFSDVGMPPPPAKWKGVCEPNFTNKCNNKLIGARSYKLGNGSPIDDDGHGTHTASTAAGAFVKGANVYENANGTAVGVAPLAHIAIYKVCNSVGCSDSDILAAMDSAIDDGVDILSISIGGSLRPLYDESIALGAYSATQRGILVSCSAGNNGPSPASVDNSAPWILTVGASTLDRKIKATAKLGNGEEFEGESAYRPKISNSTFFTLFDAAKNAKDPSETPYCRRGSLTDPAIRGKIVLCLAFGGVANVDKGQAVKDAGGVGMIVINPSQYGVTKSADAHVLPALVVSAADGSKIRAYTNSISNPVATITIQGTVIGDKNAPIVAAFSSRGPSKPNPGILKPDIIGPGVNILAAWPTSVDDNKDTKSTFNIISGTSMSCPHLSGVAALLKRTHPDWSPAVIKSAMMTTADTLNLASSPILDQRLRPADIYAIGAGHVNPSRANDPGLVYDTPFEDYVPYLCGLNYTNPQVGKLLRRRVNCSEVESIPEAQLNYPSFCISRLGSTPQTYTRTVTNVGDAKSSYTVQIASPKGVVVKVKPSKLNFSELNQKLTYQVTFSKTINSSMSGVVEGFLKWNSNRHSVRSPIAVVPTIQNDLETYIVHVESPESVISTQSSVTDLDNYYLSFLPKTTTISSSENEEAASMLYSYHNVMKGFAARLTAAQVKEMENKHGFVSAQKQRIFTLHTTHTPNFLGLQQNMGLWKDSNYGKGVIIGVIDTGIYPDHPSFSDFGMPPPPAKWKGVCESNFKTKCNNKLIGARSYQIANGSLIDDTGHGTHTAGTAAGAFAKGANVFGNANGTAVGVAPLAHLAIYKVCNSDGECPDCDILAAIDSAIDDGVDILSISLGGSPSPFYDDCIALGAYSATERGILVSSSAGNEGPSVASVEKAAPWILTVGASTLDRKIKATVNLGNRKKYEGESTYRPKISSSTFFTLYDAAKNASETPYCRPGSLTDPAVRGKIVLCLQDDIVSNIEKGQAVKDAGGVGMIIINQPSYGATKSADAHVLPAMDVSAADGTKIISYMHSTSNPIARITFHGTVIGDKYAPIVAAFSSRGPSEASPGILKPDIIGPGVNILAAWPTSMDGNKNTKSTFNIISGTSMSCPHLSGVAALLKSTHPDWSPAAIKSAIMTTADTLNLANSPILDERLLFADIYAIGAGHVNPSRANDPGLVYDTPFEDYVAYLCGLNYTNPQVSKLLQRKVNCWEVESIPEAQLNYPSFSISGLGSTPQTYTRTVTNVGDAESSYKVEIASPKGVVVEVEPSELNFSELNQKLTYQVTFSKTISSSQPEVVEGFLKWNSNTYSVRSPIAVVSVLTIIGLICVLFSFTTHAAEQNNSQIYIVHCEFPSGERTAEYQDLESWYLSFLPTTTSVSSREAPRLIYSYRNVLTGFAAKLSEEDIKEMEKKEGFVSARPQQFVSLHTTHSVNFLGLQQNMGFWKDSNYGKGVIIGVLDTGILPDHPSFSDVGMPTPPAKWKGVCESNFMNKCNKKLIGARSYQLGNGSPIDGNGHGTHTASTAAGAFVKGANVYGNANGTAVGVAPLAHIAIYKVCGSDGKCSDSDILAAMDSAIDDGVDIISMSLGGGPVPFHSDNIALGAYSATERGILVSASAGNSGPSLITAGNTAPWILTVGASTTDRKIKVTVTLGNTEEFEGEASYRPQISDSKFFTLYDASKGKGDPSKTPYCKPGSLTDPAIKGKIVICYPGVVSKVVKGQAVKDAGGVGMIAINLPEDGVTKSADAHVLPALEVSAADGIRILTYTNSISNPTAKITFQGTIIGDENAPIVASFSSRGPNKPSPGILKPDIIGPGVNILAAWPTSVDDNKKTKSTFNIISGTSMSCPHLSGVAALLKSTHPDWSPAAIKSAIMTTAYTLNLASSPILDERLLPADIFAIGAGHVNPSSANDPGLVYDTPSEDYFPYLCGLRYTNAQVSKLLQRKVNCLEVKSIPEAELNYPSFSIFGLGSTPQTYTRTVTNVGDVASSYKVEIASPIGVAIEVVPTELNFSKLNQKLTYQVTFSKTTSSSEVVVVEGFLNTMMPQYSSILTIFGLICVLFLFSTNATEQNNSQIYIVHCEFPSGERTSKYQDLESWYLSFLPATTSDSSREAPRLIYSYRNVLTGFAAKLSQEDIKEMEKMEGFVSARPQRLLKLHTTHSVDFLGLQQNMGFWKDSNYGKGVIIGVIDSGVFPDHPSFSDVGMPPIPAKWKGVCESDFATKCNNKLIGARSYQIANGSPIDNDGHGTHTAGTTAGAFVEGANVYGNANGTAVGVAPLAHIAIYKVCNSNSCSDSDILAAMDSAIDDGVDILSMSLGGSPVPFYEDSIAFGAYAATERGILVSCSAGNSGPSYITASNTAPWILTVGASTIDRKIKATVTLGNTEEFEGESAYRPQISDSTYFTLYDAAKSIGDPSETPYCKPGSLTDPAIKGKIAICQAGVVSNIEKGQAVKDAGGVGMIVINPSQYGVTKSADAHVLPALVVSAADGSKILDYTNSISNPIATITIQGTVIGDKNAPIVAAFSSRGPSKPNPGILKPDIIGPGVNILAAWPTSVDDNKDTKSTFNIISGTSMSCPHLSGIAALLKSTHPDWSPAAIKSAIMTTAYTLNLDSSPILDERLLPADIFAIGAGHVNPSSANDPGLVSSLLRRTVNCLEVNSIPEAQLNYPSFSIYGLGSTPQTYTRTVTNVGDATSSYKVKIASLIGVAVEVVPTELNFSELNQKLTYQVTFSKTTSSSEVVVVEGFLKWTSTRHSVRSPIAVVLYNSILIIIGLIYVFFPFTTNAAEQNNSQIYIVHCELPDGEMATRYQDLESWYLSFLPATTSDSSREAPRLIYSYRNVLTGFAAKLSPDDIKEMEKMEGFVSACPEELLILHSTHSVNLMGLYHNMGLWNDSNYGKGVIIGVIDGGIFPDHPSFNDDGMPPPPAKWKDGHGTHTASTAAGRFVSGANIFGNANGTATGVNLLLMLLFTKRALLTFVR >Solyc03g078500.3.1 pep chromosome:SL3.0:3:52465030:52467034:1 gene:Solyc03g078500.3 transcript:Solyc03g078500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BHS8] MGSINEARKPHAVLLPYPSQGHVTPMMSLAKLLHSRGFHITFVNTEFNHKRLIQSKGVDSVKGLLDFKFATITDGMPASDENATQDITLLCDTTRKTCLVPFKKLLTKLNSGEVPPVSCVISDGVMTFGIRAAQDLGIPDVTFWTASVCAFVGYLHYRQLIKRGIFPFKNDNYLIDGTLDTPIDWIPGMKDVKLKDLPSFLRTTDPNDIMFDFMGEEAQNCLKASAIMFNTFEELEPELLQTIVSNFNFYNIYSIGPLGPLLRKHVPHHSQVLSLNSSLWKPDTTIFEWLHNRDIDSVLYVNYGSVTTMTNDHFLEFAWGLANSKQQFLWIVRQDIIKGESATLSEEFLEEIKDRGILVNWCAQEQVLRHPAVGAFLTHCGWNSMMETISEGVPVICWPFFSDQQTNCHYSCEKWGIGMEVNHDVKREEVAKLVSEMMVGEKGKEMRSKAREWKMKAKEATDVGGSSFQGFLKLVKAMF >Solyc05g005500.1.1.1 pep chromosome:SL3.0:5:366647:366814:-1 gene:Solyc05g005500.1 transcript:Solyc05g005500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKMMKMWPKNGSISLLSLTFKINGVPNVEVIQSSTLFHSLFLSLFLSFFVVFI >Solyc11g069280.2.1 pep chromosome:SL3.0:11:54201355:54203457:-1 gene:Solyc11g069280.2 transcript:Solyc11g069280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNLCLIAALLLLSIFSQVTIADQPEGKGKEVNAVATDPGYNVLDPLPGTGQERAFCTVQGVCYYRTLTCPTECPQRKPKQNKKQKGCYIDCSSKCEATCKWRLPRCDGYGALCYDPRFVGGDGVMFYFHGAKETDFAIVSDDNLHINAHLIGTRPQGRKRDYTWVQTLSVMFDTHTLVVGATEVSHWDDKVDALIVQWNGETVNVPTDGDVEWSVNTAERSVVVERTDDLNSVRVTVSGLLQLDVKVVPIGEKENKVHNYQLPADDAFAHLETQFKFFNLSKDVEGILGKTYQPGYVSPVKRGVPMPIMGGEDKYQTPSLHSAICKNCRFQRPSSVASA >Solyc06g072530.3.1 pep chromosome:SL3.0:6:44883846:44884966:-1 gene:Solyc06g072530.3 transcript:Solyc06g072530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C8X3] MENFGAKNRQNQNLQNPAMKSDKCLLSTQILLRILAIAFTLAATSIILTSKQAVTVFGIEMDARYSYSSAFKFFAITNMVGCAFSVLSLIVASVLAHKSSDPKNYFYMFIHDLITMALLLAGCAAATAVGYVGKYGQTHSGWLPICDHVDKFCRKVSHSIMLSYIAMILYLCLTIISANQSRKIIV >Solyc01g008160.3.1.1 pep chromosome:SL3.0:1:2239451:2239912:-1 gene:Solyc01g008160.3 transcript:Solyc01g008160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLEDAREVFEEILSKTPLSFEALFENALLMDRCGEGVKVIQRLEEALRLAEEESKVKESRDIRFIMAQVQFLQKNVEEALRSYDELEKEDPKDFRPYFCRGMIYSLLDRNKEAREQFAKYRELSPKKFEVEGYLRTALSRMKLFGTDEKES >Solyc04g010240.3.1 pep chromosome:SL3.0:4:3561709:3565221:-1 gene:Solyc04g010240.3 transcript:Solyc04g010240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIKVHELRNKSKTDLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREVYKNKKYLPLDLRPKKTRAIRKRLTKHQSSLKTEREKKKEMYFPIRKYAIKV >Solyc05g007480.1.1.1 pep chromosome:SL3.0:5:2043934:2045295:-1 gene:Solyc05g007480.1 transcript:Solyc05g007480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVKLEATKNTTTNLLDPSFSSYLINGTEKTIVLNLESSKKIDDGEIDIFSAEKYFNEGVDEVNNVRQNKHKIHNDQPVVADIVSLQQKIRPSTPSVHSESSWNSRSALLQKVTRNYQQQQQQHQAPRPIKTTKNKSYGKKFLARFGCNCYCKDKNSVEIDDQLREKSFKSKSKKTSTIGANHQDLHFKRIDDSGVVGLKSDERFVVPVYDPKGGNGIQMIKKETEEEESRKSLEVFGFSITEKERSKMSLEKNIGMLTWDAIVPKAEEIDIINIGASSNGTYEEDYAESDASSDLFEIESFPSNNTANQSLVRQGSDSMSCYAPSEVSIDWSVVTASAADFSMMSDIEEVKIPSIRTNNNSNGRDKAKRRSSILLGCNNHKAVGVVGDAYKSSEKSSIEMHQRTYEPIMPMTRFHAESKVNRFDGGNKKHDFTTRSFATTYSGRPADFLYA >Solyc04g026053.1.1 pep chromosome:SL3.0:4:19050920:19051311:1 gene:Solyc04g026053.1 transcript:Solyc04g026053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILTTFLIIGMFLFNSFVRSEPNTKEIIHICNGNVYDKSALQNVTPNQGYDYYITSPYPNDALAYGHATCNSVIEFTDCGLCLSSAKSLLLSTCDGSIGGQVKFVDCSMRYEQYSFS >Solyc11g017400.2.1 pep chromosome:SL3.0:11:8326390:8336996:1 gene:Solyc11g017400.2 transcript:Solyc11g017400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWLVIIVTVVVLWVASLFKILHESLSASQVKVLNDGGVFCKRNVLLIIAHPDDESMFFTPTINYLSSRGCNLHILCMSKGNADGIGDVRKEELYLASVVLKVPQKQVKVLDHPDLQDGFGKSWNSKLLSKIIKEEIVNCAIDLVITFDNYGVSGHCNHQDVHQGVRKLLQDTSHKEVEAWELVSTSILRKYSGPVDIWLSLLSAKFHFSGVAHCFLNVHPGRSLAAMAQHRSQWVWFRKLFVSFSSYTYVNCVRKIN >Solyc05g020040.1.1 pep chromosome:SL3.0:5:25932822:25935327:1 gene:Solyc05g020040.1 transcript:Solyc05g020040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGLATIFDNCEAAGYPNVPVGVEGSSDPLKRGEPRVADFVHGSFGLGNLFLPSPNFKKIDKSASKFLVEKVFEYPGEVSILALGPLTNLALAVKMDSMFVSKVTRAVQLSDSFFALGNVNPAAETNVAAVVFTSGANIDVVGIYITKQVKLKDWTSTHLRTYH >Solyc11g008775.1.1 pep chromosome:SL3.0:11:2950574:2953244:-1 gene:Solyc11g008775.1 transcript:Solyc11g008775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKQMDSCFCGLGWYYGIVKVPVAVKNELCGYICDSTSTQLLAFLCGYVIQRTAGLAFWFAKLNFDFPSVGEAKRETEMWICDSKNSWFGVLVCDMDIDFPFAGEAKGETESVYSNRMLALGR >Solyc12g033020.1.1.1 pep chromosome:SL3.0:12:37778410:37778790:-1 gene:Solyc12g033020.1 transcript:Solyc12g033020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKDTVNREPIIPTCSISNIPLGCYCGFCLGLIFHKYLFPWSMIIREFLLFVLTATLGGMFLCSANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATMKYLLMGRASSSFLVHGFSWLYGSSG >Solyc03g116380.3.1 pep chromosome:SL3.0:3:67334142:67341042:1 gene:Solyc03g116380.3 transcript:Solyc03g116380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKLKSLVTSFTTGGEYLAVLSPDGTVRVWNTSSGSLFGQWKPENSGDSFSYMACCIIGKKHRKEQCLLVALASDDGTVLTVDISAKLVRWRKDGALPSGAAGLFFVGKGRRVNAVAANGLVLEMNSETGEVNKEFKVSKKSISSVAHSTDQKIIAVASDKLRFLSPESGKELLKFSPDSATAQHIWLSNDAQFAITVGFGEKQLQVWKLDFGNRAADYGHVVSMKHPPVMVECRNKCKGEDGMVVLATSEKGVCYVWNFESVTDEAAKPIKISVKPSKGETDGKARKNLLPVIAARLHALDRDAHLRALIAYGSVESPEFTSVGISNPGEDIVIAAGDQTEKAVASVQENGHAKKGGDMEVEGANLIQRSKVTNKRPASDLDVTGEATITDNGNGEPIDGVQIDDFSEPTMGEKLAMLHLEDNSEDKSNKNLEFALQKKPPSADSVHVLLKQALHADDRALLIDCLYRQDEKVIANSVSLLNPSDVLKLLQSLLSIIQSRGAVLACALPWLRSLLLQHASGIMSQESSLLALNSLYQLIDARLSTLHQALQLSSSLELLHAGTIDDGDDEEDGAIQPIIYEDNDDSEEEGSEVTMETESIPDVEEPEAFSDVSDREGSDGMSE >Solyc07g005710.3.1 pep chromosome:SL3.0:7:586541:588387:-1 gene:Solyc07g005710.3 transcript:Solyc07g005710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYKYKAADEGYFTQQAIRAGYIASPDHSQHQPADMREAIVREIEKERVREKIIAEEIARRRMVESEVRRELVMERQLAKQSGEGLSPCSSSSSLPFLKQQRKELHLHR >Solyc09g009560.2.1 pep chromosome:SL3.0:9:2968678:2971759:1 gene:Solyc09g009560.2 transcript:Solyc09g009560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SP9D [Source:UniProtKB/TrEMBL;Acc:Q84XK7] MARSLEPLIVGRVIGDVIDSFNPTIKMSITYNNKLVCNGHELFPSVVSSRPKVEVQGGDLRTFFTLVMTDPDVPGPSDPYMREHLHWIITDIPGTTDATFGRELVSYETPRPNIGIHRFVFVLFKQKSRSSVSQPTSRDHFNTRNFAQENNLEQPVTAVFFNAQRETAARRR >Solyc09g055810.1.1.1 pep chromosome:SL3.0:9:45628289:45628528:-1 gene:Solyc09g055810.1 transcript:Solyc09g055810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4CT76] MCNVLPGEKSPTQESLKALIYGTDIYLSPMHASSEVAHKIFSSLRPYKLNIPEASRDRRLGTAIEVAPAIIQGPSAEKK >Solyc03g059240.1.1.1 pep chromosome:SL3.0:3:30203430:30203585:1 gene:Solyc03g059240.1 transcript:Solyc03g059240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYNYVEFINQKKMSTRASYCIVANSQSLKMCQLIYITFVARICLRMCQLN >Solyc02g082280.3.1 pep chromosome:SL3.0:2:46540071:46549292:1 gene:Solyc02g082280.3 transcript:Solyc02g082280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYSEELRTPPVALVSLVGCPELHASITTHLHSEQPPINALALPDFSKISIFAKPSKDASVPPPPVAGILKKDWLLKHRTRVPAVVAALFNSDHVSGDPAQWLQVCTDLENLKGVLRGRNVKLVVVVVAPSNSKDDLSEDRMIALRKRAELDSKYLITFVPSESELQQSLIRLGNTFSELANSYYKEEGRRIKARLERKNFHSAELNIRCCFKAAVYAEFCRDWVEALRLYEDAYHAVREMVATSTRLPPIQRLIEIKSVAEQLHFKICTLLMHGGKLAEAIAWFRQHYASYRKLVGAPEVIFLHWQWLSRQFLVFAELLETSSITAQHVSTLVSEASDRATQWEFHSAYYFQLAAHYLKEKSSSLELALSMSETSVEIDGNADSVIAASYVGQFAKLLEIGDAFIMQSLSDEDYSRYALAEGKRLQDSYEIIALLKKSFEAYNNDKASRMAAYCGFQMAREYFTVDEYSNAKEVFENVASLYRQEGWVTLLWNVLGYLRDCSKKTALVKDFIEYSLEMAALPVSTNVAGQRDCGPAGPASLAQREIIHNEVFSVIRGESESASTEENSSLRVTADNPLYLEIDLVSPLRAVLLASVAFHEQVVKPGAETVITLSLLSQLPLNVEIDQLEIQFNQSECNFVIVNAQRSHLAAISCLQPGRRVETAPTLELHTNKWLRLTYNVKPEQSGKLECIYVTARWGQHFTICCRAESPASMSDLPLWKFEDIMQTIPMKDPGLAFSGQKAVQVEEPDPQVDLKLDSSGPALVGESFIVPVIITSKGHSVHSGELKINLVDTRGGGLLSPREAESFSSDNLHVELVGISGRECEDLANSENIQKIQPSFGLISVPFLDEGESWSCKLEIRWNRPKPIMLYVSLGYFPQSPELSSQRAHVHKSLQIEGKTAVVMSHHFMLPFRREPLLLSKTKPASNSDQIPSLPLNETSMLVVSAKNCTEVPLRLLSMSVEAVDASTCDVKTKSKNPEEHVLLVAGEEFKQVFAVTPEVNLPKLNMGIVCLRWRRDHGDGERLTSCSTTSAVLTKHSLPDVNVEQPPLIVSLDCPPHAILGNPFTYSIKITNRTQFLQEVEYSLADSQSFVLSGPHNDTTFILPKSEHILSYKLVPLASGFQQLPKITLTSVRYSAGFQPSVAASTVFVFPSEPHFGLKDIGEMRVESVAAE >Solyc05g032770.3.1 pep chromosome:SL3.0:5:45112369:45132453:1 gene:Solyc05g032770.3 transcript:Solyc05g032770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGAQYNPRTVEEVLKDLKGRRAGLIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLALVAVHSDAWLLSVAFYFGARFGFDKADRKRLFNMINELPTIYEIVTGAAKKQVKDRSSSVSNHSSNKSKSNSKLGKYAKVEVKDEEDGLDEEEEEHGDTLCGACGENYASDEFWICCDLCERWFHGNCVKITPAKAEHIKQYKCPSCSNKRPRP >Solyc10g053900.2.1 pep chromosome:SL3.0:10:54148630:54154350:-1 gene:Solyc10g053900.2 transcript:Solyc10g053900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLFFFATATIMSGNMSGPLDQPFRSSLEKIDMENHEHERKTRLGSLKKKAVNASTKFRHSFTKKGRRNSRVMSVIFEDEHDAEEIKAVDAFRQALILDELLPGKHDDYHKLLRFLKARKFDIDKSKQMWSDMIKWRSEFGADTIMEDFEFKEKEEVLKYYPQGHHGVDKEGRPVYIERIGQVDSVKLLQVTTMDRYLKYHVQEFERTLNDKMPACSIASKRHIDTSTTILDVQGVGLKNFNKSARELIQCLQNIDGNNYPESLCRMYIINAGSGFRLLWNSVKSFLDPKTTAKIHVLSNKYQSKLLEVIDASELPEFLGGTCTCADKGGCMLSDKGPWNDPEIMKMVHNGEHKCSNRNFISTIDEKTISEDANIYVAHTQLSPLHEEADMNKNYSRAYDPEDYMPIVDKAVDVTWKKANGRDFFPIHDASKSPEGFRNHLFTGVMTLVMGIVTLVRMTRNMPRKLADATLQAHSYQLSDPTISSSEYFSMIKRMEELEEKAITLTNKPASLPPEKEELLNNAMKRIDTLEQELSATHKSLELALTRQAELLEYIEKKRRKKKFLGIF >Solyc11g008520.2.1 pep chromosome:SL3.0:11:2674650:2706758:1 gene:Solyc11g008520.2 transcript:Solyc11g008520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVVVDAGSKFLKAGFAIPDQTPAMVIPTQMKRIAEDDEGSLFEEEEVAVDPIERGFVKDWDAMEDLLHHVLYSGLGWEIGNEGQILFTDPLCTPKAIREQLVQLMFETFNISGFYASEQAVLSLYAVGRISGCTVDVGHGKIDIAPVIEGAVQHIASRRLEVGGLDLTKLLADELSKSNPTVKLNISDVEKLKEQYACCADDDIAYEKLQHSCLQEKHTLPDGQVITIGKERYTVGEALFQPSILGNDTHGIVEQLVHSISSVSSENHRQLLENTVLCGGTATLTGFEDRFQREATLCSSAVRPSLVKPPEYMPENLTSYSAWIGGAILAKVVFPQNQHITKADYDESGPSPKQIHEIKDFLLTARRKDARSVKIKKNKDMVKFKVRCSKYLYTLCVSDFEKADKLKQSLPPDNMSMARANDVVCGNQLVSADPLPFARSYQLEALEAALKQNTIVYLETGSGKTLIAIMLLRSYAYLLRKPSPYIAVFLVPTVVLVTQQGDALMMQTDLKVGTYWGEMGVDFWDAATWKRQVDGHEVYTCDSEAVLAEYIPFSNPKLKIYKHVDIPSTLSKSLAHDMERLKEKHECSITKSTLSDKSAASAKRRLSKLYSAFLFCLSEMGVWLAFKAAEFLSQQETDFFSWGELDVCAQTIVRDFSSDASKVFSACLPSGPHWSIGGDIQANTDAGYLSSKVHCLVESLLEYRNLKDLRCIIFVERIITAIVLRSLLNELLPKLSGWRTECTAGHASVVQSQSRKIQNKIVEEFRKGVVNIIVATSILEEGLDVQSCNLVIRFDPSATVCSYIQSRGRARMQNSDFLLMVKRYILCNIWKRFHYIYGLIISQFDSNRSGDESTLARMQNFMASGEMMRQESLRHASEPCSPLVDEMYDEPCYKVESTGAIITLSSSVSLLYFYCSRLPSDGYFKSYPRCVIDKESRTCTLQLPKSCPLQRIITVQGNSTKILRQLACLEACKELHRVGALTDNLVPDIVEEEAINKELECQIHTVEESKYFPPEFVSHFGNETEAVYYCYLVELQHETYDDFQLHGIILAVRTKLKCDDEILAFDLDVDRRRRVQVQLKYSKVVTLTSEEIRRCQRFQVSVFKILLDRDLSKLQDALAAGQSPIGSAVSDYLLLPSVGKSSEINWKCVNSLLFPSQVLGDKHMDWCSTQDRKRSVNTKTGVVCSCLLENSLVFTPHNGNIYCITGFLDNLDCNSLLNVRTGESITYREYYKKRQGIELCFEEPLLSGKRISKVHNYLQRNRTQKAKDSTDSSVQLPPELCFVIMSPISISTLYTYSYVPSIMHRIESLVMASHLNSMLLNDCKLNVFIPTAMVLEAVTTRKCLEKFHLESLETLGDAFLKYAVSTQLFKTHENHHEGLLCVKKSKIISNAALCKLGCARKIPGFIRNEAFTLQAWIIPGDSSQVHSFNEELMTSSDKMYSRIKQKIRSKRVADVVEALIGAYLSSGGEVAALSLMKWLGMDIDFADAPIQRHFPLNAEKLVNVKYLESLLHYKFHDPSLLVEALTHGSYMLPEIPRCYQRLEFLGDAVLDYVVTAHLYFKYPGLSPGLITDLRSASVNNECYAQCAIKASLHKHILHASPDLQRQICNTIEDFKNPVSTFGWEAETTFPKVLADVIESLAGAIFVDSGFNQDTTFQEQSMESTDVAVFENQHLSADPLPFARSYQLEALEIALKQNTIVYLETGSGKTLIAIMLLRSYASLLRKPSPYIAVFLVPTVVLVTQQGDALMLHTDLKVGKYWGEKGVDYWNAATWQQLVDDHEHECLISQSNLSFMRDGSARRRLSKLHSNFLFCLSEMGAWLAFKSCEENDFLSSDDACVRDFCLGASTIFSAHLPSGPHWSIGKDIQANVDAGYLSSKVNCLLESLLEYRDRKDLRCIIFVERIITAIVLRSLLNELFLERSGWRTEVTTGRITTFKQNKIVEEFRKGLVNIIVATSILEEGLDVRSCNLVIRFDPSTTVCSFIQSRGRARMQNSDFILMVRKGDDATLTRMQNYKASVEIMRQESLRHASIPCSTLHDELYDECYKVESTGAVVTLSSSVSLLDFYCSRLPSDGYFKPDPTYVINEETETCTLQLPKSSPLQGIISVQGKRKILKQLACLEACKQLHRVGALTDNLVPDIVEEETINKELECKIKIVEQSLYYPSEFVSHCGNESEAVYYCYLVELPHDSYNDSQLHGIILAVRTKLKFDDEILAFDLDVDRRGRLKVQLNYRKVVIFTSEEVRWFLSSFYSLPVKMVWGRLKVQLNYRKVVIFTSEEVRWFLSSFYSLPVKMVGELHLFDLSLQIRRCQRFQVSVFRILRDPDLSKLQEVLAAVQSPIGSAVSDYLLLPSVGTPPEINWQYVNSLLFPSQVLGDKHMDWCSTQGRRCSVNTISEVVCSCMLENSLVCTPHNGRIYCINGFLENLDCNSLMGVRSEESITYREHYRKRYGINICSEEVPLLRGKHISKEAKDSSVALPPELCSLIMSPLFISTLNTYSYVPSIMHRIESLIMASNLKKMHLDHCTQNVVIPTAKILEAMTTKNCLEKFHLESLETLGDSFLKYAASIKLFKTYENDHQGLLTVKRKQIISNATLCRLGCARKMPGFIRNKPFVLKAWIIPGDNSQVHNFDEELLTSSVKMYSRGKQKIKSKIMADVVEALIGAYLSSGGEVAALSFMKWLGVDINFVDAPTSRHLPVNAEKLVNVRYLESLLHYKFNDPSLLVEALSHGSCMLPDIPRCYKRLEFLGDAVLDYVVTTHLYFKYPGLTPGLITDLRSASVNNECYALSAVKAGLHDHILYDLQVLQRHISSTVEDFKKLNLVSTFGWEAETTYPKVLADVIESLAGAIFVDSVYVTVEVEANGVIHKDSNSGRNQKMAEKVASKYLLKSLKEYPYNP >Solyc07g055145.1.1 pep chromosome:SL3.0:7:63407198:63409941:-1 gene:Solyc07g055145.1 transcript:Solyc07g055145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHSGKMSNVSTAGLRIMMMIFILNAGSQEVGKQTGEIAGGWRAPVDKNRHITDYPVRTNQLTLPRNLTRHFKPIKKQSERGRQKLRDWNPLQATTIATTSATATTTATSTTSTTATDTATSSSTTSTTSIHDDSISSTKIRTTISTNRLRLGFLFLLRYFVIFKMIST >Solyc04g049690.3.1 pep chromosome:SL3.0:4:42990938:42999039:-1 gene:Solyc04g049690.3 transcript:Solyc04g049690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVWTRAVVRTWRCRPTNFSRAFSEKLPTSEPKGRNIQWVFLGCPGVGKGTYAARLSKLLGVPHIATGDLVRQQLSSHGPLASKLVDIVSQGQLISDEIVIDLLSKRLEAGEAKGETGFILDGFPRTIRQAEILEGVTDIDLVINLKLREDALIAKCLGRRTCSECGGNYNVACIDMKGDDGETRMYMPPLLPPPHCETKLITRSDDTENVVKERLRIYHEMSKPVEDFYRQRGKLLEFDLPGGIPESWSKLLQALNIYDDEDKKSAAA >Solyc01g079670.2.1.1 pep chromosome:SL3.0:1:78650454:78651137:-1 gene:Solyc01g079670.2 transcript:Solyc01g079670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKLRMHRNRSMVARRAWNVVRLALLWARKGGIFKYKHLRLLPKYIKSLRYTNDNDHSPYYGEREFSFDDTPTIHVKMHRPASLRFKMPNIPCIKPQVDSDFEHDDEMNNDAPKKSFLNTQNENCDEAIDMKAEEFIAKFYEQIKLQRQISYLQ >Solyc12g009810.2.1 pep chromosome:SL3.0:12:3011299:3014210:-1 gene:Solyc12g009810.2 transcript:Solyc12g009810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLYIPTKSTAENGSPALDYFTYSSSIADYLKSASLVISHAGSGSIFETLRLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCARPQTLYKTISDMDPGSLVPYQPGDAKPVAELIYRYLGFPED >Solyc06g082570.2.1 pep chromosome:SL3.0:6:48387951:48394943:-1 gene:Solyc06g082570.2 transcript:Solyc06g082570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMESKKSQRLSWLDCFLGPKNSNSKWLQQNLDEMNRNVSRMLKMIEDSNAESFDIKYSESKKELMNLVVKCYKIYCSLAERHNDGATELLNYIPNSDLIPSQSNVTSFKTSALPLSKFPSSKQPNHHEYKGSKQLKRGVSDKGINHHIKGKISKIPTVMYKCKLAEFVQDSDTQSDNDYEGSDDELEAELRDMIEKLYKELEGVSNLQGETLRHGEFSNVKKESVSSNLEIQPETSRGLYTNEPCESLEEALIQARAEKIEAETEVERLKASIVKKNNYVQELNKILEAVKAERDQLKVRVAMLHDDPESAKPLHQND >Solyc05g012400.3.1 pep chromosome:SL3.0:5:5655024:5657287:1 gene:Solyc05g012400.3 transcript:Solyc05g012400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVHSPCKPASSALRKEQSQVELEIRLLEALEIYPPAKLRGIHRHFVLYGLTEHLRRSFNRQFAPDDVLKLLDRFYNLDMVKPEDEDSEILNQEEEFCLPHSYFSRDEP >Solyc05g052890.3.1 pep chromosome:SL3.0:5:63920149:63922704:-1 gene:Solyc05g052890.3 transcript:Solyc05g052890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAKQVIDSSSSSSSFTNELFGPKEPSNSTLFASVFGPPSTGLRRDPIHSGDHRGSSRIQDQGNQYGNNTKYGTSENGSKRSQNEKVEAPCNLSSSIYYGGQDVYPPNNQTTGSQNNIVKKDGDGSNSASRGNWWQGSLYY >Solyc04g081410.3.1 pep chromosome:SL3.0:4:65485997:65489362:1 gene:Solyc04g081410.3 transcript:Solyc04g081410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP complex subunit sigma [Source:UniProtKB/TrEMBL;Acc:K4BVM0] MIRFILLQNRQGKTRLAKYYIPLEESEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLESIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKQE >Solyc04g054200.3.1 pep chromosome:SL3.0:4:51872682:51879115:1 gene:Solyc04g054200.3 transcript:Solyc04g054200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSQSLSLLLLVLVFRLNRSSAAEDEVRSLLEFKKGIKNDPLGKIFSSWSQTGLSDPSACPKSFYGVVCDENSDSVFSISLDGLGLVGDLKFSTLSGLKQLKILSLSGNSFTGRVVPALGSMLTLQRLDLSGNQFYGPIPARINELWDLNYLNLSNNNFTFGYPSGISNLQQLRVLDLHNNELWGDIGELFLELKRIEYLDLSNNSFFGSLPTSPENVSLASTIHVMNLSHNNLGGGFFPGKLLEAFENLMVLDLGNNAIMGQLPSTGFMHNLRVLRLGNNQLFGLIPDELLQGTSPLVELDLSGNGFSGSIPIVNSTKLRVLNISSNHLLGSLPSSIGSCAVVDLSRNMLVDGISANESWEANLEIIDLSSNRLTGNIPNMTCQFQLLTSLNFGNNSLEGTLPSSLGTLPRLVKLDLSTNKLGGLIPSTFFTSTTLMNLNISGNQLSGSIPLEGTHASELLVQSSYPTLESLDLSENTLTGNLSSAIGNLRRLQVLNLAKNQLSGMLPTELGDLRNLEFLDISNNNFSGVIPENLSSNLRVFNVSNNELSGAIPDNLRNFNESSFRPGNSNLAIPSNWLHDNHGNPGQNSQHHHNSKSSIRVAIILASVGAALMIGVVLLAYLRQRFQNFHLPSGFNSQSAGRDVKLGRFSRPGILKFHGSSEPPPTFLSFSNDHLLTVNSRSLSGQIESGTEIVEHVFLEGVTAVSASTHLGTVGNNPATSGRRSSPDSPIAHSPRFIDTVEQPVTLDVCSPDRLAGELFFLDGSLSFTAEELSHAPAEVLGRSSHGTLYKATLNSGYILTVKWLRVGLVKIKKAFAKEVKKIGSIKHPNVVHLRAYYWGPREQERLILADYISGDSLALHLYETTPRRYSPLSFNQRLKVAIEVAQGLAYLHERGLPHGDLKPTNIILVGADYSVRLTDYGLHRVMTPAGIVEQILSLGALGYRAPELATATKPIPSFKADVYALGVILMELLTRRSAGDIISWHSAAVDLIDWVRLCDKEGRGMDCIDRAIAGGEEHCKAMDDLLAVSLKCILPINERPNIRQVVEDLGSISV >Solyc04g056380.3.1 pep chromosome:SL3.0:4:54100473:54102859:1 gene:Solyc04g056380.3 transcript:Solyc04g056380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMEEKNEQEEEYNEDELLKNNNSSVQNSSSSNWFIKMLSSQAEIITTVFISLVSPIFYILSFANIFEEEEESEKKVSVAVHVVATVSSKLLHGVALLLKKFGAGILGAAYVAIVLISLLMVSGILGFGFVRMWMEEPVILREPLYFDYADGNPKAVFSFGGGYDNDAGVPLGHTMYVSLFLLMPESDFNRDIGVFQLVAESLSKEGLVMATSSHPCMLRFRSLPIRLMREFIMSVPLVLGLTAETQTIVVTMLKHKEGLPRTEAIRITMIPRAGTFGLPQLYQSQIILKSQPPWYKNLVYKWKWTISVWASMYMYATLLVILLSWCRPLVFPVIATSFMTSADVNSTAEAPEEPQEEGREESDVSESVRRWRQSRRKRKAMLQQSVSPEFADDSASSISITREDTADSSE >Solyc06g053910.3.1 pep chromosome:SL3.0:6:36895482:36897481:-1 gene:Solyc06g053910.3 transcript:Solyc06g053910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKVQPIDSSTYRESIKNDAVKPLLKARIKKFFDRPFPSVLRISSAAEKPGAAGAANDGAAAEFEPNSVSLDKLVQNFIEENNDKPSAARFGRKCNCFNGNNDSSDDEFDFDSVTNSSSFGDSSDTLKSLIPCASVTERNLLAETSKIVEENKSCKRKDDLRKIVTDELLALGYKASICKSKWEKASSTPAGEYEYIDVIEEGERVILDVDFRSEFEVARSTGRYKSILQLLPFIFVGKADRLVQIVSIVSEAARQSLKKKGMHIAPWRNPEYMKAKWLSSYTRVTSAVESSNAAAEITESESEYGELDLIFSDKTVPLDSDPTKNPLENTSGEEEKPVMMTWQPPAVKPKKPKVVVTGLASLLREKP >Solyc08g005050.3.1.1 pep chromosome:SL3.0:8:33463:35295:-1 gene:Solyc08g005050.3 transcript:Solyc08g005050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSFLSSDPSSFWPASTPNRPTPVNGVGETMPFFNQESLQQRLQALIDGARESWAYAIFWQSSVVDFASQTVLGWGDGYYKGEEDKNKRRGSSSSAANFVAEQEHRKKVLRELNSLISGVQASAGNGTDDAVDEEVTDTEWFFLISMTQSFVNGNGLPGLAMYSSSPIWVTGTEKLAASQCERARQAQGFGLQTIVCIPSANGVVELGSTELIFQSSDLMNKVKYLFNFNIDMGSVTGSGSGSGSCAVHPEPDPSALWLTDPSSSVVEPKDSLIHSSSRDVQLVYGNENSENQQQHCQGFFTKELNFSGYGFDGSSNRNKTGISCKPESREILNFGDSSKRFSGQSQLGPGPGLMEENKNKNKNKKRSLGSRGNNEEGMLSFVSGVILPTSTMGKSGDSDHSDLEASVVKEAVVEPEKKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAIAYINELKSKVQNSDLDKEELRSQIECLRKELTNKGSSNYSASPPLNQDVKIVDMDIDVKVIGWDAMIRIQCSKKNHPAARLMAALKDLDLDVHHASVSVVNDLMIQQATVKMGSRLYAQEQLRIALTSKIAESR >Solyc10g049910.2.1 pep chromosome:SL3.0:10:47040990:47042985:1 gene:Solyc10g049910.2 transcript:Solyc10g049910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNHNTLVYCPLLLLATVLVLAGTTSTSDMSPENNGRREKLHKKESPVLVVDTPRDKNITVEGLNLDDAVPGVYTIHCLLLRLVHGDGSPTRCILFQ >Solyc03g063057.1.1 pep chromosome:SL3.0:3:35313298:35314005:1 gene:Solyc03g063057.1 transcript:Solyc03g063057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKINEIHLWSWTRRRGSRIAFALDTGSIPSSDDQDGLNGDGRDLGGTRLGRIVGAGGRQFLGKLNSARKNFPMKVFLLLLGFYTANALATILGQTGDWDVLVAGVVVAAIEGIGMLMYKKPPSLPSRRLQSLISMVNYWKAGVILGLFVDAFKLGS >Solyc11g021310.1.1 pep chromosome:SL3.0:11:13419424:13420673:-1 gene:Solyc11g021310.1 transcript:Solyc11g021310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFLLGNLVSLCMKIINSVVVVGLYYGFLTTFSIGPSYFFLLRALVMEEGTEKKVSKPTGFITGQLMMFISIYYAPLHLALVRSHTITVLALPYLLFHFFWNNHKHFFDYGSTTRNSMRNLSIQCVFLNNLIFQLFNHFILPSSMLARLVNIYLFRCNNKILFVISGFVGWLIGHILFMKWLGLVLVLIRQNHSIRSNKYIQSNKYLVLELRNSMARIFSILLFITCVYYLGRIPSPILTKKLKEMKRTKQEQEGSTEEDPYPSPSIFSEEGWDTDKIDETEEIRVNGKDKIKDKFNSHLTETGYNNINTSNSPIYDYQDSYLSNNNTENLENCKLQLLDKKNENQEQDLFWFQKPLVSLLFDYNRWNRPFCYIKNNRFEQIDFFPILSN >Solyc01g066205.1.1 pep chromosome:SL3.0:1:73234839:73251004:-1 gene:Solyc01g066205.1 transcript:Solyc01g066205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNITNHGDSHEVEVVVRKSACHILFAKASSGDPSQDIVRTKNCGPLYDGQDKELIARLKRDLSKSFDMKDLGPAQQILGMKIVREKTKRMLKLSLEKYIERVLERFNMKSAQYVSTPLASYLKLSKQMCPTTNEEKEGMNKIPSSSAVGSLMYAMVCTRPNIAYAVGVVSRFLENHGKEHREAVKWILRYLRETIRDCLCFEGFDPILKGYTDVDMADYIAATEAGKEMVWLKRFLQELGLNQKELKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLEAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGIINGGDTQCLVTGYSDSDYAGDVDTRRLMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc03g063260.3.1 pep chromosome:SL3.0:3:36161856:36162842:1 gene:Solyc03g063260.3 transcript:Solyc03g063260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPTYKYTGLNLVSVSFPTFPLFEPPSRNFLTTPLPLPPCRCCRDCFPSVLFAHLIRLVLLLYQKSTIRKLPHPSCQGVSIG >Solyc12g009280.2.1 pep chromosome:SL3.0:12:2550969:2553811:1 gene:Solyc12g009280.2 transcript:Solyc12g009280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFQDLIINLVIILAIFAKRVKMEDHGETKLTGIRQIVRLKQLLHKWQNVTFTPNTTKGKNHNPKVECTKSSFNNRKNETCRGGISPSISKRLRSSNVYWDSDEENCQSPESPRGVPKGYLAVYVGPELRRFIIPTTYLSDSLFKKLLEKVEEEFGFDHSGGLTIPCEIETFKYLLQCMENHQRCLPVDSHHNGSDAGIMA >Solyc07g064810.3.1 pep chromosome:SL3.0:7:66924686:66932584:-1 gene:Solyc07g064810.3 transcript:Solyc07g064810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazole glycerol phosphate synthase hisHF [Source:UniProtKB/TrEMBL;Acc:K4CHG0] MEAASFTSASSTTTPFSSYSSTSQSLRFLRYKPNAKPLNYKSSRSFSARASATSADDSVVTLLDYGAGNVRSVRNAIKYLGFDIKDVQTPQDILKAKRLIFPGVGAFAPAMDVLNKKGMAEALCAYIEQDRPFLGICLGLQLLFESSEENGQVKGLGLIPGVVGRFDSSKGIRVPHIGWNALEIAKDTQILDDVGNSHVYFVHSYRAMPSDENREWISSTCKYGDDFIASIQRGNVHAVQFHPEKSGDVGLSVLRRFLNPKSGRTQKLVQGNASKLAKRVIACLDVRTNDKGDLVVTKGDQYDVRENTEENEVRNLGKPVDLAGQYYKDGADEVSFLNITAFRDFPLGDLPMLQVLRYASENVFVPLTVGGGIRDFKDANGRYYSSLEVASEYFRSGADKISIGSDAVYAAEEYLKSGVKTGKSSLEQISRVYGNQAVVVSIDPRRVYVKDPKAVEFRTVKVRNPGPNGEEYAWYQCTVSGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKLVSDAVSIPVIASSGAGAVEHFTEVFRETNASAALAAGIFHRKEVPIQAVKEHLSEEGIEVRM >Solyc12g005690.1.1.1 pep chromosome:SL3.0:12:371801:372193:-1 gene:Solyc12g005690.1 transcript:Solyc12g005690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIISKFMFFCSVFISLLIFQGMAEKSHISPPFPRKLTIRKIAESSIANPPLSKGRIEELFEEGENEIVEACSRAEASAPEGTNILKIRHHHSADKSIAGGEVIIGGLVTAIFAAVYCYIRITRKKDNL >Solyc09g064947.1.1.1 pep chromosome:SL3.0:9:62827035:62827487:-1 gene:Solyc09g064947.1 transcript:Solyc09g064947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSIPSRSGSMVFDCRNWLNNPIDTHDNAESRRKLKINYGKALFALRTSIIKEFIDQIHNDISPKDFWDTLERLFTKKNTTRLQLFQNELAMLQQGDMSISYYFLRVKSICAEILEIDIEEKISIPRLGRYLIRGLKKEYGPFVTSVQG >Solyc03g093630.2.1 pep chromosome:SL3.0:3:56585314:56587340:-1 gene:Solyc03g093630.2 transcript:Solyc03g093630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSNSIVRLSSITTSWLHQHLNSTQHYASLLQSCIARKAVQPGKQLHAHLCLTGLGYNINLATKLVNLYCVCDKLPNAHHLFDRIPKGNIFLWNVLIRGYAWNGPYEAAISLYHQMIDYGHVPDNFTFPFVLKACSALSAIEVGKDIHDYAKRTKWDKDVFVGAALIDMYAKCGCVGRSREVFENVVERDVVVWNSMLAAYSQNGHPEDCLALCGEMACGGIRPTEATLVTAISASADVAALRQGRELHGYSWRQGFDSLDKVKTALVDMYAKSGSVKVARILFEGLQEKRVVSWNAMITGYAMHGHANAALCLFNEMVGKAKPDHITFVGVLSACNHGGLLSEGRMYFDSMAKDYGIEPTIQHTTCMVDLLGHSGRLDEAYGLITQMKVMPDAGVWGAFLNSCKIHGYVEFAELALERLIELEPDDAGNYVILSNIYAQAGRWEGVAKLRELMNERGVKKTTAYSWIEVKNKVHAFLSGDTSHPMSDEIYAELQSLGARMVQAGYVPNITPVFHDVEDDEKSRMVCSHSERLAIAFGLISTPPGTKLLITKNLRVCEDCHVAIKFISKLTEREITIRDVNRYHHFKDGICSCGDYW >Solyc12g062290.2.1 pep chromosome:SL3.0:12:31849692:31855475:-1 gene:Solyc12g062290.2 transcript:Solyc12g062290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKRKRGRKPKNVVDNSTDAPGISSKEALDDGVLSATPVETAITNGDPPTHRRGRGRPKKGGKHDEEVDRDIAASPERRGHRFAEHNGDITTVLSGDLRHGADLAAVAAAAKAAPSMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFIIGGRRVLTNAHSVEHHTQVKLKKRGSDTKYLATVLSIGTECDIAMLTVNDDEFWEGVSPLEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHVSTELLGLQIDAAINSGNSGGPAFNDKGKCVGIAFQSLKHEDAENIGYVIPTPVIMHFIQDYEKNGAYTGFPIIGIEWQKMENPDLRLSMGMAHNQKGVRIRRVEPTAPESNVLKPSDVILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYTGDDAQVKVLRKSKTLEFKIKLNAHKRLIPAHIKGKPPSYYIVGGFVFSAVSVPYLRSEYGKDYEFDAPVKLLDKLLHAMAQSMDEQLVVVSQVLVADINIGYEELVNTQVIAFNGKPVKNLKSLAKMVEACKEEYMKFDLDYNQIVVLQTTNAKAATSDILAMHCIPSAMSDDLKT >Solyc09g072670.3.1 pep chromosome:SL3.0:9:65705428:65717527:1 gene:Solyc09g072670.3 transcript:Solyc09g072670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDCGKKEEAGPSHGGVTWDKRKEEEDGESEEDDHHQDPSAAATSFIPGPLLSLKDQIEKDKEDESLRRWKEKLLGCLESDLDGQMEPEVKFHSVGILSSDFGEINTPLPIKEEQSNYVLFTLREGSEYRLKLTFSVLHNIVSGLAYTNIVWKAGLQVDQSKGMLGTFAPHREPYIHMLEEETTPSGALARGTYTAKLKFMDDDKRCHLELNYSFEISKAR >Solyc12g038910.1.1.1 pep chromosome:SL3.0:12:52351522:52351680:-1 gene:Solyc12g038910.1 transcript:Solyc12g038910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRRAKRKNFVGARNIDVAIEFLLVFLTDVPIHDMNGKWLNEVLEKIGFSE >Solyc01g068480.3.1 pep chromosome:SL3.0:1:77531202:77545391:1 gene:Solyc01g068480.3 transcript:Solyc01g068480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVYGTGTYDFRRHRVAEYPVEALPQTAEAPQKMLPSMTEKPPESKPGSNIPSSITLAEIQRDRLTKIAASNWAKTGEKKAFSSELVKEIYDTELTVKGGRKPVPLQRVMILEVSQYLENYLWPNFDPEASSFEHVMSMILMVNEKFRENVAAWICFYDRKDMFKAFLDRVLRLKEGRSLTIPEKINYLLFMINAFQSLEDEIVSKKVLRLAGLQCWHCLSYGRFQMELCVNPDLIKKWKKIAKRAKEAAKRGESFDTSTMLEVNFLRHLIEEFLEVLDCKIFPQPDDEVDSDLNFTSDFEGVNDASVLYCERFMEFLIDLLSQLPTRRYIRPVVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYEGFEIDDHLGRQMTDDEVIQAHYDRFQSFQLLAFKKIPKLRELALANVGAIHRRADLSKKLSGLTPEELRDLVCRKLKLISVDDPCSNRVDFLIEVMVSFFERQQSQKEAINALPLYPNEQIMWDESLVPSINYTGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEAVPHLLAYINNEGEPAFRGWSRMAVPVKEFKITEVKQPNIGEVKPAAVTAEVTFSISSYKSQIRSEWNALKEHDVLFLLSIRPSFEPLSAEEAANATVPQRLGLQCVRGCEIIEMRDEEGILMNDFTGRIKRDEWKPPKGDLRTVTVAIDTAQYHMDVGDIAEKGAEDIYGTFNILMRRKPKENNFKAILESIRDLMNETCIVPDWLHDIFLGYGNPSAAQWTNMPDLLETVDFKDTFLDADHVRESFPDYQVCFVDQDGLENLQPSPPFKIKLPRNLKGKAHAIPGSENSTTASVDAAGMPEVHSERDKLIVEAYIPPDPGPYPQDQPKRNSVRFTATQVGAIISGVQPGLSMVVGPPGTGKTDTAVQVLNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVGYTCETAGYFWLLHVYSRWEQFLAACAAEQDNPTIVQDKFPFKEFFSDTPQPVFTGQSFGKDMRSAEGCFRHLKTMFQELEECRAFELLKSTVDRSNYLMTKQAKIVAMTCTHAALKRKDFLQVGFKYDNLLMEESAQILEIETFIPMLLQRQEDGYARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSLARLYNWRYRELGDLPYVKENAVFHKANAGFSYDYQLVDVPDYNGRGESAPSPWFYQNEGEAEYIVSVYMYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYDFIGPPHKVATVDKFQGQQNDFILLSLVRTRFVGHLRDVRRLIVAMSRARLGLYVFCRRSLFEQCYELQPTFRLLLERPDCLALNVEEATSLTNRPVGETGPVSVVSGPEEMQAIVNFKMHQVYQARMMSHIEYPAHPESVPEPSVEQNVMSLSHSMDSDKTAMEDGTKDTGPSESMESTKVPPDAGEMLVVGHSNGEVDGENQRVDSGELGTSTGSIEAPHDDAEMLVASQSNGEADGKDQRVDRDGTDLENSSNVESKMEE >Solyc03g005195.1.1.1 pep chromosome:SL3.0:3:116098:116550:-1 gene:Solyc03g005195.1 transcript:Solyc03g005195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQLTTSPSLTLIPSRKQANLAAIKLNSPWSVRRRENRRVSSVVRAYKVIVEHEGKTTELEVEPDETILSKAIDVGMSVPYDCKLGVCMTCPAKLLSGNVDQSEGMLSDDVVERGYALLCAAYPRSDCHIRVIPEEELLSLQLATADD >Solyc10g005955.1.1 pep chromosome:SL3.0:10:725121:726752:1 gene:Solyc10g005955.1 transcript:Solyc10g005955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYISYIHSGGFRNGMSSRSQLKTPFSRFYLEKIEFVLSALVMWSKFNQTILPRIIEFSLSGKASKQKIVLCKFGLS >Solyc02g069780.3.1 pep chromosome:SL3.0:2:40165767:40186940:1 gene:Solyc02g069780.3 transcript:Solyc02g069780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFWRMAGLSTASPVETILDKENFALDELLDEDEIIQECKALNGRLINFLRERAQVEQLVRYIVEEAPEDAEKKRTFKFPFIACEIFTCEVDIILKALVENEELMNLLFSFLEPEHTHSTLLAGYFSKVVICLLLRKTSAFMNYVQAHQDIIKKLVDLIGITSIMEVLIRLIGTDEHLYSNFSENMQWLEDTNVLEMIVDKFSSSDCPEVHANAAETLCAITRYAPPGIAAKISSPSFIERLFRHALEESRPKSVLVNSLSVCISLLDPKRLASGTYYMYGRQSMQCSGASANPETVEGMLGSLGNLLKLLDISSEDNVLPTTYGSLHPPLGKHRLKIIEFVSVLVSVSSEAAEKELIRLGAVKRILELFFEYPYNNFLHHHVETIIISCLESKNIQFVDHLLSDCNLLLKILEAEKNSTLAADQSKPTASVEGRTPPRIGNIGHVTRIANKLVQLGNNNNGIQSRLQENSEWIDWQTNILQKRNAVENVFQWACGRPTSLHDRMRDSDDDDYQDRDYDVAALANNLSQAFRYGIYNNDELDEARGSLERDDEDVYFDDESAEVVISSLRLGDDQESGSLFTNSNWFAFEDDKEIREQYTALVASPSPDTEETNIIRPSTNDDAAADEDDDLADTASSDQPEPKQSSDHPAPSSLSEDLHRATSNETIKPPEWVEWRENSNTIATSNQTSDSAGVADVVGPPLPNGDVKKESEAPKDTTRSKEENAHIPPTNVCTSNVKEGSSGDTSQPPESGGSQSASNASSSSVEKPPAGTVGSSSGETKK >Solyc06g067950.3.1 pep chromosome:SL3.0:6:42234213:42240170:1 gene:Solyc06g067950.3 transcript:Solyc06g067950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLNPSTGSGSRTSRMTFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLESLPLPNIKWICPTAPTRPVAILGGFPCTAWFDVGELSDDGPDDFEGLDASVAHIANLLSTEPADVKLGIGGFSMGAATALYSATCFAQGKYGNGNTYPVNLRAIIGLSGWLPGSRNVRNKIEGSLEAARRASSLPILLCHGSCDEVVPYKYGERSTLVLNSAGFRNLAFKKYDGLGHYTVPREMDEVCHWLNARLVLEGCR >Solyc01g104080.3.1 pep chromosome:SL3.0:1:92447719:92452217:1 gene:Solyc01g104080.3 transcript:Solyc01g104080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSVWAWGVAIVCFVVLMIVTPAIPQSQEYHNFADQRKFLGIPNALNVVSNFPFLVIGLIGLVLCHHGNYFKLSIYVQYLKLIPFDMCIESLQGELWGWTCFYIGVAAVAFGSAYYHLKPNDARLVWDRLPMTVAFTSIIGIFIIERIDERKGTVSLIPLILAGVVSIMYWRFFDDLRPYAAVQFVPCLAIPLMAILLPPMYTHSTYWLWAAGFYLLAKIEEAADKPIYNWTYHIVSGHTVKHLCAAMVPVFLTLMLAKRDIETNRVSLFQSWRISWSKTKENGAAVDSLTCTYSSVAVEESR >Solyc02g089890.3.1 pep chromosome:SL3.0:2:52211696:52220494:-1 gene:Solyc02g089890.3 transcript:Solyc02g089890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFQSIELSGMEICRLPNQPAVIHLRQGQTAGIYSIKTPQFSSNIKCSVGEESSTKNPDLKNRMFILGMGFVGKFLAADLKSNGWEVTGTCTSTARKKKLEEMGLHAHIFDANEPQQEVLDILKFHSHLLISIPPVLGVGDPMLRHKELLKERLKDGNLQWLGYLSSTGVYGDSGGALVDEEFPPSSTTELAKARIAAEEGWLHLACDGRVSAQIFRLGGIYGPGRSAVDTILKQEPLSKGQKMRFSRHYTSRIHVADICQALKASIQRPSPGQIYNVVDDDPAPREQVFKFARNLVEEKWPGHLIFNNSAEEVKSLIPQGGSRGEKRVSNKRIKTELGVNLLYPTYESGLRSIIERIEVPFGMKVLMLLRQQQNSIAYCVKENKPCVVGWVNLYFKDCLCNVRDEFSFGFGFVSLICWAVAEIPQIVTNFRTKSSSGVSLLFLLGWVVGDVFNLTGCLLESATLPTQLYTAVLYTATTIILVLQILYYDYFYKCWKRSENETRQLEVEEVLKKPLRPQKTTDSGIPIPCRSASRPMDFYFMSARSLAGSSTPPFRSNLRPIASEPSAVGLNHNYSSDDDTVDAPLSISVSQPKPIPRSAGYGAFLATASGMPHQTKALIVGFGGRKLLQEHGTEHSALGQCLGWMMAAVYMGGRIPQIWLNIKRGSVEGLNPFMFVFALIANITYVGSILLRSTEWSKIKANMPWLLDAVVCVVLDLFIILQYVYYKYLRKNPSSSNGREDEGAYKKANKN >Solyc07g041020.3.1 pep chromosome:SL3.0:7:51650397:51658161:-1 gene:Solyc07g041020.3 transcript:Solyc07g041020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFQCEDCGDNLKKPKLANHFRMCSAFKLSCIDCGETFSRQTVETHTQCISEAEKYGPKGQGKASNGAPVKPSSDAKQKPDVDINVGLSDRPPWFCSLCNTNATSKQTLLLHADGKKHRAKARAFHAAKQPKQTEPADGGVDVLNDNNQKSEIPENKAGEGLKEQNSKEAENDNFLSNKKRKDRESENGGDKLSAELDIGEVIQVEKEQETKHKKKAKNETVKQDKAVVDGSNRNDSKKKIKWKKVITLALKSNSDGVLKLKKLKKIVLKSVNVSGMVEDESQVSDTIEHKINSSSKFVVDGKYVRLATKSS >Solyc12g044733.1.1 pep chromosome:SL3.0:12:60917778:60920840:1 gene:Solyc12g044733.1 transcript:Solyc12g044733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNEAFYNCFNKIWLMWTNDINITILQDKEQHVHIKVDHNSCSSFDLSVVYAKCSEVLRRELWDDLITMAANIHDPWGVIAILTLFLPKRKKLEDVGFTGLKVTWGDHRDPLHTIWKRFNMLPTMINFWADHPNFLQTVQNNWNITIKGNPLTFFNGKSRSPLKLLVDSQERHLGTSMKNLKGWKTLSATWRRNVLLIILLRTDVNSPSIKGDSFRSIADTSKAFRAKSGGISQLLNSLWSSFMLAVCCHNSHPSKYLMEKWTFLELESYLRHQRRDGVSYRVEDCQRRCLTLDRVIWKLSNSGQFSSASTWDNLRQIEEVNNFYGKLWRKEIPFKMAFLVWRVINNKLSTDDRVAWMDIAIEPNC >Solyc10g024410.2.1 pep chromosome:SL3.0:10:14058670:14070142:-1 gene:Solyc10g024410.2 transcript:Solyc10g024410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLALPGSFLDVNKTCHCRYAARNSIIRCNVAEPLMFNKENGRPGMPLQVNGDPSFSNLLNANQLRRFPVAHGHTDTRLRIFSGTANPALSQEIASNMGLELGKIMIKRFADSEIYVQLQESVRGCDVYLVQPTCPPANENLMELLIMIDACRRASAKNITAVVPYFGYARADRKTQGRESIAAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVPGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVRGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVAEQNYFPQLTILSVANLLGETIWRVHDDCAGGFEPYSNLGID >Solyc05g055870.3.1 pep chromosome:SL3.0:5:66184979:66187520:-1 gene:Solyc05g055870.3 transcript:Solyc05g055870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIGRSSGGGGGDICHLPEGCIANVLSLTSPRDASRLSVVGTVFRSAGESDAVWDRFLPSDYRDIISRSSDGPESFNVGSKKELYLYLCDHPFFIDGGTKSFSLEKQSGKKCYMLAARSLAIIWADTPRYWRWIPLPESRFPEVAELLDVCWFDISGKINTSMLSPDTNYAAYFVFTTKSRTYGFDHQSAEGAVGISGHERKPQTVFLDPEAARRHMYQIVPRRSGLLSQMADMLRGGVNPPPPENDAQARYPQQRSDGWIEVELGEFFVKRGQDVELEISLTEVKEGNWKSGLVVEGIEIRPKEG >Solyc08g077550.3.1 pep chromosome:SL3.0:8:61649538:61656332:1 gene:Solyc08g077550.3 transcript:Solyc08g077550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSGLSEELGEIEGQISDIFRALSNGFQKLEKIKDSNRQSRQLEELTGKMRECKRLIKEFDREVKDLEYKSDADTTKMLSEKKQSMIKELNSYVALKKQYASNIENKRVDLFEGPGEGFAEENGLLASNMSNQQLMDQGNRMMDETDEAIERSKKVVHETVNVGTETAAALKAQTEQMSRIVNELDSIHFSIKKATQLVKELGRQVATDRCIMALLFLIVIGVIAIIIVKIVNPHNKDIRDIPGLAPPAPSRRLLAYPY >Solyc06g062305.1.1 pep chromosome:SL3.0:6:39424757:39425609:1 gene:Solyc06g062305.1 transcript:Solyc06g062305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMIIIVVGWWLTMMVINSDAFSQKTLETYKDNSTFVCSSNQACFLHLHICQLQHIFRFFSMSQNSPKNVAVIGAVPAGLVAARELQREGHIVVVFERENQLGGTWIYTPHTDSDPIGIDPNRRIVHSSLYSSLRVNLPREVMGFHDRGHEKTQ >Solyc04g014550.3.1 pep chromosome:SL3.0:4:4819688:4824311:1 gene:Solyc04g014550.3 transcript:Solyc04g014550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNTKSSKKLGRSSSQSPIQSPGRTVRSSSPQYQLMKNNNVLRYESKEKSVDKNKAPQKSLAKDNIRPAEIKLHTQQRARRRALFNYSMETKSYFIEQQKKTVEKVQKMIEEEEVRLLRKEMIPRAQLMPFFDKPFLPQRSTRPLTIPKEPSFMLNGKCSSYMNFSILNTMKQVK >Solyc12g049300.2.1 pep chromosome:SL3.0:12:61724968:61727759:1 gene:Solyc12g049300.2 transcript:Solyc12g049300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKPCCSKEGLNKGAWTPLEDKILMDYIKVHGEGKWRNLPKGAGLKRCGKSCRLRWLNYLRPDIKRGNISPDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTNIGRRLQEGTRSGQPNRVISSNRQRHRSIHTKSTIPNDQEHASPQDSQYLLTDIRHGGSLSSSSPCLVVHTKAIRCTKVFMSPTTSNSVVDTHETTEHDNDHKVMIEENVTTNILTPSSSSFSISSLSEQEPQQQQQQPVSESYSPTNLSFELENYNFSFMFGFDVDDPFLAELLNAPDLRDQILENSTTTSSTIVNGDINNSNKNERQRSYFPSSSSQIAIFSEETQHNDLELWINGFSSC >Solyc10g038030.2.1 pep chromosome:SL3.0:10:18890676:18893059:1 gene:Solyc10g038030.2 transcript:Solyc10g038030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCILRVGGDAPLSCTNKNYASREKYKKCGQPKEVAAIPAIAIPGAYLPSHPKYFARTQGGMEQRLNIGFLGHGDLQQLPLSSNMSLGCFQIQMDGVMVTGSAVVPFTTTILYKKCNVSAPPASSSSLASTPITEPGLAILPQSFVKFLMLLSPAISGLAPQHLSAFISFFVNNLH >Solyc03g097850.1.1.1 pep chromosome:SL3.0:3:61611975:61614506:1 gene:Solyc03g097850.1 transcript:Solyc03g097850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSSLPPKLSNSALFHICFKSSSFFAFFTSSTPLLRAVVPSSFTQLLKQCKSCIKAKLVVAGVFSPSADLTTWSSQVVFYWNNLIKRCVLLRHHESALVLFREMLRLDWNPDGYTYPYILKACGELRFLLFGESVHSLILSSGLDSNVFVCNGLIAMYGKCGLLGHARQVFDKTVERVTADVISWNSIVAAYVQKDEDKKVLELFDLMVALNSFELRPDAVSLVNVLPACGSLGAWKRGKQLQGYAIRRCLHEDIFVGNAIVDMYAKCKRLDDANKVFELMEVKDVVSWNALVTGYSQIGRFDEALGLFERMREEKIDLNVVTWSAVISGYAQRDLGYEALNIFKEMRLSGAEPNVITLVSVLSGCAAIGALRQGKETHCYAIKQILSLEGSNTEEDLMVTNALIDMYAKCKEMKIAQAMFDDIDRRGRNVVTWTVMIGGYAQHGDANDALELFSAMLKDEYSVIPNAYTISCALVACARLSSLRIGRQIHAYVLRQGCEPTKVFVANCLIDMYSKSGDVDAARLVFDNMSQRNAVSWTSLMTGYGMHGRGEEALQVFNVMRGEGLPIDGVTFLVVLYACSHSGMVDEGMNYFNHMQGDFGVVPGAEHYACMIDILGRAGRLDEAMKLIERMPMEPTSVVWVALLSACRVHKNVDLAEHAAAKLSKLETENDGTYTLLSNIYANAKRWKDVARIRSLMKHSGIRKRPGCSWVQGKKETVTFFVGDRCHPLSEKIYDLLENLIHRIKAMGYVPETSFALHDVDDEEKGDLLIEHSEKLALAYGILTSAPGVPIRITKNLRVCGDCHTAMTYISKIIEHEIILRDSSRFHHIKNGSCSCRGFW >Solyc09g011640.3.1 pep chromosome:SL3.0:9:4888389:4895028:1 gene:Solyc09g011640.3 transcript:Solyc09g011640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVKLLGISLSPFSRRVEWALKIKGVEYEFVEEDLHNKSPVLLELNPIHKKIPVLIHNGKPICESMVIVEYIDETFEGPSILPKDPYDRAIARFWAKFFDDKCMPVMGKAIFGSGEESNKAKEELGDLIKILENELKDKNFFVGDKFGFADMAGNLMAYWMGIVEEASGNIFVTSEKFPIFCNWRNEYVNCSTIKEYLPPRDEILAHFKAREETEKSKEEFGGLLKILDNEFKDKKFFVCDKFGFADVAANFMAFLFGILEEASGIVLLLGVSLSPFTHRVEWALKIKGVEYELIVEDPQNKSPLLLEYNPIHKKIPVLIHNGKPICESMVIVEYIDETFEGPSILPKDPYDRATARFWAKFLDDKCLPTMGKALLGNEEEKEKAKEECGELLKILDNELKDKEFFVGDKIGFVDIAANALAFWMGIIEEASGVILVKNEKFPNYYTWRDNYINCSQVKKYLPSRDELFSHFQSRFHSASTTK >Solyc02g023940.2.1 pep chromosome:SL3.0:2:25023238:25026697:-1 gene:Solyc02g023940.2 transcript:Solyc02g023940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSFILFFLANGKPSNEYPYVLSLPNPFGFNQHDIDSCSSGKPSNEYPYVLSLPNPFVFNQHDTDSCSSEKPSNEYPYVLSLSNPFGFNQHDIDSCSRFISNSVPDQTLTVDQNLPFEGDIANPNNRIVVPSKGFSRTRNPRV >Solyc01g010850.1.1.1 pep chromosome:SL3.0:1:6235251:6235529:-1 gene:Solyc01g010850.1 transcript:Solyc01g010850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHMSEIYFMGGREDGHSFFRQRLFSPRFIGWSVQGWNWNTLIRLIPVLRFRVCPSVWSELHTIVQRSLHFYYENFVYIHSRFFFTSEDRVG >Solyc12g027480.1.1.1 pep chromosome:SL3.0:12:23765423:23765698:-1 gene:Solyc12g027480.1 transcript:Solyc12g027480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILATGQPTSAAGPQISQNSNSIPISNQDTGTKDMPDEHNSLPTSQGGKYVYLLIPNTINLSQNIKPATVAPIPIKQASYINGVPRITCP >Solyc11g005170.2.1 pep chromosome:SL3.0:11:147272:156936:1 gene:Solyc11g005170.2 transcript:Solyc11g005170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFDEYDYLEKTVEETNGTSTKSKDKDNNSSAEKEKSEKGYRRRERDGSEEYADDDNRERRSSKKSRGDGESIREKDKERDRERSSRHRSRERESERDKERSSKDRDRDREKREKEKEKERDRDREKEKERDRDRKSRDRDRDKERDKEKDRERERSRRSRSRSRIEREREKEMLRDSERDFESRDSRRFKEKKEKIEPEADPERDQRTVFAYQMPLKATERDVYEFFSQAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGRLLFGQPVMVKPSEAEKNLVQSTASGGGSGLAGPNAASERKLYVGNLHFNMTELQLRQIFEAFGPVELVQLPTDPETGHCKGFGFVQFAQLEHAKAAQSLNGKLEIAGRTIKVSSVTEHVGVQDAGAKTADFDDDEGGGLALNAQSRAMLMAKLDRSGVASGVAGTLGVPALNGAAQPAMSMPMGGATAFQNMLPTQLIASMAPEPIGIPSECLLLKNMFDPATETDPEFDLDIKDDVKEECSKYGRVKHIHVDKNTSGYVYLRFDSVEAASRAQQAMHKRWFAGRSISAIYLQPYEYDAKFKGTG >Solyc02g005350.3.1 pep chromosome:SL3.0:2:8249045:8275249:-1 gene:Solyc02g005350.3 transcript:Solyc02g005350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Succinate--CoA ligase [ADP-forming] subunit alpha, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4B4D7] MARQATRLISNLSTKLNPSSPTMSASPLWHQYRYFGSPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVVEAKVETKANASVVYVPPPFAAAAIMEAMEAELDLVVCITEGIPQHDMVRVKAALKKQLRTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCLERFIADPQTEGIVLIGEIGGTAEEDAAALIKESGTQKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKALKEAGVTVCESPAKIGVTMLDVFKQRGLA >Solyc01g005980.3.1 pep chromosome:SL3.0:1:649541:652114:-1 gene:Solyc01g005980.3 transcript:Solyc01g005980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSLSTKYNHLKHTYLFQSSIFLQLKIPIKTQDSISSSRLKPIKLTPPLKPHKIRYTSLTRRYNHLKHNFLVQPPFMAFNSRFHLGLLIFFILTPFTISFILSPSPQPEPKPTIYDILTVYGLPGGIFPDTVESYNLDKDGNFEVFLKAPCYVEFEYLVYYAEKISGKLGIGSITELEGIEVKRFLFWFNVKEIRVDLPLSDSVYFQIGFVNKKLDIHQFETIHSCMKSGSLRQVLQLPAPVNDMQMLITE >Solyc12g035522.1.1 pep chromosome:SL3.0:12:41552139:41556588:1 gene:Solyc12g035522.1 transcript:Solyc12g035522.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIVKEDVRKLRESRKRKKKEKPKGFLCEVGFDEGYEDVEKGKKNFKGKLTEDELYYDSSDCDSFQSDEEEPSTTGKKRGRGHYERTSTSKTGTRRGAGSGYKKRPKVVGQGVFVADTGYTCINQGLSSRRRVNTGVTWRMRINWATLVVACMRRNVGQ >Solyc11g032205.1.1.1 pep chromosome:SL3.0:11:26134057:26134089:1 gene:Solyc11g032205.1 transcript:Solyc11g032205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NCCCGSDAMF >Solyc08g013930.3.1 pep chromosome:SL3.0:8:3397199:3402140:-1 gene:Solyc08g013930.3 transcript:Solyc08g013930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CJ27] MEKYSGILLLLLPILMSFVAVSLNSGYANAAVFLPPEDKPLVRHYYKKLNTCANVEPFVQHQVKLYWDKDKTITAKLLKLLYADCMVNGCDASVLLTGPNTERNSSKNARLDGYLLIDKIKKVLEIRCPRTVSCADILNLAVRDAVHYAGAPSYPVFLGRRDGVESKAEWIDYPSPSMSWEEGLAYFESKNLDVQDFVTLLGAHTMGQAHCSSFYDRLYDFQGTGKPDPSMKRSVLVKLRDQCPKNSKNDSPVYFNEEYGSNYTFTNKLYTKVLAHESLLRVDQQLSYGSDTGELVDEYAKSLELFRRGFALSISRMGGLKVLTGKNGEIRQDCKFTNKNNPNIN >Solyc02g075620.3.1 pep chromosome:SL3.0:2:42345488:42347018:1 gene:Solyc02g075620.3 transcript:Solyc02g075620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTISTSSARRDNTLKVANEEKDYLNWIHRMSSRNHSVFQEAKNKLEPCKFIKVNKNPKFGDFTTVQKAIDSIPIVNSCRVVISVSPGTYREKIEIPATMAYITLEGAASHKTTIKWDDTADRTGKSGQPMGTYGSATFAVNSPYFIAKNITFKNVAPPPPSGALGKQAVALRISADTAAFINCKFIGAQDTLYDHRGRHYFKNCYIQGSVDFIFGDGLSLYENCHLRAKTKSYGALTAQKRESLLEETGFSFLNCKVTGSGALYLGRAWGTFSRVVFAYTYMDKIITSKGWYDWGDKNRHMTVFFGQFKCSGPGADHGERVKWSRELTEQEAKPFISLSFIDGHEWLLHI >Solyc03g097510.1.1.1 pep chromosome:SL3.0:3:61278079:61278555:-1 gene:Solyc03g097510.1 transcript:Solyc03g097510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIGEMFKKLEKYLPMKKVGRENLSKSKSWHGRSTSTIAPEGCFWVYVGPNKEKFVIKTKYANHPSFKKLLEDAEKEYGYSYCQGPILLPCDVDYFYLVLADVINNKENDDSIVCGSCSPLFSPSRRLGNSQMAKGYGSYKSLTPPRSFKRLNSLNYP >Solyc06g050990.3.1 pep chromosome:SL3.0:6:34166155:34172545:1 gene:Solyc06g050990.3 transcript:Solyc06g050990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLLLFLAITQFSNADEPFDVRKHLATVTRYADSKDISANSFVSSEIPDQCTPIHLNLVARHGTRAPTKKKIRELDALDAHLEVLVRDAKEHKQYSEKIPSWLAGWRSPWKGKVTGGELITEGEDELYHLGIRVRERFPDLFNEEYHPDVYSIKTTQVPRASASAVAFGMGLFNERGKLGPGRHRAFAVTSESRASDIVLRFHDCCQSYKAFRKSQEPNVNKLKEPLLNEITHELVRQYGLNFTNQDVSSLWFLCKQEASLLNITNQACSLFSPSEVSLLEWTDDLELFILKGYGDTLNYRMGVPLLEDVVQSMEQAIKAKEEGYATGIYEKARMRFAHAETLLPFSCLIGLFLEESEFERIQREESLELPPKPPKNRNWRGSNVAPFAGNNMLILYSCQSDNSSKYFVQVLHNERPIALPGCHGSSFCPFEIFKDKIAAPHLKHDYNMLCNVIEQKQKDSAST >Solyc04g078080.1.1 pep chromosome:SL3.0:4:63010863:63011647:-1 gene:Solyc04g078080.1 transcript:Solyc04g078080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPNLYCDESFEPIDSPIDLSSKEVTDATAGGEVLEDKDRFVAPIDPIDLSCKKVKDAAAEVIDDAVGKHEIVLEDEDGVFEALPILNHPAYAVHPEISQLDEDNFFEMKLRNEMEDHVKDRIISDPRMMVEHVFQLGEKLKLQKENVYIAVQLVNKSLLVGPVRTSRHLKEREVKILQTSLRLKE >Solyc04g063410.1.1 pep chromosome:SL3.0:4:55540284:55541213:-1 gene:Solyc04g063410.1 transcript:Solyc04g063410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGHPIPEDVVGYIRLRLPMESLLRFKCVRKNWALMRFTRFALLTWSVNSLGRCKSQIFQRNTGGRLHCMHGGSLTLVIIHILCVGNETRGKLVQTSYYPTSYRCSLASQHLG >Solyc04g081940.3.1.1 pep chromosome:SL3.0:4:65871274:65874285:-1 gene:Solyc04g081940.3 transcript:Solyc04g081940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANIQKFRNLSTAMSHSFKSRCLLHHYRFLHHGPDTMEELLDRHVVKKEKSLDDDENELLNRQRLTSSRREALSLYRDVIRATRFFMWANSQGNLWRDVLRENVRKEFEEARFERDPEVITRLLIGGRDALQAALDKLAEKQKQEIEKQHGNSNTP >Solyc09g098450.3.1 pep chromosome:SL3.0:9:72766524:72777995:1 gene:Solyc09g098450.3 transcript:Solyc09g098450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLLPLLPNLRNRSKGFGLGLKFPNSFRKMMPLPAPELKRLRRSAVVLGVSNALIIIMGILIVIVAHSTCGENDGTSPVMVMIMVSFIRIGAMIGTGIAQQHTASSILTSQTDLPDSQIAIRQQRRRKYRRLLLWTRIASVITIMQLLGAVFLLFTVTNLLHHDTASNNCLRGILSNGSKWQRNMLILFMVIISYVAPVQCFAGADVLRWRSFYATEDNAWRAHYREVFDHGIREALCCLGRVKYLTVLEEDEVCSVAQLLGDLVTYRASGTGHLELLAGLALLQNSSSFSKSYEESRVVPIERMRKAAFYHPFAEAAYTGLLLDIGRNPVLFSCSWLYRQGILAPWLWNRRPLLEGDNWWRGHAAAFLKHVHLSAHMLRKGRVNQGKCKAAYFIVVLHNVKSVVIAVRGTETPEDLITDGGFLASLLGVGCECEGYGVRIVGHSLGGAIAAVLGMKLRKRYPDLHVYTYGALPCVGLVVADACSEFITSIVNNDEFSARLSVASIMRLQAAALKALSEDGTIDITTILKLAQHFTSLTVCQKSMNDGESSVNSLTAMSSCTNQINHGQLENGLAKREAGSSVLHDIDTDFRCDDEIVSTESSNHFSSPFNCSTSDSSPFSDPLTEFMEAVPSSENKSSLSIPELYLPGLVIHIVPQKDGLHKPLWKLWKSWERRCRFRAYVAKREAFKDIIVSPYMFLDHLPWRCQTALENILKTGQLKTPDDASEIV >Solyc01g106120.3.1.1 pep chromosome:SL3.0:1:93985667:93988167:1 gene:Solyc01g106120.3 transcript:Solyc01g106120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPRTSYTAYLVYKLEDEYGFVYRPSEVSVGISGVEVDTRLAFLVPEGRPQRCDRFEEVETGESDDDYEVFTPPSDVDMEDASSVMQSDTHDCIEMSIKEVKDCVSHKEGLIVEGIEIRPRIG >Solyc01g105355.1.1 pep chromosome:SL3.0:1:93445480:93446019:1 gene:Solyc01g105355.1 transcript:Solyc01g105355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVGEGFCRCFEFRRRQQWRLVGGSDNGGVSLEAATIVTFRQRKRQCLCEINHGKFGEDGGANDGSDGE >Solyc08g015632.1.1 pep chromosome:SL3.0:8:5013105:5014731:1 gene:Solyc08g015632.1 transcript:Solyc08g015632.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQFVKLFGAQPDYNNLKVFGCRCFSYNKGSNPSHVLELVLQLGKKFSMKDLGPLHFFLGIEVNYFEGGIHLNQSMYGAEMLEKTEMTLAKAVATPLARKHGLHEVVGSFVYISF >Solyc12g088540.2.1 pep chromosome:SL3.0:12:64837947:64864990:-1 gene:Solyc12g088540.2 transcript:Solyc12g088540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDFKLLEPMDLITKSVDELRKIIKEKNEKAQKKKLRGKGKANADHKREPTRRTEMEKQHKEKELEHDSERSDVMVLEGHTSEVFACAWSPEGSLLASGSGDATARIWTIGDGPFNYTIPNVLVLNHLDSQATEENKDVTSLDWNREGTLLATGSYDGQARIWKRSGKLASTLNKHEGPIMSLKWNKKGNYLLSGSIDTTAIVWDVKSGESKQQFGFHSGQLLDVAWRNNDSFATSSADSMIYVCKVGENKPVKKFSGHQNEINAIDWDPLGSLLASCSDDTTVKEIYTIKWSPTGAGTSNPNQQLLLASASFDTTVKLWDVHQGRLLHSFNSHREPIYSIAFSPNGEYLASGSLDKCMNIWSVK >Solyc05g026423.1.1 pep chromosome:SL3.0:5:41275713:41283796:1 gene:Solyc05g026423.1 transcript:Solyc05g026423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPMTGWRILIALDDQKKTTITCPDGTFKFEQMALWLCNTPATFQLCIMSIFYDMVEDTIEMLDRLVGKRWYCFLDGYSGYNQLCIAPEDQQKTTFTFPYNIFAVKRMPSGLCNAPATF >Solyc10g017790.1.1.1 pep chromosome:SL3.0:10:6040455:6040799:-1 gene:Solyc10g017790.1 transcript:Solyc10g017790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHLVECEDKLLELDSASSVSLVDACEKDSTTKSTFTNFNESPYWKFRYIRDIIRSLDLVTGRFMLSETHSVILLDLFDQLENQKARTNHAEEKVKIRRTVLFNSVVECLEFS >Solyc01g091210.3.1 pep chromosome:SL3.0:1:84766999:84772551:-1 gene:Solyc01g091210.3 transcript:Solyc01g091210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFVVSFRLVRCRLLFLPSPPFTSILSSSLLPGFPASMDNTAFNKPSSSSSSSSYSAFSHSNRSGGRGRGRGLDTRDNKERSGGRGGGAGKDKIDALGRLLTRILRHMASELNLNMRNDGYVKVQDLLKLNLKTFANVPLRSHTVDDVKEAVRKDNKQRFGLLEENGELLIRANQGHTVKIVETESLLKPIVSADEVPVCVHGTYKKNLESILEHGLKRMKRLHVHFSCGLPTDGEVISGMRRDVNVLIFLDVRKALEDGMKLYISENRVILTEGIDGVVPVKYFQKMESWPDRRPMSL >Solyc01g100930.3.1 pep chromosome:SL3.0:1:90703317:90708209:1 gene:Solyc01g100930.3 transcript:Solyc01g100930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSCLPHILQASAYIILFIFFILSSFSNPVQSQCKNRPVIFNFGDSNSDTGGLVAGLGYPINLPNGRNFFRRSTGRLSDGRLILDFLCQSVNTRFLCPYLDSVGSSFLNGANFAIAGSRLLPKYEPFALNIQVLQFLHFKDRSIELVSAGSGNLVGDEGFRNALFMIDIGQNDLADSFAKNLSYTEVVKMIPSFVTEIRNAIQVIYSQGGRKFWVHNTGPLGCLPQKLTLVQKVSSDLDPHGCLANYNSAAKLFNEGLRHLLQELRSEMKDATMVYVDIYAIKYDLIANSSSYGFSNPLMACCGYGGPPYNYRKGVTCGQHDYQVCSEGSEFISWDGVHYTEAANRVIASKILSMDYSTPRIGFDFFCH >Solyc02g063430.3.1 pep chromosome:SL3.0:2:36065672:36068580:-1 gene:Solyc02g063430.3 transcript:Solyc02g063430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHEEFGGIIPGDFHGLQQELNSFGDVKVEESNSRLMGNVGEKKSKIRKMEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDAIDYMKELLEKIHALREDDNVKDEIKDIKFVGNFKELKPNEALVKKPPKFEVERRNADTRIEICCSAKPGLLLSTVSTLEALGLDVQQCVISCFSDFSLQASCSEAREHRTILSGEDVKQTLFKTAGFGGRCL >Solyc11g042960.1.1.1 pep chromosome:SL3.0:11:34164297:34164449:-1 gene:Solyc11g042960.1 transcript:Solyc11g042960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSQLVSLVKKFYNLAYRLPKLSKNKHYSSINPSKHQMSCLGYFMFHNL >Solyc12g040780.1.1 pep chromosome:SL3.0:12:56137257:56138158:1 gene:Solyc12g040780.1 transcript:Solyc12g040780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATENENCYNSGDDDIELQTILFYSAQLHSVRIWNQDLMMVLMMLGTNCNHRSCVECIQNYIGKNINEVIYEVAIRCPASDCKKILDIDLVMPIDFLIRVRDKYRGYRNEIEKDEEEEEEEKEEEEKEEEKEKEKEKEQEKEKKEEEEEEEEEEEEKKKKKKKKKLLDQKTDEYEKEVKFCFFGDK >Solyc02g043860.3.1 pep chromosome:SL3.0:2:1259313:1289629:1 gene:Solyc02g043860.3 transcript:Solyc02g043860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGRTFTADDIVEKSPNDKRLYRYIQLPNGLCALLVHDPDIYPDGLPEHSGNSEDEEDEEAEDSEEGEEESDETDDEEETEVRDKGSKGASQKKAAAAMCVTTGSFSDPYDAQGLAHFLEHMLFMGSTDFPDENEYDNYLSRHGGCSNAYTEAEHTCYHFEVKRDCLKEALRRFSQFFVSPLVKAEAMEREVLAVDSEFNQVLQNDSCRLQQLQCHTSNPGHPFNRFFWGNKKSLADAVQKGVNLREQILRLYHDNYRGGSMKLAVIGGESVDILESWVLELFSNVKKGPLVNPDGGSELPIWKVGKLYWLKAVKDVHILDLSWTLPSLRKGYLKKAEDYLAHLLGHEGKGSLLFFLKARGWVTSISAGVGDEGMHRSSFAYIFGMSIHLTDFGLEKIFEIIGFVYQYLKLLHQNSPQEWIFKELQDIANVDFRYAEEQPQDDYAAELAEGLLVYPPEHVIYGDYAYDVWDAEFIKYVLDFFRPENMRVDVVSKSFQKSDDVQREPWFGSEYVEKDIPSSLFELWKDPTEINACLHLPAKNEFVPSDFSIRAGKANCDWENARPRCILDEPLMKIWYKLDNTFKLPRANTYFRITLKGGYSNLKNALLTELFIHLLKDELNEIIYQASVAKLETSVSLYGDKLELKVYGFNDKLPVLLSKVLVVTKSFSPRDDRFMVIKEDMVRTLKNTNMKPLNHSSYLRLQVLCQSFWDVEEKLFLLNDLTLSDLNFFIPELLSQLYIEGLCHGNLLEEEALNISKIFRSNFSVQALPFEMRHKEYVMCLPTAADLVRDVRVKNKLETNSVVELYFQIEPEEGTALIKLKAVIDLFDELVEEPLFNQLRTKEQLGYVVDCSARVTYRITGFCFRVQSSDYDPVYLQGRIDNFINGVEELLVSMHFYFVKWFMSCSNALFNYPLLVLLR >Solyc12g040360.2.1 pep chromosome:SL3.0:12:54504270:54506952:-1 gene:Solyc12g040360.2 transcript:Solyc12g040360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSILMLGRSFTVPENEGRINIGRNQGRNREPRNEERNREPRNQARNRESRGEYHQKPISKTCPKNSHESSIAKKIILFWGLRCRATAPIADCQSKKKKKQKLRLKNLKFVDGDSTFSTHTSCCRKGRKASYSCMASSFDDFVVSRTPHPPRGRVDIDNQEEYEADDDMGELGCGHLYHIDCIKQ >Solyc08g075970.3.1 pep chromosome:SL3.0:8:60169461:60172990:-1 gene:Solyc08g075970.3 transcript:Solyc08g075970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFPGHVLPGTLFLVIGVWHIWSALVRYSSNPKSFRVRVWSPVPGFDGKLKYLELYVVAIGGFIDFCIELFYSTHLRLLVHGALNPIHMNNFEHAGMLLMFVIFGLIVLLSEKTSFLPLPEGALCLIAATAFSAEYFLFFFHSTTHKGLEGYYHLILVLLIGLCILSTIAGALMPTSFPIDLASGISVALQGLWFYQTAFTLYGPMMPDGCQLKGNDVMCRSEDSEVRGELLANLQLFSIIFVVLAATAGAYGFAASETSHKDIRNSHMALDG >Solyc03g111730.3.1 pep chromosome:SL3.0:3:63862535:63865864:-1 gene:Solyc03g111730.3 transcript:Solyc03g111730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFLVLFTLALVLRLGESFDFHEKELETEEKFWELYERWRSHHTVSRSLDEKHKRFNVFKANVHYVHNFNKKDKPYKLKLNKFADMTNHEFRQHYAGSKIKHHRTLLGASRANGTFMYANEDNVPPSIDWRKKGAVTPVKDQGQCGSCWAFSTVVAVEGINQIKTKKLVSLSEQELVDCDTTENQGCNGGLMDPAFDFIKKRGGITTEERYPYKAEDDKCDIQKRNTPVVSIDGHEDVPPNDEDALLKAVANQPISVAIDASGSQFQFYSEGVFTGECGTELDHGVAIVGYGTTVDGTKYWIVKNSWGAEWGEKGYIRMQRKVDAEEGLCGIAMQPSYPIKTSSNPTGSPAATPKDEL >Solyc01g080165.1.1.1 pep chromosome:SL3.0:1:79230211:79230246:1 gene:Solyc01g080165.1 transcript:Solyc01g080165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLGSHWFWEKD >Solyc12g014280.2.1 pep chromosome:SL3.0:12:5094192:5099484:1 gene:Solyc12g014280.2 transcript:Solyc12g014280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPLFRGFRRLALLSLSKPLFSSSTFSRHLSLSNLVRPILGNPEKFLNLSRLVLKERLIQQNPCWESRNFSHGRLDFVMTRDGKLKFEEHEVEAPKSEKWKTKKKLKLQRKREKKKRKAANKRDPRRLGVQGKKKKQKFDTAEERIKQKIENAKVKEAMLIERLKRYEVTKVQGPEVKPHFLTGEERFYIKKMAQKKSNYVPIGKRGVFGGVILNMHLHWKRHETVKVICKPCKPGQIQEYVDEIARLSGGIPIQIIANDTIVFYRGREYVQPEIMYPIDTLSKKRALEKSKYEQSLESVRHFIAIAEKELALYYRHVALYDEPNIQSAYSILDDSTSTSEKRNDEIGEENYSADESISLDLELPQVDDYCSDDKQSLSEFGFEDTDESSSDELDSGEEETSNYCGSIWGNY >Solyc09g042267.1.1 pep chromosome:SL3.0:9:22983278:22987463:-1 gene:Solyc09g042267.1 transcript:Solyc09g042267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALYSSCFFLGVNNASSVQPIVAIERTVFYREKAAGMYSPLPYAAAQAITQQLGILAPQSTHANIVELFYGGRVQLPLLREPPPYLKYLLGKESGQLGINFRKNIRAYNSMFAFTSMGGRVDGSINNSKGPYIFRMCGQNYHRIGSLLPEIGKRPQFAKLYKYDTENEINNRMNCLLEGDIDPEIVQGLSVMLEEHNILVKTFRMARDRYKEHPEREFRLRLLSNRTTDGRQYNLPTTSEVAGLIVGDLTEENFQRDIIVEHRKN >Solyc04g075000.1.1.1 pep chromosome:SL3.0:4:60984104:60985594:-1 gene:Solyc04g075000.1 transcript:Solyc04g075000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCNAESAIATCDSCNFKKTKTHTNKSLKIREYSYSDLHSATNAFSQDNLLGKGSHGYVYRAHLHQVKLSVVAVKRGKLTEPRNSSSNSPAENEIEILSRVHHPRLVNLLGYAVDENQNKLIVVEFMPNGSLYELLHSHSKPPNWIRRVRFASQIARGVHFLHSSNPPVIHRDIKSSNILIDGNFSARLGDFGLSLRGNVEDVVVKSTPPAGTLGYLDPAYLAPSDLSTKSDVFSYGILLLEIISGRNAIDVNYSPPSVVDWAVPLIKSGEYSEIYDPRLTSPEDDGALRQLAIVAARCVRKTAAKRPAMAEVVEWLKQVYKRMSSPIWNNIGRRVGRVRESTRVVKYEPLDESMEIVKISRMGSRRNRKVSNVATTELESAVIGQKIKPVIRSKSIGSLGEIASEPFDLANNYYNQTVRRKGGLAVKMPTVRLSKSRSMGMIQSSTRLMNKSNSNGIVVKFVKKPNGKELEESKLLVDVGKELASFENSSKN >Solyc03g114820.3.1 pep chromosome:SL3.0:3:66178345:66180939:-1 gene:Solyc03g114820.3 transcript:Solyc03g114820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRTVRVKLPGFCMNRTAVHVRSRNSPSQLYKKAIDLIKSDDNKSDFSSKNSIEMNYNTDESKTDSENGINNRVMVMVDTSVEAKSALQWALTHTVQSHDTIILLSVVKPTKQGENENSEIIQRAYELLYSMKTICQTKRPGVQVEIAVEEGKEKGPAIVEAAKQRKVSLLVLGQRKRSIMWRLRRIWLGKKSKNRVVEHCIQNAKCLTIAVRRKSSKFGGYLITTKRHKDFWLLA >Solyc04g072780.3.1 pep chromosome:SL3.0:4:59843197:59844288:1 gene:Solyc04g072780.3 transcript:Solyc04g072780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGKRTRPPMKRTTSMTEFTLDLNLNNPDPNSSLSNYNNPFDPHNPFSPANTQQQHLDQQRLLTAAKTVSVRNRRKSADFLETANFLKACFLCKRRLIPGRDIYMYRGDSAFCSLECRQQQMNLDEKKDKCSLVASRKDYMKNSVAAAVAGGKGSDVSATSETVAAV >Solyc06g008710.2.1 pep chromosome:SL3.0:6:2613420:2620301:1 gene:Solyc06g008710.2 transcript:Solyc06g008710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEEKTIELEEGMECVQKGLNKLKIIIEGEPESFTSDEYVMLYTKHLMITLSNCTISTKKQLNITIVLPSLNKKHDEFLLKELEKRWASHKLMVKWLLKFFRYLDKFFIKRAEVPALNEVGLSCFRDLVYHDVKNRVTDAVIALIDQEREGEKIDRVLLKSVINLYIDMGKGRMDYYVNDFEEAMLRDSACHYSRKASTWIVEDSCPEYMLKRSACKRRRIECLIICTLALRQNFWSNSTTNNMNGQQDYAQVIDVVYLIVYVSPLVTGKDFVLQNLLFLMFFMPGQPRLSKKKKNVLYCWEREERMCLVFREVKMQNQVLITYTNQLLEKEDSGCRALLKDEKVEDLTRMYSLFHKFPKGIELVAEIFKQHVAAEGMIVVQQAADVANNKIESSGGSHEQDFVKKAFELHDKYMVYVKGCFADNSIFHKALKEAFEVFCNKSVAGSSTAELLASYCDNTLKKGGSEQLSDEVIEDTLEKVVKLVTYISDKDVFAEFYRKKLSRRLLFDRSANEEHERLILSKLKQQCGGQFTSKMEGMVTDLSLVKDNQTHFQEYISNNTATNPGIDMTVTVLTTGFWPGYKSCDLSLPVEMAKGVESFKEFYQKKTKHRKLTWIFSLGQCNLNGKFEQKTIELILGTYQAAALLLFNASDKWSYADIKTELNLADDDLVRVLASVSCAKYKILNKEPSGSTVSSTDHFEFNSQFTDKMRRIRVPLPPVDDRKKMVEEVGKDRRYAIDACLVRIMKAKKVLTHQQLILECVEQLSKMFKPDVKAIKKRIEDLITRDYLERDLENTNTYKYIA >Solyc05g014920.1.1.1 pep chromosome:SL3.0:5:9115594:9115851:-1 gene:Solyc05g014920.1 transcript:Solyc05g014920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHFMHLQSEDSTFFYSFHVDEDGRLCNFFWRDSISKLHYECFGDVMIFDTTYRTNRYDMICAPFVGVNNHWKKYLLWMCIFMQ >Solyc11g007590.2.1 pep chromosome:SL3.0:11:1850318:1853275:1 gene:Solyc11g007590.2 transcript:Solyc11g007590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVLCARPKPWLFASLCLSHAHGSTPSGYSRLIPTNTANKSSLLLISGGGGGGGGGIGVDQRRNHSSHCRIASSVNRVGGAASIWHAILPAGRRNKKDINRRNNTVFKHHYELAKKGEGSWNVNWDSRPARWLHNPDSAWLLFGVCSCLAAPSLDLLPDANSDVAVPIDKQSAVNSSDEDDQNSANYRVTGVPADGRCLFRAIAHMACLRNGEEAPDENRQRELADELRAQVVDELLKRRKEAEWFIEGDFDAYVERIEKPYVWGGEPELLMASHVLKSAISVYMVDRSSGSLINISNYGEEYRKEGESPINVLFHGYGHYDILETIPEKIHQKLEE >Solyc01g100410.3.1 pep chromosome:SL3.0:1:90321773:90327070:1 gene:Solyc01g100410.3 transcript:Solyc01g100410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSSSSTSMIRRVVPFFTARIRQNYRLLNSSASALSQPQSQSQSQVEATDSVVMTENCVRRIKELQAGEHKEKMLRLSVEAGGCSGFQYEFSLDDKTNSDDRIFEHDGVKLVVDNVSFDFVKGATVDYVEELIRSAFQVSTNPSAVGGCSCKSSFMVKQ >Solyc01g103270.3.1 pep chromosome:SL3.0:1:91788464:91789552:1 gene:Solyc01g103270.3 transcript:Solyc01g103270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPRANCSCRINCWCSRHCLGFGVFYIVMQCLGMICGVGVLKGFQPSF >Solyc05g015610.3.1 pep chromosome:SL3.0:5:11521537:11528114:1 gene:Solyc05g015610.3 transcript:Solyc05g015610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGEVMTSSKTLLGDGEHIVKKLWDLSAKIYHCYPQYVGNRKVGNKWWRKLLIVWLLFWIVVSFSVLWYMNSKAVEKRKETLTSMCDERARMLQDQFNVSMNHVQAMSILISTFHHARNPSAIDQCTFASYTERTAFERPLTSGVAYAVRVLHSERKEFEKRHGWSIKRMDTREPTPVHKDNEYDRDGLEPSPIQAEYAPVIFAQDTIAHVISVDMLSGKEDRENVLRARESGKGVLTAPFRLLKTNRLGVIKTFAVYKTDLPSNATPNERIQATDGYLGGVLDIESLVEKLLQQLASKQTILVNVYDTTNISHPISMYGSNVSGDGLEHVSALNFGDPFRRHEMRCRFKQKPPWPWLAITTATGILIIALLIGQIFHATINRIAKVEDDYHQMMMLKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLTDTNLDVTQQDYVSTAQASGKALVSLINEVLDQAKIESGKLELDAVCFDVRDTLDEVLSLFSGKSQEKGVELAGYISDKVPDVLIGDPGRFRQIITNLVGNSIKFTEKGHIFVTVHLVEEVTESAEEFKVNSLFKSTLSGSPVADKRQSWRSFMGFNQEGSSFTSSSSDQINLMVSVEDTGVGIPLDAQSRIFTPFMQVGPSIARTHGGTGIGLSISKCLVQLMKGEIGFVSLPKIGSTFTFTAVFTNSRNNWNEKKSQQINNQSNSISSDFHGLRALIVDPRTVRARVSQYHMKRLGVHTEVVSDLNRGLSHVRTENGVTNMILIEQEVWDADLGKSSLFVKNLRKINASSSPKLFILANSINSSRAGVSVNGFPTPFIIMKPLRASMLAASLQRAMGVGNKGNCTNGELSGISLSKLLQGRKILIVDDNNVNLRVAAAALKKYGADVICTDSGKKALTFLQPPHQFDACFMDIQMPEMDGFQATKIIREMESDINSRIKLGQLPPEAYGNVSSWKVPILAMTADVIQATNELCQKCGMDGYVSKPFEAEQLYEEVSRFFQIKPTQNT >Solyc06g054240.3.1 pep chromosome:SL3.0:6:37176223:37180992:1 gene:Solyc06g054240.3 transcript:Solyc06g054240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNSVSGDKPMVMVTNDDGINAPGLRAIVRALVSTNLFHVLVFAPDSERSAASHCVSWQRVVTVKKVDVPGATAFAVSGTPADSTSLGISKKIFPSVPNLVVSGINLGCNCGYDIVYSGTVGGAREAFFHGIPSVAVSYDCYNMVGRKLDVDDFTLCAEASIPIITAMMAEIKNKTYPQNCFLNINVPREVANNKGYRLTKQGNCFYKMGWTQVTSEAQEGTTSQTKVMEIKPPENTEVCTEESALSNKEEHLVFKLDTAEPPAVDNDDTDYSSLQAGYISVTPLSALSPAEIDSVAFFKKWLSGVCEHSLIRSELSI >Solyc08g059765.1.1 pep chromosome:SL3.0:8:45343217:45346390:-1 gene:Solyc08g059765.1 transcript:Solyc08g059765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYFVDLSTLFIRFSLFSDENPRLVSILHNQLAIVHSLLLFKVPKEVVIPNQETPTDLDQQDSTNMHQQHQAIMLLQQQFVRNNHSTTSKDKTNCMPKKRKSCNWRRFCKRGTKDAH >Solyc03g025960.1.1.1 pep chromosome:SL3.0:3:3413581:3413781:1 gene:Solyc03g025960.1 transcript:Solyc03g025960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPPTSLLLLLVLILVLVLVAFRYADCRHLTAAYQSVKLRGSKKFVSVHAGAADHLVPGGPNPLHN >Solyc11g028202.1.1 pep chromosome:SL3.0:11:20727326:20727948:-1 gene:Solyc11g028202.1 transcript:Solyc11g028202.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFNKKKVIFIMRATQMGKFREIAPDSNLTAEDFWLHVVVHVEKILKAQRVPIIVGGSNFCFIWIEVEQSVLNRRFDMRVDQMVNT >Solyc12g056030.2.1 pep chromosome:SL3.0:12:62945328:62951497:1 gene:Solyc12g056030.2 transcript:Solyc12g056030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTTSRGDAAARAEHKFEKKLDFYAKVRQTVASLSTNKAIAKKKKVRSRQKKLKAYDLSTLTEFLPDLKASQQPKPAEFKLKSKTRKNLVLKEDNQLQAVINHPAFQSDPLGAIHQHLQSTQPTVDEKPKIRENRNGNRKGKKKSKASAGLQSMEI >Solyc04g082040.3.1 pep chromosome:SL3.0:4:65932405:65936871:1 gene:Solyc04g082040.3 transcript:Solyc04g082040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mechanosensitive ion channel protein [Source:UniProtKB/TrEMBL;Acc:K4BVT1] MEKLRSFKSLSPVHHLHRANSPSHPIEERQNLLKETSIPSVSTLGSQAMDGTEGKEVVVKPNIRETSKTHKETELSSSSSSSKTQLSNNASTDESTLNKIYRDCSYDFSNDAAMKRMRDNSKDFDFVTESPFSQPSPLSRVEESPNHGVLTPREVRVSFNEKLAGNGSIRRRSNLSTGPGLQDEVVLSTSSSFRRKSNLLAATRTKSRLMDPPEQDQRSQKITMKSGILGRSTEFEDDDPFSDEDLPEEYKKMKFNLFSVLQMVSLILIIAAFVCSLTIRKFKGRSIFGLALWKWELMVLVLICGRLVSGWGIRLAVFFIERNFVLRKRVLYFVYGLRNSVQNCIWLSLVLIAWQCIFDKKVESITNTKVLRYVSRIWVCLLLGTFIWLLKTLLVKVLATSFHVTAFFDRIQEALFTQYVIETLSGPPLVEIKMELEEEERVIAEVQKLQSAGATLPPDLKASIFPKRPIGTPRKSTAAATPRSPVFSRAASRKEKEEQGGITIDHLHRLNQKNISAWNMKRLINIVRKGVLSTLDEKLEQSNGDDEAAVQITSEKQAKIAAKKVFINVAKPDSKFIYLEDIMRFMREDEALKTMQLFEGGTEAKGISKRALKNWVVNAFRERRALALSLNDTKTAVNKLHHMLNVLVGVIILVVWLLILKVATTHFLVFMSSQVLLVVFMFGNTAKTTFEAIIFLFVMHPFDVGDRVEIDGVHMIVEEMNILTTVLLRFDNLKIIYPNSVLSTKPISNYYRSPDMGDAIEFCIHISTPMEKIASMKEKITRYIQNKSDHWYPDPSVVMRDVEDLNRIKWSVWISHTMNFQDMGERWARRALLIEEMVKIFRELDIEYRMLPLDVNVRNMPQISSSRVPSNWSLCA >Solyc07g008160.3.1 pep chromosome:SL3.0:7:2865975:2882018:1 gene:Solyc07g008160.3 transcript:Solyc07g008160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSEIVMRDVTNAGLVVSDRIGRDVASQIDLEDALEASRYASHPYTAQPREWPPLVEVVDSWELPSVLIERYNASSGEGTALCGVFPEIRRAWASVDNTLFLWRFDKWDGHCPEYSGDEQAICVVGLAKVKSGIFVEAIQYLLILATPVELILVGVCCSASSDGTDPYAEVSLQPLPDYTIPSDGVTMTCISSTDRGHIFLAGRDGHIYELQYSTGSGWQKRCRKLCLTAGLGSVISRWVVPNVFKFGAVDPIVEMVIDNERHILYARTEEMKILMFSLGENGAGPLKKVAEERNLINQRDSYGGRQPAGSRAPRSAKTTIVSISPLSVIESKWLHLVAVLSDGRRMYLSTSSSGGTNSTAGSFGGLNHQKPNCLKVVTTRPAPPLGAGSGLPFGAVSLASRSQSEDLSLKIESAYYSAGTLVLSDSSPPTVSSLLIVNRDSSSQSSSSSLGAGTRSSRPLRELVSSLPIEGRMLFVADVLPLPDTAAAVQSLYLQLEFGYDNSGESCERTSGKLWARGDLSTQHIFPRRRIVIFSTMGMMEVVFNRPVDVLRRLLESNSPRSLLEDFFSRFGSGESAAMCLMLAARIIYTETLVSNVAAERAAEAFEDPRLVGVPQLEGSGAFSNTRAPAGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPLWELPVFITKGGITSSEAFDNVVVVCRLPGETMQILEDKIRSLEKFLRSRRNQRRGLYGCVAGLGDLTGSILIGTGSDMGAGDRSMVRNLFGSYARNVESNEGGSSNKRQRLPYSSAELAAMEVRAMECIRQLLLRCGEAIFLLQLLTQHHVTRLIQNFEANIKQALVQLTFHQLVCSEEGDRLATRLVSALMEHYTGPDGRGTVDDISGRLREGCPSYYKESDYKFYLAVESLDRAAATLDAEERENLAREAFNYLSKVPESADLRTVCKRFEDLRFYEAVVLLPLQKAQALDPAGDAFNEQIDAGIRDLALAQREQCYEIIFSALHSLKGEASKREFGSPIRPIAQSTLDQTSRKKFICQIVQLGVQSSDRIFHLKLYQTLIDLGLEDELLEYGGPDLVPFLQNSGREPTNEVRAVSAVASPTSPLAHARVPALSNQAKYFELLARYYVLKRQHVLAAHVLVRLAERRSTDAGDAPSLEQRRQYLSNAVLQAKSAHDTDGMSGSARGALDNGLLDLLEGKLAVLQFQIKIKDELEAMSSRLESSTSTSESGSGETSPNMSNILREKAKELSMELKSITQLYNDYAVPFEIWEICLEMLYFASYSGDADSSILRETWARLIDQALMRGGIAEACAVLKRVGTHVYPGDGTVLPFDTLCLHLEKAALEQVVSGAESVGDEDIPRALLAACKGAVEPVLNTYDQLLSSGAVLPTPNLRLRLLRSVLALLREWALSVFAQGMGTSVTGASLILGGTLSLGQTAVGNQGVRDKITSAANRYMTEVRRLPLPQNQTEAVYQGFRELEESLLSPFPFERF >Solyc07g017902.1.1 pep chromosome:SL3.0:7:8432537:8438973:-1 gene:Solyc07g017902.1 transcript:Solyc07g017902.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIYLTIPTTFVFRKLNDVVYETSLKLELNKIEANHSPVFQYSKEKESDSEIGVCANVDPTIYGCWKNIYGICDKISSITFDNAANNTVVVELLKPTLCPIYGDDYHIRFSAD >Solyc03g116190.1.1.1 pep chromosome:SL3.0:3:67176064:67176432:-1 gene:Solyc03g116190.1 transcript:Solyc03g116190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMRITIILAFELLVFTMGSDFDVRKNETLEGIDEPYPSGRCGWQAGGRKCPPKLCCSRHGWCGTERGCCDPDFCQSQCSGTPMPPYIAPFLTARQQPARGMRGIRSFFLNADIVWWIYYYY >Solyc05g006400.3.1 pep chromosome:SL3.0:5:1038946:1047902:-1 gene:Solyc05g006400.3 transcript:Solyc05g006400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAGRGSRTPCSGPAMRRCRSCQAVAYCSISHQVSHRSVHKKECQRLEQQMKHGHVVSDFPFTFSEEATMQVCNKRETRCSFLIKQGVHRIGMWMFECSCGASTEPSTPLPKLLSGWKEYYEWRCIPLDSPVALLLHWKSIVKLTGSFVVLSFSCFFQSLTLYWAIKLAVQGNLIPEISNELHIHYLGPEKELHQLAVFSELHAVFPDVQIHIDLVGPAVPEERNGERIELRDYAHCIEPNCKCKCSAESFGPTSLQSGSSAITLKLHNGYYHDCYKDLMKDTPPNLIIAPNAGVAAYRSWLQTIELIHEIKVPAFFSDYCEEACNLATSCISSVTGASPTIPVSWPVLNTMHSPVDLMPTYIGSLTPNNGSISWKGACFFENEARAEFTGPGDRGIGGATIHLLTGAAHSWTCMDLYVFATPYRISWDYYFSAHDHTFKIESWEEPAEREYVKEHGISVFLMPSGMLGTLLSLVDVLPLFSNTAWGQNSNLAFLKKHMGATFEKRPHPRQATINPDDVHSGDFLALSKIRGRWGGFETLEKWVTGAFAGHTSVCLKDELGNLWVGESGHENEKGEEIIAVIPWDEWWEMTLKDESNPQVALLPLHPDVRARFNNTAAWEYARKMSGKPYGYHNMIFSWIDTVADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYGILAETERRGITFDQLLTIPEQDEWVYSDGQSTTCVAFILAMYKEAGVFGPISNSIQVTEFTIRDAYMLKIFEDNQTRLPSWCNGGDNKVSFCQILGEYQMELPEYNTLDPYANMNENCPSLPPHYERPRRC >Solyc08g014130.3.1 pep chromosome:SL3.0:8:3830314:3840086:1 gene:Solyc08g014130.3 transcript:Solyc08g014130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWYPLGSNNLEYTFFFLLYKSPHFVSIKTPLFLFSSVFDPFLHIFRMSSSSSLCSNSVFSYRNNFSIFQSKNVLLPPISSTNNFSFSIKKHYYSTFIRCSISNRRPEYVPSKISDPKYVRIFDTTLRDGEQSPGATMTTKEKLDVARQLAKLGVDIIEAGFPASSEADFESVKLIAEEIGNNTDENGFVPVICGLSRCNKSDIDKAWEAVKYAKKPRVHTFIATSEIHMKYKLKMSREQVVEKARSMVAYARSLGCEDVEFSPEDAGRSDREFLYDILGEVIKAGATTLNIPDTVGYTVPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLAGACAGARQLEVTINGIGERAGNASLEEVVMALKCRGEQVLGGLYTGINTQHIVPSSKMVEEYSGLQVQPHKAIVGANAFAHESGIHQDGMLKHKDTYEIISPDDVGLSRSNEAGIVLGKLSGRHALKSKMLELGYDIDGKELEDLFWRFKSVAEKKKKITDDDLIALMSDEVLQPNVYWKLGDVQIMCGSLGLSTATVKLINTDGQEHIACSVGTGPVDAAYKAVDLIVKVPITLLEYSMNAVTEGIDAIASTRVSICSIDRHTIMNGSTGQTIHRTFSGTGADMDVVISSVRAYIGALNKMLSYEKLVSRYSKPEDSVVV >Solyc05g052980.3.1 pep chromosome:SL3.0:5:64000972:64002806:-1 gene:Solyc05g052980.3 transcript:Solyc05g052980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMCCGVNIAETEATTPVEPSSESARRRRMEIHQFRFVPTDVAAATQEKNGRKRHKIDKVIDNNKRQKLESSVTISLAVTDERNENEVLDLSESGSQSVEIEPDLPKYGMTSVCGRRRDMEDAVSIHPLFCKENSENSSNLHFFGVYDGHGCSHVAMKCKDRMHEIVKNEVEKEETQWKEAMIQSFSLMDKEVVNYSTSVLPSSTSGSNCRCELQTPQCDAVGSTAVVAVVTPDKIIVSNCGDSRAVLCRNGVAIPLSVDHKPDRPDELNRIQEAGGRVIYWDGARVLGVLAMSRAIGDNYLKPYVISEPEVTITDRTNEDECLILASDGLWDVVSNETACGVARMCLQSRRPPSPAGSPGNDITVTGAGESSDKACSDASILLTKLALARHSSDNVSVVVVDLRKNL >Solyc01g057140.1.1.1 pep chromosome:SL3.0:1:59296385:59296822:1 gene:Solyc01g057140.1 transcript:Solyc01g057140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTRLAKDLSIGNLTNVTYRQAIVVASIVAEILVNVGEKIVLKWKDFYRRRKNSPFLPCLVYVLCKREEVLFDDTNYEVESCRTFNYIVVMKKTCRALKSQKIDNEGSNGAVVNLEEDEDADMEPTSAYSQSLLSRTCLEANIG >Solyc04g058030.2.1 pep chromosome:SL3.0:4:55097755:55098259:1 gene:Solyc04g058030.2 transcript:Solyc04g058030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKMAMMFTTLCFIILVWTTVAFCLVEGYGSSQNLVLETIHGDIYDCVDIYKQPTLLHPMPHKERIKVESSF >Solyc02g031940.2.1 pep chromosome:SL3.0:2:27677229:27679570:1 gene:Solyc02g031940.2 transcript:Solyc02g031940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHGSPPIYDSVNNVFEQGRTKVWPKGSLEETIQNSKKTWEMEIKYKTGWEVINVYTGPPVVTYKFRHWGFFEGPLKGHAPTGEMIQFYGIGIMKVDKYLRMEELELYYDPTELFVGLLKGPKISESNIEQGQDDNTTTQQCPLFNHS >Solyc07g014600.2.1 pep chromosome:SL3.0:7:4955800:4957379:1 gene:Solyc07g014600.2 transcript:Solyc07g014600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPSCLLQSLKKGHSCFKDSDEGKIRARRKKFWEKAVDIDELYGPGMRGQMQDDFNHSN >Solyc06g068270.3.1 pep chromosome:SL3.0:6:42422477:42424562:-1 gene:Solyc06g068270.3 transcript:Solyc06g068270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYAVPPVEELLSKRVQEMVLHGEEPLGPYICRSGEDVDEKQDIMDTSPIPTIDLNLLSCSATSDNKREQELEKLGSALSSWGCFQGIGHGISVSLLDKIRQVSREFFKQPMEEKNKYAKSVVDFQGYGADPIPEQGQSLDWSDRLFLEVFPEDGRQYNFWPQIPISFREVLEDYSEKMKMVTEITSKAMAKSLKLEETCFLEQFGKKAQLHARFNYYSPCQRPDLVLGLKPHADGTGYTIILQDEVGLQVLKDGKWYTVPRNPTALLVLMGDQMEIMSNGIFKSPVHRVVSNSERDRISIAMFYTPELGKEIGPEDDLVNVDRPRIYKKVTDYAETHWKFYQRGMRALHTAHI >Solyc06g072520.2.1 pep chromosome:SL3.0:6:44870728:44875219:1 gene:Solyc06g072520.2 transcript:Solyc06g072520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSNSFHVDSVFHVPIKMSGFFEEPNNNITSSSTLPNCVSQFYLQELSVNMSNNVHEISHNEPSHVTNKTNSSSLCSTQSKNVRDGDDGKGQKKRNGNVKREKKTKENKKKAPEEAPTGYVHVRARRGQATDSHSLAERVRREKISERMKILQALVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASLNPMYYDFGMDLDALMVKPDQSWSGLEGPLLENTTSNYPHLDSSTSLMFQQLHLPNSVSQGSGHVLWSVDDQRQKMIINHSELISNNNNLSVPFH >Solyc06g064970.3.1 pep chromosome:SL3.0:6:40634474:40636601:1 gene:Solyc06g064970.3 transcript:Solyc06g064970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSLCIFVLVAHFATPIVCHERHHQAALFVFGDSIFDPGNNKYINPNTNPQGSNNFPYGESFFKYPTGRASDGRLVPDFIAEYANLPFIPPYFEIGKEHFIHGVNFASAGSGCLAETNRGSVIDLKTQLKLFQNVTQLLKKKMGETESKQILSNAVYIFSPANNDFLFPFLANLTSPYSDTEYQQMVMGNLTSVLKGIYKEGGRKFVMFNVAPLGCVPSMRALNLQKGVTNGSCMEEATDKAKTFNSALPRMFKKLEKQLPGFKYTIFNFFKVFADSINNPTKYGFKISDTACCGTGPFRGILSCGGTMQVKKYELCKNVKEYLFFDSFHPTELAYKQYAKLLWNGTPDMVAPYKLKSFFKRST >Solyc04g051690.3.1 pep chromosome:SL3.0:4:50952722:50955592:1 gene:Solyc04g051690.3 transcript:Solyc04g051690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVTIFCHKMKLFKVFPILVVHIQIILLQQVITCKKCMKGIKKVDAKSKVAFRFRSELEVLDDGFKWRKYGKKMVKNNPNPRNYYKCSSGGCNVKKRVERDNKDSSYVITTYEGIHNHESPHVLHYTQFPPNNIALHNLHL >Solyc06g048480.3.1 pep chromosome:SL3.0:6:31205001:31214060:-1 gene:Solyc06g048480.3 transcript:Solyc06g048480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRITASPRPCCGRRVVAKKRPRGGMDGFVNSVKKLQRREIGSKRDRSFSMSDAQERFRNIHLQEEYDTHDPKGHCAMVLPFLKKRSKIIEIVAARDIVFALAQSGVCAAFSRESNRRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRTTRIEYLRRGKPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDKNVQEIKISPGIMLLIFTKASGHVPLKILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNSELTEVSRAEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEATAGSINISNILTGKCLAKIKATERRRSDDCSCSTNCNGHGGRSCNAKKRIQASRTRSTVAEALEDITALFYDEEHNEIYTGNSFGLIHVWSN >Solyc01g099100.3.1 pep chromosome:SL3.0:1:89292422:89313011:1 gene:Solyc01g099100.3 transcript:Solyc01g099100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAERRLKAIQSHLVPATDDVSRSLIRSNPTAGEFLLGQGFSVVLPEKLQTGKWNVYRSARSPLKLVTRFPDHPEIGTLHDNFEHAIQTFQDYKYLGSRIRVDGTVGDYKWMTYGEAGTARTAIGSGLHYYGLQPGARVGLYFINRPEWMIVDHACSAYSYTSIPLYDTLGPDAVKYIVNHADVQAIFCVPTTLNTLLTFLSEIPSVRLIVVVGGIDEHLPSLPSTTGMKLLSYTRLLSQGRSSMQPFCPPRPEDIATICYTSGTTGTPKGVVLSHANLIASVAGMTLAIKFYPSDIYISYLPLAHIYERANQITSVYSGVAVGFYQGDNLKLMDDLVTLRPTIFSSVPRLFNRIYAGITNAVQTSGVLKQRLFNAAYNSKKQAVMNGRKPSPMWDRLVFNKIKDKLGGRVRLMTSGASPLSPDVLEFLRVCFGCQVMEGYGMTETSCVISSMDETDNLIGHVGSPNTACEIKLVDVPEMSYTSEDQPHPRGEICVRGPIVFQGYYKDEVQTYIPYSLIFHFLFCHCHELLQDNLPDLVVNRKEVVDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSFNSSLVAIVCVEPDVLKEWASSEGIKYEDLGQLCNDPRARAAVLAEMDAVGREAQLRGFEFAKAVTLVVEPFTIENGLLTPTFKVKRPQAKAYFAKAISDMYNELSTSDPVSQKSL >Solyc01g100430.3.1 pep chromosome:SL3.0:1:90333187:90335989:-1 gene:Solyc01g100430.3 transcript:Solyc01g100430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:rRNA adenine N(6)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B177] MAGGKMKKDKPQRGSSSAASNPHFQGGISFHKSKGQHILKNPLLVDSIVQKSGIKSTDVILEIGPGTGNLTKKLLEAGKSVIAVELDPRMVLELQRRFQGTPLSNRLKVIQGDVLKCDLPYFDICVANIPYQISSPLTFKLLAHRPLFRAAVIMFQREFAMRLVAQPGDTLYCRLSVNTQLLARVSHLLKVGKNNFRPPPKVDSSVVRIEPRGPLTPVNFKEWDGLVRICFNRKNKTIGSIFRQKSVLNILEKNYRTLQALQFSEKAPSNDMEMALDVSTLGESFGDLSMDADDGNDDDDIEMDDGDTKRAEFKERVLAVLKEGNFEEKRSSKLAQADFMHLLSLFNKAGIHFS >Solyc09g013130.3.1 pep chromosome:SL3.0:9:5535443:5545286:1 gene:Solyc09g013130.3 transcript:Solyc09g013130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRVFLLCFLILSSNFSHLQSEETKTNKFREREATDDSSAYPNIDEDELLNTQCPQHLELRWQTEVSSSVYASPLIADINSDGKLEVIVPSFVHYLEVLEGSDGDKLPGWPAFHQSTIHSSPLLYDIDKDGVREIGLATYNGEVLFFRVSGYLMSDKLEIPRLKVKKNWYVGLNSVPVDRSHPDVHDDQLIQEHLMESVVRHNSSSHSGNHSDSTASAFHNETHSVLEEVHHDASTHSGNHSDSTASAVHNETHSVVEEVHHDAFNASISLPSEVSHDNSSNLEDQKGKNNILDDAETNMANLNNSILSSENEKIRNMVNGTNTGRRLLEDGVSKRAEEDVQAATVENEEGLEADADSSFELFRDSDELADEYNYDYDDYVDEHAWDDEEFQEPEHEKVEDYVAIDAHVLCTPVIADIDNDGVSEMIVAVSYFFDHEYYQNSEHSKELGDIEIGKYVSGGIVVFNLDTKQVKWTQQLDLSTDSGNFRPYIHSSPTVVDLDGDGNLDILVGTSYGLFYVLDHKGKVRDKFPLEMADIQGAVIAADINDDGKIELVTTDSHGNVAAWTAQGKEIWENHLKSLVPQGPAVGDVDGDGHTDIVVPTVSGNIYVLSGKDGSIIRPYPYRTHGRVMNQVLLVDLTKHGQKKKGLTIVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPSPHHPLKSWRSPNQGRNNAAYRLDREGVYVTPSSRAFRDEEGKSFWVEIEIFDRYRYPSGSQAPYNVTVSLLVPGNYQGDRTIKQNKIFNQPGKHRLMLPTVSVRTAGTVLVEMVDKNGLYFSDDFSLTFHFHYYKLLKWLLVLPMLGMLGVLVILRPQEAMPLPSFTRNTNL >Solyc02g005140.3.1 pep chromosome:SL3.0:2:6944719:6947796:1 gene:Solyc02g005140.3 transcript:Solyc02g005140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDILIAMSGDELLTSSTIFGGLGLHTFKRRTSKQVTMEESTC >Solyc07g040810.1.1 pep chromosome:SL3.0:7:50164173:50164520:-1 gene:Solyc07g040810.1 transcript:Solyc07g040810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRSRLGNVVHHPIASMAGIKRPYLQWRREVEIVASKEAENKRYAALQAQLTFLYDYGNILPPCPARSDDGADQEGDENDKGDRRVTVMRSSCICLKF >Solyc07g063250.3.1 pep chromosome:SL3.0:7:65899725:65902919:1 gene:Solyc07g063250.3 transcript:Solyc07g063250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGNRGIVADKWSGRLLWVCAIGSAIGFYMVAVERQTQNRAKMMAEALADAGSSIDASEKS >Solyc02g087530.1.1.1 pep chromosome:SL3.0:2:50574793:50576112:1 gene:Solyc02g087530.1 transcript:Solyc02g087530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVYRHVDTYLFSKSVDTGAKYLKVEKPKNSKSFCVMLDEGEEIIDEFEGATFCWRSHIETFDDNSQGSKKKTQPSQKKSYIITFNKQHREIVIGKYLKHVIEEGRAIEFKNKKQKIYCNDRMPSWWACYNSMWKHINFEHPATFDTLAMDPKKKAEIIDDLIALTNGKDYYSKVGKAWKRGYLLYGPPGTGKSTMIAAIANYLNYDIYDLELTSVKDNLELKKLLMEITSKSIIVIEDIDCSVDLTGKRKKAKKNKKKDDSDSDSDSDSDSDSDSDYEGKKKLTLSGLLNFIDGIWSACGEERIIIFTTNHVDKLDPALIRRGRMDMHIEMSYCRYEAFKVLAKNYLGIDTHPLFQEIQPLLEEVNLSPCDVAETLILKNTSGGIEICLNNLILALKDSKEKAIQEAREKEKQDSEEKPKKNKKLKKIYGRFKKLFR >Solyc10g085285.1.1 pep chromosome:SL3.0:10:64624004:64628121:-1 gene:Solyc10g085285.1 transcript:Solyc10g085285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSLENEGYFQAAALVLNAPGMEVDLLSCQAKGPQKYGLSSKITSRLYDTLACYVCLSTLKARDRARSNNPQERRNNIELSKSCIVFHIVATDDPISLIALQKSSIGSGTVLLMIGNDIEAEDVYLFSYTFKRVQIRSAESAQDNAGKLQLSRYIIDKEHDVVINDTSKLITFLFHHTNKKSLVNEGYYQAVALVLNAPGMGVAPYEDCRGGSSKVAVVYVN >Solyc07g005780.2.1 pep chromosome:SL3.0:7:633463:638485:-1 gene:Solyc07g005780.2 transcript:Solyc07g005780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIGQVKTANFEEQEVEAELFGENASRHHVDTKTTGDSRFEGCERVRYTRDQLLQLRNNILKLKLEVEADTMILQYGANEQIILESVYIICQILDHYSTILFRVSDFVVNISDHILKIKQEIEVELFGDQTQSRRSEPDINDQLSKLEFSAPVDDRSLDPLRENSEFGDRFYSRKQEVDEFDVQEQLSSQGGAASTLIKAELPCSTARRSKNCVLKTVKGMLNNPTLKKLVLLKRQLIDSGITSTDTLKGVVFLVFDNAVLEPTFCPLYAQLCCDLNEMLPSLLCNEPGGESTTFKCVLWNNCQEAIEGTYKLREEMRQMIAPEHDSERMDKEKFIRSRTLGSIRLIGELFKLNMVPKWIIHSIVLELLVQNPKCCPPEENVEAICLLFNNIGKVVDERPDSRNINNLYFGSLKRLSTNPQLAPRLRFMVCDLLDLRANNWIPKPLLIL >Solyc10g049992.1.1 pep chromosome:SL3.0:10:47341997:47342479:1 gene:Solyc10g049992.1 transcript:Solyc10g049992.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVDAADSFIQPAIDTNNECINDGIYSDPLLSPETYIDVELDAREETTTPKKGDVSDEGKLSDVPQNRPVWLPHNCKFETQVRTIESTVARVDKFYIEPISKSQFRSKIEVEEFLETSCKRKRKIIYHNHDNAAAFEEKNVD >Solyc11g071500.2.1 pep chromosome:SL3.0:11:55244837:55252975:1 gene:Solyc11g071500.2 transcript:Solyc11g071500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRKISLRNQNQTQNVQFIVGETKWKMKLSSKRAKNSPISYRKISAMKLYSMSDSRECAYGMVASSSASLSNKMSLNRTCNSSFWTKEEDKAFENALALFSGDSDKFLKIAAAVPGKSLQEIIDHYNVLVEDVNDIESGKVPLPKYERMRSSSSRRRRSSGAGVERRKGVPWTEEEHRLTANLFIWTKKLTEAVLFFVKSGVQLDISFVTSFLQGLAKHGKGDWRGISRNFVFSRTPTQVASHAQKYYSRLNGNNTNRRNSIHDVSSVGAANITEPSQQKSDELTGPCGGQSQLPIADYVTEAFEAGMLSLPGPVTNCTTDAFEGPSAVNPEKFPLGAALGSDLNSSFPRVDEFLQSVEDLIIVPAEGTSGVCCEVDSRTSPSLSMQPSVTGGTGMYTHPVSFPDVHEFLQGVENLITVPGEGASGANRGIDSRISPSLSLQPSVAGGTRMYPHPVNVPAEGTSGVSHGVDTRTSPSISLQPSVVGGTRMYPHPVNVPAEGISGASHGIGARTSPSLSLQPSVVGGTRMYPHPVNVPAECISGASHGVDTRTSPLLNLQPSVVGGSRMYTHPIIVPAEGTSGARRGVDTRTSPSLGLQPSVGGGTGMYTHAVNNVRYDLEELMTKQLVGASQVGPTINTASLPSPIADHIGVRGCTTSSSAARNDFASTMEAPGEGFSVDSMQMPSIPDHIGGGTYPCWEPSSKEDSIFDLEDLYTDHMFGFHK >Solyc10g007570.3.1 pep chromosome:SL3.0:10:1863381:1866246:1 gene:Solyc10g007570.3 transcript:Solyc10g007570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLSRQVVNEQLSSIIKNYKSPFPCNNYIPQIDISKPESKNQLVKACEEFGFFKVVNHGPLDPFGYGNKKIGPNGDVGWVEYILLSTNSDFNYQKFASILGLNPKDIRDAVNDYVLAMKKMACEILEMLAEGLKIHPKNVFSKLLMDEKSDSLFRLNHYPPCPDEFQEYNGRNLIGFGEHTDPQIISLLRSNNTCGLQISLVDGHWISVPPDQNSFFINVGDSLQVMTNGRFKSVKHRVLANSVKSRLSMIYFGGPPLSEKIAPLASLLIKGDEDSLYKEFTWFEYKNSAYKSRLADNRLVLFEKLK >Solyc10g036620.2.1.1 pep chromosome:SL3.0:10:16512374:16512493:1 gene:Solyc10g036620.2 transcript:Solyc10g036620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RIDVPFVSSQQRGDSPAHFDFLPRVGESMFYLAQQGFNV >Solyc03g113070.3.1 pep chromosome:SL3.0:3:64850815:64856045:1 gene:Solyc03g113070.3 transcript:Solyc03g113070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQRGFPLLKQQYKALIKKNFLVAWRNKMATFLQLCASLFFIFLLFIIQRAIEARFSSSTSYKDVRDPEPLLSPPIPPCEDKNFIAFPCYDFIWSGSQSPKISQIVNGIMANNPGRSIPSSKVLSFRTRDEVDDWLFKNPMRCPGALHFVERNASVISYGIQTNSTPVANRGVFEDPTFTFQIPLQLAAEREIARSLIGDPNFSWVVSLKEFAHPAFEVFSALRTIGPTFFLAVAMFGFVFQINALIIEKELKLRQAMTMMGLYDTAYWLSWFTWEGFITLLSSLLTVLFGMMFQFDFFLNNNFAVVFLLFFLFQLNMVGFAYMVSAFISKSSSTTTVGFFIFIVGFMTQLVTAFGFPYSENYSKSYRIIWSLFPPNLLAQGLQLLAGATATPEDPGVSWSGRTKCAFNDTECVITMNEIYIWLVVTFFLWFVLAIYLDNIIPNISGVRKSAFYFLTPGYWTGKSGNKVKEGSVCSCTGSVPALDSIIPDDEDVLEEENIVKQQAMQGEVNSNVAVQLHGLVKIFPGTTKMGCCKCERKSPFHAIKGLWVNLAKDQLFCLLGPNGAGKNYYYLIV >Solyc01g094790.3.1 pep chromosome:SL3.0:1:86071125:86074417:1 gene:Solyc01g094790.3 transcript:Solyc01g094790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine synthase [Source:UniProtKB/TrEMBL;Acc:K4AZL9] MASLSRFLKKRSLDYNNVDLMRAASNRLFSTQLPHTNIKSEVSQLIGKTPMVYLKKVTEGCGAYIAVKQEMFQPTSSIKDRPALAMINDAEKKGLISPEKTTLIEPTSGNMGISMAFMAAMKGYKMVLTMPSYTSMERRVTMRAFGADLILTDPTKGMGGTVKKAYDLLESTPNAFMLQQFSNPANTQVHFDTTGPEIWEESLGNVDIFVMGIGSGGTVTGVGQYLKSKNPNVKIYGLEPTESNILNGGKPGPHHITGNGVGFKPDILDMDLMEEVLMVSSEDAVNMARELAVKEGLMVGISSGANTVAALRLAQKPENKGKLIVTVHASFGERYLSSVLYQDLRKEAENMQPISVD >Solyc06g074680.3.1 pep chromosome:SL3.0:6:46356825:46359913:1 gene:Solyc06g074680.3 transcript:Solyc06g074680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTTALQVNLSAISNYLDCKVRIFSSILQYCKLCFLEPLKRSSVVTHESFDKKQEKAVSVYEKPKHKKSSRDDNEWRCIDNCFWIIGFLCITWWLLLFLCNFLPAILPGFKLAELPGSRLKNEGLNAHHPVVLVPGIVTGGLELWEGKPCSEGLFRKRLWGGSFSEIFKRPLCWLEHLSLDNETGLDPPGIRVRAVTGLVAADYFAPGYFVWANLIENLAKIGYEQKNMYMASYDWRLSFQNTEIRDQSLSRLKRKIELLYVTNGYKKVVAVPHSMGVNYFLHFLKWVEAPTPIGGGGGPGWCAKHIKAIMNIGPAFLGVPKAVANILSAEGKDVAFIRAMAPGLFDLETFGFQTFQHVMRVFRTWDSIISLLPKGGETVWGDLNRSPEEENVCHSAKTQYLHYSSKENNGNNTNPQRSIQVKELAKYGRLVSFGKVASEVPSSQLSFIDPKKILNGSTRTSSTSCEDFLTEYNGLSRESIKRVTENKAYTARTLTDLLRFVAPKTMQRAEVHFSHGLADNLEDPKYSHYKYWSNPLETILPDAPDMEIFCSYGVGIPTERSYVYKISPSDRCKSIPIQIDISADGSDNGCLSGGVYFVDGDESVPVVSAGFMCAKGWRGKTRFNPSGITTYIREHQHKPPASLLEGRGTESGAHVDILGNFALIEDVLRVAAGASGTELGGDRVYSGITEMSEQINIQL >Solyc10g050512.1.1 pep chromosome:SL3.0:10:49844049:49849834:-1 gene:Solyc10g050512.1 transcript:Solyc10g050512.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELKFFLGIKFSRSDKGILMSQRKYALELISESGLGGAKPACTLLEMNQKLTSVQYDKHIKMEFLKPTVILREACVETRRSVTRYAIKFGEGLVSWKSKKQETVSRSSAKAVFRSMAACAAEITWLIGL >Solyc08g081310.3.1 pep chromosome:SL3.0:8:64495827:64499711:-1 gene:Solyc08g081310.3 transcript:Solyc08g081310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETQLCPTRVLSPFREESGDEELSVLPRHTKVIVTGNNRTKSVLLGLQGVVKKAVGLGGWHWLVLKNGVEVKLQRNALSVLEPPTGDEEEDDYDFDDSSSGSELGEKENHHFATGVVYRKVSKPRVRYTRPWALSGSQKTMNRGNCRDVETNYLQPRLNLAKLGTGSLWRYWRNFNLAHISPNPTKEQLLSAVQQHFSSQRVDEVQVVMEFIRAAKKLRSTDTH >Solyc06g060460.3.1 pep chromosome:SL3.0:6:38596945:38601795:-1 gene:Solyc06g060460.3 transcript:Solyc06g060460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNYSGGSGSPKSFQAYLRGDFDLESGTVKRSRKAKKSNFYPVKMLKSLGKRIHSYYKLHPARLFMISLLIGVIILIVLSVSERRFRMMGNYAKFDVGVDAYPFSKFRNLVMVAGHSVYTSSSCEKVDKEDSWFLESYQKHPGQAATFVDHIRRGVEIAADDDDALLLFSGGETRKDAGPRSEAQSYWTVAETKGWFGKQESVRGRALTEEHARDSFENLLFSVCRFRELTGTYPHNITVVGYDFKEKRFKHLHRSAIGFPETSFFYSGTSSSQTSRDAALKGEALVRTQFKEDPYGCKGSLRRKKLGRDPFHRSIPYPNGCPEIEGLFRYCGTAPYPGSLPWA >Solyc01g080440.1.1 pep chromosome:SL3.0:1:79539179:79540068:-1 gene:Solyc01g080440.1 transcript:Solyc01g080440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQRPTLHPPSPRNPYLSSPLVLEASYPEEPPLVPEDPPLNLAPVLEALYPEDPLSLRCRAPRTPYPTPRPREPSYPTLPSIPKAPCSEDLPTLPPLPVSPHPRGVVPQVPPYCIPLSLRTSPTLPPIPEASCPDDPPTLLYPLSPKHRAPRTPYPTPLSSRRRAPRTLTLPPVPRCHASRTRPTLLPVPEVKCPEDAPLPYPFIPEDPSTLTLLPEALSSDDCPTLPYPLYLRRLAPRTPFPTPLRPQGTVPRGLPCPALPSRP >Solyc03g112755.1.1.1 pep chromosome:SL3.0:3:64575762:64577126:1 gene:Solyc03g112755.1 transcript:Solyc03g112755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNQYGATYSLEKYVIALSINGIYVRVELGGQLGYYVDVLACSTKHLTETLRLFQQLIKPAIQSLCHGVTLTESIIRPECVRSLIPPRYRRNQILPLQLLKQALLSVSADNMYDYQHTWDLVADSGRTIIGAGFDYARDLLSDDDFREVLQHRYHDLHNLAGELQIPLDNSQDGQNHASINSEETEGKIEPTFAGIAKGVEEVLQRLTIIHQELRDIKQEIQGLRYYEYRLLMELNRKVNYLVNYNVQVEERKVPNMFYFVRTENYSRRLITTMISGINALRLHMLCEYRGEMHVVEDQIGCEVMQVDNRAVKCLAPYMTKFMKLVTFALKIGAHLAAGMGEMIPDLSREVAHLLKSPTAYSAAGVAAAGVVGVAAAGRVERNRGSRDIQQDLKAAQQWVVDFLRDQRCSGGRDIAEKFGLWRVRYRDSGQIAWVCRRHIHIRASEIMEVPL >Solyc12g100140.2.1 pep chromosome:SL3.0:12:67958978:67965020:1 gene:Solyc12g100140.2 transcript:Solyc12g100140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLTEYGALRGIGSYGAGSGTNAAGEVSFSNPSRFSSQTALPSGQPTSSGLLAPISEFGAKSIEESRRGHESFGKGHKSDESYMAGFALPSWDDSQILTDDFLQVPEDDESGSFSNVNASDNQSSEGRARPPTLLSHLSLPQTSAELSAMEKLLQDSVPCKVRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDFAADYIKELETQVKALSETRSKCTCLHE >Solyc06g073670.3.1 pep chromosome:SL3.0:6:45592969:45599808:1 gene:Solyc06g073670.3 transcript:Solyc06g073670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEEGNMNQQGGITDDGDDEPSESGEANVNGRSNAPDGDNIVEPQMGMVFLSADQAKNFYDEYARRLGFNTRVFQFNRLKTDFLCDKVGLRRVSGESCDAMLRVELKGQNKWVVTKYVKDHSHSLVYPSKVHHQRSHKHFAVTKKKVPENNQGVGVVPSGVMYVSVDRNRIPVEMNHGAKRTRPEESDQTVKNSTVQGFSPRYCNQRRTLGRDAQNLLDYFKKMQAENPGFYYAIQLDEDNRMSNVFWADARSRNAYSHFGDAVILDTMYRVNQCKVPFAPLTGVNHHGQAILFGCALLLDESEATFVWLFKTFLAAMNDRAPVSLITDQDTVIQSAVSQVFPETRHCINKWHVLRGGQDRMSHVCHMFPNFQVELYNCINLTETVEEFESYWEMILDKYDLKKNDWLQSIYNTRRQWAPVYFRDTFFAAVSPNQEYECSFFDGYVSQQITLPLFFRQYERALENSFEKETEADFDTICTTPPLKTPSPMEKQAATLYTKKIFLKFQEELVETFVYTANRIDGDGVISTFRVAKFEDDQKAYLVSLNISELKANCSCQMFECSGILCRHILTVFTVTNILTLPSHYILKRWTINAKCGAESDEHVQLHDTESMARRYNSLCREAIRCAEEGAVSQETYNAALGALKEGGKKVALAKRNVSKVSPPRSQASCVGYDDRRTSTSASEMTPLLWPRQDEMTKRFNLNDTGSPAQAVADLNPQRMAPVSLHRDDGHADNMVILPCLKSMTWVMENKTSAPANRVAVINLKLQDYTRTPSRESEVKFQLSQVTLEPMMKSMAYISEQLSAPANRVAVINLKLQDTETTSGESEVKFQVSRDTLGAMLRSMAYIREQLSNTVSSLGLFTSRLRVKQYLLSRNWRFQQKSNGNRSVMPFYH >Solyc02g084030.3.1 pep chromosome:SL3.0:2:47801913:47806981:1 gene:Solyc02g084030.3 transcript:Solyc02g084030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase A5 [Source:UniProtKB/TrEMBL;Acc:G3K2M5] MKVAKSNYFFSHFCFHFLFTIILLALNPVLSIRFPDRIQEVPQDASNQPLQTAVFALGSFWRSEASFGCLNGIVRTTVGYSGGSKANPEYRNLGDHAESVQVEYDPRVLGFRQLLEVFWSSHDSRQVFGQGPDVGNQYRSIIFTSGTEESRLAAVSKEREQSKSKSSIVTTQIQQLEAFYPAEPEHQKFELKRNPFLLQLMGNLPEEELERSTLATKLNGYAAELCPSRIQKRIDGKINDIIKKGWPILKEV >Solyc12g014030.1.1.1 pep chromosome:SL3.0:12:4854876:4855229:1 gene:Solyc12g014030.1 transcript:Solyc12g014030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAGETPVAGKEHDDLGGWRRRCCCLLLSMLRLLFGSSGISFFFFFVVTVLACFTSVLLYTHVSLETSMDSLGSHIIRSKQSGRIDSFCCFLDSFSFSLFENTASDFASSSCLCSC >Solyc09g005070.1.1.1 pep chromosome:SL3.0:9:44906:45352:1 gene:Solyc09g005070.1 transcript:Solyc09g005070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKEKKERVYSSAPKKVMKLSTDPQSIAARERRHRISDRFKILQSLVPGGSKMDTVTMLEEAIHYVKFLKTQIWLHQTMVNLVDINHEMVGYYPLVDDDQNIHKNNISSMDYQQMQQVQSYDNDAFQQVEFPFEETNISGDVFMYYN >Solyc06g083460.3.1 pep chromosome:SL3.0:6:48900333:48902733:-1 gene:Solyc06g083460.3 transcript:Solyc06g083460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFEVKFDIYHLRYAFIVQDDLETFGDIGSLEDNVESFLSNDGGDGNIYGAMKQTITEHKPESSKGGFSFGEVGCIRTRNKVTCCHFSSDGKLLASAGHDKKAVLWNMDTLQTETTPEEHQFLITDVRFRPNSTQLATASFDKSVRLWDAANPGYCLNSYTGHSSHVMSLDFHPKKNDLFCFCDSNDEIRYWTISPFSCTRVSKQGGSAQVRFQPITGQLLAAASDKVVSIFDVENDRQLQSFQGHTGVVNYLCWDLNGDLLASVSEESVKVWSLNTGDCIHELSSNGNQFHSCVFHPSYSALLVIGGMRSLELWNMVENKSMTIPAHENIVAALAQSPVSGMVASASHDSSVKLWK >Solyc07g052703.1.1 pep chromosome:SL3.0:7:61292078:61293734:1 gene:Solyc07g052703.1 transcript:Solyc07g052703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SNVIRRHNSTIFEVFIKCDIEFCLVERFGSYNSPTIKFNFKPKRKKNIKKEKLYVVLRILIKLKIPKPFEITTPKIISLSCCLAHELAELQKD >Solyc01g079650.3.1 pep chromosome:SL3.0:1:78633308:78645392:1 gene:Solyc01g079650.3 transcript:Solyc01g079650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CTP synthase [Source:UniProtKB/TrEMBL;Acc:K4AXL0] MKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTRDNNITTGKIYQSVLEKERKGDYLGKTVQVVPHITDAIKDWIESVSLTPVDGKEGPADVCVIELGGTVGDIESMPFIEALRQLYFTVGQDNFCLIHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHFLACRSAQPLLENTKQKLSQFCHVPVANILNIHDVPNIWHIPLLLQNQNAHDAILKQMDLMSVAKPVDLREWTKRAETFDNLTTSVRIAMVGKYVGLTDSYLSVVKALLHACVACSLKPSIDWIAASDLEDDSAQSTPEAYATAWKTLKGAACVLVPGGFGDRGIKGMILAAKYARENNVPYLGICLGMQISVIEFARSVLGLEKANSEEFDSQTPDLVVIFMPEGSKTHMGSTMRLGSRKTLFRTPDCIMSKLYNNSKHVDERHRHRYEVNPEVVGILEEAGLTFVGRDETGKRMEILELPGHPFYVGVQFHPEYKSRPGRPSAPFLGLIMAATGQLEAYVRSQQNGSI >Solyc10g086560.2.1 pep chromosome:SL3.0:10:65473145:65475794:-1 gene:Solyc10g086560.2 transcript:Solyc10g086560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLPTSLAYFNKKRKFQAEQLGMPLPKHVCSYQSSAECTSSHTGTAAKESSACMIIVENAARGQDDDIELESENGSNSFCEDADSVTSHEAKLDPGCLKACSSDHASTSSVNLWGNLYSLESRSVTKLMPDRPEQSPTGRVWGTLHHGSGCDPSMDYEEHLLGLGNHEDCTCAECRTEGIELATEKEVENLLNANVNPNNYVLSSGRWTVNQDSQPSSTKLTIDKEFEQYFSMLML >Solyc07g017370.2.1 pep chromosome:SL3.0:5:39745247:39746747:1 gene:Solyc07g017370.2 transcript:Solyc07g017370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGRARGRARGRGTGGIPIPPNIEAEVRVEADDLHVHQFGTTDIMNLLHMSFDPYSRSTRDVKGIGHMIYESTIDVGDYIPDIAINSYYGTFIYSGGESHDDHRGCCSDS >Solyc10g047320.2.1 pep chromosome:SL3.0:10:40502770:40507182:-1 gene:Solyc10g047320.2 transcript:Solyc10g047320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVAVEFLVENLMQLLRDNVELISGVKEAAESLLQDLNDFNAFLKQAAKCHINENEVLRELVKKIRTVVNSAEDAIDKFVIEAKLHKDKGVTRVLDLPHYKRVKEVAGEIKAIRNKVREIRQTDAIGLQALQDDDLSARGSEERKPPVVEEDDVVGFDEEADIVINRLLGESNHLEVVPVVGMPGLGKTTLANKIYKHPKIGYEFFTRIWVYVSQSYRRRELFLNIISKFTRNTKQYHGMCEEDLADEIQEFLGKGGKYLVVLDDVWSDEAWERIKIAFPNNNKPNRVLLTTRDSKVAKQCNPIPHDLKFLTEDESWILLEKKVFHKDKCPPELVLSGKSIAKKCKGLPLAIVVIAGALIGKGKTPREWKQVDDSVSEHLINRDHPENCNKLVQMSYDRLPYDLKACFLYCSAFPGGFQIPAWKLIRLWIAEGFIQYKGHLSLECKGEDNLNDLINRNLVMVMERTSDGQIKTCRLHDMLHEFCRQEAMKEENLFQEIKLGSEQYFPGKRELSTYRRLCIHSSVLDFFSTKPSAEHVRSFLSFSSKKIEMPSADIPTIPKGFPLLRVLDVESINFSRFSREFYQLYHLRYVAFSSDSIKILPKLMGELWNIQTIIINTQQRTLDIQANIWNMERLRHLHTNSSAKLPVPVAPKNSKVTLVNQSLQTLSTIAPESCTEEVFARTPNLKKLGIRGKISVLLDNKSAASLKNVKRLEYLENLKLINDSSIQTSKLRLPPAYIFPTKLRKLTLLDTWLEWKDMSILGQLEHLEVLKMKENGFSGESWESTGGFCSLLVLWIERTNLVSWKASADDFPRLKHLVLICCDNLKEVPIALADIRSFQVMMLQNSTKTAAISARQIQAKKDNQTQQGTKNIAFKLSIFPPDL >Solyc03g093640.3.1 pep chromosome:SL3.0:3:56606632:56611321:-1 gene:Solyc03g093640.3 transcript:Solyc03g093640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATALMLDDFVDVTKDEKQVMHLWNSFVRKQRVLADGHIPWACEAFSKLHGQSSKTKTVILLEAEYPFDNLLPSSNGFGRDAAFVAGAASRNFQHQFV >Solyc07g017520.3.1 pep chromosome:SL3.0:7:7454601:7462190:-1 gene:Solyc07g017520.3 transcript:Solyc07g017520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTHVLSVLRSTSSQVQAAIRSSGGNKTSFAEGIEPSIIYVRFKAAANELKPILEEIESRTPRKEYIQLLEECHKLYCEQRLSLIRGIVQQRISEFSRKEALSSLTRSGCAYLMQVCQLEHQLFNHFFPSSSEDISSLTPLVDPLCTFLYDTSRPKLIHETNLDVLCELVNILKVEVLGEQLSRRRKSLAGLPPTLDRILANVHERLTFRARTYIRDETRIWDYPKKLEQSVSAELDSPSKFAFSCEGCSLIYGGSVNQYLAQGCELTILDL >Solyc01g087740.1.1.1 pep chromosome:SL3.0:1:82542508:82544817:1 gene:Solyc01g087740.1 transcript:Solyc01g087740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPSFLPLLLLSWFLFVHFFCLVVIAERSTYIVHLDKSLMPKIFATHHHWHSSTVESIKIVNPALLNSHHPVPKLLYSYDNVFHGFSAVLSKDELEVLKKFTGFISAYKDRSVEAHTTHTPEFLKLNPSSGLWPASGFGQDVVIGVLDSGVWPESASFRDEGMPEIPKKWKGICRPGMEFNTSLCNKKLIGANYFNKGLLASNPDVNISMNSARDTYGHGTHVASIAAGNFVKGASYFGYAPGTAKGVAPRARLAVYKFSFDEGNVASDLIAAMDQAVADGVDMICISYGWRFIPLYEDTIAIAAFGAMAKGVLVSASAGNRGPGMGSLNNGVPWIFTVASGHTDRWFSGTLTLGNGLKIKGWSLFPVRAIIDDWPVIYNKTVSACDSEELLAQVPDAGRSIIICRKSADAEEVSSQMKSVAEARLGAAIFISNDPAVLRSIEFPTPGVVITPKEGKQVLSYVSTTTEPKASISFLETHLDSKPAPVVSASSARGPSRSYLRVSKPDILAPGVLILAAWPSNTMVATIGTNIELATDYLLESGTSMAAPHIAGIAALVKGAHPEWSPSAIRSAMMTTANPWDNAQKPIRKSEDNKPATSLDMGAGHVDPNRALDPGLIYDTTPQDYVNLLCSLNFTEEQFKTIARSSTNHNCSNPSSDINYPSFIAFFSPEGNFTFLEQKFMRTVTNVGSAAAKYRVKVKAPRNSKVSVSPQTLVFKKKNQKLNYSLTIRYKDDAEQEVQSGSITWVEENGNHTVRSPIVISPEIETW >Solyc10g076200.2.1 pep chromosome:SL3.0:10:59146450:59147172:1 gene:Solyc10g076200.2 transcript:Solyc10g076200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIACFVVLCMLMAVPYAWGAFSCGQVQAGVVKCLPYLQNRGPVGQCCDVVKGLITSAKTTQDRRTACSCLKSAASIIKGIDMSKAAGLPAICGVKSPFKISLSTDCTKGPVIKLVLDVLSWWQRIKCLWIEFNYGYVFFFFFGSNIVEL >Solyc10g055470.2.1 pep chromosome:SL3.0:10:56766010:56770485:-1 gene:Solyc10g055470.2 transcript:Solyc10g055470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4D1D7] MTISNRLLLLFLFSLMVTCTFAEKPKGVSYDGRSMIVNGERELLFSGSIHYPRMPPEMWPDVIRKAKEGGLNLIQTYVFWNIHEPVQGQFNFEGNYDVVKFIKEIARQGLYVTLRIGPYIEAEWNQGGFPYWLREVPNITFRSYNEPFIYHMKKYSEMVIDLMKKEQLFAPQGGPIIMAQIENEYNNVQLAYRENGLKYIQWAANMASSLYNGVPWIMCKQRDAPPHIINTCNGRHCGDTFTGPNGPNKPSLWTENWTAQYRTFGDPPSQRAAEDIAFAVARFFAKNGTLTNYYMYYGGTNYGRTGSSFVTTRYYDEAPLDEFGLYREPKWSHLRDLHRALRLSRRALLWGTPTVQKISADLEITVYEKQGTDCTAFLTNNHTTNPATIKFRGKDYYLPEKSVSILPDCKTVVYNTQTIVSQHNSRNFVASEKAKGLKWEKYQEKVPTSSDLPLKNREPLELYSLTKDTSDYAWYSTSVTFERHDLPMRPDILPVLQIASMGHALVAFVNGEYVGFGHGNNIEKGFVFQKPVVLKPGTNTISILAETVGFPNSGAYMEKRFAGPRAITIQGLMAGTLDITQNNWGHEVGVFGEKEKLFTEEGAKKVKWTPVSGPTNGATTWYKTTFDAPEGNNPLAVKMDKMQKGMMWVNGNSLGRYWSSFLSPLGQPTQFEYHIPRAFVKPTGNVLVVFEETGGNPADIEIQTVNRDTICSIITEYHPPNVKSWERSGTNFVAIVEDLKTGAHLTCPDNKIIEKVEFASYGNPDGACGNLAVGTCNSAKSMAVAQEKCLGKNSCTIPIEREIYDEPSKDPCPNIFKTLAVQMKCANKK >Solyc07g039660.1.1.1 pep chromosome:SL3.0:7:49277325:49277633:1 gene:Solyc07g039660.1 transcript:Solyc07g039660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRSGFCHLYPCGWIAGFHDYYEKDNDSQFLKKLRPEFEHLHASILNKEKLPALEVVVYLSSSKETRLSSQASMENSLTMDTALVAYRSSSSGNSNKLGQ >Solyc07g005010.3.1 pep chromosome:SL3.0:7:41762:45808:1 gene:Solyc07g005010.3 transcript:Solyc07g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKLFQPLYITTTFLIFLSLIVVFPVLAVDPFTQGLLNLKTEVLDHSNSLKDWILPSASTDKIYACSWSGVKCNENSSLIIGLDLSVKNLGGILSENQFSVFSDLVELNLSHNSFSEKLPVGIFKLSNLRSLDISRNNFSGHFPSGISNLHSLVILDAFSNSFSGPLPKDASQIESLKYGSFKNLDFIHLAGNSLSGKIPPELGMLKTVIHMEIGYNLYEGSIPWELGNMSKIQYLDIASANLSGSIPKELTNLTNLESLFLFRNQLSGKIPWEFGNIISLSSLDLSDNYLSGPIPESFSELKNLKLLSVMYNDLSGTVPEGIAKLPQLDTLLLWDNWFKGSLPKDLGKYSKLKYVDVSTNYFVGSIPPSICSGGMLQRLILFSNNFTGGLSPSLSNCSSLVRIRIEDNLFSGDISLNFGKFPDLSYVDMSRNRFTGGIPTDVSLASKLEYFNVSNNPNLGGVISEKTLSLYALQNFSATNCSISGDFPLFGPCKSLLVLELSMNNVSGILPQSISNCQNLLSLDLANNNLSGEIPVGLASLPDISVVDLSHNSFSGSIPAKFGSSSSLQLLNVSFNDLSGLIPFDKSFKVMDSSAFWGNPKLCGTQLRPCRGPNGLELGSRKTQKLAWVFITCGIIVLAITAAFFGVLYFRRRGQGQWKMVSFSGFPRFTANDVLRSFNSIEETTDMVPPFAGSDCKAVLPTGITVLVKKIEWRTERMKAMLDLISRMGNARHKNLTRLLGCCYNKRMAYLLCDYLPNGNLAERIRTKRDWVTKHKIIVAIAKGLYFLHHECYPAIPHGDLKTNNIMFDENMEPHLTEFGVRFLIQLNNGPSVARVGNESGEIEQAIKEELYRDIYNFGELILEILTNGKLSNAATSIKNTSKDVLLREVLDENDVAPSSSVQEEIELVLEVASLCTRVRPSDRPSIEDALKLVTCLKKQG >Solyc11g071620.2.1 pep chromosome:SL3.0:11:55304303:55343789:1 gene:Solyc11g071620.2 transcript:Solyc11g071620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAGEAVYVDDIPSPPNCLHGAFIYSTKSLAGVKGIQLESNRSTDGVTTIITSKDIPSGGANVGVITTSGPEPLFADDIAQCAGDRIALVVADNQRSADVAARTALVEYDTENIYSPILTVEEAVEKSSFFQVPPFFNPKQVGDFSKGMSEADHKILSAEIRLGSEYYFYMETQTVLAIPDEDNCMVVYTSSQCPENIHHVIASCLGVPQHNIRVITRRVGGGFGGKGVRSMPVSTACALAAYKLRRPVRICVNRNTDMIMTGGRHPMKVTYSVGYKSSGKITALHLDILINAGITEDISPIVPSNVIKALKKYDWGALSFNVKLCKTNLSSKSAMRAPGEVQGSYIAEAIMEHVAGLLSMEVDSVRNKNFHTFESLNLFYDNIVAAGEYTLPSIMDKLAVSSSFFQRSKMIEQFNQNNTWKKRGISRVPIVYEVMQRPTSGKVSILLRWIDRSRGKVSILQVSSSYLQSWAEDLVEKVRVIQADTLSLVQAGFTAGSTTSETSCEAVRLCCDVLVERLTPLKKQLQEQNGAVDWPMLIRQAQTQSVSLAANSYYVPKFGSRSYLNFGAAVSEVEINILTGETAILQSDIIYDCGQSLNPAVDLGQIERAFVQGIGFFMHEEYLTNKDGLMVSNSTWTYKIPTIDTIPQNFNVHVVNSGHNEKRVLSSKASGEPPLLLAASVHCAARAAVKAAREQLKLWGKLGGSVSEFYLDVPAILPVLKTQCGLDYKVSLVLAVNGERFELPCVDPSTTLLQFLRSETCFKSPKLGCGEGGCGACVVLVSKYDPSHKKVEDFSVSSCLTLLCSLNGCSITTSEGLGNTRDGFHSIHERFAGFHASQCGFCTPGMCMSFFSALVNADKGNKPNPPSGFSKLTSSEAEKAITGNLCRCTGYRPIADACKSFAADVDIEDLGFNSFWKKGDSKEVKVSKLPPYDPTKNFSTYPEFLKSESTTNSDSSRRYPWYSPVSIEELRSLLYSNVMENGASFKLVVGNTGTGYYKETQPYDHYVDLRYIPESSIIERDQNGIEVGATVTISKLISFLKEENIVNIGSYGTLVSQKLARHMEKIASPFVRNSASVGGNLVMAQKNGFPSDIATLFLGLSATVRLMTSHGFEKLSLEELLSRPPLDSKTVLLSVCIPFKNAQSSLQTNSKLLFETFRASPRPHGNAIAYVNAAFHADVSHCKNGVLINNIQLAFGAYGTKHATRAKKVEEYLEGKILNVHVLYEALKLVKLAVIPEDDTLHPEYRSSLAVSYVFKFLHPLTDVHSAISGGLLNGISDISVEELSKSCNDGRISQGREQTLLSSAKQVVEYSSTEYYPVGEPMKKVGAAMQAAGEAVYVDDIPSPPNCLHGSFIYSTKPLAGVTGIQLESNRLTDGVTAVITFKDIPSGGENIGVLTKFGTEPLFSDDLARYAGDRVAVVVADSQMSADVAARTALVEYDTENIDPPILTVEEAVEKSSFFQIPPFLNPKQVGDFSKGMAEADHKILSAEIRLGSEYYFYMETQTALAIPDEDNCMVVYTSSQYPEYSHRVIASCLGVPEHNIRVITRRVGGGYGGKAIRAMPVSAACALAAYKLRRPVRIYVNRNSDMIMTGGRHPMKVTYSVGFKSSGKITALHLDILINAGISEDVSPIVPSNVIKALKKYDWGALSFNVKLCKTNLSSKSAMRAPGEVQGSYIAEAIMERVAGLLSMEVDSVRNKNFHTFESLNLFYGNIVAEGEYTLPSIMDKLAVSSSFFQRSKMIEQFNQNNTWKKRGISRVPIVYEVMQRPTSGKVSILQDGSIVVEVGGIELGQGLWTKVRQMTAYALGFIDSSWAEDLVEKVRVIQADTLSLVQAGFTAGSTTSESSCEAVRLCCDVLVERLTPLKKQLQEQNGSVDWPMLILQAQTQSVNLAANSYYVPESGSMSYLNFGAAVSEVEIDILTGETAILQSDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMHEEYLTNEDGLMVSNSTWKYKIPTIDTIPRNFNVHVLNSGHHEKRVLSSKASGEPPLLLAASVHCATREAVKAAREQLKLWGNLDGSVSEFYLDIPAILPVVKTQCGLDYVEKYLEINGERFELPSVDPSTTLLHFLRSETCYKSPKLGCGEGGCGACVVLISKYDPKFKKVEDFSASSCLTLLCSLNGCSITTSEGLGNTRDGFHSIHERFAGFYASQCGFCTPGLCMSLFSALVNADKGNKPDPPPGFSKLTSSEAENAIAGNLCRCTGYRPIADACKTFAADIDIEDLGFNSFWKKGDSKEMKVSKLPPYDPTKNFSTYPEFLKSESATNLDSSKYPWYSPVSIKELWSLLNFNVTVNRGSFKLVVGNTGTGYYKETQRYDHYVDLRHIPELSIIKRDQTGIEVGATVTISKFISVLKEESHINLGSYGKLVSQKLAYHMEKIASPFVRNSASVGGNLVMAQKNGFPSDIATLLLGLSATVSLMTSHGPENLTWEELLSRPPIDSKTVLLSVCIPFKKDQSSHQTHSRFLFETYRAAPRPHGNALAYVNAAFQADVSHCNNSVLINNIYLAFGAYGTKHATRAKKVEECLTGKMMSVHVLYEALKLVKLAVVPEDGTLHPEYRSSLAVSYVFEFLYPLTDVHPSISGGLLDGINDISDKEVSESSNNGCISQGRKQKLLSSSKQVVEFSTEYSPVGEPLKKIGAAMQAAGEAVYVDDIPSPPNCLHGAFIYSTKPLAGVKGIQLEPNHLTDTTIITYKDIPTGGANRGAVTPFGSEPLFAEDLSRCAGDRIAFVVADSQRSADVAARTALIEYDTTNVDSAILTVEEAVEKSSYIQVPPPFQPEQIGDFSKGMAEADQKILSAELRFGSEYHFYMETQTALAIPDEDNCMVVYTSSQCPENSQSVIASCLGVPAHNIRVITRRLGGAFGGKFVKAMPVSTACALAAYKLRRPVRIYVNRNSDMIMTGGRHPMKVTYSVGFKSSGKITALHLDILINAGITDDLSPVIPLSLMNTLKKYNWGALSFDIQVCKTNLTSKTIMRGPGEVQGSYIAEAIVEHVASLLSIEVDSVRNENVHTFESLNLFYGNVVAEGEYTLPGIMDKLAVSSSFFQRSKMIEQFNQKNTWKKRGISRVPAVYNALQRPTPGKVSILQDGSIVVEVGGVDVGQGLWTKVRQMTAYALGSIKSSWAEHLVEKVRVIQADTLSVVQGGLTAGSTTSESSCAAVKLCCDILVERLTPLKKQLQEKNVSVDWPMLIRQAQTQSINLAANSYYVPEFLRYLTFGAAVSEVEIDVLTGETTILQSDIIYDCGQSLNAAVDLGQIEGAFVQGIGFFMNEEYVTNEDGLMVSNSTWTYKIPTIDTIPQNFNVHLVNSGHHEQRVLSSKTSGEPPLFLAASVHCATRAAIRAAREQLKRWDKLNESASEFYLDVPAILPVVKTQCGLDYAEKFHLVNHRCFWQLRSIVQQEQHVNSSNAGTSSTNVTAILPVVKTQCGLDYAEKFERQKKGNLVFAVNGERFELPSVDPSTTLLHFLRSETCYKSPKLGCGEGGCGACVVLISKYEPKFKKVEDFSASSCLTLLCSLNGCSITTSEGLGNTRDGFHSIHERFAGFYASQCGFCTPGLCMSLFSALVNADKGNKPNPPPGFSKLTSSEAENAIAGNLCRCTGYRPIADACKTFAADIDIEDLGFNSFWKKGDSKEMKVSKLPPYDPTKNFSTYPEFLKSESATNLDSSKYPWYSPVSIKELWSLLNFNVTVNRGSFKLVVGNTGTGYYKETQRYDHYVDLRHIPELSIIKRDQTGIEVGATVTISKFISVLKEESHINLGSYGKLVSQKLADHMEKIASPFVRNSASVGGNLVMAQKNGFPSDIATLLLGLSATVSLMTSHGPENHTWEELLSRPPLDSKTVLLSVCIPFKKDQSSHQTHSRFLFETYRAAPRPHGNALAYVNAAFQADVSHCNNGVLINNIYLAFGAYGTKHATRAKKVEECLTGKMLSVHVLYEALKLVKLAVVPEDGTLHPEYRSSLAVSYVFEFLYPLTDVHPSISGGLLDGINDISDKEVSESSNNGCISKGRKQKLLSSSKQVVEFSTEYSPVGEPLKKIGAAMQAAGEAVYVDDIPSPPNCLHGAFIYSTKPLAGVKGIQLEPNHLTDTTIITYKDIPTGGANTGAVTPFGSEPLFAEDLSRCAGDRIAFVVADSQRSADLAARTALIEYDTTNVDSAILTVEEAVEKSSFIQVPPPFQPEQIGDFTKGMAEADQKILSAELRFGSEYHFYMETQTALAIPDEDNCMVVYTSSQCPENSQSMIASCLGVPAHNIRVITRRLGGAFGGKFVKAMPVSTACALAAYKLRRPVRIYVNRNSDMIMTGGRHPMKVTYSVGFKSSGKITALHLDILINAGITDDLSPIIPSYLMNTLKKYNWGALSFDIQVCKTNLTSKTIMRGPGEVQGSYIAEAIVEHVASLLSIEVDSVRNENVHTFESLNLFYGNVVAEGEYTLPSIMDKLAVSSSFFQRSKMIEQFNQKNTWKKRGISRVPAVYNASQRPTPGKVSILQDGSIVVEVGGVDVGQGLWTKVRQMTAYALGSIESSWAEDLVEKVRVIQADTLSVVQGGLTAGSTTSESSCAAVKLCCDILVERLTALKKQLQEKNVSVDWPTLIRQAQTQSINLAANSYYVPEFLRYLTFGAAVSEVEIDVLTGETTILQSDIIYDCGQSLNAAVDLGQVEGAFVQGIGFFMKEEYVTNEDGLMVSNSTWTYKIPTIDTIPQNFNVHLVNSGHHEQRVLSSKTSGEPPLFLAASVHCATRAAIRAAREQLKRWDKLDESASEFYLDVPAILPVVKTQCGLDYAEKSKLFCCL >Solyc09g065340.3.1 pep chromosome:SL3.0:9:63644546:63659139:1 gene:Solyc09g065340.3 transcript:Solyc09g065340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLISGSVEKHGLDLNRDPSELMETDLTVIDLNSRQDLDLNESVKEVCENEDGIEGVIVDVEEGDKVEVGVGKVGEVVEEENVVEVVVKRRRGRPKRVVGSAHLELEKKGLGLMSSGLSPSEEKKCDKGTAVGNGKEGQIEDNVAGEPHSKGDATKGKGRRGRKKKVLVASSPGGQTKEGRDDLVGFEGGKTMKVEDGIDASTQVHVDESNVKGQDTPGRRGSGRKRLKVDYTELHEDEFTDDEEIDARTDQCEVKPVRRGRGRKRMKVEGIECLDDDDQVKRQKVNPMGQFKRVLRSQTLAVTDGEKDVFEVKDAGVSSPKIDNKIDPSEKIIKTRANDNATPKKRGRPKLKGRRGRPTKMQGRNEISSLTSSQTNKSRGPKRGMNYEKADGSVRSSKHLNVSQTSGVEGVVNTRKEKDTDQANDEANYEGPKDGHNRRLSSNPYCAKKSKLKRSKPEVMESGLREQKQVIRNQIIDMLVKAGWNIEYRPRLTREYSDAIFYDPEGRQHWSVTLAYKKLKQKVEAGDADDKTNSAFTPIPEEVFSTLFRVRKDKEIKGKKKKKDAGSKMSKKMTNKKLSKKLSAKNNSDNKGSVGSKTGYNSNVAVRRKKLGPNTEDGKRRKPCALLARSSQGGVDSDGDEFILYDGKRTLLAWMIDLGVIQCDAQVHYVYGGRKKVRHEGKIKGDGICCGCCGETLKLADFESHAGSKLGRPLQNVILQSGQSLLQCLVDSWNKQKEIDPIAFHSVDIVGDDPNDDTCNICGDGGDLICCDSCPSTFHQSCLDIQKLPSGDWRCVYCSCKFCGTVVRNSSENDVQDGMAVSELLKCHLCEGKFHLPCVPGDSALGFDTKDLSFCGKGCQKIFEGLQVLLGVKHDLDEGFCWRLLQHRDFGRDTNLTDDLVDIECNCKLAVAFSIMNECFVPIVDQRSKINVIQSVVYSCGSNFRRLNYKGFYTIVLEKGDELICAASIRIHGNEVAEMPFIGTRYMYRRQRMCSRLLTAIETALCSLGVEKLVIPAIPELNETWTKVFGFKPLEKSKRQEMKYMSMIVFPGTDMLEKPLLKDQSSEGQVTSTGSNADAISEVKLNQDDKGATFPVESSLDIVDGVLNDMSECRNSLPSHASGPDAHQTERIANCSSAQPDYGTIPSDVTDEQHGIKMYQHCVSGMEGNTVISSPVAPISIIHEEKAIHSMDVSKEAVTCQLKGEVKRLNNDSDSVDKVCQETSSVDWQDRNECDTLEVPAGKETIASSEMTSALMCDSTGSVKATSEASHQMEKVADSLDLPVSNGYICDKTSTSDSSCLNSPLASQETSHEVMRSNMNDHKEVYVDAHVLSLDSKSLHDVAQLSAKSTEELESCS >Solyc08g076670.3.1 pep chromosome:SL3.0:8:60720409:60723629:-1 gene:Solyc08g076670.3 transcript:Solyc08g076670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEVGTCSSMAALADSLKCETQGEVGSGVIVNESKSAKFPLSFWEIGVAVSVVLGYALGLGCVYLTMPASDYSFLKLPRNLEDLQILRDHLESYTSDYTVQVLVGYCVVYIFMQTFMIPGTVFMSLLAGSLFGVFRGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLTFFRDQVAKRRKGLLNYMLFLRVTPTLPNTFINVASPIVDVPYHTFFFATVIGIIPAAYVTVRAGITLGELQSVGDLYDMHSIATLFLIGLVSITPTLIGNKN >Solyc03g112320.3.1 pep chromosome:SL3.0:3:64240271:64243128:-1 gene:Solyc03g112320.3 transcript:Solyc03g112320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISSLLKLLLFLIFAGWLSVWLLKPTQLWTKTWKLAEKKASASFLTQSGLNFAVYTFPVIAIAIVGFVYLSLKQKEAISRKGRSSLSVLSNPVVVNKYIGILSGFEILAVCLFVIFLAWTFYVRISNDFKKMVPMKSFTLSVWQYRVFRVATRSGLLAEACLALLLLPILRGMSVFQILGIQFEASVRYHVWLGTTMILFGTLHGGGTLLIWGIKHHLGDEMWKWQKTGRIYLAGEITLLIGLVIWITSLPQIRRKRFEIFYYTHHLYIVFLVFFLFHTGDRHFYMVLPGFFLFCLDKILRMIQSRPETYILSARIFPSKAIELTLPKDPRLTYAPTSVIFIKIPRISNFQWHPFSITSSSKVDKHTISILIKAEGRWTSTLCNILHSKPDSEAGEMRFLQVATEGPYGPSSMDFLRYDSLLLVAGGIGVTPFLSILQEIASTRRSKNVLPVKIQLVYTTKDSKGICLLDSVLPHVFDAEQHYLQLKVFVTREHQSNRSLREVLNEVPKIQNIHFTNNRPGHAIYGLENLQWMSVLLLVVSVVFLAFLIITNHVFIKPDKKSPEQKTATSVVDILLICSFALALISGTLVATIWRWKRLREETPSFSEKESKAMKPTGANRVYDQHEIHYGARPNFKDIFSQLANESKGSSIGVFVCGPETLKESVATTCQLLSNGFQSGGQDHKPFFSFHSLNFSL >Solyc12g036820.1.1.1 pep chromosome:SL3.0:12:48400852:48401016:-1 gene:Solyc12g036820.1 transcript:Solyc12g036820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCNREEHSMLRAREAVEQLSAAICLIANFETSSIFIVGSSDQMKDILLVTYV >Solyc05g018653.1.1 pep chromosome:SL3.0:5:22708424:22710331:-1 gene:Solyc05g018653.1 transcript:Solyc05g018653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPVIFCREISPSPANCTSYSPFLQLDTQCTSSFAASCLRLPKNYSYVARCSLKWSEKPSMMPEALLTSSPQQLSTNPVFGEGKIGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQRPLAQFISVARAPKSKEGYASIGGGSPLRRITDAQA >Solyc08g005830.2.1 pep chromosome:SL3.0:8:639384:645504:1 gene:Solyc08g005830.2 transcript:Solyc08g005830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNTKSSTFLSTFVFLTLLVSCRNTISDHRVENGFTLHLIHRDSPLSPLYNSSITQSNRLINAFHRSFSRASFFKKSSFVTPNTIRSDISPIPGEYIMKLSIGTPPVEIVAIADTGSDLTWTQCEPCLNCFEQSSPLFDSKKSSSYKTAGCDTKECTSIGSSSCVKGNVCEYQMSYGDQSYTIGDLAFDIFTFPSTNSSENVAIPNVAFGCGHHNGGTFNNHTSGIIGLGGGNVSIINQLDKEINGKFSYCLISIALGSPISNVTSHINFGSSASVSGPDVVSTPLIKKEPSTFYYLNLEGVSVGNRTLKFKSSKVSSGGEEGNIIIDSGTTLTLLPNEFYSSLESTLVDSISATRKEDPSGTFRLCYESKNGTIDAPTITTHFTNADLELSPSSTFAQIEEGLVCLTIVPADEIAIFGNLAQGNFLIGYDLVANKISFKPADCTNFTLDLIHRDSPLSPFHNPSNTPYERLQHALYRSFSRASFLKKKYVNPIESTLIPSGGEYLMKISIGTPPIDTLVIADTGSDLTWTQCKPCVNCFKQLTPIFNPKKSSSYKTIGCNNKLCQGSLCNNSRCNYEVSYGDQSHTMGDLSIETFTFSSTSSQNVSIPNIVFGCGHDNGGTFPNVTSGIIGLGGGNVSIVNQMHQQIKGKFSYCLIPLESLLDNSNATSHINFGNCATVSGPNVVSTPLIKKEPSTFYYLNLERISIGNRTVEFNSFPVVVGGDDDPGNIIIDSGTTLTYVPDAFYLNLESMLILSINATKKDDPSSSFRLCYESNKNGTIDVPKIVAHFTNADLELSTSNIFTKVVEGIVCLTIVPGGNQISIFGNLAQANFLIGYDLKANKVSFKPTDCTNVNGFALDLIHRDSPLSPHHNPSNTPFERLQNALYRSSSRASFFKKNSHVNSIESTIIATDGEYLMKISIGTPPVDIFAFADTGSDLTWTQCEPCLDCFKQLAPLFNPKNSSSYKTIGCKNELCPNVGFLLCKNDICNYKETYGDNSYAIGELSSETFTFPSTSGENVSIPNIAFGCGHNNKGTFTNVTSGIIGLGGGKASIINQINQQIKGKFSYCLIPFESSTTNSSITSHINFGDTAIVSGPGVVSTPLIRLNTFYVLSLESISIGNKTLPFKSSKLSSITPQGNIIIDSGTTLTYVPVHFYADMEKILVDSINATRKLDPSKSYSLCYASENGTIDVPKIVVHFTNADLELPQTNTFVQVDEGLVCFTMVPDEDVSILGNLSQMNFLIGFDLVANKVSFLPSDCTKH >Solyc09g066235.1.1 pep chromosome:SL3.0:9:64900874:64903582:-1 gene:Solyc09g066235.1 transcript:Solyc09g066235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTLSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTDYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLAS >Solyc06g050540.1.1.1 pep chromosome:SL3.0:6:33326453:33326623:-1 gene:Solyc06g050540.1 transcript:Solyc06g050540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLSFLLNADFSSILAMFFYSFTCLMNIFYVPLLVNHMKIWFPHLNMMFTLFHVD >Solyc08g081440.2.1 pep chromosome:SL3.0:8:64625219:64628183:-1 gene:Solyc08g081440.2 transcript:Solyc08g081440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMAANDCNAMATILVLTCPLFGSEPNSLTILFLLRNCMNQLKIQMMNHKIARVSKTAIEGFRGTKPLPRRGQIKSRIAANAFHSIISVLSKASSHHHSTLQESRDTTRK >Solyc09g082630.3.1 pep chromosome:SL3.0:9:68773923:68776259:1 gene:Solyc09g082630.3 transcript:Solyc09g082630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1,2-dihydroxy-3-keto-5-methylthiopentene dioxygenase [Source:UniProtKB/TrEMBL;Acc:A0A0H2UIA1] MAIECKAWFMDENSEDQRLPHQKNPPEFVSVEKLAVIGVLYWKLNPNDYENDEELKKIRQSRGYSYMDLLDLCPEKVDNYEQKLKNFYTEHIHADEEIRYCLEGSGYFDVRDKDDRWIRIWMKAGDMIVLPAGIYHRFTLDTDNYVKLMRLFVGEPVWTPYNRPQEDHPARKEYIKSVTERVGVPLTAH >Solyc02g089497.1.1 pep chromosome:SL3.0:2:51950746:51951364:-1 gene:Solyc02g089497.1 transcript:Solyc02g089497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVTKVVHRRDFIKFSALMYMQKEFVLNSVQPQVIGEALLPTTSGCSVAVYDIVSWCNIIEAQFCFLLSTVVILLLEHTPLVQGLSLSHLVFVTDSLSAQRTTHH >Solyc01g102633.1.1 pep chromosome:SL3.0:1:91264170:91264580:-1 gene:Solyc01g102633.1 transcript:Solyc01g102633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPESPKGVLHSVEESAENHPCASHSVSFKIVVIESEDDLLCARDSPSLLPLLFSGLGIHLGFLNKLNASDGSFKLFGYISGPDVYTVKVCRSILRDKRCEESLKDYLIP >Solyc05g013200.1.1.1 pep chromosome:SL3.0:5:6273233:6275050:1 gene:Solyc05g013200.1 transcript:Solyc05g013200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQTPLLIVGPFSCQEITKKNSRKDTFTPIDPLALVPKCKSLRDLKQIQAFSIKTQLQNDIFFMSKLINFCTKNPTPACMYHAHLLFDKIPQPDIVLFNFLARGYAHSDTPLNAFVLFLKILTLGVVPDFYTFPSLLKACAGAEALEEGKQLHCLLIKYGLNGDMYVCPALMNMYIEFKDNDSARRVFDRIADPCVVTYNAIIIGYVRSSEPNEALLLFRELQVKKIKPTDVTILGVVSSCALLGTLGFGKWVHEYIKKNSFDQYVKVNTALIDMYAKCGSLADAISVFESMPYRDTQAWSAMIMAYAIHGRARCAITLFQEMQNTKVNPDGITFLGLLYACNHSGLIEEGFRFFNSMTENYRIVPGVKHYGCMLDSLARAGRLTDAFKFLTELPIPPTLLLWRTLLAACSIHGNVDLGKLVLERIFELDKSHSGDYVIFSNMCARAGKWEEVNYIWNLMKERGIKKIPGCSSIEVNNVLHEFFSGEVTCIEHRELHQEVDKLIEKLKLVGYVPDTSIAFRPGLNDEDKEATLRYHSEKLAITFGLLNSPPGKTIRVVKNLRICGDCHSAAKFISLIFKRNIIIRDLHRFHHFEGGNCSCGDFW >Solyc07g032580.2.1.1 pep chromosome:SL3.0:7:40498340:40498705:1 gene:Solyc07g032580.2 transcript:Solyc07g032580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EENAASFHFPGHNRGQAAPSLLTQLIGAKQFLHDLPELPELDNLFSPEGPIFKAQKQAAILFGASEIWFLVGGSTCGIHAAIIATCSPGDTLILPRNSHISAISAMVLSGPLPKYIVPEYC >Solyc03g122155.1.1 pep chromosome:SL3.0:3:71571701:71572401:1 gene:Solyc03g122155.1 transcript:Solyc03g122155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFDGKLAAIKAIEAGVAGIIVSNHGARQLDYTPTTFSVLEEGGIRRGTDIFKALALGAKAVLIGRPVIYGLAAKGKTSYSNAQE >Solyc12g011100.2.1 pep chromosome:SL3.0:12:3961361:3962955:1 gene:Solyc12g011100.2 transcript:Solyc12g011100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINKGTTCVLLVMVFFVLSMNSWCEYGEATRILLAFSTSTQATQRMDYQSSSHRRSTRASPRGGYPSPAANVNDHVHH >Solyc10g076250.2.1 pep chromosome:SL3.0:10:59244801:59248929:1 gene:Solyc10g076250.2 transcript:Solyc10g076250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVVTRAKWVGELRGLSQRCYSQLGLASQKEDDLIINPQMPHFDYSPPPYNGPSADEILSKRKEFLSPSMFYFYEKNPLHLVHGKMQYLFDSNGRRYLDAFGGIATVSCGHCHPDVVEAIVNQTKRLQHSTILYLNNAITDFAEALASKLPGDLKVVFFTNSGTEANELAIMMARLYTGCHDIISLRNAYHGNAAATMSTTGQSVWKFNVVQSGVHHAINPDPYRGVFGSDGEKYAKDVEDLIQFGTSGRVAAFMSEAIQGVGGIVELAPGYLPAAYNAVRKAGGLCIADEVQSGFARTGSQFWGFENQGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLCHSNYFNTFGGNPVCTSAGLAVLRVIEKENLQQNAHFVGSYLKERLMAIKNKHEIVGDVRGRGLLLGVELVTDRKLKTPAKAETLHIMNHMKDMGVLVGKGGFRGNVFRITPPLCFTKEDADFVADVMDCAMSKI >Solyc01g099480.3.1 pep chromosome:SL3.0:1:89600074:89606307:1 gene:Solyc01g099480.3 transcript:Solyc01g099480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDQVVAGLVGMGFSLSDIANALEDVGPSIASVIDYLLDDSRRKTASASTSTACFTRRAVAKVTSEAGARNFTRPLWRIFRKMLWNLGYLTKTDLFLQQQDQILALLTGKVVIVMSQLISLMQNQCLRLAKHVVSACFLGSGQTV >Solyc11g017100.1.1.1 pep chromosome:SL3.0:11:7902136:7903566:-1 gene:Solyc11g017100.1 transcript:Solyc11g017100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVDHEEELLSLRLAIVNDSSCCDNHINKKIIKKRKRRELMMNFINTWDLNESNCEGKILSLLELREMMLKNDVKKKGHIINDGKGLHLIHSLLISATSIDENSMNLAIENLHELYQNVTLFGDSIQRMAAYFADGLIARLLTRKSPFYDMIMKPPTQEEEFLAFTQFYKVSPFYQFAHFTSNQAILEVFEKELEYNNGLLHVIDFFDISYGFQWPSLIQSLSENSTTLNRISLKITGYGRTIDELRETETRLVSFAKGFRNLSFEFQGVLSGYKLSNLTKRKNETLAINLIFHLNSLSTYSKISKTLKEVHDLCPSIVTIVEQEGCKIPKTNFMPRFMDSLHYFAAMFDSLDDCLPIDSIERLSIEKNHLGKEIKNVLNYDNSSSRYNDDNEQMETCKGRMESHGFIGVPLSCKNIMQAKLLMKIRSYSNSTIQIDGGINGGFRVFEIEDPRAISLAWQDRSIVTASAWHCVL >Solyc03g112660.3.1 pep chromosome:SL3.0:3:64511335:64531454:1 gene:Solyc03g112660.3 transcript:Solyc03g112660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNMLAGERRWVSARRGGMTVLGKVAVPKPLNLPSQRLENHGLDPNVEIVPKGTLSWGSRTSSSTSNPWGSSTHSPNADGGSSSPSHLRSRPSSGSGTRPSTAGSDRTQEPTTSAWGTSSRPLSASGPLSSNKVPSTLARPHSAETRPGSSQLSRFAEPVSEHPVAWGATTTAERLGVLSTKNEGFSLASGDFPTLGSDKDASGKTTESQDHGSCSRPSSASGKVAQPLEKTIASHSDMKGGSFDAWKRDGRSAEDPPQHGMEKWQGDPHQYHSPNVPPQHFDAWRGPPMNSPAALWYRGPPGGPPYGAPVPPGGFPIEPFPYFPPQMPPPAIANSQPGPPPGPGSRGHHPRGGDMYRPQIADAYIRPNMPFRPGFYSGPVAYEGYFGPPMGYCNSNEREIPLMGMPPGPPVYNRYPGPTTPDPSNSHARIGSHGSNTKAMQEALESSRPDDAKGPFKVLLKHDARDERETWEHAAPTNGPYHDRSSQRSLQKHEWGGEHGSEKESQSRRTTGSGNCYPRSYGDRGGDSSDTTNANSLESVNTMKVADGSWAKKSGYVESSGGVPPSSLAPEKVSAPAVTAKDSSLMQKIEGLNAKARASDGRFEASYVSSEEDMNKSELNSKVTNSVNEARGGLMSSERTHTSVTTGNKGGHSIAAMSRRPYHGAQNRNDHPGKPKVDSHDDGWRKKPVAAGSSAVASGTCLEPASSVQACESGPQVEAVEQALIDISASVEKESLSELHDSADTQAQRTKMKELARQRALQLQKEEEERIKQQKAKALAKLEELNRRMQAGDASCQKTEKDSPADVIKQDLQGSSAPETVVSTVKPQARNATLAAHGDVIDASGRMLNKDSQYINPPVVLEFGTSIMVQSEIAIPQPQAFLSKQDANRVSASHGKETCQSSDGGLIRHKRTSFKQRPNMTPKNINEKSVPVCITEVSKGPTDVIINKVQSTEAHEVGLNAELNMVNNAKVAVDSSVQPRRKGNRTNKNKQKLDAVLPRPASPSPVPNDSNPVKVRTQQEKLNSSQLVLDVSSNQAASGDNVVQPSDQSPPLPTEEGHGRVVNQWKPQHPRRTQRNQHSNIHTDKFQGGDTVVWAPVRSQSKTEDVAEASQKTGSNSIGPLKSDNVVQSNSKSKRAEMERYVPKPVAKELAQHGSSQQPLLLSGNSPGPDGTTGRAESRTENAGCSVPTGSATESFSIESRDGDGKHNNKQGKAHGVWRQRGSTELALDTSKNDCKSLDQTQSLKPDGDSLRYESKCSSEFDVSDGWNMPDDFEGQRTTIPVVPDEGTRGKGKRYPSKGHRSTGNFGYEYKNNSVGHQQNHTLSGATEINQMDRRVAAKESRGMGNRTPPHWQPKSHMLAVNNQHEGVSTGAQHITMEGDRGNKRDYHHDKVSIPLRSEKESHDIGAGQADSFSSEDKIVSEVPNIRNLDPRRERKPASFRGRPYSPNQGPVIKAESAPAESAEAVQERSNSGLRRNVNQNNRSGRTQESHENLFSVKDNWQHNTSGGRERQRNNMHYEYQPVGQYNNSKPSNFEEAADGSHSVDQKRYRERGQVQSRRGGLLACDEPQPYQIVLSLFDRISGGPVLGDSFCHGRREKLLANATRVSCSKAWCGRMVLHCYLKKQIKLFFSPRKTNSSPPNSPEKPFFRLHVFVLRYDCTCKVEIMALATHQLQGSYRTCPSSSSWTTANKLRHSVTKIPVGQKDNFISLKCKSCLRIGVPFILKPKGKSLKISAFKSNSQNESGGRASGSKSLKSSVKLSYVPHESEETSVESPRAQNVPISYSAGTDETTNYFAKNIFKSWLTLLRSPSPNQVTNQTVEEPYTMETSETQKMIQEKERVHVLKAVWCFFLSLDATIKIPVLTFVPLYLAVTLVYGAEVSKELTPLWILGPLIVALYVKMLRGICALYVFSFKQTVNVVKNLPTYSLLAYDYIVRGKLKEYIRMRLLQPFIDIKNLDYKEAAKRKAKDIEVFLVEKYLDFVESIWPYYCRTIRFLKRANLI >Solyc06g065000.2.1.1 pep chromosome:SL3.0:6:40650900:40652696:-1 gene:Solyc06g065000.2 transcript:Solyc06g065000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKVCLTLEEQRRRISDHIDDFLNGLKKIKNEFIASKWDDIEKLRMELRFLRTFVLFGNSNSDNFYQRMSMNTKKFDELASSLFYEDENKLILVKYNMDKLAPYLIKEIASILLRLKNVAIIMTEENMLEYSKSILKHLHDLPKYCSNLLQPLMSEYSILRQVCTHLRDFYQLECHKTTKTEFLYARYQMTVDRVTQFCFDLWTGVYKEYFGNEYYYAYCNVSQCSSKITSLLIDIIPLELEVLYISTSKLIKESTSTQLKRFVKQILKASPRILQNYLIHLQRSMVAVKYAPTQSINVMMEFLLIFLTDIPKRFIHREKLNDMLAHAGMLTRKISFLVSKLLEETSENNINEADFSAPDFLQEIEQMKGDIRQIFLKAPKSSQLRFPMDDGFLFMNLLLRHLNDLLIANVSSVTLIKKEIGIVKESLEFLTSSFGKVRKTLDGTSGVVKDCWLRALDVAYEAEHVINSILVRDKALSHLIFSLPDVTDKIMLIVAHVTSLQLEDKNGDEPLDAKSSDELIEPTSSSFAEVTVGHEEDEAWIIGQLLDEHESELDVISIFGMPGVGKTTLANKVYNNTLVASHFHVRAKCTVSQKYN >Solyc06g036250.1.1.1 pep chromosome:SL3.0:6:25822760:25823389:-1 gene:Solyc06g036250.1 transcript:Solyc06g036250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAKASPAVRKGKKKQVKDEMDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQKLDEEGAAIAEAVALHVLVGEESDDSCELLLKKDKEVNQWDLARNFDLFMGGERSILPHQDLSIYSVEGTRWVSGPNGDGCMWNEQENTAWMVSSVPWVGNVHHQWFDDGNWEVQRISADLLAAQAVSSLQIAGDAPVDSYVFDRMLR >Solyc09g074490.3.1.1 pep chromosome:SL3.0:9:66704820:66705708:-1 gene:Solyc09g074490.3 transcript:Solyc09g074490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HCLTEKIPRIIN >Solyc01g103050.3.1 pep chromosome:SL3.0:1:91610827:91618031:1 gene:Solyc01g103050.3 transcript:Solyc01g103050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4B1N1] MAHVAANHFGGGTHPGASANNALYKELWHACAGPLVTVPREGERVYYFPQGHMEQLEASTHQGVDQHLPSFNLPAKILCKVMNVQLRAESETDEVYAQITLLPEPDQGEITSPDPPLPEPEKCTVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSQQPPWQELVASDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSAKKLVAGDAFIFLRGDSGELRVGVRRLMRQLNNMPSSVISSHSMHLGVLATASHAIMTGTLFSVFYKPRTSQSEFIVSVNKYLEARNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNPSSRWPDSEWRSLKVHWDEPSSILRPDRVSPWDMEPLVAATPTNTQPPQRNKRARPSVLPSPVQELPALGMWKSPVDSPSSFSYCDPSRGRDLYPSPKLSSAAKGLGYGENGSMPLSTKTMYWSSQSETCTESVAPASEKRPANGCRLFGIELLDCPTIDESSSVAMPSAVVEDQPVPSLNVDSDRNSEPSNPIPSVSCEPEKSSLRSTHESQSKQIRSCTKVHMQGKAVGRAVDLTRLDSYEDLLKKLEVMFEIEGELRGSTKKWQVVYTDDEDDMMMVGDDPWHEFCSMVRKIYVYTAEEAKKLSPKIKLPVVDVKPVSDGATVGNNEKA >Solyc06g083080.3.1 pep chromosome:SL3.0:6:48676571:48678693:1 gene:Solyc06g083080.3 transcript:Solyc06g083080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNRYYMKRNQVPAFGSWDCNDNDFPIPFTECFESARQAGLIHYTYSQDSDLYVTGDLYQNHIVTPTMIVVPRRKKKGSYKEGRKEEWVMCDNCEKESPRHVVPATTRHVHRKPVDEDLYKISPDLLYTKSKMKGIRGFISSCLMPSCGC >Solyc10g045430.2.1 pep chromosome:SL3.0:10:33350391:33356336:1 gene:Solyc10g045430.2 transcript:Solyc10g045430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSTVDDNGHAQLPDEQQHHCNCCQFHSQNQHKPVAVPKCLPKRIILVRHGESEGNKDDAMYTITPDYRIPLTPKGIDQAKEAGSRIFDIVSDNGSEDNWKVYFYVSPYVRARSTLREIGRAFSRRRVLGVREECRIREQDFGNFQVADRMKVIKETRERFGRFFYRFPEGESAADVYDRVSSFLESLWRDIDMNRLHHDPNDDLNLVIISHGLASRVFLMKWFKWTVEQFEYLNNLGNCGFRVLQLGLGGEYSLAVHHTEEEMLEWGLSPEMISDQKWRAHASRSSWKDKCSWYLDAFFDHLTNSDEDDYDEVKSDFSD >Solyc01g012550.1.1.1 pep chromosome:SL3.0:1:9593205:9593498:1 gene:Solyc01g012550.1 transcript:Solyc01g012550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNHSKLKLCIPTGMILEGVTTKKCLQKFHSESLRKLGDSFLKNDVSIQLFKNYESHHEGLLRIKKIKLFPMLHFATLDALVNMKFMHSESFDLLT >Solyc06g068945.1.1 pep chromosome:SL3.0:6:42890003:42891440:1 gene:Solyc06g068945.1 transcript:Solyc06g068945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGNVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLK >Solyc03g044020.3.1 pep chromosome:SL3.0:3:7823029:7832206:-1 gene:Solyc03g044020.3 transcript:Solyc03g044020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRLRSSLQTSAEEFLSSTAKLGFKSIKPSLKTLIHTLSPSSDLTTTLPLALHHSISQSIRRYKTLTDSNSTDVVLSPQTPPTKRVRRSTRNKKSEQEKDDAKQLIVEGIRIYVYISFLCVSHPRKAFRDSELLPAVRALHDCLILFESDSVLLSEIANLCEEWWKDGLYGKETLISQALPFLLSRALTLKKKVDVHRVYMLREAFTLLDFEDESIEDLKHLIMRCVISPLFLKTEDGRKFIAFTFCLSAQLLKEALAMLKSQIPFGRKSILEAFGEIVFRAWKLSEGLAKDEIENSFLQGMIDSCVHASSAALAVSIRRVLGGFINQRTTEGVEKLIFRLAEPVVFRSLQVANSNVRQNSLHLFLDLFPLEDPDATKEVKDTLLDKQFFLLDKLLVDECPDVRVVAVEGCCRILRLFWEVIPSPTITKSLTKIFEHMIHDSCPEVRLSTVNAVIYLLGNPHSHEILKVLLPRMGHLIHDISPPVRAAVVDLLLTLTDLRKFQFHKVVHIDLLLSTLANDQPMIGQKITKLLLPSYFPSKVNLKEACNRCVTLIKRSPLAGARFCEFAVSEGTSMQSWMELLKNLISLIISSGKLEAEQIDGSIIAASHLCIYLVHDGSYQTKLKEELSGERLKHLFAAATSACAQVSVCNIISSVCPDAVDGLFNDCLTLVTNCGDLSNSLEKQAEVRSVHKMMLSCNWLDEMFENLARHLQRTASQCHEIFGTELVNFSVPSTKGVKNKSSVKLSSKSKNLREKKMSNKVKCSFKEEYEIAIGVAWQIKDLLLSENTRTALLQSGNLETILLSLKAISEVSILQCTQREYMSVSPILAYTALALHRSLQENNLGGGKNVNKRKRRMESANETSEGTALEMTIHHLLRCTNKLLRAPSCEISDLTSNGSDFTKQQRILNVVKMLTAVLKFIADAHTMDLFHKSQEECLSFALQYMKFIISNLRRSSDEELQFTEDMLKQIYLCLKSSFTYVAKLMNAALKSFSEASQPLWGAFDIANELLNLYVSIEEHLGYGYAVRLFPAVKPWVPDLILALGSVDLMKKIPGGRTSSFNPEDDLPLWVSTLARTELAEQQDTNSDEEPGRISKTGDFKTFKKLVNLMVQLLRANYNVLDAVGLTILNNVLVGLKRKSFDLVFGLLHFVCVKLVRHDEREWKELTLMLTSLQQIYPQLELEDSCNDEDARQELHRARALVEPVWKCYLCDDARNSYEEE >Solyc03g036473.1.1 pep chromosome:SL3.0:3:6477245:6481990:1 gene:Solyc03g036473.1 transcript:Solyc03g036473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYLVALCQAIDLRHLEENLKSVVKNTVSQVAKRTLTMGANGELHPARFSEKELLRVVDREYLFAYADDPCSSNYPLMQKLRQVLVDQAMKNGESEKNVNSSIFQKIGAFEDELIAVLPKEVESVILELLGETLI >Solyc04g040210.3.1 pep chromosome:SL3.0:4:11943436:11945462:1 gene:Solyc04g040210.3 transcript:Solyc04g040210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNQQNQPALGYPTESTPQPTEKKNKKMKCFPRSKPKGERGFIEGCLFAICCCWVCEVCF >Solyc11g066870.2.1 pep chromosome:SL3.0:11:52960706:52969527:-1 gene:Solyc11g066870.2 transcript:Solyc11g066870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNSKSRNRELDEEEKGYTYHEDLFDFPYDPENWREEDLKELWGDAPIEMTKPGWDPNWVDEEEDDIIAEETKAGRDPPIAPFYVPYRKPYPVIPDNNYDISNPKSVIEELDRIEEFLKWVSYIFVDGSTYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLQNNMEGHGVVEVEIPHIEPIPGSKLEAKMRAEGKIIKRDFMSPEDREWLEKDIEDTLELTNGSYEIPFYENDEWIRQFGKKPEKGRYRYAGQWKHGRMHGCGLYEVNERTIYGRFYFGEHVAEDTYGCDAEISAMHAGIAEVAAAKARMFINKPDGMVREERGPYSDPQHPYLYEEDDVWMAPGFINQFYEVPDYWKAYTHDVDEEREMWLNSFYKAPLRLPMPAELEHWWSKDEKPEFILLNKEPEPDPEDPSKLVYTEDPVILHTPTGRIINYVEDEEHGLRLFWQPPVKEGEDVDPDKVEFLPLGFDEFYGRGGTVKSDSVWKRFVTSVENACKPMFDKLEKWTEEKKKAGEMKIELLKKELELAEAELSLKEALEDMDDELKRMQEEEEKKVAMGTQEEDDIVPSESTDELEKTWAEKKEDEDEDEDEEDEEEVTASSFGSADNQSSAKSDKKDNRSGKAPFGASSLSFAACTLVPTVPPKLQHTFTAWKGKLSPKPSSPLSNAPSDVHEFPKEQQTPGSISFPYAVAQNGRLRAVSQAQMPEKYISKSRIRKRSKQKTSSQIQGYQQELCFNILSLHTPLPL >Solyc12g019756.1.1 pep chromosome:SL3.0:12:11597124:11598951:1 gene:Solyc12g019756.1 transcript:Solyc12g019756.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFPFRIFVQGKSLFGILDSSTTKPNQDKGKQVWHASNARVIFWILNFIHADIALSLHPSNLAVDIWKHLKTVYCQCNYSRKFELAHTLSEYKQGDKDIWSYYSELMEICSEPDQRFGGNVSFTGFKEVILERKKTRLVQFLMKLRHDFEPIRANILNRQTLPDNDVVFGELIHVETRINTVASMYFSYTIDAFESLDQNALIAKSTIILRHIARKETYAIMGLFLVVSVFPNSETNVKFLTPYNNFPPVETEFNKWIKKIYSDSGGEYMKLELIGFFKERGITPQYSCRDTPEQSGVVE >Solyc04g017750.3.1 pep chromosome:SL3.0:4:7961888:7968266:-1 gene:Solyc04g017750.3 transcript:Solyc04g017750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVESTLPQTLNSNKKPNKLRSIRFPRLSFLRKTSSSKFSCLSIVIPTSNNSTPEQLSPIKMPDLSPNYMKITTSYDAKKGSNSQRGIGSINVSSIDSSEFCTQKKDDKIKNRPVMKKIMKNFGSTRSFRKRSSRSVTTMKNHNSQNPSSVEYSESSSPPHYRKSTCCSQGKNCLHHSCESSFDSSDQSRSPRKYSQTLSRTTSMRSVKILINKASFKSKKGASKCCQIPDKATCSSTIKDSKFKEHVEFHPGKTESDRLSKFKVCSYHHCSLHGGHYDDPSPPVKRVYRRKRLLKSQKSIRVKRKFSTADENTQLSSSVDPSVCGQSSAAEDAGGFDVNMAIEHADLVEIDFAQQQSSGTEDAGVFDVNEAIAYADLAEIPFDETSLPEKSYKETLNIMSKHCPEEHDSLLTASKCCNCMAQERSDSNDDTVTAPTASGLVKQDDSVSTSVGDESSKREVSKDAPFTMTTRSVFDLFNGAKYSNVTESAAKSDNNESNYRELSNDGPFTITTRPVFDIFYGEKCSNEISSVSASNMKEKDGKADLNEDLDSKSGQVGDSKSKNCPPVEVAEPKKKYMNMWSLIRRHMVSDASAEPETKPASGANDEENKQDGANKLPSGGSSDSCSDFAEREMIPANEDAESQEIELRKLFTIKLVREAIEKILLPEVQSDNQSVTSESSVDHESFEMNQIQDSKNEEADAGSMSKTVNTEDVGGSKKEITPKEVKNKSEKRAPKHWSNLKKWILLQRFVKELEKVRRINTRKPQYLQLNPDPEAEKVNLRTQTADERKRGEEWMLDYALQQAISQLAPTQQRKVELLIKAFETVVPPQGDNSKIAFSKPRARKENEFMSTAGNTGRKAEKVIAGIDRKLEENDCSMYKDHDVRQSVLRQKSDEVTSAVNDEDLVEGKSRKEDEENSSNDSMIETSDAVDGAREDVGSVVRDRMLELENHDNATSETSNTMQSPIADGDQNSLTAMSIQSSTSASDATIQETVTREETTKECEKTRKPLRGFSLLLSMSDPKEDDGASKGQADKRSYISMWHMISQHVLSDVASKVGNELLDGTDDEIEDSSSTPSERKTCNPLEDFSETKDDAETNREDHNPSHHGRNFCRDDAVKLIREAVNEILTTPIQDDSSDTQSVTSDIIPDQELSEAEGEVNNRSNSTESLTNLDMTEGGKMLDQETKDPKEERALPLAKNKPETQKSKNWSKLKKLILLKRSIKALEKARKFNPRAPQFLPLTPDQEPEKVDLRHQMTDERKKAEKWMLDYAMQHIVTTLTPARKKRVAMLVEAFEAVVPLPEV >Solyc11g069980.2.1.1 pep chromosome:SL3.0:11:54868934:54871765:-1 gene:Solyc11g069980.2 transcript:Solyc11g069980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSIKKSSFFSTFFECSSTAYSLSISANLAVQCISKSSAYSSNSPLRNIFFRDSLVELKIRMNIVNASATELKCCGNLLREATLTASLSLIFFTISGKHLQMMFA >Solyc02g085970.1.1.1 pep chromosome:SL3.0:2:49397663:49397890:-1 gene:Solyc02g085970.1 transcript:Solyc02g085970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHASISSKNIKVASLTTYHSLHHSIKAPNNLLFFFFYFAQVEIIKIEEEIDYLKHTNNSTLALILHIINLSLCNV >Solyc10g045760.2.1 pep chromosome:SL3.0:10:35865446:35869082:1 gene:Solyc10g045760.2 transcript:Solyc10g045760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWFFRLFHCQSAYSTCLYFFTPSSCRGTYDMIRHFGRNCFKIWNLRVLIFSIPMLPEETTTLRLIDLKKIIGYSSVAHMNLVTVCMFSREAAVILPILIYGHISTKIDVKSWTGFFTIFICVGVLYNKHKTHLVRYYRGSMSTMTNLSTIFFFHFGKYEFT >Solyc05g006930.3.1 pep chromosome:SL3.0:5:1521781:1536610:1 gene:Solyc05g006930.3 transcript:Solyc05g006930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLTQFARPYNFCSFLSLPATPCKIFVLNSRKTVDFWRKSGGCELVLGKKMCSLKFVELIRKGKEEHSVCCCCCGEGSQSEGDMKLEAEILAFMEKSQNPDAFPTRKDLEKAGRFDLIEAIRNRGGWYSFGWDSEEEPFEAEEMDFDIEELRRRVEKYQESDSGSDFSSGDSSQPASSSGRSLEAVVEEDSAIEGIEGILNRLEKERISSLGINTSKYGYGANHSSRDNIDDTSSGTTTTGRAPYRTDLGKNGSLTTSSPTKGGLSDSAGQLNHQYTPDMWRSWSTQRACPEGTEFEVGEVDFDKRPNGGKTETSRHDVFTVKENSYGTPERWIYNNHNDISTRLQHLELELSSTLNSLRSKSLEVNSKEVLGRSPSDLQKLSDAREFQENDVINAQKRLRSIRAKLAILEGKVALTLTDAEKLLARKQKKIDSASKALQLLRTTQIVWHNSASEVLLTGSFDGWTTQRKMEKLRTGVFSVSLKLYPGIYEIKFIVDGIWKVDHLRPVVHNNGHENNVLIVT >Solyc01g011170.1.1 pep chromosome:SL3.0:1:7951764:7956575:-1 gene:Solyc01g011170.1 transcript:Solyc01g011170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMTFLYEGNTTSLDDSIDKAVNILAFVESSKFSDACPSGKKVCVETLSRDLSLGRSISLTRFSKKPNTRRMIYYNLLKGQKSRMTFYQTMEANVILKFAKRSKIKNDFSSNYGDNENIHQLVAGKWHKCYAYDFFYKGNTTSLDESIDKAINILAFVENSKFSDECPSGKKVCVETLSGDQHLIRRNSMLGFSEKQKERKWLENCTFDYCYWEMVANFNVSSDKVFINQM >Solyc03g044880.3.1 pep chromosome:SL3.0:3:10785481:10792382:1 gene:Solyc03g044880.3 transcript:Solyc03g044880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKYFVCFTIFILFIFASIFYSGRTIDYRSKFLLFTSLPPSNATSCSSSATRPRRQPLNVYMYDLGMKYNVGMLKGPHHDGPPVTVETLPEFPHYTGLRRQHTVEYWMLASLLYRENGTKQQEAVRVLNPDSADVFFVPFFSSLSYDTYDNQGNDTQSKFDDKLQAEIVDFLQKSEYWKRSAGRDHVIPMQHPNAFKHYRDKVNAAIFVVADFGRPSPSVSNLRKDVVAPYGHVVATFEADDFSDPYDSRTTLLFFRGKTKRKALYFFLTKIVSKSYSYCRKGKTSTKGMRSSKFCLDPAGDTPSSCRLFDAIVSHCVPIIVSDKIELPYEDEIDYNKFSIFFSREDAKKEGYILDQLKRISKTKWLEMWRYLKNITHHFEYQYPPKNGDAVSMLWRQVKHKLPAVKLAVHRNRRLKVPDWWR >Solyc07g017430.3.1 pep chromosome:SL3.0:7:6913789:6952063:-1 gene:Solyc07g017430.3 transcript:Solyc07g017430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACDMEKQQHQQEQDLFSSPAQPSPIQKGFMQKFRLYQTLSKFYMIGRDKSRTYWRVLKIDRTEPSELHMREDSTTYTERECTDLLRRIHEGNRSTGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHTVYAITKSEMIPLPNAATRSKIVNFRSENRYRKLLCMVDLTKDFFFSYSYHVMRSLQKNMCDYDTGPDLYDSMFVWNEYMTRGTRNLLQNTIWTVALVYGFFKQETLSLSGRDFKLTLIARRSRHYAGTRYLKRGVNENGKVANDVETEQILFEDVPEDFPVQISSVVQNRGSIPLFWFQETSRLNLKPDIILSKRDQTYEATRLHFENLAERYGNPIIILDLIKTQEKKPRESILRTEFANAIEFINKDLSEENRLRFLHWDLNKHSRSKATNVLFSLGKVATYALNLTGFFYCQVTSAIRSEGCLKLPTIECTAASNVDPLRRFDPAVEKQYNNDNGESDNSEGKTNGCNYVSDDYLKPTMFQKGVLRTNCIDCLDRTNVAQYAYGLAALGYQLNALGVTNSTKIDLDDPLAEELMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAAIQSQEFFRTLQRYYSNAYMDAEKQNAINVFLGYFQPLEGRPDIWELDSYQHYYVGRNGESYVDDSGRSLFQRSFSDGNILHESQFPFSTRSIGKGLSKSNLSNQSKEGSKVLSESTPEMSTCQSDLTYSRYAQTVPTRQLFVEMQRDRSLEQQNADTYDCSNFLDLDWLSSSGNSCEEEFLDRSLFTNSPIGGLSSENVVSDIVGETTPLSSEGGCSMNVGISVTSQETANTGAEASYSDAQSCVVAEELSDSFVRWVNYGETLCH >Solyc01g020320.3.1 pep chromosome:SL3.0:1:29191941:29192745:-1 gene:Solyc01g020320.3 transcript:Solyc01g020320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEESNKNGKGEVKKITKPPFRPAKDDTKPLLQDPILRSDPIETEEALLRLPPFPISKPKSQIQ >Solyc09g037050.2.1 pep chromosome:SL3.0:9:20803617:20806121:1 gene:Solyc09g037050.2 transcript:Solyc09g037050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYEKDPKAAMVGLLNMMFEIFKCFVFLMNEASFNHSERESLRSCIKALNFWATEIWWELQDFTLNIFKRIIDELIINV >Solyc04g025930.2.1 pep chromosome:SL3.0:4:20280525:20284063:-1 gene:Solyc04g025930.2 transcript:Solyc04g025930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDEDEDEDWNDDGSPEDEAAFMRELENFYRERSMEFKPPKFYGIPVNCLKLWRSVIRFGGYDRVGLCLLNLYVTIFYFYYKSL >Solyc01g091590.3.1.1 pep chromosome:SL3.0:1:85058373:85059155:1 gene:Solyc01g091590.3 transcript:Solyc01g091590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTHTIIITMKPLSSSSRLLEITVMSGENLLDNRNRPVKKNAFVNIKTESYSCNLQTTKLDKEGGGFPKWNEKLIVDLPMHARHLTVEVQCKNSSGIKTVGIAKVPTSDFIGGILPEDYLHFLSYRLRNEKGEKNGIINFSVRVKNAAAPSQSAAGCAAAYTKAAPVDMGSSYNGSCGIVTGLPVYPGNFLGNSMAKRF >Solyc08g075050.2.1 pep chromosome:SL3.0:8:59337718:59340569:1 gene:Solyc08g075050.2 transcript:Solyc08g075050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTGGFPTSILITLVFVCRRMTTFVLALLAFLAILCATHVLTNKDDFLYVNITILQSATAQGAGSILRFLNYSKKTKQILIICFNLSIVCLDGSPPAYHLHRGYGTGFRSWIIYLEGGSWCESIPDCLDRSTTSLGSSNHMKQHDFFAGVLHNTSKQNPDFHNWNRVKVKYCDGSSFTSDVEQVHPENKLYFRGARIFKAIMEDLWSKGIKSAENAILTGTSAGGLATILNCDKFKYLLPESAKVKCVADAAFFINSNTIYGTSYIQEMYQKIVNLHGLTKNLPSACTSVMEPSLCLFSQNVIPYIQTPLFIINSIYDSWQINNILVPLYLDPQHAWANCINNISRCTSNQRIIIQAFGVKFLKTFNKLPSCCTRGYFLTSCYSHGDMRFPTYWFSATSPRLLNKTIAEAVADWYFERAGFQYKDPYPCARDCKL >Solyc02g091020.2.1 pep chromosome:SL3.0:2:53088690:53093915:-1 gene:Solyc02g091020.2 transcript:Solyc02g091020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLVKQNISMGSSTLPTFGKKYDFNSVSNEPLVCSRDVNVSDSSILGYPRGPAFGVSNNSHFGTPRLDSYSFGQPALSISGSKGTLAFNTTTNAISGLLQNIYRGSSTSQTFGKKYDINRVANEPLLWPGDSNVTDFCAFGPLKAPSFGVSSNSHFGTPSFSSYSFGQPAISMSGIKGKESTLLQNSGFGKSVVGNNQKGSRIASYIATPDIDNIFLDGGGIQSICGMQSYQHKSQEELRFEDYQLGDKVERAGIDSLSNENSNPSSKPHQQSISHIFPPNTSLLNSCGTGSRVQSTASNVSFSPWTHSSPFAPFNPASSSSTTSTFSTLRNPWSLSTSTPLSPCSVSPSSYPLLAVPSGPDFDQKNYFPPTPVLTTGGAPLSTFCLNCLKQSQPTPPGLSNVSSAPLATSQNTPLFSVPLQPEMTPKVGKTLPITKVSRPSTVCWIVNNVIDPPGPCFLSYFPRIMEVKPSPIMPPAEPQPSVQISVEHPFLETSIRQHRLPPQEYKPTSDKPKVRSTLFMDKENASGVLRTEVHRHSKGPGMHDRDKVGDKPKLEPEEMHHDIDVDGIIPKLQRADYYTVPSMEELLSKEKEEADFCCHVKDFVIGRHGYGSIKFLGETDVRKFDLDSAIQFNHREVVIYMDESKKPPVGQGLNRPAEITLLNVRCINNSTGKEYRDGPMVNKYRDMLIKKAVELDTEFLSYDPVEGQWKFR >Solyc08g014247.1.1 pep chromosome:SL3.0:8:4024988:4045264:-1 gene:Solyc08g014247.1 transcript:Solyc08g014247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCDGVLLFVFVGNGEEAIQTGRNKVEDLVDAGEIDESIASSPFLTAVSILAGVIAIFTSKIKIECSNIQATPEEISALELPDINYVPPNQSVSSVPDNDDVHPEERTSRGVNVGTTPPKRKRLKIAHPHKYDLQRFSKAQKESDHQPDHSFQNPEPQQKGSENVAGVGVSPNSFNEKTSLGSSETDDLKKFMKSYVDQKFGDLDSKVETLEALIKCSHSELLIVVAARGNKSEKDMGGVSSPHMMNDSVAKKNVGTQFNSSKSNEATVDDADENSDAAGKQKSNSAHQTVSPKHKNFATVDDVAEIAVELNKQTEDVTKNNSDHPTVSQKHMNFATVDAAAEIAVEVEKQTEDHMNFATVDDATETAVEVEKQTENVMKDSTSSVSFAPENVAMTLNVNPLDAVIPLQLTWGDDLLSDSQLPSQLGVSDVDTKTPAKRNRVPSKEDDDKYRGKSFKFGFDYMDFVVAFPVDKNWFYTMSHPMYCWTDQVHYAFKLFK >Solyc10g018205.1.1 pep chromosome:SL3.0:10:7220520:7220954:-1 gene:Solyc10g018205.1 transcript:Solyc10g018205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSLKVEQVCYLIAGQDKDDFATSLAQKYGLHKAVGCFVDASLYRMIVGSLRYLTLTKHNINYPILYTLDSKSFHKNLLGCMDYLDVDWGGCTTTKRSTISYIINIGANCIS >Solyc04g081830.1.1.1 pep chromosome:SL3.0:4:65806538:65807902:1 gene:Solyc04g081830.1 transcript:Solyc04g081830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BVR2] MDGSNEKSIRVLMFPWLGHGHISPFFELAKKLVKRNFTIFLVSTPANFISIKQKLIHENLCDKIHLFDLRLPSLPDLPPHYHTTNGLPPHLMSTLKKAFAKSRPIFTQIMNTIEPDLLLYDLLQPWAPKVAKEKNIPSVVFVTSSATMFSYMFHNFRYPNSQFPFSSIYYRDYELTRLIKNQEMETIEQHQRDNKSVKMCFKRSTNIVLIKGFKEIDGQYCEYISSLTKKRVVPVGPLVQEQTSEDNNSQILTWLNQKSKGSTIFVSFGSEYFLSQEDREEIAHGLEQSRVNFIWVVRFPKGEKLKLEQALPRDFFKKVGERGMVVEDWAPQAKILGNPNIGGFVSHCGWNSVLESMKIGVPIIAMPMHLDQPLNARLVEEVGIGLEVVRDKDGKLDGEQISEIINKVVLEKEGESIREKAKKMSETIRVKGDEEIDDVVQELVNLCKTRSNVV >Solyc08g062630.3.1 pep chromosome:SL3.0:8:51676207:51686378:1 gene:Solyc08g062630.3 transcript:Solyc08g062630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminopeptidase [Source:UniProtKB/TrEMBL;Acc:K4CL50] MEKNYDPFKGQSRLPKFAVPKRYDLKLKPDLVTCKFAGAVDISLDVVSPTKFIVLNAAELSVDPKTVHFKSSNKVFEALEVGLIEEDEILVVEFGESLPVGFGVLSLAFEGTLNDRMKGFYRSTYEHNGEKRNMAVTQFEPADARRCFPCWDEPACKATFKITLEVPSELVALSNMPAEEEKVTGNLKTVHYQESPIMSTYLVAIVVGLFDYVEDQTSDGIPVRVYCQVGKANQGNFALHVAVKTLPLFKEYFGAPYSLPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDKHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPEWKIWTQFLEEATEGLRLDGLAESHPIEVDINHAGEIDEIFDAISYRKGASVIRMLQSYLGPESFQRALASYIKKYACSNAKTEDLWSVLQEESGEPVNKLMNSWTKQQGYPVVSVKINDQKLECEQTQFLLSGSHGDGQWIVPLTLCCGSYEARKSFLMQEKSEALDVKDLLGSSSSNGNPWIKVNVEQTGFYRVKYDDELSARLRYAIERKVLSTNDKYGILDDSYALSMACHQSLSSLLALMASFREELDYTVLSNLISISYKVARIVAEAVPDLQNHIKLFFINLFQFSAERLGWDPKEGESHLDAMLRGELLNALASFGHGETINEAVRRFRIFLDDRNTAVLPPDLRKAVYVAVMQRVNKSDRSGFESLLRIYRETDLSQEKTRILGSLASCRDPEIILEVLNFLLCSEVRSQDCVYGLAVSFEGRETAWNWLKENWDHIHKTFGSGFLLTRFISATVSPFSSYEKATEVEEFFASRTKPYIARTLKQSIERVHINANWVQSIEKEKNLPEAVTELAYRKY >Solyc08g063093.1.1 pep chromosome:SL3.0:8:52760168:52763180:1 gene:Solyc08g063093.1 transcript:Solyc08g063093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIFDESEPNRFMEKYFEGVKLIKKIDIMRSFHRKVWGENDPDGLKFAILYFIHSVSLSGERATKKVPRLYFDLVESGRYNQFLLAKKAFYLLMKSLSKKLNSEKQFYRIGGMPIVFQIWLFECSSSIDFQTTNESHRYKKLMNTIFSDVNNKIKFRNIPPNQRKLAVLQLQPEGINNQAPPQYSDSSDDDLDDQIIDSNDDPREGSCDDIDSDDNFQAPPPQAVKVKRKGKVGSSTSPVRKRTKKLVTGGSKQVAKNLEPRISVKQPMKKNVISKKVKQEFVKIRNLIKDNFKTVLTTINSTRDEQEHSDDHIVPPYSNDEDGYTPPYTSNKESPSNQVLVVQCDKLESGKSEVNQPSFVFDIPPKKVLGVSENSHEVDVEQMPCPLPIRILDHMNVTTDSQFELDDQFMPSLNFIKSSIAPHSTDALQQRQIRPLSMSIWGTNGEKLMIDQPISNNEQTPLPTSRNRRPGPYNTSPYLTNFGSSTGSSSSQPHFFELKHPFIFDLISGDYDITLWDAFRSWIRDRLLTKHDKK >Solyc10g051273.1.1 pep chromosome:SL3.0:10:51773124:51778262:1 gene:Solyc10g051273.1 transcript:Solyc10g051273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLRRSPRLVTASNRKVYRRNRKKLQVSSSNSMDEIPSFSLGISQISGEKNNEEKNNEEENKKQKKGKKRVKEVKTMKKSKKICVTLASTSKKIVDSDDDFEDLPPQFQSKSLKNKDGLEKKRPVNDGKTRNRLPKSVILPESRYPLFFGLREFGIVTGLNCVGDGTSINVPNSRCSLMSSYFPEKITVPKSHLRALFLAKKFIDDDSAVSLAVLYFINDFLFSYEDNEYQISNRDFYLVESGKFNSYPWGLDVYKKLSDSVRHELKSTHKYYRIGGLPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKFENIVVSEDEVSKFRLPETRDYHAEILKLEPKGSNHGLDILTNEVIELRKELVKVNENNKALEEKIDLGFNQIKEFVVNSNKQLLEDISLLFAKSGGSSSVIREVKEPSKKHADETFSGGLDFNGAFSPRVNASVNESRGNDAHVMGSNKNEESQVLKATVRFADVENLERVSSKIDEDVAGIVIEKVLSEVVADINVQEAADVNTVGAKPDDATEDCQKPLHTLDDFILLDKDLSQINRTEESYLKKRAQVDQNKKKVSPKKRGRKKNPGKLITSPFTQHFESGGTLCVTRQVFETKHPFLYASGGDDESDLIDSFTKWLYMGTKKRGKKPYTDALNVINPAFELGVCTVDERLWFFKLAHSGQQWCDEHIDVIFYYLRKKGKYDTNSNVRFTTSDCVFKTKITNSFFKLCDAHEDKKNFKVLDSDDIARYISGRRLLASTSWDKVDFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARGGVNLEFVWEQQAAAHVYPACWKYA >Solyc07g042620.3.1 pep chromosome:SL3.0:7:56210775:56220056:1 gene:Solyc07g042620.3 transcript:Solyc07g042620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEANRTAFIEIQGRMIETTGKLKQVQTQIRNKETEKKRAYLTLEELKQLSDDTNTYKAIGRTFVLEPKAVLMNEQEQKLKDGETAIASLQTSKEYLEKHMAEVENNLRELLQQDPGLARQIMTMSVA >Solyc06g005920.2.1.1 pep chromosome:SL3.0:6:906763:907046:1 gene:Solyc06g005920.2 transcript:Solyc06g005920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSDNLNFFIGIFLSLYLSMIVSIIFILLLPRSRYNSKLGRVDHASSHVLETILIFDDRLYVRKQSIF >Solyc08g074770.2.1 pep chromosome:SL3.0:8:59035004:59035849:1 gene:Solyc08g074770.2 transcript:Solyc08g074770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWKGASGQMPRGVKGGLGAQRLEDGGWGEPHDMAPRGYGLEVERVGERSASGHDSSRLGDSGYSSLRSGAGGWVH >Solyc10g051300.2.1 pep chromosome:SL3.0:10:51802294:51809399:-1 gene:Solyc10g051300.2 transcript:Solyc10g051300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:K4D0T2] MDPEVGLRLLFSPLASNIVVRTACCSVGVVLPVYSTFKAIEGRDENEQRKWLLYWAAYGSFSVVELFTDKFLYWFPLYYHMKFAFLVWLQLPTTDGARQMYMTHLRPFLLKHQARLDQVVGFLYGQMSKFVSMHQAEIKFVRALLMRTFVSANQFASGFIHPERRHVSGAIEERRQQYDTSDSDDEE >Solyc02g081600.3.1 pep chromosome:SL3.0:2:46047538:46049959:1 gene:Solyc02g081600.3 transcript:Solyc02g081600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPQLFLLLKITTLIYLSILVQPTLTFANCTCEPQDNKYNHQTKHKALSYKLIAISSILCSSALGVILPILLKNFKSLQKNDYSPLQFMIKAFAAGVILATGFIHILPDAFESLTSPCLSEDLWGSFPFAGFVAMMSAIFTLMMESFASGYHRRAELRKAQPVNIGDEQGQDEHIDHGPQILLERSDSSSLMRHRLISQVLELGILVHSVIIGISLGTTENPKTIKPLIIALSFHQFFEGMGLGGCISQAKYKVRTIIIMVLFFTVTTPSGIAIGMMISKGYNEQSSTALIVQGVLNSASAGILIYMALVDLLATDFMNPKLYTSFKLQIVANVSLILGACCMSLLAKWGGT >Solyc09g007660.1.1.1 pep chromosome:SL3.0:9:1214611:1215330:1 gene:Solyc09g007660.1 transcript:Solyc09g007660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIFLILFFFLLKCIGILSQAPAPAPGPPPPLNVTKILEKAGQCSTFIRLLQNTQQLNEITSQLNNSNNGITMFVPTDNAFLNMKAGTLNSFNDQQKAELIQFHILPTYYSLTQFQTASNPLRTQAGGTTDREFPINITTTGSSVNITTGIVNASISNTIYTDNQLAIYQVDNVLLPLQFFVPPAPAPAPTPITRKRKAGSSDSSSKQDASSATSLLQEMYNGMIFIFLFSTAFFSSL >Solyc01g110150.2.1.1 pep chromosome:SL3.0:1:96843284:96844200:-1 gene:Solyc01g110150.2 transcript:Solyc01g110150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFNKEKTPPQVNYFNTNPYHFSRKSEANMLRILGKNLRISKSATMVARSESEIDAHDLFDDLSSWEFVNPSDDEQEDSYSFTDQTDDDDDDELMLKEDDSCEIGSPSSDISMKSESESGSPLPVQTIGALVVCHVGFNHQEKYSRDDDQEEDDEEEEEDEEEEDYDYDLDDELVPNWLSDKVGRQRIRKLGKRACSKMNKSRKAPHVFNRPGCVHGKHGFGMR >Solyc01g109280.3.1 pep chromosome:SL3.0:1:96186447:96193990:-1 gene:Solyc01g109280.3 transcript:Solyc01g109280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4B3E1] MEDYGSSDEDTYSSDQESYDGLENEETDSQWGSSTGNSCKVITRESLLVAQREDLRRVMDLLSLREHHARTLLIHYRWDVERLFAILVEKGKTCLFAEAGVTILEEIDVDSSVSSSTMMCGICMEEVAGSEVTKMDCGHCFCNDCWTEHFIVKIKEGQSKRIRCMAHKCFAICDEAVIRKLVSKRHPDLAEKFDRFLLESYIEDNKMVKWCPSIPHCGNAIRVETDEFCEVECSCGLQFCFSCLSEAHSPCSCWMWELWTKKCRDESETVNWITVHTKPCPKCHKPVEKNGGCNLVSCICGQAFCWLCGGATGRDHTWSSIAGHSCGRYKEDQEKKSERAKRDLYRYMHYHNRYKAHTDSFTQESRLRETIKEKVANLESRDSRLRDFSWVTNGLYRLFRSRRALSFSYPFAFYMFGDDLFKDEMTNEEKEIKQHLFEDQQQQLEANVEKLSKVFEDPFDSFEETEIMEMRMQVLNLSVVTDKLCKKMYECIETDLLGALQFSSHNIAPYQSKGIERATELNGGRNAKANNNITCQKAHDQTNGGSIELVHPSGCGTSDESGCSSRKRTREDSGGSFFDLNLPAELIDRN >Solyc03g006460.3.1 pep chromosome:SL3.0:3:1045447:1054157:-1 gene:Solyc03g006460.3 transcript:Solyc03g006460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRKSFRFLARQYKCRSSESLGTNERWNGIFDVKNSSSVQSELQRRYLSSSLNQTGNRCRELTFEGNMLGRAKLPGFVTGIAQGAGPGGIVASRQYGKRSGDGPDLKRASSVQRWLADKKKQRFGKKQKRKLRNTVDQRGTTGFDTFFQVGFKKMFSGASIPEVTPHKKVNPVLKQPPTSQSVTGILEPTSVEEAMVAPLLARSNLLITRDIEWANLMLGFEQENRYAVMDVCFPQSPVGFIREQSNLLARQFLRTRRPFVAYVTDGLGNELFKVRRPFWWINSSIYAEINGEEVGVVHRRWHLWRRIYDLYLGNKQFAVVENPGFWNWTFTLKDIDGKVLAQIDRDWRGFGFEIFTDAGQYVIRFGNADTSICPVTGIEELDVARPLSLSERAVAVALAISLDNDYFSRHGGWGIPLFVVGE >Solyc01g107870.3.1 pep chromosome:SL3.0:1:95154887:95164463:1 gene:Solyc01g107870.3 transcript:Solyc01g107870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Polyadenylate-binding protein [Source:UniProtKB/TrEMBL;Acc:K4B303] MAQVQVQPQGANASGVNQFVTSLYVGDLDVNVTDSQLYDLFNQLGQVVSVRVCRDLTTQRSLGYGYVNYGNPQDAARALEVLNFTPLSGKPIRIMYSNRDPTVRRSGTANIFIKNLDKAIDHKALHDTFSAFGNILSCKVAVDSSGQSKGYGFVQYDSEDAAQKAIEKLNGMLLNDKQVYVGPFVRKQERDMAVDKTRFTNVFVKNLSEATSEEDLKKAFDEFGAITSIAIMKDEDGKSRSFGFVNFENAEDAARAVEALNGHKFDNKEWFVGRAQKKSEREMELKNRYEQSAKEAVDKSQGLNLYIKNLDDSISDDKLKDMFSTYGTITSCKVMRDPSGVSKGSGFVAFSSPEEASRALAEMNGKMIVSKPLYVALAQRKEERRARLQAQFSQMRPIGMASTVAPRMPMYPPGGPGLGQQIFYGQPQPAMLPPQAGFGYQQQLVPGMRPGGGPMPNFFMPMVQQGQQGQRPGGRRGGAVPLQQGQQPVPLMQQQMFPRGRGYRYPPGRGIPDVGFPGVGGGMFSVPYDMGGMPVRAAGIAQPIPVGALATALANASPTEQRTMLGENLYPLVEQLEPETAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMDVLRNVPQQQASNAADQLASLSLNDGLVS >Solyc08g081320.3.1 pep chromosome:SL3.0:8:64504099:64512808:-1 gene:Solyc08g081320.3 transcript:Solyc08g081320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-adaptin-like protein [Source:UniProtKB/TrEMBL;Acc:K4CPC9] MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLDALKDLISDNNPMVVANAVAALAEIQESSSRPIFEITSHTLSKLLTALNECTEWGQVFILDALSKYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQKRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPPQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLSNIATLSSVYHKPPEAFVTRVKTTQKTEEEDYPEAGEQSYSDSPARVADSGASPPASSANPQHPASRQPAAPAALPDLLDLGMDNSGSAIVSVDQPASPAGPPLPVVLPASSGQGLQISAQLIRRDGQVFYSMMFENNSQVPLDGFMIQFNKNTFGLAAGGQLQVPQLLPGTSASTLLPMVLFQNISPGPANTLLQVAIKNNQQPVWYFNDKIYFHVLFTEDGRMERSTFLETWKSLPDSNEVSRDFPASVINSVETTLDRLAASNMFFIAKRKHANQEVLYLSAKIPRGIPFLIELTAVIGTPGVKCAIKTPSPEMAPLFFEAVETLLKS >Solyc01g103710.3.1 pep chromosome:SL3.0:1:92144894:92147622:1 gene:Solyc01g103710.3 transcript:Solyc01g103710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLLALVAVVKAVATPNSAVEPNSSLELPLTSENIESVLDEIRPYLISDGGNVALHEIDGNVVKLKLQGACGSCPSSVTTMKLGIERRLMEKIPEIVAVEAVPDEETGLELNEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRITGPAAGVMTVRVAVTQKLREKIPSIAAVQLLQ >Solyc05g016005.1.1 pep chromosome:SL3.0:5:13403060:13408256:-1 gene:Solyc05g016005.1 transcript:Solyc05g016005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLILCIGSSISVLVIVGALTGRIGSSPLSKKLELEQTCRSFEHQRGGDVKFLCGKKDRLGVFVIRFGFVCFTRVVKRIERGFGCEICDSVVFTRVVKRTGWGFGSHICLFVSRLLEWQRGWSGAELLLVVVWFSSSSEGGSPNG >Solyc08g022030.3.1 pep chromosome:SL3.0:8:33785400:33789817:1 gene:Solyc08g022030.3 transcript:Solyc08g022030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRALPRATLSFSFLWQPKECCFMRLEWKKRLMLMTAYHGRGPSSRIVRSVLDNRKSNITGEEETEPARVLLERLFAQTQKLEQQIGRNIYFPQVAELGLNLGKLESDLLDALAALKKKEDDIQDTERKVLMEYNELNRAKIELEQRVEEMEAANSRQEKLENELRQANLVLVSQAAEIEDLKFRFNEIDQEISAAQIALVSKEDEINKMMIELKNKCDEAAKTESQLRTKGELLDTANEVVQRQEVELQNLRREIQEKEKELQVFLTMQKTEDEKLKVSKSNLEKQAMDWLIAKQEMKKLEEETSKYGGGANRSLEDFRRVKKLLADVRSELVSSQRALTSSRKKMEEQENLLENRLEELEEQRKSVMSYMTSLKEAQNEVENEKMQLTVAEARNKELERDLSMEKELVEELQTENNIKKSSLYVAINEKSALQEELDRKSAEFGETQNLLQVTESELVDARLEIQHLKSQCASLQLMLEEKNKELLDSRKTLDELNQEIAELRVLMNSQEQQLIQATSMLKEKEEFMQIMQLELNDTKKKYLEAETVVEQMVDLTNKLVISVKDDVLSSLSHTDEMWSSQLMEKPTDTFRWHKNHLENELELTRESLRSREMDSLAAQRALKLKEQELKIVRQKLNDREEEINKMKEMTQDADGVRQLYALAQERTGEKSTGYLAVEKLQFERAQLEVEAATSALRKLAEFSRGLLNRASLTIEADYDSSLWLVDIPETAANVSSSFECLAEVYTEMTQLSALSEKLVKEAGILCPQ >Solyc03g123980.3.1 pep chromosome:SL3.0:3:72169202:72178598:1 gene:Solyc03g123980.3 transcript:Solyc03g123980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESPMRIFQDSRKGKWVSAKDTVNFASPMNEVAADELGLLLKGHKIHGHNRNKVPNRSGSAPPSMEGSFSAYGNLVYDQSSGRKLSLASLDNAMQNWQSEEQMRADPSYFAYYNSNVNLNPRLPPPIISRENRHLAHHFADLGDSCQLNSSENSKDGSLHVTRSSLSTHDEEPEDENLPQSASGQHLASFAGQHKSLVDLIQEDFPRTPSPVYNQTRSSGHVAAEEPTDSDMQSLTLDGLSLDISNKHGADACADVLGDHDIAASNQPLAITLEKESCVDSLGKSHSPQKGELPGNDAHLVNELLVGDEIASGILKNVQAPEASKNEDEQYFHSRNAVEQKQQQQYHSQRSTTYQVNGPQVQANTLGTNTLQSSLAKGYGQSWSSSVEVQAAPQGSGLTPPLYATAAAYMASGNPYYSNLSPSGGYAPQYNMGGYALSSPSLSPFLAGYPSMHINTSSGRSISGQNVAPRENIPQVGDLHHLTKFFGHHGLMVHPFPDPFHMQYFHHPHPVDDSHTSPSQHMRFPSPGVFGLEVDAYASQKESNLPSYIAEQNFLRPPIGSLNLPSPGKMIIPGNNYFGSPSGLGFTQQFPASPLGSPVLPGSPIGRRNEIKPSPGSGRNNGLYSGWTAQRGPGSLNDSKRHSFLEELKQSNARRIDLSDIAGRVVEFSVDQHGSRFIQQKLENCSIEEKASVFKEILPHASKLITDVFGNYVIQKFFEHGSHEQRKMLACQLAGQMLPLSLQMYGCRVIQKALEVIDLDQKTELVHELNGHVMKCVRDQNGNHVIQKCIECIPPEKINFIISSFQGQVAILSTHPYGCRVIQRILEHCSENSQSQSIVHEILESAYPLAQDQYGNYVTQHVLERGRPHERSRIIGKLTGNVVQLSQHKYASNVVEKCLEYGDSTERDYLIEEILAESEGNDCLLTMMKDQFANYVVQKILEISNNKHREILLSRIRVHLHALKKYTYGKHIVARFEQLSEQLCNEGILEPVNPRSLNKEFSTRPVDGGIVCSSRTVDCSVSSMQSTSGNC >Solyc03g110900.3.1 pep chromosome:SL3.0:3:63101472:63121436:-1 gene:Solyc03g110900.3 transcript:Solyc03g110900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRRSGSLPSTVKRSASSSDDSSSKRQKVVDNNNNNNNAESSEKPKSPPPTENPKELSSTDPPEFAAVTAPDGETTAVPAAKGEDAPSVSVVATPIAEGATPAIVDKPRSSVTLRKLNQGSETTSPWCRLISEFPQNPTIHVSATNFLIGSSKNAHLPIKQQTVSATLCSIRLTQHEGNWVAVLESRGKGSVQVNGKTVRRSTSCILNSGDELVFGVTGSHAYIFEQLPYELGVKSPPSDVRTSVGSSSAADVSLTSKIPPLDGNLNDSREAGNMPEEREWNRDSIPASAAGVSLRCAVFKEEIHAAIVDGQQLDVSFDSFPYYLSENTKNVLIAASYIHLKHKEQVKYTSELSTINPRILLSGPAGSEIYQEMLAKALAHYYGAKLLIFDSHSFLGGLSAKEAELLKEGGSAHKISANSKQIPGEPDLSKGNGSSSGQVTNANTLTDPLGLEAHPKMEIGNVPSLAGTSKNTLFKIGILGDKVRFIGSASGGLYSNSTRGPTFGTRGKIVLPFEDNALSKIGVRFDKPIPDGVNFGGLCDDGHGFFCKASELRLESTGADDLDKLLISTLFEVVFSESRKSPFILFMKDAEKSMAGSSESYTTFKNRLEKLPGNIIVIGSHAHTDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGKLHDRGKEVTKTTKLLTKLFPNKVTIHMPQDEALLSDWKQQLDRDADTLKMKGNLNSLRTVLNRNGLDCDGLDTLCIKDQTFSVESAEKVVGWALSHHLMQNPDANPDVRLVLSPLSIQYGLEILQAMQNESKSLKKSLKDIVTENEFEKRLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILLQV >Solyc01g066430.3.1.1 pep chromosome:SL3.0:1:74130134:74131036:-1 gene:Solyc01g066430.3 transcript:Solyc01g066430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDTPSMIHDPLIDSTGDGGYALSGKIMLSAIVILFTVVVFMVGLHLYARWYLVSQRRRELLRRRRVNHRRTHIVFYVDNPGSGLSDANRGLEQAVLSSLPVFVYSDKTHPEPLECAVCLSEFEENEKGRVLPKCNHSFHSECIDMWFHSHSTCPLCRSPVEAVLIGPDINRVEVAVTVNEPGSSSGCEENSSHHSNMTPLGCRRKGLDLTGVRIEVPRRNDFEADLEMGVRVSSPAIQSRSPATRLMSLRRILSMNRKTPTGTSHSPSGSCAADFDIETGGSSGGGKIQVGGERITTP >Solyc08g066490.3.1 pep chromosome:SL3.0:8:55237320:55242104:1 gene:Solyc08g066490.3 transcript:Solyc08g066490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVGSKSIMCLIVFVLFYMQQVKSSSELELLLSMKTSMKDPLGSLHDWIPRSQSFCHWNGVVCDDLLHVAKIELSGKNLSGKLSETIFNFPYVELIDLSNNQLYGEIPSNISTCLALRFLNLSNNNFTGLLPQGSRIPLLETLDLSNNMISGKIPENIGLFSRLKVLDFGGNVLVGSIPKSISNISNLEFLTLASNQLIGEIPRELGLLKNLKLIYLGYNNFSGGIPEEIGGLSSLYHLDLVYNNLTGEIPLSLGNLTNLEYLFLYINKFTGPIPRSLFNLKKIVSLDLSDNFLSSEIPELISQLQNLEVLQLFANSFTGRIPNTLSSLPRLQVLQLWSNKLSGEIPKDLGKHNNLTILDLSTNNLTGKIPETICYHNHLFKLILFSNSLHGEIPVSLSHCKSLQRVRLQNNHLTGKLSPEFTELPLVYFLDISGNNLSGSISERRWDMPSLQMLNLARNKFFGTLPDSFGSKKLENLDLSENDFNGTIPKNFGELSELMELKLRSNKLSGEIPNELSSCKKIVSLDLSQNRFSGQIPTSLSQMPVLSLLDLSVNELSGEIPPNLGKVESLVLVNISHNHFHGNLPSTGAFLAINSSAVVGNQLCARGDDITSGLTPCKSLKKSSIWWFFLTFLLGILVLLVFSALVIVFTQRRRELKVKKVESSTQNGNNWEIQFFDSKASKSITLDDILGIGEFYSEISNMQMFVKKLNVNIIPTSFWTNIQEIGNIRHPNIVKILAACKSEKGGILVYEYVEGKDLSEVIGVMSWERRQKVAIGIARALKYLHSSCSPTIFIGELSSRKVIIDGKDEPRLRLSLPTTTAYVAPEYNGISEKSDIYGFGLVLIELLTGKNRGDAEFGKRESIVDWARYCYSECHLETWIEPLLKSDAVNNQNKMVEMMNVALQCTASEPAARPCASDVAKTLDSFVRSNSCGLGLKRCGSV >Solyc06g069110.3.1 pep chromosome:SL3.0:6:43013772:43014716:-1 gene:Solyc06g069110.3 transcript:Solyc06g069110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKKEINTFRLRITLYKPLGYKRYYSLFLARTHTKLISKELKILVKMNKLSVFCMLMLVTLAMCTIEDDVKDCADQLGDLASCIPYVSGTAKMPTPECCEDTQKLKAAKPKCLCVLIKESTDPSLGLPINTTLALQMPAACKIDAKVSDCPSLLKIPADSPDAKIFKITDSASTTTGTSSSPASSTSETKSTTSDTKSTTPTSTNGVAKQISNNIILTMALTVIALIFI >Solyc05g005580.3.1 pep chromosome:SL3.0:5:415622:418396:1 gene:Solyc05g005580.3 transcript:Solyc05g005580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAPGILLKLLNGMKSGVKPTSEHRSSLLQVTDIVPADLDEKNLWPKHGFFIKVSDSSHSIYVSLPDEQDDLVLSNKMQLGQFIYVDRLEPGSPVPVVKGAKPLPGRHPLVGTPEPLMGLRGKGEKVERKFNQSQSAPRRGSWGTGQNGVEAVASSPQVLKPVPIDFDQCTPIKERSSAVKFARIIPMSPLIRGKFSKDGGASGGMVRSSAGGALLSKLMEAKGESPSMVRKSCATPSMMKFPRSKSVTDRDREQRIVNSPLNSATQEKKSSTPPPSLRSARMAASPTVGRDSQRLSNSKKSSLEQQSQPIDSLPHDNTSLTANLPGKLSMLGKEAVQQRENAQRIALQALRDASATENLVRSLKVFSNLSRAAKPDAPTACFDQFIEFHEQLVQAVAEMVSIQSATASSETSQTPKAEQENGVAPILHELVQNSLEESRDSESNASKRRAALYKSIAVFPERSDQKSILGKHLRSTSKAIKGVLNTSINENDENKKPASTSISSSISNTIKLGKQIESESGSWFMDFLEKALEKGLKKAKGKVASDSSSKVPQSLLLKVINWVEVEQFDSNKRAIHPKAGQIARKLRIKVKNP >Solyc06g005390.1.1.1 pep chromosome:SL3.0:6:401323:401766:1 gene:Solyc06g005390.1 transcript:Solyc06g005390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2B [Source:UniProtKB/TrEMBL;Acc:K4C323] MAPKAEKKPAEKNPASEKPSDDKAPAEKKPKAGKKLPTKDVGVGIGEKKKKKVKKSSETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSKLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc02g092710.3.1 pep chromosome:SL3.0:2:54338985:54346136:1 gene:Solyc02g092710.3 transcript:Solyc02g092710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKGRLVGQRWRSIGGLPSIFLLCLFLFFAGLFGSTLVSHQDVQLLTVRPRSRVLKSVEEFDVLPNGDTGEHSLTSIPFQVLSWFPRALYFPNFATTEQCQGIIKMAKAELKPSSLALRKGETAENTKGVRTSSGMFISASEDKTGILDLIEEKIAKATMIPRTHGEAFNVLRYEIGQSYYSHYDAFDPSQYGPQKSQRVASFLLYLSDVEEGGETMFPFENAQNMDVNYDFRKCIGLKVKPRRGDGLLFYSLFPNGTIDPTSLHGSCAVIRGEKWVATKWIRDQEMDE >Solyc01g016910.1.1.1 pep chromosome:SL3.0:1:22803940:22804116:1 gene:Solyc01g016910.1 transcript:Solyc01g016910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLGRAQLRVARSSGSHVCVGGRAAYIATAGVSITILRSGSCNCSRGSVPLHLFNSR >Solyc11g020240.1.1.1 pep chromosome:SL3.0:11:10589396:10589572:1 gene:Solyc11g020240.1 transcript:Solyc11g020240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISMFAKPKIFSLVPILVQLFKVSVNHNSFKLSISYTQTILSNTKSSYYHSKKVGNYN >Solyc09g098230.3.1 pep chromosome:SL3.0:9:72576691:72591924:-1 gene:Solyc09g098230.3 transcript:Solyc09g098230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDLDPDEVFRDDEDDPENEFFKERDNTKEFLVYLVDASPKMFSSTCPTDDEKIATHFQVAVSSIAQSLRTQIINRSYDEVSICFFNTRGKKNLQDLSGVYVFNVREREDLDRPTARLIKEFDQIEERFEKEIGSKYGIVPGSRDNSLYNALWVAQALLRKGSAKTADKRILLLTNEDDPFGNLKGVIKVDMMRTTMQRAKDAQDLGITIELLPLSRPDDEFNVSLFYADLLGLEGDDLAQFKALIGERFEDLNDQLRKRMFKKRRVRRLRLVIFNGLSIELNTYALIRPTNPGTITWLDSMTNLPLKTERTFICADTGAIVQEPLKRFQSYKNENVIFSADELSEVKRVSTGHLRLLGFKPLSCLKDYHNLKPATFVFPSDEEVVGSTCLFVALQRSMLRLKRFAVAFYGNLSHPQLVALVAQDEVMTPSGQVEPPGMHLIYLPYSDDIRHVEELHTDPNSVPHATDDQIKKASALVRRIDLKDFSVWQFANPALQRHYAVLQALALDEDEMPEIKDETLPDEEGMARPGIVKALEEFKLSVYGESYKDEDSNIEGKAEPTRKRKANAIKEYGNYEWADLADNGKLKDMTVVELKYYLGAHDLPVSGKKEALISRILTHMGK >Solyc01g090925.1.1 pep chromosome:SL3.0:1:84490391:84492137:-1 gene:Solyc01g090925.1 transcript:Solyc01g090925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFGKKAMLPMPKVQWISIRKRRQKLKRVSLKNTASCQRIFATQPKLEDSAAVGVEGSKNTSMRIIPFTQANSTMSICRQNLQASFNKQLSRCISFFTVSSLVSYIIVVISEGQLLMYNITITRNGKKRGKCVITELHIQPFSLNPEMKLYHLDLIGAGQGMQMFLMSPSSETSIPSDSHELHAKNENPPTD >Solyc05g052020.3.1 pep chromosome:SL3.0:5:63208483:63216840:1 gene:Solyc05g052020.3 transcript:Solyc05g052020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGEVVNGRGGVCSSETVNGGEDVFSCEKTDASSADHLVIMVHGILGSTTDWKFGAEQFVRRFPDKVFVHCSERNIGKLTLDGVDVMGERLSEEVLEVIKRKPGLKKISFVSHSVGGLVARYAIGRLYRPRRIENTEDLSANACVEGLEDCTIAGLEPVNFITVATPHLGSRGNKQVPFLFGVTALEKAAFHVIHLIFKRTGRHLFLCDNDEGRPPLLKRMVEDKGELQFLSALRSFKRRVAYSNVGYDHVVGWRTSSIRRNNELPKWEDSFNEKYPHIVYEEHCKACEGEQGESVVKEDDSLDKLEEELVTGLSRVSWDKVDVSFHRIRRRFASHTVIQVKDQKIDAEGADVIQHMIDNFIV >Solyc09g056220.1.1.1 pep chromosome:SL3.0:9:47779439:47779633:-1 gene:Solyc09g056220.1 transcript:Solyc09g056220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIDLFFSLMSARVPLVLNFSTMLISHKVYNLLFSRLIGLMFMSLGINPRYTSLDLKVASPCK >Solyc03g043830.1.1 pep chromosome:SL3.0:3:7527018:7528393:-1 gene:Solyc03g043830.1 transcript:Solyc03g043830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRIKSDEPHLSLPLKTPIEYESVNRIPSLEEALNSEIKHGQVDPNMNMKKLRRTISNRLSAQRSRMRKTEYIDLLKKEAKDLEERIAFLGRKIENDKDNNKKLQLENQMLQLQLDSITNKSNLLAVQNEELKAELKRLKEPEDEEDEEYIDIDQYLNFDNMNFSPSRNDGI >Solyc11g010840.2.1 pep chromosome:SL3.0:11:3855236:3862371:1 gene:Solyc11g010840.2 transcript:Solyc11g010840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQNHYRPPIKKFGSGSSSGGDQTAVLHPGQVHFRLLCHVSTAGGVIGNSGGLIRQLEAQTGCRIRFEDPLPNCHERVVNITGDSIIDRKIRVSYEGSDEEVEVVEVSRAQEGLIRVYERVLQVEGNGGAVGCRLLAISGQIGALMGKGGVIVDGIRKSTGAKIKVLTKEQLPACAVVPGEELIQIMGVIAVVKRALVHVSRRLQIRLPAERYKDQATSKGASHEQPVDYPLDTKSSIQPLPRNAVNHSSVAHSLSSDVDRVLNLDADSAQRKVVFRLLCSYISAGGVIGKGAQIVKALEKDTGASIKFSTPTVRSKERVAIIYSLEVRKPLYSPAQVATVRVFERSVEVSREHGHIKAGSISARILVGPHEVKCLLDEKGIVSSDIGSAMRVEVQLLDAENAPNCAAENDKIVQIIGEHDNVRNALFQLTGRLREMVFSSLVSEVAVPTKYSCSCSKSSKHEFGTSMPSQSDHLSSFSTLYQTDHLGFGPNLGGPHTLLQDKSKDRSNNKMGKPVKRSMGSWKSSHGRRESGRMDENETASKPIVVNVPKQKFGSVYGEDGSNLTRLKEISGATVVLQDPGPGECDGKVTISGTPEQIQMAQSLLQAFIFL >Solyc03g121730.3.1 pep chromosome:SL3.0:3:71289209:71294017:1 gene:Solyc03g121730.3 transcript:Solyc03g121730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSAVKNNFLPPGLVSNLQEVLLNRKGAQNDKDQQSKPKDDDPSTQSSSSDLVPDVAADDADSTKPVVLVTNADGIESPGLTCLVDALVRQGLCNVNVCAPQSDKSVAGHSLTLKETIAVTPTDIHGATAYEVSGTPVDCVSLALSGALFSWSKPVLVISGINRGSSCGHQMFYSGVVAGAREALFNSVPSISISLDWRNDESQESDFKDAVSVSLPLINAAIRDIEKGAFPKSCLLHIGVPKSPLTNKGFKLTKQSLWSSKLCWQAKSSTRNLAAGRFLPNQQSLGMQLAQLGRDASAAGAARKLVTQRKNIEVVESVGIAGKSDPDRKVKYFRLELLDKKQEEEDEDLDFRALENGFVAVTPVSLVMHVETDVNAAASKWISSALEVEQ >Solyc01g107270.3.1 pep chromosome:SL3.0:1:94766858:94770765:-1 gene:Solyc01g107270.3 transcript:Solyc01g107270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDRMTECVYAEKLSSFKTSIVPEEVRYIPIMEKGRKALEEINEKMGLAFDEQDLKYYTKLFRDDIKRNPTNVELFDIAQSNSEHSRHWFFTGKLVLDGHPVDKTLMQIVKSTLLTNPNNSVIGFKDNSSAIKGFPVKQLRPTQPGSTCSLDVTDRFLDILFTAETHNFPCAVTPYPGAETGAGGRIRDTHATGRGSIVVASTSGYCVGNLNLEESYAPWEDCSFTYPVNLASPLQILIDASNGASDYGNKFGEPLIQGYTRNFGMRLPSGERREWLKPIMFSAGIGQIDHLHISKGEPEIGPAYHIGMGGGAASSMVSGQNDTELDFNAVQRGDAEMAQKLYRVVRACVEIGEDYPIISIHDQGAGGNCNVVKEIINPEGAKIDIRAIVIGDHTMSVLEIWGAEYQDPESRGLLQAICARERVPMAVIGTINGEGRIALQDSGAIEKCRSGELPPPPPAVDLELEKVLGDMPKKTFELNRMNTLREPLDIACNNSFRLTEESLEASLCLFKKIPLADVAVIAQTYTGLTGGACSIGEQPIKGLLDPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGAAMYDAAVALSEAMIELGIAIDGGKDSLSMAAQASGEVVKAPGNLVISTYVTCPDITKTNLISDELISAGHDISDGGLIVAALEMKFAGNCGINLDLVSSGSSVPQTLFAEELGLLIEVSRKNLDLVLEKLRLGAVSADIIGHVNSSPIVELRVDGVTHLNEKTPVLRDMWEDTSFQLEKFQRLASCVELEKEGLKNRHEPSWKPSFTPKFTDNKYMTASSKPKVAVIREEGSNGDREMAAAFYAAGFEPWDVAMSDLLMEISSLMNLEEFYADVLDSAKGRAASIRFNQPLLNQFHAFYNRPDTFSLGVCNGCQLMALLGWVPGHQMGDVLGAGGDPSHPRFVHNESGRFECHFTSVTIEESPSIMFKGMEGSTQGVWAAHGEGRAYFPDESVFNHILGSTLAPVKYCDDDGRPTDVYPFNLNGSPLGVAAICSPDGRYLALMPHPERCFLIWQFPWYPKNWDVENKGPSPWLRIHKVEKSKKPMKERKNISQKVRSVKKMKAGDAGNKK >Solyc09g074230.3.1 pep chromosome:SL3.0:9:66428860:66432939:-1 gene:Solyc09g074230.3 transcript:Solyc09g074230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDIEQSENRVNEEITTPLIIQTNKRVKEENGCYEKKQDRCMVYLSTFVAVCGSYSFGSCAGYSSPTQSAIREDLNLSIAEFSLFGSILTFGAMIGAITSGPIADYIGRKGAMRMSSGFCVAGWLAIFFAQGALALDIGRLATGYGMGVFSYVVPVFIAEIAPKDLRGALTTINQLMICCGVSVSFIIGTMMTWRTLALTGLIPCAILLFGLFIIPESPRWLAKIGHQKEFELALRKLRGKDADISEEAAEIKDYIETLEKLPKVNLFDLFQRRYSSSLIVGVGLMVFQQFGGINGICFYTGSIFESSGFPSDIGTIIYAIIQVPITALGAALIDRTGRKPLLLVSGTGLVIGCILTGISFYMKGHEMAIKAAPILAVTGILVPSLSFLKLSPTPTLPHFSLCNTCSQTPGLYRFLFSRNGSSSVGCDVRGAAGSLATLVNWFGAWACSYTFNFLMTWNSFGTFVLYAAVNALSILFVIKIVPETKGRTLEQIQAAINAS >Solyc01g096380.1.1.1 pep chromosome:SL3.0:1:87342987:87343931:-1 gene:Solyc01g096380.1 transcript:Solyc01g096380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQQLQHLRYSSKKLSSFAKPVLYFLCFLLAYSIGYLSSSTRNNSKPPDHINTMVADVINRATMKSGGDHNDFGAVCGEAVPPQHIRRNILERVFKGTSPWENFPPSNVESLLRKKWIKGWGSNGAVFENLIRKVRPKTIIEVGTFLGASALHMFELTRGLGLYDTQIICIDDFRGWPGFSDHLRMKDMKMVNGDVLLMYQFMQNVMQANATESVVYMPFSSGSALEKLCEWGVFGELIEVDAGHDFHSAWSDINRAFKLLKPGLEGGVIFGHDYFTVADNRGVRRAVNLFARAHNLTVQVDGQHWVFYINNRL >Solyc10g006215.1.1 pep chromosome:SL3.0:10:903872:910460:-1 gene:Solyc10g006215.1 transcript:Solyc10g006215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGFEIISIYPVLSPPTLTPALSNRVCNALALLQCVASHPDTRMLFLKGRSNDKEVAATIAP >Solyc06g051815.1.1 pep chromosome:SL3.0:6:35589121:35589486:-1 gene:Solyc06g051815.1 transcript:Solyc06g051815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVLADNFVDRAEKFKLPRMAEAKMMQRGSNNKYSAPQQDGLFSKCWIALFLSLSFISNASAELFDENPISF >Solyc01g111230.3.1.1 pep chromosome:SL3.0:1:97466218:97466862:1 gene:Solyc01g111230.3 transcript:Solyc01g111230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4B3Y5] MKKIILLVIFANLFIWVAQAQDQTSWAKRVDTGKEVVTTLQFYFHDKLSGSNPSAVQIAQAARTNNSGTLFGSLLMIDDPLTIGPDPTSKLIGRARGLYGSAGQTDMGLIMAISYGFLDGIYQGSSFSLLSLNPVMNPVREMAIVGGTGLFRLARGYALAQTYSANPNGDAIVGYNVTIVTYV >Solyc08g006200.2.1 pep chromosome:SL3.0:8:900164:906271:1 gene:Solyc08g006200.2 transcript:Solyc08g006200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLNIPIGFMKYLRGQDYIKHALLKSAGKKWLVKVNGRRFKEGWRKFVEDHDLQLGYLLIFRHEGDMEFDVSIFDSTHCGREYVENLITDDVTEKSSSSIESSDEASSHSEASTDKSFGHSRFICNITACCFSYGYLRIPKHAAFANGHVTNKKYDLLIRDEKQRSWNVKLHSCQTQTYIGGGWRKFSADCCLKEGDRIIFEIVTGGETPIWKFQVVTDAKTPMRKFQENATESPKPCVMSSNKDLPDVEAAKDMPWSRPHFICTITHSCISKYLLHVPTLFARENGLDRKCRVKIRDEQQRSWMFKLYVTAHNIFIGGIWHEFCSANFLKEGDRVMFEMFSKGEGLVLKFHGKTGLRIEPSDVPAPKAQLPTPTSANTNPHFIATIKSNTISRSVLYLPMAFIKSTGLMNRRKIILTDEKQRSWPVQLTQRVDRFVITKGFQKFMKANGVQVGDTYKFELIDDRTIHVVYFPCKYAVKDEKA >Solyc10g051190.2.1.1 pep chromosome:SL3.0:10:51623544:51623717:1 gene:Solyc10g051190.2 transcript:Solyc10g051190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ESGLLNTMYKPNSLRRFTRGTNYTGNFMVEIPSFDLYITRIQSQISSYTVAMELEKK >Solyc12g089260.2.1 pep chromosome:SL3.0:12:65347629:65356369:1 gene:Solyc12g089260.2 transcript:Solyc12g089260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGREKSKRVTWASDDSLCQVKLFLSDDSPSQVGLGGAQDHLQAKISLPLHAGLLVSDDNLPPGFEGAQPASLWKNKVAQIPVIKWRRPPSFVLDTSWRVVAGEESNDMEVQKQREMRVLEAIYPRESSIPPNPSMGPGDETLHNDQHTPVVPLTPVEEEEVADPSFGTAVPTNGASSTAQVMQSGVPLGNRSAGNSLPVHGISSPGGVPGLGLDAVAEAQAALTAFMADSGQGNLIDRDLLIKILSDPKIVGQLVTHQGVGTSSHSVPAMNTQSISAANLMPNARPQASSIAAPSQPVVSRANPSFYHSGRTDPPPVQVSRTELVIPSMAGATNGPFHSAPSRIGPVSGLRPRIPEAMSAPLPAPVATMSTPTSSMPAPVARDINYYKSLIQQHGGERQETLPPQYNNHRNNQQLGSVQESQNSYNSRDSKPKIMKPCIYYNSSRGCRHGANCAYLHDASPQQRGVGSLPEVQSSKRMKMDREITGT >Solyc12g062490.1.1.1 pep chromosome:SL3.0:12:33542913:33543281:1 gene:Solyc12g062490.1 transcript:Solyc12g062490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKAMFEQVNSIFQKGIADHRVAAQQKFESVHSPLASALRDSINSASVMTQTLSREFADSLRQLLALGVSGENSQSTNPLNNMNNGSLLHEKIETLPNPTKDISKQLGEYKYKKHSLKHYK >Solyc03g096620.2.1 pep chromosome:SL3.0:3:60267754:60269055:1 gene:Solyc03g096620.2 transcript:Solyc03g096620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSDMLFAFYTSITSSKLGSIECETVRCTMLSKPSLVIILEGRKWEDLDINIWVKILQSFDLFQLIYVIPQVCRAWQSACSDQLLWKTLDLSVLLSNFIRISRKPYVYVNSTSQRKSIRLLNICLNLSCGNIQTLIYHHYLYVKDNQLTFTAKRYSFNELSSLYTDLLFFRYPRLKHLVMPSWNKIKKKNPAYVIARSCKKLSELKIISPCDMLFASALVSFLPNLKLLEGLKKLKVFNLSHFRLEKLLTLISDSCIKCQRTLNDEGMIRWSKYEEDLWKVDEVGSLAI >Solyc08g016770.3.1 pep chromosome:SL3.0:8:9296109:9298976:1 gene:Solyc08g016770.3 transcript:Solyc08g016770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLCTKMMSNIVTSLPSENIVNGNMKKLVKVTPPRPRKKLQLAVIEPGLGSGVSSPDYILDNYLKTLAQRVKYHLGFPENIFYDHHLALAPLLQFHLNNCGDPFTENPVDFHSKDFEVAVLDWFAKLWEIDKDEYWGYVTHGGTEGNLHGIWIGRELLPNGILYTSKDSHYSVFKAARLYRIDLEMINTSTNGEMDYSDLKAKLLHNKDKPAIININIGTTFKGAMDDIDVILQTLEDCGYSHDKFYIHCDAALNGLIVPFTKNVISFKKPIGSVTISGHKFIGCPIPCGVQITRKSHIGYLSRNVEYIASMDATISGSRNGLTPILLWYSLSSKGQIGIQQDVKRCLNNARYLRDRLKQANISVMLNELSTIVVLERPHDHEFTRHWQLSCVKDIAHVIVMPSITRETLDDFFIDLVQKRKMWYQDGSVKPPCVADDIGAQNCACALHNGQYIFL >Solyc12g044670.1.1.1 pep chromosome:SL3.0:12:60778560:60779486:-1 gene:Solyc12g044670.1 transcript:Solyc12g044670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHVTNRSQSSSSQPPVVQKLTDDPVNPKNAQSSVTCVYQSHIGGYWRNVTVIWSKNLMNHSVTLTVDSVESDYHQTCKIDLKPWHFWAKKGYKTFEVDGNQLEAYWDLRSAKFSGSPEPCSDFYVALVSEEEVVLLLGDYKKKAYKKTKSRPALVDALLFYKKEHVFGKKSFSTRAKFDQRKQESDIVVESSTTGPRDPEMWISIDGIVLIHIKNLQWKFRGNETVLVNKQPVQVFWDVHAWLFCSPGSGHGLFIFKPGASEDDSDKEGSSVGGGSDCSDQSKYYSTLSYSKSSPFCLFLYAWKIE >Solyc09g059345.1.1 pep chromosome:SL3.0:9:54607614:54621552:1 gene:Solyc09g059345.1 transcript:Solyc09g059345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQQVDEFCSRIQNLILHSQAQAEEKVVVKSRRLGPYNLPPSYNRDGRKGIGLGFDGGVEMMEETELEEGEAYNYDNYKKNDSTIDPDISPSWSHLRTPQEANKNSRQVSPNNMLPEFALLHPLH >Solyc01g079780.3.1 pep chromosome:SL3.0:1:78776717:78781473:-1 gene:Solyc01g079780.3 transcript:Solyc01g079780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPRYLLLSLLLLNSLLFSFVKSDDEEDNLFRSINSYRTTLNLTTLRENDKAKCLADEIADQFKDQPCTNTTGANTVPGTEPQFSDYPNLLSKCKLNVTTTRDAAIMPACVPNLVPDLVLSNYTMSQYATSLNDTKFTGVGIGSDDNWIVVILTTNNLEGSFTPDNSSANVLFQLGLISQAVFLLMAFLLLL >Solyc03g121030.2.1 pep chromosome:SL3.0:3:70737923:70753997:-1 gene:Solyc03g121030.2 transcript:Solyc03g121030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTPTIHYVIHIESYVSSSSSEAQQAASIDAIALLLKNDLLSLETLVREMEMYLTTTDNIIRSRGILLLGELLMRLMSKPLGDTAISSLMEFFTERLADWKALHGALVGCLALLRRKTGVGMISRSQAKAVAESYLKTLQVQSLGQHDRKLCLQILECLLDRYRDALFSLGDDLVYGICEAIDGEKDPQCLMLIFHIVELLAQLFPEASGPLENFAGDLFEILECYFPIHFTHPKSDDVDIKREELSRALMLAFASTPLFEPSVIPLLLDKLSSSLPSAKVESLKYLSFCTLKYGGDRMEKYTKSLWSALKDALFTSPQSTLSEDSDPIDGLGFHESEIMTQALEFLQVLVRQHNASFLSLIMGDGDISTFLNSFSQFDNFNSLSTQYKQRLHAVGHVLSVCIKASASSCNKVFESFFPRLVDALRLSVDNSHGIVHSAVDANFNFGALYLCVELLAACRQLVVSSDEVASAHDLARDSWCQILHSFSTSLCNVFFCLIRASCVESTRNAYVYAAVKGLEILATFPGSFISVSKLMYENILLTLTSIIESEFNKKFLWKAALKALVEISLFVNKYHEDEKAASFNSIVKQKIVSLISSDDLNMPQSLKLEAVFDIGLTGKNFMLSVVSELEKTISANLSEILVHGDRRLAGLTAGLLECYSNKVLPWFHVNGGADEVSLSFAVNIFTKMEHNTSLSLEAEGKELLGATMAAMKQAMTCCSVESQEKVLQKAIDVMETNSFFFSNNLILGTDLFNKKTQLGQTSEGLSCQDEWIISLFASVVIALRPQTQIPNIRLLLQLLAMTLLEGHIPSAQALGSLVNKLPLNISEDCSLKELIDMLLKNVLWRNISIGKEGNHGDAVAMSNLRSSSLNSHAVIGLAWIGKGLLMRGHEKLKDVTMTFLSCLVSNEDQGNLLPFNDQMKDPAELKVFSLRKSAADAFHIVMSDSDACLNRNYHAIVRPLYKQRFFNIMMPMFLSAIAKCDSSTSRCFLYQAFAHLVSETPLVAVVGDAKKVLPVLMDCFLILSKDISHKEIIYSVLIVLSGILTDKNGQETIIENAPMVIRRLIELTSYPYMMVIRETAIQCFGAMSELPHARIYPMRTQVLQAITKALDDPKRVVRLEAVKCRLAWASIASRSIHF >Solyc10g079140.2.1 pep chromosome:SL3.0:10:60879963:60884446:1 gene:Solyc10g079140.2 transcript:Solyc10g079140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPAFFADNLMGDSPKKVDSKSKGIETAIVVGSKIYVIDGVDHELGSTVGVRIFDKSTGEWRIPIVLGTKPKPSKDFSAVLLNDDRVLVVRGNSGSSECFWFLEVGTPFVREHEKTLGNEVVAWSKGVLGNVEKPIVISGPSGVGKGTLISKLMKEFPSMFGFSVSHTTRAPRAKEQNGIHYHFTDRNVMESEIKDGKFLEFASVHGNLYGTSVEAVEVVADAGKRCILDIDVQGARSVRASALEAIFIFIAPPSFDELEKRLRARATETEEQIQKRLQNARAELEQGKSSGLFDHILVNDDLETCYEKLKNILCLREAVKSAPKTKSFNLSIKHPVSMIDQKLLINCTAAEDENTSTNMYVLDFLLKGGAPGRTRGLNMYAINPLTDDVNGNNQLHLTSSFD >Solyc10g068340.2.1.1 pep chromosome:SL3.0:10:57510028:57510804:-1 gene:Solyc10g068340.2 transcript:Solyc10g068340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEQLSSEIEVSNLSEKHEVSHQSNPTIPFDLPIEIVIEIFLKLPVKSLLEIWFVSKSWHSLITSPKFIKYHLNLSANHNKYFTNHNVILSITQPEHKFKDCFVMEETDLDYHMKNSGISFTIEGFINGLVCLVNKENEVFLWNPTIRKCKKLPNFRTQLKNEGYCTYGFGYDEIHDDYMVVCIFSIVGCPPHFQEIDIYSLKKDSWQIIHCSLNVLRLIGSCKFVNGKFYWTTRINIQSGWSITSFNLINEKWSKVE >Solyc05g050300.2.1 pep chromosome:SL3.0:5:61286421:61287033:-1 gene:Solyc05g050300.2 transcript:Solyc05g050300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKLARRTEFVEARPGEEVPPEDGFSWRKYGKKLVQNIPEYYRCARHYCGATKMVQRTETESLTFEVTYGGSHNCDQAENNNQNEQLVFVVQTHVRRTTGELSEFYIHETPNNSHNNLFSNSNSVFNMNNSVFVPTPTSSTHPVDNNSRTDLLFVHDVPENTRSTYESSANDLDS >Solyc02g092353.1.1 pep chromosome:SL3.0:2:54086103:54086633:1 gene:Solyc02g092353.1 transcript:Solyc02g092353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLFTVTPEESEKEVLWREKEKEIGEVSLDRWNLGGQGKIFGLKLSSLLTFQDPINSLFYNLFLLLNPYLPLQFGWGKILQKLTFQQLVKQLILRGTSYAQNLMISSTD >Solyc11g066770.2.1 pep chromosome:SL3.0:11:52853597:52857544:1 gene:Solyc11g066770.2 transcript:Solyc11g066770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILSEMPKFPERQSSLIKKAEDTETDTADQSAIKLRAQQQNSNALVVTDQHHANGTPPVNQLGPVKVPSTSNVDCDSVDQREAQSNGTLTIVDPQPPSSASPDLLGDLLSPLAIEGPHSAENQSNHSLSAGVEGAAIVEEALALAPIEEQMNTIQPIGSIAERFHALCFKDSGVLYEDPYIQIGSKADWRAHHGRLVLFLGNKNTAPLASVQAIILSPSHLRTELSLVPETIPPRAQVQCPLEVVNLRPSRDVAVLDFSYKFGTHLVNVKLRLPAVLNKFFQPITVAAEEFFPQWRSLSGPPLKLQEVVRGVRPMALLEMANLFNSFQLIVCPGLDPNPNNLVASTTFYSESTRAMLCLVRIETDPADRTQLRMTVASGDPALTFELKEFVKEQLVSIPTAARAAAPPVPPQPQPTSPPPPTSDPGALLAGLL >Solyc11g030850.1.1.1 pep chromosome:SL3.0:11:23569543:23569857:-1 gene:Solyc11g030850.1 transcript:Solyc11g030850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQLSLLSGFGYEICKKISLPSLKSLTYCRSTVGLGQLYFRYSIEISTLQRLAGAGYKVRSHLMSTQSPRWERLKAAACKLNRLHLLPLEFFIGRAKSSASILI >Solyc01g056577.1.1 pep chromosome:SL3.0:1:54724806:54725603:1 gene:Solyc01g056577.1 transcript:Solyc01g056577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLNKACWFTKINLTSGYWQVRIVGGDEPKTTCVARYGSYEFLVMPFGLTIAPATFCNLMNNVLFDDFDDFVVVYLDDIVIYSRTLEEHVNHLSLVLSQLRKYTLYIKMEKCEFAQQEIKFLGHLAPRHVKDLRSFLGLANYYRKFIAGYSKRAAALTNLLKKDTKWVWSERCDEAFQNLKNAIASKPILKFPDFELPFEVHTDASDKAIGGVLVQESHPIAFESRKLNDAEQRYSTHKKKWLR >Solyc01g105980.3.1 pep chromosome:SL3.0:1:93870016:93874862:-1 gene:Solyc01g105980.3 transcript:Solyc01g105980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDGEGIVSSQSQRVNNGRSISPNRVRTGSDPFLVTCRVFSFVTALVAILCIVVNVYSAVRSFKHGYDVFDGIFRCYAVVIAVIVVVAETEWGFFIKFWKVLEFSAGRGMLQIFVAVMTRAYPEDYGQRNDLILLRNIASYFLLTCGAIYIISGLLCFGFIKRARQAKEISREQAINDLQDLERRREELEALLIQERA >Solyc06g005700.1.1.1 pep chromosome:SL3.0:6:756461:758269:1 gene:Solyc06g005700.1 transcript:Solyc06g005700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRTLANHLFYNIGRRANILSYQIFYHSFKDKYNESNSINLDLLHQNGLLHDQFSLNQIISTCAKMGSFSLGIQTHCQIIKMGFDSNVFINTALVDMYGKCGYVKEAEQLFDEMPERNVVSWNALISGYLDTHYPENAIVLFLDMLREGIRPTPSSVSAVLVGCAQLEACEIGAQLHGLSWKVGFALNLVVGTVLIDMYLKCFDLETSRRVFDQMTERNVITWTSMITGYAQNSCSFQALILFKEMLRLGIRANYVTYTSLLSSFSCSDYLVHCEQVHCHVIHQCCKTNHYLVVSLLSAYSGCSCSLEDFRKLCSDVVKWDEISWNAVISGFSNLGVGGEAFSCFSRMRRYGFTVDHYTFASILKAIGCISGLEEGMLIHCLALKTGYASEVIIQNGLLSMYTKCGILEDAEKIFSSMEERDLISWNSLLTGCAHHGYGSDVIVMFEEMRRCGIKPDLTTFLIVLSACRHAGLLDEGLKYFDLMKNDNSLPPPKLEHYACIVDLYARAGHLHEAEDFINNMPIEPGPSVYKSLLNACQLHGNKGLAVISAKKLVELRPNDPATYVLLANVLALEGNWKDAEGQRKLMLDRGLSKKPGYSWL >Solyc02g085120.3.1 pep chromosome:SL3.0:2:48733733:48741413:-1 gene:Solyc02g085120.3 transcript:Solyc02g085120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARTCLLGVLAFGFLCFICLPAEAALKKYQFDVKVSNVSRLCHAKQMVTVNGGFPGPTIYAREGDRVQINVTNYAQYNLHGLKQYRNGWADGPAYITQCPIQTGNSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPQGGTPFPFPQPDREEVLVLGEWWNADVEQVEKQGNALGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEKGKTYLLRIINAALNDELFFALANHTFTVVEIDAVYTKPFTTDAILIAPGQTTNVLVRANRVPGRYFMAARAFMDAPISVDNKTATAIFQYKGIPETVIPKLPTLPAQNDSDFALSYNSKLKSLNTPKYPANVPLNVDRHLLLTVGLGINPCPTCLNGTRLTASLNNISFIMPQTALLQSHYFNIKGVYTPDFPDKPPTPFNFTGAPLTANLRTNVGTRISKIAFNSTVEIVIQDTNLLSVESHPFHLHGYNFFVVGTGIGNFDLKKDPAKYNLIDPIERNTVGVPTGGWTAIRFRADNPGVWFFHCHLELHTGWGLKTAFLVENGAGSDYSEIVKCEQIVQCKTNVNGGFPGPTIYAREGDRVQINVTNYVQYNLHGLKQYRNGWADGPAYITQCPIQTGNSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPQKGTPFPFPQPDREEVLVLGEWWNADVEAVEKQGNALGIPPNMSDAHTINGKPGPLFPCSEKHTFAMEVEKGKTYLLRIVNAALNDELFFALANHTFTVVEIDAVYTKPFTTDAILIAPGQTTNVLVRANRVPGRYFMAARAFMDAPISVDNKTATAIFQYKGIPETVIPKLPTLPAQNDSDFALSYNFKLKSLNTPKYPANVPLNVDRHLLFTVGLGINPCPTCLNGTRLTASLNNISFIMPQTALLQSHYFNIKGVYTPDFPDKPPTPFNFTGAPLTANLRTNVGTRISKIAFNSTVEIVIQDTNLLSVESHPFHLHGYNFFVVGTGVGNFDPKKDPAKYNLIDPIERNTVGVPTGGWTAIRFRADNPGVWFFHCHLELHTGWGLKTAFLVEDGPGSDHNVLPPPKDLPTC >Solyc06g048560.1.1 pep chromosome:SL3.0:6:31337632:31339901:1 gene:Solyc06g048560.1 transcript:Solyc06g048560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQDLRATIFFLLSLLAILPHIIANLDSDKHALLQFAVSIPHLSKLNWNSALSICNSWIGITCNKDKTRVVAIHLPGVGLTGHIPANSIGKLDALQVLNLRANNLNGNLPSDILSIPSLYSIYLQHNNFSGDIPVSFSSTLGVIDFSFNSFTGQIPPTIKNLPRLSMLNLKFNSLSGSIPNLDVSRLSFLNLSYNMLNGSVPYSLRKFPLSSFVGNSNLCGTPLSSCSSRSPSRKGDNFKKHSNEIIIPIAIGGPCVIILLVLFIYFCYINKKVNNNTSMVEQKNEKLEDFENGVQDSEKNELTFFKGCSYNFDLEDLLSASADFLGKGSYGTAYRVSLDEVSMIVVKRLKEVRVVKKEFEQHMDIVGKIKRHPNIVPFLACYYSKDEKLLVCEYVPYGSLSSALYGNGRTRLDWDTRLKVCLGAAKGIAHIHSEGGTKFTHGNIKASNILLTRDLDGCISDFGLSPLMNHTSIKNNKAVGYHAPEVIETRKGTQKSDVYSFGVLVLELLTGKSPLPLPGHEDVVNLPRWVRAVVKEEWTAEVFDAVLMKYHNIQEEMVHMLQIALLCVAKVPDMRPSMGEVIKMIEQIKHL >Solyc06g053770.3.1 pep chromosome:SL3.0:6:36716212:36720287:-1 gene:Solyc06g053770.3 transcript:Solyc06g053770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPSPKHTCLKLVISNKEQIFVKGTWFSSYFDLFITDGLHSWTCHASEEEVEERASQWDQPVSEYIDLGEKYLGFQQPGSVYGFDDAGTGHKRLSWTFEKEGTKLEWRWKCQSSSNSKKTTADILDFLMDANIRLSDEVVSKTESFERMKVEAEKCLTQSEKLSKEKEEFESAIYAKFLGVLNAKKKKLRELRDKLSKQATSVEEPVEEDAQSTDRTETFDEEISGEEVEKDDVGTSKDVPARRGRGRGRKRK >Solyc12g039003.1.1 pep chromosome:SL3.0:12:52695542:52697479:1 gene:Solyc12g039003.1 transcript:Solyc12g039003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHDVFFFVILIFVFVSWILGRALWNFHYKKIQSRKGLFMELISRFFGPFFLVSSLCSLLYHHLVCYTQWTSFDEQSITFESYTIPEDDLELGQSRLLEVENKVVLLAKSPIRFIVTSGDVPHSWDVPSLGVKCDVVPGRLNQTYILVQPEGVYYSQCSEICGTYHAFMPIVVEAVPRKYYGS >Solyc05g009870.3.1 pep chromosome:SL3.0:5:4076622:4079369:-1 gene:Solyc05g009870.3 transcript:Solyc05g009870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLTRNSGFLASVDEDDQHSGICSLQDIGGHVMKIPLICFQILLFMRLAGTPPSAAFIPIPVLFIPLFLLQGAGLLFSVYRLVETVILLVDGERGVRSYFRMSSVIRDSFSCMRHGSRLLGWWSIDEDSREEQARLYYAETETSGYNTFSPDTVKQMPKAKLTEEIWRLQAALCEQSDFTQSKQEEFERLQNEKILCRICFEEHINILLLPCRHHILCSTCCDKCKRCPICRMFIEERLLVNDV >Solyc07g007170.3.1 pep chromosome:SL3.0:7:1927233:1928934:-1 gene:Solyc07g007170.3 transcript:Solyc07g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLAGVECARRRKFHKNNGMFDSSYNISSSTRRSFLCLYTSSHEHNLTSRLSQERNATSQEYEDEKLGEVAREAKQRLDERLSSQWKSQNKRVAKGYKSLGQWRGLIS >Solyc04g015290.3.1 pep chromosome:SL3.0:4:5491564:5497550:1 gene:Solyc04g015290.3 transcript:Solyc04g015290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPRLIQSQEDEFIHGCDVNVGIHHHNRVNGDPCLVLTSDPKPRLRWTADLHERFIDAVTQLGGPSKATPKAIMRTMGVKGLTLFHLKSHLQKYRLGKQSQKDLDEASKDGLTATYSLESPCSGGTPQQLPASDLNEGFEVKEALRAQMEVQSKLHLQVEAEKHLQIRQDAEQRYITMLEKACKMLADQFIGDVVTENHQETYQGLNTKTQLSPLCNPHGLCPSESADFVGVHGPEDVSPRIHPQRTDCSTESCLTSHESPAGLPVEGSSPGGKKRGLSGDSTQASYVWGEADMRSSGVHVLPVNCFGISGSNVQNVSN >Solyc11g045230.2.1 pep chromosome:SL3.0:11:31612612:31618788:-1 gene:Solyc11g045230.2 transcript:Solyc11g045230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGETFMEYLQAEEGLDKFSPHVEYKRLKKVLKSCRACRAALKESNSNGGQQEDHENEGSEICRLESCQLCDQNFFAELKKEASDIAGCFSSRVRRLLQLHTAPGIQKYLVTLRQCFKNDQQAMMQECQILIEYAMMNAIAMQKILKKYDKVHCSVTGRNFKSKMRSERLEILQSPWLIELGALYMNFNESNGGKSNVIFSQFSCNLSDTGSIMTLKVPDSVKLEYDLTCPICLDTVFNPYALSCGHLFCKSCACTAASVMIFQGIRAASNLSKCPVCREVGTYANAVHMLELDLLQKKRFKQYWKERHASERAEMVKQSKIFWDNQTRYAVGF >Solyc03g096380.3.1 pep chromosome:SL3.0:3:59861199:59870447:-1 gene:Solyc03g096380.3 transcript:Solyc03g096380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline transporter 2 [Source:UniProtKB/TrEMBL;Acc:Q9XE49] MGEEKEVISHVITTPPFEVEVPKTLHQIGQDSWFQVGLVLTTTVNCAYALGYAGTIMVPLGWIGGVTGMVLSTIISLYASTLMAKIHQYGEKRHIRYRDLAGFMYGYRAYAIVWGLQYANLFLINIGFIILGGQALKAFYLLFREDHEMKLPYFIIIAGLACVFFAVSVPHLSALGVWMAVSTFLSIVYFSIAFALCLKDGINAPPRDYSIPGSSSSRTFTTIGAAASLVFVYNTGMIPEIQATVRAPVVDNMLKALYFQFTIGAVPVHAVTYMGYWAYGSKSSSYLLYNVSGPVWLRGLANIAAFFQSIITLHIFASPTYEYLDTKYRISGSVLAFRNLSFRTVVRGGYLAITIFLSALLPFLGDFMSFTGAISTIPLTFILPNHMYIVAMRKQISSLQKSWHWFNIVFFSCLAVAALVAAVRLIAMDSKTYHAFADL >Solyc01g065490.3.1 pep chromosome:SL3.0:1:71548200:71548908:1 gene:Solyc01g065490.3 transcript:Solyc01g065490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTTPFDVPRIKLGSQGLEVSRIGLGCMSMSGNYGPPKPEAEMIKLLHHAIDTGVTLLDTSDVYGPLTNEILIGKAIKGIREKVQIATKFGIRFEDGNRGICGEPAYVRACCEASLKRLDIDCIDLYYVHRIDTQLPIEVTV >Solyc01g104920.3.1 pep chromosome:SL3.0:1:93138065:93146773:-1 gene:Solyc01g104920.3 transcript:Solyc01g104920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSLMLLFSLFAHDAIPNGQLGLNAIQRRYAKVSTGDAISVSRFIPPEDFNLALLTLDLEFVKKGTKEEQVDAVSLANQVRKRFANQIMTTGQKVTLEFHGNGYIVTVNQATVEGQEKSNVERGMVSADTYIIFEAANSSGIKIVNQREAASSSIFRQKEFNLESLGIGGLGAEFSDIFRRAFASRVFPPHVTSKLGIKHVKGMLLHGPPGTGKTLMARQIGKMLNGKEPKIVNGPEVLSKFVGETEKNVRDLFADAEQDQKTKGDQSELHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALMRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSYALNRQLSMDDLTKPVDEESIKVTMDDFLHAINEVRPAFGASTDDLERCRLNGIVDCGERHQHIYRRTMLLAEQVKVSRGSPLITCLLEGPSGSGKTAMAATVGIESDFPYVKIISAETMIGLSESSKCSQIVKVFEDAYKSPLSIVVLDGIERLLEYVPIGPRFSNLISQTLMVLLKRLPPKGKKILVIGTTSESGFLESVGLSDAFSVTYHVPTLKTEDAKKVLQQLDVFASDDVDSAAEALNDMPIKKLYMVVEMAAQGEHGGTAEAIYSGKEKINIAHFYDCLQDIVRY >Solyc12g010580.1.1 pep chromosome:SL3.0:12:3552027:3553917:1 gene:Solyc12g010580.1 transcript:Solyc12g010580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLFEKRLIHIYACYYMPLFVNRKQFSYFLPFAKNGINNQIEQAILRANKLGVKVISLAALNKNEGLNGGGILFINKYPNLKVRVVHGNTLTAGVIVNQITKHDVNEVFLSGAIALYLCTKQVRVLMSTSSSERFQKILKEAPYEYQKYLVHVTKYQAAKNCKTCIVGKWITPREQKFAPVGAHFHQFVVPPILPYRNDCTYGDLAAMRLPPHVKGLGSCEYTMERGVVHACHAGGVVHSLEGWTHHEVGAIDVQRIDLVWEAA >Solyc09g020065.1.1 pep chromosome:SL3.0:9:18373690:18376023:-1 gene:Solyc09g020065.1 transcript:Solyc09g020065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEEPLPAMTERLNTNEIDENTEEWICKVQIMREDKECLPQLLSEILINNASSTDFVNAQKT >Solyc04g072345.1.1 pep chromosome:SL3.0:4:59457870:59458310:1 gene:Solyc04g072345.1 transcript:Solyc04g072345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding CRKRVCIAKDLISLLPDEVLFHMLSFLTVEEAANTSVLSRQWLFLAKDLINHKSAWLLGLGDSADSSSCIPHSNDLQILSPVKQNFKSLKVLLFKQ >Solyc03g063510.1.1 pep chromosome:SL3.0:3:36825867:36826735:-1 gene:Solyc03g063510.1 transcript:Solyc03g063510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPPECLLGSGRSEADTYDRRRKDPHFIVPGECKFHRGLEMRGGRKGSGWILESLCELYAVRVARTVTRGVCVYTCSVVLRPTHPICSMIYGSTGATHFDQLAKILNRIRNLWIPIQDHCSSFSGSCSVVTQLPAYKSNSLCSVVTQDKHTARLLAGRIKVIFRSTFNPVKCKKHSRITQRTLLVCFLLTRKERRATGFSSDLTICSMGADSPKNPWEQ >Solyc04g014250.3.1 pep chromosome:SL3.0:4:4543051:4551298:1 gene:Solyc04g014250.3 transcript:Solyc04g014250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNVPHQPLQSPARLGLPTPSSPSLQNPNTAPKFSSQVSQPHQPHQQANILTTTTTSSTLLPLLPPLSRAQSLLIQMASLASRLFEVSPNRSHWLSAFRGSLPSFLPSVAPVPQDSCPSSSKEILSVFTSLQTQLFEAVAELQEILDLQDEKQKLTREVRSKDSSILAFANKLKEAERVLDMLVDDYSDYRRPKQAKLENDTEESSVTTVATQLKLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQDEQLRASQLYIFADLDVGLPKTDEGKKIVEPLIEPLADTNSLANLSAIQGLVPPNIVVPSGWKPGMPVELPTDLPLPPPGWKPGDPIALPPVDSLSLASKSNGLEENAAKKVVEIETEQKSSGQESDQVMKSDPRSDVEQLQQLDKTPVINESSKSENGSMKSRKSVSWSEELVAESPAPRSMPSDDRGLNPYVAYTPAPENNSSSFNVKDTMESVKGVLGRWGKKVGEATKKAEDFAGNTWQHLKTGPSLADAALGRIAQGTKVLAEGGYDKIFRQTFETVPEEQLQNSFACYLSTSAGPVMGVLYVSTAKLAFCSDNPLSYKAEDKTEWSYYKVVIPLHQLKAINSSSSRTNPSEKYVQTISVDNHEFWFMGFLNYSGAVNCLQEALQARNLHSV >Solyc05g006980.3.1 pep chromosome:SL3.0:5:1561189:1563950:-1 gene:Solyc05g006980.3 transcript:Solyc05g006980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGRVINEKLSHCLWMSNSSPSFHGSVSMVNFEDVGRGEVSKERSFFPGIDKEETSNDDYDSCYHQPEKKRRLLPNQVQFLEKSFEVDNKLEPERKVQLANELGLQPRQIAIWFQNRRARYKTKVLEKDYDALKASFDKLKADYDTLFKENEDLRNEVHLLTQKLHIREKGKENSEQSEPISPIDTQEAQKATTPIVVTSNVPKLMCKQEDATSAKSDVIDSDSPHYTDGNHSSNVFEQEPSDFSRDEDDNLSKNFLYFPEIGDQIQANSCNLSFQIEDQPCWFWQY >Solyc11g028120.1.1 pep chromosome:SL3.0:11:20406689:20407180:-1 gene:Solyc11g028120.1 transcript:Solyc11g028120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4D7F3] MVGKGKSLGSTSAKKYRSRSTKAGLHFPVGRISQLLKVGKYAERVGAEAPVFLAVLDLAGNAARENKKTRINPTHIHLAIRIDDELSKLVGDATIVNCGVIPKIYNSHMSNNNSSNSSKVIVATIEEED >Solyc04g010330.3.1 pep chromosome:SL3.0:4:3666270:3667743:1 gene:Solyc04g010330.3 transcript:Solyc04g010330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTNSNARKSTENLIQKKWNHNSPERNMIWIEPKPNNKAIKSVPVIYYISRNGQLEHPHFIEVPLSFSDEGLYLRDVINRLNFLRGKGMGYLFSWSCKRSYKNGYVWHDLSENDLIHPTNGQDYVLKGSEILETSISSRFCEISLPELQKSPSSDENRDSSSSSTTTTRRRNQSWSSFENPQQEYRLVYKCESGREIAGKFMSATAAAANAATQTEEKRRKEENKITELSREDSSPSPPPPSMSSSDGMDGGDGSKRVSVGDQTAEIEFCSGRMSASRVVMHLITCGSSTSADNCSTVKSTKKSRSKNFHYDI >Solyc02g087890.3.1.1 pep chromosome:SL3.0:2:50790986:50792860:-1 gene:Solyc02g087890.3 transcript:Solyc02g087890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQSGIVLFSLPSLACLKYSSILFPRYKFGSIMIYQHSQMETNQEREIEYSRASKMNAYIHSQIMRIRAEDSQLGEDIAKKLRERVVDQDVASVFMLSRPMLPASPLGGKTPIKSAN >Solyc01g056410.1.1.1 pep chromosome:SL3.0:1:54087684:54087941:1 gene:Solyc01g056410.1 transcript:Solyc01g056410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRIENHRQATTFNLNYMLFLFSYYLLFVGAKKAREVVFNVLWDECIHDDISITEAFAIVKAIFAENSKKFDKLDASSRYSDVQM >Solyc09g066300.2.1.1 pep chromosome:SL3.0:9:64976588:64977580:-1 gene:Solyc09g066300.2 transcript:Solyc09g066300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQFLVSFKNQQNNNNTSVTHLTPTGAVSENGFPIIGVAMLGIMAIGFLLVSYYIFVTKCCINWQQFDPLRRFFNTRPRQYEETYTPSRESRGLDELVIREIPTYPYSRNRVGETSFRRCVVCLNEFKEDEMLRLLPKCSHAFHLDCIDIWLQNNASCPLCRSSISGTTAKHPIDMIIAPNSSPQDPHIPLLRRRRSEEDFVVIELSGELGTEAQNSVSTSSDFEQRIDKLDTRKYSMMGDECINVRDKDALFSVEPIRRSFSMDSAADRHVYLSVQQMIRHNRQMISELRNNGESSSRSIRKSIFSFGHTRSKTSVLPFES >Solyc10g076940.2.1 pep chromosome:SL3.0:10:59993151:59999757:-1 gene:Solyc10g076940.2 transcript:Solyc10g076940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLLNNYEDCPGCKVEQLKRSNNGIPVKPVLFISVVTLCALAELYHVKPEHAVRDFHIAEREEDISYYAGYLGQSTIGPSLLLSNFSVFLLTSLSFLEGSSFMLGRALTSILWGIIADRYGRKPVVVIGTITVLIFNALFGLSTNYWMAIVTRFLIGSLCGIIGTMRAYASEICRKEYHALGISAVSTSWGIGLVIGPAIGGYLAQPAEKYPSIFSKESFFGRFPYFLPCLLISVFALAATVMSFWLPETLHKHNKIKQDKNNFQEAVEGSPDESNGLNVSQNINPSERRAPSSQKSLLMNWPLMSSIIVYCVFQLHDIAYLEIFSLWAVSPRTLGGLSFTTSDVGQVLAITGIGLLLFQLLVYPLVERITGPVMISRIGAVLSIPLLASYPYIALLTGFGLSIALNCASMLKNILSVSITTGLLIMQNRAVSQEQRGAANGISMSSMSLFKTIGPAAGGSLLSWSQRRLDADILPGDQLVFFVLNAIELLGLLLTLRPFLQEPEDSDNVPKSKHDSLDQENGMQERLVLTELP >Solyc01g109030.3.1 pep chromosome:SL3.0:1:96021695:96023289:1 gene:Solyc01g109030.3 transcript:Solyc01g109030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRDSTGREFETKLKNWKDGRIWLTEGWQSYAVGCKRDTACKVRDIPDQYGADIFKSGCATQPKNPYFVAKIRAKRRDQLYISIDVVRDYKLELPSRMIIRDSADREFETKLKNWKDGRIWLAGGWRSLCRWNLVEKDDRCICDCERKRQKKPLFAMKY >Solyc09g009660.3.1 pep chromosome:SL3.0:9:3063645:3068223:1 gene:Solyc09g009660.3 transcript:Solyc09g009660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNNNNISWWRNYEGILKTFYPVFLGQVVSFVMALMSFTSSLVANLGANTPLSLSFFSYTALALVYGGIMIYRRQKLQVPWYWYALLGLADVQGNFLVNKAYQYSSITSVTILDCWTIAWVIILTWLFLGTRYSPWQFFGAAVCLGGLGLVLLSDAKASDGSGGSKPILGDTFVIIGTFFFSMSNVGEEFCVKKKDRIEVVSMIGVFGLLVTIIEIPILERKSLESVKWSAELILAFCGYAVASFMFYTFVPFLLEMSGSTLFNLSLLTSDVWAVVIRTFFYKQKVEWLYFAAFALVVTGLIIYSKTEKDSVNAPATNIEEANQRYQLLNEEEEQADHRHHETIS >Solyc10g012195.1.1 pep chromosome:SL3.0:10:4570301:4574093:-1 gene:Solyc10g012195.1 transcript:Solyc10g012195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRKYALEMISEVGLAAAKPVMTPLECNMKLNCVEFDEGSAINDDLFPDINKYQRLKPKRSHWEAALRVIRYIKVEPRKGLLMSANTKPQLTGFCDADWAVCPNTRRSVTGFVLKFGDSLISWKSKKQNTVSRSSDEAEYRSLATLTAEIIWVDKETANALNVVESNIGDYSIPSTPIDNSKSLKIL >Solyc03g033820.1.1 pep chromosome:SL3.0:3:5455258:5457703:-1 gene:Solyc03g033820.1 transcript:Solyc03g033820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTELKLALAKRVLSRVGSIATTIMLVQTIVHNYIPRELYAYLFYGLKNMFTNSSKQLTMVINEFDGLVKNKIYEAATIYLANKLSPHIHRLKISKTVKEKNFNITMERNEEVIDVYNGQTFKWIWLCKQTESKHFYNPRDMDSAEKSIIRSFELTFHKKNKDLVLNSYLTYIVEEATLQKHKNKTIKIHTVGYGKKFCLHNMWKSVNFYHPTTFETIVMDSDQKDMILKDLEKFMTRKEYYWKVGKAWKRGYLLFGPPGTGKSSLIAAIANYLNFNIYDLELTKVTRNLDLRKLLVATTNKSILVIEDIDCTLNLEANLVNHDMNVHSNDFYQSESMITLSGLLNFIDGLWSSCGGERIIIFTKNHIEKLDPALLRPGRMDVHIHMTYCTPSGFKLLATNYLGIKDHKFFKEIEELIDILNVTPAEVAEQLLQEHEVEDSLKRLINFLHKKMKEKEEAMEITQMESVD >Solyc08g016550.3.1 pep chromosome:SL3.0:8:7820223:7821387:-1 gene:Solyc08g016550.3 transcript:Solyc08g016550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQERALECVVVLALDLPGGCKLCLIVNLNSSNLISLTL >Solyc05g052590.1.1.1 pep chromosome:SL3.0:5:63652040:63654424:1 gene:Solyc05g052590.1 transcript:Solyc05g052590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSISGTGAGLFAAVIVLITSVLLPFSHALGSSATTLAVAYGANITICGIVASQPIQRIHCWSENQSESIPISPNVSFNSIAGGVNGFTGVEAGGFSLLFWNSTFQPKRLFFSRSTLLTSVTMGDTQICGLTNGTQNVKCWRDDSNLGKQPNGSSQFMSISSGSGFSCGILKSSNRVICWGNNSDIASAIQSGFGNITVMNIFAGGKHACGMNSTGFLICKGDNENGQLDIPSNFAYEYNGLALGVNHTCGVLRMNYTVVCWGGNGEFSTNLTKDLSFESIVAGLDFTCGLTSGNFSVICWGPGWSSNMYPSGTELPLPMILPGPCVENVCDCGVYPQSKTLCSGNGNICRPCDFSVGVAPPPSPRVFIIVPSSPSKGLRKGLLAFVIVGSVGAIAGICTVVYCLWTGVCFGKKKIHNSVQPTISTNNAAQQCYSSGQISRSSTLRRQGSRLMRRQRSGTSSIHGDRAEEFLFSELVAATDNFSLKKKIGAGSFGVVYKGKLADGREVAIKRGETSPKMKKFLEKERAFESELTFLSRLHHKHLVRLVGYCEEMDERLLVYEFMKNGALYDHLHDKNNVEKSSSVVNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILIDANWIARVSDFGLSLMWPETDTSFSQPMRAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRALSKTSENGDMPMSVVDFAVPAIMAGELNKILDPRVGLPELTEAEGVELVAYTAMHCVHLEGKHRPTMTDIVSNLERALAACDDSHDSISSSPDSIKSD >Solyc12g094450.2.1 pep chromosome:SL3.0:12:65646501:65650442:-1 gene:Solyc12g094450.2 transcript:Solyc12g094450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSGNSGINPELVISHKFPESLHTYTERDAAIYALGVGACTKDAIDDKELKYVYHQDGQEFIQTNLLDVVMEKVLPTFSTLFTVGIPSQMERLPGLQFDPRLLLHGQQYIEVYKPLPSHGCILNKRSIVGLHDKGKAAVLEVEIVSYEKESGERLCMNRLSIYLRGAGGFSKSSQPYSYSTNRNNQSAFPKIPKSQPFAVYEECTHASQALLYRLSGDYNPLHSDPKFAEGAGFSRPILHGLCTLGFAVRTIIKCICGGDQNTIKSVSGRFLLHVYPGETLITEMWLEGLRVIYQVKVKERNRAVLFGFVDLNHLSSSL >Solyc05g018850.1.1.1 pep chromosome:SL3.0:5:24242384:24242590:1 gene:Solyc05g018850.1 transcript:Solyc05g018850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKYCVSLFSVLCHLSSPFNFPFTPLLSLHLQTFLLSLTLPENPSSSLLILHFPLFPFFLSHFSPSS >Solyc02g077340.1.1 pep chromosome:SL3.0:2:42874266:42876922:-1 gene:Solyc02g077340.1 transcript:Solyc02g077340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSCHVMFLRYSPVLLFLIFIISSNIKGETGTLTKKNVLIRPFNNTISAIFVFGDSTVDPGNNNCRPTVTKSNFPPYGRDFVNHIPTGRFTNGRLVTDMTASYIGLKEFVPPYLDPILSLEELMTGVSFASGGSGLDPLTAQISGVIPLEQQLEYFKEYKIRVENEIGEEKTKLLISKAMFLISAGTNDFVINYFNTHLRRHSNTLLDYQQFLLQLTQQFLKDLMTEGARVIGIVGLPPMGCLPVVITMKTAGSPLQPRQCIDSYSEVGKEYNQLLQNMLKNMNTYDTKIIYADIYSPLYDMVQNPNKYGFNNVYSGCCGTGLFELALLCNPNSLVCINASEYIFWDAVHPTQATYYNLFNSLRPTVDVMLKL >Solyc04g015140.2.1 pep chromosome:SL3.0:4:5316914:5321212:-1 gene:Solyc04g015140.2 transcript:Solyc04g015140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFKILLLLSIICLLLTSAVSKGGGGRGGGGGRSSRGSKNRGRRGGAGWRPIPYGGHPASSSASNSLGGD >Solyc07g009333.1.1 pep chromosome:SL3.0:7:4379429:4380281:-1 gene:Solyc07g009333.1 transcript:Solyc07g009333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVFTFVCKGSGDEWSAKQLKGDLEASASCTYDLQRKLVKAALASDSSGGVQSSFSFVTPSSAVFQVC >Solyc10g079710.2.1 pep chromosome:SL3.0:10:61332080:61344457:1 gene:Solyc10g079710.2 transcript:Solyc10g079710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAADLQFHVLAVDDSLIDRKLIERLFKISSCQVTTVDSGSKALEFLGLQEEHDEQNHPCVSPSNQQEVEINLIITDYCMPGMTGYDLLKKIKESASLRNIPVVIMSSENVPSRISRCLEEGAEEFFLKPVQNLIERCLQLYMNQEEVIRTLLDQAKIEPGFTELVWQKLEEENQEFFRAYHVRLMVKDQIERFNDLLERQVEAMQMIPTRPIPNGSQIRQSLRDELAEKNVNVCGRSILQMRKKAHEDLSSGSKAASNMRLRILVEFGIPLLGEDSAHLGLKFWLFFVLGLHLSDDCYTSTVPPNSTCQARDHAGPHVKPENVHQTVNANLSQVYTNGASSLQPCMRSAIDVSAHSRRIDASSNMLLAQSSNLGMLQSARGGMIKSEAGYSGNLPFMYGTETNILETHPGITDPSVSSFSSVESDSQPVNETVLDADSSSFGFLGQIPRNFSLSDLTADFSNSSDILESYSGSAFLATDVNNLFLDPQDRREHQADIKRLDAISEGLTFEDFASD >Solyc02g077200.3.1 pep chromosome:SL3.0:2:42767080:42767716:-1 gene:Solyc02g077200.3 transcript:Solyc02g077200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNLKVRTLLLALLFWGADLAVDVFMFFAASAWEPLLKYYYYDDVGLSTTEVSIFAVTMKLRPGLIDGIKNFDLMTPVSLLFSTVNFSATIL >Solyc07g041620.1.1.1 pep chromosome:SL3.0:7:53710569:53710733:1 gene:Solyc07g041620.1 transcript:Solyc07g041620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCSPTVVPSFCFGLSCVKILECECTWLILFSSQFANADGCLFYHLKLSYMLA >Solyc06g054570.1.1.1 pep chromosome:SL3.0:6:37433182:37433493:1 gene:Solyc06g054570.1 transcript:Solyc06g054570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSKMVTEKPVVIFSKTGCCMSHSIKSFFSDLGVNTAVYELDEMLRTGREIETVLSNLGCNPTVPAVFIGGQMVGGESEVMSLHLQGALKPKLKTAGALWV >Solyc10g076850.1.1 pep chromosome:SL3.0:10:59916611:59916762:1 gene:Solyc10g076850.1 transcript:Solyc10g076850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYFLSEVWYDLLRAIKYFFLFLKFGHT >Solyc07g025250.2.1.1 pep chromosome:SL3.0:7:26960268:26961982:-1 gene:Solyc07g025250.2 transcript:Solyc07g025250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRVAISLFLGGHLPPNLPSFLLRSSSGVSVPHASLLPGALSRSTCGIRFSTFTSFTNSHDYKEVYSDFSKEDVETIEAIFKQPGTHVHNKLEQCGVRPTHNLVTLILSRARNNWEVAFTFFIWATKQTGYVHSVRQYHSMISILGKMRKFDTAWSLIEEMRGGKDRPSLVNPQTLLIMIRKYCAVHEVGKAISTFYAFKRFNLDIEIEEFQDLLSALVRYKNVKDAEHLLFCNTNVFPLNTKSLNIILNGWCLARDDLSEGKRIWRLMKEKRIPRDVFSYCSIMSCYSRAGRLNVVLKLFDEMKLCGVAPDVKVYNAVIHALAKGRLVKQARSVMKMMEENDLAPNAVTYNSLIMPLCKARLPDEAQEIFNEMIQRGIHPTVRTYHALLRSLRTGEEVFEHLQKMNTMGCIPTHDTYIMLIRKFCRWCQLDNVFMLWDKMSKIGLDHDRSSYIVLIHGLFLNGKLEESYKYYHEMKQKGLLPEPKIDEMLQAWVAGRRDSQENKVTCHQENKKVVKFEKADKERDFHKKPEMRKVMRERGFSFWGQ >Solyc04g077080.2.1 pep chromosome:SL3.0:4:62118429:62119730:1 gene:Solyc04g077080.2 transcript:Solyc04g077080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:K4BUE5] MMSKTAIVSGNIKDGKLKLRNEVLPLGRWPDHAQLLYQNRHNFKESGKPLSFKMYKDGSWMDFEKHAMDVLISAFVSGKAIIEVETEAGFKLLIDFYRMFGIDLDTGNELPISWIDVNENNFIPKIFIDDLENENPMIEKRGTEVGSSSQLVIANPTELTPPKWARTRSMREEEYAYQTVKGYLLSSRSGVTITGIHQCMISGVREQVFKDNMEIVTKARRNPKVVLAWYGTSSKNVDAIMYRGFELTRLEQGHRGIGIYLSPLESPQISEMMSDVDENGEKHMILCRVILGNPEKVELGSQQMFSSNLDNFDTGIDDLIHPKLYVVWYHNMKTHILPECIVSYKLDRHMPGKHRSFSLFISFNYFW >Solyc06g005810.3.1 pep chromosome:SL3.0:6:839945:842974:-1 gene:Solyc06g005810.3 transcript:Solyc06g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKGQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVSEQDGQNLAEKEGLSFLETSALEAVNVDKAFQTILTEIYHIISKKALAAQAAATTTTLPGQGTTINVNDTSDNVKRGCCST >Solyc02g067750.3.1 pep chromosome:SL3.0:2:38430301:38432844:-1 gene:Solyc02g067750.3 transcript:Solyc02g067750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNGELQREDMANKSYEEAIVSLQNLISEKGELGPFVAERIDEMTAELQTSSKPFDPVHRIKCGFNYFKTEIYDKNPELFDKLKKGQEPKFFVFACSDSRVSPSHILNFQPGEAFMVRNIANMVPPYDKLRYSGTGAAIEYAVLHLKVENILVIGHSSCGGIKALMSLPEDGSESTEFIENWVKIGLPAKAKVLAEHPNISFEEQCKYCEKEAVNVSLANLLTYPFVRDGLVNKTLSLKGGYYDFIKGEFKLWGLHFGLSHPCSI >Solyc06g069545.1.1 pep chromosome:SL3.0:6:43419992:43422061:1 gene:Solyc06g069545.1 transcript:Solyc06g069545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYGSICTITQALLAPLLRFSFSSTSDIFERRDVPVEKNDPARRLKNPISLIRLVAIREF >Solyc11g061913.1.1 pep chromosome:SL3.0:11:48901152:48903878:1 gene:Solyc11g061913.1 transcript:Solyc11g061913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRQSIGVPEMDRYLREETNIDGDDESKKMILQASISSIKRNTREIEPHSDFTTEDFCLQAIIYIEKILKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLKRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGI >Solyc07g049775.1.1 pep chromosome:SL3.0:7:60278360:60279139:1 gene:Solyc07g049775.1 transcript:Solyc07g049775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKDGNVYLMNLNTYERLRKHCITYIRCNKQGTRPLLSSRSVQALHNNRTLPSLASEFS >Solyc10g049610.1.1 pep chromosome:SL3.0:10:46176313:46176845:-1 gene:Solyc10g049610.1 transcript:Solyc10g049610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCSMYNTFEYNKKGCPTLKNVTAGTNTGTSNIVVGISAATIENAAISYFTYIDAAIESHSSVSVGLSADCTPSASCTTCAGPKAVSRAGLSAGYTPSAAPSASCIPCVGSSAGPKAVSRVCPSAGPRVGSNACCTPNAGCLHPYVTQKIIN >Solyc06g036675.1.1 pep chromosome:SL3.0:6:27236784:27238157:-1 gene:Solyc06g036675.1 transcript:Solyc06g036675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPGDDLPEMKNLIGLEIRDQDLDQLKYLGMEVARSKEGIIVSQRKYVLDLLKETNMSGCCPVETQTDPNIKFGNKEGNSVD >Solyc03g007120.3.1 pep chromosome:SL3.0:3:1712843:1718831:1 gene:Solyc03g007120.3 transcript:Solyc03g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSPKDPSVLSYPKSLLSIVTTVGGLAVFLILASSFLVSQPIGAAVHEYFYGVHQLTRPNLLDGDRVKFADPRRDNVGINGTPNFNLTAKENNEKGLKDEKDQTYKSQDEKKELDSKGELVENSTQIPVLSESNHSVVQPHPVSKQEDKKSNNVSSQKESKNEGTLPSSDTHSEDVKSSSTGPNSVNQAKLDPECDLYHGKWISDPSGPLYRNDSCPVLTQMQNCQGNGRPDKDYENWRWKPAQCELPRFDPKKFLELMRGKTLAFIGDSVARNQMESMLCILWQYEVPKNRGNRRMQRYYFRSTSTMIVRIWSSWLVNQTSGPLDFAPAGVVKLHLDVPDDGFMQYIPQFDVVVLSSGHWFAKQSVYVLNNEIVGGQLWWPDKSRKMKVNNVEAFGISVETILTAMAKHPNFSGVTIVRSFSPDHYEGGAWNTGGSCTGKVKPAEDGELAENGFTNIMHEKQFSGYTRAIKKKNNKSALLFMDITGVFAYRHDGHPGPYRSPDPNKITKRGPDGKPPPQDCLHWCMPGPVDTWNELVFDLVRREFERRQSNAS >Solyc07g063720.1.1 pep chromosome:SL3.0:7:66235514:66238610:1 gene:Solyc07g063720.1 transcript:Solyc07g063720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CH55] MKGKHFLFSCSIFLPVLLISTALDTITTEKPIRDGDTIISAGGVFELGFFSPGNSKNRYVGIWFKKIATRTVVWVANRNFPLNDNSGVLSLNPNGILVLLRNSNASIWSSNSSRLLTNPKAWLLDSGNLVVTDGNDSDPEVNFAWQSFDYPGDTLLPGMKLGRNLVTGMDWYIESWKSSDDPAPGEYIERLDSHGYPQFFVWQNSSIVYSTGPWNGITFSSSPKNQPAIYYAFEFVIKQKEIYFKYELNESLPTRVVINQAGMVEHLTWIERNQRWIVYVSTQSDNCDRFALCGPYASCNINNSPPCDCLQGFEPRYPEQWYAVDWSNGCIRKTSLSCNQDGFLKFTNIKMPDSRHSWYNVSMNLEECKKMCLADCNCTAYSNLDIRNGGSGCLLWFGELIDIREYNKNEQRLFVRVAASELDPVRTWRGKWPALIAVISALAATFILIFVAWFTFQRRNKKTDKHTGGSEVGKNDLELPLFDLVTVTTSTESFSSANVIGEGGFGQVYKGILPDGQEIAVKKLSKYSGQGVQELKNEIVFISKLQHRNLVKLLGCCLEGEEKMLIYEFMPNSSLDCFIFDPSRKASLTWKNRFEIAVGISRGLLYLHQDSRFRIIHRDLKTSNILLDGNMNAKIADFGLAKIFGGEQVEGNTKRVIGTYGYMSPEYAVDGKYSIKSDVFSIGVIILELVSGRRNRKFRHLEHHHNLLGHAWLLWTEDKALELMDECLKESFAESQVLRCIQVGLLCAQKHPEDRPTMASVVFWLGNEGLVLPQPKQPGFFIERNSMESTESAQFIS >Solyc01g014530.2.1 pep chromosome:SL3.0:1:13962390:13963682:-1 gene:Solyc01g014530.2 transcript:Solyc01g014530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMWSTGRIDTGNFVLVGVGSSVLWGIFRCPTDDTLMPTQILEINNKLVARKSEPLFVPDRFYLRMLSDGNLVLVTQSKPTNFNYDAEYYNSHTSNSGEEANSDIGSGVCGFNNVCHLSENQRPYCECPKGYSLIDPNNKYGSCKPKFVPSCDEFGQGNPEDLYDFDVVTDVDWPLSDF >Solyc04g024940.3.1 pep chromosome:SL3.0:4:27320387:27323908:-1 gene:Solyc04g024940.3 transcript:Solyc04g024940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNFSHRPIFPAHISEDNLVSPMRIVNGCLVEGVPENNVENFAWSWRVCREPRDGFEYGYGRETVDKCDYSESVSEDIIDLLPADPFGMDISTTITAITGWLEYLEVDYGGCRRNHASPSNEDCGLIAGFDFVWNDSMRFQSFPINHVQSDDKLNRGEPVSLLIGERDMRDALAHVGLGSACNVGDTAGLNNVGSVSFFPLTMGTGSGATSCSGKDEGAPHEALSFALGYLGVKDLLTVESVCRSLRLTVKTDPLLWKSIHIENPLTEISDDILLQLTSRAQGNLQCLSLLECTRITDDGLRRVLDSNPHLTKLFVPACTRLSIEGIVNMLKSFNCQRGKMGIKNLWIGGLYGVMREHFEELEFLLGSDSQTRQNVYKPHFFSRRNIYRLADDSRAIDVQLCPMCKKVRLVYDCPAEGCQVKDEAIKECRACSLCILRCSECGRCINNTEYVETFCLELLCSDCSKQPLECKNEQKCSL >Solyc04g077490.3.1 pep chromosome:SL3.0:4:62512499:62519587:-1 gene:Solyc04g077490.3 transcript:Solyc04g077490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AINTEGUMENTA homolog [Source:UniProtKB/TrEMBL;Acc:A0A060PVV0] MKMKSMNDDSRSSNNNISNNNSAAANTNWLGFSLTPHMKMEVTNSSDTQHQHQFAQSFYLSSSPPPPMNVSTTSALCYENNPFHSTLSVMPLKSDGSLCIMEALSRSHADAMVQSSSPKLEDFLGGASMGNSQYGSHDREAMALSLDSLYYHQNEEEIQVHSHHPYYSPMHCHGMYHQETLLEETKPTQISNCEAQMTGNELKSWGQYAEQHAIDQHINATCSMVAAAAAAASGGGGGTSGCNELQSLSLSMNPGSQSSCVTPRQISPSGLECVAVESKKRASGKVAQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQKELDDMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFDISRYDVEKIMASNTLPAGELAKRTKERESIEYNNNTGGVGGQKNEECVDNNNNGTITDWKMVLYQTSNPSLGSSYRNPTSFSMALQDLIGIDSMTNSNNHHHATILDHEQNKIGNPFSNASSLVTSLGSSREASPDKSATAASLVFAKPTKFAVPTATSVNACIPSAQLRPIPVSMAHLPVFAALNDA >Solyc12g011240.1.1 pep chromosome:SL3.0:12:4087376:4088861:1 gene:Solyc12g011240.1 transcript:Solyc12g011240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLSPSPVVEIGNFQSPIIPTMQLHYDELMSLQQSQFYYQPVNDFSFLENHGPMRLFGEQNIINNNVVSYQDPFMLNPNVLNFNVAHQVQQELGQQPNNVGSTSRVKPPRMLWTEDLHRKFVAVVDAYGGPWVVKPRHILKEMTHLGISHCQIKNRLQSYRAKLNPNDAGGRKCKASSKKELIQDVQEQLKNVDGGKADDDVCIPQLPTEAEKTKAQISPEDAFIEELLNWMVVKQDI >Solyc01g067100.3.1 pep chromosome:SL3.0:1:75278575:75281247:-1 gene:Solyc01g067100.3 transcript:Solyc01g067100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSAMNLLLNFQVFVRKVIELHDKYLAYVNNCFQNHTLFHKALKEAFELFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDEHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQASFEEYLSNNPIANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVRCVEVFKEFYQTKTKHRKLTWIYSLGTCNINGKFEPKTIELVVTTYQASALLLFNASDRLSYQEIMTQLNLSDDDVVRLLHSLSCAKYKILNKEPSTKTISPTDVFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGYQQLVMECVEQLGRMFKPDVKAIKKRIEDLITRDYLERDKDNPNLFKYLA >Solyc03g025320.3.1 pep chromosome:SL3.0:3:2786653:2789806:-1 gene:Solyc03g025320.3 transcript:Solyc03g025320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alcohol acyl transferase [Source:UniProtKB/TrEMBL;Acc:K4BF05] MTKEEIATTNVNILKKSNVKPQKPLGKKECQLVTFDLPYLAFYYNQKLMVYKLGAESFEETVEKLKDGLALVLEDFYQLAGKLGKDDEGVFKVEYDDDMDGVEVIVDEAQEIQVANLTDHHEGINKFQDLIPYNKILNLEGLHRPLLAVQLTKLKDGLAMGLAFNHAVLDGTSTWHFMTSWAQLCSGATSISVPPFLERTKARDTRVKLNLSKPSDAPEHAKSETNGDVSASVDPPMRDRVFKFSESAIDQIKSKVNTNPGEGANNTTPFSTFQSLSAHVWLAVTRARQLKPEEYTVYTVFADCRKRVDPPMPESYFGNLIQAIFTVTAAGLLLSNPIEFAAGMIQQAIAKHDAKAIEERNKEWESSPKIFAYKDAGVNCVAVGSSPRFKVYEVDFGWGKAEIVRSGLNNRFDGMVYLYPEKNGGRGIDVEISLEANAMERLEKDKEFLMEA >Solyc01g008440.3.1 pep chromosome:SL3.0:1:2457096:2463776:1 gene:Solyc01g008440.3 transcript:Solyc01g008440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCVKPGKSAEKKNKKNNSKPNPFSIDYGGTKHASGSGNKLVVLKEPTGQNIHDKYDLGHELGRGEFGVTYLCTDLEGGEKYACKSISKKKLRTAVDIDDVRREVEIMKHLPVHPNIVTLKDTYEDDNAVHIVMELCEGGELFDRIVARGHYTERAAAGILKTVVEVVQMCHRQGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSDNAKDLVKKMLDPDPTRRLTAHQVLEHPWLHNIKKAPNVSLGETVKARLKQFSVMNKLKKKALTVIAEFLSAEEVAGMKEAFEMMDTGKKGKINLNELKDGLQKLGHQIPDADLHILMEAADVDGDGSLNYPEFVAVSIHLRKMANDEHLHKAFSFFDKNQSGFIEIEELRSALRDEDDSNSEEVTNAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMREGSLQVENKV >Solyc03g110950.1.1.1 pep chromosome:SL3.0:3:63158947:63160539:1 gene:Solyc03g110950.1 transcript:Solyc03g110950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHDMANVFLSLEPCNGDQIGYDPMENSLYLTHHKELSYSLNPYTSVLKRNAPTNNMIISSLSNDSASFKRLRRTPSLGESFGSNTTFYSTESSSTGGSLPRIGSSNSVNSLSLQPGIHFRDHVWALNQRYLAAEAFEEAAADIINQEEENGEGMKLVQLLITCAEAVACRDKSRASVLLSELRASALVFGTSFQRVASCFMQGLSDRLALVQPLGTVGYVATPAMNKTDIALEKKEEALRLLYEICPHIQFGHFVANCLILEAFEGESFIHVVDLGMSLGLPHGHQWRRLVQSLVNRPGQPPRRLRITAVGQNIEKLQIIGDELEDYARSLGINLEFSAVESNLENLKPKDIKVYDGEVLVVNSILQLHCVVKESRGALNSVLQVVHELSPKILVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDVMLPKYDTRRAKIEQFYFAEEIKNIVSCEGPARVERHERVDQWRRRMSRAGFQAAPIKMVSQAKQWLAKVNGHEGFTITEEKGCLVLGWKSKPIVAASCWKC >Solyc11g071950.2.1 pep chromosome:SL3.0:11:55561677:55568801:1 gene:Solyc11g071950.2 transcript:Solyc11g071950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPGGGHSGGGHYGGADAGADATAFPIGQGSEASRSYYQGQRTDPRLALENQGGKNPRALPREEGMDIGYEDKPVQQTLEGLEKKFLDDIMKLTKEQNDAEDAENSRHRERINAINTQYQEQLVALRARQANHRDEVLRRECHARKQQYEQVALDNYRHSNMSTSNARGYVGNSPAGERQVAYNSPAGERQAAYNADSYDSYRENARYIGNSGRDHGYEPRVQYPGGRVYETGSRYY >Solyc10g018780.2.1 pep chromosome:SL3.0:10:10190479:10192099:-1 gene:Solyc10g018780.2 transcript:Solyc10g018780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYNWGEQSSVMLSNDEQNQENEQNREFFDPYGPNTQTYTENPYPHQHFPNIQHQNHQFFHTQNNPTTLYDPRSYETCYTPHPHPQSSMLQQNSGFMVVPKSEPNQFVGNGSGIDFGSSSSSRIGLNLGGRTYFSSSEDHDFVNRLYRRSRAVETAGSIMNTPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGITQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKNQQANLENSNKDTTTNSPSDTLARSPADSGAHCSSVTVAISPPRISLDVFRQRP >Solyc07g043347.1.1 pep chromosome:SL3.0:7:57170982:57171480:1 gene:Solyc07g043347.1 transcript:Solyc07g043347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGDFHYFLGVHATHPREYRSIVGALQYLTLTHPDISYVVSVVSQLMHAPRTTHMHSMKRIFRYLQGTITHGLFLSASSSNSTMVFYSDADWAGCPDSRRSTTEFTVILGSNLIS >Solyc04g009153.1.1 pep chromosome:SL3.0:4:2658294:2661183:1 gene:Solyc04g009153.1 transcript:Solyc04g009153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLEDEDVLSKHQSSFHHFTDFVIHFFKNWGFPHTGSFPAYKSQTGYQRVQQWVFEINFVANDAVAILETYRFEASKGEDARFASRLKAYTCICRKEKKFYNASKEIKSLKHRIMDISRERDTYGIRDINNAGEGSSNLPNNQHDLVRTLRRTTSYVDEDHIFVGFQDVVQTLLAVLLKPEPHRSVISIYGMGGSGTTTLARNLYISSTIISSFPTRAWICVSQEYNTMDLLRNIIKSIQGCTKETLDLLEKMTEIDLEIYLRDLLKEPKYLVVVDDLWHREAWESLKRAFPDSKKAAELLLLHAKRMSLKEQITKVLSIDFAS >Solyc01g097250.3.1 pep chromosome:SL3.0:1:88040001:88047550:-1 gene:Solyc01g097250.3 transcript:Solyc01g097250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEWNEVSKLPADYIRREDMEMKDVAMKYVLPFLPGKSLMKFRAVSNEWNHWIGCPLLAYQQSTSFQKLSGYFHQIVDVDLQSDPNFLSLDHSANGVPNPSLGFLPEGIKILSSSSGLLLCQGLESYYVCNPLTEDWKCIPPHQYYHGSNPAVILAFDPEGNIESYFHLVAAFPLLDQPVVLFEIYSSESNSWRRSSSECLELEDTTLVGGGLYMKGMGHQLPPFSCCSPPSAPAIMRSRPPLVRRRSPTHYDTGTPTYQPNGFDQHDLDSGARKTKHKGKNVVWSPAMDKCLIEALSIQARNGNKVDKCFNENAYNAACVAVNSHFSLSLNNQKVVNRLKTIKKRYNTIRNILSQEGFSWNPNTNTIDCEDDDLWKRYVAAHPDARTFRGKQIAMYEEMKIVCGNYQAHSRWARTPGKVNGNPVIECKYEQESASYLSASSDHMNDSDGTETQSSAKEPVYTEMLANNEDEDEPEAQPEGQTAKRTRSSETLQDAMLAIASSIRHLADTIEQSKYTIDTPALLQAVMEIEGLEESKQMYAFEFLNEDATKARAFMAYNRRLRRIYLFRLFGWWR >Solyc03g059435.1.1 pep chromosome:SL3.0:3:31925860:31928715:-1 gene:Solyc03g059435.1 transcript:Solyc03g059435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLLNLKSVNPCHSYKIFGIYFMPANNAYQLDPGPLDPSVLIGQLTHRSRDIWIGNDNMILNTRKCDGKFWDLVNEHLIHPRVLGVIKLSGLYGVYRSNRPVIDRSLISALVERWRPDTHTFHFRTGESTITLQAVEILYGLPVKEPPKVAKMRLLDSYHYFSNALDSMTKDQVDVFVYGFFILIRVMFKTS >Solyc07g026910.2.1.1 pep chromosome:SL3.0:7:32428799:32429233:-1 gene:Solyc07g026910.2 transcript:Solyc07g026910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGLILGGLGVVLLPNPIYSAFLLGLVLVCTSLFYILSNAYFVAAAQLLIYVGAINVLIIFAVMFMKGSEYNKDFHLWTVGDGITSMVCISLFISLITTISDTSWYGIILTTRSNQIIEQDFLSNSQQIGIHLSRDFFSHLNLFP >Solyc02g049104.1.1 pep chromosome:SL3.0:2:3957708:3958195:-1 gene:Solyc02g049104.1 transcript:Solyc02g049104.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPPLENTYGETTSGMTCHHNPWTAHTVRRHRRDMPSYHLDGTHGLTTSGVTPQTDRRRQACHAIIAFGQHTQSDGVGRVMPFPPLDNTYGGTTSGVTCHHSPWTAHTVRRRRPWQDIMALGQHTRSDVVRLGMPS >Solyc05g042128.1.1 pep chromosome:SL3.0:5:55858676:55859098:-1 gene:Solyc05g042128.1 transcript:Solyc05g042128.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTDQSRISVADSTNNTPMYKPPTIAPGIQKLLNIQNNNLETWKFDQEVCRRALVEMIIWDELPFSFV >Solyc09g018803.1.1 pep chromosome:SL3.0:9:17146774:17147428:-1 gene:Solyc09g018803.1 transcript:Solyc09g018803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVDYKALNKATVKNKYLVPLVQGLMDRLIKACWFTKLDLRAGYGQVRIQEGDEPKITCVTRYGSYKILVMPFGLTNSPTTFFNLMSNVLFDYFDDFVVVYLDDIFIYCEILEEHKIHCLLLKKGSGFDKLVEETYEVGLVLTI >Solyc07g032100.3.1 pep chromosome:SL3.0:7:35390087:35399256:1 gene:Solyc07g032100.3 transcript:Solyc07g032100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4CDF4] MLTKFETKSNRVKGLSFHTQRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTISPADDLLRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHPRQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFSVSSDSLFYVKDRFLRVYEYSTQKDTQLIPIRRPGSNNVNQGPRTLSYSPTENAVLICSDTDGGSYELYIVPKDSHGRGDTVQDAKRGTGGSAVFVARNRFAVLEKSTNQVLVKNLKNEIVKKSLLPMATDAIFYAGTGNLLCRAEDRVVIFDLQQRIILGDLQTSFIRYVVWSPDMESVALISKHSIVIADKKLVHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDCGIVKTLDVPVYITKIYGNAIFCLDRDGKNRPIIIDSTEYVFKLCLLRKRYDQVMSMIRNSELCGQAMISYLQQKGFPEVALHFVKDERTRFNLALESGNIEIALESAKKIDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNVEKLSKMMKIAEVKNEVMGQFHDALYLGDVRERVKILENAGHLPLAYITATVHGLNDTAERLAEEVGDNVPSLPKGKKSSMLLPPTPILGGGDWPLLMVTKGIFEGGLDIAGKGGQDEYEEATDADWGESLDIGEVENLQNGDISMVLGDEEGQEGNDEEEGGWDLEDLDLPSDADTPKTTSNARSSVFVTPTPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIRNFSPLKSLFIDLHVGSHTHLLAFSSAPVISVAIERGWSESASPNVRGPPALIFSFAQLEEKLKASYKATTGGKFSDALRLFLSILHTIPLIVIESRREVDEVKELIVIVKEYVLGLQMELKRKELKDNPIRQQELAAYFTHCNLQLPHLRLALQNAMSICYKAGNLSSAANFARRLLETNPTNESQARTARQVLQAAEKNMRDVTQLNYDFRNPFTVCGATYVPIYRGQKDVTCPYCGTHFVLSQQGGLCTVCDLAVVGADASGLLCSASQIR >Solyc11g067210.1.1.1 pep chromosome:SL3.0:11:53260644:53263259:1 gene:Solyc11g067210.1 transcript:Solyc11g067210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRSCLEKVRPFLHFKNPLNTHCCSHSFAIDFEEEAQTSCSVSSNYLSAHQVFDEKSQRVSLNNHLLFEYSRNSFNVEALNLFVGIHRNGFLIDGASLSCILKVSACVFDLFFGKQVHTLCVKSGYFDHVSVGTSLVDMYMKMENVDDGQKFFDEMEDNKNVVTWTSLLSGYSCNKLVDRALQVFRVMLVGGVKPNGFTFATVLGVLADKCVVEEGIQVHSMVIKCGFEAITSVGNSLINMYLKYGMVREATTVFEVMGDRNEVSWNGMIAGLVTNGLYSEALKLFHKMRLAGVDMTRSIYVTAVKLCTNLKELVFARQLHGRVMKNGFYFDNNIRTALMVSYTKSGEMDDAFKLFSIMHKFRNVVSWTAMIGGYMQNNRPEQAANLFCQMKKDGIRPNDFTYSTILAAHPSISLFQVHAEVIKTEYQSSPTVGTALLDAYVKTGDTDEAAKVFEEIDEKDIITWSAMLSGYAQKGDIQGAVRVFRQLVKDGVRPNEFTFSSVINACVTSIASVEQGKQFHCSAIKSGHSNALCVSSALVTMYAKRGNIESANEIFKRQPERDLVSWNSMISGYAQHGYGRKALKIFEEMRKRNLDMDNITFIGVISACTHAGLLNEGQKYFEMMVNDFHISPKMEIYSCMVDLYSRAGMLDKAMSLINKMPFPAGAIVWRTLLAASRVHRNVELGKLAAENLISLQPQDSAAYVLLSNLYAATGDWQERAKVRKLMDVRKVKKEIGYSWIEVKNKTYSFMAGDVSHPLSDSIYMKLEELRGRLKDAGYQPDTNYVLHDVEDEHKETILSRHSERLAIAFGLIAAPPGIPIQIVKNLRVCGDCHTVIKLISKIEGRQIVVRDSNRFHHFKGGLCSCGDYW >Solyc08g014310.3.1 pep chromosome:SL3.0:8:4121316:4124846:1 gene:Solyc08g014310.3 transcript:Solyc08g014310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIYTHTNSSAITITTNGHHNNSKPDNRNSRTNHENGNKLLLGNSNSIKPGWFSEFSALWPGEAFSIKIEKLLFQGKSDYQDVMLFESATYGKVLTLDGAIQHTENGGFPYTEMIVHLPLGSIPSPKKVLIIGGGIGFTLFEVLRYPTIEKIDIVEIDNVVVDVSRKIFPYLAANFNDPRVTLVLGDGAAFVKAAQAGYYDAIIVDSSDPIGPAKDLFERPFFESVAKALRPGGVVCTQAESIWLHMHIIEQIIANCRQVFKGSVNYAWTTVPTYPTGVIGYMLCSTEGPEVDFKNPVNSIDKDTTHVKSKLQPLKFYNTDIHKAAFVLPSFARSLIES >Solyc01g100260.3.1 pep chromosome:SL3.0:1:90205905:90211827:1 gene:Solyc01g100260.3 transcript:Solyc01g100260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANLPILPDAISIPARNGTSENLFAAVDIGTNSFKLLVVRADPSTGRFLTVDRFKERVLLGLDTNTTTTSAIISNASLLRATTALRKFQKLLQSHRVPSSHSRIVATSAVREASNRSQFLQTIDETLGLKVDVLSGLEEARLIYKGILQFHPVHDRTVLTIDIGGGSTEFVIGKEGQILFSISLALGHVTLTQKFPEVTEMREHIKVIIRASGLNEKVKHYNIDKVIGSSGTIKNIEKAIFRGYVSNMEGNVGISKGSRRDWSFTMDELKGLVERLSEEERRIGGKVRTQGFFKKRSAFIVAGAVLLEEIFEDLKIEEMEVSGYALGEGVIAEKLGEVFDNYDPRANARWRSVTRLATRFNNKQHMKSAALCFSVAKEMFDGLRKGYESGNGSDGFFVSLEDKDLEYLEAACLLQKIGLYAGKKGYHKQSYHIIAKGDHLHGYNAEEVKNNIQNVKTDDIFVSFQLIALLVRHHRKKLPDYDHMVPEELTKEMTGKFRILCAILRVSAAVEKLQLFSIHNVDFSHTCEGYKLVLKAGNLPFPGFVLPSVNDFETEHAKELEHFRMVFQERLSIAVTSS >Solyc05g053450.3.1 pep chromosome:SL3.0:5:64368966:64370303:1 gene:Solyc05g053450.3 transcript:Solyc05g053450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQFNAGESHAQAEANTEQWIDSAKNVANSAVNKAENATQRASESAQQNNDQNAGFLQQKGEQMIHMAQGAIDGVKNSLGMGSEKK >Solyc04g050757.1.1 pep chromosome:SL3.0:4:48166762:48182469:-1 gene:Solyc04g050757.1 transcript:Solyc04g050757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLELEKEREQQGYLAITRPEIQLAVNRVAQRMHQPSEHDYRCLKHILRYIFGTLGRGLLIRPGDLELQDFSDSDWANDRNDRKSTSGFLVSRSSTEAEYRALALLAAETIAFL >Solyc04g072880.3.1 pep chromosome:SL3.0:4:59916822:59923576:1 gene:Solyc04g072880.3 transcript:Solyc04g072880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFVTSTHRTKWIFTPQDIKHKYKVANHRAKQALEKYGTTRMEVDIDGSFSYAESQNDAKDNAEKRPKPLKVEEEQLLKAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLQWSVMEHHPKDIMLTCIYAACKAEENHVSAEELGKGIGQDHHVILNNEMLVFQSLGFDLIVYSPYRALEGFISDLEEFCGAKDEDQLVALKGSLDTARIEADKIMRSDGPLLFPPGQLALTALHRANAVHSIFDFERYLRSVLSRYQPAHAISELTGSIDAIDSLIGKLLTPTSKDVKHVDRKLKSCLDPGSHDKSKKRKHRSKDSSHEATDLS >Solyc09g065120.3.1 pep chromosome:SL3.0:9:63213083:63224357:-1 gene:Solyc09g065120.3 transcript:Solyc09g065120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAILCSYTPLSTTADLRIRGKFAGCNLHFYNLPLNRIHIPLKLKFSESSRRHFSSRKGLILSHGKKELSSYFTHQLSSDYTSIRAASGETLHYGQSSSVSEDEGVSLTSTDANECVSLQPKQKQFRNRFLNFVRLGSVVDNAAESFFKSEIRRRLFMTAILIVISRIGYFIPLPGFDRRLIPEDYLSFVSKSVDQLGDSTPELKLSLFQLGVSPQIAASILMQVLCHVLPSLVKLRKEGLDGHEKIKGYIWWISLGFAILEALILSCHSLPYSIYAANHRVKHVFMTMSLLVSGAMTMSWICDKITESGFGQGSTLIICVGILTGYTDTLQKMLTQISESSGNWWLYVLGVLGVFTVVTMWAVVVSEGCRKVKLQYYGFRVASGAREDSLVPAVEPYIPFNINPAGMQPILVTSYLLALPGILASLLGSRFWEHIRDALNPDTSHGADPWVYYTVYAFFVFLFNIFDILLPKEIADYLNKIGARIPSIKPGKATIAYLTKIQASTRFWGGLLLSVLATTSSILDHYLRRINEGYAIGLTSVLIIVGSIIELRRSYQAYNVMPSLSMALKRYGV >Solyc03g115230.3.1 pep chromosome:SL3.0:3:66496018:66499688:-1 gene:Solyc03g115230.3 transcript:Solyc03g115230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKFTHKTNEAIAEAHELAVSAGHAQLTPLHMALALLSDHSGIFWQAIVNAAGSEETANGVERVFNQAKKKIPSQSPAPDQVPASTSLIKVLRRAQSLQKSRGDSHLAVDLLILGLLEDSQIADLLKDSGLSAARVKSEVEKLRGKDGKKVESATGDTTFQALKTYGRDLVEQAGKLDPVIGRDEEIRRVIRILSRRTKNNPVLIGEPGVGKTAVVEGLAQRIVRGDVPSNLADVRLIALDMGALIAGAKYRGEFEERLKAVLKEVEDAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVKIQDRALVIAAQLSARYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDNLERKRIQLEVEHHALEKEKDKASKARLVEVRKELDDLRDKLQPLMMRYKKEKERVDELRRLKQKRDELTYALQEAERRYDLARAADLRYGAIQEVESAIANLESSTDESTMLTETVGPDQIAEVVSRWTGIPVSRLGQNEKEKLIGLADRLHLRVVGQDQAVKAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKALAEQLFDDDKLMVRIDMSEYMEQHSVARLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHPAVFNALLQVLDDGRLTDGQGRTVDFTNTVIIMTSNLGAEYLLSGLSGKCTMEKAREMVMQEVRKQFKPELLNRLDEIVVFDPLSHEQLRQVCRHQLKDVASRLAERGIALGVTEAALDVILAQSYDPVYGARPIRRWLEKKVVTELSKMLVKEEIDENSTVYIDAGRGGKDLTYRVENNGGLVNAATGQKSDVLIQLPNGPRNDAAQAVKKMRIEEIDDEDDEMAD >Solyc09g005450.1.1 pep chromosome:SL3.0:9:322840:323725:-1 gene:Solyc09g005450.1 transcript:Solyc09g005450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNSGWTDFATKIIRFLGKFNKSGPPPIPSPLTKSYRAAASIVSWPEFSQPMTLFISPNPELIKKWFISRWKVTAGFKATPLDHNKFLFEFPSRQEAIRVKAGEWFWNGRHLSLSWWSKNINSQTGEPPENIWLKVFGIPLNAWTMDTFEHIGDRCGGFIGVDEDTKNRSHFLWARICVKNSVMKFPASLNFEFAGWLFDLALISEIRSSPLPTGQNPELARVGKLLGTESHSVPRDIRFKNPREESVDKRKGKSYLNFNINDRPNTTMGELI >Solyc02g032070.1.1.1 pep chromosome:SL3.0:2:27926166:27926492:1 gene:Solyc02g032070.1 transcript:Solyc02g032070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDSGTTVLVGPSGNVWPVDLIQQDDGLFFNNGWVSFVKDHCLETGDSLVFRYDADLHFIVQVFDESSCDKEASYNTDCSQEATDLYNLALKKRDRGNSVLGNEKT >Solyc03g007540.3.1 pep chromosome:SL3.0:3:2107707:2114981:-1 gene:Solyc03g007540.3 transcript:Solyc03g007540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTISATFFRSLYCIASPPPSTISRFFLPTPLLLPKFRSCPKPLTSSSRKPVACTNSSEEAEVSQTMDEWLQKLPDKTKPLYSHSLPCIEAWLRSLGFYQSRDDRALWFVENPDWQAQLSLDITELHIRYLKRGPGNLEKDVDRRFSYALSREDIENAILGGP >Solyc04g049590.1.1 pep chromosome:SL3.0:4:42502155:42502705:1 gene:Solyc04g049590.1 transcript:Solyc04g049590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRVKLLSFIAAKENAILIQSEKRMPAKAVALIGELIRGIEMMIDIILRNRRIPYGYNYYLNEVQKMRSLLYNRTNTNTLIEFVEYKAFSGWVCRLVSVHSVKPEGKLV >Solyc12g049190.2.1 pep chromosome:SL3.0:12:61541888:61557851:1 gene:Solyc12g049190.2 transcript:Solyc12g049190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLRQVHLKENIIENFISLYLMMVPKIVQFLILLYLFTVTSASIEEAIALLKWKATFKNKTNSLLASWTQSSNACEDWYGVMCSNGRVSKLDIQGARVIGTLYDFPFSTLPFLEYVDLSVNNLSGTIPPEISNLTNLVYLDLHTNLLSGTIPSQIGSLAKLQNINIYDNLLNGSIPASLGNLTNLSILFLYQNDLSGSIPTEIGYLRSLTSLDLGTNSLNGSIPTSLGNLINLSSLFLNENHLFGSIPTSLGNLTNLSILYLQQNNFSGSIPEEIGYLRSLRQLVLAKNSLSGAIPASLGNLTSLTSMYLRENHLSGSIPEEIGYINTLSYLDLSTNFLNGSIPASLGNLNNLYLLSLYANSLSGSIPSELGNIGRLVTMFLNINQLVGSIPDSLGNLRNLQWMYLHNNNLTEKIPSSFCNLIKLEVVYLGRNNLRGEIPQCLVNISGLQVLKIEDNILGEDIPSSICNLTSLRILDLGRNNLKGAIPQCFGNMGGHLEVLDIHQNNLYGTLPEIFSIGSALRSLDLHDNELEGKIPPSLANCKNLEILDLGDNHFSDTFPIWFETLPSLKVLSLRSNKLHGPIRGLSNENMFSKLRIIDLSYNAFTGNLSTSLFQKLKAMRTIDQPANIPTYLGKSGERDYNDSVTVSTKGREYELDRISTIFKTIDLSSNKFEGHIPSSLGDLIALRVLNLSHNKLQGNIPSSLGSLSLVESLDFSFNQLSGEIPQQLVGMTFLAVLDLSHNHLQGCIPQGRQFDTFENNSYEGNDGLRGFPLSRSCGSNWTPETNNSDYESDDEESDSEFLNDFWKAALMGYGSGLCIGLSIIYIMISTGNLKWLATIFEKLEHKIMMQQIRKQQSQRVQMHVGIGMELRALMVGHNPTTNWFTSQASDHLHLPSSLGLSTNSLNGSIPASMGNLTGLSSLNLHEKSSFPLYWKQFEGPQCFGIMSVHLEVLDIHQNNLYGTLPENFSYRSVLRSLDLHDNKLEGKIPQSLANCKELELLDLGYNHLNNTFPMWLGTLPKLKNLSLRSNKLHGAIRTLSDESMFYELRIIDLSHNAFTGNLSTSLLQKLKAMRKIDKTSEGLELELVRILTIYVTIDLSTNKFEGYVPSNLGDLIALGGLNLSHNRLQGNIPTSLGNLFFIESLDLSFNQLSGEIPQLLAVLTSLSFLNLYHNHLQGCIPQGRQFSTFDNNSYECNDGLKRFPVSKGCGSNWTPETNNSDYECLEEHLVECL >Solyc10g019033.1.1 pep chromosome:SL3.0:10:11255545:11257262:1 gene:Solyc10g019033.1 transcript:Solyc10g019033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECDYKLLDGTITKSFLPPQHFFQIEKDNKIVHFTAFADLFNDDTILLTTKHIRILIQQREHILSLHDKVSHICSIIEKFKVSNKGKEKATASIQPPSEINDFKLSKLGNIERWLEGKYKKDLELNPLHVIDDSRNNIPTKINIPDEIKKISEKHARKPPHRKKKHLDKHEKRKSERKISKYDKSNACYKCGRIRHYARDCKVKDKIKSLNLDENIKDTLCKILLNLSSEGSSHDNSENEESHTSEDLRALHNEDYIPSSEEECLPCQIGQPCEDKEMFEIHFQNL >Solyc12g044700.2.1 pep chromosome:SL3.0:12:60892062:60894340:1 gene:Solyc12g044700.2 transcript:Solyc12g044700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALWLSNCKFEFDISTTCIRFCCLREVCLHNAYISDAQMRSVLDKCPSIRSLTLMSCKGMSKLYVVGRLHLDFLNISSCKLDSVIVQAPKLGRFKYTEEHTDQGNHHPCEIAILEVNNTLHTLELNGASITDQQFRDMYDKFPNISSLYLTSCNKLKCVEIQSAKLKKVNIFKFESIERMTIEAPNLLQFNFEGEKMPILSLGPSLESVRLNFFLPSTVISNFGDMDSSWYTNLNHFVQNFNYSEGLMLIVYCQETYNILFYENTSEIFIPPSRNVGIFIVPIRIIELLMISILINRPSIISILPCTDSKALQVFPALERCIHNQNCGNECPLSTTFLHKYRVLEEVISCTGTSEEEMTSIWYTWLKSTPLIDKVNSFMFKWKKQA >Solyc05g024030.2.1.1 pep chromosome:SL3.0:5:30312271:30312828:-1 gene:Solyc05g024030.2 transcript:Solyc05g024030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QHDRVDIGLLTYKVYMSRANKPSGFPNVLVKELPPKSATATLFPPSIFFVYSLPPSCFRPSTIITSNPNNISCFPTTSPT >Solyc01g005910.3.1 pep chromosome:SL3.0:1:590594:598470:1 gene:Solyc01g005910.3 transcript:Solyc01g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQNWGYPSTVSLQNPSIYKNTTTKILVFPCLGKNGRCFSYSSLTSKPLPKLLCLHGFGVEDITDVVHNKVLVAAAVSAAVGQLMKPFTSSLFYGNEFDFKTAFQAGGFPSTHSSAVVATATALGLERGFSDSIFGLAVVYAGLVMYDAQGVRREVGIHAKAFNKALFRNQINSVPSTSELDVLTDSIQEKLSSEAENSDPQLSEESSSFQPRSKNATLLLKPDERRAPSSSFAPLKEQVGHTEVEVIAGAFLGFFVSLAVSLA >Solyc11g072660.2.1 pep chromosome:SL3.0:11:56178286:56183233:1 gene:Solyc11g072660.2 transcript:Solyc11g072660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFPCSGDPSMKKREKKRIQANNQYKRDDQPKPKSDTPKVSENKVVKKEEPENANQSTTRMDGNCSSAPRDGETDGIKINGVNRARAFKFNELVAATENFKAAYFLGEGGFGKVYKGFLADTGQVVAIKQLNPDGCQGNREFIVEVLTLSMADHPNLVKLIGYCVEGHHRVLVYEYMPLGSLEDHLHDPWSDKKRLDWNTRMMIAAGAARGLEYLHDKMKPPVIYRDLKGSNILLGEGYHPKLSDFGLAKVGPLGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLEIITGRKAIDNTRSAAEQNLVAWARPLFKDRKKFHQMADPTLEGHYPVRGLYQALAIAAMCVQEQPNMRPLIADIVTALNYLASQKYDPEAQPPQGPRKNSSSRKSRSIDDQKSPIKIEGHNRTRSIDGQKSPVNIEGHNRTRSIDDKKSPVIIEGHNRTRSIDYQKSPINVEGHNRRNSEGLN >Solyc07g006750.3.1 pep chromosome:SL3.0:7:1586004:1591554:-1 gene:Solyc07g006750.3 transcript:Solyc07g006750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKKGPWSPEEDATLKAYIEENGTGNNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFTEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKRKQSQMNRLLLANGGQDLKDINGLEENPLLQNLSNSALERLQLHMQLQTLQNPLSFYNNPSLWPKLTPLQQKMIQTLQSNGLNENQSPLYTPINPSENHAHELGQKVGINEFASTKVNVEVEKSIPINDFNNNQKNVLDTNIGQENAKEIQIQGVQGFTQLEIDDLILNNKGSIGLNMHSENQQIGSEFDCFKEMDDGSRDNLAWWSNDFDTNTASSSNSWGSSSNILQNSHEGMYQDYALGYNLQ >Solyc11g065120.2.1 pep chromosome:SL3.0:11:50747393:50754023:1 gene:Solyc11g065120.2 transcript:Solyc11g065120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDANRAGGQVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESALIWFSGKEEKHLKLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRGHQRKWRTESRSDGISSGATSPRTYTRRSSPLHSPFSSGDSLQKDGGDQLRLHSPYESPPKNGLDKAFADVIMYAVPPKGFFPSDSASASVHSISSGGSDSMHGQMKGIGMDNFRVSLSSAVSSSSQGSGHDDGDALGDVFIWGEGTGDGVLGGGPHRVSSSFGAKLDSLFPKALESAVVLDVQNIACGGRHAALVTKQGEIFSWGEESGGRLGHGIDSDVLHPKLIDSLSHSNIELVACGENHTCAVTLSGDLYTWGDGDFGLLGHGNEVSHWVPKRVNGPLEGIHVSYISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSVSKPREVESLKGLRTVRAACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKESKLVPTCVAALVEPNFCQVACGHSLTVALTTSGHLYTMGSPVYGQLGHHQADGKLPRRVEGKLAKSFVEEIACGAYHVAVLTSRTEVYTWGKGANGRLGHGDMDDRNSPTLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSRKSLRASMAPNPNKPYRVCDNCFIFYESTRKHESVTN >Solyc05g013700.3.1 pep chromosome:SL3.0:5:6997071:7004202:-1 gene:Solyc05g013700.3 transcript:Solyc05g013700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEFTMQSTYTPAGGYGSGSTSRLTPEEMLRLPTGPKERSAEEMQYGRLGGGFSNYGSRGMGPPSGRGRDREADGDGSWGGNRRSYGGFDDDRRGPPSRGSDFDQPSRADEVDNWASSKKSLPMQSLDSGSARSNRYGSLGSGDGISRADGDDNWGASKKSNVAPPPQGRPWFPDSRPEPERWSREANHERQRLVLDPPKMETGSENVNETVVKVNKPNPFGAARPREEVLAEKGLDWKKMDKEIEVKKGIGSRPTSSHSSRPGSSQSARSEGTTLQGGMVEGGGVKPKPKVNPFGDAKPREVLLEEKGLDWRKIDLDLEHRRIDRPETEEEKNLKEEIEQLRKEATECSEEDKTNLQNVINQKEKDLELLIRELDDKVRFSQKPIQRPGSGSGRGAGHPDRSLSHQASYEDRRAGDQMDRPRSRGGGDAWARPSDQMERPRSRSGADAWARPNEQVERPRSRGGGDAWARPGDERRSFQGGRGGYFGSRESDR >Solyc09g011390.3.1 pep chromosome:SL3.0:9:4731862:4736221:-1 gene:Solyc09g011390.3 transcript:Solyc09g011390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEMDEIKINETTEIDEQKWVYDSSVDYKGRVPLRASTGGWKASFLIIAIEFSERLSYFGIATSLIIYLTKVIHQDLKTAAKSVNYWTGVTTLMPLLGGFVADAYLGRFYTVLASTIVYLLGLVLLTMSSVVPSLKPCGNDLCEEPRQVHVVVFFFAIYLISVGTGGHKPSLESFGADQFDDDHPQEKRKKMSFFNWWSFGLCAGVLLGVTLIVYVQDHVSWAVADVILSLVMATSLLIFCVGRRFYRYRKVIESPLTTMIQVVVAAIKKRNLAYPSSPAYLHETQKSETNRRRLCHTKGLEFLDKAAIFDEAIDEKQNPWKLATVTKVEELKLVINMIPIWLTTLPFGICIAQSSTFFIKQSATLNRKITHDFMIPPASMYALGAIGMIATVIVYDKILVPLLRRATGNERGISILQRIGIGMILSVASMIVAALVERKRLNIVQVKGSMSMSVFWLAPQFLIVGIGDGFALVGLQEYFYDQVPDTMRSLGIAFYLSVIGAANFLSSLLITIVDHVTEKGGKSWFGKDLNSSRLDYFYYLLATITAVNLFIYVIVARSYSYKNVHSRRTADVANCYECDDREAMA >Solyc08g083310.3.1 pep chromosome:SL3.0:8:65930436:65935880:-1 gene:Solyc08g083310.3 transcript:Solyc08g083310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMESNPNPISSSLLLFLLFFTPTMVAPLGINYGQIANNLPLPENVVPLVKSIGATRIKLYDADPHVLKAFANTGVEFIVSLGNEYLAKMKDPSKAQSWVKNNVQPYLPATKITCIAVGNEVLTFNDTILTDNLLPAMQSVHTALVNLKLDKQVTVTTAHSLAILQTSYPPSAGAFRRDLVNCVTRIVDFHCKTGSPFLINAYPYFAYKGNPKQVSLDFVLFQPNSGIVDPQSNLHYDNMLFAQIDAVHSALASIGYKNVCVQISETGWPSKGDADEAGATPENARKYNCNLMKLIGQKKGTPMRPNSDLNIYVFALFNENLKPGPSSERNYGLFKPDGSQAYPLGVPAINAASTNSSSSGGSGSTPSASVPLPPASSSSGYLAITSDSVKSLSTVQLLYLAPFISLAHFVSFGYTTCNTNVCIL >Solyc09g055320.1.1.1 pep chromosome:SL3.0:9:39553837:39554070:-1 gene:Solyc09g055320.1 transcript:Solyc09g055320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNASSRVTELGYQNLVTGVPPNFITRFKKFSFGSLWCCSFFVFGGEIFFCWFPSPIRYLEGGKSFSSVLFGFRRLF >Solyc09g005190.2.1 pep chromosome:SL3.0:9:166955:167388:-1 gene:Solyc09g005190.2 transcript:Solyc09g005190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGLVSLEQTHVATLVQGTLGYLDPEYLHTGPLTDRSDVDSFGVVLAELLTGMKPIFEGHKVVREGDIEQLQEIGELIKSCLHLYGEDRPTMKEVAMELESLRNFTSPWTNICTRT >Solyc01g005300.3.1 pep chromosome:SL3.0:1:216877:220489:1 gene:Solyc01g005300.3 transcript:Solyc01g005300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IEVTSQPVGFFYPLTTPSSIVVSDALDPDLPVIYVNSVFESSTGYRADEVLGRNCRFLQFRDPRAQRRHPLVDPVVVSEIRRCLEEGVDFQGELLNFKKDGTPVVNRLRLAPIHSDDGTVTHIIGIQMFSETKIDLNTVSYPVFRETCQSHCDESSEYSIKSGNLLHCQHREICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEHVRKMVCQNAWGADVTGVLEHMTKKLAWGRLARELTTLEAVCWKKLTVRGAVEPSRCNFSACAAGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWRRVSVKSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGAPPLPRCWHSSCTMEGSKLVVSGGCTGAGVLLSDTYLLDLTNDKPTWREIPTAWTPPSRLGHSLSAYGKTKVLMFGGLANSANVRLRSGESYTIDLEDERPEWRQLECGAFTGVGSQNAVVPPPRLDHVAVTMPCGRIIIFGGSIGGLHSPSQLFLLDPSEEKPLWRTLSVPGQPPKFAWGHSTCVVGGTRVFVLGGHTGEDWVLNELYELCLASKQDSDA >Solyc10g080500.2.1 pep chromosome:SL3.0:10:61883024:61886850:1 gene:Solyc10g080500.2 transcript:Solyc10g080500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQEIETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Solyc01g094220.3.1 pep chromosome:SL3.0:1:85646765:85657261:1 gene:Solyc01g094220.3 transcript:Solyc01g094220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEASNFLETQRIAVVSGGNKGIGLEICRQLASKGILVILTARDEKKGNEAVINMDEHSNYNIIFHQLDVTNISSIVTLRDFIKNRFGKLDILMKVATQTIDIGEECIKTNYYGAKWMIQELLPLLQLSDSPRIVNVSSSTGKLQHVRNEWAIGVLNDCDNLTEDKVDEVLNVFLKDFKEDLLESKNWPLIVSAYTLSKAALNGYVKTDLCYNSGILTVEEGAQSPVWLALLPQGVVTGANKGIGFEICRQLASNGVLVILGARNEKRGIEALEKLKGFGLAENVVFHQLDVVDNSSIDSLAEFIKTKFGRLDILVNNAGIAGVSADADALRAKRESSGTGGSQVNWNEILSQSFELAKECLETNYYGAKKMTEACIPLLQLSKSPRIVNVSSSMGKLKNLKHEWATGVLNDSENLTEEKIEEVINQYLKDFKEDSLQAKGWPSLMSAYIVSKAAMNAYSRVMAKKHPSIQINCVCPGFVKTDINFNSGILSIEEGAESPVRLALQPDDGPSGLFFDRNGVSSFE >Solyc07g053120.3.1 pep chromosome:SL3.0:7:61692524:61699795:-1 gene:Solyc07g053120.3 transcript:Solyc07g053120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQGERRCICQADHKWNGLILNCSLSSELGTQGSFIAKLTSSQNQRTLVISISVVLGVITLCSISYIVYQNTRVARSREARDIVLGNHMENFPRRESFGEELITADEKRHIDVPFFSLNSILEATDNFSNAAKLGQGGFGPVYKGKFLEGAELAVKRLSNHSGQGVEEFKTEVMLIAKLQHRNLVRLLGYCVEGNEKILVYEYMANKSLDTFIFNRTFCRLLDWRIRFEIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDDEMNAKISDFGLARIIEGKSTEANTTRVVGTYGYMSPEYALEGLFSIKSDIFAFGVVVLEIISGKRNMEFFEEVNLTGYAWRLWMEDRALDMMDQTIVDTFEDKEVIKCVNVALLCVQEDPGDRPTMSNVVVMLGGESMTLPRPSQPHFITRRNALSTSSSSSKLYSTFNKELAITHEEEGQYIEIKYKIIVVNSKCGESIHDSNNVVFPPWYNCPFRNRFRPMPALSHLLTKSMSIENKESATFCNCLTGFTPKSDTEWDLNDHSGGCVRKESLQCGDGKMKGGFSVNPNVTLPGYSLTVPAAECQSTCLSNCSSCNAYAYDNNLLSPGDGSGNVIYTRVAFSDEACGEDAKSGKLSPKLKVIISGVVAVAVLLLCSFSYIYHRRILSKNTANKAQEAIQCHIGLNREREAQNLINENDKQNIAVPFFSLENILAATDNFSDRNKLGQGGFGPVYKVSINNSLQHEFNSMKSFHSILVC >Solyc01g106100.2.1 pep chromosome:SL3.0:1:93977847:93979250:-1 gene:Solyc01g106100.2 transcript:Solyc01g106100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIRLVYFLFILCSVFEFNKRFLGCFFDFVFMDCVESLKHLSLSADLGFGFLMFGWFGQVYKVLGLFLLFGLGLRVLQFSWYFCGKSGELRNGCCSKNDFDGNCNAKMKSCKSGLLRLLMNSNFEKGKNVIDRVKCVSDEELDDENDEYEQECYDEDKVFDVLSLRKMVKVERRKANAARLELEKERMAAATATEETMAMILRLQNEKSLVEMEANQYKRLAEEKQLHDQEVIQSLQWLVLQHESERSILEDQHKSCSEKLKPFGKKDYERDNSEVAAEENHCSLNTNLDDAFHYTLFSSLDMDLSAEPGTVWH >Solyc07g025140.3.1 pep chromosome:SL3.0:7:25361985:25363645:-1 gene:Solyc07g025140.3 transcript:Solyc07g025140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVDTSHLPPKLGNTVHSDPNISSSHIDDDNNNFNARHSSFSAYEANRLSGEGSPMMMSPWNQGSPWSKFDEGNNNSQNNNNNNINGLIGSLVREEGHIYSLATKNDLLYTGSDSKNIRVWKNLKEFAAFKSNSGLVKAIIISGEKIFTGHQDGKVRVWKIQTKNPGTYKRAGTLPSFFDIFKASIKPSNYVEVKRNRSGLWIKHVDAISCLSMDQTRGLLYSASWDRTFKVWNVDNSKCIESVKAHDDAVNSVVTSVEGIVYTGSADGTVKVWQRENTGKHPKHVFVQTLLNQECAVTALVVSKSGSVVYCGSSDGVVNFWEREKQLSHGGVLKGHKLAILCLAAAGNLLLSGSADKTICVWRRDKKSIHTLLSVLSGHNGPVKCLAVEEDKESTETDQKWVVYSGSLDKSVKVWTVSDKAPIITHNNNSDSFCTSSDSNV >Solyc07g049590.3.1 pep chromosome:SL3.0:7:60052301:60057441:1 gene:Solyc07g049590.3 transcript:Solyc07g049590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLDDIFLDADDFKSCLPLKKVPYGDVFEASRAGDVDRLKYLLESGVNVNARDQWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLGPLQGALRDTFLACWANKKFFEQSEGQVPISGNSENGGSSPSDFPPDVVFYVQGRPIEAHRVILTARSPFFEQKFQTEWKDRKEVRFSKEKLSYHALYSLIHFFYSDRLDIAVDDMEDLVRICKVCKCLSLQKVLEKELIHQKYAEYKALRDVDNSQKRFILQGISLPEEDRLPNALHKILQIALVNSNSEQNLNHDVDGLICLVSSMQISEFEDDLADVCVRVDKKIFRCHQVILASRSEYFKARLSRMKDFLEGRDCLPDNALPCIEEHDLTVGAFKKMIEYMYTDGLKDIDPDQAEEMFDAASRYLLFPLKRVVADALLPHLEMVSPAELCHWLVLSDMYGVIKIREYCLDAMACNFETFADTQEFRAMLLTLPPPSGDSALRTTAPSAPGAEMGSTAEGNVLDDLREKWLEAEAAELDERDESALLFDKRLEMLMQIAEQERTDGLECNISSEQEFI >Solyc10g007280.3.1 pep chromosome:SL3.0:10:1673451:1675179:-1 gene:Solyc10g007280.3 transcript:Solyc10g007280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEEMSNMKSLISTIASVTATAMLVRSIASDFIPKEYRSYVFDSFHSLFHRFSSQFTILIHEFQGPSPNLVFEAVEVYLGSIVNSSTKSIRLGKTENDKGLVITMDKDEEIIDVFEDVKVTWRMECRRIESGSQNDEIKDMIAALCSELRCYELKFHKKHKEKVMKSYLPYIMERSKNAKEESRVIKLYSNFRNWGSDGMNLKHPMTFDTLAMDEEVKNALIDDLESFVKGKEYYSRIGKAWKRGYLLYGPPGTGKSSLIAAMANHLNYDIYDLDLTEVRSNSNLRSLLLGMSGRSILVIEDIDCSINLENREQKEEKENKHNRVTLSGILNFLDGIWSCCGEERVIVVTTNHIDKLDAALLRPGRMDMHINMSYCKSSAFKQLVFNYLNIHDHELFDQIGELLQVVEVTPADVAGELLKSKNPTISLRGLVEFLKIKLQKEETLKSQNGGPEAEEAFKDETKHESIHDSSEDLSNIVDL >Solyc11g068960.2.1 pep chromosome:SL3.0:11:53825602:53833063:1 gene:Solyc11g068960.2 transcript:Solyc11g068960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKEVLKTLCGVNQWSYAVYWKIGCQNTKLLIWEESYYEPSTYSGIHGVPMVENPELPFHDWGVCWGPGEVRNPQLMNQAGERVQLLVNKMMMESQINIVGEGLVGRAAVTGSHQWFHSEGLSRVVHPQEVLKELTGQFSAGIQTIAVVPVLPHGVLQFGSCLHIMENMSFVEDVRILISQLGCVPGVLLSDETAMKDPMVNTGTPVYMGSSVLVDSSVSTNVMNSAPVIASCSYQGNSSQNDGFIRQTSSSLDAQVQDRIMQSIDSSFQASNMTQRFVESHDDRQFHKKIIPEVKSHLSPNSQLINSVIKAEVISPSSNLWMSQQAPLHIPRPPFHQQSFTDSLTVDSSSLSNVSQLNGFTASDPRPNDVLISSYHGNSISPSNGENELCKRRDGHHRSIPCPNSIADANGLSNIISSCTKSTGNGLQTTSKFNVGDDSSTSHISDGQNAQFMWDESNGIVENDLFQALGIMLTQNEHPCSTSKSVQEVCVEKHEYVGQSALLENNKYEDSCVQRHSGDDLFDVLGADFKNKLFNGSRNSYQSNGPDSNTWDRVKSNSTSVLSQQASSIVNQGKSDSGSFFAAGFERLLDSISSKPSAKQNMDDDVSCRTTLTNLSNSSAPNVSSSYGRAGFSSQIQGNVFGKPKTLAKPGSTVSRSFRSEKEKTGAYSQSSSIYGSQISSWVEQGHDTKPTSSVSTGYSKKPDETSKTGRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGESKIISKEGGLLLKDNFEGGATWAYEVGSQSMVCPIIVEDLNQPRQMLVEMLCEERGLFLEIADIIRGLGLTILKGVMETRNDKIWAKFAVEANRDVTRMEIFISLVRLLEQTSKGAEESAKAIDNNTAMVHSYHQAASIPATGSRPCN >Solyc12g005960.2.1 pep chromosome:SL3.0:12:589112:591962:-1 gene:Solyc12g005960.2 transcript:Solyc12g005960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKNPEINGSRSVVFQQSVIEPPRYRGVRKRPWGRFSAEIRDPVKKARKWLGTFDTAEGAARAYDTAARSFHGAKAKTNFPVLPPYGENQFENLQQSRPASSSMSSTVESSSDARALCKTMQPRIEIPRRIPMGEGHSDCDSSSSVVDGNCIGGGGGDDDQTSSFCKDPLPNTLLFNTTF >Solyc06g069120.3.1 pep chromosome:SL3.0:6:43018686:43020507:1 gene:Solyc06g069120.3 transcript:Solyc06g069120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMYAYQQKALVGCVGVGETGRADISVSNGVVCPKPRKLGFFDSYSVHVEEPIRPYQLMQFINEEMEACELKAGAELLDTILTKGSYEVDRANFEVDSSPPFFYGSPPSRAGNPLIQDAQFGNHNFVPILPIPERAVAPSPPPPSSSTIMSGGGCVRVKFGNKQAPVRIEGFNCRGNCGISAVA >Solyc09g042697.1.1 pep chromosome:SL3.0:9:25188479:25191113:1 gene:Solyc09g042697.1 transcript:Solyc09g042697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDYRGLNQITIPDKYSIPNIDDLLDESHGAAIFSKIYLNSGYQQKRVYPTNIHKTAFRTHSGNNEFTVMPLGSQIPLRHSNTIEQHINHLELTIISLRDNCFYDKTFKCSFGHIHISLLGHVISKKKDVLEWPVPINVKELRGFIGLTSYYRRFVKGNGMMARPLTELTKKNVFQWSNSAENDFQLLKQDLTIVLVQQLPDFTQPFVKLYFKERLVVSDQSSIRQKLLSECHDTPSAGHGGYLGTLKRLSSNFFWPMMKHKELNISPAKILAHRWVKEAGSSSLELLVQWVDRPLEEDSWENYDLIAYKFPYFRLEEKVTFQGRCIDTSINMDSPLRTYSRRKYS >Solyc02g086350.3.1 pep chromosome:SL3.0:2:49657545:49662223:1 gene:Solyc02g086350.3 transcript:Solyc02g086350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESLGSSVKAAIDLYKGNSAMNQSSITKSLEKPYARTSQLHIAKRDMGRLGESRKVAEVEKAQAETELLDARKMVKELSSRIEELNSRLSVQNQDMEKLKTAKRGGNWGMPLRNHQNNQHSKVVAELVYAKEDLIKLKQDMASIVEEKRRAEQDIETSNLKMQSFASKVEALRKEVEELNEELVLVELARIEAIKEYRAIEAQRREDAEKHSAAMEENRKKINDMVQEIQISQELQEKLASTTSDVQVLQSELEQVKEMDRWTQRNEILRFGSDSLDKDLSLLQSLKQDLETAKNELASIKRDSFQFMGSMDVVRNELKCISEESARLKKKGNKADSTIQNLNTKLLRAKAKLEAASHNEGKATSIASSLLLSLEQLTNEAEESEKERDNAIEEAAKVEEEIQKTQSETVLAEERWEAAIQELETIKSSEATVLRNLKRLTDVTMKSRASASTSTITISRFEYEYLKGRAGQAVEIADKKVEAAEAWVKALQASEKEISMKTEVIRKEIQELKMEEEQKGLKMEHSPSVRMLVDMQLQKWEEIHGKDLEQSPNSSRTKSNSISGKMTPARRAKFRKSASPAPRTPRASSFAVRRRRKVIPNIAKLFISKSNDENL >Solyc01g090220.3.1.1 pep chromosome:SL3.0:1:83731599:83732906:-1 gene:Solyc01g090220.3 transcript:Solyc01g090220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCPNQLFLTNKYFGVVLPNTWQYYSASTLNLSTITHRIIKISNSCHLGSSHCLVFNDEDKLGKRWMEFQGINNWDGLLDPLDDDLRGEILRYGEFVEAAYGCFDFDTSSATYATCRYPKRSMLMQRGLGRSGYKVVKNLHATCAVQMPRWLEKFPNLKSPQSSWIGYVAVCDDMDEIARLGRRDIVIAYRGTVTYSEWLENLRVTLTCLPDDMSPNENNKPMVQSGLLSMYTTKIEGHMPSLQETIREEIISILNNYSDEYSLSITITGHSLGAALATLTAYDVTTKFNNLPMVTVLSFGGPRVGNKSFRYQLEKNGTKVLRIVNSDDPITKVPGIVIDDDDVAHRGDAMVARLPSWLDKYMEDAPWVYAEVGKELKLSSKDSIRKGSVAKCHDLKTYLYLVNNFVSSSTCPLRATVRNYSFRLYLRNTI >Solyc08g006330.3.1 pep chromosome:SL3.0:8:990129:995279:-1 gene:Solyc08g006330.3 transcript:Solyc08g006330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHKAHCLILPFPGQGHINPMLQFSKRLQSKRVKITIALTKSCLKTMQELSTSVSIEAISDGYDDGGFHQAENFVAYITRFKEVGSDTLSQLIKKLENSDCPVNCIVYDPFIPWAVEVAKQFGLISAAFFTQNCVVDNLYYHVHKGVIKLPPTQNDEEILIPGFPNSIDASDVPSFVISPEAERIVEMLANQFSNLDKVDCVLINSFYELEKEVIEWMSKIYPIKTIGPTIPSMYLDKRLHDDKEYGLSVFKPMTNECLNWLNHQPISSVVYVSFGSITKLGDEQMEELAWGLKNSNKSFLWVVRSTEEPKLPNNFIEELTSEKGLVVSWCPQLQVLEHESTGCFLTHCGWNSTLEAISLGVPMVAMPQWSDQPTNAKLVKDVWEIGVRAKQDEKGVVRREVIEECIKLVMEEDKGKLIRENAKKWKEIARNVVNEGGSSDKNIEEFVSKLPSIEKLQEMTTHKAHCLILPYPVQGHINPMLQFSKRLRSKRVKITIALTKSFLKNMKELPTSMSIEAISDGYDDGGRDQAGTFVAYITRFKEIGSDTLSQLIQKLAISGCPVNCIVYDPFLPWAVEVAKQFGLISAAFFTQNCVVDNIYYHVHKGVIKLPPTQNDEKIIIPGFSSPIKASDVPNFVINPEGERILEMLVNQFSNLDKVDWVLINSFYELEKEVIDWMSKIYPIKTIGPTIPSMYLDKRLHDDKEYGLSMFKPMTNECLNWLNHQPISSVLYVSFGSLAKLGSEQMEELAWGLKNSNKSFLWVVRSTEEPKLPNNFIEELTSEKGLVVSWCPQLQVLEHESIGCFLTHCGWNSTLEAISLGVPMVAMPQWSDQPTNAKLVKDVWEIGVRAKQDEKGIVRREVIEECIKLVMEEDKGKLIRENAKKWKEIARNVVDEGGSSDKNIEEFVSKLVTIS >Solyc01g087338.1.1.1 pep chromosome:SL3.0:1:82185804:82186094:1 gene:Solyc01g087338.1 transcript:Solyc01g087338.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFPFGWGSRICLGMNVSMIQGKLVLAKILPNYSFELSPSYAHGPTMPALVLQPQYGAPMIVPSGQPSVANSTLMETTTQIMMIMRVISCKASQKT >Solyc11g061774.1.1 pep chromosome:SL3.0:11:48812566:48813404:1 gene:Solyc11g061774.1 transcript:Solyc11g061774.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKFIFIMGATGTGKSHLYVDLATHFRGEIVKLNWIQTSQLKIFVCKLLKNAEHLTVSNYCWRVKFIDVEQSLLNHSVDMRVDQMFKAVDEVRQIFFPDAYYTKGI >Solyc05g012910.3.1.1 pep chromosome:SL3.0:5:6039469:6042813:1 gene:Solyc05g012910.3 transcript:Solyc05g012910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNISHPLKTLKYVEKQLVSRGDAHFIQILLKFKKEFSSPCSTVLVEEHQSHLYHFLDTLQWVEEKWGSFRMFSDNIHLLETLNQIEDQWYSSDYDMFSEESDDILTTLQRFAQASNSFNMFLEGFHFFKESLPLDTLQWIEEKDLLFPANCLPIVSHLCETLQRMEDECNSFYTFLVEYLFSEELDTLSVLLRIEEDWGSFRMYLEEFRSKVSDFVETSQECREGCDSFIILLETYLLSSEDYLLLMQDEWSSFDFFSEEHMSLFDRLRLLKRDSNFLSIIIELHIFKDQPDVSWGKVRALFHGAADELIKMYSTEVSPWNHQFYDYICHLQYEFQQTKLEIMRANFPFPKISCQLLANEDGIVIPGSVMKFIDIVADSLSNLLKFDNPSSLLCIGGRCMVQIEMVLKGLDFLSSFVCFVSDRCIETRVQHALFTHVVQVAWQTTMATWLYLPSNEYMYQDTAPNGENPLLSDLLQRKIQLIQPSICKFYIHILQALNLVESQWNPVINVKYVFDCEVGFLESLRRTLKGLPVSSNCIAIKAELQETLNFFGDTLVNLPTQVIELHLQDIDFSIVDAGLLVCSLNDDNENLDFTGKIQSMQSVIYLIARKKFLLQFNLPGIDRVDSANFVLDNREKFLSMYSNSVDSVQSQLPKIQKELQFFQAVVQQQDGLQHFATKTSHLVYEVEHMVDTCKKKDVPDWCLFIWILNIGEDIRMLMAEVAEIAEIPNELLSSPNKLTSFVQLVLKGFVRIFGVASSQLASKQRINEEIVGFEDVKDELIGKLKGGPSGLDVISIVGMAGLGKTTLANKLYSDESVVSYFDIHAHCCVSQQYTRKDLLLAILHDIITDERAKLGRETENELADKLRKLLMRKRYLLLIDDVWETSAWDDLKLCFPEDNNGSRIILTTRHYEVASHAKHDSDPHKLRSLNNDESWMLLNKKVFNNESCPLIPRDIGQEIVRKCGGLPLSIILVAGILTRMKKDKHCWEQVATNLGPNIQDQMEGTLDLSYQHLPPYLKPCFLYLGLFPEDREIQVSKLTWLWIAEGFIKPHAGKTLEEIAENHLENLVGRNLVMVKKRSFDGRIKACRIHDLVHEFCRKKAKLENIIQRING >Solyc01g107180.3.1 pep chromosome:SL3.0:1:94699874:94706854:1 gene:Solyc01g107180.3 transcript:Solyc01g107180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDNFQTDGNNVRYVASELASDIAINVGDVKFYLHKFPLLSKSATLQKLVSNASEGNSDEVDIHDIPGGPSAFEICAKFCYGMTVTLNAYNVISTRCAAEYLGMNESLEKGNLIYKIDVFLNSSIFRSWKDSIIVLQTTKSQSRMCEELKLTSHCIDAVASRASVDVSRVDWSYTYNRKKLPEENGNDPNLNGIRCRMVPKDWWVEDLCELEVDLYKRVVITIKNKGIVSSEAIGEAAKAYAYRRFPGFNKGAFQFNDVSKCRPILDTVVWLLPSEKGCVSCSFLFKLLKASISLDSGETVKAELVKRIGQQLEESSVNDLLIRAADGEGTMYDAHVVQKILEAFMMRDKDSEPQLEDEDEIQEVRKPGILSEASKLMVAKLVDGYLTEIARDPNLPISTFVGLAEMVSNFPRPGHDGIYRAIDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNERLPLRVVVQVLFFEQVRANASSGSSTPDLPKAIRDLNSTSYGSSRSATTNTEEDWDAAASAEELRALRGELAALRMGNGGTNDKVNVIDAKSHADRAAMSKMKGLLMSKRLFSKIWSNKGGQGENSGSDSSESLGSANNLEEAKSTPSRKVRHSVS >Solyc06g050520.2.1 pep chromosome:SL3.0:6:33296803:33297705:-1 gene:Solyc06g050520.2 transcript:Solyc06g050520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMDEAANMVCVPLDYSRKRKSRSRRDRTKNVEETLAKWKEYNEKLDNEGKGKPVRKVPAKGSKKGCMRGKGGPENWRCKYRGVRQRIWGKWVAEIREPKRGSRLWLGTFGTAIEAALAYDDAARAMYGPCARLNLPNYACDSVCPVDGALHEADTPLSSVKDEGTAMDIVEPTSIDEDTLKSGWDCLDKLNMDEMFDVDELLAMLDSTPVFTKDYNSDGKHNNMVSDSQCQEPNAVVDPMTVDYGFDFLKPGRQEDLNFSSDDLAFIDLDSELVV >Solyc06g083380.3.1 pep chromosome:SL3.0:6:48841172:48845814:1 gene:Solyc06g083380.3 transcript:Solyc06g083380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CAJ3] MLCRGTFQAGNVAIGDHNECYKRFFNSVSIGCRKSFVSSCSVGARSGPVLAVATNETTKTKQMAYEPSLADRLRLGTMSEDGMSYKEKFIVRCYEVGINKTVTVETIANLLQEVGCNHAQSVGFSTDGFATTHSMRKLHLIWVTARMYIEIYKYPAWSDVVEIETWCQSEGRIGTRRDWILKDCATGEVIGRATSKWLMMNQDTRRLQKVTDEVRDELELYFPKELRLAFPEENNGSLKKIAKLEDPAEYSKLGMVPRRADLDMNQHVNNVTYIGWVLESIPQEIIDTHELETITIDYRRECQHDDVVDSLTSVEPIEDADALESHGSNGSATAPKDVNKSFLHLLRLSSDGLEINRCRTEWRKKSART >Solyc04g071330.3.1 pep chromosome:SL3.0:4:58344387:58352698:1 gene:Solyc04g071330.3 transcript:Solyc04g071330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNSQRQEERTGKYGTPRVKYLQELVNQFQNASAEETKEKIVANLANFAYDPFNFTFLRQLNVIELFLDCLTEPSEKLVEFGIGGICNACSDPANAALVTQNDGIPLVIQCLSSPVRNTVNYALGALYYLCNASNKEEILKPEVIDAIKSYAAAGGVSTSFSNLAQAFLDQHVPQLN >Solyc11g017360.2.1 pep chromosome:SL3.0:11:8232995:8234230:-1 gene:Solyc11g017360.2 transcript:Solyc11g017360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNHDSKADVEWRKKASWTEQEHRSFLQGLAINEKGDWRSISRDCVITRTAKQEDADATGTSQVPHTKDMIGSAYGGSQAVPNTSSESMLPRDRTNAEQMIEVVGEESTDHIAVVNVGSDVNVDAESSLLPSKQSCTAASSGTYGHPIARIGSELEALLTEPVDEDNDITTIFDVGKTPTSYPVLFGIPRYSAAEVALAQSHPFDDEGMFDPDDLFTDPLF >Solyc01g099240.3.1.1 pep chromosome:SL3.0:1:89413871:89414806:1 gene:Solyc01g099240.3 transcript:Solyc01g099240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAVDPSNTRLGWIGTGVMGRSMCAHLINAGYTLTVFTRTPSKAQELISMGAHWVDTPKAVASQSDVVFSIVGYPSDVRQVILDPNSGALSGLRQGGVIIDMTTSEPSLAVEIHSAASAAGCSAIDAPVSGGDRGARNAALSIFAGGEESIVQRLNPLFNLLGKVYYMGAPGKGQFTKLGNQVVIASSMVGLCEGLIYAHKAGLDLNHFVDAISTGAAGSKSLDLYGSRILNRDLEPGFYVNHFVKDLGICLRECQNMGLALPGLALAQQLYLSLKAHGEGDLGTQALILALERINNLSLQSEASAIGKP >Solyc04g050400.1.1 pep chromosome:SL3.0:4:46915394:46915925:-1 gene:Solyc04g050400.1 transcript:Solyc04g050400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIKPKPTSLTYGVLQFCAFLSNVSVVPSAAALVIKALKETEHDRMKTKNIKHNSNNSLDVVIKIAKVMQPRSMVNDISGTVKEILGTYVSIGCMVDEKDTKDLQQEIIDGVLEIPQD >Solyc05g014050.3.1 pep chromosome:SL3.0:5:7616258:7622654:-1 gene:Solyc05g014050.3 transcript:Solyc05g014050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFFPSLGPNSILSPFVDRTRTLNPVFQRTHFGNLPLNKPFSRGMLGVARFGLGQDPFPDPETAELFFKDLLTRTEGFLYTVADAAVSGSVDTVVDTTGSVKQNSDWLSGITNGMETVLKVLKDGLSTLHVPYSYGFAIILLTILVKAATFPLTKKQVESSLAIRSMAPQIKAIQERYAGDQERIQLETARLYKLAGVNPLAGCLPTLATIPIWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTVAARQTGSGTSWLFPFVDGHPPLGWSDTFAYLVLPVLLVVSQYISIQIMQPSQQSDDPNVKNSQAITKFLPLMLGYFSLSVPSGLSLYWLTNNILSTGQQVWLQKLGGAKVPTLKLSDDTPKKEQPQIQKLVSEATIAKKKDANPTSDKPRQGERFKQLKEQEARKRQQREEEKRRAEVAANYRKEDKSVGLETRNEIDSINGDSASLSRNASTSENYRIVNGDSTSSEVKADERSQTGKISDDHNDGEDQHVSDIRKKGDTVTSTTRATDDKLPLEVAQEDRSE >Solyc04g024965.1.1 pep chromosome:SL3.0:4:27284123:27287546:1 gene:Solyc04g024965.1 transcript:Solyc04g024965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANICEEFHEKLGLVRSNNFYSKDLLGDEGDDVFLAIDISHNDEPYLVTVDVATEGESSEEEDDENEPNLVTVNEPNLVTLNAGIDVATEGESSEKENDENEPYPSDYNSEELESFRLEKKREINDQLDNFKELEKGMSFKKLDEAKRVVNYYSIARKVALRVDKSDSVRVRYKCIVGCPFVCLISEVKKGKGFEIKTLQTKHTCPEAFKNRRATQQALAHYFKNRVQNDPNCKMTEMRKIVHDKFKHNISYSKMKRVKRLVLEKLDGSYVDDFNKLEGYAQELRDSNPSTDALKSGWRAGLRPFIGLDDTFLRGKFKGILLGLIGVVSAFFQNYKIDGSTYGGEFEDQLKSMGSVSKKVVEGLLWYPPQHWYRAFFDTVCKNYSCDNNFTESFNKWILEARAKPIIKMLEDIRIKLQEGRQVLPDEPILMPTLEFVASSSRQTSHQSSEEFNEAAGLSKSKRKNASKDKVDALPKRSKNDGKEKKMLQQPIGSRMISFKGDENDVVVPTNLPYSPKKLTWKGKACMTSSQLKKDKEKKVGKLKAKRDKH >Solyc07g006660.1.1.1 pep chromosome:SL3.0:7:1525198:1526859:-1 gene:Solyc07g006660.1 transcript:Solyc07g006660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRENIIYLFLLVLTFFTLQTSTASQMFVSHRHLVGEWRLLHESIGITAMHMQLLHNNKVVIFDRTDFGHSNLSLPRGRCRYNSHDLALQKDCSAHSVLYDIVSNTFRPLMVQTDTWCSSGAVLPNGTLVQTGGFNDGDHIVRSLAPCTDENCDWFELPRTLVQRRWYSTDHILPDARIIVIGGRKQYNYEFYPKNSRGDSAFSLQFLKSTLERYENNLYPFVFLLPDGNLVIFANTRAIILNYKENQVVRELPSIPGDEPRNYPSTGSAVLLPLDENRPMEAEIMVCGGAPRRAYRYADKYKMYMKALSSCGRINVIDDHGIWEMETMPTRRVMSDMLILPDGDIIIINGASRGTAGWNNAISPVTTPVIYRPNVRRDNRFSIMKAASRPRLYHSSAILMTDGRVLVGGSNPQEYYNFTGVEFPTDLSLEAFSPPYLARKHSHLKPTVLSIDEILTYKSSFSATFTVPTFLRIGTVSIRIIAPSFTTHSFSMNQRMVVLKGVVVVAISDNSYKFTAFAPSTKEIAPPGYYMLFVVHAGIPSSGVWVKIQDST >Solyc02g071220.3.1 pep chromosome:SL3.0:2:41260568:41261898:-1 gene:Solyc02g071220.3 transcript:Solyc02g071220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQFHVLAVDDSIIDRKLIERLLKTSSYQVTVVDSGSKALELLEVRETGVNLIITDYSMPEMTGYDLLRKVKGSSYLKDIPVIIMSSENVPSRINRCLEEGAEEFFLKPVQQSDVNRIKPHLMREKPNSLKRKAMVECISPDRTRKYSSNNNNSLCSIFLQNICE >Solyc08g022170.1.1.1 pep chromosome:SL3.0:8:31803716:31804123:1 gene:Solyc08g022170.1 transcript:Solyc08g022170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSTTRKDLMIVNIGPQHPSMHGVLRFIVTLDGEDVVDCEPILGYLHRGAEKIVENRTIKQYFPYVTCWDYLATMFTEAIAINGPEQLGNIEVPKRDSYIRVIMLELSRIASHLLWLGLFMADIGAQTSLFYIF >Solyc01g111145.1.1 pep chromosome:SL3.0:1:97433463:97435689:1 gene:Solyc01g111145.1 transcript:Solyc01g111145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQSLCGRVLLGLSLSLLLSTFCIADDNTIKVVGFGECADCKENNINTIHALSGLHVSIDCKLANGEIKTRGEGELDQDGKFEVSLPKEMMKDGKLKEECYAQLHSASSAPCPAHNGIESSKIVSIKTDGKNTLKTAGNLKFSTPLCTSAFLWPYFKYPQLPPFPKDHSWMKKFPKLPPFPPMPPLGHPFPLPPIPPFFKKPCPPPLEKPLPPPVPVYNPTPKPTPVVKPLPPPVPVYKPKPKPPPVPVYKPKPKPPPVPVYKPKPKPPPVPVYKPKPEPPVKKPCPPSVPKPKPPPVKKPCPPKVPTHKPKPKPEPPVVKPLPPPVPVYKPPVVKPLPPPVPVYKPPVVKPLPPPVPIYKKPCPPLPPLPPIVKPLPPPVPIYVPPIVKPLPPPVPIYKKPCPPLPPFPKIPPFHHPLFPPLPPKIPHS >Solyc09g008530.2.1 pep chromosome:SL3.0:9:2020053:2027228:-1 gene:Solyc09g008530.2 transcript:Solyc09g008530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLQKQNYKQDKSGERVDFRFSNFQLLQVPKGWDRLSLSVICVETGKTVAKLGKTLVKNGSCQWPETLLESVWISQDDSSLELEESVYKFVVSMGSARSGLLGEGTINLASYVGSRVSSPVLVPLKKCNQGTTLQASFMIDKSFPPPFFSEVKIHCLTPKSKFRYYMNPHFFIILNKVKVNRHLTQYSLYTFRDELKSSGSGVKEHVLDHDVDSKSNESGNFSAGSDVLPYDPGSNSGPSKLEIKERSVSASGSNNSFSSAESFTRKEKFPSRNYLKNEGSKQVRASPDHTSPQNDHLIDDQTVSSPSSYNTKATISMEHLQNNGKDFTASSVTNSGSSRNLLEAAEDTIEELRIEAKMWERNARKLMLDLDILREEFASQSKKQADLVMDLSASYSEQGNLKREIENLKLKLEESTSKHDVAEDSIFQPRGQKEELENEVRHQQEFNASLALQLKGSQESNIELLVLLQELEETIEQQKVEIEKFSSWKESEETLQRSDQSFTKPLQDKNHELESERAMNRQTENGHSEKTSTELIRDIEALREKVQELERDCAELTQENLDLLIKFKESGSQASENENSEDFTQLVKQLEVAFHHLKRPWHKVSSSVSDQCKHHLENLANLNEDGARSSKLLTTGCALTYLFDLNNLLETRIVECGECLKQHEQEIQERNRKLEDYGLEVQALESSKAELQIQCSGLLKELDKKYSELQSNEEEKRRLLEHQRELEGKVSGLQKEKDQVEENMKIVSRESAKTSSCLDDLQSDYKELNNNMNALVSENKLMKRKLAQLESEKHTLEDQFVGLTEKNENMEAQIRLMTVEGESRQSELEESTSVIMNLQEEIEKLESETKMSIANLKEELEDMQILWSQTREECEHLTNENEKLQGSLKNLLEMERKNVEQDEHRMQLEAQLHESQNSLSNSLIKVKALEENLDSMWKDFSLKEERLNAELDELIQENKNETEKLVQQESLSNQKYSEKLMEVERLEKEVEQLTKQISEMDEERRLATDSVNEVSSLRSDKEKLVSAIDDFKSKCTLTEKELAASRQSYEKLMVDHAKILKLLPNYRANEEKLKTSINDLELQLTLSRYEHQKFHEESANLKLQLQKAKELQDEVFNLKSELTECISEKQNLEASLEKISGDFEEMKAEKASFTSKISNLQKVLTELENSNRRRICLEEKVQQMESELTEKEKFFAQVTDLRTELSETKRDNEQYRQKIYKMEEEKDNFLKKVQALEAEVKMMEEEKKLYAKKFEQNDTPKSNNKYTNFNRAPQKLSQSQELWVDRLHSDRKSSESEGENSSSDGITSSVVVDYLARIQLLENKLGEALEANKKYKIQLQRFKTEERRGHSPASKKSEGDNEMVKRFEHTKALLEAELKDIRERYFQMSLKYAEVEAQREDLVMKLKAVKSGKRWFS >Solyc03g063710.1.1.1 pep chromosome:SL3.0:3:37871240:37871509:-1 gene:Solyc03g063710.1 transcript:Solyc03g063710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIQKSNNLLKLKFWFVFSIISFDYLPFSLANNFQEKYQQKITIIEPIESFIAIAATWKSFLLFAAAKHVQAIDSSRAYILFHESESTT >Solyc01g104310.3.1 pep chromosome:SL3.0:1:92619965:92624015:-1 gene:Solyc01g104310.3 transcript:Solyc01g104310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKLTILQSKGKITSIYVWTKEIQIHMKHITHQKSSSSSSQPNKSMAASLSSLFLSNPRPFRPPINPKSNPHLVFPLRTLNFSAKPNPPPPNSNAKQPPTIREPPLPTPPVGDEKKSFAVATGELFLGIASRVLRRGSSLVNGSNEEPAGVTMFKDDEESESYFLKRRKEGIASVVEDPVQPEVVWEQTEKDVEAEKSLKTVTSPGFSFSAAGLLFPYHLGVAKLLIEKGYIKETTPLAGSSAGAIVCVVVASGASMQEALDATKILAQDCRLKGTAFRLGAVLREVLEKFLPDDAHIRCNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVFTSSFIPGYLAPRPATFFRNRLCIDGGLTLFMPPTSAAQTVRICAFPASRLGLQGIGISPDCNPDSRATPRQLLNWALEPAEDDILDKLFEQGYVDAAVWAKENPVEDLIRDDDSSSLGISLVQ >Solyc06g074070.3.1 pep chromosome:SL3.0:6:45924868:45929869:-1 gene:Solyc06g074070.3 transcript:Solyc06g074070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVYNKYCDFRGVVVIYLCTLGVFLVNVVFGVTNLNDFKILSDFRNGLENPELLKWPSKGNDPCGPPAWPHVFCSSDRVTQIQVQSLGLKGTLPHNFNQLDKLQNLGLQGNGFSGKLPTFSGLSDLKYAYLDNNQFDTIPADFFDGLSGVQVLALDYNPFNESGWFIPIQLQDSAQLTNFSCVQCNIVGPVPDFFGKLPSLSALKLSYNRLTGEIPDSFRDSMLRILWLNNQDSPGMTGPIDVIGTMDQLMLLWLQGNSFSGPIPDTIGDLNDLKELNFNGNQLVGLIPQGLANLDLSVLDLNNNKLMGPVPKFRAVNATYSSNSFCQTTPGVPCAPQVNALLDLLGGWNYPANLAPEWSGNDPCAGPWLGISCNSKGQITIVNLQNKNLTGTLSPSLANLDSLLEVHLKRNSLHGRVPANLTELRSLRLLDLSGNNFDPPLPKFRDSVKVIIDGNAHLVANVTAAAPPLSISPFPPSSRSPKSSKELPSKSPFPGDNQPTLSDTPPSPEKSSGSDSKAPSGTKGQTTSESHNKTMIIVVVSAASVVFTLLAVVLFFKSKRKREKDSGTIVIHPKEPFDQDNIVKITVLEDPMMYSLQSGTTTSGGTKGSRVIEIGNLVISTQDLRRVTNNFAPENELGRGGFGVVYKGVIEDGIQIAVKRMESAIINSKALDEFQAEIAVLSKVRHRHLVSLLGYSVEGNERLLVYEYMSKGALSRHLFRWKILKLEPLSWTKRLNIALDVARGMEYLHNLAHQSFIHRDLKSSNILLDDAFRAKVSDFGLVKLAPDKERSVATRLAGTFGYLAPEYAVTGKVTTKIDVFSFGVVLMELVTGLTALDEHRSEETRYLVEWFWQIKSNKENLLASVDPALDVKEDIHKSICTMAELAGHCTARDPNHRPDMSHVVNVLGQLVESWKPVEDSDEYSGIDYSLPLPEMLKDWQDEETGDFTNTSQDSRGSIPAKPNGFADSFTSNDAR >Solyc07g006390.2.1 pep chromosome:SL3.0:7:1211777:1212852:-1 gene:Solyc07g006390.2 transcript:Solyc07g006390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKNIILIIVFVATLFSIALATPGTATFYTNYVPSACYGSTPQGSIIAAASDFLWDDGKICGKIFNVTCTGPTNPVPHPCTGKSMVVKIVDHCPGCGGTLDLSKEVFSTIANPIAGVIKIDYVQI >Solyc03g019790.3.1 pep chromosome:SL3.0:3:62835529:62841335:1 gene:Solyc03g019790.3 transcript:Solyc03g019790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4BEV1] MSSTSPLLLWCCLCLSLATVYARLQPRNLIVNSNLSVNEFNRRNLLGNGLGQTPQMGWSSWNHFGCNIDENIIKGTADAMVHTGLASLGYEYINIDDCWAEANRDSQGNMVAKGSTFPSGIKALADYVHGKGLKLGVYSDAGTQTCSKQMPGSLGHEEQDAKTFASWGVDYLKYDNCNNEDRSPRERYPIMSNALQNSGRAIFYSMCEWGDDNPATWASSVGNSWRTTGDITDDWNSMTSRADLNDQWASYAGPGGWNDPDMLEVGNGGMSFGEYRSHFSIWALVKAPLIIGCDLRSMDNTAHDILSNPEVIAVNQDKLGVQGKKVKQYGDLEVWAGPLSGKRVAVVLWNRGSYKADITAYWSDIGLDYSTLVDARDLWAHSTKGSVKGQLSASVESHDCSMYVLTPKK >Solyc03g097670.3.1 pep chromosome:SL3.0:3:61421535:61433676:-1 gene:Solyc03g097670.3 transcript:Solyc03g097670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDHRSKMGTPKEHIEEIRRSKFSIGGEANPLTEDLHQAVKNLSAELYAKDVHFLMELIQNAEDNEYNNGVDPSLEFVVTSKDITETGAPATLLIFNNEKGFSRKNIESICSVGRSTKKGNRKRGYIGEKGIGFKSVFLITARPYIFSNGYQIRFSEEPCEHCNVGYIVPEWVEANPTLSVIRQVYGSSATLPATTLVLPLKPDKVKPVKQKLSSIHPEVLLFLSKIKKLSVREDNEDARLNTVSAISISSETDFVKKKNIDAESYLLHLSADEKSGMGECSYYMWKQKFPVRREHRVDRRMEVDEWVITLAFPNGERLNRGTSSPGIYAFLPTEMVTNFPFIIQADFLLASSRETILLDDIWNQGILDCVPSAFVNAFTSLVRSSEGAPVSTLTHMFGFLPVNESPYPILNGVRDSIKRKLLDESIIPCESYVEQQFFQKPNDVGRLFPAFWNLLNKARKQGVVLHNISSHGIFIVNSDFDKGVYNHILSFLEVKHVENGWYAKCIQSSNFVLGVSEDVYLELLAFVAEKWSSFKTTDMMNIQLLKYVDFDDDVVLCSIYEALNGDHSLFLSRESGHISWLINWNSEFRFANHLFFAKSTQEAVRDHSKSGTVLDWLKDEVKVRSVNVHDFAVLLLNTNNDDRNIAMAFAHFLHQSLKRNYLSKDQVAALCRFLPLVDNYGHVTRQWKGVVVPANGSKWVRLIGSNPWKASGYVVLGEGYLHSGSYAGVCSSKEELLAFLRNNVAAMDIPDLPPPDAEISSMYSPLTKENALLMLDWIRKMKRNRLSFPKKFLTCIREGSWLKVSLSGSPGYRPPSKSFFHTSSWGHLLQSRSVLVDIPLVDQGFYGSEIIQYKEELSTTGVMFEFKEACEYIGEHFMSLATYSTLTKVHVMSILNFIKYLREKFLSPDTFINSINDKRWLQTTQGEKSPQESVFLDSEWNAASLISDIPFIDNRHYGNEIHSFKTELKLLGVVFGFNQNYQLVVDNLKSPTRLGCLRSDALLLILKCICNLGSSKKICMALKDNKCMKTINMGWKSPAECFLLDPEWGCLLQVFSSFPLIDTNFYGSNILSFKSELKKLGVVVDFEEATKAFVAVFRQQTSKGSLNKDSAHSLLSCYRKLKKTNFKFPSDLKRCIQEVEWLRTRIGDKLPKECILFDSAWEALSSISLLPFIDDSEARYGRNIHEYKDELKSLGVAVTFESGAKFVPASLRFPSDPSVITVPVAISLLECLKKLEMNHNDYLIALRSKLARKWMKTNAGYRSPDKCCLFGPKWNPILLPEDGPFIDENFYGSNIGSYKKELKSLGVVVEIGDGCSLLADYLDSHSSRITITRIYKYLSKFNWEPAKEDARKIWIPNGDNDGDWVNSDDCVLHDKSGFFGLQLHVLEKHYDKELLSFFSKLGVKSNPSLDDFLKLWNSWENAGRSLSQSECQTFWEFIVKHWSSRTENFLSENLSKLPASSGLKKEILMLDKRDVFIGDDLYLKDLFEKSSSHHLFVWYPQPSLQSLPRQELLEIYSKIGVRNLSESVLKKSLSSVNCDGLELVQPKEIFIGRGLFKLILGFLADPLLQMEVHKRHVALKCLMDVSIFATLEPITMDCSLSLSSGEVLNVEVSRMICWERKSSKIFLQKLDKSGGYKGKLEYATYFSEVVAEGILKEKEDFVPQLAELIKFGFILEFDEAAVEFLMKTKNLQIFLEDEEFLSSAFPSE >Solyc11g072970.2.1 pep chromosome:SL3.0:11:56408858:56415406:-1 gene:Solyc11g072970.2 transcript:Solyc11g072970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKLSCDGGHEFKLLFSCPSGLSPSQVSVVFDEVYDRIPHTDHTLEKSISEIWDARVEQSSSLYNGTKFRYGGYNFNVENDPKQQPHVSLHLGLTDYRTFVGTNLSPMWERFLVPSEDDCIQCQHTSSPLGNGAVVETSDRRILVLQRSNKVGEFPGYFVFPGGHPEPQEVGIISHEGFQELNQCHMINSKVSQEMFDSIVREVVEEIGTPADSLSSPIFIGISRRLLNVRPTAFFFIKCDLRSDEIQLLYSNAQDGFESTQLYAVSMSDLENMASKMPGCHRGGYALYKLMVQGTSDSLSHPLLNENFVAS >Solyc08g068150.3.1 pep chromosome:SL3.0:8:57301622:57304426:-1 gene:Solyc08g068150.3 transcript:Solyc08g068150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFLHILTYLSLALVASHAALPVTYWNTKLPNTPIPKAIKESLQPSGLTEDKSTSVDVGKGGVNVGVDKGRHHSGGTNVNVGGNKGGGVNVDTPGGTHVGVGKGGVGVTTPGHHGKPPVSVGVHPGPSPFVYNYAAKDDQLNDNPNVALFFLEKDLHEGSNMKLKFVENGNGASFLPRQEADSIPFSSEKMPEILHKFSVDEDSEEGIKGEEKYCATSLESMIDFTTSKLGNKVQPLSTETQKENTEMQKYTILGAKKMGNNNDKSVVCHKQNYAYAVFYCHKTETTESYMVSLVGVDGTKVKAVAVCHKDTSQWNPKHLAFKVLKVTPGSVPVCHFLPQDHIVWVPKN >Solyc05g007630.3.1 pep chromosome:SL3.0:5:2145109:2149502:-1 gene:Solyc05g007630.3 transcript:Solyc05g007630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNILQSLQHLEDQWGLDMPESLEDVIKFLKREFTFLDFFLSLQSFVDLSYMLEIVHKVQALFHDAAVKLTEISEMDHVDGVTNQLQVNIQKTKLEIKCEYLFPKVNNDGIVDVTPKVVIDLIDTVVVNLGDLLKVSCSSSLLFVRGPNKEIGDVFKELKLLRNFVCYVSDRFIEMKSQYIDFFIHVLEVTNHAAMVAWLYLPRNDNENQETNCLLSDHLNMKIKPIDPSIRKIYIDVLQALRSEWRPIIPIDHVANCVADFVETLRHNLKAISVSNPKTHQIADLQEMLNLLIANLSIQDLEIHLQDIDTVMIDSGILVYSLCENVVLGEVTIDLPVMIEHIKILIYHIIRKEFQSSLPRIHGLGYVDFVLSNLKEFQDRYPDSLAFMKTQLQIIQAELESVQPFLRFVAKKQYNIHNELQNSVALLIGKAYEVEYIVDACVSKRVPDWCLMLWLLDISTEVAEMQQKKMFEVDLVSPYTIDTDTSSKWSELKKRPGINGKTIGFEDEIEKLIHRLTGGFEGLDIISIVGMPGAGKTTLANRLYSYHPVVYRFDILGYCHVSPVYSKRGVLLSLLATLRVSIDENSLLSKRTSTLEDILVRNLRSRRYLILLDDVWDRNVWGDLKRYFPDSNNGSRILLTTRNDDVAYYVESVGKPHNLRLLTYEESWELLCIEVFGNKSCSPLLEKVGQEIARKCGGLPLSIVLVAGILSKMEKTEECWSRVAKDLGSYMASDAKAIIEPSFQHLPYYLKPCFLYFGTFLEDEEINVSKLTWMWIAEGFVSNLEGKSLQDIAKGYLDILIRRNLVMNAKRSSDGNVKACRVHDLLLDFCKKKGGEEHFLSWTKCNRDQNDKSLSATSSQKKLTQRRPVFIEEENLVEWSLSSCLVDSVLFKRLDVSSHQIYYFKFLKVLNLESTVINSFPTVLVYLRYFAAQTDKDSITSLIDNLWNLETLILKPTKGKLKLPVTIRKMVRLRYLCIDNAYFTLNDVEGLLEKLEILSTPCFSCAKDVELLVQKTPNLRELRCSFVDFRQEYFPELYFLTRLETLQIHLAANSMAAGPYNFPPTLRNLTLSNFFIDSCLESKISSLPILCVLKLVSVFFDNDEWEVRDGEFSGLRVLKLVKCEFFDEWKASDHAFPILEHLVLRECPYLMEIPSSFQDIPTLNSIKVKSCSESVEQSAIKMKRELEDIYGSDHLMVFIQRELNKDSLSGAPKLRMAEHFENSVPKGESLMGKIA >Solyc04g007670.1.1.1 pep chromosome:SL3.0:4:1357927:1358376:1 gene:Solyc04g007670.1 transcript:Solyc04g007670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLPIIFLIFVIYSTQITNGLLNNPRKLDEANIVNKCGSCPCNNPCNTPSPPPPPPPVPSPPPPPPKKPPSSVYCPPPPFEGGAGGGNGGSGGGYSPNPPSDAQYIYMNGPPGNLYPVDQYFNGAKSCFSSGFSLLISGFFLGIIALI >Solyc04g005750.1.1 pep chromosome:SL3.0:4:486901:487977:1 gene:Solyc04g005750.1 transcript:Solyc04g005750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPFIEHSGTPPSILVVNIQLSKSYAKELPPHFQENIRGVFTSCLFIDIRSLANVEDVQLSAVETKLMQVYNEIPGPSHPQHEFYKLILVTRLIAYASHMSCPVNFTQAVEFVI >Solyc03g051810.3.1 pep chromosome:SL3.0:3:22917367:22923834:1 gene:Solyc03g051810.3 transcript:Solyc03g051810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAFSSLRFSSHQHNDYRYRKLTAPPSCNAANLVLKSSNNLSSLPGLSKNFSNTLLCRNYRHTSIRACSQVGTAGSDPVLDKISQFKDAFWRFLRPHTIRGTVLGSASLVTRALIENPNLIRWSLVLKAFSGLIALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWILVLLFAVSGLLIVGLNFGPFITSLYCLGLFLGTIYSVPPFRLKRFAVIAFLIIATVRGFLLNYGVYYATRAALGLSFEWSSPVAFITTFVTVFALVIAITKDLPDVEGDRKFQISTLATKLGVRNIAFLGSGLLLTNYIGAVVAAIYMPQAFRSSLMIPVHVILASCLVFQAWLLERANYTKEAISAYYRLIWNLFYAEYIIFPFI >Solyc04g039860.1.1 pep chromosome:SL3.0:4:12470741:12471226:1 gene:Solyc04g039860.1 transcript:Solyc04g039860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILGNVNSFNYVCKISFSLIILIGENEKMNRKIHVWFGKGLWLRVERNGIGVKWGSRTVLSEFENQQLLGAHVAHAGLIVLLEFHLKPELPTFPLSLRNVCSDNGKVYPSDLSCGPRYPLNLL >Solyc01g060390.1.1.1 pep chromosome:SL3.0:1:70808821:70809201:-1 gene:Solyc01g060390.1 transcript:Solyc01g060390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGQHHDASRNAEPTANANDNSNLIEGNSFANGASLDPKVRSECKPGDILRNLENVSYIYRQDVVKCKTDGKIRIVTEVAGDSDSDSSLTDDEDEDEDDGEEDDDDDDDGGGGPDYDDDGGDSNT >Solyc01g008390.2.1.1 pep chromosome:SL3.0:1:2422018:2423103:-1 gene:Solyc01g008390.2 transcript:Solyc01g008390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLTVLDLSNNSLSGTINTTFSIGNKLGVIKFDGNKLEEKVPQSLINCTDLEVLDLGNNELSDTFPKWLGALSVLQILNLRSNKFYGPIRTDNLFARILVIDLSSNGFSGDLPVSLFENFEAMKINGEKSGTREYVADVGYVDYSNSFIVTTKGLELELPQVLTTEIIIDLSRNRFEGNIPSIIGDLIGLRTLNLSHNRLEGHVPASLQQLSVLESLDLSYNKISGEIPQQLVSLKSLEVLNLSHNHLVGCIPKGKQFDTFENSSYQGNDGLRGFPLSKDCGGDDGVAQTTNPVELDEEGGDSPMISWQAVLMGYSCGLVIGLSIIYIMLSTQYPAWFSRMDVKLEHKILTRMKKHTKKY >Solyc03g095880.1.1.1 pep chromosome:SL3.0:3:58987524:58987676:-1 gene:Solyc03g095880.1 transcript:Solyc03g095880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIYSSCHISIIASNNYEYIVNFLPKQDVQIRTSMPKPINYSYQKGYIH >Solyc07g066310.3.1 pep chromosome:SL3.0:7:67916388:67918124:1 gene:Solyc07g066310.3 transcript:Solyc07g066310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSBR description:Photosystem II 10 kDa polypeptide, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q40163] MASTVMSSLSLKPTFTLEKISVKGLPSLTRSSSSFKVVASGVKKLKTDKPYGINGSMALRDGVDASGRKPKGKGVYQYVDKYGANVDGYSPIYNTDEWSPSGDVYVGGTTGLAIWAVTLLGILAGGALLVYNTSALAQ >Solyc09g091890.3.1 pep chromosome:SL3.0:9:71582094:71583008:1 gene:Solyc09g091890.3 transcript:Solyc09g091890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGSRKSIKLKIKAQDDTILHFKVNTSTIMKDIFMSYSSKKQMMNYKVFRFFLDGKRLSSHKTVNELGLKNGDEIDAMIHQDGGGSACNY >Solyc12g013470.2.1 pep chromosome:SL3.0:12:4335728:4336439:-1 gene:Solyc12g013470.2 transcript:Solyc12g013470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVMGNLFYSERVIDKRFDLKGSSYGRTTDKQGGEADETTTLKDLGLNFFLSILFLEAENIMDYSLLIGVHICNYKSPDKGLIIDKRNSPVSSAANVPAREMCVTRSGSESTSEQGICEANNSILCLGITDILQDYDISKRLEHAYKSFQVDHTSISAVDPKLYSKRFRDFISRIFKVDEGQGEWKEHVDKHMETGIS >Solyc05g024140.1.1.1 pep chromosome:SL3.0:5:30490026:30490442:-1 gene:Solyc05g024140.1 transcript:Solyc05g024140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFSTVEGFVEIIESLMDMIKFIANKPSAGIFYVQQHTHTAVPNLINLTIKIEGKFRQVTLHTADSEDSIIMVRSMKECGFSITNEIMKTLRHSLAVVFKKHLRNGSIRRPSSSYRIGRTISWNSATLGQKLVRTRW >Solyc12g089060.2.1 pep chromosome:SL3.0:12:65179960:65185322:-1 gene:Solyc12g089060.2 transcript:Solyc12g089060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKPKKGWKSISSLNLKTKSAAHFCLLPKSKSDRYGPGDTPVYLNVYDLTPMNGYVYWVGLGIFHSGVEVHGVEYAFGAHDYSSSGVFEVEPRQCPGFKFRKSIFIGVTKLDPCQVREFIERQAASYNGDTYHLISKNCNHFCNDTCYKLTGKKIPKWVNRLAKLGSSFNCMLPEALKVAAVEHDPNGPEYVTERRRLRSAFSCLSSISTRQRQLSTSSLFLQSPLKGCLPSWELRKSSNRSLKER >Solyc08g081620.3.1 pep chromosome:SL3.0:8:64732668:64736161:-1 gene:Solyc08g081620.3 transcript:Solyc08g081620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:Q42871] MACSKNIWVIVIFFLCILAGPIIAQDYNDSLGKAILFFEGQRSGKLPVSQRVKWRGDSALIDGIIEHVNLIGGYYDAGDNVKFGWPMAYSLTLLSWAAIEYQTQISSTNQLVHLQNAIRWGTNFLIRAHTSSTTLYTQVGDGNADHQCWERPEDMDTPRTLYKITSNSPGSEVAADVAAAFAAASIVFKNIDSNYSTKLLKRSRSLFAFADKYRGSYQASCPFYCSYSGYKDELLWAAAWLYKAGGGNNYLNYASINQGWSQVASEFSWDDKFAGAQTLLAKEYLNGKSNLEKFKKDADSFICGLMPESSSIQIKTTPGGLLYYRDSSNLQYVNGATMVLFMYTKVLEAAGIGGVTCGSVNFSTSKIKAFAKLQVDYILGNNPLKMSYMVGFGNKYPTKLHHRASSLPSIYNHPTRVGCNDGYSSWYNSNNPNPNTHVGAIVGGPNSGDQFIDSRSDYSHSEPTTYMNAAFIGSVAALIDQTKEGEHYGEINSQFNKTGFM >Solyc02g091040.3.1 pep chromosome:SL3.0:2:53118795:53119049:-1 gene:Solyc02g091040.3 transcript:Solyc02g091040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDNDHNYTVEEDKAVVVYIHLEVVVVVVVPNYGWGGVVDLVVLVENVFYGMP >Solyc03g093820.1.1.1 pep chromosome:SL3.0:3:56934863:56935192:1 gene:Solyc03g093820.1 transcript:Solyc03g093820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGSTQSSFFRDRERGLFQQRELERPLSHSLQDPKKRKNRKTWEAVRFSSRFAITVVETALAGLVNQTMIHLEGFLAVVGPTRYQPKSCRNVGSPPKIHSSVMAVGLA >Solyc01g091950.3.1 pep chromosome:SL3.0:1:85356995:85373249:1 gene:Solyc01g091950.3 transcript:Solyc01g091950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQSMFAPLLPSDHLPPKSDRDDQEHAPEPHVILTVEHDGVQHQLSNGDHMGTHISEVDDNPYAFLGANRFDMPGSTTVDPFRNNTPTVEGVYEWLKIVVCLPITLVRLVLFGLALMVGYLATRTALLGWKDRSSPMPKWRTRLMCITRMSARTILFSFGYQWIRRKGKPAPREIAPVVVSNHVSYIDPIFFFYELFPTIVASESHDSMPFVGTIIRAMQVIYVNRFSPTSRKHAINEIKMFSECVVEVYLGDMKCYVPTQRKASCDQFPRVLLFPEGTTTNGRSIISFQLGAFIPGYPIQPVIVRYPHVHFDQSWGNVSLAMLMFRMFTQFHNFMEVEYLPVITPHENRKESAARLAQRLNVILVDNTGHAVATALNVVQTSHSYGDVLLLSKALEANQENPSLYLVEMAGIEAEFHLSSLEAVDFLDVFLSMNPDSRGQVEIHHFLKVLRLKPSTLSEKIFGFIDVQKSGKITFKQFLVGSAHVLKQPLFYQACESAFTACDGDGKNCILEKEFGDSLMLSIPGLSNNEMGELFTLFDIDCDGKISKDDFIGCLRRYPIPEVIERYKRHTKDKVKSDENQSVDIQHTKQETASLMKKIELLESSKRKLLGEGLGSCSLEEVQQLEKQLEQSVITIRARKMQVFREQMERLKERERALTAENMMLRELKFGGDEERRKSSGEKEREVVFCIEGGSTGSEKSDVETELFIGQPHYHDSRIRRPEWS >Solyc01g104270.3.1 pep chromosome:SL3.0:1:92593553:92599322:1 gene:Solyc01g104270.3 transcript:Solyc01g104270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPVFQTAQIKPINTQIIPYSKPLFPPLLCSQIRVYKVCKIKATSSPAVDGDPVSGLERCFQGSPALDHPTSSSSSTVMFAPVMKGGKYGALGSVTLEKGKLDLSSKQQTSSTPELFDRKFVDAVLNEWQKTMLDLPPGLRQAYEMGLVSSAQLVNFLAYNARPTVARFISRLLPEGLCRAFNGRKLTAAISHEDQIAPAEHIRYKTLQDGGCSGYISLKVESFVNPWGSLTPKSMSSKLFKFLLSIVCCLNNVFKYSGFYCILSDIASCLKFSGLSLCDRKIADPAFLSKLLLDQALTIGCSVWWEEWDLALLNVLTAMACNAAVFWTLAPCQSYGNTFRFDLQNTLQKLPNNIFEKSYPLREFDLQKRIHSFFYKAAELCMIGLSAGAVQGALSNLLANKKEGRLSVSIPSVSSNALGYGAFLGVYANLRYQLLNGFDRAVFNYFDVIGVALVFSTAMRVLNVQVGETSRLAWLGVEVDPLAHSDDVLKAYSRPTEGGVEQSSSKWFISKNSIVSGLGLLGIKQGQSGSTTEGETSAPKARRKRIVRKKVAS >Solyc11g071530.1.1.1 pep chromosome:SL3.0:11:55264226:55264843:-1 gene:Solyc11g071530.1 transcript:Solyc11g071530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLMFSRLRQHLHAVLFKRSVRSNVADSCFVTSTVFTRHFSQQVEINQRKLPADYDPVTFDPTEHRSPPSERVWRLVDEVSGLTLVEVAEVSSIIMKRLGMTERPTVGVMKAGAAGFAAAMKGPEAAKEEKKPEKTAFELKLESYESAQKIKIIKEVRSSTDLGLKEAKDLVEKTPAVFKKGVSKKEAEQIIDKMKAIGAKVVME >Solyc08g006870.3.1 pep chromosome:SL3.0:8:1415374:1421983:1 gene:Solyc08g006870.3 transcript:Solyc08g006870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFMKLGSKPDTFQDQGKGVRYVSSELATDVTIIVGEVIFYLHKFPLLSMSNKLQKLVSKANEENSDVIQLVDFPGGPRAFEICAKFCYGMTVTLNPYNVVAARCAAEYLEMAEEVDRGNLIFKIEVFLNSSVFRSWKDSIIVLQTTKSLRPWSEDLKVVGRCIDSIASKTSMDPSSITWSYTYNRKVAVSDKITEVGMKFPGKLESVPKDWWVEDICELEIDLYKRVMVAVKSKGRMDGSVIGEALRTYAMRWLPNSIEALVSEAHSRRNKSLLETIICLLPYDKGVTCSCCFLLKLLKVAILVGADDSSRDELVKSISLKLDEASVNDLLIPARSPQATVYDVELVKCLVNRFMARERSSRDKNIPPKSTNDFILGHASWLKVGKLIDGYLAEIARDPNVSLSIFIELLQSIPDSARPIHDALYEAIDIYLQEHSSLTKAEKKHLCGLMDVRKLTMDASMHAAQNERLPLRTVVQVLFFEQIRAAAGVQTLNHRNTDAVDSSRMTEKEYWQKTLPEKQNVSKSSSEIKIKGEDPQKNMKLVKKGSKNRSSGPQLMPSRSRRIFDKLFIGKVSGNGENRSSETSGSSQSPTLRIIQGEMKSSCASSRNRRNSIS >Solyc01g011092.1.1 pep chromosome:SL3.0:1:7557143:7562593:1 gene:Solyc01g011092.1 transcript:Solyc01g011092.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTFSVKWQFLIWIEPPQCPRSTSVDQDLSLKVPEKVKQDEFFPADLIFLASTNPDGVCYIETANLDGETNLKIRKALERTWDYVSPEKISTFRDLSMKCTVKPLCTDYP >Solyc03g111300.1.1.1 pep chromosome:SL3.0:3:63400740:63402215:1 gene:Solyc03g111300.1 transcript:Solyc03g111300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLFLFFILGFITHSLKLHLKFTPIYGPSSYPILGCLISFYKNRHRLLDWYTQLLSESPTQTILVQRFGAPRTIITANPDNVEYMLKTNFINYPKGKPFTDILGDFLGRGIFNVDGELWNAQRKLASHEFSTNSLREFVVKTLEEVVENRLVPLLIQAANSGKVLDLQDVLRRFAFDTICKVSLGTDPHCLDDLSHVPVLVDSFDTASRACAMRGMAPVYAIWKSKRALNLGSEKKLKENVKRVHCCIDEIIEEKKQKIATENGGDQMDLLSRLLLAGHDNEVVRDMVISFLMAGRDTTSSALTWLFWLTTNHRDVKDEMIKEITSINNGDKALEFDELKEMKHLAACLNESMRLYPPVAWDSKHAAKDDILPDGTRVQKGNRVTYFPYGMGRMEEIWGKDRLEFKPDRWIDENGGLKSVSPYEYPVFQAGPRVCLGKEMAFTQMKYVLASVLRRFEIKPVNVEKPVFLPLLTAHMVGGFNVRIYGRVE >Solyc01g088323.1.1 pep chromosome:SL3.0:1:82991435:82992402:-1 gene:Solyc01g088323.1 transcript:Solyc01g088323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFLAFTWMDGRYLYFRLYFFLAIGDDYLRETAYEILLAAAGSLGFLEAMDVRTRLGLLNAMVGKVGK >Solyc11g065610.1.1 pep chromosome:SL3.0:11:51423655:51426718:-1 gene:Solyc11g065610.1 transcript:Solyc11g065610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVLAASTMAGVVNKFFITSLTMWAAPVAILYVFNHNLIPGKLTLFLFF >Solyc10g044490.2.1 pep chromosome:SL3.0:10:26601903:26603309:1 gene:Solyc10g044490.2 transcript:Solyc10g044490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWIKWKNVVPSLMEIAVLQLPTEGLEKSTEGVQTEPHRDIDEQVLSGQNSDDDFVNPPPPSMKVTGYTIS >Solyc04g025590.1.1.1 pep chromosome:SL3.0:4:21713180:21713605:1 gene:Solyc04g025590.1 transcript:Solyc04g025590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTLFVEGESSNSFQWRYDVFLSFSGEDTRKSFTSHLKLRLCQVGISTFLDDEEVGKGEVISTKLEKAIELSRVSIVVFSKKYASSSWCLKELVKIHECTEMLKKLVLPIFYGVDPSQVRNPVGYFNESLTRRFGAQRTQN >Solyc03g044720.2.1.1 pep chromosome:SL3.0:3:10411715:10413641:-1 gene:Solyc03g044720.2 transcript:Solyc03g044720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNKSKILIIGVTGRLGFELAKASLNSSHPTFGLVRDSAFSDTHKSQKIHTLTEAGLTVIKGSLQDEDILLEALKQVEIVISAVSSKQVHEQKILISAIKRAGCIKRFLPSEFGADPDRTQVSDLDHNFYSRKSEIRRIIEAEGIPYTYVCCNLFTSVLLSSLAQPGRKAPPRDEVSIFGDGTAKAVFMNENDVAAFVINTVDDARTLNKVVYMRPKGNVYSMNELVGIWEGKIEKTLKKIYITEDELLKKIRDTPYPENMELVFIYSTFVKGDQTYFSIESSGGLEGTQLYPQITYTTVSEFLDTLL >Solyc02g078470.3.1 pep chromosome:SL3.0:2:43704945:43709333:1 gene:Solyc02g078470.3 transcript:Solyc02g078470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLITKRFNLQIFPQNFLSHFPQLSKKTPHNTHPFPRKFSFTAKTLTLCSVAASESLSCGGWDDPSFIGDPISPGESNKLHNFLNKLGINDKKYVFVCLLGFVCALAISRVKVSSIIAIPGCVIVFALGFSIGVFNGGKMNLDGNKRLHQDQIFKDFIEKLRVLVDFLSGVDVEIGNLKKGVRKGIENNQITVEDLGSFEKSLESINFSALNARKVIEGCIESLSIDGQDIGGEISQKSSKKKKEPGKYGFDFFQIAAGLFQAKSGSKSTKMKDHGDTELMDRKMNGPKQGNILSSTTKERHLNFDMDLDGLSGTSRHSFNDDAIRQDRVGETFGKASRMNVVSDGNFNFSEMDSNTVKSVFNREEYSYQTSRVQFMRNQRVSHRMSHPCKFESWASDDGLADSIDFGVSMEQSNTEASSLHEKDVENFEGVRSHFGGKENDEDTYSHFLGEEMRNPEKEPSMGRDEASNECESGHSPSSGGSIDLQFNKYLTEANILVQEAKDCLRRQDGDKHAENAFYESAVLLSKAIDIRPMSLLAVGQLGNTYLLHGELKLRISRDLRALLTDAVSLNKRAKIRDALDDTVPREDKITSYLVNVCEECEELLIKAGRQYRLALSIDGNDMRALYNWGIALSLRGQLIADIGPGSARDADKVFLAAIDKFDAMISRGNVYAPDALFRWATALQHRSRLRPRTSREKVKLLQQAQRLYKDALHMDSDNLQARKALSSCISELKYWYR >Solyc04g009160.3.1 pep chromosome:SL3.0:4:2663576:2668939:-1 gene:Solyc04g009160.3 transcript:Solyc04g009160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRVVLDKGGTRKLPQWMFATSAADQVKEKAKTDKVDNNNTTEKEIVTQKQKNRRIKDKKETFTEESSTQLPICQTTKRSRRKLNLPNDYCNDESTSMGSECDSKQASGDGEVPMLRRQKQKTKNSKTERVAEMEESTTTASDRCGLRKRKCSRLKENSSEAGPSLRRQKQKTKNSWNENCADVEESTPNTDDEDLTVEDLMHMAEEYAGDADEDLTVEDLMSIAKEYVNENEQATSGKGKSGPTEDAISMSIGSLSEVDNQSLRRETNFDCISIQERTIEDASPKLNMSENPTQDMLDLFLGPLLKKTHEEKRVELVREEMSLAHDLNKKTQSNPSEVQPLMVKKKSSLKDKVSLFLD >Solyc11g011760.2.1 pep chromosome:SL3.0:11:4738254:4743582:1 gene:Solyc11g011760.2 transcript:Solyc11g011760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLVAATVNAIIISLLMSLAAAGGFLALFFGCLTAIYIGALSVAVFVVSTTTIVAIFAVIVATGWIGFFWTIWLATKKSASLAKRSLNATGSALSAYSSPRYVRPNHDA >Solyc10g018500.1.1 pep chromosome:SL3.0:10:8423229:8425083:-1 gene:Solyc10g018500.1 transcript:Solyc10g018500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPPLAHSFYLFTILTIFTLSIFSCSIVAETDPFSPAVATWYGDSAGAGSDGGACGYGNDVKNPPFSAMVSAGNGNIFKGGKGCGACYQVMCKEKSACSEIPITVTITDECPGSCGNYGAPFHFDLSGTAFGALAKPGQADLLRGDGIINIGYKRVACNYPQTTLTFKMDQGSNPSYFSCVIEFENGEGDLGLVELQSSTGLVNKWPPMQHSWGANWKIELPPQIKPPFSIRLTTLDSNKILVANNVIPLDWAPGLIYHSLVNF >Solyc01g100440.2.1 pep chromosome:SL3.0:1:90337009:90340729:-1 gene:Solyc01g100440.2 transcript:Solyc01g100440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDDVNGRLKFRRMSSESDEDGLSKMFRTSPESISQDTELIGLTLSKTPSFVNLLESELSQVKMRKNSNGSTRRVGRPSNSSRNKTNIEDFASVSEKLKASNFPAMKLTIGGWERVSKHEGDLIAKCYYAKRKLVWELLDGPLKSKIEIQWSDIIAIRAIMPQGEQPGVLEIELNEPPTFHRESNPQPRKHTLWHQTSDFTGGQAPIHRRHCVRFAPGVLDKHYEKLLRCDARLNELSKKPFPSQLCPFFEQPDYNDQVSDFSIGNDYGSQIFLNMHYPLHLLPSSSSSALLPNVREPLRQFSSSYPVAGERMNGLWGGQTSNNMVNVAMGNQTIGMLPGGSTPEQVDWYTTAQDDRAMYLENESIGNGQVNHTVVNNLKNYLLEENQVTSYNEPAFPYSLAEQHENRSPVAATGDVHGLNPSYGQMANNNYAAPNYEMAYMQTNTNWMFPQQTNDQFSANYATARGGYPTQDGNQEAQSGWKTIN >Solyc11g008940.1.1.1 pep chromosome:SL3.0:11:3093656:3095614:1 gene:Solyc11g008940.1 transcript:Solyc11g008940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTLLQTPPPPPPCGVQNPYSSRRISTAFGHSTGNDSASKSINLCLRCNYNDSIQSYCKKGELKQALQLLSQEPNPNQHTYELLILSCSEKNSFHDGLTVHRKLIDDGFEQDPFLATKLINMYSNLDSIDHARQVFDKINNRTIFLWNAFFRALTLAGNGVEVLKLYTRMNSIGIISDRFTYTYVLKACAVASLPKHKEIHAQLFRHGYHTHTHIMTTLIDVYARFGYVENAACVFDQMPQKNMVSWSAMIGCYAKNGKPLEAFDVFRDMMNHVLLPNSVTMVSVVQACAALGALEQGKVLHGYILRKGLDSILPVLSALVTMYARCGALELGRRVFDQMGKRDVVAWNSMISSYGIHGFGGKAIETFREMIRHGVSPSPISFVSVLGACSHAGLVEEGKELFDSMLKEHNICPTVEHYACMVDLLGRANQLEEAAIIIQDMRIEPGPKVWGSLLGSCRIHCNVELAERASRRLFELEPTNAGNYVLLADIYAEAKMWDEVKQVRKLLEAKGLRKVSGCSMIEVKRKIYSLQSVDELNIQIEQIHALLLKLSTEMKQNGMYVPDTRIVLYDLEEEEKERILLGHSEKLAVAFGLINSSKGDPIRISKNLRLCEDCHSFTKLISKYTNREILVRDINRFHHFANGVCSCGDYW >Solyc02g088420.2.1 pep chromosome:SL3.0:2:51136645:51143523:1 gene:Solyc02g088420.2 transcript:Solyc02g088420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPPDFFNSLSKVLVVECDFLELWPIGNNFSSHPQRIGMTEIVMEDLPEKVIPEIGYQLLHNYADQIQNRYWTCNVHSQASRSCTRDLNLFHKQPTSVTPLADREVTSTIMKDELRFRILGNKDTPVGTFQLHLGGCFGVNLTDVDLLEFLQQ >Solyc06g063305.1.1 pep chromosome:SL3.0:6:40125950:40128334:-1 gene:Solyc06g063305.1 transcript:Solyc06g063305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSMRICILSFFISSTAAFAEQSTHLKWVNMVVEGVGHDNLDLNNIRRSVVVVGASLLKMVAIDDTVKDKHLSLLVAFTLFSVIGVLSEAQLCNTWLIYLSNTSSLCLWASREEQRTQLFQVRREVLTHDSVSWILVDHKIVASKLQVVRGARSSSLVAMALGLITIYIII >Solyc08g081555.1.1 pep chromosome:SL3.0:8:64693836:64695357:-1 gene:Solyc08g081555.1 transcript:Solyc08g081555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSISKNNQKQQLLSKIATNDGHGENSPYFDGWKAYANNPFHLTDNPTGVIQMGLAENQLCFDLIQEWVVNNPKASICTVEGAENFQDIAIFQDYHGLPEFRQAVARFMEKVRGDRVTFDPNRIVMSGGATGAHEMLAFCLADPGDAFLVPTPYYPGFDRDLRWRTGVQLFPVVCESCNDFKVTTKALEEAYEKSSTIQHQNKRLTYKQPFKSIRYSS >Solyc02g078660.3.1 pep chromosome:SL3.0:2:43890988:43894728:-1 gene:Solyc02g078660.3 transcript:Solyc02g078660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTGNDEWMVKCKDDSFSKQDNLEHYGVLLLDDMIQLASERMFDMMDEDEDEDEDEDEDDQIRYERPSFNMFGRVLSESYSSSKSSLSSTPVTPTSVLPELRSKKNTKASYSPPRLLPLRVQAVGKLNPIDVKRLSFHMFPNVAAQDSNFVVQLTSTVNEQKSDVEAEKDSQVKTKDDEEFGQDCEMTDSPDILPTSMDAQPENEGTCKTGVKNNLPVSGHVTLDVLLPPSLPEQGAGQQSPLTLSLNVIDSQKPTSTLQISLLTPDGDISLNQPSTFAPAAPQPPPPPPPVPNSSGNAEGSRPAPLLAKPSGVPQPPPPPPPPPMASANVATPQHPMKPISALPPPPPPPPPMTRKEITCPPPAPPPPMTSGQRVAPPPPPPPPMTSVKAVTPPPPPPPPMTSGKEVAPPPPPPPPMTSGNVKSVPPPPPPPMGSKFTAPPPPPPPMGSKGMAPAPPPPPMTSNGRMPAPPPPMPMGKGGAPPSPPGFAGARSLGPRKGATKLKRSSQMGNLYRLLKGKVEGSSLDGKSKGRKGKASASAPAGGKQGMADALAEMTKRSAYHQQIEEDVKVHAQTIKEMKTAISSFQTSDMSELIKFHKTVESNLEKLTDESQVLARFEEFPTKKLEALRMAAALHTKLDTIAKTLQNWPLVPPVGQLLDKAENYFNKIKGEMDTLERTKDDESKKFTSHKIHFDFGILVRIKELMVDVSSNCMELTLKERREAKQKENEGPTPKNDGKKGSAKLLWKAFQFAFRVYTFAGGQDDRADMLTKELAQEIETDPNPET >Solyc12g099610.1.1.1 pep chromosome:SL3.0:12:67677563:67677886:1 gene:Solyc12g099610.1 transcript:Solyc12g099610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFKNGVVRLETPGDCHVSSTTGHRKVLVHVPSKEVITCYANLEKKLYSLGWERYYDDPQLLQYHKRSTIHLISLPIDFNRFKSIHMYDIVVKNRNEFEVRDM >Solyc09g090350.3.1 pep chromosome:SL3.0:9:70334415:70339348:1 gene:Solyc09g090350.3 transcript:Solyc09g090350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:K4CW61] MEKKAHHLLRGGRRETKYSHGFSSSEIQTLTSICETILPPIPLNSLQKNNKKIQNFHKVSGSQYPIPDEVAEIVMKRGFFEARMLVRSLLRILSTRIGSLLLCGLFCFGKSWPYINKFSDISLDKREIVMQKWFNNRFFTPVRLAFVFVKFLCLYIFFTQVGEDSNNPAWDDIGYQVDNEENSSETPDERPLQKGIIETIYETESSIVKSLVEKGLKVTEDTTNNMYKVQCDVVIVGSGCGGGVAASVLASSGQKVVVLEKGNYFAKSDYSSLEGPSQSQMYESGGILSTLDGKVMILAGSTVGGGSAVNWSACIKTPDSVIQEWGDDKRISMFKTPEYVSAMDKVCERINVTEKCTQEGLQNQILRKGCERLGLEVEGVARNSSEDHYCGSCCYGCRRGEKKGTDTTWLVDAVDCGAVIITGCKAERFILEKKKYGKTRSKKCLGVISTSMNKDITKSICIEAKVTISACGSLLTPPLMISSGLKNRNIGRNLHLHPVIMAWGYFPESNSGLKGKIYEGGIITSVHKVGSYDSNVRAIIEAPALGPGSFAALCPWTSGEDIKNRLLNYDRTAHLFAMVKDVGSGEVRSEGRISYTFNGMDKESLKLGLRQALRILIAAGAAEVGTQRSDGQRIKCTGQSEKALESFLETVTAAEGPKSLVKDFTTYSSAHQMGSCRMGMNEKDSAVDENGESWEAEGLFVCDASVLPGAVGVNPMITIQSTAYCLSKKIAEMIKDGRFSR >Solyc09g009730.1.1 pep chromosome:SL3.0:9:3143011:3143861:-1 gene:Solyc09g009730.1 transcript:Solyc09g009730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSTFFAAFLLIIMVANNSLIKVTTAHHCDSASDCQVVCHDQKPLCILHVCACIGKLDEDYTRLEKRKLNMY >Solyc01g108950.2.1 pep chromosome:SL3.0:1:95988405:95989148:1 gene:Solyc01g108950.2 transcript:Solyc01g108950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRRKAITCKVRNVHDKYGADIFRSGRATQPKNPYFVAKMRAKMRNQLYVPIDVVRDYKLELPPTMIIRDSVGREFETKVNKWSNGTTWLVGGWRNLCRWNLVEKDDRCICEFVRGKCGRVLYLQIQVLYEGSNSHRNNK >Solyc11g008250.2.1 pep chromosome:SL3.0:11:2467772:2484526:-1 gene:Solyc11g008250.2 transcript:Solyc11g008250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALYSTFILIDLQSSWYSAKQIPNSTFCYLNKSTFSAAFRRTRGGRGRFPAPAASIQSPGIRRPRERPVAGNGLSLFSPNSPSTSSSEDEVVSDLDLFLELVPLRMRNELFMHQEIGKLVEIVMDLGRKPLARFPSGDWIISEQPVMLEDLHHAISKVGDFSDDNRSGIDSSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEIIRDLVEEGGSILVIGPPGVGKTTLIREIARMLADDQKKRVVIVDTSNEIGGDGDVPHSGIGCARRMQVPNVNLQHNVMIEAVENHMPQTIIIDEIGTELEALAASTIAQRGVQLVGTAHGSTIESIVKNPSLQMLVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMISRTQCRVHHRLDLTVDALLAGKSPLFEIRGLDSEAENSIESSPFTQEDDVNDFELIDKVEKKDKMESDREYIKKKPKVESDMEYVKKKDKVEFDMEYVKKKVKLESDREYVKTKDKVEYVNYSKQSSKEDKKVQFESDEEDDDHPNSKKSGTSGYASKKTSPVFVYTYKIQEADLLQVATVMGLEEEIEVTDDIGIADAILASSAEMKQNPWIRSVAKFHQVPVFVVKSNTMAQMVKAIRMILGMDSIHSKQPLKDSSDIEIQDDAPKRRPTLEEIDALEEVRLAIEYIVIPGGEAVELLPRRSEIVAQQLKLVESYQLAAENSGTESNPRLQILPQKLYRKTSVKTLRSSSSRNSTGSDSWIGKNGGTGVARLPFLPE >Solyc06g005960.3.1 pep chromosome:SL3.0:6:941679:956008:1 gene:Solyc06g005960.3 transcript:Solyc06g005960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVESSNISSLIWTNVKYSIEFSSTFTKKHPFVSFTLLFFILFYALSPSNTWFFIYSLPLLFFFTVLLILFFSIPSFKHFERDVDHSKPSENTISHHDEDVIEDKRKAFLRARSVRRRKSKKCIETGAEEFIQGGTFRMPLSDDHDHDDFVDKGALIEEKLKDIREVEVHSISHGRDECSSSSSIFKNSRPVEYSYEGSGKCFKSYSCMYERRRECFGETEYDGARNKGVQWKDDDQKNLMDLGLSEIERTRRLESLMARRRARKMLSLQVRRSLMNIGCKETFPPISSILIPKHKESTNQFSTTPGSAPSILGPNRNPFDLPYDQHEEKPNVRGGSFMQEFMSAQEQKELMLSRHGSFCMGTALSGDLNLDQRERTQRSDLPSRQWFPETSESSKSRHPLGKEENDRIVEEVPSQASEPEMNVVYKGDQSREVQDEKDSSEVQIKSVLVEDISNRVSSSSSSEDDEPIYKIDKDAILKSIASPALRNLSGDPSPSGLSLLENTIENERLYYPNRPMHHTPGQSIASDLQVEVSEVGSPPLTDDGNSSVGEEISIDGEIEKAITSSSEDVLMSSSHLARVDENESNSREVCEVTEQDIVEFGFSRFHMSENNVPQNIPSERTIEPYSTGSSSFPPPRTDRNQASSSYQQRRPEGLSVVGERFQGSLLQPEFPAQQLPFALTSLVSPTSVLQPNCLIEHGSSSSIDQLQNDRSVNISSERSDSIASQESDLSLSNLTLQVSELPSETENSISAKENDVAALVLDSASEQHSEPVAFSTIGQGHHSFEASSSTSSSKSVSQPTFSIDKGSSSNLEAQQVDRRKLKNKLTDEVTAESCDPTSTRSSKLTLRNPTVQSSVPHPKTQKSAENSKSLPRNEIHEALHDNGGKQPIEEVFSTISQSIDGSQASSSSSPHDLVVEQVPRASTSSPSPNTMIQPKFSASEGSSTSETQKRMQDPIPGIPSESSVNQDLISLQSLIREVPTASSCSSSPKSVLKPKSSTGQGPLLNFDREEQIGESPSPRMSRTSLVESASDQLHHTDAKTKPSNDEEKFHEEATNHNDVKKEDLPKMSLKEVSVESPNKTTMDKIGTLRTLIRRNGPKWRGTGSILSVGGSAPFEDAPSEAWADMVDGFQKAALESRLGIPLLYGVDAIHGNNNVYGATVFPQNVGLGATRDADLVQKIGIVTALEVRACGINYTFAPCVAVCRDPRWGRCYESYGEDTELIRKMTSIVTGLQGQPPPGYPQNYPFLAGRDKVVACAKHFVGDGGTDRGINEGNTISSYEDLERIHIPPYIDCISQGVCTVMASYSKWNGSHLHSSHFLLTEVLKGKLGFKGFVISDSEGIDRFFHPHGSNYDQSILAAINAGIDMVMVPFRYQLFLDHLKYLVESGNIPMTRIDDAVERILRVKFVSGAFENPLSDRSLLDTVGCHQHRELAREAVRKSLVLLKNGKDVTKPFLPLDRKAKRILVAGKHADDLGFQCGGWTKTWEGMGGRITIGTTILEAIKDAVGGETELVYEENPSPDTFASQDFSYCIVVVGEPPYCESGGDSQDLRIPLGGEELISLVADRVPTLVILISGRPLHIEPSILEKMDAFVAAWLPGTEGTGITDVIFGDFEFHGTLPMTWFKSVDQLPLHQEQNSYEPLFPFGYGLTSKNKVI >Solyc02g067990.3.1 pep chromosome:SL3.0:2:38618758:38627615:-1 gene:Solyc02g067990.3 transcript:Solyc02g067990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNCLLLGLDPAIIGIVANNGTPRVGFFRHSNPKLGEQLLYFLLSSLRGPAQSSKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFSHAATLLPVTKARIALERRRFLKNAEIAVNRQATWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDVRNKVKLEGDLWDELVSSSSQNSHMVQRATRLWDSLLSRQNQHEILASGPIEDLIAHREHRYRISGSALLAAMDQSSAAPPRGLVSSHPDNERSERSPAVVNREMHVNNPDSSHTQGNDERFSRVDERTARGHPTIDIAEVLRRWTHALQRIHKQSLQLAKVNDGEGPELLRSSHDGGTSDHAEYLSATLAEHRQHLASIQVLINQLKEVGPAIQNSIAQLTEEVNSVSSSLLPMAEHHARSHSLVQAQNSRQTLENSTDEVAEMTSKMSSMHIEKASASSPALKLPPLFSLTPNSSGKGGNMQKRQVSAQASQIDNMHEKKSPDLPISNNSMDNPPQDDDTSFVQNLKRSVREAALSSQLCYPESSQDSRSDDSSEHYFIPVPGVGFSHFGNKSNLLRSKKLLAPEPDLSFLGNQAPRSHVCIKSEGLPDFFNDLRSLDDYDGIDGFLSTMGSNSSVSDACRSFYDLDEAEDQVFSPPLLMDMSLLADSYEDLLAPLSETETALMKH >Solyc03g025360.3.1 pep chromosome:SL3.0:3:2818525:2827664:1 gene:Solyc03g025360.3 transcript:Solyc03g025360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWWKSSKEAKKKPTKESFIDTLHRKFKSPAEVKSPGKSGGSRRHNSDIASEKGSLSQAQSRASSPSKHVSRCQSFAERPLAQPLPLPGVRPANVGRSDSGISPSAKSRVEKASKPSLFLPLPKPACIRHRLDPTDTDGELVFASISSECSIESDDPIDSRQRSPLATDYETGSRTAAGSPSSLVVKDQSAVGQISLKEMTRPVSLSPSRNVSSVSPKRRPLSSHVTTLQVPPPGAFCSAPDSSMSSPSRSPMRAAASEQVTSSTLWAGRAYPDLPSLGSGHCSSPGSGQNSGHNSMGGDMSGQLFWQPCRGSPEYSPIPSPRMTSPGPSSRIHSGTVTPIHPRAVGGAGELQTSWPDDGKAQSHPLPLPPLTISNSSPFSHSNSVATSPSVPRSPGRAENLASPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESAKQLAQEIALLSRLRHQNIVRYYGTETVGDKLYIYLEYVSGGSIYKLLQEYGAFGEAAIRSYTQQILSGLAFLHAKNTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSSGCNLAVDIWSLGCTVLEMATSKPPFSQYEGVAAMFKIGNSKELPTIPEQLSDEAKDFVRKCLQREPRLRPTAAQLLDHPFVKNVATLEKPNISPPADPPCAGANGVKSLGIGQARNIPTSESERLATHSSRVSKSNFHCSDISITRNISCPVSPIGSPLLHPRSPQHLNGRLSPSPISSPITMSGSSTPLSGGTGAIPFHHLNQSVYLQEAAPLPQSPYMNGPSYWDPDVLRGPPSGSHAFRELASSQNDALGKQFGRTTGGELYDGQSVLANRVSQQLLRDHVKLVPSLDLNPCPPLDGRTGEA >Solyc07g009130.3.1 pep chromosome:SL3.0:7:4107656:4119530:1 gene:Solyc07g009130.3 transcript:Solyc07g009130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWKRKEKKMKESEKINNDINKLSKSYFDVLGICCTSEVVLVEKILKNLDGVREVSVIVTTKTVIVTHDALLISQQQIVKALNQARLEASIRVKGLQNYQKKWPSPFAIGSGILLALSFLKYFYQPLQWLALVAVAVGIPPIIFRGIAAIRNFTLDINILVLIAVAGSIVLHDYWEAATIVFLFTIAEWLESRASHKANAVMSSLVNIVPPTAVLAENGEVVNVDQVKLNSVLAVKAGETIPIDGIVVEGECDVDEKTLTGESFPVSKQKDSTVWAGTTNLNGYISVKTTALAEDCAVARMAKLVEDAQNKKSKAERYIDKCAKYYTPVIVVIAAGFAIVPTALRVHNLKEWYRLALVALVSACPCALVLSTPVAMCCALSKAATSGLLFKGAEYLETLAKIKIMAFDKTGTITRGEFAVTEFRSLIDGLSLNTLLYWVSSIESKSGHPMAAALVDYAQSNSVEPKPDRVEQFQNFTGEGIYGRIDGMEIYVGNRKISSRAGCTTVPEIEGGDSLQGKSVGYIFLGSSPAGLFRLSDVCRTGVKDAMRELKQMGIKTVMLTGDCYAAANHVQDQLHGALDEFQAELLPEDKATIIKGFQKEAPTAMIGDGLNDAPALATADIGISMGISGSALAKETGHVILMTNDIGRIPKAACLARRVRRKIIENMIIAIGTKGAIVALAIAGHPLVWAAVLADAGTCLLVILNSMLLLRGATRRHEKKICKSSTSSHAHHHKDKASCCKSEKTPQQCCSDIESQKECRKKSCSSEVCVQRCQPIPSGSTSCVNDQRSDSTQNNGHQSHSHPQCCSSKMSVTACQSAVSESKSCGSNNCSDSIRKSSCHSSTNSLISSSDLSAPQCHSATSSSKSCGGTKRSNLSDKSCCRSLEIPQTCSTKKAAHGCYSEVSGPKSCGNSKCSDSTDNNIHHSHSDRQTCTSKVCAPQSPSATSSSMTCGNTKCSDTTSKISCHSHANSEPCSSKKSGPTCQNANSGSRSCGNHKCQDSATEHGVHSLTDPLSEEVILKQKILVLESNHDLSHGCCEEEHDHHPNLDKAYDSCALQECHYSVQGDITDISKTGIQETSHCDSTNQTCQTVISGSMIFGDNKSLDSVDIHECHSHAHPPHKEEPHHSVGHGCSDKEHDHSHPEKAFDSCATQECCFSVQDHSIDISENGSQGTDHCDSIKQSMVIPCSCKHTPQEKVSHCGFHSTTIPTDEELAKLVRRCCDYRPCHNVRSGCRNHATECGPTTRSTINILRDNHHHHHLDCSGRKVCSPIEKRHIGGCCESFRKECCPKNINHFASSFGGGLSEIVLE >Solyc08g066920.2.1 pep chromosome:SL3.0:8:55853084:55855285:1 gene:Solyc08g066920.2 transcript:Solyc08g066920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:K4CLR8] MTLVDPYFLASPVHLADEINQSIIISGESESGKTESAKFMIEYLVMINGGNNRVENELLQSSCILEAFGNAKTLRNNNSSRFGRLIEIYFSAEGGICGANAAVGGAADGQPLFVENEQPKFMGIEVVTLKKIIPLGAMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPLLKTWVNLSMAIGFMLLYTKLANVLSRR >Solyc11g063530.1.1 pep chromosome:SL3.0:11:49917488:49918427:1 gene:Solyc11g063530.1 transcript:Solyc11g063530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPVSLTRCGIPKIIPAVLRKHVRAKPDHGPPFSSLLGAYQGVPLVTGILAQAIEGGGKRRIFAICNYIKQRLLHPVHIWSMNVLSSLKTDGIFNQERPLQYLRLKRQKSCYSFDLKSATDRWPLSVIYTLIEMIWGST >Solyc04g015585.1.1 pep chromosome:SL3.0:4:5888067:5888447:1 gene:Solyc04g015585.1 transcript:Solyc04g015585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKLFSYYGLRYLAVLGAPRWLPSTTPSTVTNTDWWKETDKKFQAWPRTAGPPVVMNPISRQNYIVKS >Solyc01g086820.3.1.1 pep chromosome:SL3.0:1:81639844:81640254:-1 gene:Solyc01g086820.3 transcript:Solyc01g086820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4AY75] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc04g077290.2.1 pep chromosome:SL3.0:4:62341139:62345598:-1 gene:Solyc04g077290.2 transcript:Solyc04g077290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSSSTKKHDQDPTDSTGPTLKSQRTKMVNTSDDSEKKSSDKKLKELEVGVPIVYGNVAFWLGKKASEFQSHKWTIYVRGATNEDLGVVVKRVVFQLHSSFNNPTRVVDNPPFELSESGWGEFEIVMTLHFHTDVCDKPLHLYHHLKLYPEDESGALSTKKPVVVESYDEIVFAEPSESFFARVQNNPAVNVEDVDQRKRGDTKDHPLSQWFTNFSEADELLKLTAARQQVQGHIARLRRQLSTVDGQHQQLKPASDL >Solyc01g006590.2.1 pep chromosome:SL3.0:1:1197287:1199684:-1 gene:Solyc01g006590.2 transcript:Solyc01g006590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDSSDPLWERFRNPINTLLPNQTLERGRFLVSQKSQANFTQGRFYLRMLDNGNLVLVTQSVPSNTDYDDEYYNTQTSDSTNATNSGDKLVFEENGVMYVLKRNNQRQILTPRSIPPASDNYHRVTLNFDGVLSHYYHSRIWNDSGWNILWSQQNNICIEIDGNNGPGSCSYNNVCSLGTNNRPVCNCPKGYLLVDPNDAYGDCKPDFSISCDEVGRGSPDDFYSFITIRDTDWPKSDFQQISPSTEQDCQNACLYDCFCVVAIYRSNSCWKKKLPLSNGRIDTNLNGVPPLSTPGFPNSGSSRSKNWRNLAVVLSGILGGSLLVNILVINVFCWGFFHIYKKKMKISHPTSHVADSICRSFTYKVLVEATKDFKEELGRGAFGIVYKGEMPIGSRNVVAIKKLDRVAHEAEKEFITEVNVISQTHHKNLVRLLGYCNEGAHRLLVYEYMSNGTLARTKGYVAPEWFRNSQVTVKVDVYSFGVLLLEIITCRKNYENEERYGPEAILTDWVLDCLQEGKLDALVQSDFEALNDKKQVERFVMVEDLSTRPTMRKVCQMLEGSVEVTIPPCPYNFSITI >Solyc01g005575.1.1 pep chromosome:SL3.0:1:407272:409305:-1 gene:Solyc01g005575.1 transcript:Solyc01g005575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCFKVKDSTSNGKSDGGRGLVVKKKITSRVVDNNKESGHLTLPMSSPHRLNRDLGVNRSNANDHRKTSVSSPEKEDRYYTTRGSVGVDDSSKLLMEPREEKKKMVWPKLLITLSSKEKEEDFMAMKGCKLPQRPKKRAKLTQRTILLVQPGTWLQDLCQERYEVREKKTSKKKPRGLKAMGSMESDSE >Solyc01g091715.1.1.1 pep chromosome:SL3.0:1:85188131:85189057:1 gene:Solyc01g091715.1 transcript:Solyc01g091715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRFCNIVLQSPASSFRFYISTNPAKFTISAPRSNCISSSISFGGSQKRCIGYSNSNLKNSCRTLTVTTAASRRKACCDISFWSQNVNMRLFLRTQSKFRKFGCNSGKRNHTSAGLSIGFLVCCSASEPVHAEASGGSMGDSCESSTTGYSHGKKVYTDYSVIGIPGDGRCLFRSVAHGACVRSGKPPPNENLQRELADELRARVADEFIKRREETEWFIEGDFNTYVAQIRNSHVWGGEPELLMASHVLQMPISVYMYDQDASGLISIAEYGQEYGKDNPIKVLYHGFGHYDALHIPGKKGPRSKL >Solyc01g105495.1.1 pep chromosome:SL3.0:1:93537168:93538394:-1 gene:Solyc01g105495.1 transcript:Solyc01g105495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLTDVILHALMFSAVSIGPKLRPKAVVANLLSVSKIPMDKLTAVVKGIEIRTSDWRSLLSISDFKARLGVKKSNEQL >Solyc04g080885.1.1 pep chromosome:SL3.0:4:65019023:65022296:-1 gene:Solyc04g080885.1 transcript:Solyc04g080885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYNEGSSEEATLRPEPCLEHKAENYDDLEEELEFDPLLYTSLERYLPDNFINLSRLDKVPYLNQILLDYSPPSQRNHRHKHREYRQKIMSNYQPLHKVLYTMHPTNFFVPSFLKAISENTEQSFRSIMSEPSPGILTFQMLQPRFCDMMLEEVENFEKWIRETKFRIMRPNTMNKYGAVLDDFGLQTMLQEFMEDFIRPISTVLFTEVGGSTLDSHHGFVVEYGTDRDVDLGFHVDDAEVTLNVCLGKQFDGGELFFRGVRCEKHVNTETQPEEIFDYSHVGGNAILHCGRHRHGARATTSGRRTNLLIWCRRVQA >Solyc03g053070.1.1 pep chromosome:SL3.0:3:24019843:24020245:1 gene:Solyc03g053070.1 transcript:Solyc03g053070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKIFGTTQNRDHPELEEVGRKIADKCKGLPLSLKTDVGTLRCKSEIKEWRNILRSEIWNQRFLNDILPALMLTYNDQPAHLKRCFAFCAIYTKDHEF >Solyc05g013170.2.1 pep chromosome:SL3.0:5:6254593:6256802:-1 gene:Solyc05g013170.2 transcript:Solyc05g013170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLTSKLSDSNPSSPNSTILTMSSSPTHESKSKIEPTHESKSEIEETHEAETSETQKPKEEDQEEIGNEEEEEEEGECGFCLFMKGGGCRDSFIDWEKCIEEGEKNKEDIVEKCFEVTSALRKCMEVHSDYYAPILQAEKAAGAELEKEKEKLKDEGNDTKLEGSSNSSYWKQTEQDKNARLHLRATEVHPVALILNQIIRGEYLFPYPEKNAVLCWKNMVMLLLLLNVTPAFSTVLQEVCNK >Solyc09g014624.1.1.1 pep chromosome:SL3.0:9:6408278:6408868:1 gene:Solyc09g014624.1 transcript:Solyc09g014624.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRDSQYFSYYSSSFPFHKQFLGQEANPENFRECIRQDLYESDNFRMYIYKVQKCSKHYCHDWTSCPFTHHGEKARRRDPRKYNYFPISCPSYKFESCIKGDHCELCHGVFEYWLHPAKYRTILCQARTSCNRPICFFAHTLKELRPETKYNWCYVYRYPLYIQSYPDIMIENGANGNWMIIPCNPHLQPPPDNFY >Solyc06g071400.3.1 pep chromosome:SL3.0:6:44070363:44071476:1 gene:Solyc06g071400.3 transcript:Solyc06g071400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:Q70ET6] MADPDTTRTVVGIIGNVISFFLFLSPGPTFVQILKAKSVMEFKPDPYIATVLNCAVWVFYGMPFVHPDSLLVITINGFGLAIELLYVSIFFIYSDWSKRQKIIIALVIEAIFMAILIFVTLTFLHGTKDRSMLIGIVAIVFNIIMYTSPLTVMKKVITTKSVKYMPFYLSLANFANGIVWACYALLKFDPYILIPNGLGSLSGLVQLILFAAFYRTTNWDEDEKEVELSTSKSNKSDV >Solyc09g063070.3.1 pep chromosome:SL3.0:9:61295140:61307161:1 gene:Solyc09g063070.3 transcript:Solyc09g063070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPCCQGFTAFLLKFLNFLQAFIGVSIVIYSAYMLNHWHHHHHNDVHDAQFHNFVSVDVSNSVVSALPELNKNSLPAPWFIYAFMGIGVILCCITCIGHVGAEAVNGCCLCFYSLLMMTLVLLEVSLVAFVAIDHHWEKDLPTDPTGELHSLRIFIQQNMDVCKWIGIVVIVIQAFSLFLAVVLRTLVSSPKLNDMEGDYDVVGRTREPLLDPRISQTSGLAKGDARGGHSDIWSSRMREKYHLDGEAIHHIPNPNPS >Solyc03g120480.3.1 pep chromosome:SL3.0:3:70346241:70356060:-1 gene:Solyc03g120480.3 transcript:Solyc03g120480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAYRSFLSVDLARKFTKVHVVPKRTQHFQLLQRCFSSSGFSYSSRTHIEGGLLVDMDRKKQKMVAKTWRPVSTQSRSTEGGLGDEKHDFGGQVQEIKCTVSSYESTVQKAVQVSEGIDLEGRATPSADDESLSAAQKHSVTVKAGASLMRFIRGKGGATQRTIEEEMKVKIILPFSRNEDCLIVEGNSAESVARASDRVQAVIDEAVKSRYLDYSHFVSLPLAIHPELVNKLINFQNSVLGNTVVNEDENLECDSSGKTSDAEGEQNLSEPRIAVELKTEDSNDRVKIDKTNIPLVSYSAKVSKSSTSDSKASKLLDLGIEKSIFIKPKTFHLTVLMLKLWNKDRVEAAAEVLRSVSPKVIDALESRPVSIRLKGLECMKGSPAKARVVYAPVEVIGGEDRLLRACQVITNAFIEAGLVLENDLNQKLKLHATVMNARHRKSNRGSKKVDSFDARKIFGQYGLEDWGEYLVREAHLSQRFVFDDDGYYHCCASIPFPEEMQLD >Solyc10g007750.1.1.1 pep chromosome:SL3.0:10:1980995:1981828:1 gene:Solyc10g007750.1 transcript:Solyc10g007750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFKNQNLLQPLIQKTNTNSYENDHKIISYSSNQSIILRLFMVIFIGIVSLWANYEVSKGFSITIVNETIKGTIANKRFDLFYMSNDEATRLVLRTSKFVENILYPVDDQHEIKKQVKHVIIQLSSRNLTGPVIVNNDEFVIHISPSILEGPDYKRDMFLAFQEGMARIWLWDGQGNAPSSLVNGMVEYITSLASLSERVTSESESVKSVKLNRSCWKSKDKRTIVKLLNYCEGKKEGFFRRLNKEMKNVWHEKIIDDILGMPAWHLCETYNKFVI >Solyc02g094240.1.1.1 pep chromosome:SL3.0:2:55473009:55473386:-1 gene:Solyc02g094240.1 transcript:Solyc02g094240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRKRRTGFMKGKLVKSLFRGRAVKASNYYEREDSSPPIYHLHLHHHNLEPKEKLAPYNPNSIVIVNQEKAAPPQRTKVSYYIPTHSTGRDSNDNNSDTDESVDIKAANYISCVQERFRSILK >Solyc06g083490.3.1 pep chromosome:SL3.0:6:48936891:48941319:-1 gene:Solyc06g083490.3 transcript:Solyc06g083490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSLEFDGKLNILINNVGTNIWKPTTEYTGEDYAHMMATNLESSFHLSQLANPLLKSSGVGSIVFLSSVAGLVHLSGTSIYGATKGAMNQLTRNLACEWAKDGIRVNGVAPWFINTPLVEQVLGDKEFLEGVVSRTPLKRPGEVEEVSSMVAYLCLPAASYVTGQVIAVDGGFTVYGFQQPGY >Solyc02g082490.3.1 pep chromosome:SL3.0:2:46744670:46748627:1 gene:Solyc02g082490.3 transcript:Solyc02g082490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMAPGTNMSPCNGEIENGFCKGNMSAIEENQEVSDGLSQPNAGKPPRNHPGMRHCISQANLGATSALEPNTVMLGLKSPSSDNCAYVPIFRSGSYSEMGPKQYMEDEHIRIDNLREQVDDSKGLLSQGAYYGVFDGHGGIDAASFTQNNLLNFILEDSHFPSMVKKAVRNAFQKADNTLADTKSLDSSSGTTALIALILGRTMLIANAGDSRAVLGKRGRAIELSKDHKPNATSEKLRIEKLGGVIFDGYLNGQLSVARALGDWHIKSAKGSKGLLISEPEFEEVVLSEEDEFLIIGCDGLWDVMSSQYAVTIVRKELMLHNDPEKCSKFLVKEALKRNCCDNLTVLVICFSHDPPPRIEIPKTTRRRSISAEGLDLLKGVLSDI >Solyc12g019773.1.1 pep chromosome:SL3.0:12:11750969:11751234:-1 gene:Solyc12g019773.1 transcript:Solyc12g019773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQIKRRWNLGEDELLHSQLIPGRTRQPFTQEEDDNIFKAHVKFSNQWAKITTLLPG >Solyc03g026350.3.1 pep chromosome:SL3.0:3:3809311:3817525:1 gene:Solyc03g026350.3 transcript:Solyc03g026350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDGSKRVFQRLGPSSQGDTSNNKQQKVCFHWRAGRCNRFPCPFLHRELPGPPQQQQHAAGNGMSSSKRPHGFSDDNRGSGMRRNPNFNNTWGRTAAGGGAVVRKTEKVCNYWVKGNCTYGNTCRYLHSWTTGDCFTLLTTLEGHQKVVTGIALPSGSDKLYSGSTDKSVRVWDCQSGQCAGVVNFDGEVGSMLSEGPWIFVGLTNLVKAWNTQTGTDLSLNGPVGQVYALVVGNDMLLAGTEDGILAWKYNGSTNNFDPIKALTGHSHHVVSLVVGANRLYSGSMDNSIRVWNLETLECLQILTDHTSVVMSVLCWDQFLLSCSLDKTIKVWAANDSGNLEVTYTHQEDCGVLTLCGMHDSEAKPVLLCSRNDNTIRVYDLPSFSERGKIFSKEGVRRIEIGPAGLFFTGDESGQVRVWKWSTESSSTS >Solyc12g056430.1.1.1 pep chromosome:SL3.0:12:63306004:63306615:1 gene:Solyc12g056430.1 transcript:Solyc12g056430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSSNSCSSIPSDEGSIILESSNKSKKRAAGRKKFKETRHPVFRGVRKRDNDKWVCEIREPTKQKRIWLGTYLTAEMAARAHDVAALALKGELAKLNFADSAWRLQVPVSKDPKELRQAAARAVEAFENVREVAPQENNIVVDCNMMVDENNNSNSCGIEEWVANMEEESLFSPNPCLFGSHFNWDDHVESDVEVSLWNYTI >Solyc06g048965.1.1 pep chromosome:SL3.0:6:32170102:32171613:1 gene:Solyc06g048965.1 transcript:Solyc06g048965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDVWDWEESISTLKNRRSHVYHRPSTIIVQGLTSFEVEVAAPRPPFTVYRASSPIKCDTHAVPWDYNKRETIGSSSKSKALVVELEDQGIRKKVQAKEYSVIEQLSKPPSQISILALLQSSETHRNALLKILGEAYVPSNITHGEHMDGEMYHTVDLVGNIELQPWFSQKIIDMMAWFGFDLGKGLGAELQGIVEPIQPVRHSTTFGLGYKYTTEEWIDWKPHRDGYYYPLKKPIPPLHQSFRSTGFMGGNIDEISDDLKGLSLTKEEGKVCNVVINEEEKGGPSKSKKAKISVSNWTSTPSRPRRASR >Solyc12g042113.1.1.1 pep chromosome:SL3.0:12:58077684:58078270:1 gene:Solyc12g042113.1 transcript:Solyc12g042113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDKMIQALDTILKDLTGELNLFGGKVESLEVNLDKHCLLFAMEKEKTLYLRAYYSDIWNQLEKLCLSENMSKRRF >Solyc02g023993.1.1 pep chromosome:SL3.0:2:25187575:25188458:1 gene:Solyc02g023993.1 transcript:Solyc02g023993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRSKTIPPKVDNSNRSRSRPNPNRGSDTRGNSRDQPSNFRKNYEDRKRGAPHREGCYICGETTRAARYCPSLRKLSAMVVAEKQQEKAATQAESSAGEQRGQSSGSDKGKNVAVGMFNHMALINHISITALAAKPASVRPRESLFVNAKLNNKDVRIMVDTRATHNFVTEQKVKELGLSYVASNTKLKTVNATPTTVHGFAPKVPIELGDWMGQTDFTIAPMDVFDVILGLDFWYEVNAFISPRHNQLHISDTDYQGLQERRANLSCYPD >Solyc07g066020.3.1 pep chromosome:SL3.0:7:67681377:67691811:1 gene:Solyc07g066020.3 transcript:Solyc07g066020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGIEHNTETPLLLATFSGSSDSNGIGKKGTIWTAGAHIITGVIGAGVLSLSWSIAQLGWIAGPLAIILFAVVTLFATTILCDCYKSPDSLLGPTINHTLLEAAGFYLGTLWTAVAHIISAVIGAGVLSLAWCTAQLGWIAGPITMLCFAVVTYISASLICDCYRSPDPITGTRNPSYIDAVRVNLGKKWTWLCGLLQYVSFYGTGIAYVITSATSMREIQRSNCYHKGGEKAVCQTGTNNFMLIFGIIQIVTSQIPNFHNMAWLSVVAALMSFCYSFIGLGLGFSKVIDNRGIKGSIVGVPTKSAAQKIWLVFQALGDIAFAYPYSIILLEIQDTLKSPPPENQTMKKASINAIVITTFFYLCCSCFGYAAFGNDTPGNLLTGFYEPFWLVDFANACIVLHLVGGYQVYSQPVFAFVEKWATQKYPQNRFINNFYAIKLPVLPALQLNLFRLCFRTLYVISTTAIAMAFPYFNQVLGILGALNFWPMTIYFPVEMYIVQRKIGAWTRKWILLEGNEWTALAHLITAVIGSGVLSLAWSMAQLGWVAGPLTMLVFACVSLTSVFLLCNCYKSPDPETGPDRNGCYLDAVQKILGKRNAWFCGIAVRINFIKLAIIYTITSASSIQAIQKSNCYHDQGHKATCGYESTRYMVIFGLIQVIVSQIPDFPNMKWLSVVAAVMSFTYSIIGSALGLAKVIENGEIKGSITGLPSSTAAEKVWLVAQALGNIAFAFPFSLIFLEVQDTLKAPPPEKITMKKVSIMTSCITTFFYLCCGGFGYAAFGNSTPGNLLTGFGFYEPYWLVDFANACVILHLVGGYQVFSQPIFAEVERWFARKFPDSKFVHKNHTLKPLSMLPFSLNFMRLFFRTAYVAIMTGIAVLFPYFNQVVGVSGAITFWPIVVYFPVEMYLTQKRIESWKSKAIMLRVFTMVCLVVILYAFVGSIRGVIVARF >Solyc03g006090.2.1 pep chromosome:SL3.0:3:763878:768958:-1 gene:Solyc03g006090.2 transcript:Solyc03g006090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLFEALSQVSGISNSLTLMLNTLKNYEQISGQLVNMNKYCYLVAPNTYADTN >Solyc10g080030.2.1 pep chromosome:SL3.0:10:61570455:61573511:1 gene:Solyc10g080030.2 transcript:Solyc10g080030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIEMKKIENISSRQVTFSKRRAGLFKKAEELSVLCDAEIGVIVFSNTDRLYKFASSKSSMEKIVERYNSSSHSFEHPMIENVVEPELNSLKAEVAKLRKATGRMMGKELDGLDFKELQQLEHQLTEGILSVKNKKEQVLLELLEKSNLQIEELGHKSCNHYPENYEAARKISGGNTTVICDFKSVEEENSDTSLSLGLSVATSQKKKNPQIECTSNDSENLMILD >Solyc04g049020.2.1 pep chromosome:SL3.0:4:39151555:39156980:1 gene:Solyc04g049020.2 transcript:Solyc04g049020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLELTSHVMSDICLSLRSFNFHNLSDKDCIGALDDTHVKARLPQDQQIPYIGRKGCAHIKGYMAPYKGDNVRYHLLDFPRGATSQLREPRGHIEKFNYMHSSCRNIVGVRKARWSILRDIPYYNIDTQRDIVLATMAIHNYIKQKCNVDDAFQTAEDERYIPSVDSEFGSSSNTNNEENNMEEQNDTYWMGLRDMIANEIGNVIFDENHPHIRQLLSQKLAQLDLEYSAYDVHVTSIDFLAQHCLYFGPLGVARECQNKSGYPSHMHITIAS >Solyc09g055470.1.1.1 pep chromosome:SL3.0:9:41365973:41366392:-1 gene:Solyc09g055470.1 transcript:Solyc09g055470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISQDWEPVVIRKKAPTSAARKDEKAVNAARRSGAEIETVKKSNAGSNRAASSSTSLNTRKLDEDTENLSHEKVPTELKKAIMQARQDKKLTQSQLAQLTNEKPQIIQEYESGKTIPNQQIISKLERALGAKLRGKK >Solyc10g078645.1.1 pep chromosome:SL3.0:10:60515428:60518064:-1 gene:Solyc10g078645.1 transcript:Solyc10g078645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQCFHNAVQSRYDPHHCIQHQSYLPPQQFASSSHIDACAGRNGVANSSVNLTGTNHFFSHESNHVKWIVDTGATNHMIGDHRYLMNGGFFPADEIEVLPSDNVVVPNAEHIEVLPSVVAVPVDTGEVRKPTRGSKPPIWHKDYLIKTGSSSCTYFIGDNIDYTAGFQQSAGDYSLFTKKEGEKIVIILVYVDDLLLTDNDGFMIQHTKDVLHTTFKIKDLGELKYFLGIEFARSEAGILMHQKNMH >Solyc08g076610.3.1 pep chromosome:SL3.0:8:60673954:60678238:1 gene:Solyc08g076610.3 transcript:Solyc08g076610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVEPESPDLVCQLDNVQGVVDALTSVRWKRQQDAVLELSEHGIVLIVEETACLQAKVYLQRELFVRYEYSAEGRPRFGVSLGLFVDCLNTFSVQGHSSAIELRYPGPDMQLLLKSVESSDSCTYAEIRTRIPDTISWDYNFEPAGSSPLSFTVKKQLLGFRTVVSYFDKFVSDPGHLQKLSTNPRVVLRSSGFLPIFSLKFVQKGGKGKERGFEEEESCIRCLPLESAALKEAIDDLEWPGSSIHLILQPTPPSVTFRGEGHGDLQIDFMCQANTDLLVAFHCDREVSHRYKYKFLRATTSNIPSSVIRDNRGSKLTIGRGGMLKVQHLVSVAKPAIPHPHVDSASYQQPSRIAYIEFFVKPEVDEDDANDT >Solyc10g045310.2.1 pep chromosome:SL3.0:10:32598672:32602012:-1 gene:Solyc10g045310.2 transcript:Solyc10g045310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLQHPAFLFQVPRSRVSPQRQSFLSSPIRSRFHFLPNQMAKTTDFLTLFEEEENNNDADGVGGREDDEKNYDKDPELADILGTCLDDPDKAKSRLEERLRKKRNKIVHTKTGSPTPMTVEFNKFDYSNTFIWFEFYNAPLEKDISLICDTIRSWHIVGRLGGCNSMNMQLSQSPLDKRPNYDAVQGANVTPTTFYNIGDVEIQDNVARIWVDIGTSEPLLLDVLINALSQISSDYVGIKQVVFGGSEFENWKENMTSEDAGYSVHKI >Solyc06g082820.3.1 pep chromosome:SL3.0:6:48535418:48537034:1 gene:Solyc06g082820.3 transcript:Solyc06g082820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGFISLLLTVFQSRIVEICVPPHVVTHLLPCALPLEHTSFSPPTPTPTLTPPPPHKEPQVNNQAVHYHAGPHHQRHLLEEETMSAEGYCRHKNKVPLLSLEALHHLHVFIFVLAIVHVTFSVLTIVFGGAKIRQWKQWEDAIVKDDYESEDAHLKPTVTHVFEHDFIRNRFQGMGTQSAILGWVRSFFKQFYASVNESDYRALRLGFIMTHCKGNPRFNFHRYMIRALEDDFRTVVGIRQVIE >Solyc08g015965.1.1 pep chromosome:SL3.0:8:6483054:6486659:1 gene:Solyc08g015965.1 transcript:Solyc08g015965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHMKMEEGINSGMEINANAVAGASEHMCFDSTFFKSLSSLTPPLTINLPNCQTILVTHIGTGLLMRRSQVFGKVSEVPNISSPDSLPSDSPISASPLQNCSSPKSSFIPDSNLPTTSLPLRRSERSTKGIKPVHLQDYFCNNIFLSHVSEFCFAAPIPSTGLSHTEISTSNQLFLESVSQITEPNNYYQPSLHPGSPISWKSKKQAFISVSSAEDEYHSMRRLVAELTWLTRLLADLS >Solyc09g075500.3.1 pep chromosome:SL3.0:9:67638655:67644489:1 gene:Solyc09g075500.3 transcript:Solyc09g075500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGSFAVSRSHGADRFYNPPAMRRQQQLQKQQQQQQQQSQQQQQQRQPVQRAVKVEAAVVAAEVGNRTDSDDSTNTTTLSKTSSVCSASPPRPPINVTNLDRLMESVTPFVPAQHFSEVNVRGRRAREAEPYIYYCLGDLWEAFSEWSVYGVGVPILLNGKDPIIQYYVPFLSGIQLYVDPSKPSSRISRPGEEGDAESSRETSSGGSSDCEVERRSISSSDGLRNQHSLVNLNAQRLNRLSLSDKAVMVSSSDETELSKSLGQLMFEYLEHEQPHHRRPLADKIAFLASQFPELKTCRSADLLPSSWISVAWYPIYRIPMGPTLRDLDASFLTFHTLSTQSRALSTVHPRYHGATGRKVLGNVNACSRISLPVFGLATYKLKTSILSPGGPHESEQENSLLQAADSWLRRLHVILPDYQFFRMHYSPWK >Solyc09g082250.2.1 pep chromosome:SL3.0:9:68476897:68479876:1 gene:Solyc09g082250.2 transcript:Solyc09g082250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINQSDIISLRLLEASDAGDFMEWCSDENINKFSQQRTFKSKEDAMIHLAKYIVPRRWFRAICLNGNPIGYISVSPLRDGSDKSKGEIKYVLSSKYWGRGIATKAVKMMVATIFVDSPLMNRLEGKVDIENIGSQRVLEKAGFTKECVLRRLPVRVVEAAFVHRATLNGYTRGWILFKKKKQCSDKEVSETVVGVEYFYRVPMRLSVRISPAYIPRELGMKVAPAQKSLLSLSGVANENRGDVDDQSDIISLCLFDLTDVDDFMEWFTDDNVNKFCSRDTTFISKEDAMQYIAVVVIPHPWHRAICLNDKPIGSIYVSSFHGSDICRGEIGYELSSKYWGKGISTMAVKMVASTIFVDWPHLARLEGVVAVDNIGSQRVLEKTGFIKEGVLRKYYYVKGKSIDLVMFSLINKLLEPRVY >Solyc03g093690.3.1 pep chromosome:SL3.0:3:56752588:56755638:1 gene:Solyc03g093690.3 transcript:Solyc03g093690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine sulfoxide reductase A4 [Source:UniProtKB/TrEMBL;Acc:G3K2M4] MLLKTCLPSSTIATKSPLISFSKSTLLLPPFSRKVSSTFPRTSKTPSLYSPPKMSWLNKLGFGARTDTPMDSSSSAIPQGPDTDIPAPGQQFVQFGAGCFWGVELAFQRVPGVTKTEVGYSQGYLDNPTYEDICSGNTFHSEVVRVQYDPKECNFDTLLDVFWDRHDPTTLNRQGNDVGTQYRSGIYFYTPEQEKAALEARDRQQKILNRNIVTEILPAKKFYRAEEYHQQYLAKGGRFGFRQSVEKGCNDPIRCYG >Solyc05g017847.1.1 pep chromosome:SL3.0:5:18489144:18491728:1 gene:Solyc05g017847.1 transcript:Solyc05g017847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICLMISIVSAHVSKIDFRSGYHQTRMNHSDKWKTALKTKFGLYEWLVMYLCLSNPPINFLCLINHVMNPFVGKFVVVYFDDIYIYNKTMHEHINHLRCAFDTSHEALKHIQAQGKLNKRHTKWIEFHETFPYLGFECLNAMYPMFSQIFQDCEEWERKNGRKREKSMKNFMRCEPSPRKKEPKVRTRVTRGMRLNRVSGYGHIARSGENDAIQIAPRPLPSLFTQSQAQNLKRMQGLLMKLEIAWGSEENAVEETTTLGEHEPFGKLHNGLGEDLRSRTLHNASKEGSCRRPSWQLTELIRRARLISLKFT >Solyc03g005520.1.1.1 pep chromosome:SL3.0:3:397156:397791:1 gene:Solyc03g005520.1 transcript:Solyc03g005520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSNFLYNLNFNTSSLPFNINDSDEMLLYDLLAQADSDTTTLITNSTTMAKPTPSSKEKNYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDSAEDAALAYDQAAFSMRGTSAILNFSVERVVESLHEMKFHVEEGCSPIVSLKMRHSMRKRKFNKKNKVSREVVKDDSNYNVNIVVFEDLGVDYLEQLLGSSDHSNSSNDDRGSWWDE >Solyc10g049250.2.1 pep chromosome:SL3.0:10:44638412:44639722:1 gene:Solyc10g049250.2 transcript:Solyc10g049250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLISNKIYQSAKENCNGNYIDFDPHNTMSK >Solyc02g089130.3.1 pep chromosome:SL3.0:2:51648657:51651059:-1 gene:Solyc02g089130.3 transcript:Solyc02g089130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLIVVAGFLIIALIPHTVAFDPLDPNGNITIKWDVMSWTPDGYVATVTMNNFQMYRHIMTPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNIPHCCKKNPTVVDLLPGTPYNQQFTNCCKGGVLASWGQDPQSSVSAFQVSVGQAGTTNKTVKLPKNFTLLGPGPGYTCGPAKIVPPTKFFTPDLRRKTQALTRKHPSCCVSMSSFYNETITSCPSCACGCENRNKCIKSDSKLLSVVGVNTPRKDNAPLIQCTHHMCPIRVHWHVKLNYKDYWRVKLTVTNFNYRINYTQWTLVVQHPNLNNVTQVFSFDYKPLVPYQSVNDTGMFYGMKFYNDLLMEAGPSGTVQSEVLLQKDKETFSFKQGWAFPRKVYFNGDECMLPPPDTYPYLPNFAHHSPTAFTTSDYGSSPFSMKLVYAHHKSERMAIAQPYQLTHQSWQ >Solyc09g075310.2.1.1 pep chromosome:SL3.0:9:67459819:67459908:-1 gene:Solyc09g075310.2 transcript:Solyc09g075310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNAVLMNKCTLALCLQLAQLIPPHATNM >Solyc01g105493.1.1 pep chromosome:SL3.0:1:93528928:93533539:-1 gene:Solyc01g105493.1 transcript:Solyc01g105493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKTHHLQRPQFTLMQDESICWFRATTLESDGMQLQRSYKEGKLSALDPSCDQVNNHTFLSAQESNLIENLRLAESPVNDLKVSQRQCVFLRSDVATKSVKSRSHVNAILILHSGYLATILAATKPAARATPNRA >Solyc12g042100.2.1 pep chromosome:SL3.0:12:58064813:58065271:1 gene:Solyc12g042100.2 transcript:Solyc12g042100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTHCTVSIRCLYVFTSIILALFYWSFNTWFYINLHVYRPITFIIRRIQSKPSHCSHILQASKFKLILLL >Solyc01g091230.3.1 pep chromosome:SL3.0:1:84781639:84784938:1 gene:Solyc01g091230.3 transcript:Solyc01g091230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLSVLSSIFLFILILTLPQQRVSSETINDSTKTSLSLLMTIKASLDPKSLILSSWSPTSTDPCNDSFEGIACNELGQVVNISLQGKDLTGKIPPEIGQLQSLSGLYLHFNKLHGVVPKEIANLTQLSDLYLNVNNLSGVIPPEVGNMSSLQVLQLCYNQLTGSIPNQLGVLKKLSVLALQVNQLTGAIPASLGDLEMLTRLDLSFNNLFGSIPVKLADAPKLEVLDIRNNTLSGNVPQALKRLNEGFQYANNPDLCGIEFSSLKLCTVSSLNQNRPQPFEPGSNRLPTKDIPESANVQTKQTSQSRKSQTAVVVGVIALFVAVAVTGLFTFSLYRRRKQKIGGTLDTSDRRLSTDEVKEISRRSASPLISLEYSNGWDPLGKGRGGSAFSQEVFESFMFNLDEVESATQYFSEANLLGKSNFTAVYKGTLRDGSVVAIKCISKTSCKSDETEFLKGLKLLTSLNHENLLRLRGFCCSKGRGECFLIYDFVPNGNLLQYLDVKDGKGKVLDWSTRLRIIKGIARGIHYLHGNKGGKPALVHRNISAEKVLVDQHYNPLLSDSGLHKLLADDIVFSTLKESAAMGYLAPEYTTTGRFTEKSDLYAFGMIIFQVLSGRCKITPSNHQGAELCRFEDFIDPNLWGNFVEAEAVQMAKVALLCTHESPNQRPDIEIVMQELNDVTFSKS >Solyc02g086160.3.1 pep chromosome:SL3.0:2:49558786:49560881:1 gene:Solyc02g086160.3 transcript:Solyc02g086160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIANILKGHTPNATSAAFLTMCCLLERMKGLLYCLLTKDGTDAIAASLLPSSSSIETAPDPTLTRFALWQFIRVFGSTDGNGPGYNITRAL >Solyc03g013485.1.1 pep chromosome:SL3.0:3:45872526:45872966:1 gene:Solyc03g013485.1 transcript:Solyc03g013485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPYYGFLTTFTIGPSYLSSISDYYAPVHQALSRPHTITFVALQYLFFHFFWKNHKHFFKYGSTIRNSMRNLCIQCVFLNNLIFQLSDSHSLIKGYYL >Solyc03g071490.1.1.1 pep chromosome:SL3.0:3:18639648:18639890:1 gene:Solyc03g071490.1 transcript:Solyc03g071490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKYLLRVSPMIMLGNFSQLSLFSAFVASSLSSVTGYSVLFGMCNALETLCGPAYGAGQYQNFGTFTYSAIFDCFWHIV >Solyc12g040515.1.1 pep chromosome:SL3.0:12:55111367:55115139:-1 gene:Solyc12g040515.1 transcript:Solyc12g040515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFENAVKDVKSKVKYDGSHPKLAARLEKEILDTNPGVKWDDVAGLSEAKRILQETVVLPLFMPEYFQGIRRPSRGVLMFGPPGTGKTLLAKAVATECGMTFMNISCSSLCGNWYGESERLTRCLFELARAHAPTMIFIDEIDSLCSVRGSATKHETSRRLKSELLVQIDGLNNSNSTSGKMVTLLAATNFPGNLDEALRRRLEKRIYIPLPDFETRKELIQINLKLIELAPEVDIEQVAQKTEGYSGDDLTNICRDASLNGMRQKIAGKTIDESKNILKSEILKIPVTMEDFLEAVDKIKPTVSSGDIQRHQKWYSEFGSS >Solyc03g118970.3.1 pep chromosome:SL3.0:3:69197030:69200063:-1 gene:Solyc03g118970.3 transcript:Solyc03g118970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BM46] MEAEYGKSSSVKTPLIDDREKQSILDGERKNGGGFSKEEIVEEVKRQVWLAGPLVSVNLLQFSLQLIAIMFVGHLGELPLSGASMANSFTSVTGISLLMGMSSALDTFCGQSYGAKQYHMLGIHMQRAMIILSLVSIPLAVIWANTGHILKFLGQDPSISDEAGHYALYFIPGVFAYGLLQCVVRFLQTQSIVIPMVLCAGVTTLIHIVVCWVLVFKTGLGVKGAALANSISYWLNFLFLAIYIKFSPSCVKTWTGLSKEALKDMLTFIKLAVPSAIMVCLEMWSFELMVLLSGLLPNPQLETSVLSICLNTAATVWMIPFGLSGAVSTRVSNELGAAHPQLARLALYVVLTVAVMEGLVLGLVMILIRKVWGYAYSNETEVVRYIAIMMPLLATSNFLDGLQCVLSGAVRGFGWQKIGAIINLGSYYLVGIPCAVLLAFYLRIGGKGLWLGIICALLVQVLSLLFITIRTNWEAEAKKAQERVEETTLPVEIVY >Solyc08g079570.3.1 pep chromosome:SL3.0:8:63189036:63193985:-1 gene:Solyc08g079570.3 transcript:Solyc08g079570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFKTLQEAESAIGRSLTTAETIWFNYSANKSDYYLYCHNILFLFLIFSCVPLYYIFLEIFFRKSIQTYKIQPKVNLSLSDVFRCYKVVMRMFILVVGPLQLVSYPSVKMIGIRTGLPLPSLWVILLQLGTYFIVEDYTNYWIHRFLHCKWGYEKIHKVHHEYTAPIGFAAPYAHWLEILILGIPSFLGPAIAPGHMITFWLWIAFRQIEAIETHSGYDLPWSLTKYVPFYGGADYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRYQKKVLQQLKGASNANGGSATFAKDD >Solyc12g017630.2.1 pep chromosome:SL3.0:12:7084260:7091003:1 gene:Solyc12g017630.2 transcript:Solyc12g017630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAYKVKGEKRKKKGENYDKEEEIEVPLEEEESTKRVKTEHSEADTEAAERVVDLLSGIPVVATDQTNKPGVVFIIERASLEIAKIGKTYQLLNSDEHSNFLKKNGRNPSDYRPDIAHQAMLSILDSRVNKAGRLKALYVRTEKGVLFEVKPHVRIPRTFKRFSGIMLQLLQKLSITAVGKREKLLRVIKNPVTQYLPIDCRKIGFSHSSEKLVDIQDYVNGVNNDMNLVFVVGAMAHGKIDKDYVEDYLSISDYPLSAAYCISMITNAMERKWKIL >Solyc08g068530.3.1 pep chromosome:SL3.0:8:57723835:57727383:1 gene:Solyc08g068530.3 transcript:Solyc08g068530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRYYRRKKNPKQQRNSVDRISNLPIEIIREIHFRLPRIDAVKTSILSKKWQSIWASHPRIFLDEIDFGADYSRYSVADKPKRDSFLTYLIKSLEIRQKPSEYNCDVDKLCLRMTVENSPAELLVNKWISFALENSIKRLCLSLKTINRDHYYLCGFAFCAHTLVHLIISDCEITNCSFKLPALKVLFLFVVCIEDDDFKDLIAGCPLIEQLRIQDTKKLRTIVVSNPNLEFFGVHLLCSDGKIRVESPNLHSIEFISFSIDMCEVEIASTTTVRELTLRDAYDPETLIHFIEKFPLLEKLIIDGYSDLCEVEITSKPTVRSLTLCNINDEDLTLFDWDGDDLKMTWMNFIDNFPLLEKLIIDTCSRLQKLHISQPNLVSLVLKDSIVKWEARINSPKLKSFEYKGGLTDFTGIEDLQELEFVLLYLDPLKLRDYYYSWFRDMLESCARSKHLSLICDIEEVVLIPVEVTDILPVNDITNLELEIISRHGTFEGVIDDFTWILPDLKTLSLTLGSTTKFFQFRREEDGELSAEEVHKPKPDKSVSILRNNNKSFLNIPEIDEVGMITGEGQDRISSLPIENIRQIL >Solyc09g059675.1.1 pep chromosome:SL3.0:9:55300957:55303050:-1 gene:Solyc09g059675.1 transcript:Solyc09g059675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIQSNIGRRSAIQIASALRQIDLPCCVGVRARVRFLSTIDDAAQKQRHEKNVLNKPSICTADELHYVSLNTCDWRLALWRYLPPPQAPRRNHPLLLLSGIGTNAIAYDLSPQSSFARYMCNQGFDTWILEVRGTGLSVRESDPKNIEKSAHTASNEMEIANDRGLSAAQQSTKVQGASEKDHATLVHEEPTVVSTIWNESRPVTKLTETFIHLSERVSGFVSGRWSKIIFPKLFRRISKLLEGSFPHKRVNGIKKKLLSLLEIKENSAVVDSQVEALSKKLVNIFEEGHRAASSPLFDLQQQLTTAVVDFQEQLDLILKYDWDFDTYLEEDVPAAVRWHFSMLRL >Solyc11g020610.2.1 pep chromosome:SL3.0:11:11767680:11774116:1 gene:Solyc11g020610.2 transcript:Solyc11g020610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGLKNVSSHCSISEMDDFDLSKLLDKPRINIERQRSFDERSLSELSIGLSRGLDNYENAYSPGRSGLDTPASSARNSFEPHPMVAEAWDALRRSMVHFRGQPVGTIAAVDHAAEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKNFLLKTLQLQGWEKRVDRFKLGEGVMPASFKVLHDPVRKTDTIMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDVSLAETPECQRGMRLILSLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSALAMLKHDTEGGEFVERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFEFVPKRGGYFVGNVSPARMDFRWFALGNCIAILSSLATPEQASAIMDLIEARWDELVAEMPLKISYPALENHDWRHITGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIDLAESRLSKDSWPEYYDGTVGRYIGKQARKYQTWSIAGYLVAKMLLEDPSHLGMIALEEDKQMKPVIKRSASWTC >Solyc04g009570.3.1 pep chromosome:SL3.0:4:2972065:2974305:-1 gene:Solyc04g009570.3 transcript:Solyc04g009570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTNFILTVAGVSAVVLLMRSDVKQSASIFKRNVRQIRHWLEEESASAAKGIEKAKPKEISQKDIPKDDKH >Solyc09g061793.1.1 pep chromosome:SL3.0:9:60565532:60567741:-1 gene:Solyc09g061793.1 transcript:Solyc09g061793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLGQINTVVISSSVLAKQVMQKQDLSFSSRSVSDALYACNQSDFSVVWLPVNSQWRTFRKIMNSHIFSRHNLDVGKHLRSEKVQQLIDYCHKSGQNCEAVNIGRAAFRTTLNLLSNTIFSKDLTDPFSDSAKEFKDLVWNIMVEAGEPNLVDYFPFLRKIDPQGRRRHMTNHFTKVLQLMSGLIDERLNERKIRNHINSDVLDSLLNIFPQEIDRNHIEHLFLVDMFVAGSDTTSNTLEWAMAELLKNPHTLEKAQEELADVIGRGKLIHPPVPFLIPRKTVEDVEFCGYIIPKDSQVLVNIWAIGNDSSLWEDPLDFKPERFWESDIDVRGRDFELIPFGAGRRICPGLPLAIKMVPVTLGSILNTFNWKLHGGIAPKDLDMEEKFGITLAKAQPLLAIPVPL >Solyc09g010520.3.1 pep chromosome:SL3.0:9:3897715:3902579:1 gene:Solyc09g010520.3 transcript:Solyc09g010520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQAQPGNKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIRKMELGGNESFNKFVLQYGIPKETDIVTKYNTKAATVYRDRIQSLAEGKPWRDPPVVKEVLRGSASNNGSRPPLSSGASGGGSRNGGWDSWDNNDDGGFSGSNTKDIRRNQTVGDFRSGGSSGGAPARSRSTADISKEQYEASAANKDSFFARKMAENESRPDGLPPSQGGKYVGFGSNPAPMPRNNMNQQGDVFSAVTQGFGRLSMIAATAAQSAASVVQVGTKELTSKVKEGGYDTKVNETVNVVTAKTSEIGQKSWGIMKGVLAMASQKVEEYTKESPAWKNDSWQRGESEKNGYYQDFNQDPKGWNASGGAQSSGRNVNSVSSGSWDDWDNEDKSKVNPPKAAAAPNNKNDDWAGWDDGQDDGFDNHYQSASNNNHAAVHAGKSDSKWTDGGFL >Solyc07g014740.3.1 pep chromosome:SL3.0:7:5203723:5235232:-1 gene:Solyc07g014740.3 transcript:Solyc07g014740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTLQIIFLNPPVTGNSLSRCRSSHLPTTHFSFSGNCPSIISYRLHLGSKFSSPVRASSQYSNKGNDCGDNLLRATAKNFDWVKPLSGFVSNNFLPLALIGGVALGLANPTLGCLADRYYLSKISTFGIFIISGITLRSDEVGAAAEAWPVGLFGLVSILFFTPLLSKIILLLKLQPQEFVTGLAIFSCMPTTLSSGVALTRLAGGNSALALVMTLISNLLGILIVPFSISKLIASGVGVSVPAEQLFRSLVLTLLVPLIIGKALRECFKGVAEFSDRNRKLLSMMSALLLSLAPFIQVSRSRSLLLLVKPAVFLLAVVLGVLLHLSLFAFNALAISLLSTISGGSESSFSKKQNISAVLLVASQKTLPVMVAVVEQLGGALGESGLLVLPCIAAHLNQIIFDSFLVNVWFQKEHELKSA >Solyc01g111650.3.1 pep chromosome:SL3.0:1:97767766:97772492:1 gene:Solyc01g111650.3 transcript:Solyc01g111650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPKKILLKSNDGEVFEVEEAVALESQTIKFLIEDDCAGSHIPISNVSGNILAKVLEYLKRHVEEESTSKTEGADADAALKVFDAEFVKVDQKTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEAIRNTFNIKNDFTPEEEEESSDGEVFEVDEAVALESQTIKHLIEDDCAGSNIPLPNVTSKILAKVIEYSKRHVEASSKTEDKAAEDELKVFDAEFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >Solyc01g111360.3.1 pep chromosome:SL3.0:1:97550055:97554559:1 gene:Solyc01g111360.3 transcript:Solyc01g111360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4B3Z8] MGRAISVLLQPRWLLIFLGVLLVIFLASSIFQKEHEMVDEVYEVTHRVFLDVDIDKQRAGRIVIGLYGQVVPKTVENFRALCTGEMGKTADGISLHYKGKPFHRIIPGFMIQGGDIVSADGRGNISIYGGPFPDENLKIKHSHAGVISMVNSGPNSNGCQFFIPTVKASWLDGEHVVFGKVIEGMDTVYAIEGGAGTYSGKPRKKVLIADSGEIPKSKWDEDNQSSVS >Solyc02g079400.3.1 pep chromosome:SL3.0:2:44530738:44534144:-1 gene:Solyc02g079400.3 transcript:Solyc02g079400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nitric oxide synthase-interacting protein homolog [Source:UniProtKB/TrEMBL;Acc:K4B9G4] MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACSLCLKPFIDPLCCQKGHVFCKECILECLLSQKKDIQRKLAAHTGQQKQEKEEEEERLMLQKARDLDAFDQQNHGPVPQYNDKNYNRDKNGFHGANSVKATSYEEEALRTMKAFWLPSATPDAPAKVEAPSTSTTCPEGREKIKMKNLFPIHFTEDTSAQKKHKSLDKSFICPSCKVTLTNTLSLVALNTCGHVFCKKCAEKFVAVDKVCVVCDKPCKNRNLVNVEKGGTGFAAHGDNLEAKDFKHLGSGSGLGLVRPAMKT >Solyc01g079500.3.1 pep chromosome:SL3.0:1:78388376:78405942:1 gene:Solyc01g079500.3 transcript:Solyc01g079500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKERNKKRRRVNSPWSVFIWWTQLENNYSSLCVEGQKIECIPLDTTLISYILQTCSAIYGWKFNCLVVVTFFAVGLVCLLQVNNLKEQLFEKLEQFLVDLHLDYKEIRYASSGLGGIPVSASSTAHEASIREFAEAVRAYRVIFPDSEQQLFRLAKELATKHFEATKQHIKKQVSSTDLVAMLRVIWTDVLLMDEVLPEAGLRDFTFEAAHDAIKQYVACRFSHLLLDISDALVKVHDNQKGLIEEEHPLQSALETSKKALVQGSMDALLDSRRLLDENLEVLSSLTDLIIEWVQEGFQHFFRKLNDHFFMLSGKKYSANEDLTFGEGMQGDKVLPELVLLLAQLSVFIEQNAITRITEEISSFSGGGTRGYENSSAFVPAEICRIFRSAGEELLQHYISLKTQKILIVLKKRFTTPNWVKHKEPREVHMFVDLLLQELDTILNEVKQILPEGLQPKHRRTDSNGSATSSRSNPLRDDRLVRSNTQKARSQLLESHLAKLFKQKMEIFTKLEHTQESVITTIIKLFLKSLQEFVRLQTFNRSGFQQIQLDIHFLKTTLKDTAEDEAAVDFLLDEVIVAAAERCLDPIPLEPAILDRLTQAKLAKNSDQSSTS >Solyc01g091560.2.1 pep chromosome:SL3.0:1:85045237:85046431:1 gene:Solyc01g091560.2 transcript:Solyc01g091560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCSIAGEYKKKEKIMVEYNSKRKSSYTKDTIISKEISEENVALVDILFMHVLQDGYKIPQQYNDVKDFFSQLIRTCLKIHTIHPGKISCILSVKPEILNAYSTLHGGAIGAVAERVSIACARTVVGKDKELFLGELRMSYHSAAPCNAEVVIDGSIIRSGRNITVVAVDFRLKDSRKLVYTSNATFYHMPVASL >Solyc03g093730.1.1.1 pep chromosome:SL3.0:3:56804362:56804862:-1 gene:Solyc03g093730.1 transcript:Solyc03g093730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYGMVDTKSPSTFKRLKVDATRNFPENCASFICQNHGTRETSLEFPSTTKPVIVETTRNFLENCGPFVRENNGFRKIYLEFPSNTKRVKVDSRRSFPENCGPQKRNGSDTQCSVDADNKGCSKVESAESSNFEATGNTSCRLTNGNQPLKLKEENHQVQISVN >Solyc01g056950.1.1 pep chromosome:SL3.0:1:57635124:57635808:-1 gene:Solyc01g056950.1 transcript:Solyc01g056950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQDTEKTQRRNSSALSRCMLGHKLHSSGYGKEKAEENYRNCAKARSSTSVLPIEKGNSSTSTTHALSSILSNGEESVKGVLLVAEKETTEERQRDELKKNEDGQAWVGLFDQNKMTAQGMNLSYPPPIVQEGKVLIRFEREDMDEGNEKWKKVLVLYVLGNTASIGAIERFVASKWNFVMK >Solyc04g026270.3.1 pep chromosome:SL3.0:4:17535586:17539345:-1 gene:Solyc04g026270.3 transcript:Solyc04g026270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLERSTTAANKSTEPVNKLRKSAPSHMLLHLRTQHLPYNATPNGLKLINSGRSLKPLLNCGTTEKERLVSAIHGQGAYRHTTLLPSPRVRTPISMKYFPRFFLLYFLVFHIYFFSYSYGFSYLALSTTAAFMQHLILYFWNRFEIPALQRFMQSRRSHFQQHPDFHITSSTILASTLHITRLNARSPAPTNVDMAPGPGPRIDPSLPRNGANEFPGVADGQGNGNQDEFGNSLQLGGQVDTRQSEGGANPGSMNSFSSLLLWILGGASSEGLNSFLSIFRDVREHGQVFTGQETHASQNPE >Solyc07g007160.3.1 pep chromosome:SL3.0:7:1919158:1921418:-1 gene:Solyc07g007160.3 transcript:Solyc07g007160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMLPGVECARRRRFHQSNGCIDSSNTSSFSSTRRSSFCLYTSNHEHHLNSSSSKQRGVISQAYQDEKLGEAVREAKQRLDERLSARWKSQNKRGLNNRQKPNQNQEMVENRPNLQGEVFSGLKRSGSKKFNWAKMIWKSQEQDECSICLDQFKISDNLMQLTCAHKFHSKCLVPWLEFNAHCPCCRMTIILTSTSA >Solyc06g074710.1.1.1 pep chromosome:SL3.0:6:46380247:46381581:-1 gene:Solyc06g074710.1 transcript:Solyc06g074710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKIESSKIIKPFYEDNIIPPSTKTYIPLSVFDKVTYEAQIAIIYAYRPPTPPNAAIQLGLQKALAIYREWAGRLGKDENGIPVISLNDEGVRFVEASADSTLDKVMPFKPSASLLNLHPRLNNVVELVQVQVTRFTCGSLVVGFTAHHTVADGHSTSNFLVAWGQACRGLDINPLPLHDRTIFNPRNPPLIEYEHKGTEFMSKSVKKENSLDESTHHVTEDIVVHKVHFTVEFLAKLKVKASSMNNNNNSNSNRPYSTFESLVAHLWRAITKARGLSGFETTHIRISVNGRMRLNPRVPNEYFGNLVLWAFPTSKVKDLLREPLPYATKLIHDAVVKVNNNYFRSFIDFANHKDEDLIPTADMNKHILCPNLEVDSWLRFPFYDLDFGMGCPYMFMPSYFPTEGMMFLLPSFIGDGSIDAFIPLFQDNLPTFKKICYSLDLA >Solyc08g015845.1.1 pep chromosome:SL3.0:8:5999832:6002243:1 gene:Solyc08g015845.1 transcript:Solyc08g015845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYVPESSNPLKWKIPGPIAQSKQLENVDANRVNIDTTAPIQYVKQAVSKFGGIVDWKAHRQQTVERRNLIEQELTKVQEEIPLYKKQCQDVEDAKVLVLKDYDLLVTEKDVAVEKEEEVVSVSNKVEKTVEDLTIEPITSKDALEASHVAHLVKKMTGNTKAILTLNSFEKGGDGGGPSECDNQYKYTEISELRAYVIAKQRETIYTLCINKD >Solyc08g069200.2.1.1 pep chromosome:SL3.0:8:58323335:58323517:-1 gene:Solyc08g069200.2 transcript:Solyc08g069200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GVSLEISAEAGRTGADKRIEDKASASENAFNEEELSSVELETGVWFKEHTMAEVLCFVIN >Solyc10g017880.2.1 pep chromosome:SL3.0:10:6135062:6142927:-1 gene:Solyc10g017880.2 transcript:Solyc10g017880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRADFVQCLGSDMSINILACLNEPVDVVRAGSVSRLWHHFVITNGISKKLCLKRFPQLSGIARITEPDWITTEANGAGSNNSSWDILKRDHNVYASLLHAITTLNTCQCECIAYAVSASSTDRFPAESVANTLTTRRYLGGHSYWSSKGHADPDSPETLIYKLKADLCVISEISVQPFEAFYQPGQPIYSAKSVRFRLGHPKNSLDENDVLQMPQQQAADDKFIWTYTSEVYPMEQKNCLQQYKLPEPVLCIGGYLQIELLGRVQRQHSDNLFYTCVSHVKVMGGGPLCPAFDIDILEPSGKFALKYTPGVLRWMLPSFSENSNMSPMPAEEEAVENVGVMGFLLQAYHGGIEPMVWDEPMEWDDNDEEMDELGVL >Solyc08g075500.3.1 pep chromosome:SL3.0:8:59777697:59780117:-1 gene:Solyc08g075500.3 transcript:Solyc08g075500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSSYPKPPLLHTKITSKNSKKWIPITTHSISNTPLQFQLPLHKPHYKIHQPIKPEIKKTTDPSCTISDVLRLMDSLGFNIPVDVYVSLIKECTESRDPLNAVEVYEHVCKSDVIPSLPLLNRLLLMLVLCGCFEQARQLFDKMRVRNSQSWAAMIAGCVENGECVGALRLFMEMQSEAGNLCKCGDLIDDGILVCVLKACVELMNLEFGRQIHGWLLKLGNCESMVLNSFLIKFYGEFGYLESADNVFDHVPHCNTVVWTARIGNLCKEEQFEGAIRIFREMVSEGVKKNSFTFSSILKACGKLRDAGCCGQQIHATSVKVGLDTDSYVLCSLIDMYGKYGLLKDARRVFNAREDKSNIACWNAMLMGCIQHGFGVEAMKVLYEMKEAGLQPHESLINEVLLASTGTELAGASSSSPVMITHSTPLYWLISSFLDEFVAFEVSTRCIDLHRSGRTRAYGTTGLGNAGEVENPNNERDQILANPRLSRYTCSCGGINQLMVIVRFLSTQSLDIYMSIIIVVRVLESNLVHLQARDAEL >Solyc05g017865.1.1 pep chromosome:SL3.0:5:18835767:18846965:1 gene:Solyc05g017865.1 transcript:Solyc05g017865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKLLTLFDVTHLTDATRYRRVLGKLQYLSFTRSDIAYVVNKLSQIMKAPSEFHWKDVKHVLRYLRGTIQLGLRVTSIEDFNLHVYSNAYWGDISDRVSTSGYILFLGTNLISWSSKKQNIVSRSSTESKYRAVDNALSETLWVTNLLTELHELSGGYPIRRPDEGNGSVRRESGVWWPFSGGRSKLEEWETHGAEIRP >Solyc01g111500.3.1 pep chromosome:SL3.0:1:97633445:97634844:-1 gene:Solyc01g111500.3 transcript:Solyc01g111500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDERLISYIKTHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPTTHRPVNEPGTTQKVTTISFAGGDHKTKDIEEDHNKMINVKAESGLSQLEDEIISSSPFREQCPDLNLELRISPPSLQNYQHSPSRCFACSLGIQNSKDCNCSKNNIASYNFLGLKSNGVLDYRTLETK >Solyc10g083850.2.1 pep chromosome:SL3.0:10:63709232:63716108:-1 gene:Solyc10g083850.2 transcript:Solyc10g083850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFLELKRAVETVEIVDTHAHNIVALHSNLPFISCFSEAKEEDETIDFKRSLNEIAELYGSKLSVNAVQESRQHLGLESSANTCFKAARISALLIDDGLDLDKKLDIKWHEHFAPKVGRILQIEDVAKKILEKCTAFIQYALWSHDDGEADKVTAFKSIVAYRTGLAINTDVTVKEAEGGLSEVLCGGNAVRISNKSFLDYIFMHALVVAQSYDLPMQIDTGFGEKDLDLRLANPLNLRNLLEDKRFTKNRLVLLHVSYPFSKEASYLASVYPQVYLDFGLAIPKLRYHRMISSVKELMDFAPINKVMFSTDGFAFAESFYLGARIAREVVFSVLRDACIDGDLSIPEALAVVKDIFAETAKQFYKLDVSSRYSDVIPQQRFNSSVRKDGLGLTVECMGLTSVCDDLTYDTWLPASGEARTVPDLSTKCRVPWAKHQEMVLTDMLTESGKPWHYCPRDVLCRFSKILEDEYGLVMDVGVEVEFYILKTIVADDKEVMQSLDRTPYCSTAAIDAASSVLNEIVACLQSLNITIEQIHSESGKGQFEIVLGYTDAITQADNLVYTHEIIKGIARKHGLLATFMPKYSPDSSWPYREDQSVHDVGSGSHVHISLSKNGENVFTASSDYNRYGMSKFGESFMAGVLSHVRSICVFSCPLPISPPGTNGAVTNFELRTFDGCANPPLGFAALLVAGIDGLRNNLKIADPA >Solyc10g083880.2.1 pep chromosome:SL3.0:10:63730879:63732662:1 gene:Solyc10g083880.2 transcript:Solyc10g083880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISRIAIGRREEATHPDALKAALAEFISTLIFVFAGSGSGVAFSKLTGGGANTPTGLIAAAIAHAFGLFVAVSVGANISGGHVNPAVTFGAFVGGNITLLRGILYWIAQLLGSVVACLLLKFTTGGMEIGAFSLSNGVGVGNALVLEIVMTFGLVYTVYATAVDPKKGSLGTIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWAWSNHWVYWVGPLIGGGLAGLIYEFFFINQTHEPLPQ >Solyc04g009330.3.1 pep chromosome:SL3.0:4:2772604:2778205:1 gene:Solyc04g009330.3 transcript:Solyc04g009330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKSLKPPKQPPKNPKKQWSLNDFEIGKPLGKGKFGRVYLAREVKSGFIVALKVIFKEQIEKYRLHHQLKREMEIQTSLHHPNVLRLYGWFHDEERIYLILEYAHRGELYRELRKIGRLSEKQAAIYIASLTQALAYCHEKHVIHRDIKPENLLLDHESSQDGSIKIMYVMLALRFFMGVPIRAGFDCAATAAETNQGIVNVLHRKGKILIRLSHEMQGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYSVDNWTLGILCYEFLYGVPPFEAERQTDTFRRIMKVDLSFPSTLDVSAEAKNLISQLLVKDSSKRLSLQKIMEHPWIIKNVTSHDTII >Solyc10g078630.2.1.1 pep chromosome:SL3.0:10:60510612:60512250:-1 gene:Solyc10g078630.2 transcript:Solyc10g078630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTKHAIVIKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >Solyc04g063270.1.1.1 pep chromosome:SL3.0:4:55412430:55413815:1 gene:Solyc04g063270.1 transcript:Solyc04g063270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKSSFTSKTQQLIDLLSSCSSLKHVYQIHTQIVISGLSQQNCILLKIVNFFASHTPINSSSYVALVIKQSQNSSNSWWNILIRNYAKHSEAIRFFVEMRRSGVVSDEFTYPFLFKACSSFMGLKEGKQIHCDVIKMGICKNVYVQNTLIYFYGSCNKIMEAYKVFDVMPLRTVVSWNSIISACVESCWYYDAIEIFRLMRKCGVRPDETTMVILLSVCAELGDLSLGKWIHCQVIEQGMYVNCQLGTSLVDMYAKCGAVDYARLIFDRIGERNVWTWSAMILGSAQHGFAAQALELFWKMKDCSVKPNFVSFLGVLCACSHVGMVEEGYRLFQEMETLHSIKPMMAHYGAMVDILSRAGRLEEAHKFILDMPIEADAVIWRTLLSACHIHDISVDTGVGEKVRKRLLELEPKRSGNLVMLANKYAETGLWDKAATLRRGMKERRLKKIAGESCVSVFQNR >Solyc12g014430.2.1 pep chromosome:SL3.0:12:5399613:5407831:-1 gene:Solyc12g014430.2 transcript:Solyc12g014430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESSSSLHSLKVMYGRKLLNRIFSSVYGFAIIALFYHHLFTLTALPLRGLSIFMLVSDFILAFMWFTSQSFFINPVTRQQFPEKLKQWVDDKDFPAIDVFICTADPFKEPPLTTVNTVLSVLAYDYPTEKLSVYVSDDGGSKLILFALMEAAGFARSWVPYCRENKIEQRCPEEYFRRNHTITCQFQIIENLYMDMKMRIENIVERGEVVDECIIDPQQRQAFSKWTKGFTPKDHPAIIQVLLESDKDKDIKGYSIPNLIYVSREKSTTSLHHFKAGALNALLRVSEAMTNAPIILTLDCDMYSNDPQTPQRVLCYFCDPSIRSKLGYVQFPQQYHGLNDDDLYGGDNVRTFRISPSGLDGLGGPDNCGTGCFFNRRALYGSPSSVTSLEHSRDSHTRSMAISSVSVVELASHAASCNYEYNNTEWGTKVGIKYGTLVEDIYTGYMMHCEGWDSIFCDPERPAFLGEVPINLDDVLSQVKRWAFGHLEVLSCKHSPLTFGTKALGILRANCYIHYVFWPFWCIPVTLYACVPQLSLLNNISIFPKVSDPWFFLYVFLFFGAYAHDFVQFILFKGTTKRWWNDQRMWYVKALSPFLFASIEYLTKKLGITTKGFNVTNKVAGQDERKMYDQSVFSFGIPSPMFVPLATVSIINLIAFVKGIETVIFGMESLDELFIQLFIAGFAVVNCLPIYEAMLLRSDHGKMPKKIAITSSVLAGVLCIAFSLFVS >Solyc02g091300.3.1 pep chromosome:SL3.0:2:53273549:53274620:1 gene:Solyc02g091300.3 transcript:Solyc02g091300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDYLSIFCTVTSTRRRSKRRPLQTVEIKVKMDCDGCERRVKNAVRRMKGVKTVEVIRKQHRVIVSGYVDPQRVLNRIKSTGKRAEMWPYVPYNLVSYPYVAQAYDKKAPSGFVKNVPQALITPNATEERITHLFSDDNPNACSIM >Solyc05g018233.1.1 pep chromosome:SL3.0:5:20273448:20285840:-1 gene:Solyc05g018233.1 transcript:Solyc05g018233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTTLIQFPIFSPPAPPHSHRPATEIRFSRWNNANAEKFIRHERTQKEIEDEIRFHKRFDSVLSIANNYNPAPPSPVAEKTFKSTGTPSTPSSPSIPGKKSKYSRNFHNNPRQIHPAFKPLVRTRKIPVETPDETAGKSDIDIKVDENGVCYEFPEAPFVYQYSYTETPKVKPRKLREPLVSPFGPESMQRPWTGRKPLPPSKKKLPEFDSFQLPPPHKKGVKPVQAPGPFLAGSGPKYVKSREEVLGEPLTKEEMMELINSCKKTTRQMNIGRDGLTHNMLENIHAHWKRKRVCKIKCKGVCTVDMDNVCEKLEEKTGGKIIYHKGGVIYLFRGRNYNYMTRPRFPLMLWRPVTPVYPRLVRRVPEGLTLEEATEMRKKGRNLIPICKLAKNGVYCDLVKNVREAFEACELVCINCQGLNPSDYRKIGAKLKDLVPCVLISFEQEHILIWRGRDWVSSLPEDGDNPEIREGSESVNAANTNRSFEVQVVASTAGSSSLPVTEVNTYNLSANTFPLGDEDAEYVRKDGTKEDRSEDHYPESSNKVSVTTTGISKSEIPLVYAGDTGDNSRILSDCRECKTRSDDSVVDKESEFESASDDVENKFDSSSLVPLTGYKVHSLTVDTNQNCQLVSSITPCTEGILLLWKQAIESGSAVVLNDSSIDADIVYQRAVALSTSVPPGPVFQHQPKKVSVQRRGEEEIGDLEVGCTKLDTPASSRKETVVSSRKVNSTTSTRKEKKKGIRKDYLNVVPKGSLGVDELAKLLA >Solyc06g076750.3.1 pep chromosome:SL3.0:6:47800433:47804042:-1 gene:Solyc06g076750.3 transcript:Solyc06g076750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLHNIEVTCQLISASARTGEKLYSKKLFGLLTADDFNYKSFPTSSSLLELIFLKHTIFLIFQLCWKPNQTISNSTYRKAMGEVVAEDDLYTKDGTVDFRNNPAKRNETGTWKACPFILGNECCERLAYYGMSTNLVLYFKKRLNQHSATASKNVSNWSGTCYITPLIGAFLADAYLGRYWTIALFSIIYVIGMTLLTLSASVPGLKPSCSGEDVCHPKEVQTAVCFVALYLIALGTGGIKPCVSSYGADQFDDSDEVEKKHKSSFFNWFYFSINIGALIASSVLVWIQDNVGWGWGFGIPAVTMAIAIVSFFSGTRLYRNQKPGGSPLTRICQVLVASFRKFKVNVPEEKSLLYETTDAESAITGSRKLDHTEDLSFFDKAAVQTELDHVKGNIDSWRLCTVTQVEELKSIIRLLPIWATGIIFSTVYGQMSTLFVLQGAAMDTRVGNSSFKIPPASLSIFDTLSVIFWVPIYDQIIVPIARKITGHKNGLTQLQRMGIGLFISIFAMLFAGILELYRLKYVKRHNYYELEEVPMTIFWQVPQYFLIGCAEVFTLIGQLEFFYEQAPDAMRSLCSALSLTTIALGSYLSSMLVTIVMNITTKNGKPGWIPDNLNYGHIDYFYYLLAVLSVLNLGVYLVIAKWYTYKRPVGTLR >Solyc02g062330.2.1 pep chromosome:SL3.0:2:34483956:34491128:-1 gene:Solyc02g062330.2 transcript:Solyc02g062330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDREESIYVSARLRTLNEKERNDVADWECVNDTTIIYNNVNSSPMFPSVYTFDRVFSSDCSTRQVYEEAAKEVALSVVKGFNSSVLAYGQTSSGKTYTMTGITEYAIADIYEYVHKHTERDFVLKFSAMEIYNESVRDLLSEDNTPLRLLDDPERGTVIEKLTEETLRDWNHVIHLLSICEAQRQIGETSLNETSSRSHQIIRLTIESSAGENIGRDNDLSTLLATVNFVDLAGSERASQSLSAGTRLKEGCHINRSLLTLGTVIRKLSKDRTGHIPFRDSKLTRILQPSLGGNGRTAIICTICPARSHVDQTRNTLLFASCAKEVTTNAQANIVMSDKTLVKHLQRELARLESELRYPRACIFPSDYEALLQEKDHQIQQLEKEIKDLILQRDIAQSQVKDLLKLLGDDVIQVDFGHYPNLRVKRSPDYQSPMQQISILRDTHYVDVGVRARSIGHSRCNSEDQFIHMPEFDETIFRNNAFPMLLVGSSNNSRTDSCQGWDETEKQSNETSDDLCREVRCVETDDSSMKGTQVFNCSLPEKNGGFPALRTIINGERTNHGTISHLENGHRRSVTSPYKENGESKSSHFEEDREAVSSSFFEEGRRSNRNTMSFTLGVKQDLESPKFKDNKKAVPLLLNEEKKSVCVHSSNAPPEKLSSPCDLKDDLSCNRNWKLCKSTSCKASIITDRYSPCSEESKRADVNCSSRNGSTNIELEVPKRKPLTDEEINKADAACNARTKKMDELQHKTGVKDCPAQEAEPEHDTSSKSVRDAEPAEDDYKSRYSWPSEFKRLQKEIIELWHACNVSLAHRSYFFLLFQGDSTDAIYMEVEIRRLTSLKDAFSRGEKTVASGRTLSFEGCKKEIRDERRILSKQMEKKLSEAEREGLYVKWGILINSKRRRLQLAEKLWSKTDDLNHIADSAYLVAKLAGFMEPGKGPKEMFGLDFPGSNTSYSFKTGLKSLL >Solyc10g005750.3.1 pep chromosome:SL3.0:10:600735:605123:1 gene:Solyc10g005750.3 transcript:Solyc10g005750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVLGKNESPSSPKASVPETKLEAKITEAMLRRETEGSSIRSFDSLVLKFPKIDENLRKCKVIFQEFDEDSNGAIDPQELKHAFNKLEINFTDEEISDLFEACDINEDMGIEFSEFIVLLCLVYLLKDDPSALHAKSRMGLPNLEVTFETLVDAFVFLDKNKDGYVSRNEMVQAINETISGERSSGRIAMRRFEEMDWDKNGMVNFKEFLFAFTHWVGIEDNEDEEGEE >Solyc10g007580.1.1.1 pep chromosome:SL3.0:10:1870476:1870721:-1 gene:Solyc10g007580.1 transcript:Solyc10g007580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGVKIVIINTEYIQTDVSSFKSVVQKFTGKNSTSPAPVKATPAAYRRRYDHGDDNKLLKDLSPLDELVGLYTNEVVRN >Solyc01g098985.1.1 pep chromosome:SL3.0:1:89214475:89220207:-1 gene:Solyc01g098985.1 transcript:Solyc01g098985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRTLEKLCDTFDQAVTISGDNLIKAEPILNGGETLESSPTLDEVKVDRTSDSVGESNASHCTAEVCSSYQEQTLPLLEKIEASNKVLDLAKEQEALCNDVKESTSVDSFSGSEAFNALQHLSVQHEILKKKYDEECELLKQKYTEECVQHEVLKKKYDQECELLKKKYLEECTERKRLYNEVIELKGNIRVFCRCRPLNASEIVDGSTSVVEFDPSHENELQISCAGSSKKQFKFDYVFKPEDSQDAVFSQTMPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGTPENRGVNYRTLEKLFSLSSERSSIMRYELFVSMLEVYNEKIKDLLVENSNQPAKKLEIKQSAEGTQEVPGLVEARVYGTDEVWELLKSGSRARSVGSTSANELSSRSHCLLRVTVVGDNLINGQRTRSHLWLVDLAGSERVGRIAVEGERLKESQFINKSLSALGDVISALASKTSHIPYRNSKLTHMLQSSLGGDCKAVMFVQISPNNTDLGETLCSLNFASRVRGVEHGPARKQTDPAEIMKHKLLAEKAKHDEKETKKLQDNLQSLQLRLATREQTCRSLQDKVRDLENQLAEERKIRLKQESKALAGASRDITTSSYLSQAQKITTEKKPPLAPSKALRMPLRKISNFVPPPSPPSPLARAPAKTKKSFVPVASHNKENVERTSLTKAVLKPRRGSIIAVRPPPQGTNQVLQPKRRASIATLRPESSISTFNNSAARPRNDRFIGRQSFVWDPQRMWRTSRMLSPIAQAKESSIATPIGATPIGSRSSKFMGSPPSQAPGSWRPKHPTVVALKKQLVWSPLKKVARSSNRKSLYSS >Solyc07g021380.1.1.1 pep chromosome:SL3.0:7:17526825:17527190:-1 gene:Solyc07g021380.1 transcript:Solyc07g021380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKIHVWFGKGLCNFGKEWKNNLVSLSDLLDNQKQRILNTIRNSEELRGRAIDQLEKARSHLRNVETEAEQFRVNGYSEIERENLNLNNSTFKTLEQYYKNETIQFEYQREINQVRQRVN >Solyc03g093780.1.1.1 pep chromosome:SL3.0:3:56882155:56882439:-1 gene:Solyc03g093780.1 transcript:Solyc03g093780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLYEYTLTDFTLSSLLYESVTEAFDLDYPYKKLHKYFSMVGSVNGLICLAIEEYDLVLWNPSIRKYKKLPDSKPTLMKKDCWPLYGFGYDEV >Solyc06g075320.3.1 pep chromosome:SL3.0:6:46893055:46894841:-1 gene:Solyc06g075320.3 transcript:Solyc06g075320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIRTLLPLVLVLFCALVASVCGYEYEGRKSEQRTQGEKWFLLRQLHNVVKTDAGSMRMVKGGYRAGSFLHSPMHIGFISMEPNSLFIPQYLDSDLVLFVHHGEARVGHVYRDELAERSLKQGDIYTIPAGSAFYLENRNENQRLRIICSIDITSESMGWHAFQSFFIGGGTHPASVLAGFDHTTLSTALNVSTEELKTFLTRQTSGPIVHLSGSHHTNTWSEFLAQEPHHKLAHLKRIVNFEEEASSKQEESTWSMRKILFTLLNREDIVNRVGHRAPSACSLYNRNTDFKNEYGWTKKLDESDYSPLKQSGHGVYIVNLSPGSMMAPHVNPTAIEYGVVLKGTGRIQIVYPNGTLAMNARVREGDVFWVPRYFPFCQIASTNGPFEFFGFTTSARRNHPQFLVGRNSLMQRLRGPEFAAAFGIGEKRLKRITNAQHEQIILPSSSSTPGDMV >Solyc11g039670.1.1.1 pep chromosome:SL3.0:11:43308053:43308301:-1 gene:Solyc11g039670.1 transcript:Solyc11g039670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQTVSCRDAREYPTFFFCSSLRRYQIVRSTSLGGTRFVLDHGRLLHIILQGPLVDLSPPPQILSVRSSGIGWGIFGTLERR >Solyc08g005060.3.1 pep chromosome:SL3.0:8:48057:51880:-1 gene:Solyc08g005060.3 transcript:Solyc08g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSRSSSCVLNKLRLCQRSISAKASSSPKDKDRERLMFDSEKDLTSGISRPLSEILKELNKKVPDSLIKFRHEPNGFSIKYIPWHIVNRIMNLHAPEWSGEVRSVTYSSDGKSVSVVYRVTIYGTDAEIYRESTGTASVDDPGYGDPVQKAEGMAFRRACARFGLGLHLYHEDMS >Solyc12g035940.1.1.1 pep chromosome:SL3.0:12:44565376:44565540:-1 gene:Solyc12g035940.1 transcript:Solyc12g035940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRREKIGSKENSILEFSQQFACESGDLVFSESLCKELQKKFFQQTCELGRIGR >Solyc05g054435.1.1 pep chromosome:SL3.0:5:65214050:65215711:1 gene:Solyc05g054435.1 transcript:Solyc05g054435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLPSILLELLNTANSADGPVDDRLKNLSAMEANIVRPFVTKALQTFHKLNTTEAIPESGSIPNRQRQAVNQRDKVTRTKRSMKLFSLHFASCCDAPKRRELSIHPTSKERTIINMQVHFVYVSYILPLLLISNAMTCTINFVVIFVTRDLEFELL >Solyc05g026245.1.1 pep chromosome:SL3.0:5:40254850:40255708:1 gene:Solyc05g026245.1 transcript:Solyc05g026245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEYLSSHLKDLFHSSLKRKDLYNRLVGSLIYLTMSRPDISYAVQVLNQFFTNLYKMHYIALVGYADANWAGFPYSRRSTTGWCMILGSEIIWLRRLLSKLGIKIKGSTTLYGDNTSAIRIATNLVHHENAKHIDIDFDDIRELIEDWSISLKHLYSKDQRSDLFTKVVSRSKNNYVLSKLIFCDTQH >Solyc05g005535.1.1 pep chromosome:SL3.0:5:380198:382193:1 gene:Solyc05g005535.1 transcript:Solyc05g005535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPFDPFVFSVVRTTTLRPNTDVAKSIISSAELTHCFVSPGLGALSHSLSASPIIFATCESLAAWKIFFSSSIFEVEKGFEVLFPSCSVVGIGSEMQIRDIGPRGVIGELTRPPWSICAIAVETLDRRIATRGTIVVKLIIMRRISTVAPERIHREIFFLCIVLERVESETRFFGTHSMEMPCSEETMALITFWRKRVPRFISIFSHNNLH >Solyc07g056340.3.1 pep chromosome:SL3.0:7:64334820:64344494:-1 gene:Solyc07g056340.3 transcript:Solyc07g056340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSLKKLISDDSEVPSAKTSGVETNAWKIHLGTAAHHPSTDASLFSSSLPVLPHAKLNFAESENYSHSTDDSSPSLNRLHLEDEIKDPLEEEPNSDGCFLPGDEDELLAGLMDDFDLSGLPTQLEDLEDDFFGSGGGLELESETLDNSLNGFAKLSMSDGILGSIVGHHVFSNSAGTVTGEHPYGEHPSRTLFVRNINSNVEDSELQSLFEQYGDIRTLYTSCKHRGFVMISYYDIRAARTAMRMLQHKPLRRRKLDIHFSIPKENPSEKDINQGTLVIFNLDPSVSNEDLLQIFGDYGEVKEIRETPHKRHHKFIEFYDVRAAEAALKALNRSDIAGKRIKLEPSRPGGARRSLMQQLSQDYEHEEVRTFRHSVGSPIASPPGNWSNFGSPVEHSSLLGYNQSRDMRNLSPVNGNHMPGLAAILPGHFSSPKIAPIGKDPGRFGSLNHAITSPKSVQGMGYQQSYSVPDHKPHLSFGSMSHGEPKSSGIGTLSGPQFLWGSSPIQSERTDSSVWSNSSLAHPFAANEQGQGYPYSHRQGLFPGSHHVGSAPSGIPLDRRLGFFSESPETSYMNQVAYGSSGSSHSHGMSIGRLGSMNMAGSPISRMIPLPRNGPIFFGNGSYGGTGTTNNEGIIEQVRSRRVESGSEIDNKKQYLLDLEKITSGEDARTTLMIKNIPNKYTSRMLLTAIDETHKNTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEVFNGKKWEKFNSEKVASLAYARIQGKVALVAHFQNSSLMNEDKRCRPILFRSEGQETADQELLPTNNLNICVRLPDGSYSGDSLDSPNSDVDAKLYMH >Solyc09g010910.2.1 pep chromosome:SL3.0:9:4242267:4245164:1 gene:Solyc09g010910.2 transcript:Solyc09g010910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFFWSTYLPALRKSDMYLGRAARYGNIAAGVCSHGNVVVFSLHILVWHLLGSCEIWELFRFLYLQRLLKVNRFHLSKEAVMIFLIRAENWGMENNRSAPNLFSNSIFMNQLKE >Solyc01g057000.3.1 pep chromosome:SL3.0:1:57890470:57892714:1 gene:Solyc01g057000.3 transcript:Solyc01g057000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETSAASDTPVTRKKMIMVAIDESEESFYSLKWALDNLINDPSIIITLINVQIPFTPMVYPAGPVVFATPTVVEAVRKGQQENATRILSRALHLCQQKMVKAETLIVEGDPKDMICQTAHELHVDLLVIGSRGLGKIKRAFLGSVSDYCAHHVQCPILIVKPPKENPKSS >Solyc03g080130.1.1 pep chromosome:SL3.0:3:53459678:53460137:1 gene:Solyc03g080130.1 transcript:Solyc03g080130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKINDTTIYTYVYVEKGQTYRTFQRDSAFSTLSKWNLFQTYMPWFLTSTGQKFVSIFPNIMHGSGISWRILQKKLYLPQWNLISEISSKCLHNLLLFEEMIHRNNESPLISTHLRSPNARNIYIYIYI >Solyc04g015440.3.1 pep chromosome:SL3.0:4:5628721:5633128:1 gene:Solyc04g015440.3 transcript:Solyc04g015440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIVLSSSAICCNNFNCVSVTETRQSTGGNGWLNCDKIRKKHVGSSRFVMKCSNDVVLAGNLNFFPGGSVVNCDTIRKKHVGSSRFFMKCSSDVVLVNGKPRNGISAEGVLRNLRSISEPTEALALFKSVAEMPRVVHTTKTCNYMLEFLRVLERINDMAVVFDLMQKQIIYRSLDTYLIIFKGLHIRGGIREAPFALERMKKAGFVLNAYSYNGLIHLILQAGFWQEALKVYRRMISEKLKPSLKTYSALMVACGKRRDTETVMRLLSEMEGLGLRPNIYTFTICIRVLGRAGKIDDACAVLKRMDDEGCAPDVVTYTVLIDSLCIAGKLDIAKEVFFRMKDGCQKPDRVTYITLLDRLSDRGDLDSVRDFLDRMEADGYKADVVSFTILVDALCKVGKVSEAFSTLDVMKEKGILPNLHTYNSLIRGLLRKKRVNEALELFDSMESLGVEVTAYTYILFIDYYGKSGEPDKALETFEKMKAHGIVPNVVACNASLYSVAEMGRLGEAKRIFDGIRESGYVPNSITYNMMMKCYSNAGKVDEAIKLLSEMIESGCDPDVIVVNSLIDILYKDGRASEAWALFYRLKDMKLTPTVVTYNTLLAGLGKEGKIREAYELLDCMALHGCAPNTITYNTLLDSLCKNGEVDTALTLLYQMTGPNCFPDVFSYNTVIFGLAKEKRVTEAFLLFHQMKKKMYPDCVTVYALLPILVKDGLVEDAVKIVDGFVNQALNRSDRSFWLQLTEGVLGEAELDHSISFAEKLASYHICRTDVIIVPVIRVLCKQKKALDAHDLFVKFKNKFGIRPTLRSYYPLVEGLLNVNLKELAWHLFKEMKNSACCAPDVYTYNLFLDELGKSGKVDELFELYEEMLHRGCKPVAITYNILISGLVKSNKVERAMDFYYDLVSVGFTPTPCTYGPLIDGLLKVKNFDKAKDFFEEMTDYGCRPNSTIYNILINGFGKAGDLKAACDLFNRMNKEGIRPDLKTYTILVDCLCSARKVDDALHYFEELKSAGLDPDLISYNLMINGLGKSGKMKEALHLLDEMKSRGITPNLYTYNTLIFNLGIVGMLEEAGRMYEELQQLGLEPDVFTYNALIRGYSKSGDPDADILGSSSEACESEFLNSALGLLSCCNFLLLESSRTPEADPDIGVTSADWTLLFGACRCVSKVLGAGLNLKVFRISF >Solyc11g007220.2.1 pep chromosome:SL3.0:11:1628519:1634611:-1 gene:Solyc11g007220.2 transcript:Solyc11g007220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKGINLALFVCLILSFSSSILAYRTPYWPSIKVGYYRYTCPYAEHIVQHVVNRAVSRNPGIAAGLIRLHFHDCFVRGCDASVLLDGPNSEKEGIPNKNSLRGFEVIDAAKAALEAACPGVVSCADILAFAARDSSYKVGRIYYDVQAGRRDGRVSIDSETLTNLPSPFVNATELIKSFASKGMSVDEMVTLSGAHSIGIAHCGVFASRLYPQNNQQSLPIDPEYADFLKSICPPEALTNGTGAANPANLDPLTPNRLDNRYYLALKSQKGLMISDQTLMANPTTSRLVNYNARFGSIWSRKFAAAMIHMGTIDVLTGRNGEIRRNCHFSQMDIKGINLALFACLILSFSSTILAYRTPYWPSIKVGYYRYTCPYAEHIVQHVVNRAVSRNPGIAAGLIRLHFHDCFVRGCDASVLLDGPNSEKEGIPNKNSLRGFEVIDAAKAALEAACPGVVSCADILAFAARDSSYKVGRIYYDVQAGRRDGRVSIDSETLTNLPSPFVNATELIKSFASKGMSVDEMVTLSGAHSIGIAHCGVFASRLYPQNNQQSLPIDPEYANFLKSICPPEALTNGTGAANPANLDPLTPNRLDNRYYLALKSQKGLMISDQTLMANPTTARLVNYNARFGSIWSRKFAAAMIHMGTIDVLTGRNGEIRRNCHFVN >Solyc12g044340.2.1 pep chromosome:SL3.0:12:60204205:60216535:-1 gene:Solyc12g044340.2 transcript:Solyc12g044340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDRLFECFKCGISPPQSAIRERKKGKQTVKQSDTLEKLSTSSDIFSSRSKGKVQNATDVELCLQNTSSSTTKIQSLKKASQLSPLVFYGSPHGVPPKRPARLLRLLNEIRVDFNEQHKLSQDIWATFPRQDEAMKYAKEKNDARVFSYQDHVNGQRRFLVSTYKEFWRRYKTMNPKFRHHYEVIQEGLPCHLYFDLEFNKRENPDKNEDEMVDLLIQVIFDAVKEKYSLEGNDDWVVELDSSNAEKFSRHLIIRFPKAAFKDNSHAGAFVTEICSRIISISEHDERLRKLFISKDSSSTNIPFQLFVDKAVYSRNRCFRLALSSKAGKSSVLLPSGRFKCKDMSEEEVFMASLICNMDADFEKLLICKMDLDCMKALQFDTESTRSFQRHSAVSSNFDLNACRSDDPSKTYLTGKSPFPYLDVFVESVASIGNIPGKIRSWYWFSEYALMVYSMSRNRFCERIGRQHKSNHVMYVVDLQRGAYYQKCYDPDCRGYRSPLRPVPDNVIPHSSISFNLPGHNMDEEQPTVTSDTSIFSSCKKEWWLEAVRVADSIENVQKALDLTEEETCEEDEWWMAVEETAYQIELSYFGKA >Solyc04g077520.3.1 pep chromosome:SL3.0:4:62563195:62564459:-1 gene:Solyc04g077520.3 transcript:Solyc04g077520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLDKSKLFCGGIPRDTSDEMLKDHFSKYGTVVSSVIAKDRETGRPRGFAFVSFTDPSAVDLALQDTHEILGRMVEVKKAIPRSEQHQNQLLNSGGLNRNSRTDGRSNDQFRTKKIFVGGLSASLNQEEFKSYFERFGNITDVVVMHDNVTHRPRGFGFITFDSEDAVEEVMQEPFHELSGKFVEVKKAIPKDGSSNSGNSYHSRIGNGNGSNYNNIYQQGMYPSYSPSYVYSPGPISGYGGVAEYPYGVRTFGGGYPIGAYPGVGYGITSLVPRGPWNNPTMTGFRGGPLSYGNAGGFYPAYINSGVGVMNMATNGYGGILGAGINEKLGQVSVRDARV >Solyc08g068460.1.1 pep chromosome:SL3.0:8:57685936:57687793:1 gene:Solyc08g068460.1 transcript:Solyc08g068460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKYYQALACLHKIEGLHQKTPPHVSAVNGRDPPPLEEETVKEVLSETPIPKPHHPSPPKVLIDDKVADFPQVKIESMAVVKPRDEIKFESAVVVKPRDEMKFESTVVMKPEVSEEPSEMCSFTESYSTTATTGRSAPSPEKRRSPASSRGVHGRGMPQQRRNVGPPNGPRRGPSENGVRRSNSPAKRGTVDAHRNVRNRSPAAGEAEKPGNQSPARNAENEGSSSKTEKPKEEVSPATGESLENPLVSMECFIFL >Solyc03g051880.1.1.1 pep chromosome:SL3.0:3:23053959:23054306:1 gene:Solyc03g051880.1 transcript:Solyc03g051880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEDGDIVGVIDPVLFLEPNHDNNYSIIELDHIRVCEATTSKYDVQNSSTMENRETTLEVEDDDKVDDIRSEEVMCRMLEDILDVDIPDEVDHIRSGEDLCRMLEDIVVDITDD >Solyc10g079520.1.1.1 pep chromosome:SL3.0:10:61184375:61185958:1 gene:Solyc10g079520.1 transcript:Solyc10g079520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLSSKGTMFSKEEGRSIRGDEIFPDEHVITIHEGSNSKSHEISGNEREWLNSLEKSRDYPGSLQNPKMQKVPKMHREIKSNVRCYEPLVVSIGPLHHGKPELQPMEKHKKLLAIQFTEEKRFEREELLWLSTNSVSLDELYRKVKDIIPVVKECYDEDSIKDYNDEEFAQMIFLDGCFILEYLHCIVTGRYKELKMKSHDIAFIRRDLFLLENQLPFEVLDVLMSCKLKNNVGMEMIKKFISSAHTKPTQRQGFIQSIKDLFVDFFSDQHPENYTCTEKAMNFLSKICGGECSALSKEKSTKTQLPAHLLELLKTNLINPKAFSEGGCYLRGDWCSYRSAMELRRAGIRFRPGKSRHLSDIKFTSFHCSALLTLPPITIDDSTKSQFLNLAAYEACPDTPDDFGITSYVSFMDSLIDHAEDVKELRSKGILLNFLGSDQEVADLFNELARDLVPNPHAFVDVKDKIEKHYNNKGKIWIAEWKNTHFNTPWTVFAFIAALFVIGLQVTDTFLAGIQTFYAVHPKKD >Solyc06g048660.1.1.1 pep chromosome:SL3.0:6:31521011:31521253:1 gene:Solyc06g048660.1 transcript:Solyc06g048660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSFSSFVPSSKAGHCKNNINHISTTFTFIFKQVAIQTKCNIFCYAILQPLTIIKPIRKKLPHFLPKLSFRKFLILHP >Solyc04g016570.1.1 pep chromosome:SL3.0:4:7414523:7414865:-1 gene:Solyc04g016570.1 transcript:Solyc04g016570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKYSEELNVGVRVVHMACSLCQKVQKGLLGKAFGDDGEVKSKDDDSLVTIAGNHIEMEDMCCSGIMIFTERDWLFVSLGI >Solyc01g066720.3.1 pep chromosome:SL3.0:1:74686904:74689353:-1 gene:Solyc01g066720.3 transcript:Solyc01g066720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENNSMESVRKWVVEHKLRTVGCLWLSGIAGSIAYNWSQPHMKTSVKIIHARLHAQALTLAALAGAAVVEYYDHSSGAKAERVAKFLQPQAHSHKE >Solyc09g005670.2.1 pep chromosome:SL3.0:9:452463:472449:-1 gene:Solyc09g005670.2 transcript:Solyc09g005670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTEQKLSKSSWTKVVVRKWLNMRSKSEEFHSDHIIDVMGGRGERRRKSCSDDGGCAVVPEELSESWLMERNRGIEEPMTSTHQNLRMFVGTWNVGGKSPNEELNLADWLNSSAPADIYVLGFQEIVPLNAGNVLGPEDSGPASKWLSLIRRTLNNDTNTPDLSHYYNNTPNCEPPSLDDLYHQQASPKPRVSFSDLERLNHDVSASPNCLSNRYYYNSSSQGQNGKYCLAASKQMVGLFLCIWTRKDLYQHITNLKVSCVGTGIMGYLGNKGSISISMTLDHKTFCFVCTHLASGEKEGDEIKRNSHVMEILKKTRFSDIEKSHILDHDKIIWLGDLNYRLASGCEDTYELVKNSDWETLLEKDQPLPHDYDVLQIRELHLKEMDLEEINIHDHGDKVVDENHKSKVKCKYCAKTVIGFYRLKFHLAGIRGNVTPCSEVPPLVKQAFYAQIMGKKSCQSSQEISKSIGRFFYESGLDFDAIRLPSFQMMFKATLSPGQTVKFPSCQDLKGWILQDAVHEMQLYVTEIRSSWPRTGCSILLDGWIDSNGRNLINILVYCPRGTIYLRSSDITSFYENPDAMLVFLEEILEEVGVENVVQIIAHSTSHWMIAAGEKLMDSCKTVFFSIDASRCMGLMLQNVTQIDWIGQALQKAKMLIQFIYSHTTTMKLLSDVFPGVELVKSSKVKAIVPFLTLQNIVSQKDVLIRMFQSSAWGTSQLASTSEGKRIAEMIEDASVWSNFGMAARVTIPLVEVIKYLNGTNKPQAGFISNRLYQAKEIIKMEFRSRQLWRHEETWNKIEETWKKYLHSDLHGAGYYLNPCYFYSSDWLGTAEITCGLCKTIDRIAGHIKGLITQQIKEFDFDGSREILPDISPAQWWLKYEVEYPELERFAVRILSQTCDGASHYRLKRRLVETLHTKGRSEIEQQRLKDLVFVHCNLQLQGFDPEGENDIAEDVVDAMDEWILGDRANVVSENSQCTWMDMELGSYKRKREVKNVKEEPEE >Solyc02g076860.3.1 pep chromosome:SL3.0:2:42548932:42550568:-1 gene:Solyc02g076860.3 transcript:Solyc02g076860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTIIFIASAICLFSLLGITQANEESDKYQFILEGMVYCDPCRSIFKTNLSEPVVDARVGMQCRHPETERVTITVTASTNSTGYYHMLIEGDHENEICETYLIKSPKEDCSEIPTEGHTRELSRVTLTSKNGIAGKNRESNPLFFLTKKVAPECQQEFKEMEYLPELKDINQA >Solyc07g045300.3.1 pep chromosome:SL3.0:7:58528723:58532232:-1 gene:Solyc07g045300.3 transcript:Solyc07g045300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAKRRRFWDEILSIILVLYCWILLHFSCNLNMLANSSLISSNFSTLLFLRNQKVATRISLANCDKIKKNPPKTQFLKNSISRFGVKGSLLYVCHSSLNLQNQQDPSMEKDLAGSTEENELGGGSNNGDQWDWTTSFVLFGLWSGLMYYVFFLAPNQTPLTDVYFLKKLLNLKGDDGFRMNEVLVGLWYIMGLWPLVYSMLLLPTARSSKGGIPVWPFLVLSCFGGAYVLIPYFALWKPPPPPVEETELQRLPLNLLESKLTAGITMAAGLGIIFYAGLSSGDVWKEFYQYFRESRFVHATSIDFSLLSAFAPFWIYNDMTARKWYDKGSWLLPLSVIPFLGPALYLLLRPSLPTVPALSSPTSTEEK >Solyc01g057830.3.1 pep chromosome:SL3.0:1:64030387:64035220:1 gene:Solyc01g057830.3 transcript:Solyc01g057830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTQQFVGLKCPPMSTTRLVNPSNYHHHQSKQKPRIVIQAAAVIANAQTRERLKLKEMFEDAYERCRTTPMEGVAFTVDDFHSALEKYDFDSEVGTKVKGTVFSVDANGALVDITAKSSAYLPLREASLHTIRHVEEAGIFPGLREEFVVVGENEADDSLVLSLRSIQYDLAWERCRQLQAEDVVIKGKVVGANKGGVVALVEGLRGFVPFSQISTKSTAEELLEKELPLKFVEVDEEQSRLVLSNRKAMADSQAQLGIGSVVLGSVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLNSDGILGPLTSELPEDGLDLSEIPPADDL >Solyc06g050630.3.1 pep chromosome:SL3.0:6:33449764:33452496:-1 gene:Solyc06g050630.3 transcript:Solyc06g050630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4C5K7] MSSSSSCQPKTLRIGIIGFGPFAQFLAKTMMKQGHCIHVTSRSDYSELCTDLGILFFRDMGAFLESDNEVIMISTSILSLSQVVESIPFNCLKRPTLFVDVLSVKEHPKDVLLRMMPRECDLLCTHPMFGPESGKDGWEDLTFMYDMVRIRDQPLCSSFLHIFSSEGCKMLEMTCEKHDRLAAQSQFLTHTIGRILSEMEVEPTPIDTKGFQKLVQVKESSVKDSFDLFSGLFIHNRFARQQMKNLEVALEKTKEKLQERSKELQDPIISKF >Solyc11g013890.1.1.1 pep chromosome:SL3.0:11:7315081:7316232:1 gene:Solyc11g013890.1 transcript:Solyc11g013890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEATLSLMDLPSPILLRILSTLPPTTLLYVKTLSKTLLNLTLDSEFLKLSRSSSPAIIIIHQFNSNRTNTLKFLKFVVDYNFDHDPIGDVDLQLHFPVVQFFLVGSVHGFVCFNYFFDSVDSIYILNPTTREYIVLREPQRVRKWPNIVRYGFGFDPVKLEYKVVRIYQEEIRDDDTNGSRYYKSEAQVYTIGKGYWRSCAGHVMFWFGCWVEGVNLYGKIHWLVYDANRNELICSFNLENELFESFPTAPGYNKEICPNLRSLGGFGRCLCVCDNNADTHFEVWVMKEYGVTDSWVKQIVINITPECNNYWLCYEMINLVIVLDDGEVLFLWRDDFLFLHHPVKNTLKRVDVCDGNFVASVYVSNSLSLKNFKAEVVNIF >Solyc03g116070.1.1.1 pep chromosome:SL3.0:3:67069675:67069926:-1 gene:Solyc03g116070.1 transcript:Solyc03g116070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKRQVVVRRISSGSSTIRNVRYVECQRNHAANIGGYAVDGCREFMATGDDGTAALTCAACGCHRNFHRREVDGGEVVSESS >Solyc04g015300.3.1 pep chromosome:SL3.0:4:5497883:5508960:-1 gene:Solyc04g015300.3 transcript:Solyc04g015300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQFINFVIRPPRADYNPDQFLWEKEFSLGGRKYKREDFELKNERGHTLRCSHYTPSSFPDGAPLPCVIYCHGNSGCRADANEAAVILLSSNITVVTLDFSGSGLSDGNYVSLGWHEKDDLKVVVSHLRSNLKVSRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFSNLFDLMMELVDVYKIRLPKFTIKVAVQYMRHVIQKKAKFDIMRLNCLQVSPKTYIPALFGHAKDDKFVQPHHSDLIYKSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFYNVLHPPGISPTKSKIEKYYDLGDIKVGAGMDENLLYEIIATLRNVTADTASSSSVPPSISTAKSAGELLADIAPIASLNDPFINGGEELNGHATPQTEDKQSGENEDCYSCTSSNRESWGRCSSLGSDDEITTDFVDADSGNQSTVDVLVTPLRDNQHTALDSSKDDGKKKAAKITKKSKREKFEKLEALSQRLRLCFQKRINHRRYSSS >Solyc05g050590.3.1 pep chromosome:SL3.0:5:61593849:61596808:-1 gene:Solyc05g050590.3 transcript:Solyc05g050590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVVAISPICIKNMVIPLVHGQESILIYVAMSGSLMPLRVLEYDSIESVKVQIQSCKGFVVKNQKLVCGGRELARKDSLIRDYGVSNGNVLHLVLRLSDLQVINVTTSSGEEFTFNVERSRDVGYVKRQLAEKQVGLGDIDEQEVLCRGEYLEDRRIIYDLCKNNDGVIHLFVRKNAKIRARPLDKNFELSIVAPHQNDVVRENRSGTETDHKVLVPRKPPDREIYLEPVIVNPRIEIPVVLRDMIVSAFEGLDRGNYPIRSTEGTGGAYFMRDASGNKFVAVFKPIDEEPMAVNNPQGLPLSVNGEGLKKGTKVGEGGFRECAAYILDHPKSGRRSLSGELKGFAGVPPTTFVRCLHKGFNHPDGVTVKLGSLQKFIENNGNCEDLGPSSFPVEEVHKVAVLDMRLANADRHAGNILMSKGEDGQIELIPIDHGYCLPDSFEDVTFDWLYWPQAHQPFSSETIEYIKSLDAEEDIGLLKLYGWDIPLESARTLRISTMLLKKGAERGLTPFTIGNIMCRETLNKESMIEEILQEALDSKPLGSSEDSFLVSVSHVMDRRLDEIA >Solyc09g064865.1.1 pep chromosome:SL3.0:9:62602844:62607591:-1 gene:Solyc09g064865.1 transcript:Solyc09g064865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSRTGNGLFLHQSKYARDLLQKAGLEKCPSQPTPMAVSSSTNGADTPFADITHFRSLIGALQYLDITHLDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFGTLDRGLLIRSGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISRCTKKQPKGVHLCRTRLGLMFIPDIPHICASLEQNPCVTLSCTLREKLMQIQLITVPPLTIFLFIMFHWPTCGKQSFSQPLWPVVMLRQEHP >Solyc02g064850.2.1 pep chromosome:SL3.0:2:36540451:36542771:-1 gene:Solyc02g064850.2 transcript:Solyc02g064850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVWLFSPLTISLLLFLVFKLVSLTLNKHNLPPSPALKFPIIGHLYILKQHIHRTLENLSEKHGPIFSLQLGKRLVVVVSSPSSVKECFTKNDVALADRPPLMIGGYNCTTIIDSCYGDHWRNLRRICALEILSVTSLNKSKNIRQFEVKFLLNNLFQYCNDFGTIFELKSKFTELSFNVIMRMVSGDGFFDQDKGKAAYFRELIDEFFSNGGASNVDDFLPVPFGWIYKFIKKNALTQLGKKLDEFLQDLIDEYRGVENQNTMIDNLLSLQESQPDYYTDDIIKGIILVIVIGGTDTTAVTIEWAMTHLLNHPEVLNKVKIEIDNHVGYDRLVNESDLPNLKYLQSIISETFRLSPAAPMLIPHQSADDTKIGGFDVPRGTILLVNAWAVHRDPFVWADDPGSFRPERFEGIDVKPWELLPFGMGRRICPGAGLAQRVIALTVGSLVQCFEWEMASKDSDIAEGSGFTLAKAEPLAAKCKARDIAYALL >Solyc06g049040.3.1 pep chromosome:SL3.0:6:32399501:32403588:1 gene:Solyc06g049040.3 transcript:Solyc06g049040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSNPNSIKLPTTTFSAAFRPSHHRRAHSEVNFRLPEDLDLVSDPFDAPAGSFEEIGSEDDFFSTYMDIEKLASGSNLTDIDAAAARVGVESSDGEKKPRHRHSNSVDSSSLLMTESIEAKKAMAPDKLAELWTIDPKRAKRILANRQSAARSKERKTRYISELERKVQTLQAEATTLSTQLTLFQRDTTGLTNENTELKLRLQAMEQQAQLRDALNEALKQEVERLKIATGQISASSDAYSSGMQQIQYNRPAFFPHQTQPGPSESHNIQMSQFHHLQDSMSNPRHPLLSGNVQALADAMQQDPVGRFQGLDISSRGSHLVKSEAPSISASESSTTF >Solyc08g008670.3.1 pep chromosome:SL3.0:8:3029598:3033857:-1 gene:Solyc08g008670.3 transcript:Solyc08g008670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase [Source:UniProtKB/TrEMBL;Acc:K4CJ01] MAARKAGLIALFDVDGTLTAPRKESTPQMLKFMQELRKVVTVGVVGGSDLVKISEQLGNTVTNDYDYVFSENGLVAHKDGKLIGKQSLKSHLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKAQKIRETMVSVLREKFAHFNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFNEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPEETLKQCSVLFLGKDNGSS >Solyc02g021680.3.1 pep chromosome:SL3.0:2:23983116:23986039:1 gene:Solyc02g021680.3 transcript:Solyc02g021680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNYQGDLADIFRGGNNNTTSGESSSTNNTIIPIPDGWTQLPYSATEEPTCVQDFGDPFCNLRDPLFHDLDMLQATPTEDNNNNSVFGDSRKRGNNMFSRMLQISPTNKLAMSVQNHDAMNSSKTCSIQNSALQISSPRNTGIKRRKSQAKKVVCIPAAAPANSRQGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPNNSKHTIIPNNPQSQTDTDDQQKDNAVSISKVKEEVAEEEAVHNHKLVEMRDDEEFSKDSYQPIMLPDSSNQCHEDFFADLVELEADPLNLLFAKTLSGDINDSRPKEDIESFNMYDWSKDGNTSNNKTDTL >Solyc04g005130.3.1 pep chromosome:SL3.0:4:85984:92094:-1 gene:Solyc04g005130.3 transcript:Solyc04g005130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHSTIMSAFQTATNLAEIWPYHHLLDHTTNHAATKRRDDDESAIAVSTSGNALTESDSKRLKATRSNENGEYSGGNSGKSSDQPAKPPAEPPKDYIHVRARRGQATDSHSLAERARREKISDRMKILQDLVPGCNKVIGKALVLDEIINYVQSLQRQVEFLSMKLEAVNTRVTPTIEGIPTKDFGQQTFETNAMAFGSQGTREYAGGTSPDWLHMQIGGGFERTT >Solyc10g012410.3.1 pep chromosome:SL3.0:10:5050216:5054272:-1 gene:Solyc10g012410.3 transcript:Solyc10g012410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLYALDFDGVLCDSCGESSLSALKAAKVRWPSLFNGVDSSLEDWIVDQMHVVRPVVETGYENLLLVRLLLESIIPSIRKSSVAEGLTVEGILENWSKIKPIIMAEWNEDRDFLIDLFGKVRDEWMDNDLATWIGANRFYPGVPDALKFASSKLYIVTTKQSRFADALLKGIAGITIPAERIYGLGTGPKVKVLKQLQEMPEHQGLKLHFVEDRLATLKNVIKESELDGWNLYLGDWGYNTQKEREEAAGISRIRVLELSDFSNKLK >Solyc10g037960.2.1 pep chromosome:SL3.0:10:18154317:18160263:1 gene:Solyc10g037960.2 transcript:Solyc10g037960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRSTIPTNKGNIVPIQLAGQNHQTCGFSLPPHGIPYAQSRNFSALRIPLQPGQQVSANIARFFGETNRRGFSSEIDVFALLHLFMTDSSFQGPHLLAPTGSDLRRYGKEGTVFAGYHYDLNFLTIHGRSRFPGLSIWLRNGQKMEVKVPVGCLLIQAGKQIEWLTAGECMAGMHEVVVTNRTTDAIKRALEQNCCLWRVSSTLFAHIASDAVLKPLGHFSESSLASKYPPICAGEYVEQELAVINLKGNKGA >Solyc03g063040.3.1 pep chromosome:SL3.0:3:35261206:35262531:1 gene:Solyc03g063040.3 transcript:Solyc03g063040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYENLDNFMLAAAKVISFNLRHFCQKILFFVFSLISYMQFSKVISLEKKMSSLIRCTFALTLSPVAMSLEELLPSKHTKSHLYPILIRTALTISTVVVGLAVPFFDSNTTLCLLPENLEGENKPPSGYHMCLNYHSRYCICIGRFLFSSLQDHPEYDINFGNTMAPDVFELRVR >Solyc09g075470.2.1 pep chromosome:SL3.0:9:67597456:67598954:-1 gene:Solyc09g075470.2 transcript:Solyc09g075470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVSNNNGVSCYYDVLGICKQASQSEIRCAYRKLALKWHPDRWMKKDPCIMGEAKHRFQQVQEAYSVLSEKRKKSLYDTKLLQLMGDDSDDDKEFCEFMQEMITMLELRNLREKTHWRNFKSSF >Solyc07g032490.3.1 pep chromosome:SL3.0:7:39531442:39534676:-1 gene:Solyc07g032490.3 transcript:Solyc07g032490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDAVDYKGFPADKSKTGGWVPATLILGIEIVERLSTMGIAVNLVTYLGGTMHLASSNSANIVTDFMGTSFLLCLLGGFLADSFLGRYKTIALFALIQTLGTGMLTVATTLPQLRPPPCNLNCQPANGFQMGILYLALYLIALGTGGLKSSVSVFGTDQFDEKDDKEKAQMTYFFNRFFFFISLGTLTAVTVLVYIQDEVGRIWAYGVCSLSMFIAIIIFLLGSKRYRYKKSAGSPIVHIFQVIVAAIRKRKLDLPYDAAMLYESTSTPEASRIQHTQEFRILDKAAIVTEGDFEEHASCSAPNPWKLSSVTRVEEVKMMAKLLPIWATTIIFWTTYAQMITFSVEQASTMERTIGNFQIPAGSLTVFFVAAILITLALYDRIIMPLWKKWKGKPGFTNLQRIAIGLVLSVIGMAAAALAEQKRLTVAKSAGRNTSTLPISVFLLIPQFFFVGAGEAFIYTGQLDFFITQSPKGMKTMSTGLFLTTLSLGFFISSFLVSVIKKATKTNATAQGWLADNINYGRLDLFYWLLAALGVINFAIYLNSATWYKPRKPKSPIPNGYGAQDKC >Solyc10g008340.3.1 pep chromosome:SL3.0:10:2458576:2463215:-1 gene:Solyc10g008340.3 transcript:Solyc10g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSSGRPSNSSGSKGFDFGSDDILCSYEDYPHQDASNGTLSDPAIATSSAKLLLYSLRLLDEFHKNRMTRSSMFPTSTYSPPEESSFNQDMICTVEKTMKKYTDNLMRFLEGISSRLSQLELYCYNLDKSIGEMRSDLVRDHGEADLKLKALEKHVQEVHRSVQILRDKQELAETQKELAKLQFAPKEPASANNSQQNEDRNAQPVSDSNKGDNSTDVNGQQLALALPHQVAPRAPLTNQPVEQPQQAPPPPIPSQSMTQSQGYYLPPVQMSNPPAPTHLSQGQYLSSDPQYRTSQMQDLSRLPPQPAAPPGNQTPQIQSMPQYQQQQWAQQVPQQVQASQQHQLPSVQQQARPSSPAVYPSYPPSQPNSSPEPVPNSMPMQVSFSTIPQSVSSRPEAIPYGYDRSGRPLQSQPPTQHLKPSFGAPGDGYAASGPHPSLSAGNAYLMYDSEGPRGHPPQPPNFPQSGYPPSSFPPQNAQSSPSPNHMFRPPQLMRTHPYNELIEKLASMGYRGDHVVNVIQRLEESGQTVDFNTVLDRLNGHSSGGPQRGWSG >Solyc03g044690.1.1 pep chromosome:SL3.0:3:10373390:10374499:1 gene:Solyc03g044690.1 transcript:Solyc03g044690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSTFIQSLTKLVIVYSIIYSSYFDTYHLKIVIVAHNVNDQKYSTRTHNVSSINKKFVFEIGMKFVSEEYSCNAYNSYDVAKGFEIRKGPKTHNRNKEMTRKRQRLEYRCGCLAHIKFKISNVIWEVCEFSDEHSHPMIEYNLRHFIQSGRKLTNSTKNILGSMLDAGIRTKKVVRYLQYEEGGIENAGFIEQDAHKFVQAHKRNMISSGDAQTLINHFRHLHSEDSKFFYSFQVDEDGRLCNFFWRDSISRLHYQCFGDVTIFDTTYRTNRYNMICSPFVGVNNHWKNVFFLCAFSCNETTDSFVCLFQTFLKGMGGKTPKTIFKDQDHAMAAVIRQVFPKHISSIV >Solyc07g054160.3.1 pep chromosome:SL3.0:7:62646402:62650257:1 gene:Solyc07g054160.3 transcript:Solyc07g054160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMARSCMQSLLKLVNSVIGMVGIAMIMYALWMFRVWHKQIGPYPPPFFGPDVPAPWFIYATLGLGITLSIVTCSGHIAAETANGCCLYIYMVFVFLLLMIEAAVTVDVFLNRNWQEDFPEDTTGNFDELKHFVKDNFDICKWIGLSVVTVQGLTILFAMILKALGPHQERCYYESDDDYIPDRVPLLKSYVPSSSYVVGDPMYGPKNDAWNIRINSKAAR >Solyc10g086025.1.1 pep chromosome:SL3.0:10:65151800:65152967:-1 gene:Solyc10g086025.1 transcript:Solyc10g086025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGLCYYFVDVAMHHFDLVDASIEKMKNMAKDVEVNGFNPGLIVLLVIEGRESLARHTSSKAIRVATSSEFDVRKKILGEHNSIPSLV >Solyc03g093200.3.1 pep chromosome:SL3.0:3:55818165:55824621:1 gene:Solyc03g093200.3 transcript:Solyc03g093200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGNASRYVKLTKDQAPREDIKPGELNQPIDVPQLTGRKCNECGQPLPESFEPPADEPWTTGIFGCAEDKDSCWRGLFCPCVLFGQNVERLRDDDTPWSTPCVCHAIFVEGGIAVAAATAAFHGIDPRTSFLICEGLLFSWWMCGIYTGLVRQSLQKKYHLKNSPCDPCMVHCCLHWCALCQEHREMKSRLSDNVAMQMTIVNPPPVQEMSAAADNRESGPSSANGVNQTNLEMQAL >Solyc04g015830.3.1 pep chromosome:SL3.0:4:6171638:6187284:1 gene:Solyc04g015830.3 transcript:Solyc04g015830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSVKTLDPAFQGAGQRVGTEIWRIEDFQPVPLPKSDYGKFYSGDSYIILQTTSGKGGAYLYDIHFWLGKNTSQDEAGTAAIKTVELDVVLGGRAVQYREVQGHETDKFLSYFKPCIIPLEGGVASGFKKPEEEEFETKLYICKGKRVVRMKQVPFSRSSLNHDDVFILDTKDKIYQFNGANSNIQERAKALEVIQFLKEKYHEGTCDVAIVDDGNLQAESDSGSFWVLFGGFAPISKKVITEDDIVPEKTPPKLSSITDGQVSPVDGELSKSSLENNKCYLLDCGAEVFVWIGRVTQLEERKAAIQTAEEYLVSENRPKATRVTRVIQGYETHSFKSNFDSWPSGSAPAPEEGRGKVAALLKQQGAGVKGASKSAPVIEEVPPLLEEGGKLEVWRINGNAKTPVPKEDIGKFYSGDCYVVLYNYHSHERRDDYYLCWWIGKDSIEEDQITAARLASTMCNSLKGRPVLGRVFQGKEPPQFVAIFQPMLVLKGGLSTGYKNYIADKGLNDETYTADSVALIRLSGTSVHNNKAVQVDAVPSSLNSNECFLLQSGSSLFSWHGNQSSYEQQQLAAKVAEFLKPGATVKHTKEGTESSAFWFALGGKQSYTSKKVAPEVSRDPHLFAYSFNKGKFEVEEIYNFAQDDLLTEDVLLLDTHAEVFVWVGQSADSKEKQSAFEIGQKYVEMAASLEGLSPNVPLYKITEGNEPCFFTTFFSWDPAKASAHGNSFQKKVMLLFGVGHASENQQRSNGAGGPTQRASALAALNSAFSSPSPPKSGSAPRPAGASQASSQRAAAIAALSNVLTAEKKQSSESGSPVQSNRSSPVRSSRSSPVRSVDSGPAESDLSTAEVQDSEKVSEPKEIVEPAETNGSEPEQDEGGNESGQAIFSYEQLKAKSDNPVTGIDFKRREAYLSDEEFMSVLGMKKEAFYKLPKWKQDMHKRKTDLF >Solyc08g044600.1.1.1 pep chromosome:SL3.0:8:19212757:19213173:1 gene:Solyc08g044600.1 transcript:Solyc08g044600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLCTCTFFEKLVFGLFWIWDITLTIIAINVLDDESPPPFTYICIMEYPDLYYIVRPQGCCCTSRCFAFAQCACASLNRSSFPFNPTNSILKAKPIVNECGLYFKCHQSCKNYPRWSSLPFEGFQNQSKGWVLRSSD >Solyc10g086720.2.1 pep chromosome:SL3.0:10:65596964:65599481:1 gene:Solyc10g086720.2 transcript:Solyc10g086720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTLTSWLLKQEQDGVIDAIVLSRISTACKLIASLVQRAGISKLTGEEQKKLDIVSNEVFSNCMRASGRTGIIASEEEHVPVAVEESYYGNYIVVFDPLDGSSNIDAAVSTGSIFGIYTPNDDCLLDLEDSTTLDNVEQRCIANVCQPGNNLLAAGYCMYSSSVIFVLTLGNGVYSFTLDPMFGEFILTQKNIQVANAGKIYAFNEGNYQLWDDRLKKYIDHLKDPGPIGKPYSARDKKSRNGKLRLLYECAPMSFIVKQAGGKGSDGQQRILDIQPVEIHQRVPLYIGSIEEVEILEKYFS >Solyc10g078430.2.1 pep chromosome:SL3.0:10:60378437:60387340:1 gene:Solyc10g078430.2 transcript:Solyc10g078430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNNLEDGGKNSSEVSRGGNGRGGGGTRSWGTTVSGQSVSTSSSIGSPSSRSEAAMATPASDNTFLRLNNLDIHADDAGSQGTAGKKKRAQRATGGDKSGRGLRQFSMKVCEKVESKGRTTYNEVADELVAEFSDATNSVAGSDQKQYDEKNIRRRVYDALNVLMAMDIISKDKKEIQWKGLPRTDANDIEELKTERLNLRNRIEKKAAYLEELEDQYVGLQNLIKRNDQLYGSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYILKAMNFCGRSNDGSVPQNVSADGGEGPSSSMANMFQHHIPNPSAPNSSGRLPTSPPLPGILKARVKHEH >Solyc05g025890.2.1 pep chromosome:SL3.0:5:37246302:37248346:-1 gene:Solyc05g025890.2 transcript:Solyc05g025890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMATCSGYLTNSFCKRAIHESISAFLIMSARSSNLNSSSKGKKQVFRELRYAIPQSETESTCKSNLHCDRLDEKVLDQENRHQFMAHEAVLDEEYWRAAWLRAESHWENRQNDRFVNNYKRKYAEQEFNALKRRCKSQIGQRCTCIVAVRAEDKIIRHTVLNSVVGTLDLIIGHLSSGESFPGGRVNAPDLSNIERSSINRYGYIANLCVLKSSRRKGVARNMLNFAIRLAKEYGAEKVFVHVHTNNGPAQKLYQNVGFQVVEVKNHKLAEEQPHLLFLRA >Solyc11g068690.2.1 pep chromosome:SL3.0:11:53618222:53624338:1 gene:Solyc11g068690.2 transcript:Solyc11g068690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSSKQVLKDNNTTDPSSITSLTLTHKALSDVSCLSEFENLQKLDLGFNNLTSLEGLKLCVNLKWLSVLQNKLQSLKGIEGLVKLTVLNAGKNKLKSMDEVSGLVNLRALILNDNDIVSICKLDKMKELNTLVLSRNPISGIGQSLAKINSITKLSLSNCQLQGVDSSLKSCTELKELRLAHNDIKTLPSELAFNVKLQNLDIGNNVIIKWSDLKVLSSLVNLKNLNLQGNPIAEKEDLAKKIKKQVPSLQILNAKPIEKAMKKEEGGRGDDENESGDLDIARVRDSKEERKLKKKKSNGPMEEGLDDHHEESTFLEKDKVKKSNKFSKNGKNVADIAETLRTYPDEHKESKLKNEKINEFDKASGRKRKELVQAGKTTNFDTEVPPIELDKGEERKHKKQKKSEALKEKTSNVEDKEPAKKSSKKAKQNKASAIDDGETPFADLFISDLSNPLTGNRKVSNHNTHQQNVDAAAGLATFPKKKKQKKNIVTGAAAVQFSSASDEIGLGGASTWDD >Solyc02g090665.1.1 pep chromosome:SL3.0:2:52836945:52837239:-1 gene:Solyc02g090665.1 transcript:Solyc02g090665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYGERITVLSIDGGGVRGIIPGTILSFLESKLQELDGQEARLADYFDIIIAGSSTGGLMATMLTTWPSSLCC >Solyc01g006560.3.1 pep chromosome:SL3.0:1:1128398:1132896:-1 gene:Solyc01g006560.3 transcript:Solyc01g006560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEEAVQQKKLFILDYHDLLLPFVNKVNELKGTVLYGSRTLFYLTPNGTLRPLAIELTRPPVDDKPQWKQVYCPTWYATGAWLWRIAKAHVLAHDSGYHQLVSHWLRTHCCTEPYIIASNRQLSAMHPIYRLLFPHFRYTMEINGTAREALINANGVIESSFSPGKYSMELSSVAYDLEWRFDREALPEDLISRGLAEEDPNAPYGLRLTIEDYPFASDGLVLWDILKQWVTNYVNHYYPQANLIECDEELQAWWLEIKNVGHGDKKDEPWWPELNTPNDLIGIVTTIIWVTSGHHAAVNFGQYSYAGYFPNRPTIARSKMPTEDPTDEEWEDFLNKPEEALLKCFPSQLQATKVIAVLDVLSNHSPDEEYIGTNIEPFWKDEPVINAAFEVFSGKLKELEGIIDARNADCNLKNRNGAGVMPYELLKPFSEPGITGKGVPYSISI >Solyc12g043030.2.1 pep chromosome:SL3.0:12:59652745:59658617:1 gene:Solyc12g043030.2 transcript:Solyc12g043030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSNRVEDLSTHACNEEGFELPISNHHDVAPLEVHRVCLPPHKTTLEKLRHRLLEVFFPDDPLHKFKNQTCLMKLYLGLQFFFPVFEWGPQYNLKLLRPDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSVLGSSRHLAVGPVSIASLVMGTMLSEVVSYTEQPILYLQLAFTATLFAGVFQASLGFFRLGFIIDFLSKATLVGFMAGAAVIVSLQQLKGLLGMVHFTSKMQIVPVLSSAFQHKDEWSWQTIVMGICFLAFLLTTRQISTRNPKFFWLSAASPLASVVLSTLVVACLKSKAHGIQTIGHLPKGLNPPSMNMLYLSGPYLPLAIKTGIVSGILALTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSSCYVTTGSFSRSAVNYNAGAQTVVSNIIMATAVLITLLFLMPLFYYTPIVILAAIIITAVIGLIDYQAALRLWKVDKLDFLACLCSFFGVLFISVPLGLAIAVSIPSKVSHFKSF >Solyc10g017620.3.1 pep chromosome:SL3.0:10:5562846:5566260:1 gene:Solyc10g017620.3 transcript:Solyc10g017620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSKKEWKMACCFPVILDRSMRSSFKLSKSSSSSKLDKSKSSICSPTSLSTKKKSIQENDNLVVCFGELLIDFVPTVSGVSLAEAPGFKKAPGGAPANVAVGIARLGGSSAFIGKVGADEFGYMLADILKQNNVDNSGMRFDTHARTALAFVTLKSDGEREFMFFRNPSADMLLTEAELDKNLIQKARIFHYGSISLIAEPCRSAHLAAMETAKNAGCILSYDPNLRLPLWPSEEAAREGILSIWDQADIIKVSEDEITFLTNGEDAYDDNVVMTKLFHSNLKLLLVTEGGDGCRYYTNNFHGRVSGVKVAAVDTTGAGDAFVGGLLNSMASDPDIYMDEKKLRDALLFANGCGAITVTEKGAIPALPTKEAVLKILDGATAN >Solyc01g050020.2.1.1 pep chromosome:SL3.0:1:47954219:47954488:1 gene:Solyc01g050020.2 transcript:Solyc01g050020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPRGWFTFGHASFALLFFFGHIWHGARTLFKVVFSSIDQDLNAQVEFGAFQKLGDPTTKSLEHTQWSPGLPKAINLSSGGRESCKGGAT >Solyc04g074160.2.1 pep chromosome:SL3.0:4:60235132:60237851:1 gene:Solyc04g074160.2 transcript:Solyc04g074160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGNILIYSTPNNKVGVTITCKENFLQAFTRRINIVEVISPEDLFLLDFCMELDLQSCARLLNTVNSNQSLPNGKQLHLVFLKRGILNSALTIANRLLQMYTRCGQMADAQLLFDEMSQRNCFTWNTMIEGYMKWGKMNNSLDLFRLMPSKNEFSWNVVILGLVKAEELGVARRLLSEMPRKNEVVWNGLIHGYAKMGFPGVALCLFKEFIDWDFREMGGASHIDSFVLATALGACADTRSADLGKQIHARIIVNEVEVDSVLASSLVNMYGKGGDLDNANYILNRMQNPDNFSLSALISAYSKRGRMDDARKIFNLITDPSIVLWNSMISGFVSCDEVLEALLLFGEMHREGVIGDSSTLASVLNACASAYALKNCLQVHVYGFKLGLLDDLVVASALIDTYAKCGCPDEASNVFNELKTQDTILLNSMITIYFNCNRIEDARQLFESMPYKSLISWNSMIIGLNQNGCPVEALDLFYRMNRMDFRMDKFSFSSVISACASIASVELGEQIFARVVIIGLDGDQIITTSLIDFYCKCGFVSDARKLFDQMMKSDEVSWNSMLMGYATNGYGNEALNLFHEMRSVGVSPTNITFIGVLSACDHCGLLEEGKRWFYSMNYDYHIDPGIEHYSCMVDLYARAGCLEEAVNLIKKMPFEADSSMWLSILRGCVAHGNKILGQLVAQRIIELDPENSGAFVQLSNIFATSEDWERSALVRRLMIEKKIHKSSGRSWILQQLEKRLILFLATHFNSSCNNGFLLILLHYFVSTAQLKCFVRISSLFLRCLDLGQKRYLCLSDYQVLGIVWMQK >Solyc01g056580.3.1 pep chromosome:SL3.0:1:54885561:54888750:1 gene:Solyc01g056580.3 transcript:Solyc01g056580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHTTKEGYTSMVRRFISKVTLLASGGAGHIYPTTTNPPTSVSSIDGPPLIIFWMVDRFVLFHPTALADEGLPIRPSNARENAFLITEAVRGDGGILYNLDMERFMPSYDERAELAPRDVVARSIDDQLKKRGEEYVLDISHKPKEKILSHFPNIAAECLRYGLDITQQPIPVVPAAHYMCGGVRAGLEGETNVRGLYVAGEVACTGLHGANRLASNSLAEELVFARRAVQPSIDHMNLSKIGHSASNWWQRPVAPLLLGDTVVNKVIHQTREVRKELQSIMWEYVGIVRSTSRLTLAETRIIELELKWEQYLFQHGWEPTMVGLEACEMRNLFCCAKLVVSSALSRHESRGLHYTIDFPHVDESKRLPTVIFPS >Solyc04g050560.3.1 pep chromosome:SL3.0:4:47521773:47524163:1 gene:Solyc04g050560.3 transcript:Solyc04g050560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWHHSNLRHGTAGYIFDTVLAPAFGLTLNPQAAAEAEKVLLASLANIESVWIQKKGRLLLGSGQPSIADLSLVRELMELESILGQMKAVSGSWFLRRHSIRNFDM >Solyc09g008700.2.1 pep chromosome:SL3.0:9:2134308:2147652:-1 gene:Solyc09g008700.2 transcript:Solyc09g008700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPRKNQVSLRGSSAKEISRDVLLEKVSQERALRNFTRRATSAARLIQRAWHRYHVKKRIALEFQQQWESLINSHLSPLKKSSISSQVLRPFIFFTTFLLARYPRIQPREKDCIRSCFGVILESINSTNPNENFCSMATGTAEERKVWNYQAKKLITICLFILTEYDNSCHKSNDELLASLAMRLAVILTDVKGWKCISNTNIQGALMAVRDLVQFMGSIKSGLYNSVRRYICKLETPSSVQVTLSSQTDEKLLITASAITLALRPFHVVNLVADDTNDLLEVQSAAEQYCIYLLTIPWFAQRLPVVLIPPLKHKSVLTPCLRILLMSKEKILKEMSDMDQMTSSSHNRVMPPVGWALGNFIYLAAGSESNNLDSGKLVSGLDRQSYVHVVIMLTEKLLYQIESAGWVRKENQEVQGDGNSVEVETTFGSLKMSYMSLFKPVWLQRHLMELLVLEKDGLIQKAESLPLCGAESSGSFELLDVAYYYSWMLRVFSILNPVLGAMPVLNMLSFTPGFLSNLWATLDELLFQGKNLVSKGKYLDESTISENRILEASERKQKHSSKDIGSKWASVFLKITGKSQTEFRSVDPVDGKSKAVHIDKHYSDMWDIELLRQGPDGLSKDLSCLLHLFCASYSHLLLVLDDLEFYEKQVPFTLEQQQKIVSVLNTLVYNTISHSTGPKSRPLTDSAIKCLHLLYERDCRHQFCPPTLWLSPGRNNRPPIAVAARTHEVLSATSNGDDASTTLSMGSIITVIPHIFPFEERVEMFREFINMDKASRKMAGEVLGPGGRSVEIVIRRGHIIEDGFQQLNNLGSRLKSGIHVSFVNESGLPEAGLDYGGLSKEFLTEIAKAAFSPEYGLFTQTLTSDRHLIPNTAARFLDNGIQMIEFLGRIVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNLMYVKHYDGDVKDLALDFTVMEESLGKHIVIELKPGGKDISVTKENMLQYVHAMADFKLNRQILPFSNAFYRGLTDLISPSWLKLFNASEFNQLLSGGNHDIDIDDLRKNTRYTGGYTEGSRTVKLFWEVFASFEPKERCLLLKFVTSCSRAPLLGFKYLQPTFTIHKVSCDLPLLATFGGQDVDRLPSASTCYNTLKVRFQHTNAKIP >Solyc05g024173.1.1 pep chromosome:SL3.0:5:30607298:30607855:-1 gene:Solyc05g024173.1 transcript:Solyc05g024173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWELERNFVDPNSSIGDKFGVGVPGSTSTHLNLNVEGIILADGSTIHSSNTFEYSNMLFVIWRESWWLMCSSSCVRPSRCAGSDFLGRFPRISQMIKASVLCLIASISSFNILLNCSR >Solyc04g074680.1.1.1 pep chromosome:SL3.0:4:60686311:60686808:-1 gene:Solyc04g074680.1 transcript:Solyc04g074680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNRRRFFKNFRDHQMQINKSKSKSKSIIFCKKHPKHKQNPGVCSVCLSEKLSLLSKTSTSNTTIVTSSCCSSSSSLSSLSSSSDVSSCSSPNTNVLMKSRSLALIMRRKEGGIILEDNSIGKKKKEGFLSKLLNPKRNRKDEVLAHSRTMRERVITRFRISAA >Solyc01g057350.1.1 pep chromosome:SL3.0:1:60561053:60563844:-1 gene:Solyc01g057350.1 transcript:Solyc01g057350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKMALKGRNHFKCNTNNNKTSKEVKMAPRGRIYKRNTFTRETVSATHSINYPNKCTFAITTDNISRIINGQRKTRGEGLNKIKRYLEKNVVVEIPNGKWRSVKAIQSAKLSNHLGIVAQYFLTLPNQGKELKIEEKDAASQTEIDEQNPKRLNPIELGSIKTLIIQVKKVGHLKKITMSMIESLL >Solyc03g044910.1.1.1 pep chromosome:SL3.0:3:10920414:10921277:1 gene:Solyc03g044910.1 transcript:Solyc03g044910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETTMIQTLRYYLSEHPSIVGFRWTDSQSWGNTWVFLFISISAYIILSVFLHLFLLLVFRHRRPLPLGPIPAVHSLSMALISFTIFAGILLSAAAEIRDTRWFWRRYKTTAFQWLLCFPIGTRPSGRVFFWSYIFYLSRFVHSLRTFFTILRRRKLSFYQLFNHSILIFMSFLWLEFSQSFQVLGIILTTSIYSVVYGYRFWTAVGLPSKCFHFVNHCQIVLLSCNVICHVGVLLLHLLRGGCNGIGAWILNSVLNAAILFFFLNYYVKLHLEKKRKIGADKQKDN >Solyc07g019510.3.1.1 pep chromosome:SL3.0:7:11844950:11845924:-1 gene:Solyc07g019510.3 transcript:Solyc07g019510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMIGGFGNWSVPILIGAPDMAFPRLNNISFWLLPPSLLLLLSSALVEVGSGTGWTVYPPLSGITGHSGGAVDLAISSLHLSGVSSILGSINFITTIFNMRGPGMTMHRSPLFVWSVLVTAFSLLLSLPILAGAITILVTNRNFNTTFSDPAGGGDSILYQHLFWFFGHPEVYIPILPGSGIISHIVSTFWGKPVFGYLGMVYAMITVGVLGFLFWAHHMFTVGLDVDTRAYFTAATMIIAVPTGIKIFSWIATMWGGSIQYKTPMLFAVGFISLFTIGGLTGIVLANSGLDIALHDTYYVVAHFHYVLSMGAVFALFAGFHY >Solyc06g073310.3.1 pep chromosome:SL3.0:6:45304042:45306269:-1 gene:Solyc06g073310.3 transcript:Solyc06g073310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTILSSETMDIPDGITIKVKAKQIEVEGPRGKLVRNFKHLNLDFQLIKDEETGQKKLKVDAWFGSRKATASIRTALSHVNNLIVGVTKGYRYKMRFVYAHFPINASITGGNKSIEIRNFLGEKKVRKVDMLDGVTVVRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGKIVEEE >Solyc10g055620.2.1 pep chromosome:SL3.0:10:57031603:57041477:1 gene:Solyc10g055620.2 transcript:Solyc10g055620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEPLLGSNNGGEVVLAERESEATQTKTTRIVSLDVFRGLCVFLMILVDYAGSVFPSIAHSPWNGVRLADFVMPFFLFVVGVSVAIVNKIVLDRTGATMKVVIRTLKLFILGIFLQGGYLHGITGLTYGVDIERIRWMGILQRIAVGYIVAALCEVWLPCQEMKRFALFRNYICQWFIMFLLSAIHCGLLYGLYVPDWQFSVSQSTGSTIYEVKCSVRGDLGPACNSAGMIDRYILGLDHLYTKPVYRNMKECNGSNRDTVSESMPSWCHATFDPEGIVSSLTAAATSIIGLQYGHILVQFQDHKGRLYNWSILSLSLLVVGLFLDFIGMPLNKSLYTISYMLVTSAAGGITFCLLYLLVDIYGWRRLMFVLEWMGKHSLSIFILITSNIAVIFIQGFYWRDPENNIIRWIVTRFVQK >Solyc11g011910.2.1 pep chromosome:SL3.0:11:4840745:4848098:-1 gene:Solyc11g011910.2 transcript:Solyc11g011910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4D5Z6] MARKSRMGCGNYAVVLVLLFFGAMKVRSDSSDHKYKSGEEVPLYANKVGPFHNPSETYRYFDLPFCSPGHVKDKTEALGEVLNGDRLVTAPYKLDFTNDRETEVVCKKTLSKEEVARFRDAVAKDYYFQMFYDDLPIWGFIGKVDKEGKADPSEYKYYLFKHLHFDIHHNKDRVIEVNARTDPNALVDITEDKEIDVDFMYSVKWKETEIPFEKRMEKYSQTSALPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAADDQEETGWKYIHGDVFRYPKHKSLFAAALGSGTQLFTLAIFIFILALVGVFYPYNRGALFTALVVIYALTSGIAGYTAASFYCQLEGKNWVRNLLLTGGLFCGPLLLTFCFLNSVAIGYHATAALPFGTIVVIFLIWALVTSPLLVLGGIAGKNSKAEFQAPTRTTKYPREIPQLPWYRGALPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILIIVTAFITVALTYFQLAAEDHEWWWRSFVCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACICYAFFLMLGTVGFRAALFFVRHIYRSIKCE >Solyc08g044510.3.1 pep chromosome:SL3.0:8:19672453:19688635:1 gene:Solyc08g044510.3 transcript:Solyc08g044510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRLLLRFCSFYLVVSLHLTSAAVIPAKRFVAPFNRTSFPSDFIFGAASAAYQIEGRARQGGRGASIWDTFTHKHPDQVVKNADLCEDLDGQGYYLREKIADHSTGDVAVDFYHRYKSDIGLLKEVGMQAFRFSISWSRILPYGKVSKGVNPEGIKFYNNVINELISKGLTPFVTLFHWDTPQGIEDEYGGFMSSKIAKDYGEYVDVCFKEFGDRVKHWITLNEPLSYSMNGYASGTFAPGRCSSYVGNCTKGDSATEPYIVAHNLLLAHATAVKLYRQKYQKTQKGKIGITLVTHWFVPKIKTPLGLGAPQKALDFFLGWFLDPITYGDYPASMRANVGGRLPKFTPEQKKLVKGSIDFLGMNYYTTQYASPMLSVPRVNLSYTTDGHIDMTTQKDGKPIGTPTALSWLYIYPRGIYDLMLYLKQKYKNPPIYITENGMADANNSTLPLKQALKDDMRIRYYEGHLWFLSKAIKAGANVKGHFAWSFLDDYEWDAGFTVRFGITFVDYKNGLKRYFKKSAYWYNKFLLK >Solyc05g006470.3.1 pep chromosome:SL3.0:5:1097069:1111777:1 gene:Solyc05g006470.3 transcript:Solyc05g006470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVPTCPWLGLCDVIRWNELLMPVYLAFAVIAFCPNNSEVHIYKLSEDKWEKVHVLQKHDQIVSGIDWSYRSNKIVTVSHDRNSYVWNQEATGWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQENNWWVSKLIRKRHDSSVTSIAWHPNNVLLATTSTDGKCRVFSTFIKGVDSKDSAMGSSADTKFGEQIVQLDLCFCWAFGVRWSPSGNTLAYVGHNSMIYFVDEVGPSAAAQSVAIRDLPLRDVGQNPVLFLSERMVVGVGFDCNPMVFVADESGLWSFLRFLDERKSASSSAKYGGSQFSEAFGKFYGQSKFSASNNVEQSRGAHDNCIKMDKVCIYIAYNGKWTIDNKYLDHEIKLILVNDGITFEGLVEKIFQVLKLKVGEIKANIWFDSNLETSKGMQVTNDEEVTTCIYLLKNDSNFKTSRFIVDVAENNALSAVNIVQQEEMQIERDETSIPVEPISEFEPLETKSSHGMKLRKRAQNRKVSKKRKRSRSKRDDLSGVILREDASLDEIVVGSLFASKECLKKCFTNSAIRNHFKFKTVRSTKKRYYLKCYDDNCRWFVHSSRISDSALFKICKYVKNHTCSADVFKPDQQRHATSRVISDYIRELLPEYETEMTPNFVKEEMRKRYGLNISYHKAWRSIQLAFGVKNGSLEQHNELLLSEPEQNNELLLYEPEQNNELLSSDPEQNSELLPSEPEQNSELLSSEPEQTNEFLPYELDQKKKLLPYKLEQKKKLLRSEPEGKKESLSSEPQQENELLPSEPKQNELFPSEETNLGRDDQTQA >Solyc03g032080.3.1 pep chromosome:SL3.0:3:4618658:4629283:1 gene:Solyc03g032080.3 transcript:Solyc03g032080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWTLFEVASMPILQMLLISVLGALMATNYFNILHSDTRKSLNKIVFVVFTPSITFASLTRTVTLNDIISWWFMPINIGITFLIGGILGWLVVKILKPELHLEGLIIATCSTGNLGNLPLIVIPAICKEDGSPFGDQKNCASLGLSYVSFSMAVGGFYIWTYTYHLIRSSSVKFKAIKAENALKKPNMDLLDANEKSHLLQHNDTENQMIKVCEVSSWSKIARTLNKIVEELLAPPTIAAILGLIFGSITWLKNLIIGNNAPLRVIQDTVKLLGDGTIPCITLILGGNLTQGLRKAKVKPMIIIAVVCVRYIFSPLIGILLLKSASKFGFLTSDPLYKFVLMIQYTLPPAMNIGTMTQLFDVAQEECSVLFLWTYLAAVFALTIWSTIFMWLLF >Solyc06g005410.3.1.1 pep chromosome:SL3.0:6:416052:424757:-1 gene:Solyc06g005410.3 transcript:Solyc06g005410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEKHARINDMVGIYGIGGIGKTTLTKGTITKYFSNLVVVCFISNIGS >Solyc02g085495.1.1 pep chromosome:SL3.0:2:49002456:49002696:-1 gene:Solyc02g085495.1 transcript:Solyc02g085495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKLKPELVDAVKGSGDAIRKKEETHRMAEANRAFAHFRSTYLDRKRIKRKRKKSILIDRFLETNEKETKDET >Solyc11g007000.2.1 pep chromosome:SL3.0:11:1491991:1496146:1 gene:Solyc11g007000.2 transcript:Solyc11g007000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLESRLISLLRKNKDLGVKISSNLGKWGFTATKISNEASFSTLNQYRLLSSLPKLAPSRRNFVRRTFVNYGLRSFHQLNHKGVHRTSFRKISTVAASAAENKEGLKFLVIAGPHARKMVGIWLFTSAAWVFSMVVLGGITRLTRSGLSMTDWKFTGSLPPLTDEDWLVEFEKYKQSPEYKRVNKGMNIEDFKFIYWMEYAHRMWGRALGIMFALPFSYFLRKGYITVRLGFRLSGLFALGAGQGLIGWWMVKSGLEEPASEYVEPRVSPYRLAAHLTSAFAIYCGLFWTALSVVMPEPPAESLACVRGAAKVKRLALPVGILVGITAISGAFVAGNDAGRAFNTFPKMGDTWIPDDIFSMKPLIRNFFENTSTVQLDHRILATATLAAIGGLWLSARKLDMHPAVRHLIGSTMGMAALQVTLGISTLLSYVPVSLGAAHQAGALTLLTFMILLNHTVRRPSPSLLKTLPSVAKMV >Solyc05g010400.3.1 pep chromosome:SL3.0:5:4633809:4638399:-1 gene:Solyc05g010400.3 transcript:Solyc05g010400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSVFGFVVLFSFLVYSNAMLTPGGVNYEVQALMEIKNSLHDPLGVLNWDESSVDPCSWNTISCSSDKFVIGLACPSQSLSGKISPYIHNLTHLNLLLLQSNNITGPIPTELGMLQKLNTIDLSDNQLTGKIPSSLAQLKSLQYLRLNNNSLTGAVPLEFSNMTQLTLLDLSFNNLSGPVPRLLAKTFNLLGNPIICATGKEPECNGTTTSMPLPFTLNNSQNAQPFGRSKTHKIALAFGTSLGCICLLIIGFGIFLWWRQKHNKQIFFDINEQHHEQVCLGNLRRFQFRELQVATNNFSNKKILGKGGFGNVYKGCLSDGTIVAVKRLKDGNAIGGDKQFQTEVEMISLAVHRNLLRLYGFSMTPTERLLVYPYMSNGSVASRLKAKPPLDWTTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDDCEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELISGQRALEFGKAANQKGVMLDWVKKIHLEKKLDLLVSKDLKDKYDRIELEEMVQVALICTQYHPSHRPKMSEVVRMLEGDGLAEKWEASQRAESTRCRANEFSSSERYSDLTDDSSLLVQAMELSGPR >Solyc03g079907.1.1 pep chromosome:SL3.0:3:53234511:53235012:1 gene:Solyc03g079907.1 transcript:Solyc03g079907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAFSVQHLIQFMQYPREPHLKPAYHVLKYLQQDPTLGMFISNKSDLTINAYCDSDWASCPDSRKSLSDYLVLMGDSPVSGKFKKQPTVSLYSVEAEYRAVRQVVGEIVWLESKG >Solyc07g053780.3.1 pep chromosome:SL3.0:7:62334091:62334843:1 gene:Solyc07g053780.3 transcript:Solyc07g053780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKYLLAFVTLIIVLVILINPCNACNKDPICKDVNSRFKTCEIFVVGITPFPSHTCCNNLIIMNDNVKCEYDGVRRYCSCIVNFSNSHDHLPYLQDRIGQLYIFCDIHLSFPISERMDCSKL >Solyc06g072340.3.1 pep chromosome:SL3.0:6:44747677:44761358:-1 gene:Solyc06g072340.3 transcript:Solyc06g072340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTSLDVILEFLRKNKFTEAEATLLGEMNKRSDLNGVVEKLTLEDEKLSRSLEENGGKATVVNLGMACRNGGEVFKESSLRSSGKELIVKEIECGTGRNGSDCKLKNVAFVGKKKETNESVGSYNKTFSACNNAEDTMIDMYSWNYNPSGSLVSYQNNGGTSAAKDFSGLVHNPSNGSLVSHQSNGGSSAAKDFSGLVHSGKLRLNLSEVSECGKSKAKSGEDVSFSGEKRMSWPGSTSKDNVESKHGSQNSELKQSNQQIKLNGTSKDIIITNSTYESDELTNLSSNPWKDCSAKTVFPFSKEDVSTSYDHNVGTGGNKVGKRITDSNDVRNTIKEQVDEVGRAFYLGKTPGSEPKEISGLGFSLISESQKEELPRLPPVRIKSEEKSFNIHWEEKFERDGPDSKITNGDNTYVIGSFLDVPIGQELTNSGGKRIGGGSWLSVSQGIAEDTSELVSGFATIGDGLSGCVDFPNEYWDSDEYDDDDDVGYTRQPIEDESWFLAHEIDYPSDNEKGTGNGSVPDPQRGENKEDDEQSFAEEDSYLSGERYFQSKNVDAVGSSDDPVVLCETEMYRRTNMGAQYDRQLMDEEELNLMCVEPVWQGFVTQTSELAMLGDDRALNERERPRLDDIYMDGDQHGSVRSIGVGINSDTADIRSEVHGSFVGGRVQGDIGYFHDHDASIGGARHIPPDSDKPYSEMRNRNEKTAKQRSDKFVSGTDKGGSVQTNHLHGGFSFALPGDGQLIHTSSSKSLQSSKGNAIITDEAHDSLIANDDMLGSLRPKSNESSPIKSLRDERNKIAVGSVNSSPSSLSNYGYVEPEHVKKEEDTQIARARVDDLGQSLEDEEAVAVQEQVKQIMAQEEEFETFELKIVHRKNRTGFEEDKSFQVVLNSVIAGRYQVTEYLGSAAFSKAVQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKFVNKHDPADKYHLLRLYDYFYYREHLLIVCELLKANLYEFQKFNREAGGEVYFTMPRLQSITIQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDIWSLGCILAELCTGNVLFQNDSPATLLARVLGITGPIDQEMLVKGRDTYKYFTKNHMLYERNQETNRMEYLIPKKTSLRYRLPMGDQGFVDFVAHLLEVNPKTRPSALEALKHPWLSYPYEPISS >Solyc12g039080.2.1 pep chromosome:SL3.0:12:53165688:53167150:-1 gene:Solyc12g039080.2 transcript:Solyc12g039080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRYFQADNRKYCYTLDVIPRNRYLVRATFLYGNFDKDDVYPKFDISLGAIHWATIVISDANTMEYQEVIFLAKEPSVSVCLSNATTGLPFISSLELRHFNGSIYMTEFENDFFMSVSAWTNFGAASDDPVRYPDDPFDRIWASDTLKKANYLLMWLLVLKEFQPKCQLM >Solyc06g069080.3.1 pep chromosome:SL3.0:6:42989291:42995702:1 gene:Solyc06g069080.3 transcript:Solyc06g069080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNESPELGQADVDPSVRQVCANGICMQTNVVEAKLDEGNIQEAESALREGLSLNFEEARALLGRLEYQRGNVEGALRVFDGIDLQAAIQRMQPSVTEKQPSKKGRTKSVESVPGVSQHAAGLVLEAIYLKAKSLQKLGRLTDAARECLSVLDAVEKIFESGIPDVLVENKLQETVSHAVELLPELWKQAGSYSEAMSAYRRALLSQWNLDNDCCARIQKAFAVFLLYSGVEVSPPSLAVQIDGSYVPRNNLEEAILLLMILMRKVYLGKIKWDPSVLEHLTFALSVCGQTSVLAKQLEEVMPGVLNRIDRWRCLALCYFAAGQNKNALSLLRKSLHKHEEPNDVLSLVLASKICSEDVLLAAEGVKYAQRAITNAAGSNEHLKGVGLRVLGLCLGKQAKVATSDFERSQLQSEALKSLDGAMALEHENSDLMFELGVQYAEHRNLDAALQYARKYVDATGGSTLRGWRLLALVLSAQHRYSEAEVVTDAAFDETTKWDQGPLLRMKAKLKTSQSRYIDAVEPYRHLLSLVQAQRKSFGPFRNAPQVEEDKVNEYEVWHGLADLYSSLSHFKDAETCLEKARGLIEYSADTLYTEGMMFERQGENDKALSAYINALLVEPNHVQCKILLGSLLTKMDPGMLPLAKALLSDALRIEPTNRVAWYHLGLVHRDDGRVADAADCFQAASMLEESDPIEKFSSIL >Solyc01g081293.1.1 pep chromosome:SL3.0:1:80374009:80376860:1 gene:Solyc01g081293.1 transcript:Solyc01g081293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAIEDFVGSGSLQGLLPKLLEEGWDDVPTLKTMNADDMNELNMTQRQKDALDIRSYLHNRALMQYADTLEESGKSLPELLKLTKVDLTTVYGMKRGHVARFTDRTTTSAGDTLSEAYNLQASRRTASRNESLYEKISAVTTRKQSMTRSLGRTNTAYDASLEQSMADFKIKDGHVFKGIVASMPDEPRACGCVQATPVIENVAPYSSIENISVQKLTPEYKIGMERLVKSKTPPMKASELWRDKPAVILCIRRPGAEAHQLYAKKPIFDALGIQLFAVLHEQIEAEVKDFWPRYWGGVVLFDRSMEFYKSLGGGNLLKDKFISGFLFNPRAIANYKRAKSMGVEQNFRGEGEIKGGLYIVGRGKSGVAYQFIERNFGDWAPHAEVFEICHQLQNPRGSQLELPQSIQQRD >Solyc06g072830.3.1 pep chromosome:SL3.0:6:45057320:45059639:1 gene:Solyc06g072830.3 transcript:Solyc06g072830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSLSSVSASKNKSRCPLQEQYLQKRNSRDNLDRFIPNRSAMDMDYAHYMLTEGRKGKENPAVDSPSREAYRKQLAETFNMNRSRILAFKNKPPTSVEGIPNDFSSSVHQQAKTAKPRRYIPQTSERTLDAPDLVDDYYLNLLDWGSSNVLSIALGSTVYLWDASDGATSELVTVDEEHGPVTSVKWAPDGRHIALGLNNSDVQLWDTTANRLLRTMRGGHRSRVGALDWNNHLLTTGGMDGQIRNNDVRVRSPIVDTYQGHNQEVCGLKWSASGQQLASGGNDNLLHIWDRSMASSNTSTEWLHRLEDHTAAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSIDTGSQVCALLWNKNERELLSSHGFTKNQLTLWKYPSMVKTAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEVAKPAPKANTEPFAHLNRIR >Solyc03g094123.1.1 pep chromosome:SL3.0:3:57338730:57339216:-1 gene:Solyc03g094123.1 transcript:Solyc03g094123.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSQKKHVGLQFGKTLMSTTNVPHLNNIVQSTDATRYRRVLGELQYLSFTPSSTGYILFFGQNHVSWSSNKQRTIALSSNEAEYRVVASAFAETN >Solyc01g056840.1.1.1 pep chromosome:SL3.0:1:56855488:56855763:1 gene:Solyc01g056840.1 transcript:Solyc01g056840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSNGKIKFNEDLVHPTRTRHGNPAFLCSTTPPPENSGLELIPGARIEGDGPAPGSEGEKLKKLKATPSSTADYEQLTANDFFFTKPVR >Solyc12g010350.2.1 pep chromosome:SL3.0:12:3408460:3410478:1 gene:Solyc12g010350.2 transcript:Solyc12g010350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSHKSFMIKKKLAKKQRQNRPIPYWIRMRTDNTIRYNAKRRHWRRTKLGF >Solyc10g050960.2.1 pep chromosome:SL3.0:10:51184351:51184703:-1 gene:Solyc10g050960.2 transcript:Solyc10g050960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSFQSWLLMSPPLFRVPEETERCKINGCLGCNYFATSSAAAGVVNNNKKLKIAAKTKKKNYRGVRQRPWGKWAAEI >Solyc07g044880.3.1 pep chromosome:SL3.0:7:58057489:58062969:1 gene:Solyc07g044880.3 transcript:Solyc07g044880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERTIAEDLLNNIVESIADGLSKQKSLSKQTSGSFFEQEKSSSVNSQLNRLFGRQKPVHHILGGGKSADVLLWRNKKISAGVLTSATAIWVLFEWLNYNFLSLLCFALVIGMIIQFLWKNASGMINRSPAKVPRLVLRDDLFISIAKSIGAEVNRALGFLQDVACGTNIKQFLAVVASLLVAAIIGSWCNFFTVLYIGFVAAHVLPVLYERYDDQVDGFVYNALEKFQCHYQKLDHRVLSRFPTSKFRLKKFE >Solyc12g006340.2.1 pep chromosome:SL3.0:12:856955:859469:-1 gene:Solyc12g006340.2 transcript:Solyc12g006340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSTSGFNSQPEEAGEKKSLNSELWHACAGPLVSLPHVGTRVVYFPQGHSEQVAASTNKELNGHIPSYPGLPPQLICQLHNVTMDADVETDEVYAQMTLQPLTPQEQKDVCLLPAELGTPSKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDYSQQPPVQELIGKDLHGNEWKFRHIFRGEFLFRELIE >Solyc01g095300.3.1 pep chromosome:SL3.0:1:86486836:86502875:1 gene:Solyc01g095300.3 transcript:Solyc01g095300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQPSEHDYHCLKRILRYIFGTLGRGLLIRLGDLELRGFSDSDWANDKNDRKSTSGFLVFLGPNLISWCTKKQPKMLLPGSVMEKSGNLTLDSEVGYGDLALLKELGGLDFGEDIKFEPLKLLAKFREEAVEANGTVASRIVVRFGYRKPKLALVFSNLSVDPYQIMMVNVAAALREIGYEIEVLSLEDGPVRSIWKDIGVPVIIMNTDGHTKISLDWLNYDGLLVNSLEAVNVLSWSDFVGVSMISWAEDLQLPFLLVENDLIWILEPFKNVPLVWTINELTLASRLKQYMSSGQNDFVDNWRKVFSRANVVVFPNYILPIGYSVCDAGNYFVIPGSPKEAWEVDTFMAVSNDDLRAKMDYAAEDFVIVVVGSQLLYKGLWLEQALVLQALLPVFPELMNDGNSNSHFKIVVLTEGSNTNYSVAVEAIARNLRYPEGMVKHIAPAEDTERTLSVADLVIYASFREEPSFPNTLLKAMYLGKPIVAPDLPMIKKYVDDRVNGYLFPKENVNVIAQIMLQVVSNGELSLLARKAASVGQRTARNLMVSESVEGYAQLLENILRFPSEVAYPKAVTEIPEKPKAEWQWQLFEAIETKYSQNNRLKTSKYLNEFERQWNPTQKEDSTSVMEKNEEFLYSIWEDHRSTEIANVRKRREDEELKGRTDQPRGTWEEVYRSAKRADRSRNDLHERDEGELERTGQPLCIYEPYFGEGTWPFLHSTSLYRGLGLSSKGRRPGHDDIDAPSRLSLLNNPYYRDVLGEYGAFFAVANRIDRIHKNTWIGFQSWRATARQQSLSKAAERSLLDAIEARRHGDTLYFWARMDVDPRNPLKQDFWSFCDALNAGNCQFAFSEALKKMYGLKQNLSSLPPMPVDGTWSVMHSWVLPTKSFVEFVMFSRMFVDALDSQFYQDHHRSGRCYLSLTKDKHCYSRVIEMLVNVWAYHSARRMMYVDPQTGLMEEQHKLKSRKGKMWVKWFQFNTLKNMDEELAEEADSDRPKRSWLWPSTGEVFWQVARSEAGGIAIYWGQNGNEGTLAETCATGNYEFVNIAFLSTFGNGRNAMLNLAGHCDPYTPNGCVNVSSDIKSCQSGGIKVMLSIGGGAGAYSIASPDDAYQVAAYLWNNFLGGKSTSRPLGDAILDGIDFDLESGEGPYWGDLAKNLARYNSNRGSKKVYLTAAPQCPFPDACVGGALNTGVFDYVWVQFYNNPPCQYNQENVTSFQDSWKQWISSIPAKKIFLGLPAAPDAAGSGFIPVADLTSQLLPTIKGSPKYGGVMLWSKYYDDQTGYSSSIKTHV >Solyc06g075030.1.1.1 pep chromosome:SL3.0:6:46695553:46696890:1 gene:Solyc06g075030.1 transcript:Solyc06g075030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDAAQPISTPARRSTTPKITSTQQAQSSTSKSGPSNSSNRVSYTSNSGSGSGSEYRLDTSVATTSVSSQASLTSFRASLPDNPQVYDFSDIRAATNNFLAKRYSSTSSSQSWRCTLHGKDVIIFQRRIYQKSMEKAELRAKLSVICRSHYKSIIKLLGASISGEHIYLVYDFVLGSNLSLCLRNPRNPSYTVLSTWMSRIQIATDVAHGLDYIHNTTGLEIDPVHKYVKSSGIIVTEPSFNAKICHFGAAELCSGSESKAVTNGGEIYEGASAVSTPGRKGSATKTREFEGVRGYISPEYQLTGVATQKSDVYAFGVVLLELFSGEEPVRFKYDKATGNYSKISLIDTAREVVDCGGDGEEDGGVGVERELRNWVDRRLSDSFPVEVAQKVIRLALDCLHVEPDDRPDMRRVAGKISKLYLESKFWSDRVKMPTEITVSLAPR >Solyc05g015690.1.1 pep chromosome:SL3.0:5:11737593:11737766:1 gene:Solyc05g015690.1 transcript:Solyc05g015690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFIIFYEATMSCFNTWRFKIA >Solyc05g009570.3.1 pep chromosome:SL3.0:5:3767835:3769453:-1 gene:Solyc05g009570.3 transcript:Solyc05g009570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMSVQSSLKGNLSIPSLPSQNPGYKSFKSCSSLSFNNKGLSTRRIHKIFASAVAEAESVEVETSESVVQEIEKVDMKKKVIEKPRLVLKFIWMEKNIGLGLDQVLPDHGSVPLSPYFFWPRKDAWEELKTTLESKPWISQKQMIILLNQATDIINLWQQSGGNLT >Solyc02g024057.1.1.1 pep chromosome:SL3.0:2:25364483:25364500:-1 gene:Solyc02g024057.1 transcript:Solyc02g024057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KNVPP >Solyc08g008640.3.1.1 pep chromosome:SL3.0:8:3019083:3019854:-1 gene:Solyc08g008640.3 transcript:Solyc08g008640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNNREKNRATTNHINEQKHLFPCYPFISIRSIFINHNLSYVCYNL >Solyc09g074650.3.1 pep chromosome:SL3.0:9:66891938:66898779:1 gene:Solyc09g074650.3 transcript:Solyc09g074650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLANSGFTTAGISTACSIQFPVRRRCSGLPGGGLALIRAPPNRSSSRRIICMAEPYLIKKLDSAEKTWKELSVKLADPDVVSNPSEYQKLAQSMSELDEVVLTYRNYKDCEKQLEEAKALAKEAGTDEDMAEMVASEIQSLTEQIKELEEKFKLMLLPSDPLDARNIMLEVRAGAGGDEAGIWAGDLVRMYEKYSERNSWKFAPISCSEAEKGGFKTYVMEIKGNRVYSKLKYESGVHRVQRVPLTETQGRVHTSTATVAIMPEADEVDVEIDPKDIELTTARSGGAGGQNVNKVETAVDLYHKPTGIRIFCTEERSQLKNKNRAFQLLRAKLYEIKVREQQESIRNQRKLQVGTGARSEKIRTYNYKDNRVTDHRLKMNFPLMTFLEGDIETAVQSCATLEQQELLQELAESASAPA >Solyc03g120920.1.1.1 pep chromosome:SL3.0:3:70634222:70634776:-1 gene:Solyc03g120920.1 transcript:Solyc03g120920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPHGETNVRNLSKPPRLSNDGLKRTMSEITYEWMKNDAIIDENLPPVLSEVESAKCECCGMSDDYTSEYIDQIRKRYLGKWICGLCADAVKEEAQKNGGKNEEALTTHMSACSKFNKFGRAYPVLNQAEAMRQMLKKNTKGVMRAKSISPRERIMQKKSGGLARTNSCIPAITKEMSDLNIVT >Solyc02g089540.3.1 pep chromosome:SL3.0:2:51959904:51963221:-1 gene:Solyc02g089540.3 transcript:Solyc02g089540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS 1 [Source:UniProtKB/TrEMBL;Acc:Q2VY10] MLKKENSNNWARVCDSCHSATCTVYCRADSAYLCAGCDARIHTASLMASRHERVWVCEACERAPAAFLCKADAASLCASCDADIHSANPLARRHHRVPIMPIPGTIYGPPAVHTITGGSMMIGGTTGEGTEDDGFLSLNQDADDTTIDEEDEDEAASWLLLNPPVKNNNKNNNYGMLFGGEVVDDYLDLAEYGGDSQFNDQYSVNQQQQHYSVPQKSYVEDSVVPVQNGQRKSLILYQTPQQQQSHHLNFQLGMEYDNSNTGYGYPASLSHSVSISSMDVSVVPESAQSETSNSHPRPPKGTIDLFSGPPIQIPPQLTPMDREARVLRYREKKKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEAEVDQMFSTQLMTDSNYGIVPSF >Solyc07g064590.3.1 pep chromosome:SL3.0:7:66797794:66803070:1 gene:Solyc07g064590.3 transcript:Solyc07g064590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVVRRVIPSDNSCLFNAVGYVMDRDRNKAPELRQVIAGTVASDPEKYSDAFLGKSNKEYCDWILNPEKWGGAIELSILADHYGREIAAYDIQTTRCDLYGQGKNYEERVMLIYDGLHYDALAMSPAEGAPEDFDQTIFSVQRDGTVGPAERLALNLVKDQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYK >Solyc10g009040.3.1 pep chromosome:SL3.0:10:3049922:3052062:-1 gene:Solyc10g009040.3 transcript:Solyc10g009040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTSLICSNFNTSIYLRVDFSIEVGCSALECFHACVAGCGYKFDVPSQKVDQIHPSRPPPPPPKDKLTTRAVKRILPGEPSQTIDDIPGTSA >Solyc12g038455.1.1 pep chromosome:SL3.0:12:51225128:51228027:1 gene:Solyc12g038455.1 transcript:Solyc12g038455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHRSRARTQLPVAAALIAAVDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGERSNLLTIYA >Solyc07g062810.3.1 pep chromosome:SL3.0:7:65599544:65603144:-1 gene:Solyc07g062810.3 transcript:Solyc07g062810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNSSFDQTSEAAVAAEAKSGEDFSVHVFSSSAELVEKLHEKWNSVKQQPYPAMYSSVYGGIILEPAMMVIPMDDHMVHRGHGVFDTAIIFDGYLYELDVHINRFLRSASKARIASPFTFSELKSILIQLSAASKCRKGTLRYWLSAGPGNFLLSPAKCPTSAFYAVVIDEDFAQCKEGVKVITSTIPMKSPLFATMKNVNYLPNVLSVMEAEDKGAFSSIWVDEKGYIAEGPNVNVAFINSDKELILPSFDKILSGCTAMRFLQLAPKLVEQGRLKCVKITDITVEEAKESAEMMYVGSTLPLLPIIMWDEKPIGNGEVGELTMALSDLLWEDMAAGPESQRIPVPYA >Solyc02g093880.3.1 pep chromosome:SL3.0:2:55210606:55219152:-1 gene:Solyc02g093880.3 transcript:Solyc02g093880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKDKLPGGGSAGFATNYGSEGSGSSGRFDARISVSGHSIAPQQRWININSSSHDGFAVPIHVILQSKLSPSERKHLVLQLTSDIEQIRLLQKKVEFYRTSAIAVSSSSDIVSCSNAQKGLPLASKKRSAGNPGFGKKSNPSAQKTRGQSREVSGRFKSVKPASVPSRSNATLMKQCENLLKKLLSHRNAGVFNEPVDIVKLNIPDYFTVIKHPMDLGTINKRLTSGAYSTPREFLADVRLMFSNALTYNPPGNIVNVMADEMSKFFELRWKTIEKKLPTNHAELVEEKSGLHEENGSASKKRRVSPTQHVVVPEPPKSKMTDEEKHKLSGELEASLGDLPDNILEFLKELSSNGTATEEDDIEIDIDILSDDTLFTLRALLDKFLLEKQKGNARAEPCEIELPNELGLSNSSMHLGGDNDHVDEEVDIGGNEPPVSSYPSLEIEKDTDPKRDERINTGGPNDSDSSSSSDDESETQRTPDHAEQDHSSPVKTGGKEAGNSLADGNHSIDSLDQLEQSSQQMPFSVDSEAAYQDGESRVSPGKLYRAALLKNRFADTILKAREKTLVEVEKGDPEKLRREREELEMQRRKAKAKLQAEAKAAEEAQRRAEVEAAAEVKRRRELDREAARQALLQMEKTVEINENSKFLEDLEMLTAVPSEQLHSSVDETSPDHSQDGLGTFKFGGSNPLEQLGLYMKMDDDEEECELATLQSDDPIDVEEGEID >Solyc04g026040.1.1 pep chromosome:SL3.0:4:19452632:19453291:-1 gene:Solyc04g026040.1 transcript:Solyc04g026040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFVEHTKEDQWNYDVVDYEGVPVLHDEEGLVDVDVIEKNNESDLTTKEYTQAKGKNVEYGVTSNVLAMFSSETKKDDFATSDEEMLSLHGDSDDENRKRSIVLNPTRDLEDPKFKFAVHMILSNSREFKYAVEVHAVIQKRKSDLKRMKVQGIGQVVRCQATNGFIFAAKVIKMSLSLSRQ >Solyc07g020807.1.1 pep chromosome:SL3.0:7:13801452:13816459:1 gene:Solyc07g020807.1 transcript:Solyc07g020807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPTSLHIVGLKYRSPKTSTVARQNLFTLSIEVDSPILTYSLVASTGKPGLFLHQSKYARDLLEKPGLEKCTSQPTPMAVSSSPNGADTPFADITHFRSLIGALQYLTITRPDIQFAVNRVAQRMHQPSEHDYHCLKRVLRYIFCTLGHGLLIRPGTWSFGIS >Solyc01g044565.1.1 pep chromosome:SL3.0:1:44588000:44591992:1 gene:Solyc01g044565.1 transcript:Solyc01g044565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPTISLFLLCAVFFRQFVIFSTLINFSSSMRLSSERFAVPFPLIWKIKRAFGIGSKKKLGIAVGEVREFAKRIVREKLNERSSLDSAVGEAEAFFMVTCSSGFS >Solyc10g076910.2.1 pep chromosome:SL3.0:10:59973618:59985161:-1 gene:Solyc10g076910.2 transcript:Solyc10g076910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKGDAGPIDLDKTTTTLEPDKTSSGGLFVPGKERVVFKPSERKSLLGLDALAIAKRGGATVESEFKVPRERLASIASSLDEDEESSAVSGIDELGHTASNVSRNNVRRRYRESYASETSASGSAVTDERGDAETVVRSHLNENTEVPPVSSGSLRSTISRGESVDRERDGSEYRDNYRSESREGRRRDRRTSREERHHRDSSRGYEREYDGDDGRKRSRYDGFRRTPGRSEWDDGRWEWQDTPRRDSRSSSSSRRYEPSPSPKFLGASPDSRLVSPWLGDHTPQSAGAASPWDSVAPSPTPIRASGSSVRSSSSRYGAKSSLIMSSTGGALSEDGGDDTNGASEDQNEEITESMRLEMEYNSDRAWYDREEGSTVFEGDGSSAFLGDEASFQKKEVELAKKLVRRDGSKMSLAQSKRLSQLTADNAQWEDRQLLRSGAVRGTEVQTEFDDEDERKVILLVHDTKPPFLDGRIVFTKQAEPIMPIKDPTSDMAIISRKGSALVREIREKQNMHKSRQRFWELAGSKLGDILGVEKSAEQVDADTATVGEDGEVDFKGEARFSQHLKKGEAVSDFALSKTLSQQRQYLPIFSVRDDLLQVVRENQVVVVVGETGSGKTTQLTQYLHEDGYTSNGIVGCTQPRRVAAMSVAKRVSEEMETELGDKVGYAIRFEDVTGPTTVIKYMTDGVLLRETLKDPDLEKYRVIVMDEAHERSLNTDVLFGILKKVVARRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNKLYSKTPCEDYVEAAVKQAMTIHITSAPGDILIFMTGQDEIEATCYALSERMEQLTSSTKQAVPNLLILPIYSQLPADLQAKIFQKAEDGARKCIVATNIAETSLTVDGIYYVIDTGYGKMKVYNPRMGMDALQVFPISRAAADQRAGRAGRTGPGTCYRLYTENAYENEMLQSPVPEIQRTNLGNVVLLLKSLKIQNLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGDLTSLGWKMVEFPLDPPLAKMLLMGEQLECLNEVLTIVSMLSVPSVFFRPKDRAEESDAAREKFFVPESDHLTLLNVYQQWKANQYRGDWCNDHYLQVKGLRKAREVRSQLLDILKTLKIPLTSCGPDWDVVRKAICSAYFHNAARLKGVGEYVNCRNGMPCHLHPTSALYGLGYTPDNVVYHELILTSKEYMQCVTAVEPHWLAELGPMFFSVKDSDTSMLEHKKKQKEEKTAMEEEMEKLRKVQAEADRRNKEKEKEKRAKELQQVSMPGLKKGSTTYLRPKRLGL >Solyc07g019573.1.1 pep chromosome:SL3.0:7:12290341:12300578:-1 gene:Solyc07g019573.1 transcript:Solyc07g019573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKPCHIPECQFRELIRYWNSEKFKKMSETNEKNPKKLMNPHTAGKKSFALVHNKLKDKGTISSKYLFVVTRTRKPGRLYKASNEDTTSKIAEIEEIEKQISINGEYVDAFSSVMGPEHPGLLRLYGTGQMQERMQKMEKQMEEQKKIVRQEVIADVIAQPKHAGIINPNILAALSTPSPREQVYIRHKLPGWSFFGK >Solyc02g049100.1.1 pep chromosome:SL3.0:2:3915700:3916552:-1 gene:Solyc02g049100.1 transcript:Solyc02g049100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRLMSCMASPCYAVRRLQRHSSDVCEATEAKAGHYAIFGHFEAFTERSEVLLSYS >Solyc12g036390.2.1 pep chromosome:SL3.0:12:46428311:46431706:-1 gene:Solyc12g036390.2 transcript:Solyc12g036390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRFFDDFVDPDSNRPNYKRLRKTPSFASVIKEVVKVNFLDNFCSALEPMLRKVVHEEVESGLRRYSRSIGRSPSLRIKALEPSNLRLIFNKKLSLPIFTNSKIMDSNGQYPLQLLLVDATGDCLVPTTLGTPIKIEIVVLDGDFPCGENWTHEDFNKNIVKERAGKRPLVTGELNITMRDGVASLGDLEFTDNSSWIRSRRFRIGAKVVHIGNGQNSIRIMEAMTDSFMVKDHRGELYKKHYPPALGDDVWRLEKIGKDGTFHKKLISHGIETVQDFLKLANIDPHKIRRILGNGMSEKMWEVTYRHAKTCEMGTKSYIARGPNYILILNPICQVIRAIINGQICHTRELRGIQRSYIENLVRNAFTNWSSLEEVDGGLQVNEPAALLTQGERGVHHQNGYPRCALLTATDGSIECSDWIVNQADISLPIQNGVCYISESSSKEELNYL >Solyc01g094310.1.1.1 pep chromosome:SL3.0:1:85708485:85708760:-1 gene:Solyc01g094310.1 transcript:Solyc01g094310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNALLIDIENEISTIHNFIRDQYRLKFPELESLVNHPIDYAGVVKRIGNAMEMTLVDLEGLLPSAVIMVVSVTASTTSGKPLPQDVGSM >Solyc02g062520.3.1 pep chromosome:SL3.0:2:34750490:34761934:-1 gene:Solyc02g062520.3 transcript:Solyc02g062520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKAELAAVRAYFGVPWPQRNEGLSYHDIVRPTDAGLTLIEFYFRKYKNSAPLQGWLQRIQNKQITIDGKVVILPDTELRAGAELVYHRLPWREPDAPYLLEVLFEDDYLIVVNKPSGLQVLPGGLYQQRTVLTQLQWHACKLTTTSSGCQKTHPVPVHRLGRGTSGILLCAKTKLCKSRLAAYFAEGTSVVEEKCTNSECNTMRKICKIYRALVSGVMDMDEAVIKQPIGTIKYPGVAKGLYVASPSGKPALSSVRVLERDSESNCTLVQVEIQSGRPHQIRIHLSFIGYPLIGDPLYVSGGQPKCFHPELLDESFEKDGGYQRPENPVPGDCGYNLHAHQIGLIHPITNELIKITAPLPAILQTRDEREANQPNSS >Solyc09g066075.1.1 pep chromosome:SL3.0:9:64644122:64659115:1 gene:Solyc09g066075.1 transcript:Solyc09g066075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLKHKVDDGVQQEISPEVSKLSSDDSPLVLEPKEQVHEDEMDPALLHQDTHTEHPVEDVVEHFEDIVPPAARKSSSISKPPIWRKDYVVPTKSSPYSITDHEACGDIVVLLVYVDDLLISGCSSKMIVETKSSVHQHFKIKDLGKLKYFLGIEVMQSKDGIFLNQRKYALQLIADTCLSGAKPINTPVEFNHKFSRLISYQHTGNNFDPELVDVTTYQKSDIAFGVQTLSQFMQHPKASHWDTTHRIVRYIKSSPSLGYFLKKGEITDLTGYSDWDSCPNTRRYVIGYVRKLGEISWNSKKQQTVSRSSTAAEYQSMATVVSEFICLSRLLQELNKYTDSYVFLLYNVDDMLIAGSSMREINNLKTRLSATFETKDLGPAKQILGMKISRDRSVGTLNLSQKLYIEKVLSRFRVNDAKPRTTLLANHFKLSKEQSPKNVEERDHMALVPYASAVGSLMYAMVSTKPDIAHAVGVANRYMANPGKEHWEDVKWLLRYLRGTSSTSLFFGNGKDLHHRWNRSELDFQASEELYIEKVLSRFRVNDAKPKTTPLANHFKLLKEQSPKTAEERDHMELVPYASTVGSLMYAMVCTRPDIAHAVGVANRYMENPGKEHWEAVKWLLRYLRGTSSTSLFFGKGKVTLQGFVVADLGVDVDSSKSTSGYIYTIGGTAVSWMSRLQKCVSLSYTEADGLFKNVSISHQNV >Solyc02g071060.3.1 pep chromosome:SL3.0:2:41117125:41119078:-1 gene:Solyc02g071060.3 transcript:Solyc02g071060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPQVENQLNISLHIVEEEVNMANNSTNSWREKYNRWIEISFNAALVLVCLSAATLLGELYYREGGKSTWMNSLVQMVGFPILFPILFLKKREENKDEDDLIKNTINSNNKKPSVWMFLSVYVFLGFLLGGSCMLNAVGLLHLPVSTFSLISASQLGFNALFSFFLNSQKITTYIANSIILLTVSSILLVFQPDDSSSTGSSKGKGYVLIGFICTLFGSAGYALLLSATERIFRNIMKKRTLKEVMNVVIWQSFFATCTILIGLFASGEWRWMKREMNEYKLGKVSYVMTLVWNALAWQIYTIGLLSLIMKVSALFANVITALSVPLIPVLALIFFNEKMSGVKVISMILAIWGFLSYGYQQYLDEVNLKIELSKAKEEAEVSLVETGLNHRAN >Solyc08g022215.1.1 pep chromosome:SL3.0:8:31802158:31803546:1 gene:Solyc08g022215.1 transcript:Solyc08g022215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQAAMVKLVDTLLLESRLLVTRWVTSGHFPLSDLYESLIFLSWSFSIINIIPYFKKIKITRPSAIFTQVVNNARKYDDIGIHNPFMSQLIQQLDYWSYRVISLGFTFLTTGILSVAVWANEAWGSYWNWEPKETWAFINWIVFSIYLHTQTNRNSQSPNSAILASIGFLIIWICYFGFNFTNKIRRLTSHLALHKKDYLSQRGLKKILGKRQRLRAYVVKK >Solyc11g071640.2.1 pep chromosome:SL3.0:11:55351145:55356075:1 gene:Solyc11g071640.2 transcript:Solyc11g071640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMSIPMMGFVVLCLWAVVAEGGYMKYKDPKQPLGVRIKDLLKRMTLEEKIGQMTQIERAVATADVMKQNFIGSVLSGGGSVPAPKATAQVWTNMVDGIQKGALSTRLGIPMIYGIDAVHGHNNVYGATIFPHNVGLGVTRDPDLVKRIGAATALEVRATGIPYAFAPCIAVCRNPRWGRCYESYSEDYRIVKTMTEIIPGLQGDLPAKSKNGVPYVGGKTKVAACAKHFVGDGGTKNGVDESNTIISSNDLFDIHMPAYYDSLRKGVSTVMVSYSSWNGRKMHANRDLITGFLKNKLKFRGFVISDWQGLDRITDPPHANYSYSVQAGITAGIDMIMVPENYREFIDTLTSQVKANIIPMSRIDDAVKRILRVKFVMGLFENPMSDPSLANQLGSQEHRDLAREAVRKSLVLLKNGKTPSHPLLPLPKKAPKILVAGTHADNLGYQCGGWTIQWQGVAGNDLTVGTTILSAIKKTVDPSTEVVYQQNPDANFVKSNKFSYAIVVVGEVPYAEMFGDSSNLTITEPGPSTINNVCGAVKCVVVVVSGRPVVMEPYVAKMDALVAAWLPGTEGQGVTDALFGDYGFTGKLARTWFKSVDQLPMNFDDSHNDPLFHFGFGLTTKPVKANY >Solyc04g076430.3.1 pep chromosome:SL3.0:4:61409058:61417046:1 gene:Solyc04g076430.3 transcript:Solyc04g076430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGRPRKAPTLEEQEAFSVKASKLRSLQSQFLQFHHAKIYTKEALDVSAKLLESNPEYYTAWNYRKLAVQHNLNLPEVENNEETVKSILDEELRLVENALRRNFKSYGAWHHRKWVLSKGHSSTDKELLLLGKFQKADSRNFHAWNYRRFVTTLKNIPNEKELEYTTDMIYDNFSNYSAWHNRSVLLSHLLKEKAKGYSPKDNVFTEEYEFVRHALFTDPDDQSGWFYHLWLLDQTVKLETLLVSSWPPHGCNLSLSTDGSFGDCSLSPFTSLQTNTRTLPLILYFSEAVENICSSTVVIECENIASNELVWRSLSGDGAGSAQAWLTYLNFPEEHAHSEKAYQVKVSLAHSRGIFSSTGVHHGDSSHIEFSVSVPPHRSEHVDLNNEGKISWSDESFCTHDTQFLESALINLFHLERTKIDETVVDYQWNITTINNEISHYRELLSTMNCKIGKLTLARLLIAHDTLMSYTGTSHRNVSHHAEVLQLYDDLKKMDPAHLHYYQDEYSIVLLKQTISNQELLLKHCRKYRDPSSPRINNFCLRLNNLSLSRIGSMEQLLWVQVLDLSHNQLKTLEGLEVMQLLSCLNVSYNKLCSFTALEPLKLLRSLKVLDISYNEIGAHSIDTRRYLCSSPLNHRSGGDWKTEESEIHCVEVADNWEAYAIFKDLNLIQLDLKGNAVSDEKIQSLLIKLLPSLKWLDGESCR >Solyc12g014065.1.1 pep chromosome:SL3.0:12:4869836:4870353:1 gene:Solyc12g014065.1 transcript:Solyc12g014065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVQKLGSFAVKSHKRDDVFLLSLAEPVLVGSISLSAPTLISKLLHVPSNEISWSDHLSLGSIIQTQVKHHSSTGILYLQNMQM >Solyc02g092590.3.1 pep chromosome:SL3.0:2:54280754:54283391:1 gene:Solyc02g092590.3 transcript:Solyc02g092590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLINPYDKEYMKMAMLKHEEIFREQVYELHRLYQTQKLLMRNMSSSTNNRPQQDHHQVVVNQLDSNKKITARQCIDLEIRPNTDEEHIAESGGEDDTHELELTLGLSSYNVRRRRKTAHFDSSSPSFSSSNSTGSASSQIKNTTTNLVRNSRNIEGSKWGLEEKLPVSNNFQIGARSSQSNQNVDQEQYRSQDSLNNPPWLFQVLSLNMT >Solyc11g050760.2.1.1 pep chromosome:SL3.0:11:16172573:16172914:1 gene:Solyc11g050760.2 transcript:Solyc11g050760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLSTVDIVEAQSKYGFWGWNLWRQPIGFIVFLISSLVECERLPFDLLEAEEELVARYQTEYAGIKLCLFYIASYLNLLVSSLFVTNLYLGGWNLSIPYIFVPDIFGINKGGKV >Solyc01g104880.3.1 pep chromosome:SL3.0:1:93083077:93102002:-1 gene:Solyc01g104880.3 transcript:Solyc01g104880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylserine decarboxylase proenzyme 2 [Source:UniProtKB/TrEMBL;Acc:K4B260] MGHGNSRQDCSSGDSADGSKPSRIRRLGRRLRLHSRRSCQTAASHSQTSKILKEEDFAGIALIQIISAEMKFKDKWLACITLGEQTFRTHVSDQTDKPTWNSEKKLLLEKHGAHLARISVFETNRLSRNNLIGYCEVDLLEFLSQDCSSDTEVFDLLDPSSASTVVGRISISCCIEDPVETEKTFVRRILSIVDCNEDGELSLDEFSDLIDAFGNKLAAEKKEEIFKQADRNGDGVVSLDELATLLAIQNEKEPIINCCPVCGEVLEVSDGLNNMIHMTLCFDEGTGNQVMTGGFLTDKEASSGWMFKLSEWAHFSTFDIGLRSGSSASHILVYDRRKKRLVEEIIDRKIVLSMRAIYQSKFGLGLMDNGAKEILRSLSEKQGKKMDTAESAKEIPNFIEFFKDQINMDEVKYPLEQFKTFNEFFIRELKPGARPIAFVERDDIAVCAADCRLMAFNSVADSIRCWIKGRKFSIQGLLNETCSSEFVNGSLVIFRLAPQDYHRFHFPVSGTIEKFLELPGCLYTVNPIAVNSKYCNVFTENKRVVSIISTEHFGKVAFVAIGATMVGSINFSKKEGDYVKKGDEFGYFSFGGSTVICVFEKDSIRIDEDLLENSGRSLETLVTVGMQLGVSVKKQGSVKLPNLRNLSL >Solyc03g093613.1.1 pep chromosome:SL3.0:3:56538231:56542092:-1 gene:Solyc03g093613.1 transcript:Solyc03g093613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNRAESSRGGDSAQGFGEEFENSMEAIQNLQQAEDVRTYQLSLIGCTKKPTFSSTNVKKPYEPNANRFSNGNIRRRLLTPAEMDEKRSKRLCFLCDEKYVPGHSLCITVVLVEKNYGSWRLCVDYRDLNKLTVKDKFPIPIIEDSLDELREAEVFYKIDLRAVYHQLRMKETKTYKTTFKTHERHYEFLVMTFGLTNEPSSFKSLMNYVSKPLLRSEKGVVATDPKKNSAVQAWFVPTNIKQVKGFLGLTRYCKRFFLNFGSIAKPLNELLKDNFVWNEGPTTAFHVLKDALVIPPLLALPNYDKPFIIENDASGLKIGVVLIQQGHPIAYIERIRLTWETDAELKAIIRKLQARSYKFSTWIGSQLRGQGRLVVGSDLQLRQTIIQLWNSTPQGGHAGMDATIKRLQSHFFLLEVTVS >Solyc01g017873.1.1 pep chromosome:SL3.0:1:25951758:25955863:1 gene:Solyc01g017873.1 transcript:Solyc01g017873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRRFRVNDAKPRTTPLENHIKLSKEQSPKTAEERDHIELGFVDADLGGDVDSSKSTSGNIYTIGGTVVSWMSRLQKCVSLSSTEAEKLLRTEEVMN >Solyc01g065560.3.1 pep chromosome:SL3.0:1:71703000:71710547:-1 gene:Solyc01g065560.3 transcript:Solyc01g065560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANRRKVGDDRFYSPPAMRKQQKMQAKSRIEAGSRTESDDGASSTTSFSSIRIENLTNFDRFLEHTTPKVPAQVFPKTIMRGMRNLDNDSHPYFILGDLWESFGEWSAYGAGVPLVLNQSDCVVQYYVPYLSGIQLYTDPSRPSIKQRRPGEESDADSLKETTSSDDSSEYGAGASRIANKVHGSWNQQNIAASTIDGIKHLSLKKDPLESSGDENEMGSPGLLVFEYFERNQPYGREPLADKASLLSPNRSLSISGLASKFPELKTYKSCDLTAASWISVAWYPIYRIPTGPTLQNLDACFLTYHSLSTPSGGVPRTDWPQQHGTTTSKGIIDAGMSAKLPLPIFGLASYKFQLSFWFPDGVNECQKANSLLRAAGNWLRLLQVNHPDYSFFESHNSYRR >Solyc04g010290.3.1 pep chromosome:SL3.0:4:3618893:3622894:1 gene:Solyc04g010290.3 transcript:Solyc04g010290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFLKQILLFSLPLIFLFCLLLPLHHFHSHTHTQTHFPHNPTFSNPFSPPPRIAYFITGTKNDGSRIFRLLQAVYHPRNYYLLHLDQFASPKQRLQLALKVASVDVFVAAENVNVIEKADAVNEEGSSALGLVLHGAAVLLKWKMDWDWFVNLDASDYPLIPQDDFLHILSFVPRNLNFIEYNMNISPEEYQKALEVIVDSRLYILLRGKMFVGDRKRVLPSAFKLFMGSQHVILNRKFVEYSIQGWENLPRLLLLYFTNTRSSHRGYFQTLACNTMEFSDAVINSNLRFINSDNTARDPSDFRAPSSDRILKREVAFVGNVSADSPLLDMIDAHILHRGHGMVSPGGWCLGSSNWFSDPCGEWGDPSVLRPGPAAKGLENFLMKSIKNMSIKSSRCDHQ >Solyc06g083350.3.1 pep chromosome:SL3.0:6:48825229:48830380:-1 gene:Solyc06g083350.3 transcript:Solyc06g083350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFQRRRFALEVLGKNGCSVEGVLHLPGTPPIKLDSQDLLQIGDKEFYFLLPIRSILGGSRGQHVNANYPVAGPAAIAHHHHQQQHLSPSPVANVGAGYGGAVNKGLFRGREYYEEEYDDDDDDGGSVGKKMRRGEGPEGGGYGYGSCGSSGKASISGQLDKKIDGRFRADRDADNQQLLLLEEKDVVSSVANVLSDLCGPGDWMPMEKLHAELVEHYGDTWHHSRVRRYLTSEDYPSPEAKTKPWYGLLVLLRKYPEHFVINTRSKGRVTLEFVCLVSLLS >Solyc04g078760.3.1 pep chromosome:SL3.0:4:63504643:63507125:1 gene:Solyc04g078760.3 transcript:Solyc04g078760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS interacting protein 4 [Source:UniProtKB/TrEMBL;Acc:Q2VY15] MALPHHHLQLHIQQQPHQQQQQSKSYRDLYNNMDGQITNPVVYFNGSNLPEQSQHPPYIPPFQVVGLAPGTADDGGLDLQWNYGLEPKKKRPKEQDFMENNNSQISSVDLLQRRSVSTGLGLSLDNGRLASSCDSAFLGLVGDDIERELQRQDAEIDRYIKVQGDRLRQAVLEKVQANQIQAITYVEEKVLQKLRERDTEVDDINKKNMELELRMEQLALEANAWQQRAKYNENLINTLKVNLQHVYAQSRDSKEGCGDSEVDDTASCCNGRATDLHLLCRDSKEMKELMTCRVCRTNEVCMLLLPCKHLCLCKECESKLSLCPLCQSTKYIGMEVYM >Solyc06g033820.2.1 pep chromosome:SL3.0:6:23258468:23265808:-1 gene:Solyc06g033820.2 transcript:Solyc06g033820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKIMRKKIEDPVSRQQFYLKCKDIIVKKSDELGFLCNSNIALLMVSQNGEVTSYSRGESFEDIMVKAMNQPVQLNRRSIPNPDEEHLMQSLVQSKSERGMIEKIAMYDTLLFSSSFILIYFFVVVLFYMIEKFLLFLLGVFTMLMIGQRASVLSSYEPQVENINTTEEADAYKEYILGAIERVQRSKVSISKS >Solyc02g077490.3.1 pep chromosome:SL3.0:2:42976361:42977450:1 gene:Solyc02g077490.3 transcript:Solyc02g077490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding AILGQISSSLGSCSFYSVCNGTLILLLIFSTYIHANPTILGSSHLLNLSMAGTVYLRTNRFEVKLEGITYKAKEFESLCAIDFLFPEGKVWVRPPVVGLDAMRHPRDPTIILVLLCFGVGCLILRFHSGEQLPDPVLKFLTDERIRFVGFGIPEKKDIFPFEELGLTKDKVDIGYIATKYFKNPKYKQCELGELARKVLGIKRMIGLTEASSFERHD >Solyc04g008900.3.1 pep chromosome:SL3.0:4:2511463:2514840:-1 gene:Solyc04g008900.3 transcript:Solyc04g008900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSIEIDDQIPLLSQTYQDEIEGGRKVDPLFENLFNSRVKPISIFKVNVGLRESNPDAYTPKMVSIGPYHNGKPQFRPMQKNKLLYLRRFLRRKERLDVDSCINELEEEARNCYDDIEDLKIGSREFCEMLLLDGCFVVEFIRERFEIGPRQEDEIIKSDIGCIYNQILRDLMLLENQLPFFVLDKLHEMTKQDDELPLAIQAVISFTFFVDLEKLIDQHKYEPLINIASNARDIKHLLHAVHILSCHGNPTKTSKDDTTWTKAMPNATELSEAGVRFSNHTNSNTNLFDIKFEDGLMTIPCFKVADETESFLRNLIAYEQQSSEVQPKYFSDFALFMDHLIDSDKDVNLLRKKGIIEHLMGEDKEVASLFNKLGNGVTVYPNFYFKQVFTNVVKHCDENRWSRRWASLKHNYFSSPWVGTDCPFM >Solyc01g104780.3.1.1 pep chromosome:SL3.0:1:93042229:93042867:-1 gene:Solyc01g104780.3 transcript:Solyc01g104780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITNIQELSTNQHDIEKQSTNMEVEIVDYSKRAQWLRAAVLGANDGLLSTSSLMMGIGAIHQDSKSMIVTGMAGLVAGACSMAIGEFVSVYSQYDIEMSQIKRENAMELFEEKKKKLPSPLKAAGASAFAFAIGAIVPLLGAAFVKDYHVRLGVVVGVVSLALLGFGGLSAYLGKAPLVKSSLRVLIGGWLAMGITFGFTKLVGVTGLYA >Solyc01g008270.3.1 pep chromosome:SL3.0:1:2320490:2324633:1 gene:Solyc01g008270.3 transcript:Solyc01g008270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRTLEIEKMSVEQLKALKEQADLEVNLLQDSLNNIRTATTRLEIASNALQDLSLRPQGKKMLVPLTASLYVPGTLDDADKVLVDVGTGYFVEKTMTEGKDYCERKINLLKSNYEQLLDVATKKKSVADETGLILQAKLRQLAQAQ >Solyc04g080280.3.1.1 pep chromosome:SL3.0:4:64600223:64603426:1 gene:Solyc04g080280.3 transcript:Solyc04g080280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGVPPCGGECCSVDAWMFYLVRSSFVCAVAAVEVEDLKMRLLLLEVKSFWSHCWVVPVTFGNTQLLTPKLVAVACLNLSCASLTQYGSLVILLLIYCTQSCSR >Solyc01g047580.1.1 pep chromosome:SL3.0:1:44776941:44777994:-1 gene:Solyc01g047580.1 transcript:Solyc01g047580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASCFFISLQILRNTQEDVASYTDFFKRNWADEFLIMYERTRLLKLKKYLDKFPDMSKVILLARTQTAAHADIEKAEAYAKQLKPLPSLEKTSSAEKGPNVGATETYEAKRKSKYLKGFDPANPEKWIPKRERSSYKPKKKDKRAAQIRASQQRALIVIRSQTSQLIQKFLPGSNPTNVSSLLFP >Solyc06g053630.3.1 pep chromosome:SL3.0:6:36555633:36561997:-1 gene:Solyc06g053630.3 transcript:Solyc06g053630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4C650] MDILALDDIIHRLLEVRGRPGKQVQLSEAEIRQLCLKSREIFLKQPNLLELDAPIKICGDIHGQYSDLLRLFEYGGLPPKSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDSFNCLPVAALIDEKILCMHGGLSPDLQHLDQIRNLQRPTDVPESGLLCDLLWSDPSKDVKGWGMNDRGVSHTFGPDKVTEFLQKLDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKKPKFGFGSTTTTKNATPAKTKVISW >Solyc05g041440.3.1 pep chromosome:SL3.0:5:52654080:52672780:-1 gene:Solyc05g041440.3 transcript:Solyc05g041440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSSNPEIHLSTVNVANFVSIKLCGKSNYIPWKTQIVCLLQSHDLFGFVDGTIRFPLLTIAPGDGDGDDDEPEEEEEMGIDEDYLQRKRSDGFVKGWIFGSLSDELLQDKAVHKLDSAREIWLVLEELYSPTSQVDSSIKRAEGIESKKDFSYYLQLYRASLSGDWKEAEKFLTRDTEASRAQINSLLQTTLHVAVGIKGKKGKHFVEKLVATIENDEDIAIQDSLGDTPLHYAARFGNLDAAKILLSRNSRLPYIHCLRGLYPIHYAAEYGYISVDVFAYFLSITEESIPYADLSGVRLLNRLIHSDLYDFARQLVEDYLDLAKYDLDGKSALKELAMKEFTFLSGSHLNFWQQLLYYCVPVKLTSRSRQRKPNANDLENVVNNSQMVKTKSVRIYIRNVIKTLHSLLFNFVEILVPPLKNIRKKKSIHHYAVMYAECLCKKIERLNDKEVDSIVSRPLLDAACYDTYELVEIIVRRFPSLAYCYDRDSKNILHIAIEYRCENVFNLVRRMSQFRHQLMISTDSSNNNVLHLAGMLAPRNKLNLVSGPALQMQRELQWFKEVKKIVPPLYWEFLNGEGDAPYAVFTDKHEKLKVDGEKWMKDTSSSCTTAAALIATIAFAAAITVPGGNEQQSGLPIFSWNIAFIIFAISNAASLFTSSTSLLVFLSILTSRYAEEDFLHTLPRSLILGLLTLFLSITSMMISFSSTVYLVFGQKKSWVLLPVATMACLPITSFVLLQFPLLIALISSTYGDGIFKKKSNLLF >Solyc12g035970.1.1 pep chromosome:SL3.0:12:44566406:44566843:-1 gene:Solyc12g035970.1 transcript:Solyc12g035970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLKQAFPRTFGTFKNIPIHRSEIYIYEELEDPNDQLCNQLLESISLQIVHLKISKPFVLDDHDTSQKSNFMINGGTI >Solyc08g074855.1.1 pep chromosome:SL3.0:8:59117058:59120962:1 gene:Solyc08g074855.1 transcript:Solyc08g074855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRRHCCSDMFTEVFQLDVSSFSGVNVPAAAFLTMTYLKNMREDVEKKNLARNSSHSSIVVYVLVDPIEAGDGYTDGMIGQKSTSLIYVVVLIESVKFRRQVPDFEILSDMVKPQGSQAPYLVITPIPRKPQAVTPPTSRKCH >Solyc06g069900.3.1 pep chromosome:SL3.0:6:43635622:43637820:1 gene:Solyc06g069900.3 transcript:Solyc06g069900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIPTVDLSPFLREGYEDEKRKIVDTISKACCEYGFFQILNHGVPLDLTSQAVKVSKSFFESPNEAKLKCSPLPNVPVPAGYNKKPNPSYEFSEFLIMLPPGSDYNIFPDNPPEFREVMEELFSQFMKISMVIERILSECLGLPPRVLKEFNNDRSWDFLIAMFYLPATEKERIGANPHKDLSCFTIVLQNEVAGLEVQKDGEWILIAPQPGALVVNIGDALQVLTNDKFKSPRHRVVRPNGRSRNSFAFFHNLSGDKWIQPLPQFTKEIAEPPKYRPFLYKEYLQKRRESKSKHIARIEDEITISHYAIPEE >Solyc12g098930.2.1 pep chromosome:SL3.0:12:67264492:67266836:-1 gene:Solyc12g098930.2 transcript:Solyc12g098930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKLSDSVVKELAEEVKEWGSMKQTGVSLKQMMNFGGSSKNLLISAQFVHKELPIRIARRVIELENLPYGLSTQNAVLQVRDWYLDSFRDLRSYPEIKDKNDELKFTQMVKMIKVRHNNVVPMMALGVKQLKKERPQFDYKDLKEVHQFLDRFYLSRIGIRMLIDKFSLNAGQHVALHDPNPLPNCVGYIHTKMSPLEVARDASEDARSMCLREYGSAPAVNIYGDPNLTFPYVPSHLHMMVFELVKNSARAVQERFMDSHDEAPPIRIIVAGGLEDISDEGGGIPRSGLPKIFTYLYSTAENPLDEDFTSTGAATACTMAGYGYGIPISRLYARYFGGDLQILSMEGYGTDAFLHLLRLGDSQEPLP >Solyc01g017060.2.1 pep chromosome:SL3.0:1:23787832:23788565:-1 gene:Solyc01g017060.2 transcript:Solyc01g017060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNLYLIGLLPIPMNSIGPINDTLEESVGSYNINRLIVSLRYLPKGKKIFESCLLNPKERTWVLPITKKFSMDSSSKISNETIAGIEILFKEKDLKYLEFLFVYYIDEPIRKYHDWELFDRLSLRKSQNRINLNSGPLFKILVKHWISYLMTAFREKYQLKWMVSSNNKGLGQLFNQIILSMFPISSRETSGLFLCKIVLNFIYGLSAKISSLVGEEPTRIRFF >Solyc03g112120.2.1 pep chromosome:SL3.0:3:64108283:64115497:1 gene:Solyc03g112120.2 transcript:Solyc03g112120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDPPSAGTSPSSNVQDWFSHIFKTPNDGPTSPKPNNEQPALNLPPRQSISRRTRFHTNSNAPQQHSINSPKRTSKIPATGSTDNVSHFLDDKPLSPPKSLIESSHRRSISSTTCSIPEYPALSPPRNLVELAHRRSISASTSAFEKVLRNNNVDGDEVKEEDLKSQELNRFLKEQRDKIDNVFSGQIKGKAKIVLSGPSNSTSSMVAAICYAWLLENRTKANEQGGDANTIQVVVPVMNITRRKMLKQRQVARLFHLVGLDAKSLLFSDEVDLETLLLAKQLSILVVGEDILKTNGEAISGCTVLTDNYCEDAYELLQTPILKKLLLAGILLDTQNLSASSKVSMTRDVEAVQLLSFGSTPNYRNAFFDQLMQDPKDDSFVDVMRQNYGNSPIESTHKYRAHQVLERNSTPQENTPSSDKISKDVKNGKINRVSPNAGKPSITPIHASPASPAKSADASRGKNKTFFLAKWFGFGK >Solyc06g035580.3.1 pep chromosome:SL3.0:6:24688622:24694588:-1 gene:Solyc06g035580.3 transcript:Solyc06g035580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSCWNPFLFSSFFGILILSIPCFSEKAPYSTFARDATSSPKVISFDYIIIGGGTAGCALAATLSQSFNVLLLERGDLPYGNPNITNINGFSSNLANISPSSPSQLFISTDGVFNHRARVLGGGSAINAGFFTRASDEYVKKVGWNEKLVRDSYEWVEKKVAFEPQVKQWQSAVKNGLLEVGVKPDNGFTYQHLYGTKVGGSIFDSQGQRHTAADLLEYANPTKITLLLNATVQQIMFKPAGGGMKPRANGVRFQDSQGNSHLAYLKEGSMNEVLLSAGALGSPQMLMLSGIGPAEQLQAHGISVLLDQPMVGMGMSDNPMNAVIIPSPKPVEVSLIQVVGITEFESYIEASSGPLELDWLRTMANNFARIANQSLDPSVLNRGILNSPIQAGVILEKLAGPFSSGFLQLSSKDPNVNPLVTFNYFKDPRDLQRCVQGMRTIAEVIESTSLFDFKYPFTTAQSLFNTMLTFPLNLRPRHLSASVSLEQFCVDTVVTIWHYHGGCQVRKVVDRDYKVVGIDGLRVIDGSTFIESPGTNPQATVMMLGRYMGMKILRERLVGARN >Solyc12g099310.2.1 pep chromosome:SL3.0:12:67477888:67481789:-1 gene:Solyc12g099310.2 transcript:Solyc12g099310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC32 [Source:UniProtKB/TrEMBL;Acc:K4DHV2] MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPRDSEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTSPNGALGSLEYTKEERRVLAIKSRDVAPRYGTPERQKLIDEIHEYMLSKAPPVPQATTSPAPEEQNSNREEEVQESPENPSNEATEERPPNPSVADTTTEERRELALDADPVQTPIEPPAVRPNEHRTLHTPQQSIPRQADDRFFTWAAVGLTIAILALLIKKFMKANGHGAVFMDES >Solyc01g087530.3.1 pep chromosome:SL3.0:1:82343226:82353374:1 gene:Solyc01g087530.3 transcript:Solyc01g087530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMGLRFVQVLLFFLFVSALISYVTAVSEEVGVQRVITAPLKNVRNNIIDGSGKEYGIDSISMDGKGEWTGDNTRVSVSTVALFTLAMAAASGLGAVPFFFVELDPQWSGVCNGMAAGVMLAASFDLIQEGQEHGSGGWVVSGILAGGIFILLCKKFLEQYGEVSMLDIKGADATKVILVVGIMTLHSFGEGAGVGVSFAGSKGLSQGILVTLAIAVHNIPEGLAVSMVLASRGVSPQKAMLWSILTSLPQPIVAVPSYMCADAFHKFLPFATGFAAGCMIWIVVAEVLPDGFKEASPSHVASAATLSVAFMEALSAVFQSFGHNYNSEDASAFLVSLLFGFGPLLGGVSLVAFALAFRLQHALLTGVACGTAFVLGVWRPLQLVLSSKMEFIPLFSLLATGFSLSHFSTSSVIKIGSRKRTSADALSAVTGLPVSGLTLQSILCCAAVALHALSEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIYGATDSWHASLLAAALIGFMGPSSAIGAILAGIDYSGLDHLMMFACGGLLPCFGSIFKRAMSLQKQKSITGLIIGILFASICLAFTKLVCLHTPYCNSAPEAVR >Solyc09g091635.1.1.1 pep chromosome:SL3.0:9:71347344:71348450:-1 gene:Solyc09g091635.1 transcript:Solyc09g091635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDDIILDILLRLPVKSLIRFKCVCKSWRTSIEDPNFIEHHYALSKSNVNRHKIFITGGVSDNMDNYFYSVDAPLQHDSVVSLLETPVPGINTLSRVSFISYSSNGIILIVFPYDLIILWNPATGESRKIPSPIPKKKKSERRQFPAVYGFGYVSSIDDYKIFRVGGKYNSHAHFEIELFSTKSNAWKLIGMFSPNNFCFEGGIVTIDGIVYMIEMADLSRNIDRSTILSFSLENEQFEYVLFPDQIQRFQDPILYVLGENLCLTRMHNLTSRDFEVWHMIKDGSMNNIWSKILTIPSMHCGRCLSPVCLMKIDGYIMLLKHKGDFEIYNSDGEQIEIVEVPGLQSSLFFNCIVPYVESLFSPKQRRI >Solyc03g120780.3.1 pep chromosome:SL3.0:3:70544143:70545393:-1 gene:Solyc03g120780.3 transcript:Solyc03g120780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:K4BMM7] MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARKRSYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTNFREGTEAAPRKKGTAASA >Solyc10g024415.1.1 pep chromosome:SL3.0:10:14063403:14079104:1 gene:Solyc10g024415.1 transcript:Solyc10g024415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDLSSTVLDNSNLQIRRTKGNTPTTIPATSLTTPMFTPEQYTRLLGLLNNEGGQKASAHMAESQMKELET >Solyc06g025410.2.1 pep chromosome:SL3.0:6:11097848:11098023:1 gene:Solyc06g025410.2 transcript:Solyc06g025410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHGGDVMPRMTSSDH >Solyc08g075450.3.1 pep chromosome:SL3.0:8:59718028:59746985:1 gene:Solyc08g075450.3 transcript:Solyc08g075450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVCGVDALKQKMAVGNGGDVWKAHGAMVLVQLFNGVYHVITTVALKVGMNEIVFCLYRDLIAISILASIAYFREKRLRVPLNKQLLLSFFILGLTGIYGNQLLFLVGLGNTNPTYAAALQPAIPVFTFILAVILGTETAKFFTVEGQAKVGGTIVCVSGAILMALFRGPAVFGDGTLNFTAQIEISSKGPPEPAGWLISSFQEFGLDKWHLGVLCLIGNCICMAAYLALQAPVLEKYPASISLTAYSYTFGVLLMIGTSFFVTDGSTNWYLTSSEVVAVFYAGIVASALNYGLMTWCNKVLGPSLVALYNPLQPAASAILSSIFLGSAIYLGSNLGILQRDAGSQGNVEDGNGGEIWKAHGAMVLVQLFNGGYHVITKVALNVGMNEIVFCLYRDLIAIAILAPIAYFRENIFGNQLLFLLGLGYTNPAYAAALQPAIPVFTFILSVLMGTETAKLLTMEGQAKVGGTVVCVSGAILMAVFRGTAVFGDGTSDFTAQIEISAKGQPEPAGWLMSSLLELGFDNWHLGVLCLIGNCMCMAAYIAFQAPVLREYPASLTLTAYSYLFGVIPMIGTSFLVTNGSTDWYLTQSEVGAVCYAGIITSALNYGLTTWCNKVIGPALVSLYNPLQPAATAILSIGNGGDVWKAHAAMALVQLVYGGYHVITKVALNVGINEIVFCLYRDLIAIAILAPIAYFREKLVLLSLYIYSLFIIFGCQLLFLIGLGFTNPTYAAALQPAIPVFTFILAVLMGTETAKLLTVEGQTKVGGTIVCVSGAILMAISRGPVVFGDSTVDFTAQIEISAKVQPEPAGWLMSSFLESGFDNWHLGVLCLIGNCMCMAAYFAFQAPVLEKYPANLSLTAYSYLFGVLLMIGTSFFVTNGSTDWYLTQSELVAVCYAGILASALNYGLMTWCNKVLGPALVALYSPLQPVASAILSSVFLRSPIYLGSILGGVLIIAGLYLVTWASYREKQAAMGTITHDPRITDPLIPYQIEHISFVPSSSMPKTMQFIAKNNFYQVLFQKLIHVNKLSPQIKTSLIFTHKKSEESMQY >Solyc12g089010.2.1 pep chromosome:SL3.0:12:65158386:65161219:1 gene:Solyc12g089010.2 transcript:Solyc12g089010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIKELEFKHQWRRRIEEANPWNREGEREPVAKNIHMLLLLLLHGTLWLLLQFQLGVGKNWEDHQLLRSGAVRGNKVETGFDDDEEERKVILHVCDVKLPPFLDGRVFTDQAELILPIKDAMSDMAVISRKGSALVREIHEKQKRDKSRQRFWELAGSKLGDILGVEKSVDETDADTVVVGEDGEVDFKGKARFSQHLSVGDFTKSKTISQQRQNLPIFSVRDELLQVVRENQVVVIIGETGSGKTTQLTQYLYEYGYTVNGIIGCTQPRRVAAMSVAKRVSEEMESELGDKVGYAIRFEDATGPSTVIKYMTDGVLLRETLKDPDLEKYSMILMDEAHERSLDTDVLFGILKKVVARRRDFKLIVTSATLNGEKFSNFFGGVPIFNIPGRTFRVQICHSKTPCEDYVEAAVKQAMTIHIAGAPGDILIFLTGQDEIEATCYALSERMEQLISSTEQPVPKLLILPIYSQLPADLQAKIFHKAEDGARKCIVATNIAETSLTIDGIFYVIDTGYGKMKVYNPCMGMDALQVFPISRASADQRAGRAGRTGPGTCYRLYTENAYENEMLQSPVPEIQRTNLGNVVLLLKSLKIQNLLDFDFMDPPPQDNILNSMYQLWLLGALNDVGDLTDLGRKMVKFPLDPVLTIVSMLSVPSVFFRPKDREAESDAAREKFFVPESDHLTLLNVYQQWKANEYRGDWCNDHFLQVKGLYKAREIRFQLLDILKTLKIPLTYCGPDWDVVRKTICSTYFHNAAMLKGIGEYVNCRNRMPCNLHPTSALYGLGYTPDYVVYHEAILTTKEYMQCVTAVEPHWLAELGPMFFSV >Solyc09g037080.2.1 pep chromosome:SL3.0:9:20822147:20825206:1 gene:Solyc09g037080.2 transcript:Solyc09g037080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRFSKVLKCVSPNLLKESGLILPKRSGSMICSIYLFYCKFIAQSPKLTFSLGRDRSRADLAQRTSHKMVTNGERRRMVKLFKNCERHSPKGEDVFESLGAPLNVAKPTKDSSVAIF >Solyc12g007220.2.1 pep chromosome:SL3.0:12:1636815:1640428:-1 gene:Solyc12g007220.2 transcript:Solyc12g007220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHSSSSEIFWTTNEALEKVASVGLISNMILYLKNEYHITNASGATILFWWNAMSNFTPIFGAFLADSYLGRFYVIALGTFITLIGMVVLWLTATLKEARPHGCKLELGNCLQPTIAQYAFLFSSFVLMSIGAGGIRPCSLAFGADQFDNPKNPNNGRILQTVGISVLISVTIIVYIQTQFGWVVGFGVPAGLMFLATIFFLLGSTIFIKLKANKSLFTNFVQVIVVSWKNKHLALPPMSSNEWYHHDEKGSRTIFPSNKLRFLNKACIIRNPNNETSTTNPWNLCTIQQVEEFKALLKVLPIWSTGIMIAVTLSQHAFPVLQANTMDRHVSKGSNFQIPASSYSAFGILTLTTWLVFYDRVLVPWISRFTRKPRGLSFKQRMGIGLLLSCAAQVVAALVERERRSRALDQGLANHPVSQVDMSAMWLVPQHCLTGLAEAFNAIGQIEFYYSQFPKSMASVGVALFSLGMGFGNLVGSLIVEIVDHVTSRGGKVSWVSNNLNLGHYDYYYWVLCLLSVGNFFYFIVCAWAYGSDEDKRIWEEDQVEKKGEIVML >Solyc01g097770.3.1 pep chromosome:SL3.0:1:88354267:88367318:-1 gene:Solyc01g097770.3 transcript:Solyc01g097770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRRSIDVFDPASTHDGANLASSSRNEGIDVQEISVKGAESASRTGTDKLINKWMAFDLKGNDQNDNADSQIPGETSISERAAEWGLTVRTDVGEGSFHAISRSGQNSFADGERSKNSLEKNSVGSTRTSEESNLGAEFPRVSQDLKDALATLQQTFVVSDATKPDCPIVYASSGFFTMTGYSSKEIVGRNCRFLQGKDTDQNEVAKIRDAVKTGKSYCGRLLNYKKNGTPFWNLLTVTPIKDDSGKTIKFIGMQVEVSKYTEGVNEKELRPNGLPKSLIRYDARQKEKALGSITEVVQTVKGPRSHIKSSQDASSGTDKEKPQVDFMLPKAADTESNISTPGRYTPQWDARGDVSQELGKKSRKSSRLSLKGSKGRPSSISFPLENEENVGPEIIMTEVERTDSWERAERERDIRQGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEFTREEILGRNCRFLQGPETDQATVQKIRDAIKEQKEITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEQTEKQSAKLVKATATNVDEAVRELPDANSRPEDLWALHSLPVYPRPHKRHSALWTAIHKVTANGERLGLNNFKPVRPLGCGDTGSVHLVELKGTGDLFAMKAMDKSIMLNRNKVHRACVEREVIALLDHPLLPTLYSSFQTETHVCLITDFCPGGELFALLDRQPMKIFKEESARFYAAEVLIGLEYLHCLGIIYRDLKPENILLQADGHVVLTDFDLSFKTSCKPQVIKHPPSKRRSRSTPPPTFVAEPVSQSNSFVGTEEYIAPEIITGAGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFSNILNKDLTFPSSIPVSLAARQVIHSLLNRDPASRLGSNGGASEIKEHPFFRGIAWPLIRCMSNSTTTRCTASVNWKGIRQQGN >Solyc02g089110.3.1 pep chromosome:SL3.0:2:51637475:51641777:-1 gene:Solyc02g089110.3 transcript:Solyc02g089110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAGSTRKPFQQTEINWDKLDKTRFYVVGAGIFTGLTVALYPISVIKTRMQVATNNAAKESAFSVVRGLVRNDGIPGLYRGFGTVITGAVPARIIFLTALETTKVTAFKMVEPFKLSEPVQAAIANGVAGMLASLCSQSVFVPIDIVSQRLMVQGYSGHASYNGGLDVARKVLKSEGIRGLYRGFSLSVLTYSPSSAVWWASYGSSQRFIWSKLLDNGTEHGGPAPSQGKIVSVQAAGGIIAGATASCITTPLDTIKTRLQVMGHDKRPSAGQVVKQLIAEDGWTGFYRGLGPRFVSMSAWGTSMILAYEYLKRLCAVNE >Solyc07g039500.3.1 pep chromosome:SL3.0:7:47728155:47732579:1 gene:Solyc07g039500.3 transcript:Solyc07g039500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMASTPLSFDYISSKQLQFSIPIPKIQDWHSCRAKNVVRVYCSSPQTIHSNREKQINEIKKQRKPRPSFVKQVQDKWSVKPTSLREKFPWQEGNSVSVEEVVEAQVQISKLENPVVNDSVSSGSRVKVNLAPWVHGKQPKISQLGESSSLDKSLENCEDIGSSREQKSLNKQVNVDGTDFEKDIKLESKVEAHVDKGITYANESVRLPWEGDKLRKSNAELAEKLIPEAQLKRLRNAALRMVERIKVGSGGVTQELVDSIQKKWKVDEIVKLRFEGAPSHNMKRTHDILEVHTFLFILVKPFVTEIVKNFSSQNMSTLNSIVLYRGISYKLPCVQSFTSKNHDVNESEYPNNDSCQSLGVKCLNEAVERPRNGSTDLSGEEIVDLSELNMILDEVGPRFKDWSGRGPMPVDADLLPAVVPGYRPPFRRLPYGAKLNLKNKEMTYLRRTARIMPPHFALGRNRQLQGLAAAMVKLWRRSAIAKIAIKRGVLNTSNERMAEELKVLTGGTLLSRNKDYIVFYRGNDFLSPRVTEALEEAERKSDFLQDQEEQARQRAATSIDSDTRAPKRPLVAGTLSETMAATSRWGNQPSIEEREKMLRDAAVARHASLVKYLDEKLALAKGKVKKAENMLRKLQENREPSELPTDLEILSAEERFLFRKMGLSMKPFLLLGRRDVFDGTIENIHLHWKYRELVKIIAERRNAAQIKHIAITLEAESGGLLVSIDKTTQGYAIILYRGKNYQRPNEFRPKNLLTKRQALARSIELQRREALKHHITELQDKIQNLKSELEDTEMVEEIDEETLFSRLDASDDEDDVEKQFDAEDHN >Solyc10g076243.1.1 pep chromosome:SL3.0:10:59223002:59224460:1 gene:Solyc10g076243.1 transcript:Solyc10g076243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSFFLLHVLVMFSLASMALSDSLSPSFYNHVCPEALPAIKRVVEDAVRKERRMGASLLRLHFHDCFVNGCDASILLDKTATIDSEKTAIPNNNSIRGFDVIDKIKSEVDKCCGRSIVSCADIVAVAARDSVVALGGPTWEVPLGRRDSTTASRTKANNDIPPPTLDLPALINSFKKQGLNEKDLVALSGGHTLGFAQCSTFRNRIYNDTNIDSTFASQRKANCPRSGGNTNLAPLDPTPALFDSKYFSNLVSKKGLLHSDQALFNGGQTDNLVKKYSTNLGSFSKDFAESMIKMGNIKPLTGNQGQIRVNCRKVNV >Solyc01g110300.3.1 pep chromosome:SL3.0:1:96941535:96947111:-1 gene:Solyc01g110300.3 transcript:Solyc01g110300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIRKQATRLREQVARQQQAVLKQFGAGGYGSDLVTDEAEMQQHHKLERLYISTRAAKHFQRDIVRGVEGYIVTGSKQVEIAGTKLSEDSRKYGAENTCTSGTTLSKAALGFSRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAVEVSRRQAKLREGTGHPDMAYKLEAAETKLDDLKSNTNTLGKEAAAAMAAVEAQQQRLTLQRLIAMVESERSYHQRILQILDQLEAEMLSERQRIEAAPAPPLDTMPPPPSYEEVNGVSTSPVQNGSTDNMGYFLGEVMYPYQAESDVELNLSVGEYIVIRKVSNNGWAEGECKGRAGWFPFGYIERRDRVLASKVAEVF >Solyc10g045103.1.1 pep chromosome:SL3.0:10:30934121:30935719:1 gene:Solyc10g045103.1 transcript:Solyc10g045103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRPSPNNAWEIKKQNRVAKILYIRKKYKLSGVPQESNAPVAFQYFSKEADTSSIDRYNVQAVLEWLVPINLKELRGFLGLTSYYKRFVNIYGMTAPPLTELNKKNAFQ >Solyc08g081190.3.1 pep chromosome:SL3.0:8:64382531:64385430:1 gene:Solyc08g081190.3 transcript:Solyc08g081190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmamembrane intrinsic protein 15 [Source:UniProtKB/TrEMBL;Acc:V5YN05] MAENKEEDVKLGANKFRETQPLGTAAQTDKDYKEPPPAPLFEPGELSSWSFYRAGIAEFMATFLFLYITILTVMGLKRSDSLCSSVGIQGVAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFMVGPYQRLGGGANVVNPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIYNDEHAWNDHWIFWVGPMIGAALAAIYHQIIIRAMPFHRS >Solyc11g068390.1.1.1 pep chromosome:SL3.0:11:53433468:53433962:1 gene:Solyc11g068390.1 transcript:Solyc11g068390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENQRLSHKQVEYQYQDSIKNITSLSIVDDEDKIDSCFDSMTMKVNQEHSHKQGECQHQDSIKNIESLSMVDDEDKTDNFFIESKKLGSEKLKRHWREVEGKVLVPDRWEHEGYLREWMDYSSFDKILAPEGLKSAREALMSQGKRMCSSSSSTSRMFEVRSR >Solyc01g014393.1.1 pep chromosome:SL3.0:1:12961210:12961959:-1 gene:Solyc01g014393.1 transcript:Solyc01g014393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKDRSLRLCIHYRTLNKNTIKNMYLIPLIVDLFERLGQDKYFTKMDIRKGYYQVQIADGDEQKTACVARYEAYEWFVMPFGLTNAPSTFCMLMNEILHPYFDQFVVEAPMKVTELRSFLGLANYYRMFISGYSAKASPLTELLKKNKSCVWSKECQGSFEGLKVAVIEELVLMLPDF >Solyc10g080930.2.1 pep chromosome:SL3.0:10:62236840:62241976:-1 gene:Solyc10g080930.2 transcript:Solyc10g080930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSGTLDKWRDYFRTANSDIFDIIEYAVMVAAVDCPKEFKMRRDRIAEMLFTCKVTMCFGCEKVDLAVPIAGNDDEGKIKSKDEFGGSKESKVNSRIDHHDVEMNVNQVSNYSYGEAEALTEEMEEETQTFGEVMRIKDVIDNNQAESAELFECLRRLQLMALSVETLKATEIGKSVNSLRKHNSKDVRHLSRTLIEDWKVLVDEWVNATAAFAGNESTPESMKASVVDQDEEGLPSPPLDDLAFFSAQTTSIELSQFFDGMDDDGNPRNSGGFNVNRGNGRKPSLGNQNIPLQKKQSADCFNASPKENKGEQQKKQEAVIKKQTTVAKPTKPSGGEFGPGRPTKPALEQKLKVNEMNFQQKSDKGTIQKRPVASQQNKLRHSDEDAVQVKLEATKRKLQERYQEAEKAKRQRTIQVMELRDIPKKGPNQGVGLKNAHMRPGNNNRHWTNGRR >Solyc01g099600.3.1 pep chromosome:SL3.0:1:89667590:89669478:-1 gene:Solyc01g099600.3 transcript:Solyc01g099600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGAKKISDSIDPLVKNRKVPKLDSIKYIPCKHSHSFALHSSLHFSLFLPLFKKKRKANLFLIVNIFFSVPSSVIMAAQDRQEDQPELQNYKTWVLKVSIHCEGCKRKVKKILQQVPGVYTIDIDLKQQKAIVTGNVEAEALLRKLHKSGKHAELVPQQTDHKEKKSAKIKSKEKQINPQNKEAESTTQLDINTHKNVKSNEKIAAPKEPSVKIQEASDEAVPKRSEKPSTGSAKPTEETGTASGDKPVEAEKENETVEEIKSEGKKTVTDSTGKQQIPVAAEEKVTDSDDASASGEKSGGGPVGEASGNTGKKKKKKGQNSNNVEGTPSIVAPAGPVFENHNIGPQVFAPTNDSPPRHPSYHYYPQQGPQFYAPPPAPAYVVSYNTAQPTANYTASYYAPAPPTSYAYTYSGPSHVTEPPPSDVDTYPRQPLDSFEMFSDENPNGCFIM >Solyc01g058703.1.1 pep chromosome:SL3.0:1:67756295:67758675:-1 gene:Solyc01g058703.1 transcript:Solyc01g058703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDTVKEYSAKLFETVNKIRLFSETFQDSKFAENIMISLPALFRSKIQAIQESNFLKILSMVELISKLQAQEQRSSIRDEEVSEFEF >Solyc02g005187.1.1 pep chromosome:SL3.0:2:7431467:7442451:-1 gene:Solyc02g005187.1 transcript:Solyc02g005187.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNVRHNLLPHFWDNVIIGVEEIRLERAKALNSRTSEDGVKLLVVLLCWKKEREGDSVAPSAGCPRRRSHVGYHWGSRRRLVVVVVTWHLAGGAAGSLPPGGLPSGSLPPGGLPSGSLPPGGLPPGGLPPGSLPPGGLPSGSLPPGYYKVRIAEGDEPKTACMTRYGAFKWLVMPFGLTNAAATFCTLMNEILHPYLDQFVVVYLDDIVVYSSTLQEHVEHLKKVFKVLRENQLYVKREKYEFAQPKIHFLGHVINQGELRMDEPKVKAIQDWEAPTKVTEQSSFPGLANYYRRFISGYSAIAAPLTKLLKKNRPWLWSEECQEAFEGLKAAVTEDPVLMLPDLTKTFEIHMDASDFAIGG >Solyc09g098410.2.1 pep chromosome:SL3.0:9:72728843:72731623:1 gene:Solyc09g098410.2 transcript:Solyc09g098410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHVVDIGKPINYTGGLEFSSLTYRVTKKMKDENGKWLSQEVDLLHQITGYAPKGCITAVMGPSGAGKSTLLDGLAGRIASGSLRGKVSMGGLDMSPSFIKGSSAYIMQDDRLFPMLTVYETFMFAADFKLGSLSRTEKQDRVERLIEKLGLSSTRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAHSVIEKVHDIARAGSTVILTIHQPSHRIQLLLDHLIILARGQLMYQGAPNDVSHHLVRMGRKVPKNESSIEHLIDVIQQYDQSELGVEAVAAFALTGMKPPPIGAAAHEMSVSTVLPSPVRPSHRAKHQVVEAQGRDKSSKRLRLQTHAQDDTGFDHSVRSPWNTSKSWSASRSGVLQSIGFSPARRNDHRSPSVMGSSPGCYAYSTEIVQSTPTPHSSDYTVNENDYLTPNDFGHDINHSFHDLGPKFVNSFFSETWILMRRNFINIMRTPELFLSRLVVLSAMGIMMATMFLHPKENLQGITNRLSFFIFTCTLFFFSSNDAVPAFIQERFVFIRETSHNKYRASSYTIAGLITFLALITPFHKRRPNKSCVHTVAGLVTYLPFLALQAAVYAVIVWFALSLRGPFIYFLVILFMSLLSTNSFVIFVSSVVPNYILGYAAVIAFTALFFLFCGYFLNSNDMPSYWKWMNYVSTMTYPYEGLLMNQYQTNESFGKDPLGRDVTGFGILKSLNISLDPTKKWEKVYIMLGWAVFYRILFYIALRLFSKNQRK >Solyc04g009090.1.1 pep chromosome:SL3.0:4:2631079:2632362:1 gene:Solyc04g009090.1 transcript:Solyc04g009090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDEWQKVKDCLWKNIEDDSIEISYILSLSYNDLSIVLKQCFLYFDIFPEDQVVDVENIIWLWMAEGFIPNGEERMEDVAECYLNELIRRSLIQVWEDIDPAYLINLRELTMRNIWNYYSLNNISSLTNLSTLTLFSEEVISFPSLQFVNRCEKLQKLYLNGRIEKLSPFPNSITMIVLRDSVLTEDPMPILGMLPNLRNLELCRAYEGEEITSNDNSFSQLKFIYLGFLSKLERWNLSTNAMPLIKALHIDHCPKLMEIPERMKGVKRI >Solyc07g025510.3.1 pep chromosome:SL3.0:7:28733673:28736385:1 gene:Solyc07g025510.3 transcript:Solyc07g025510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSNNITAFLNFVAFMCSIPIIAAGTWLASKPDNECIHWLRWPVVFMGLAVMLVSLAGFVGAYWKKEGLLGVYLVCMAILIIFLLVLLVLAFVVTRPNGAYSVPGKGYSEYRLAGFSSWLRNRITDGHSWGNIRACLAVSDICPKLNTHIITADQFFAAHLSPIKSGCCKPPTICGYQYVNPTVWNNPTNAIADADCSIWNNDQNQLCYNCDACKAGLLGNLRKEWRKANLVLILTVVVLIWVYLIACSAYRNAQTEQLFERYKQGWA >Solyc04g016190.1.1.1 pep chromosome:SL3.0:4:6942779:6944182:-1 gene:Solyc04g016190.1 transcript:Solyc04g016190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BQB9] MASNQEIIVVVVPFPAQGHLNQLLQLCKLIASHNIQVHYVTTMTHTNQAKTRVHDPYSFINNNNIHFHELSTPFFNSPPPNPNSTIKFPSHLQPSFESSSHLRKPLSKLLSVLSSKAKRIVIIHDSLMGYVVQDFVYLPNAEAYAFHSVSAFTLFLFIWENMGRPFDIDANFLKDVPSLEDCFSPEFEKFIKLQHDYMKFNSGRIYNTCRVIEGPFLDLLSKEQISNNKMQWALGPFNPVLVQQYGTKSCHKQHKSLIWLDEHEPNSVVFVSFGTTTSFSDEQIKEIAIGLEESEVKFVWVLRDADKGNVFAKDHQSRKIELPKGFEERVKDKGIVLRDWAPQLEILAHSSVGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNTVLVTKILKVGLVVKEWTRRHEVVTRGMVQAAVEKLMVSKEGEEMRNRAMDLSGALKKSVAESGIKNMELDSFISHITRKI >Solyc04g077280.3.1 pep chromosome:SL3.0:4:62337624:62342602:1 gene:Solyc04g077280.3 transcript:Solyc04g077280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BUG5] MEASLFLLFLLSFYSFISNIISTTTNVITTNHNITDGETIVSSGGTFEMGFFSLSGSSNRYIGIWYKQILPMQTVVWVANREKPLTNTSSVVLKVIKPGILALLNDKNETIWSTNTSRSVQNPVAVLLDSGNLVVKDANDDNTDKLLWQSFNFPTDTFLPNMKLGKNFQTGQDVYLSAWKNESDPTPGEITLHIDPTGYPQTVVKRGTSVLGSSGPWNGLRWSGDRVPPPNQSSIYKIQYVFNKEEVSYSYYFIDSLMLPRLVLTSSGYVQGLMWVNQTKTWLYLSLPPNTCDAYSVCGAYGSCDIDHSPVCSCLEKFVEKYPQQWEKGDWSNGCVRRKPFDCNKEHVFLKYSGIKLPDTKYSQYNTTMTLDKCRQVCSRNCSCTAYSSLDIRNGGRGCLFWFGELIDIKKISGGQDIYIRMASSELVSEAGSKRKMAKILAVSLSLLMAMFLLGLILLLYNWKKNKLKLKEEFELPLFQLSTITRATDNFSVNNKVGEGGFGPVYKGVLEEGQQVAVKRLSRTSKQGLDEFKNEVIYISKLQHRNLVRLLGCCIQGEEKMLIYEYMSNKSLDSYIFDQTKSKLLDWPKRFDIINGIARGLLYLHQDSRLRIIHRDLKASNILLDMEMNPKISDFGLARSVAGNEMGANTSHVVGTQGYMSPEYAVEGMFSVKSDVFSFGVLVLETVSCKKNRSFFDQNQSLNLLGYAWKLYKEDRSLELIDEQLAGSCHISQVLRSIQVGLLCVQQCPEDRPNMSSVIMMLGNSHGSRLPEAKEPGFFPEREVLEADKSGSQNELTITSIYPR >Solyc05g056190.2.1 pep chromosome:SL3.0:5:66414111:66416273:-1 gene:Solyc05g056190.2 transcript:Solyc05g056190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVGEDVSCLIIVLTGSKCVQPYERIPFHLFLGRVQ >Solyc10g018025.1.1 pep chromosome:SL3.0:10:6534857:6538749:1 gene:Solyc10g018025.1 transcript:Solyc10g018025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVESTQPSSISVTIAAKIRPIHDPSSVYYLHPSEGPSNSLTKYLLKGDNFDVWEQAICNALEGRSKIGVLYEKGFPKPTNELELDAWKANNSIISSWIFNRADETIQPSIVAHKITHELWTDITAKYGGTNSPRSWQLKSDLQMLRQRGQYVVSYYNQFITIWNQLYGSIDPTCGCICPVAARMRQEKTHAFLLGLDDAQFGATRSQIFGTRPLPVLNEAYYLVSQEERHKSIVRNRDDHTDGLAFAVETQSTPPLKYKCTHCRKNGHSAEWCFLLIGFPSGGRRGRRGGRGGRRGRGPPSGREQSAGRGDGMAAHADSPTSLAVTTGSSQGGNFPGLSAEQMTRLLNMLDTPTQSGNNTGTVHALSPDWLSDSGASHHMTGNFSSLYDIMSVPECSIDLPDGTHVVANYCGSDRVLTTEIGRGTARNGVYVFQSQAFVSASRVDQTNIAPQQPEFITSTKPTTTVPPVDLGVVPPPSRTSSRVRHPPGYLSEYVCQSATHVPPVTRPSTTPRSAHKDHTLFIFRRGADFLAILIYIDDILVTGNNLNLCASFKKYLHNCFQLKDLGPLKYFLGIECARSSTVLVLCQCKYALEILQEAGLTDCKSASTPLLPGHGLATSTSATIRDPSKYRRLVGRLIYLMITRLDLAYLVHLLSQFMHEPRVDHLNVAMHVLRYLKGHPGQGILLRADSNLQIMAYCDLD >Solyc09g010400.3.1 pep chromosome:SL3.0:9:3777986:3778764:1 gene:Solyc09g010400.3 transcript:Solyc09g010400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4CR67] MEKKGAGGRKGGGPKKKAVTRSVKAGLQFPVGRIGRYLKKGRYSERVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLSGVTIAHGGVLPNINPILLPKKSDKVGKEPAKSPSKATKSPRKA >Solyc09g097970.3.1 pep chromosome:SL3.0:9:72420523:72423959:1 gene:Solyc09g097970.3 transcript:Solyc09g097970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGTKVGRIKLGSEGLEVSAQGLGCMGMSAFYGPPKPDSDMVQLIHHAINSGVTFLDTSDVYGPHTNEILLGKALMGGMRERVELATKFAVSFAEGKMQVRGEPAYVRAACEASLKRLDVDCIDLYYQHRIDTRVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEDIVPTCRELGIGIVAYSPLGRGFLSSGPKLLEDCSNEDFRKYLPRFQGENLEHNKKLYERICQIAAKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENLNQNIGALSIKLTSEDMTELESIASANAVQGDRYGSAAATYKDSETPPLSAWKAT >Solyc03g097660.2.1 pep chromosome:SL3.0:3:61420977:61423113:1 gene:Solyc03g097660.2 transcript:Solyc03g097660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGEISKVKGKNYRIPPNFLRRRLHDKKKRRRFRRPTKQSTPSKKELLSYAIEDDENLEGSLGKSEYVIKDIKKVEANDDHQERVAKLIEAIVQENDDEEEDKERDYGDDDNHKDDQRTIKRSYDDEGPGSPSFRVYFTNNNNVVEDKRINEVIIGNKDVIKNTTPIAATSRPTIPIAATIPSTEVVPVGRKVKKETKRKNFKSALSKNMLNVRSCYSTAHSRHKRNHILPGKAPA >Solyc05g013205.1.1 pep chromosome:SL3.0:5:6276584:6279249:-1 gene:Solyc05g013205.1 transcript:Solyc05g013205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDTLRLFQNADQIEGFLTKRLALASSLACYLSGYINVEGLPSNTLKHSHKNHKKELHWLKLGSA >Solyc06g072925.1.1 pep chromosome:SL3.0:6:45090828:45091562:-1 gene:Solyc06g072925.1 transcript:Solyc06g072925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGDARKILGIEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc11g017420.2.1 pep chromosome:SL3.0:11:8337369:8353564:-1 gene:Solyc11g017420.2 transcript:Solyc11g017420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQYRQSGAIGIINNGGGGGGVVRNGVASDHVSVGIRSSKQQQQQQSRNYHRRLKSTTRKISIGAIIVILFVAFVVSVSAFFYFTSQNKELDNNHFQDDGDVENDSDFLTNVTRTQGKVLQFGHGSVNHGRDSRYWDKDDRRRDDDYNEEDLERNQDSDLNKEQSPPDGKKGDKKSFSKGLDHGGNGLYNEAGRDELRKYEARYQASLENAGHSQDGHHLPNQQLSDADKGKKTELVDADDGYDDGIDLEDAHTDGYDDGDHEDWNHTVAAESQDINDRHFLDTHVAGNNYQNHAKEAGKTYRDFSNKESSSSSHHQKSNTNSGRVSFIDGHPSKKSSSEKRPVPRRKSRKHACEMKILNASALLVEPLESRKFSRFSLQYAETEDKPFDDANWEPRFSGHQSMEEREESFLARNQKINCGFVRGPEETPSTGFDLAEDDAKYISSCHIAVASCIFGNSDRLRIPVGKMVSRISKKNVCFVMFVDEVTLKTLTAEGKMPDSMGFVGLWKIVVVKNLPFSDMRRVGKIPKLLSHRLFTSARYSIWLDSKLRLQLDPLLILEYFLWRKGYEYAISNHYDRHCVWEEVAQNKKLNKYNHTVIDEQFAFYQADGLQRFNASDPNKLLHSNVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAYTYYKLRKMNPDKPFYLNMFKDCERRKIAKLFRHRSDEQRNILSQSETE >Solyc02g088117.1.1 pep chromosome:SL3.0:2:50943929:50946375:1 gene:Solyc02g088117.1 transcript:Solyc02g088117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFYRGYKGESGDLSRSFYSAPRIESSSKEFSICLLCPTANIGGVIGKGGVIINQIRQESGAAIKVDSFAAEGDDCMISISARRVF >Solyc03g025750.3.1 pep chromosome:SL3.0:3:3176380:3176782:1 gene:Solyc03g025750.3 transcript:Solyc03g025750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQHQGPYGGGMLQGVASEGPSTIDSNMNFFVNPSAEHAIASEQSSTALRGTVGLYFNSFAYSLKRWNM >Solyc02g091110.3.1 pep chromosome:SL3.0:2:53157132:53159874:-1 gene:Solyc02g091110.3 transcript:Solyc02g091110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPASFLSPFQFEISYECVTPLQDDLEWKLIYVGSAEDETYDQVLESVLVGPVNVGNYRFVLQADPPDPLKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYDDEQLREEPPQKVLIDRVQRNILTDKPRVTKFPINFRPENSEREEQAPPPDHVGEEEDRNEGQLPLPKSKSDEDEA >Solyc08g013730.3.1 pep chromosome:SL3.0:8:3186919:3191807:-1 gene:Solyc08g013730.3 transcript:Solyc08g013730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin26-like intrinsic protein 51 [Source:UniProtKB/TrEMBL;Acc:K4CJ08] MAELENGISAPATPGTPTPLFPSLRVDSMGSYDRKSMPRCKCLPLDAPTWGAPHTCLADFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYNGAESLIGNAACSGLAVMIVILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYVAAQVSASICASFALKGVFHPFMSGGVTVPSVNTGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGASMNPVRTLGPAVAAGNYKSLWIYLVAPTLGALAGAAVYTLVKLRGDTTETPRQVRSFRR >Solyc05g018564.1.1 pep chromosome:SL3.0:5:22254759:22255380:1 gene:Solyc05g018564.1 transcript:Solyc05g018564.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAILVGAKFTIITDQQSLRSLMNQPIQTHEQQKWLTKLVGFDFHKVYRPGKQNLLRKHQLVAKRSKCLFGQSSVDYLGHIISAQGLAVNPDKIEVIQQWHSPKTVKEVRSFLELAGYYRRFIHHFAAIAGPLYNFLRQDSYQWTEAEQ >Solyc05g045773.1.1 pep chromosome:SL3.0:5:58426617:58427476:1 gene:Solyc05g045773.1 transcript:Solyc05g045773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVPLRPPDDPPFLELFGHSILIEYKEENAIRPHRSSQSIRCTGLYVLASLFCEKLDQREKVFARSQKEGYHFIRQANDLCFAGTTKTTISLFPFFGDTFFSPRDGVGDSREQLLGQLRRKCWNLIGKDKDRRIDKGNRDDDRDHTEKHMNSVWVQLLFERNAKLYNRTNTTALIESFKIKSFYQSASLISQDISFQ >Solyc05g054790.1.1.1 pep chromosome:SL3.0:5:65468692:65469027:1 gene:Solyc05g054790.1 transcript:Solyc05g054790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTESGSGSAGKTAGGATVSAGMEGSATGATSESGAVTVAGNWLLGNVVAGATTGATTGSSISDDASATWLTSSRILAELAQSKVRTSRKMQIVAWSVFEVSWETFAIFS >Solyc11g051035.1.1 pep chromosome:SL3.0:11:17269955:17272869:-1 gene:Solyc11g051035.1 transcript:Solyc11g051035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDQFQQLGLSWKMLIKLNYLLRMQSDINEPRREKEHNKMSEDEDGKKKKLGRINSVHIIDLCIANDPDFISANYLMCYCLFTYRTMTGSG >Solyc12g042730.1.1.1 pep chromosome:SL3.0:12:59095524:59096042:1 gene:Solyc12g042730.1 transcript:Solyc12g042730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSKNNKKHNNSVMNISSNNNNNNNTTFVQADPSNFRSVVQKLTSFESNKFPTIIDRTRSSTFNLHKRRHNASKVEYISTSKTMNLSTTQKVTTSSSSFLASPISPLDVLTRGISPRSTMDDDDDDEEEEEERAIAEKRFYLHPNTPRASQTPQLLSLFPLQSPKDTHHS >Solyc09g057585.1.1 pep chromosome:SL3.0:9:50082568:50083115:1 gene:Solyc09g057585.1 transcript:Solyc09g057585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHCGFVRGVRPGHQTGFDIDDSNLVEMESCQGAFDLIRQPKNTSEYAKKNACFYMFVDEQIEAFLRNSSELNSSMRIGLWRIVVVHNLSYGDPRRNGKVSVHNVTLPI >Solyc04g072030.1.1.1 pep chromosome:SL3.0:4:59188399:59190426:1 gene:Solyc04g072030.1 transcript:Solyc04g072030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQNINGCISDSIEILDPKPLAVVASGVVHPPIVASFNDRIRPLLDCIDKLRHLNIMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLVMRLQNDPNITAPSLQLEYNNKSLPVDEIGIADAIILATDEIAGHGKGISNYPLTLVVKKNGVPDLTMVDLPGITRVAVQGQPEDIYEQIYDIIMKYIVPEESIILNVLSATVDFPTCESIRMSQKVDKTGERTLAVVTKADKAPEGLLEKVTADEVNIGLGYVCVRNRIGNESYEEARSDEERLFSTHPLLSKIDKSMVSVPVLAQKLVRIQASIISKCLPEIVRKINDRLAANLAELNRLPQHLTSVAEALTAFMRILSSSKDSLKKILLSGEFDEYPNEKEMHSAARIVEMLNQYSNELHTKNFEKVDEFLMEEIMVLQESKGIGLPNFLPRGVFLNVLQRKVKEIAASPEDFVGKLWNYLERVVIIVLMHHCENYPQLQSSTRRAAQNLIAKKKNESVDWVREIIGMEKLTDYTCNPDYLTTYSKFMAQQNTFMEIMNDHGKYSMINLEGVGVIDVGHLRKHLDVVQQAFDLKMRMMAYWKIVLMRLVDSMALHIMFSIRNMINKEMENEIIQDLMAPHGGGIERMLDESPLVAEKRNRLKKSVKLLKESKEVVANIMDRISLHDDNERD >Solyc06g074450.2.1 pep chromosome:SL3.0:6:46214394:46217085:1 gene:Solyc06g074450.2 transcript:Solyc06g074450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNCLNDLIITTSWDSDPSEDLEEEEEVNQEEKVVKGEGAPRLELQLPPSELKSPRLELQLPPSELKSPRLELKLPPSELKSPRLELKLPPSELKSPPSEVKSPRLELKLPPSELKSPPSELKLPPSELISPRSELKSPRLELKSPPSELKSPRSELEIFCETMDHCGLRKYMTTHISNFRRLREEVHKALRLSPNPATLVFNCIGKFYITAGKKFANESPLGRGRKAKVLILECLLLMIGIYDKEIELENWVKEEAEHTALAWLERMNSEGGKPQEIDARGLLFFIGGFGIPDQFTNANIRNLFQVSNLKWSCDALKRSNALLAKLPEIIEEMVANKAVVKAVHIVYSVGMQEIFNPRTLLTTFIRECKKSFDNMNGSQSAHQGNIVAKGKYLGDLRSIIKCLRIHEVDPSKVLPGWEISKRIASLEKEISEFNKQILPDKKIAHGSHKRKKDGNVWLRNKEVKHSHPSNPWPPQHHRVASNVINNNTLFEGGVTAGLNYDYFMPPLVLHGPVEGSIHENVGYSLAGPVRGVAIGGPGAGMSANSIHAGMDVVPQGVPYAGHGGSRVDSIPGLIGSHAGQFYGSAYRPSTPNTKTGDTYRPAPFMESSKGLPNTIPGDSSRTPPYLKVSPGLPNTISSNACRPSPYTESSKGLRKTISGDANRPSPKLEGSMELPNTINGDAYRPPPYLEGSMGLPNTPPPHQFVNTVPATELNQSRGSKIILSWLGINFSLVLVSVVYKASPELILGSLRNVCVSLASFFL >Solyc06g035947.1.1 pep chromosome:SL3.0:6:25405975:25410195:1 gene:Solyc06g035947.1 transcript:Solyc06g035947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPLLPPREFREQTHIDGWTIPLKTRILVNAWALARDPESWHDPESFIPKRFENCFIDFTGNHFEFIPVGAGIRICPKILFGLFNVKLPLAHLLYHFEWELPYGMNPKDLDMTETHGL >Solyc05g009710.3.1 pep chromosome:SL3.0:5:3904731:3922660:-1 gene:Solyc05g009710.3 transcript:Solyc05g009710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKSGWTKDRNLFFQWLSALPFSGGGFNDVAAAEGLAEALMMFSSLKDADGQRHCILVAASNPYPLPRRVYYPAMLNLEQSDNEAHTSLRLADAETVARAFPQCSVTLSVICPRKLPKLRAIYNAGKRDQQAAAPTVDMCKNPNFLVLISENFSEACAAFTHSEVERLAPSQTLVEMDMSSVPPVSSPASTSNPASQANSGTPLAYMPVLNQQPISAGNIPLGKNNSAEANMSLSQQQTLATVPSAQSGYVKFWEGYKKSSASESYWFLFSHAKYKLQLLKFCDIYARVRECTGKADILAFWASDWHGVLNALHEKNLSAVIQLPSQTLFIHADKRSFPLIGLLLSNENIRSRPTIPNQQLRAQPPPGMQQLRHNQ >Solyc04g076470.1.1.1 pep chromosome:SL3.0:4:61424216:61424878:-1 gene:Solyc04g076470.1 transcript:Solyc04g076470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSDNHMWRDLLLQAVLILVTIFMFLFMYNVPQKYFSKLRLRNRADMQSKRHFVQGAQLLSQAKSAAAKDRSKSTSLAKSAEAQADLAIALDPKDAAAHILKALALDLQGFKTSALDSIDVALSPLAVKSLSEPEKADALFKRAQLRLAAEQVDSVIEDLSQSVRLKGDNVKAFCLLGDCYEKKGLKEEARKAYQEAQKVQPNFAPAREALDRLNSES >Solyc12g006300.2.1.1 pep chromosome:SL3.0:12:818515:823390:-1 gene:Solyc12g006300.2 transcript:Solyc12g006300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAEDDEPLSKRVKVSFRESADRSNGTFLRDPVSSPLSDLMVCPLEIQGDDEVVGRKGVVKKVELVQIITETLYSLGYKKAGAQLEEESGIPLHPSLVNLFMQQILDGKWDESIATLHKIGLVDEKIVKLASFMILEQKFFELLDREKVMDALKTLRTEIAPLCINSDRVRNLSFCIISPSQQVVSGESGPEIMKIKLRTKLLEELQGLFPTTVMPPEKRLIHLVEQGLEFQLGSCSLHNSLSNDMSLLTDHH >Solyc12g013560.2.1 pep chromosome:SL3.0:12:4405380:4412383:1 gene:Solyc12g013560.2 transcript:Solyc12g013560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKYSEELNVAVRVVHMACSLCQKVQKGLLGKAFGDDDEVKSKDDDSLVTIADWSVQATVSWILSNTFGSEFVSIVAEEDVQTLSKPESAGLLGKVVSTVNECLAQASRYGLKGPDKILGPSEILEAISRCNSKGGPLGRHWVLDPVDGTLGFVRGGQYAVALALIDNAEVVVGVLGCPNYHMKRDRHNKQQQNHISSEVPVPSPDMLEEGCVMYSKKGTGEAWVQPTVYGDGKYEWPNFAKQVRVSPIDDPALATFCEPVERANSNHSFAAGLASSVGLRNKPLRVYSMVKYAAIAQGDAEIFMKFARAGYKEKIWDHAAGVLIVQEAGGVVTDAGGRSLDFSKGIYLESLDRGIVACSGTKLHEKLIGAVYASWESSSL >Solyc01g086960.3.1.1 pep chromosome:SL3.0:1:81750348:81750914:-1 gene:Solyc01g086960.3 transcript:Solyc01g086960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-associated protein 1 [Source:UniProtKB/TrEMBL;Acc:B6JU38] MAQRTEKEETEFKAVPETITLCINNCGVTGNPATNNMCQKCFNATTAATSTSSSSPTGTSVTIPHNFAEKLVRSEKSARFSSLRSSPDRKSDLDRMSQDLKKVGDTMMVKEEDQLKASLPPAKREVNRCSGCRRKVGLTGFRCRCGELFCGEHRYSDRHDCSYDYKTAGREAIARENPVVKAAKIIKV >Solyc07g062740.3.1 pep chromosome:SL3.0:7:65566752:65569371:-1 gene:Solyc07g062740.3 transcript:Solyc07g062740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFDEMSVPTSPKSGNKMEPGMLLEESWFFGNLLDRKSRMLRCYSEPCSSSKKTQDFLSGKSMEETFSSLQKLPQVEKLNLDSRRSKPRLQRASSSSSSDQRCNLQRAPSLPVFVDYKEESHDEESDFSMGKLIRQASINEVKVPISKTLQRSNLQRAPSLPVNQESEQISDTCQVLLPKASSQNKALLESEQIHDEESDFSMGKLIRQASINKVKISPSKHTTKVNLLKTSQGNLQRAPSLPVYAKVEEIHDEENEFSMGKLIRQASLNNNARVLPPKHTSKGLTRSPSISSITKHQLRRKQGQESKTRYSSNGLEVEDLQGFKNLDLDNEKKDSVSKFANTSTPGLIEKNKKKPVGLSDLDKIRRQPYSPEDHMKEQIKYWARAVASNVR >Solyc12g019820.2.1.1 pep chromosome:SL3.0:12:11920617:11920835:-1 gene:Solyc12g019820.2 transcript:Solyc12g019820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISQLIPSRTAKSCKERWCNHLNPQLDHQYFTLEEEDIILKAHAKFGNQWAMIASLLPGRTNGFIKKSLEFHP >Solyc06g071015.1.1 pep chromosome:SL3.0:6:43765906:43767982:-1 gene:Solyc06g071015.1 transcript:Solyc06g071015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKEPENLVSLPASDPALGWIISGPVIPRRRLRSSSDLPVISTPNSSTHSQVNIPFWSPTIKDCDATLLVIDIVYRVYLFSIRVRRGDETIALAEDVLELIYVIMMGEMAVGNGRGNENEKQRGKSGEPFSLLTVNCWSTVLSRDIGRASVSPQSALLYPKENIYKIFLERIR >Solyc03g112353.1.1 pep chromosome:SL3.0:3:64261244:64264767:1 gene:Solyc03g112353.1 transcript:Solyc03g112353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIQIRPDRQTLYWSATWPREVEALARQFLRNPYKVIIGSPELKANQSIRQVIEVVTDLEKYSRLIGLLKEVMDGSRILIFVETKKGCDQVTRQLRMDGWPALSIHGDKSQDERDWVLADFKSGRSPIMIATDVAARGLGRTNHVKD >Solyc10g012160.3.1 pep chromosome:SL3.0:10:4493137:4501146:-1 gene:Solyc10g012160.3 transcript:Solyc10g012160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSIARCLGGLRSYCTDSGDGSQSSKLKIFDRHFKRMQRDRAAWLMKPKDSLVDTVAENLLDRLEDCKRTFPTALCMGGSLEAIRRLLRGRGGIEKLLMMDTSWDMVKLCKDAEQQMPTDNIETSYIIGDEEYLPVKENSVDLVISCLGLHWTNDLPGAMIQSRLALKPDGLFLAAILGGETLRELRIACTIAQMEREGGISPRLSPLAQVRDAGNLLTRAGFTLPGVDVDEYTIRYNSALELIEHLRAMGETSALLQRSKVLKKDTALATAAIYESMFAAEDGTIPATFQIIYMTGWREHQSQQKPKKRGSATVSFHDLQKQFGSDGSC >Solyc12g017927.1.1 pep chromosome:SL3.0:12:8527639:8535422:-1 gene:Solyc12g017927.1 transcript:Solyc12g017927.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRSLNLYKSVFFRNVFHYFLCGSKLLSQKKVLIKLEYWLLETFGKSQVTSGNNELSESSCLLGDEWKESFNFDFAKAFDVAYIMIKNVGVSFFVVSNGRGESLDACDLYEAIKDDYELNERLVVKFHSKIFGVDYSVTFPPATRLDTIRRLLVIAAQKEAEFTAVVAAVNQAL >Solyc02g067560.1.1.1 pep chromosome:SL3.0:2:38276585:38278396:-1 gene:Solyc02g067560.1 transcript:Solyc02g067560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFRLINLPFIVLFLVFQPLLHCTAVAVAEDDIKCLEGVKNSLTDPKGNLNSWNFANSTVGFICKFVGASCWNDRENRLINLELRDMNLGGNVTDSLKYCRSLQTLDLSGNQISGSIPSDICTWLPFLVTLDLSYNEFTGSIPSDLVSCSYLNKLMLNDNKLSGNIPPQFSSLGRLKIFSVANNDLSGRIPEAFDSADSFDFGGNDGLCGGPLGKCGRLSKKNLAIIIAAGVFGAAASLLLGFGAWYWYFTKAGKRRKMGYGLGRVDSERWADKLRAHRLTQVTLFKKPLVKVKLADLMAATNNFSSSTVINSTRTGTTFRAVLRDGSALAIKRLKAYKLSEKLFRMEMNGLGQVRHPNLVPLLGFCVVEEEKLLVYKHLSNGTLYSLLKGNTSMLDWPTRFKIGLGAARGLAWLHHGCQPPILHQNICSNVIFLDEDFDARIMDFGLARLVTPPDAKETSFVNGELGEFGYVAPEMVASLKGDAYSFGVVLLELATGQKPLEITAADEVFKGNLVDWVNQLSVSGQIKDAIDKHICRKGHDEEIVKFLKIACNCLISRPKERWSMYQVYEALKSMAEKHGFSEHYDEFPLLFNKQETSSPI >Solyc10g019030.1.1 pep chromosome:SL3.0:10:11248413:11250251:1 gene:Solyc10g019030.1 transcript:Solyc10g019030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLWKIYSTISQLQDELKKVKDQLNSFESLKRRAQLEAEEAKKQLTFMSKQLEDPEKQLLELSDFEEELKTELSNLQVEVIQLRVALEISETRYQEEYIHSTLQIRSDYELVEQSKSKSIQEEVEWEAKLEMKKRETEGSKANYSALALVEAARTAEKEALVKLVHLTEEADNSIRKATCVTEQLDWRKAAEEAATMLSTGNNGKYAQRTGTLDYTLGCIHCYLKARLMTFPRRIMKRVEKD >Solyc02g091500.1.1.1 pep chromosome:SL3.0:2:53417352:53417822:1 gene:Solyc02g091500.1 transcript:Solyc02g091500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPSDSKQPAVSSAEMDGVEKVFRKFDANGDGKISLSELGAILNALGSKTSPDEVNRIMLEVDTDGDGFIDLKEFAAFYCPRGADGDNKELREAFDLYDKDKNGKITAAELHSVMKSLGEKCSLKDCRRMISKVDVDGDGCVNFEEFKKMMSRT >Solyc03g007330.3.1 pep chromosome:SL3.0:3:1891184:1902692:1 gene:Solyc03g007330.3 transcript:Solyc03g007330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLQASLLFKPLPPLLHFSSSKHVRSLSFANALSCRRLSTTASAPFKTRFCRHNLLLHCTLNPEQVDSSSEFALSNNDDNSIPEMEPIEFNEPSVVQIGSVQNSSIDSNAGVVSSSFSDNEAASEVLVENDELKKKLPILVFLMGVFAKVKKGFENILLSDWFSWWPFWHQEKRLERLIADADANPNDAAMQSALLAELNKHSPESVIRRFEQRAHAVDSRGVAEYMRALVATNAIAEYLPDEQSGKPSSLPSLLQELKQRASGNMDEPFLNPGISEKQPLHVVMVDPKVSNRSSRFAQEFLSTIIFTIAIGLVWIMGATALQKYIGGLGGIGASGVGSSSSYAPKELNKEIMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPSKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYKAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVRGRQEILELYLQDKPVSDDVNVNAIARGTPGFNGADLANLVNIAAIKAAVEGAEKLNASQLEFAKDRIIMGTERKTMFLSEDSKKLTAYHESGHAIVALNTEGAHPIHKATIMPRGSALGMVTQLPSNDETSISKKQLLARLDVCMGGRVAEELVFGPDNVTTGASSDLHTATELAQYMVSSCGMSDAIGPVHIKERPSAEMQSRMDAEVVKLLREAYDRVKALLKKHEKALHTLATALLERETLSSEDIRRILLPFSEDRLSEQQQQQQQPQDEETLALV >Solyc08g082850.3.1 pep chromosome:SL3.0:8:65631273:65639610:1 gene:Solyc08g082850.3 transcript:Solyc08g082850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVASNVVHEILGGRAQDVDQPIIDYIINVVADEDFDFGHDGEGAFEALGELLVDSGCVTDFSECRAVCSKLSEKLEKHELAKPQPTVRSLKMPLRMFDGMDEEEAPKNKKPEPVDGPLLTERDKIKIERRKRKEERLREAEYQEHLKEVEEVKAGMPLVCVNHDGQGDGPTVKDIRMENFNISVGGRELIVDGSVTLSFGRHYGLIGRNGTGKTTLLRHMAMHAIDGIPRNCQILHVEQEVVGDNTSVLQCILNTDMERTQLLEEEARLLELQRVTDLEGESAKSDKLNGGIDKNSQAKRLEEIYKRLDFIDAYSAESRAATILSGLSFSPEMQKRATKTFSGGWRMRIALARALFIEPDLLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNSVVTDIIHLQNQKLSTFKGDYDTFERTREEQVKNQQKAFEANERTRAHMQTFIDKFRYNAKRASLVQSRIKALERIGRVDEVINDPDYKFEFPSPDDRPGAPIISFSDASFGYPGGPILFKNLNFGIDLDSRVAMVGPNGIGKSTILKLISGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRGHLGSFGITGNLALQPMYTLSGGQKSRVAFAKITFKKPHILLLDEPSNHLDLDAVEALIQGLVLFQGGVLMVSHDEHLISGSVDQLWAVSEGRVTPFDGTFQDYKKILQS >Solyc11g012300.1.1 pep chromosome:SL3.0:11:5152179:5153682:-1 gene:Solyc11g012300.1 transcript:Solyc11g012300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLNIFPSTLISNKKFPIIFQEKNSQFSLHQKKNLKLHHDQKYVSSFIQLNQVSSKRNCLFYPLKCNKSDNSENNNPEEDPKAIETVQKLYKALKNKNLIELSDIIGEECRCISNVASSLQTFYGKEQVIDFFKSIIKLLGNNNFEFVFKPTTHDGTHVGVAWELECGETHIPIVKGFGFYHCHYYQGRMMIRNVEMIMEPFLQIEPLRLKISSFLVRAIQKMTPDILKGKMKEAMKISFMILSFVYFLYLIKNFM >Solyc08g079310.3.1 pep chromosome:SL3.0:8:63021822:63023886:1 gene:Solyc08g079310.3 transcript:Solyc08g079310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFMFDSFSWPLQDLNIEQKGVLFSCLLALLWCFISNSNKGLPPGPKALPLIGNLHSLEPQLHTYFASLSKIYGPICRIWLGKKLGIIVTSPALAREVLKDKDTIFANRDVSVAGREVTYGGTDIVWTPYGPKWRMLRKVCVQEMLSASTLDSLYALRRRELRQSINYFYNQTGSPVNVGEQMFLTALNVITSMLWGGTVKGEERATLGAEVRDVVTKMNELLVTPNLSDFYPGLAWFDLQGVKKKMKVLAKRYDNIFESMIDQRQKMNRNGVGQESKDFLQVLLKLKDEADPKMPLTMTELKALLTDMVVGGTETSANTVEFAMTEIMNKPDVLRKLQQEVDTVVGKDNIVEESHIQHLPYLYAVMKEVLRLYPAVPLLVPHCPSETVTVGGYAVPKGSSVFVNVWAIHRDPSIWENPTEFHPERFMENKWDFSGNDLTYFPFGSGRRICVGLAMAERMFMYSLASLIHSFDWKLPEGETLDFTEKFVLVLKKKMPLVAIPTPRLSNPTLYE >Solyc06g069030.3.1 pep chromosome:SL3.0:6:42954040:42956576:1 gene:Solyc06g069030.3 transcript:Solyc06g069030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSSSLLSLKWSTNPSICTFHIPCHHSKLLTPLRFSALKTRAIHDEKDQNSQPPVLIQDKENELLNQEVEESVRVLKNAAKTRKVPAEEILAALAAIERAKIDSSRFLETLGGAKSPGRTWMLIFTADKGLGQGRYFPITAIQRFDAAAKRIENGVYLGPLGFLTFEGPFSWKNRILAFVFERLRIKVGPFNPFDISIKGKEEREPSNKDKDPFFIWFYIDEEIAVARGRSGGTAFWVRCRRVGYS >Solyc08g082760.3.1 pep chromosome:SL3.0:8:65575400:65579689:1 gene:Solyc08g082760.3 transcript:Solyc08g082760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:K4CPS2] MASFKAFLNSPVGPKTTHFWGPVANWGFVIAGLVDTQKPPEMISGNMTSAMCVYSALFMRFAWMVQPRNYLLLACHASNESVQLYQLSRWGKSQGYLQQNAAKAE >Solyc02g062950.3.1 pep chromosome:SL3.0:2:35435406:35445561:-1 gene:Solyc02g062950.3 transcript:Solyc02g062950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEESTPAKPSKVTSTQETQATPSYPDWSSMQAYYGAGATPPFFPSTVGSPTPHPYMWGAQHPLMPPYGAPVPYPALYPPAGVYAHPNMPMTPNTLQANPESDSKAPDGKDQNTSKKLKGCSGGKAGESGKAASGSGNDGGATRSAESGSEGSSDENDENDNHEFSADKNRSFDLMLANGANAQTNPATGNPVAMPAPNLNIGMDLWNAPSGGPGMIKMRSNQSGVSPAPGMGREWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQHKVETLSNENHGLKEELRKVSEECEKLTSENNSIKDELTRLYGPEAVSKLESNANAMRLQSNVEEAKS >Solyc05g024415.1.1 pep chromosome:SL3.0:5:31655785:31658888:1 gene:Solyc05g024415.1 transcript:Solyc05g024415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAATQGKWIKLEEKGAGPGARSSHAITIVGHKVYSFGGEFTPRVPVDNKLYVFDLNSQEWSVADAAGDVPPPRVGVTMATVGETVFIFGGRDAEHMELNELYSFDTTTNKWTLLSSGDDDDPPHRSYHSMTADDRRIYVFGGCGNAGRLNDLWGYDVVDKKWIKYPVPGENCKPRGGPGLTVVLGKIWVVYGFSGDELDDVHYFDTIEEKWMQVGTNGEKPTARSVFSTVGIGKFIFIYGGETDPSDLGHLGAGKFAGDVYALDTETLVWKRWMDSGDHPGPRGWCAFASGQRDGQEGLLVYGGNTPCNDRLGDIFFFTPLVEI >Solyc09g074500.2.1 pep chromosome:SL3.0:9:66723691:66725040:1 gene:Solyc09g074500.2 transcript:Solyc09g074500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADYIYEVSFQEFSFTSDQRELQCYVVKEFGRIQXXXXXXXXXXXXXXXXXXXXLVSKRLPHFFSFSSNTDSIFKRKHMCAL >Solyc05g024387.1.1 pep chromosome:SL3.0:5:31631992:31633474:1 gene:Solyc05g024387.1 transcript:Solyc05g024387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDPGPLDTSVLTRQLTHRSRDIWIGNDNMILNTRKCDGKFWDLVNEHLIHPRVLDVIKLSILYGVYRSHRPVIDRSLITALVERWRAEIHTFHFRTGESTITLQDVEILYGLPVKGNAVVGYEPQRSVVDWQNICQILLGFSPQPQDFKHSSLKVSALNAHLRLQPRLPDLATQDMVNEKARCYMFWIIAGLLLADTSGGLLKLMYLPMLEDITMVGSYSWGSATLAYLYRFLCKASQSSQNEIVGFLPLLQIWAWERVTVLTPQIVEKRDTRNIFPVGLQRGPHAARLYAHFSWTDTTKHVLRVFRDALDSMTEDQFIWEPYSSDIIESLPEYCRVGRDIWRARVPIFCWDVVEVHLPDRVTRQFGLVQAIPSSFAFDATHFNHDRRGRSNTNWELEHAQWLHFWNHIDQYVWNAPILHGSLRYDDPYLIWFRRITRFIIG >Solyc08g076490.2.1 pep chromosome:SL3.0:8:60615273:60617882:-1 gene:Solyc08g076490.2 transcript:Solyc08g076490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQWKKLYVLGAGSYGKVYYAVKMDPVSLCVSVAAVKCADMNRSVSLQREAEILTTLKDSPYVVQFIGSDVSIDNGNVSTYNLFLEYAYGGSLHDLIINSKMRRIRMSEVEVGFYAYQLLKGIQHVHEKGWVHCDIKPANVLIFNNAERGGMHKLKLADFGLSLRVPEGVAYMTGAAMSNRGTLPYAPPESLISGFHGRSYDIWSLGCTVAEMMTGCRVWIYRDTKDLQWKIMNEEPMVPSDVSEIARDFLYKCLIKDPLKRWTTQQLLQHPFIQQALLCTRMRETHGITSRVNPFGCRLGIYRKEIHISSPRVVESSRRNRLSEFLIADPAISISISFSDHPSKFFSSQSMSKLRHRMSQFCSRNITISIPIKHLK >Solyc11g028107.1.1 pep chromosome:SL3.0:11:20378537:20382555:1 gene:Solyc11g028107.1 transcript:Solyc11g028107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGTGTSQFNGYGGQSLGNNGGYGRGYQAANNISNDHCDHPNAVSNNQVENNNMQKKAENQTIEAEIDNSESADTSIVHEDSQSNETENSSEDQMFRPSTTEEVAENTHVVPSHVDSYIRRSSRSIKEPMWMKDYAITKGHNDLLITGSNTQLITEVKTCLHKQFKQKDLGELKFFLGIKVLRSSGRIILNQRKYILELIAEVGLTGAKPAATLMESNLRLTSVEHDQANGYFTMEG >Solyc11g007345.1.1 pep chromosome:SL3.0:11:1721921:1723220:1 gene:Solyc11g007345.1 transcript:Solyc11g007345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMILEEDFRKRSFKNGEKVGFSLKEDQFQSVDEMGVKASCERDVEKQGGRGNDDKNCDVDIRGEKRHSDGDIVKTGDGGA >Solyc03g071670.1.1 pep chromosome:SL3.0:3:20443579:20445658:1 gene:Solyc03g071670.1 transcript:Solyc03g071670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSIQWWIYLFFSHKRQPSLMCGHLDECMSFASSTSARNTEDEDDDSDGPMRRRRRTTSLIEGDDNLAESSAARRRRSRILSRWVARQAEHMITTIERRNRESELMALAGLHTVSTLDSSFLTESQSPTSRRDAERTSSRSSTILQMWRELEDAHILNHARERVRERLTHQRTVDSNTNISSTSISERRETENHDSLVDANESENEYGTWSHDVIGPQIENREQGNSSCEQSLDLGEVERERVRQIVRGWMESGISDHSPNASQGNGVPRSEWLGETKRERVRIVREWVQMTSQQRGARGGRREEQSNGLRAQADRVHDESVVEHEEGQPEHVHRDMLRLCGRQALLDLLVRIEGERQRELQGLLEHRAVSDFAHRCRIQSLLRGRFLRNESPAEEERPPSMAASELNHLRQRHTVSGLRYMAWSIMFCCRICLKLNFNQLLKLAFM >Solyc01g110190.3.1 pep chromosome:SL3.0:1:96862272:96874998:-1 gene:Solyc01g110190.3 transcript:Solyc01g110190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSGSSSRGRSTSPFHHRKPSSPYSSTSSSSSFMNGRLMPRSNSSTATSMLGSGTGVSSKSVTPGRNRSNSEYSRGYGNRTPVSYQSTEELIAEPVDMSRAGESISVTVRFRPLSEREYNKGDEIAWYPDGDKIVRNEYNAGTAFAFDRVFGPDTCTQEVYEVAARPVVKAAMEGINGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDTQGTYVEGMKEEVVLSPGHALSFIAAGEAFVDPDVDYILNVAEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGKAFHVPYRDSKLTRLLQSSLSGHGHVSLICTITPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEICCLKEELDQLRRGMLVGVNHEELMTLKQQLEEGQVKMQSRLEEEEDAKAALLSRIQKLTKLILVSSKNSIPGNRFASEDDKLNGSEPIDSENQRDSSSETSDFKHGRSSSKWNDDVSQAGSVITESTQGGDLVTGSKLPIEGVSLSDQMDLLVEQVKMLAGEIAFSTSTLKRMTEQSVNDPENSKSHIEIQSLERDIEGKREQMRILEQRIVESGEVSISSASLVEMQQTLMKLMTQCSEKGFELEIKSADNRILQEELQNKCLENKELQETIYNLEQQLAAAKVEKSYPSTEQGLLSDKYIDDLEKKIQLQHSYTRVLQSSISVISTDTGYVVIDIENDKLKLELVQSVEESSALRVQNQKLFEETSYAKELASAAAVELKNLAGEVTSLSIQNKELEKELLAARQALNSRSTIAHTGNVRHRKHGENLWQGRRSRVSDRESEVPGVVRDGLGTWDLDTKDLKMELQARKQREAVLEAALVEKEILEDEYRKKVEEGKRREAALENDLANMWVLVSQLRKKNGATQDVKTVTERQNFGRRNMNDPEMFDSEYNDPIIDDEQTEDHATSVAEILKEDPLVARLKARMHEMKEKDHTYVGNADANSHCVNLVPLPVPSVQSVGLKLQIGFLSLLETPFQVLKLW >Solyc12g005350.2.1 pep chromosome:SL3.0:12:215937:218537:1 gene:Solyc12g005350.2 transcript:Solyc12g005350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKSYCVTGGTGFIGSWLIKSLLQMGYKVHAAVRHPEKSSHLLKLAEGSEMLRLFKADLREEGSFDEAMRGCIGLFHVAAPMEFCPPATENVERYVEENMIEPAIEGTLNVLKSCLKSNSVKKVVFTSSISTITARDNFGRWRPFVDESCKVPIQHVKHTKPTGWVYVLLKVLTEDAAFQFAKENGINLVSTITPTIAGPFLTPTVPSSIQVLLSPITDDPDMLAILTAVNTRMGSIALAHIEDICRAHIFLMENIKAQGRYICCAQSWALSEVIDHLKNEYPYLDAERRGGHDSVIPSEISSKKLRGLGFSFKYEINDIIRDTITSCIHHGFLASIQK >Solyc10g079440.2.1 pep chromosome:SL3.0:10:61093364:61097977:-1 gene:Solyc10g079440.2 transcript:Solyc10g079440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKPRNSITKTILKKFKNVSNPRKNPFSSSSIPREKVDCLVIGAGIVGIAVAKELSVNHGRQVLVVDSAPIFGTGTSSRNSEVIHAGIYYPTNSLKASFCVRGKELLYKYCKDHEIPHKQIGKLIVATGLSEVPRLSNLMTQGIQNGVEGLRMMEGYEATRLEPELQCVKALWSPSSGIVDSHSLMLSLVGEAESHGTTFSYNTAVIGGHIEGNQIQIHVSGSNAVANWNGSSELDSELILIPKLVVNSAGLSAPAITKRMKGLPDGILPASHYARGCYFTLSNTKSPFKHLIYPIPEVGGLGVHVTLDLNDQVKFGPDVEWIKGIDDIPSFLNMFDYSVHEDRANQFYPAIRKYYPSLKDGSLEPGYAGIRPKLSGPREVPTDFVVQGEDIHGISGLVNLFGIESPGLTSSMAIAEDVAAKLLK >Solyc07g032110.3.1 pep chromosome:SL3.0:7:35531475:35536034:-1 gene:Solyc07g032110.3 transcript:Solyc07g032110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIRKQATKLREQVAKQQQAVFKQFSSGLGGPDNSVTDEVELQQHQKLEKLYISTRAGKHFQRDIIRGVEGYIITASKQIEIGTRLSEDSRKYGAENKCTSGSTLSKAALSYSRAQGEIEKEREDLLKALGTQVAEPLRAMVVGAPLEDARHLAQRYDRVRQEAEAQAIEVSRRQAKVRESNGNPESVSKMEAAEAKLKDLKSNVATLGKEASTSMAAVEAQQQRLTLQRLIAMVEAQRHYHERVLQILDQLEAEMMSERQRIEASPSSATDNTMPPPPSYTDVNGGLHFKSYDGSIDGTTYYLAEVVHPYEAESDVELTLLIGDYVVVRKVSNNGWAEGECKGKGGWFPFGYVERRERILATKVAEVF >Solyc09g075300.3.1 pep chromosome:SL3.0:9:67455214:67459180:1 gene:Solyc09g075300.3 transcript:Solyc09g075300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIQHKTVSANGIKMHVAEIGEGPAVLFLHGFPELWYSWRHQLLYFSNKGYRAIAPDLRGYGDTEIPSEISSYTAFHIVGDLISLLDILDLEKVFLIGHDWGALIAWFFCLFRPDRIKALVNLSCELRPRNPLRKPIDCLRELLGDDYYMCRFQKPGEVEEEFASIDTAKLIIGFLSSRDTRPPCIPKEIGFHQAFAQLPAALPSWLTQEDVNYYAEKLNKTGFTGGLNYYRNMDKNWELTALFSGCKIQVPTKFVVGELDLTYNIPGIKEYIHNGGFKKEVPSLEEVVVMEGAAHFINQEKPDEINAHIYDFIQKF >Solyc04g015950.2.1 pep chromosome:SL3.0:4:6488017:6499910:1 gene:Solyc04g015950.2 transcript:Solyc04g015950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIQNPHISTFPQHPNPKFKTHFRASIIVSQKQQKPKNDNKAAYEEKKKASVDYDKGIHKVTVRIDGFRKSDLPKHQRLRVEGDRFQKDWGVSEVVEKIMKINHWDDIEGVLNCWAGRFARKNFPILIKEITETGLIEHSIHVFNWMKNQKNYCARSDIYNMMIRLHARHNRVDQARGLFFEMQKWRCQPNVETYNALISAHGRASQWRWAKNIMEDMLRASVCIYSSLLQYLCILIPPNRSTYNNLINACGSSGNWREALKVCKEMTENGVGPDLMTHNIVLSAYKNGTQYAKALSYFELMKGTKVRPDTTTLNIVIHCLVKLEQYQKAVEIFNSMREKRSECIPDVVTYTTIMHMYSVSGQIKNCEAVFNTMLAEGLKPNIVSYNTLVGAYASHGMAQEALSIFDKMKSNGTRPDVVSYTSLLNAYGRSEQPERAMETFERMKRNNLKPNLVSYNVLIDAYGSNGLLDKAVQVLREMEHDGLQPNVVTISTLLAASSRCCQKVNIDSILTAAKMRGIELNTVAYNSALGSYLNAGEYEKALSLYKLMRKKKVMPDSVTYNVLISGCCRMSNYSEALEFLDEMIALKIPLTKEVCSSAICAYTKQGQLAKSESMFSMMKMEGFQPDVIAYTTMLNAYSVGENWEKAFAVFQEMELNGVLPDAIACSALMRSFNRGCQPDKVLLVADFMRERNIPFTEAVLFELVSASSILRDWRMITEIITTMEASLPYVSIGMLNQLLHSIGKSGKTETMIKFFYMVVTSGTEVNLTTYSVLLKNLLAAGNWRKYIEVLEWMEDGRLQPSSNMYDDILFFAQRSGGTENAAVIKQRVESLRKKFGHETTNELLWR >Solyc07g053360.3.1 pep chromosome:SL3.0:7:61947671:61949607:1 gene:Solyc07g053360.3 transcript:Solyc07g053360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQARRENVTDERKIQLEKDKVHKIASHFESLADKVHEEDTSTSPPDVVHVKTTVTGTVPHPSEKQRSSDIIDKTQGSKQQVFQEKPEGVKFGVQGQEHEDSVMNRGKQSEGGAEETKSGPSLEEISQYRATAQQKSMNAIRGAEERYEKAKGMGGSTLQNVKESTTHGIAAAAAEKGAQAKDTITQGLQKGTQYIAEKTGAAKDVAVEKGQQAYAATKDTLSGAGQTAAESAQQAKDYTMQKTGEAKDTLSGAGQTAAESAQQAKDYTMQKTGDTKDYTMQKTGEAKDYVAEKTGEIKEQSKGAASYVGEKAAQVKDVTLETGKGAVGYAGKVAETVKDKTVVAGWGVAHFTAEKAADATKAIAGVTSTVAGYAGGTTVAAKDLVVDAGKKTVGFAEDTLAAAKDFVVSAEESAAEYAARKKAEAERELEAKKLQEDTKGENRGGPKIDEKKRGFKSKEETEESYLEEHGGGDKESSKPVEGAAVVLQAIGETIVEIGKTTTELVAGRPRDEPVEGIEEESTTTTMKKT >Solyc02g092250.3.1 pep chromosome:SL3.0:2:54030566:54032863:-1 gene:Solyc02g092250.3 transcript:Solyc02g092250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQHSNFAMLIAFLLFVAIALKLWKKSKIQYKLPPGPRKLPFIGNLHQLSSSLPHHTFKNLSNKYGPMMHLQLGEVSAVIVSSPQVAKEVLKTHDLIFANRPQLLSVQILSNNSPTLSFSPYGANWRQLRKVCVLELLSAKRVQSFESIREEEVDNLIEAISLIQPQVPIDISEMIFSMTNNITARAAFGKKCKHKDEFIKAMKTITELSGGFDIPDLFPSLKIFHSLSGVKPALEKVHQKVDKIFEDIIQEHKENKRDMSSNMLYKEDLVDVLLRVQESGDLEIPISRNTLKSVILEMFIGGTDTSSTVLEWAISEMMKNPQVMEKAQAEVREVFKGKSKITESEIQKLDYIKMVIKETLRMHPPLPLLLPREAIEKCEIGGYDIPAKTKVIINAWAIGRHPQHWENAECFEPERFQDLDLDFVGTNLEYIPFGGGRRMCPGSLFGIANVELPLAKLLFHFDWELPDGIEASDLDMTETFGATVGRKNDLYLVAKPHISKS >Solyc01g005500.3.1 pep chromosome:SL3.0:1:344744:346673:1 gene:Solyc01g005500.3 transcript:Solyc01g005500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSNSVSTSAKRLEGKVAVITGGASGIGATTAKLFVKNGAKVVILDVQDDLGRSFCNEIGLEHISYVHCDVTIEKQVEEAIDFVVSKHGKIDIMYNNAGITGSISSGITTTDYENLRKVFDINVFGAFLGAKHAARVMIPARKGVILFTASVTAVVAGMSPHTYASSKHAVIGLSNNLCVELGQYGIRVNCITPHVVATPMLSNFVGGIDGSKVDEAVIKSANLKEVKLETEDVAAAAVYLASDESKYVSGLNLMIDGGYSKTNPLFSMCIREILSGF >Solyc02g085672.1.1 pep chromosome:SL3.0:2:49137238:49139515:-1 gene:Solyc02g085672.1 transcript:Solyc02g085672.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEALELNSVTGVILYYFTRVVEELVFSSPDAGATKVPSIYPREPPKVKCKTKAQLLKPVLSINTISHGLYLRFTEPNHEDPLNLEAAAVER >Solyc03g122230.2.1 pep chromosome:SL3.0:3:71606473:71610398:1 gene:Solyc03g122230.2 transcript:Solyc03g122230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFRVMCLVCIVLLMIYPVKIISVSDTDALLKLKQSFTNAASLESWKPGTDPCDKNIRWLGVFCQKKIVTGLLLAETNLSGIIDVEALSQMPGLRTLSFQSNLFSGPMPEFNRMGALKGLYLSENQFSGEIPSNYFAKMLSLKKLWLSDNKFSGEIPASLMELQYLIELHLENNQFTGPIPPMSKQSTLESIDFSNNNLKGEIPVSLSRFNESSFKGNSELCGEKLGKPCDQATNSDNTNNNGTQQSPDSNSNKSISMWIVISLVVLLLIVIIVIYLICRYQQSRRASIESFDEPSLGRRISSDSKKSFELSRRGSSIRKGSIMGKRVGDLTMVNDDKGEFGLADLMKAAAEVLGNGPLGSSYKAMMSNGLTVVVKRIKEMNKIGKEGFDAEVKRLGSLRHKNILTLLAYHHRKEEKLFVYEYIPKGSLLYVLHGERGLPHAELTWPVRLKIIQGVAQGLNYLHTELASSDLPHGDLKSSNILINTNHEPILTGYGFCTLMNNAHAVQALIAFKSPEAVQNNQVTPKCDVYCLGIVILEIITGKYPSIYLNAGKGGIDIAQWAKSAIAEGREAELCDPDITASAKDSMSSIKELIHISAACVESNPQQRISIREVIRRIEEIQQQNGVGRTTTSQSQTGQAIEVMPSPRDGDAEIQVSESQQGITNH >Solyc06g071580.3.1 pep chromosome:SL3.0:6:44207173:44223322:1 gene:Solyc06g071580.3 transcript:Solyc06g071580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEYQASSRRQDVESIGHSGTTLLEKEHSPIDASTLSMSPICPAPLCRQFWRAGNYDSGLIYKSSSRNGTSFLHVHPKFLHSNATSHKWAFGAIAELLDNAVDEIQNGATYAIIDKMLNPKDGTSALLIQDDGGGMDQEAMRCCLSFGFSDKKSKSAIGQYGNGFKTSSMRLGADVVVFSRCMKNRKLTQSVGLLSFTFLTRAGLDRIVVPMIEYEFMSATSKWTSICSEQHFVNNLPLLLQWSPFSTEEELLKQFDSIGDHGTKIIIYNLWLNDEGEMELDFYSDPEDIRISCDAESGKSCSRMSVSDLHLANTLRYSLRAYLSILYLRIPENFCIWLCGKIVEYHNIASDLKYREFILYKPQNGGCEEGSIITSIGFLKEAPLVNIHGFSVYYKNRLILPFWHVVVGFSVNRSRGVVGVLEANFIKPTHNKQDFEKTPLFHKLEHRLKEMTWEYWDHHCGLIGYHATKQLRAPSQDSPQSRKKHATAKRKNCDHQVEPEILKQKVGLSVNLPDPESIQNPHATTITSPLEDQEMVLLLKENKRLHARCLEIEKEEEEFNAKVALLRKELKEEQRRYARLLVQSAVLENSKREHTVIIV >Solyc03g112180.1.1.1 pep chromosome:SL3.0:3:64159614:64160132:1 gene:Solyc03g112180.1 transcript:Solyc03g112180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYKFLLVSLLSISFTLTNVRADLISDVCSKALKPAICLSALRGDSRSKGANLQGLATISIDLSLKNMQSAHDLVNTLLKQATDPKLKTRYSSCLENYNDGIDELRGLPALLKSGDYSGLNIHASAALDDPSTCDDNFSGPPAEAPQLKAASDKVQGLIGIILVISNLLK >Solyc04g072343.1.1 pep chromosome:SL3.0:4:59452173:59455997:1 gene:Solyc04g072343.1 transcript:Solyc04g072343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTASPQSEVVGGFIAVQLMLNLLGSSWKILLHSRKSSLIPRLHCPQASLLTLCSLRRRKLQEILLSSIVKTADLSHTPPGGVLPAHPDCDNTLQPGVQQLQHGIEEKPSYASTIMNPSSSKSNCTRHEKENIITEQSTHNSIPAVIFKPKDYYSITAEDCRYTLAGQ >Solyc10g007120.3.1 pep chromosome:SL3.0:10:1514482:1516686:1 gene:Solyc10g007120.3 transcript:Solyc10g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSKSFLSSNYFFLLTFPPNPITSLTPFHNSLHKSNSTRRSVSVTKSHAPSSLLAEAPDETVVSGDEITNAPPEEGPIELPSSITDVFATSDDPSPLQTATSLLLTGAISVFLFRSLRRRAKRAKELKLRSTGAKKTLKEEAVESLKAITPTPIDAKTPPSPAQALLGGLTAGVIALLLYKFTTTIEASLNRQALSDSFSVCISFAFTFAVSFLPLTIINGICYLATFVFGINSIGLFLYSGQLALNSIMGETNNKGEAQLSSKDSTPVRPVDSAEGNKDDGNKTSEDTK >Solyc07g048085.1.1 pep chromosome:SL3.0:7:59403896:59405792:-1 gene:Solyc07g048085.1 transcript:Solyc07g048085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYSRVLIVSSLLLVFQLSTVVAKGKAIGSPIMAPAPAPGPEYTNLTDLLSVAGPFHTFLNYLVSTKVIETFQAQANNTEEGITLFVPKDSAFTSLKKPSLSNLTSEQLKSLCLFHTLAGGNLYSLNFTDDSGTVHLNSGWSRTKVIGTDLPPIKQDEMSYSVKLMLNIMVHLFFLIGFDILHTLGI >Solyc04g024975.1.1 pep chromosome:SL3.0:4:27258161:27258835:-1 gene:Solyc04g024975.1 transcript:Solyc04g024975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVDKNTSKIDEVKKKLCKSFSMKDLGHSKQNFCMRIARLRDERNIYLSQKKYIERVLDYFNMKNAKPVSTPLDGHKKLRKKMCPTFWDEKESMTKDSYYSIVGSLIWCCQQISRQSRKRALGSCEVNTQKCVALSTTEAEYIAATESDKEMI >Solyc05g017730.1.1.1 pep chromosome:SL3.0:5:18220920:18221279:1 gene:Solyc05g017730.1 transcript:Solyc05g017730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDQSARKIEYAIDQDIESDEEEEDIPVAQANANVIEEEIPVLQTNSNVIEEHIPINFQANTNVIEEEEEDISVNLQANANVIEEEEEDIPVNLQANANVIEEEEEDVSVNYLQTLMLM >Solyc01g104560.3.1 pep chromosome:SL3.0:1:92920609:92925113:1 gene:Solyc01g104560.3 transcript:Solyc01g104560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGVVEKTEEELRKELFELNRQQREITERLKDPRGLRRGGGGPRSFVANGGRQRGVVRPAERNDAEDQPAPKRRMSSTVVKIEEGEIAEDVSGAPRDANKEESIVEAIPRVAPANQNERKPSNWLRRDGFQRPSKMDFDIPPPEPVPRVLPKNEDPKLVGRNKRMLGQLLGTLEKFRKEDMQLSGTEAYMRRSDSLKRAEQRAREESEKLRQQEREQLDEKLKRDLTLRARLAAKAEEKRLELLFLRWIEHHKKLANFIRTKAEPPIFYSFAKPLEEDSTLLEQRKDQIFEEWKAARREELSQYQKKIAEQNIAKAEEEWKNILERRANKNVSNLQETMDKELETHRLERGPKTRKIPGVSNNEDEDVEDINVVEDDMMDDVLEVDENNQRSDENVKVDAGNGDPRIDNNDEQ >Solyc03g118230.2.1 pep chromosome:SL3.0:3:68643468:68644620:1 gene:Solyc03g118230.2 transcript:Solyc03g118230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGSTEMNENAATLADGQQQKMTEEGKSKTPRHSRWTRQETLVLIQGKKIAEERAHRGRKSTSVFGSDKPEPKWDSVSSYCRQHGADRGPVQCRKRWSNMISDFKKIKTWETQAYGSSESYWIISNDLRREKRLPGSFDREVYNVLDGKEFTAEAYQLALVTITTDEKLDYAEEVGSSPLKEHIVIDKKAKSIPSPVPRSGTAEGEQPGPDFWNSPMYKDRLKKRRLSPDGCIDSNLGYKLLKTLERNTNILHSQLDAQMENRQREMEQQKEQTNSLIAVLNKVADAVARVADKM >Solyc08g067570.1.1.1 pep chromosome:SL3.0:8:56634118:56635092:-1 gene:Solyc08g067570.1 transcript:Solyc08g067570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHEIEGGEIYDDSHINIDAKLQTVLGNYTKDFEGTVSVEKLGPKFGVYGSFLPVDQLVIQPQISQKLPSPTPTPISSHKPIRIRIKLRSGNTAAPKSSDSHTTSDKTHCPELTTSGDESPLAPFIIQEDWILCSTCRKRRLLPYGIKPNQLSQSWVCTMLDWLPGMNCCDISEDDTTSALHALYQSLIQSNFQNRGAKGTSIDLKAHNGREVSVKKRKSREQDYLGNELGESDANAFESKFRKQKKSKVIQTEKKKSSRSKGEGKSTSRGIKDSAPIEREQQTNKYGVKQQSQAECGMMRKPVYKKAIGYGKVARPYIAYW >Solyc03g116370.3.1 pep chromosome:SL3.0:3:67326854:67331278:-1 gene:Solyc03g116370.3 transcript:Solyc03g116370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGIMDSAYFVGRNELLTWINARLQLNLTRIEEVASGAVQCQMMDMTYPGAVPMHKVNFDAKTEYDMIQNYKVLQDVFSKLKIDKHIEVNRLVKGRPLDNLEFLQWLKRYCESVNGGIMNENYNPLERRSKVGRERNVKGSQRSAKSLLTNNSHNPGLGEGLTKTTGIKQGRSSPVMGGVNSSTEIQALSKEVTDLKLSVDHLEKERDFYFAKLRDIEILCQTPDLEDIPMAMAVKKILYAADARESALAEAQEVLSHSVDGSKS >Solyc12g017460.1.1.1 pep chromosome:SL3.0:12:6720607:6721716:-1 gene:Solyc12g017460.1 transcript:Solyc12g017460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSLTKVSLSVLLVSLFFFSPSSAQTKCNVNSVYQLGDSLADAGNVIRTPGASIIFRADRSPYGETFFRKPTGRFSNGRVITDFISQSFKLPFLNAYLDRTASFSQGVNFAVAGATALDTSFWTARNIRLPTWNTPLSNQLTWFKSHLKSTCGSKCAENLKNSIVIMGEWGGNDYYNCFFQRKQISEVRSYVPFVVAGIMRGIKEVIQLGATRVLVPSIYPLGCLPLYLTSFPDNNTSGYDQLGCLKSFNDFASYHNRFVVRAMANLQRQFPNVSIVYGDFYGSILSLIRNPSSFGFNQNTLLSACCGTGGTHNFNFRTVCGGAGINACSNPAKYVHWDGIHLTDEAHRRISEVLVRDMLAKFNCVV >Solyc02g011750.1.1.1 pep chromosome:SL3.0:2:14134533:14134724:-1 gene:Solyc02g011750.1 transcript:Solyc02g011750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDVLILINTKLLPHVHSMFSLWLMIIGTIQIIYVASTTLGQQNSRKRIAYSSVSHMEIIII >Solyc06g010065.1.1 pep chromosome:SL3.0:6:4882147:4883730:-1 gene:Solyc06g010065.1 transcript:Solyc06g010065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQEELGDTNKPGSSNADELVVEEDFIFPKPLQIVDDDQTKINTERSIVLHPLLAVDEHIPLPIPKERRPGPFNTSPYVTTFRLLVRRIAKIGKEERYKKNKSRLQMWFNFGIVTVQNKNWFYRLAYKYQLLEDSHINVILFPSTPTSTAMNSALLLKKGINISSHPKYKSHSEVDFFEIIHVNDITQQHGGSLDCGLYVAAYADHISKGNLVPTFDPDLTRITRLYCGIMECKKSKLMPPVTVRHQKGQSGSIGTLNQ >Solyc12g035528.1.1 pep chromosome:SL3.0:12:41881441:41886511:-1 gene:Solyc12g035528.1 transcript:Solyc12g035528.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFELHKAGHIRPSKAPYGTPVLFKKKKDGSLRICIDYQAFKKVTINNKYPIPQIADLFDRLGQANYFTNMDLWKGYHHVRIIEGDERKTMCVTRYGAYEWLFVVVYLDDIVIYSYTLEEHEENLRKFFKIKWENQLYVKREKCEFALPNVHFFGHIISQDGL >Solyc12g042030.2.1 pep chromosome:SL3.0:12:57579311:57579961:1 gene:Solyc12g042030.2 transcript:Solyc12g042030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQIGEITFSLKWNLNLPVKKNINDHVLVLIVFISMIWIANWLSALALYNQACPHPKLTIGTYKHYDYEFVTLPLQYNTIQLQVLHQSQWVDVPPTRGDLGNLRLKRVNISMYIRVEQTEMAKKVGTRVLVLCSFSKD >Solyc10g045610.1.1.1 pep chromosome:SL3.0:10:34797020:34797436:-1 gene:Solyc10g045610.1 transcript:Solyc10g045610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIVGTQGYIAPEYLTDGIVSTKMDVFSFGVVLLELVSGKEAIDDEGKVLWANIGDFSEGSEERKVRKLQEWIDESLLREELIMESIVNVMSVAISCLNKDPSKRPRMIEIVYALSKSIDLFTNVSEEGLPPRQVTAR >Solyc02g014700.1.1.1 pep chromosome:SL3.0:2:18416443:18416814:1 gene:Solyc02g014700.1 transcript:Solyc02g014700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGCWRFFWVCVWKFICFSGFAYCREGKLVWGRREKEGRRGESERVWGETQWERSRLFLGIFAGFLFSEFWENGVGEGKKERGTGSGLDRGTVLGRVKVKIIERGLGWSERLGLGRSETLGLS >Solyc03g034220.3.1 pep chromosome:SL3.0:3:5956428:5958862:1 gene:Solyc03g034220.3 transcript:Solyc03g034220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS-2A description:Ribulose bisphosphate carboxylase small chain 2A, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P07179] MASSVISSAAVATRSNVTQASMVAPFTGLKSSATFPVTKKQNLDITSIASNGGRVSCMQVWPPINMKKYETLSYLPDLSDEQLLSEIEYLLKNGWVPCLEFETEHGFVYRENNKSPGYYDGRYWTMWKLPMFGCTDATQVLAEVQEAKKAYPQAWVRIIGFDNVRQVQCISFIAYKPEGY >Solyc05g024050.1.1 pep chromosome:SL3.0:5:30349132:30349963:-1 gene:Solyc05g024050.1 transcript:Solyc05g024050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEYYWLMVAPSMLQILLKIARVHVLHHLVQIMVIGKMLYGESRNFIFLGSSMALTFSLTSQDDGELSASV >Solyc10g044780.2.1 pep chromosome:SL3.0:10:27699790:27706831:-1 gene:Solyc10g044780.2 transcript:Solyc10g044780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKMSGNAVKTFARSITCLARIGNEVAIQASSTQLTFHTLNSSRSAYQSITFKPDYFDVFTVSAPQVQCSVLLKAICSVLRTPIASIDHLTVSLPNPDASKVQWTLNCHNGMRKAYWITCNVEPDIQHLSLDRRKLPSNFVVRPRDLNRLLSNFQTTLQEITIIATNPTCLPPDTATEIGGKAVELRSYIDPTKENDSSLHTQLWIDPTEEFVQYNHITNPVDVTFGVKESKAFLSFCEGCEVDIQFYFDKAGEPILMAPKFGLDDGSNSTFDATLVLATMLVSQLNTASSLENPQSAGTSYGQANDGRQAPVQERSKGNSGLPSDQTRIWSDLSGSRTRGGNDAEHGKERNESDNVQREIQKIGVIHISEAGAAGRNMSDIPNDFHSVDRNPLEEPQGMVDLEGHASQHHPSNWVEADDDDDEGDESELCVQSTPPYH >Solyc01g100600.3.1 pep chromosome:SL3.0:1:90455703:90459460:-1 gene:Solyc01g100600.3 transcript:Solyc01g100600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late termination [Source:UniProtKB/TrEMBL;Acc:A0A1W5P464] MGSLGGEVSVSLLQKKKPMWSYPKVMGVHPSERWGHSSCNSNGFVYVFGGCRGGLHFSDVLVLNLEAMAWSILVTTGQGPGPRDSHSAVLIGHRMVVFGGTNGSRKVNDIHVLDVRSQEWTQLECQGNPPSPRESHTATIVGGDKLVIFGGSGEGEANYLNDLHVLDLKTMRWSSPEVRGEMPVPRDSHSAVAIGNRLFIYGGDCGDRYQGDVDVLDMDTLMWSKLDVLGPSPDARAGHASVNFGSKVYVIGGVGDKKYYNDVWVLDVINSSWTQLDVTGQKPQGRFSHTAASRNTDIVIYGGCGEDERPLNELLILQFGVEYPNGTSSLSGGRVSGNTSHYDKKRFLKERDNSSEAICRGKKEDIQTTGSQELEFVSKAAFRFSSDTLHPKRRRMSHNSHIFNLESDQEEDQSPSLSQCCSPPSQSDVEMSTIKQVSGSGTASDRFPFARQQHLIPNNCQPNPRNVIARNPHDLQLFREQSNPSNSSGHVPPAVLMDKQEVQCQSLEPGRFHNLIGAEIRGKVDGAFDSGYLMTAIVNGKIFRGVLFAPAPDLVPRGPVLGHNPSPSPGHIGVNYANHISLGCRRQPQQQQLVQLQVPELGRHSIGKVPIRRSTSSVMRSSHQLDKDTQKRSELEGVVLTLGGPGCGNAKT >Solyc03g121400.1.1.1 pep chromosome:SL3.0:3:70990362:70990994:-1 gene:Solyc03g121400.1 transcript:Solyc03g121400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSIYSQIKPQFPEQEHLKCPRCDSPNTKFCYYNNYNLSQPRHYCKSCRRYWTKGGTLRNIPVGGGSRKSTKRSSSSSSSSKKSSSTSTTSPATPPLTSSSSSTNPKPEPFGIPAIPSFDVMTTSTGPFSSLLASTEPQFGNFLEALNPNNNNGSTLQLGNPVSSSGHQNGNTSYLGVQNGGESNNCWNGGNNGWPDLAIFTPGSNFQ >Solyc04g018190.1.1.1 pep chromosome:SL3.0:4:10328143:10328373:-1 gene:Solyc04g018190.1 transcript:Solyc04g018190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQNGSWSILDQRFLYEKYASKFEEGEGEGALDPQEDLFNHIVWAPRIWRPWGFLFDCIERPNELGFPGVVRLNID >Solyc03g046493.1.1 pep chromosome:SL3.0:3:13033614:13033999:1 gene:Solyc03g046493.1 transcript:Solyc03g046493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMKQNENTIISNGFNFLTILHNKFMKKKKKIPPGPKVLRIIGNLHMIDKNVHQDLYKIAKRYGPIMSMRFDLVPIIVASSPHAAEQFLKKYDHIFASRPNNRVAQFAHTIKET >Solyc12g044300.2.1 pep chromosome:SL3.0:12:60125435:60132296:1 gene:Solyc12g044300.2 transcript:Solyc12g044300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLKIFNYFLSSKATPLHSLYIQYHFSCQRYHSFISSQLRLLSSATSSISYMELVKEVASKGPESQKNIAIRADEKSYSYLQLISSARRISSLLSKLDLKTDKGIKENEHHKGARVGIVSKPSAEFVAGILGTWLSGGCAVPLALSYPESELLHVMNDSDISIILSTEDHQELMNAIAAKTGAQLSLIPSIPDVHSSTKHDQSKDMVSDGQQDLLEINSYGENPAFILYTSGTTGKPKGVVHTHNGVLAQVQMLANAWEYTPKDQFLHCLPLHHVHGLFNALLAPLYAGSTVDFVPKFSVRGIWQRWRESYPTDGTITDNAITVFTGVPTMYARLIQGYEAMDPELKTASASAARHLRLMMSGSSALPLPVMQQWETITGHRLLERYGMTEFVMAISNPINGKRKGGTVGKPFPGVQAKILLDDASSNDQTGVGELCIKSPSLFKEYWKLPEVTKQSFTDDGYFKTGDTVTVDEEGYYIILGRTNADIMKFGGYKLSALEIEAVLLEHQAILECCVLGLPDKDYGEVVCAIVVPEAEVKRRRDEELKPALTLHELSDWAKEKLAPYKIPTRLFLWESLPRNAMGKVNKKELKRKLTEDPK >Solyc08g006950.3.1 pep chromosome:SL3.0:8:1511324:1516698:-1 gene:Solyc08g006950.3 transcript:Solyc08g006950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTVGYGFTPYVMPVHTGDDIASKIVNFSLQGPPVVCILTATGVVSSVTLKQAVQGGDTVTYELVLGTFTPEKENRVFEAPCSTPPTNVVNVGAPVAETNAPSPGASSKSVDENVGSPLINEAGLFGDAAQTMHNAAQTIHNDPQPMHGMSTYWGHEM >Solyc10g055700.2.1 pep chromosome:SL3.0:10:57183799:57193961:1 gene:Solyc10g055700.2 transcript:Solyc10g055700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGILLPAPAQLLSHRLSLWNRRPRPGPRCSLSKQGHRFLSTLIATDSDDISATRHLLRKFVGSSSKHVALSTLSHLVSPTTTSHYRLCSLALPLYLEISEASWFDWNSKLVAELVALLYKLERFDEAETLVTESVSKLGSRERDLCSFYSQLIYSQSKHNSERGVLDYCTKLKLVLLHSSSVYLKQRGYASMVEGFCLIGLPRKAEELMEEMKELGLKLSKFEFRSLVYSYGKSGYLRDMKRIVVEMERMGFQLDTVGSNMVLNSFGSHNELSELVSSLQKIEASGVLFSIRTYNSVLNSCPTISLLLQDLKSVPLSLEELMGNLDENEAVLVKILVGSSVLEETMQWKPKELKLDLHGMHLTSAYLIILQWFHQLQCKFLAENRVLPGEIIVVCGAGKHSVVRGESPVKRLIKEILLRIGCPLRIDRKNVGCFIAKGKVFMEWLSNYNATIHERIS >Solyc09g008920.3.1 pep chromosome:SL3.0:9:2306517:2310498:-1 gene:Solyc09g008920.3 transcript:Solyc09g008920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSMVMSFSPSLCLPRSRMVMQKAIQCSSSVSTASESVKFDLKTYWTTLISDINQKLDEAVPVKYPNQIYEAMRYSVLAKGAKRSPPIMCVAACELFGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDTTRRGLPANHTVFGVDMAILAGDALFPLGFQHIVSHTPSDLVPEDRVLRVITEIARAVGSTGMAAGQFLDLEGGPNAVDFVQEKKYGEMGECSAVCGALLAGASDEEIQHMRKYGRAVGVLYRVVDDILEAKKTENKTEGKKKKGKSYVSVYGIEKAVKVAEDLRAQAKRELDGLEKYGDKVMPLYSFLDYAADRGFSIDGQV >Solyc07g032230.3.1 pep chromosome:SL3.0:7:36281124:36287169:-1 gene:Solyc07g032230.3 transcript:Solyc07g032230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNFLRSSKDMLTKSFNSTKCKMSLKLASSRLKLLKNKKEVQVKQMKRELSQLLDSGQDRTARIRVEHVLREEKMMAAYDLIEIYCELIVARLPIIESQKNCPIDLKEAITSVVFASPRCGDIPELVDVRKHLTAKYGKEFISAAVELRPNCGVSRMLVEKLSAKAPDGQTKMRILGAIAEEHGVKWDPKSVEETESVPSNDLLNGSGSLEKAGNIHEDPLHLNASVMRLPLDHCKRPNASSNPQEQNARSSLETQSFDSAYSGGRGVTPSSNYHHGVSPSGSRDERSEIGQSVPGDGKFSMDRQNWNMEFKDATSAAQAAAESAERASLAARAAAELSRVSRQYSSESQRPEVQSLVGGGRGMYDTSIHEHFSKDSANSSLSDRNPRLQNGRIDSLHHENLARATRQFHDDDHATSGGSGPGNYGSSSMHEHFPKDSVVSSSPNRTSRFQQERTESLQHDNMPRATRHDNDMHGTFDRPDSQVSAGATGSINTDNSFPSVGEGYKYMQKSLSKADSEMITRKSVGRTESESTGSFKNELVEDFNYFGEEASRKDPKINSSESYLSASGFGENIHHSNQQSYGYAKTNDPFNNVYQGHIPSETVSKSSHDSASVAFDDSGSEEDYNIKFDSDPTYDDQQAKLYFPSPERKSPTYNSAIKESWSFDSNKSQEESSMTSEIFVEKQSPQLYKSLAAAGDNSRQENVVPSFDDSDGMNSESDSEIVQHPIGTDGNKWLPALPRTQTDHDTLASSVSDSEKAFTFGKLTGGLKHKGHIPPPYLRSQLNSVPSSVENAKGSPAVTSQDVAPPKSSVGLGMRMKIDDKSSSRLDDTHHASDTDSSDAEFSQEASSYSQRTYSQKRGSEVNTKYAGLRGSSTYFDSDSSDSEAGPKKHSFAGRSQLNSGISRRTKASSSSFDTNISSKSKISSETAVNSDSGVDGKPINCSFGIKTQEPLKPVRNSYAADTQKTQRLTKNFYSSESHESPTDKRISLEQPSAGPTVPMPVIQTRITSHERRQKMGRVEKPSSSSQMAAASGNNDVPKAPASSGDKFSSADSMKKASHVHPKLPDYDDIASKLLSLRTSPK >Solyc04g014574.1.1.1 pep chromosome:SL3.0:4:4846009:4846038:-1 gene:Solyc04g014574.1 transcript:Solyc04g014574.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VGLLLPIRI >Solyc01g096230.3.1.1 pep chromosome:SL3.0:1:87194415:87195773:-1 gene:Solyc01g096230.3 transcript:Solyc01g096230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDRIHVHQYLKVAELNENGQDNEFYRRVFLYINSLPSIEDSNFTNLFSGKKSTDIILSLDDNQVIQDEFLGARVDWVNKVERFDHGGVCNRSFLLRIKKKDKRRTLRPYLQHIHAVSDDIEQRRSELKLFINNGPSENPISGRWRSVPFTHHSTLDTIAMDADLKNKVKSDLENFVKSQNYYHKMGRAWKRNYLLYGPSGTGKSSFIGAMANFLNYDVYDIDLSRVSDDSDLKLLLLQTSSRSLIVIEDLDRLINEKLTTTTLSGLLNFMDGIVNSCCGDEKIMVFTMNSKEQIDPAMLRPGRIDVHIHFPYCDFNSFKYLANNYLGVKEHKLFPQVEEIFHSGATMSPAAIGELMIVNRSSPSRAMKSVITALQSSGTEGKIGGKGKRLSDSASSPLRLEPPPHAEETGGANWKDSVPGAKEVRKLYGLLRLKSCKSPSSFDHDPGMIER >Solyc11g011410.2.1 pep chromosome:SL3.0:11:4479251:4481404:1 gene:Solyc11g011410.2 transcript:Solyc11g011410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTMTISRKALKKTLSPPLHHLSTTTPTTPPWFTPPPPPPHHHQDSSDPILKTLSEAIKNSPSKPLQVSLKPLLPSLKPHHIINLINLNPHSLPPSSLLSFFTWLSTSNPSRFCHTLHTYSSMTLFLYTHKMYPQGHSFINTIVSRKGKDSAFTVFQAFLKAKGTNFTSFVNVLNGLIDVYLDLGFVSDAIQCFRLIQKNKIKFPFQSCTKVLEYLMKLNSPMVAFDFYKELLEYGYPANVYFFNVLMSKLCKEGKMMEARSVFTEMWKRNLRPSVVSFNTLINGYCRLGDMDAGYRLKKEMEERGISPDVYTYSALINGLCKKFWMSDANELFSEMCVKSLVPNVVIFTTLINGHCKGGSVALAMDTYQQMLKQGVEPDLITYNTLVNGLCKSGDLGEATKLIHVMVEKGLKPDKITYTTVIDGCCKEGDLDGAFEIKKVMVDNKIELDDVAYTALITGLCRQGRTVDAERILTEMLNIGLKPDDPIYTVVIDGFCKKGDMKMGFKLLRQMRSNGHAPNVITYNVLLNGLCRQGQMRNAEMLLQAMLNLGLNPDDITYNILLEGHCKHGNPDEYDKLRGEMGLVHDYATYTSLVGSNQRAQINETVQAPLLSWEMSPMVIHGTSTGCLNVNLFIFPAFMSIDGSLERIHWGSSCWLLGFMNLAGVLIRLLRNCCRIVGEVYSMKLITL >Solyc10g081250.2.1 pep chromosome:SL3.0:10:62479226:62500684:1 gene:Solyc10g081250.2 transcript:Solyc10g081250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGNSKKRSAAATPSPQQQQKHHAAMEEEDLDEDVFLEETLLQYEEDSQVLRELEESNSIKERLQKWKRPSLSQAYIAQTQSISFQQLEIDYIIAESHKELLPNSSGQAAILRIFGVTKEGNSVCCHVHGFEPYFYISCPPGMGPDDISRFHQILEGRMREANRNSNAPKFVRRIEVVQKRSIMYYQQQASQPFLKIVVALPTMVTSCRGILDRGIQIDGLGMKSFLTYESNVLFALRFMIDCNIVGGNWIEVPVGKYKKAARNLSYCQLEFDCLYSDLISHSPEGEFSKMAPFRILSFDIECAGRKGHFPEPTQDPVIQVANLVTLQGQDQPLIRNVMTLKSCSPIVGVDIMSFDTEKEVLRAWRDLIREVDPDIIIGYNICNFDLPYLIRRAEVLGMAEFPVLGRIRNSRVRVKDATFSSRQHGTRESKEITIEGRVQFDLFQAVQRDYKLSSYSLNSVSAHFLNEQKEDVHHSIISDLQNGNAETRRRLAVYCLKSHLARKLSALVPLQDAYLPQRLLDKLMFIYNYVEMARVTGVPISFLLSRGQSIKVLSQLLRKAKQKDLVIPNAKQVGSEQGTFEGATVLEAQAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEEVRKLNLPPECVNKTPSGETFVKSTLQKGILPEILEELLTARKRAKADLKEATDPRVKAVLDGRQLALKISANSVYGFTGATVGQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTVLGGYEHNAEVIYGDTDSVMVQFGVPTVEEAMKLGREAADYISGTFIKPIKLEFEKIYYPYLLISKKRYAGLLWTNPDKHDKMDAKGIETVRRDNCLLVKNLVTECLYKILVDRDVPGAVQYVKNTISDLLLNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPSIGDRVPYVIIKAAKGAKAYEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLHGDHTRSISVPTPSNSGIMRFAKKQLTCIGCKTPLSGSDRTICKHCKGREAELYCRSVANVAELENLFGKLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAEAKTQLDRWNF >Solyc04g015710.3.1 pep chromosome:SL3.0:4:6006949:6013435:-1 gene:Solyc04g015710.3 transcript:Solyc04g015710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEANNFTLSSSFLKQVYLYDWWLIKVETGDGSKRLGVGGFTAKERPDGRVFHSTTIAKRHDTTTLVTEDGITILLSGFINRCRTLQNGFSSEVCKQFLLGFPYNWEESAAVSFGESTNENAASRISDFSESANASADCTSSSFTLSVDHLSPNVLRDLLISAAGDPEGGMLRKSIFNEIVQKYGNNAFNVDEASSLNQKSGNQVTPQSPSLNGSPSQKKKAKTNRKQEDSCIADAKSGKEKLPEATPKDMPEKRVLDRLLHRSGDDVPIVGENSCLNQKSGNQVSSRGPSLDETPYKKKKTRANLRKEDDKHVPNAQCRKEVLPKCNDESGTDIDKNSSSSSALTRDKASLYKKTKIYLTQEEKRDVHKVSGQGDFGIVNITNSSNGPLTRSRAKMKRVKEQGQEGHRYL >Solyc10g012110.2.1 pep chromosome:SL3.0:10:4426812:4427727:-1 gene:Solyc10g012110.2 transcript:Solyc10g012110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILLTLFSLALVLGQTYGNIQCGTDVIPKVMSCGGFILGDDAKPSQACCVGLQDLAKTAAASQTDRKDICLCFKAAMQGAKVKYDKAKQLPDLCHFTPFMPLEPNPDCSKVI >Solyc09g090290.3.1 pep chromosome:SL3.0:9:70291187:70298095:1 gene:Solyc09g090290.3 transcript:Solyc09g090290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSRLLSIKLRPLINTVECRRWCSSVNGKGEISQPLKKSEVMVQLKDRDVEIAEKKHMTENEEVFPRETRVQKEVDSWNSLVATFQITKKKIFRNILEQKSSSTHSLTSTSDLKDSDNVVSAHSHDSGSMNNLKSTIRSEKTSITNMEENVDSKTSLSSFIAVEIVNMKNTSNTVETEESRNISSKECEGSTDYGVNDMSSGASSICRKADSNDGVQLINDFHHSEFVKKEREQLLAEEASSSLQISSGEEILSKSEDARIVEHRMQIEIPRTSHRISANKSGSTASESQEDIMKKFKFSSNQIVAPKSEKSVPREFEHLHETSQNTFGKSGDIKGLIECIRVLPPQNHSVVRPEEIVVDRSVDRYSGRKASNSTQAQTNEQSTDRKKRKTSSQGVIGKKVGISSEVAEDLNPGDDRSEIRNTAPRDFFKSIETEELTSLREIVADRNVDRYSERKTSNITQAQTNKQSTDRKKRKTSSQGVIGKKGGISSEVLDDLNPGDERTEIRSTAPRDFFKSIETEEITSLRENGNLNKDEDASLSEKLSDENKLTIKFVNVKATEDDVRDCFKSCGAITKVVFPSVKSTNYKVAHIYFESKKGRQKALEWSDVVIKNIVVVEATFPPKGRERMCIPDLIGYPEVPTSLVKHPSRTVMIKELKHNVSFHDIEEALAFCRSNITGIYFGSSSSVAYVEFETVEGKEIAIAKHSLTMLGETLSILRIDAPRTTIVRISNIAIPSRAKVISFCKNLGQTRHFFKKALGIMDVHFKLAEWPRMLEIINRLNGTEVDGQQLVAKPAPIYPPDVLKVLWSQPEGRKHLKTTFNSMLLKVGGGSTVGLTELVDKFYADVQET >Solyc02g065515.1.1 pep chromosome:SL3.0:2:37252973:37253351:1 gene:Solyc02g065515.1 transcript:Solyc02g065515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIGSNSVIKNTSEKETYCKRPPPYISSNSVWPFQQCNAFPVPTVYDQDIEKETYCKLANGRLHISGQHHQLNSHQGGL >Solyc08g066010.3.1 pep chromosome:SL3.0:8:54508686:54528855:-1 gene:Solyc08g066010.3 transcript:Solyc08g066010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIAAINDTESVSQWEPLAPTKEAQEFHLSQTYHEGLLKLEAKDYKKARELLEVVLKDPLVANSQVDSNSSDGHLLQLRFLALKNLATVFLQQGAPYYKDALQCYLQAVEIDNKDSVVWNKLGTLACSIGMLSISRWAFEQGLYCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRNWPSHSRALLVKRTIEESEPISFAPRGIDKLEPKHMRLKFPEKRKAAGVDLDEEPVSKKLKQNLEFYLSEVSWTALASELLKILHPSIECGSELGPGNDVSGDVSIIIKLSSIPDKIRDPSGRKDISPSTAAENISVMDFRAETGSVSRENESSICGEHPQERRSSRLERLRSRKPDKEELDFETSRDLTKVVMQFLGPYVVNQAGLADQAEDLPNSQDTECKDVVGFVLKTTRNHGAYHLGHMLLEEVARRGILYQDGMSKFLDLEKVIRFWGQERTPECNLFLAELYYDFGLCSSDTSKKSSFLSEASYHVCKIIECVALDYPFHVVGRKESASVGDHFQSHGHSEYPLNKNHEFWVRFFWLSGQLSLSDGDKARAREEFSISVEHLTNKESKSDFVLLSHLKSYKRLTVNKILHEIHLLEVDFLMKDGIHQLVEKNLHSECVKTLAPLLFSSEEVSAESSHVTTHTGRGLTSIELSALDILIKGCEETEPLNIEVYLNCHKRKLQMLITAVSEEENQFSNQMKGSNMLSISDTESKEIPSDLWNMAAQEVKAISQCASRIKSITDPSENSNGVPVTVIGDIQSLLLMFMCNVANTYSCKKFSSSGISDHTEQRESVYFIDAVIAFCKLQHLIPNVPIKTQTELIVAIHDMLAEFGVCCASATGKEEEGTFLKLAIKHLLNLDMKLKSNIHSTCKEFEMSQCDKQSNHDNNVQKSEQLTHESHVNVLSNLSNLEKLNVEAGQVDRAEATVSDKVAVERISAEAISSRKALEVEKTTMEDSKNVDDISDSTYPRSANFKDQLVEDGTELSEVAKEELEFAIDNALDQCFYCLYGLNLRSDASYEDDLGEHKNTSRGDYQTKEQCADVFQYILPYAKASSRTGLIKLRRVLRAIRKHFPQPPDDVLAGNAIDKFLDGPEMCEDKLSEEAGSSGFLESMTKILLPDPISLEQQKASSKGSSEPYLEVYSNLYYLLALSEEMNATDKWAGFVLTKEGAEFVQQNAKLIKYDLIYNLLRLESWQKLANIYDEEVDLLLNDGSKQINVLGWRKNAALSERVEASRRRSRRCLLMTSALAKTADQQAEIHELLALVYYDGLQNVVPIYDQRYVVPSKDSAWMMFCQNSLRHFHKAFAHKEDWSHAFYLGKLSEKLGYSHETSFSFYAKAIALNPSAADSFYRMHASRLKLLCTCRKQDEEALRVVAAYCFNQSTQDTVMDILSKVCPSILESTCTEDRTQGEYSVNDGKGDSHLEGVWQMLYSDCLSALEICVEGDLKHFHKARYMLAQGLYRRGGNMDIQKAKDELSFCFKSSRSSFTINMWEIDSTVKKGRRRTQGCSGNKRALEVNLAESSRKFITCIRKYILFYLKLLEETGDICTLDRAYFCLRTDKRFSSCLEDLIPVALGRYLKALISSIHQSDSKSCAASNSSEHHLEKMFSLFMEQVTMWSDICCLPEIKSSELTESCLFGYLYRYIQSLEQNIKVETLEGINEKIRKRLKNPKLSSSNCAKVHKHVSAAWCRSLVISMALITPLHSRLSSEVQGPNSPVNGLENSQLLCVDLQLDELWCSSFEDMNHVKDLERKWNPSLSKIKNVIVKRAADEDLETASMLLRSCYNFYKDTYCALLPSGINLYMVPSQFATETYIQPGIDAVDILDMNTSRKLILWAYTLLHGHCTSVSASIKYCEENSKSRIKKGSGSMWPSSANASPATASNIGKDGMSKSSEPDGSPLSTLGNAPYSEADGSQKGTPPSLPETEKASASFSKMGGTMDALSLSLPDGESTTSPNAVTADKRHKVLLAAPHDNPVVEVKELDMQNDTEPGKI >Solyc02g084480.2.1 pep chromosome:SL3.0:2:48119365:48141557:1 gene:Solyc02g084480.2 transcript:Solyc02g084480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVAENLYIDEKVSGDANSGSSEDRHVKRLLDQISKTLLVEEKKTAIVELVFAVWSSTGQMAFGETGFHVILSILKEKPNDVQMVRNALETLLMAVTPTNYAKGPSDEVPPNLINSNLLLSEKENISILLSLLSHEDIHVRYATLQLLMPPLNKSPQKLLEVICSIPSGIKQLLNFLNDDCKLLRNEAMTFLTFLNCEAEEIQELLAFEGAFVKIFSIIEDEGGLEGGVVVQDCLILLSNLLHCSASNQAALREAIGFDKLLSVLKLRKATYSFSSDMTTIVLCVLEIINLLITGAPETDPTRDSDKLTNKTVLVQEKVLDYLFMLGVESQCAPVAVRCTALHCIGDLIADHPKNLKELASKRFGEEPDLEPALKSVFQMVLRTSSKQEFMAADYLFKNFCQRNPDGQTMLLSTVILQLQSMIHAPVENDINMSFG >Solyc07g052600.3.1 pep chromosome:SL3.0:7:61195442:61204010:-1 gene:Solyc07g052600.3 transcript:Solyc07g052600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTSNMGDSSKDDFLQLIKRFGAFLTVKISNIFHTLDSRSVGAIAGLAVAIVFTWRVWRSPSGPQRRIPKRQGTTPSSSGVSTHSSANVETSGVISSSEDSNAQNVIDEFFQPVKPTLAQVVRQRLSEGRKVTCRLLGVILEETSPEELQQQATVRSSVLEVLLEITKFCDLYLMERVLDDESEKKVLLALENAGVFTSGGLVKDKVLFCSTEIGRTSFVRQLEPDWHIDTNPEITFQLARFIKYQLHVSPTKPERTAINVFNSTTLEQFFGNV >Solyc05g015390.3.1 pep chromosome:SL3.0:5:10448598:10450425:1 gene:Solyc05g015390.3 transcript:Solyc05g015390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKVEMEKCEVNLKHLGFVRVLALNTAVLLSNLYDYAKQNSGPLRSTVGTVENAVTTVVRPVYDRFKGVPDEVLVFLDKKLDDGTAKFDEHAPPLAKKVVSSVQSLFQKASEVAQGLSKDIQEGGPRAAIYHAGELSKQFGTTQVAVLWYHVNHCPPLHGIAQMAAPTVAHWSEKYNHLVANLQEKGYSVVSYIPLIPVEEISKAYKQVESAATKKEGATDSTSSKSE >Solyc05g018880.1.1.1 pep chromosome:SL3.0:5:24482263:24483801:-1 gene:Solyc05g018880.1 transcript:Solyc05g018880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKKPKVVIIGAGMAGLTAANKLYTSAGCKEFIEVCVVEGGDRIGGRIITSEFVGSRIEMGATWIHGIGGSPVYKIAQEINSLHSDKPWECMNEFLDEPLTIAEGGYHLNSSLVDPICNLFKKLMDFAQGKPHVQFPSSNGNLSIGSFLRKGLDAYWGSIKEQEETLGFDNWTRKSLEEGIFAMLENTQRTYTSAGDLETLDFNAESEYRMFPGKEITIAKGYSTIVESLASVLPDGMIQLGRKVTKIEWQPDDGENCDVIKPVKIHFCDGSIMYADHVIVTVSLGVLKQGIHNNTGMFSPPLPRFKTEAISRLGFGVVNKLFLQLSPNRDEFPNLQMVFHQSDSKLRHRRIPWWMRRTASLCPIYGTSNVLLSWFAGKEALEVESLSDEEIIDGFSKVISDLLENSKNKCNGHADSAIKFDKVLKTKWATDPLFLGSYSYVAVGSSGDDLDSMAEPLPKTNNHDLNSLQLQILFAGEATHRTHYSTTHGAYFSGLREANRLLQHYKYIDI >Solyc05g050890.2.1 pep chromosome:SL3.0:5:61968009:61968908:1 gene:Solyc05g050890.2 transcript:Solyc05g050890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSAGSHTIGQARCTTFRDRLYNETDINASFATTVKSNCPQSGSDNDISPLDATSPTTFDNIYYKNLRIQKGLLHSDQQLSSGGSTDSIVNTYSSNSATFFTDFANAMVKMGNLSPLTGSNGQIRKNCRKIN >Solyc06g009880.2.1 pep chromosome:SL3.0:6:4149846:4158021:1 gene:Solyc06g009880.2 transcript:Solyc06g009880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSENIITLKTSDNKEFKLEKSVAVKSEVIKSFVQDNDCTFIPLTNVDGKTIEKVINYWKKHSEEGVTEVQLENFDQNFLKMSHAELFDVHLAARYLDDKQLEEVIIQESIDRIKGKTLEEIREVFGIVNDYTPEEEEQVQNIITLKTSDNKEFKLEKSVAVKSEVIKSFVQDNDCTFIPLTNVDGKTIEKMINYWKKHSEEGVTEVQLENFDQNFLKMSHAELFDVHLAARYLDDKQLEEVIIQESIDRINGKTLEEIREVFDIVNDYTPEEEEQVRRENAWAFK >Solyc03g093930.3.1 pep chromosome:SL3.0:3:57040043:57041233:-1 gene:Solyc03g093930.3 transcript:Solyc03g093930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPQQRLKSIELVVNLFTIMPRVQLHQQQSTNMKKGAWSPEEDQKLKSYIMKFGIWNWSHMPKFAGLSRTGKSCRLRWMNYLRPDVKRGPFTMEEVEIVIKTYQELGNRWSAIAGRLPGRTDNEIKNFFHTHLKKQLGLKNVGSMKRTKRVVMKKDKDQNEMTNIYADKSSTNVCNFSSEGINANIITWEENEMRDIVCSNNIVVDDMEIKEPVILESNPEIEKNSNVGCHLCQFEYPHPCALSGLDFFHQFDVLWDAQHLAIF >Solyc07g056310.3.1 pep chromosome:SL3.0:7:64285670:64289513:1 gene:Solyc07g056310.3 transcript:Solyc07g056310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRILRSFYIFIQICCLLICSGSNATHTGHNSKSISSNQKDITTPITTVPTGTPLTTTPTITTPVLNPTISDPDSTSNGPSNPVLTPSVTNSPTYSSGSSSWCVASQAASQTTLQVALDYACGYGGADCSAIQTGGTCYNPNTLRAHASFAFNSYYQKNPIPNSCNFAGAAVTTNTDPSTGSCQYPSTSTSASILNTTNSSGSTVFGAGPITPTTSAAVSPNIILNYYHHIFIHLVILLADCHNIL >Solyc05g014940.2.1 pep chromosome:SL3.0:5:9145733:9146286:1 gene:Solyc05g014940.2 transcript:Solyc05g014940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKGKNLGSNAKRRSRSSKAGLKFPVAIGKYAKRVSAGALVFLATVLEYLAVEVLELAGIAVRNDKRTHITPRHIQLATRFHKELYQFLRDLTIPNGGFIPKITNFCCLTTRVTLQRMSFLLLRRRRIRLIIPSLASQ >Solyc12g019615.1.1 pep chromosome:SL3.0:12:10898457:10902157:1 gene:Solyc12g019615.1 transcript:Solyc12g019615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIAIDSHFLREQVQNKHIAVPHLHSADQVADILTKPLPRATFVKHFSKSCIDSLYSSHMKLKPPLMEEVLNDDMLVEVTTNHVSTNTNAQNTEPTVSMSPHIPNNLNLDDAPLAPIRLNSPIHIPSYLKDYTYKLSNLHALASTSDP >Solyc05g044460.1.1 pep chromosome:SL3.0:5:57294731:57295238:-1 gene:Solyc05g044460.1 transcript:Solyc05g044460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEGLRSCYSRPGCLRSVMEVLRQLVEETFINETPFSQHLKYVIRCFLSLPRVGWIPRVKQWVPQQLFDNATFHAIIHLDPEIQNMLYQLVSNPNTNPQQLLG >Solyc10g011830.1.1.1 pep chromosome:SL3.0:10:4117019:4117183:1 gene:Solyc10g011830.1 transcript:Solyc10g011830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMCPTGSSLPDCSHACGPCFPCKRVMVSFACSIAESCPIVYRCMCRGKYYHVP >Solyc05g006140.1.1.1 pep chromosome:SL3.0:5:844646:845323:-1 gene:Solyc05g006140.1 transcript:Solyc05g006140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSYLDGRIENDKGYGASLWKPLSEECVNWLKTKPKRSIIYISFGSMVSLTQKQIEEIAYALLGSNMDFLWVVRDIEKCKLPKGFIESTIGKGLIVSWCNQLETLANQAIGCFVTHCGWNSTLEGLSLGVPMVAMPQFSDQMTDAKFIDEIWEIGVRPKLDDMLGIVKREELLFCLKEVMEGEKSDEIRKNATKWRDLAKKTVSEGGSSDKAINEFVESLNLV >Solyc01g057745.1.1 pep chromosome:SL3.0:1:63555396:63555805:-1 gene:Solyc01g057745.1 transcript:Solyc01g057745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRMKLKLDKYWENIEKPENLNMLLFIASELDPRYMMRYVNIVLGKAYGSLLGKLKFVEGVLKRLYNHYNHSSTGNFTDNIGGETNMMGVVDDILHSEWDKHLEDEENVEKISKLDQYLMDNVEKPNYFNILT >Solyc01g100210.3.1 pep chromosome:SL3.0:1:90142989:90144452:-1 gene:Solyc01g100210.3 transcript:Solyc01g100210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B155] MAWIIENSRFMIAISIVIILAYPFLQSCPPAEAIKSSNSDHVPLFNYRQSPNFKNSPKCTTFPISSIATKICNPFLVHISMTLDTEFLRGSVAAIHSILQHSHCPENLFFHFISSDTAINLEPHLGKIFPLLSFKIYYFNPGIVQNKISSSIRQTLEHPLNYARNYLAELLEPCVERVIYLDSDIVLVDDISNLWKASLGLSTVGSPQYCHANFTNYFTPKFWSHKKFFRAFHGRKPCYFNTGVMVIDLIKWRKYRYTKKLERWMKIQRVHRIYELGSLPPFLLVFAGKIAPIDQRWNQHGLGGDNLSGSCRNIHAGPVSLLHWSGGGKPWLRLDSGNSCPLDELWARYDLHG >Solyc09g075330.3.1 pep chromosome:SL3.0:9:67468479:67470538:-1 gene:Solyc09g075330.3 transcript:Solyc09g075330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4CVB0] MAKNSKLCFFIFCTTLLLFIPILFAAPNTPVPPSVICKSTPYPSFCKSSVLPPSESPDNENVYSYGRKSIRKSLSSARKFLSLIEKYLRKSKQLTVTAVRALEDCQFLAGLNMDYLSSSLKTVNATSNILPVLQADDVQTLLSAILTNTQTCLDGLQETSSAWSLRNGLVAPLSNDTKLFSVSLALFTKGWVPIKKNKSKFHRVKKNIFKNGRLPLKMSQRNQAIFERVGRRKLLQEDEQVVVSDIVVVSQDGSGNFSTINDAVAAAPNNTKAESGYFLIYITQGVYEEYVSIAKNKKYLMMIGDGINQTIITGNHSFVDGWTTFNSSTFSVVGQGFVAVNITFQNTAGAIKHQAVAVRNGADLSTFYSCSFEAYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAALFQNCNMYPRLPMVNQFNAITAQGRTDINQNTGISIQNCTIRPADDLALSNGTTKTYLGRPWKEYSRTIYMQSYLDGFIHPTGWHDWSGDFALNTSYYAEFNNTGAGSNTTGRVTWPAIQILNATDAANFTLSNFLVGDDWLPQTGVPYFNSLL >Solyc10g011920.2.1 pep chromosome:SL3.0:10:4197254:4218433:1 gene:Solyc10g011920.2 transcript:Solyc10g011920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIAQNVHVSVHDPLNWEMAAESLRGSHLDEVKKMVDKFRKPIVKLEGENLTIGQVASIANANNKTNGVKVELSESSRGCVKASSDWIMDSVSKGKDICGITTGFGANSNRRTKNGGGLQKELIKFLNAGVFGNGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTLTASGDLVPLSYIAGLLIGRPNSKAVGPNGEILNAEEAFRVAGISGGFFEFQPKEGFAITNSTAVGSGMASIVLFESNILVVMSEVLSAIFAEVMNGKPEFTDHLTHKLKYHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEIIRAATKMIEREINSVNDNPLIDVSRSKALHGGNFQGTPVGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTGGRDPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQSIDLRHLEENLKNAVKDTVSQVAKRTLTMDANGELHPARFCEKELLLVVDREYLFAYADDPCSSNYPLMQKLRQVLVDYAMKNGESEKNVNSSIFQKIGAFEDELKVVLPDEVENARVVLEGGSPLIPNRITECRSYPLYRFVRKELGTELLTGERVRSPGEDVDKVFTALCNGQIIDPFVHDPLNWEMAAESLRGSHLDEVKKMVDEFRKTIVKLEGENLTIGEVASIANANNKTNGVKVELSESSRACVKASSDWIMDSVSKGKDIYGITTGFGANSKRRTKNGGGLQKELIRFLNAGVFGNGTESCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTLTASGDLVPLSYIAGLLIGRPNSKAVGPNGEILNAEEAFRVAGISGGFFEFQPKEGFAITNSTAVGSGMASIVLFESNILVVMSEVLSAIFAEVMNGKPEFTDHLTHKLKYHPGQIEAAAIMEHILDGSSYVKAAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEIIRAATKMIEREINSVNDNPLIDVSRSKALHGGNFQGTPVGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTGGRDPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKLMSSTYLVALCQSIDLRHLEENLKNAVKDTVSQVAKRTLTMDANGELHPARFCEKELLLVVDREYLFAYADDPCSSNYPLMQKLRQVLVDYAMKNGESEKNVNSSIFQKIGAFEDELKVVLPDEVENARVVLEGGSPLIPNRITECRSYPLYRFVRKELGTELLTGERVRSPGEDVDKVFTALCNGQIIDPLLECLKSWNGAPLPIF >Solyc01g020290.1.1.1 pep chromosome:SL3.0:1:28848841:28849005:1 gene:Solyc01g020290.1 transcript:Solyc01g020290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRVFEAIKVNFNDNYEENMRKWGCGIMGSMSQARTKSMERVLAKEAAQRLN >Solyc11g069390.1.1 pep chromosome:SL3.0:11:54280924:54282241:-1 gene:Solyc11g069390.1 transcript:Solyc11g069390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARLHVVCFVIFFVILSVQQCICADSPENSPSPAPVAGGDLGSLPPIAPAPKTPSLSPSPLASVSSPPASPPTYLSSNSVPAPSPVNGDDDAPSPVTEPSSPSPAPTDVVASDISHESTTTEVASTDGGMNGGKKAGLAFGVIAAVCVVGLGALVYKKRRQNIRRAQFGIREFLRMNGGKDAEVAFDVAFGLLVAACVVCFGAAVCNKSRQNIRPAKFGYAARRNFL >Solyc03g044700.1.1.1 pep chromosome:SL3.0:3:10374786:10375094:1 gene:Solyc03g044700.1 transcript:Solyc03g044700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKTMSITEFVKHYEQRTTEMRDTEATEDYKCRGKPKLFIEDCGILKHAGNVYSRRIFTRFQHEFLKRTTKKVIHLKTVGTSMFGTMGFLCCHALRVLFFN >Solyc05g051040.3.1 pep chromosome:SL3.0:5:62075919:62081924:-1 gene:Solyc05g051040.3 transcript:Solyc05g051040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTETAANDNIPNADVSPSNGVGIDTTPFLTSQNSRSRRSFRRPPSLRGAAGFLRRASSRRLMREPSMRVREAAAEQIEERQSDWAYSKPIVIIDLLWNLAFVIVSISVLVLSRNESPSMPLRLWIVGYASQSVLHMVCVFVEYRRRRLRESSENLSSSEQRSGSASWNTEVGNLSSESDGGESGDYSQERNQNEDETSVAKHLESANTMFSFIWWIIGFYWVSAGGQTMPRDAPQLYWLCITFLAFDVFFVVICVAVACVIGIAVCCCLPCIIAILYAVTDQEGATKEDVERLPKYKFKRLGNFEKENGDIQESFGGVMVECDTDTPTEHVLPPEDAECCICLCSYEDGIELRELPCRHHFHAACIDKWLYINATCPLCKFNILKYGNQSGSEEA >Solyc02g087000.3.1 pep chromosome:SL3.0:2:50196535:50202739:1 gene:Solyc02g087000.3 transcript:Solyc02g087000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIESWGRQNPIKKESWRTVIALAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSESNDEIFGVLSFVFWTLTLIPLLKYVFIVLRADDNGEGGTFALYSLLCRYTRVSTLPNGQLADEDLYEYKNDRNLSADRIGMSLKSTLEKHRFLKKILLILALIGTCMVIGDGVLTPAISVFSAVSGLELSMAKHHHQYVEVPVACVILVFLFFLQHYGTHRLGFLFAPIVITWLLCISAIGVYNIIHWNPHVYQALSPYYMYKFLKKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTFVVYPSLILAYMGQAAYLSKHHVIASDYHIGFYVSVPEKLRYPVLAIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEVNWTLMVLCLAVTIGFRDTKHISNASGLAVITVMLVTTCFMSLVIVLCWHKNVLFAICFIFFFGSIEALYFSASLIKFLEGAWVPIVLSFIFLGVMYSWHYGTLKKYEFDVENKIPINWLLTLSPNLGITRVRGIGLIHTELVTGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRPEERFLVGRIGPKEYRVYRCIARYGYRDIHMDDVEFEKDLVCSIAEFIRSEGRGHSFEAVEDIDANERLTVIGTTSTHIDGIRICEDNGELTHVDTEMIEISSPEVPRKRVRFLVPESPQMDASVREELQELMEAREAGMAFILGHCYVRAKRGSSLIKKLVINIGYDFLRRNCRGPTYALSFPQASTLEVGMIYHV >Solyc11g072700.1.1 pep chromosome:SL3.0:11:56194958:56196751:-1 gene:Solyc11g072700.1 transcript:Solyc11g072700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQRSQLSHRNIITWLFVLILIIYLIYSTNYILNNDDSDCSSNINEIDHLSTNNNTTILQDEEKKNEQNDENVLRKKEEETNLKHVVFGIAASSILWDKRKEYIKLWWKPGETRGVVWLDENVKINNNEPLPDIKISDDTTKFHYTNRQGSRSALRISRVVSETLRLGLKDVRWFVMGDDDTVFFVENVVRVLSKYDHNQYYYIGSSSESHVQNIFFSYSMAYGGGGFAISYPLAKELEKMQDRCIQRYPGLYGSDDRIQSCMAELGVPLTKERGFHQYDVYGNLLGLLGAHPVTPLVSLHHLDVVDPIFPGISRVEGLQRLFESSRLDSANLMQQSICYDKERYWSISVSWGYVVQIIRGNVSPRELEMPTRTFLNWYKRADYTAYAFNTRPVTRHPCQKPFVYYMNTAKIDHSRNQIIGIYYRHREPSPSCRWKIESPENINNIVLLKRPDNNRWQKSPRRDCCGVLPSNNNSKSNLYMWVGSCRDGEISEL >Solyc10g049994.1.1 pep chromosome:SL3.0:10:47360962:47361687:-1 gene:Solyc10g049994.1 transcript:Solyc10g049994.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLNKDTVKNKYTVPLYAGSQNLISGQANGSLGTCVTTYGSYEFLVMSFGLTSAPTTFCNLMNNDLFDYLNDFVVVYVDDIVIYSRTLEEHVNHLSLVPSQLKKYTLYVNMEKCEFTQQEIKLLGHLVSKNQVRMGLKKVQAIKIHCCYSKRAAALTDLLKKDTKWNLKKAIACEPILRLPDFELPFQLHTDASDKAIG >Solyc01g017050.1.1.1 pep chromosome:SL3.0:1:23709811:23710128:1 gene:Solyc01g017050.1 transcript:Solyc01g017050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLPSPSCPIIPDNACILCITAAAGTELADAYSQDTVIASSPGKEVHDPWAFYLHAALLRQAFVHCRKFPTAASRSLHPIDSKSQYLHVPLLFVPEEHRRTFSN >Solyc01g097550.3.1 pep chromosome:SL3.0:1:88230137:88233205:1 gene:Solyc01g097550.3 transcript:Solyc01g097550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEANEFAAVTKAFSGLGVDENLFISSLGRWNRYQRESYRVSTPGFFKEDERQFQRWSDHHILQLRQEFLRLKDAVVLYTMHPWERDARLFKEALLLKIPQHDVLIETACTRSSEDLLGARKAYHSLFEHSIEEDIAHHIKAHERKLYALILFYSWGSSKVRFILLVALVSSYRYEGAKVNEDLAKSEAKMFANALAKKTNLIEDEDIVRILSIRSKHHLKAIYSHYKEMTGNFLDEDLDGDLLLKQVVQCLCSPQTYFSKILISSLSLDVEESAKDSVTRVIVTRADENDMKLIKEEFQSKYGSTLAAKIQQVANGSYRDFLLTIIAKSD >Solyc01g009150.3.1 pep chromosome:SL3.0:1:3100611:3103902:1 gene:Solyc01g009150.3 transcript:Solyc01g009150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGFTAEDLSKIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLFVTAFGAVLHVLSTSLLGITAITISNTIAGEETVHKLASLLLVVLGGSYVILHLFGKGGHSHSHNQPMEKMAVAGLILVPALSPCATTLPVFLAVGNSSSMMVLAI >Solyc12g014530.2.1 pep chromosome:SL3.0:12:5498167:5504548:-1 gene:Solyc12g014530.2 transcript:Solyc12g014530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGIASGSGQLYLREHVYRRSSYGKAHCHSTVILSSMQNQIHWSSWISKLLQVDRSNYSQCQVKTNRKSHRGTIKSCQREGSTRYFDFAVIGSGIAGLRYALEVAKHGSVAVITKAEPHESNTNYAQGGVSAVLCPKDSVENHMKDTIVAGAYLCDEEIVRVSPQNIKVSSVPLKPKDLFVRRFLPQDVELQKLEKSNSSGGSRKFYIVLDWWDITADIFFSAGKKIGSNSCASYAINVVCTEGPERIRELIAMGASFDHGEDGNLHLAREGGHSHRRIVHAADMTGREIGRALLEAVVKDPNIYVFQHHFAIDLLTTQDGSDIVCHGIDTINTETQEVIRFISKVTLLASGGAGHIYPSTTNPPVATGDGIAMAHRAQAVISNMEFVQFHPTALADEGLPIRPSNARENAFLITEAVRGDGGILYNLDMERFMPSYDERAELAPRDVVARSIDDQLKKRGEEYVLLDISHKPKEKILSHFPNIAAECLRYGLDITQQPIPVVPAAHYMCGGVRAGLEGETNVRGLYVAGEVACTGLHGANRLASNSLAEALVFARRAVKPSIDHMNLSKIGHSASNWWPRPVAPLLLGDTVVNKVIRQTREVRKELQSIMWEYVGIVRSTSRLTLAETRIIELELKWERYLFQHGWEPTMVGLEACEMRNLFCCAKLVVSSALSRHESRGLHYTIDFPHVEESKRLPTVIFPSQLNSSRQLHKQQIC >Solyc03g123690.1.1.1 pep chromosome:SL3.0:3:71958190:71958624:-1 gene:Solyc03g123690.1 transcript:Solyc03g123690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVAVIAAFPIMSIITAHKDALLSLVNASNYSIISTQKQDNNCVDDHLTCIICLAHISLAESRRVLTKCGHEFHTHCIDSWLNINSICPLCRIPVPANISMRSCTKNRLVSSLISAADNIWNWFLDPLSSEVIASLTNMECLT >Solyc08g083170.1.1.1 pep chromosome:SL3.0:8:65833015:65834178:1 gene:Solyc08g083170.1 transcript:Solyc08g083170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDFISTSSSFSSTSLLQKRLHYIIHNRQEWWVYGIFWQASKDANGRLIFSWGDGHFRDLALAKVHNANVSDMEMFYAVSAPNCFLSEDDLIVHAYNSGSYVWLNNYYELQIYNYDRAKEAHLHGIRTLLCISTPHGVVELGSSQVIQENLELVQLIKSLFGQINDHGFNFVPLGDPMDTKTITMGSDSGNSDESSAMNKDSPKKRARKSTTAKNHVEAERQRREKLNHRFYALRSVVPNVSKMDKASLLADAVTYINELKAKVEELKAKIEVSTKKLIQKRNCVSSSAVVDGTNINININSSFVDGMEVEVKIIGVEAMIRVRSPNVNYPCARLMNVLRELEFQIHHATVSSMKEMMQQDVVIRVPHNVTNEEAIKSVILTKLSFA >Solyc03g119020.2.1 pep chromosome:SL3.0:3:69225244:69226114:1 gene:Solyc03g119020.2 transcript:Solyc03g119020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLFSSYDLKLLEKAEERRKLFSPTQGGVLELIFAAESLAHEHVKEDD >Solyc12g042870.1.1 pep chromosome:SL3.0:12:59261618:59266052:-1 gene:Solyc12g042870.1 transcript:Solyc12g042870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSSSSKKNSSKNKHASTFKDSKTPKKRGREAAPPIVRPTLQTNMNYVIKHIPTNALKFGPIYNTNFVEHLVSSIKVEANDPEEISSLGLPDINYVPPNQSVSSIPDNEDVHPEEVSDFEDFTTKPPDNLLKRTSTGVNVGTTPPKRKRIKIAYPHKYDLSRLSKAQKEPDHSFQNPEPQQKESENVAGVGVSPNSFNEKTSLGSSEIDDLKKFMKFYDTGGVSSPKMMDDSVAKENVGAKFNSSNSDEATVSHKHMDCVTLDDAAENSDAAGKQKASSEDVTKNNSDHPTVSPKHTNFATVDDVAETAVEVGKQTEDLMKLTWSDDRLSDSQLPTELGISYVDTKIPAQRNKMPSKVSCRGMTASNGLTSNVIASFSGVKDTDEFQQHQQQLQNSYTLVKQSDDQSYSINVRCAVSDT >Solyc10g052877.1.1 pep chromosome:SL3.0:10:53983481:53985069:-1 gene:Solyc10g052877.1 transcript:Solyc10g052877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIATKKKYDIQKLKGLLSAEFEMKDLGAARKILGMAIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSDEEKEGTSDVGLIYGGDTQCLVTGYSDSDSDRDVDTRRSMTGYVFTLGGSVISWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLIIVSLRFDFISYFDYSPLVLG >Solyc08g081920.3.1 pep chromosome:SL3.0:8:64951245:64955510:1 gene:Solyc08g081920.3 transcript:Solyc08g081920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRGSANRLSSTSNFRSRISFLMLSMFSTMAALYVAGRLWMDSENRVYLIQELDRRTGQYVGSNKLYKDQGGCHVRIIIADHEFDEVGIEISIFCCLIGPDELSLEMGRSAISVDDTLKIITCREFLIILEFYAGIIQAYVVFAREQQKRLTALQMELGKASEEGFVSKHLLDNNEKDSKKKLLAVIGVSTNFGNKKNRDAIRKAWMPTGPARKKLEEEKGIVIRFVIGRRLLTRICTSCSLNRGDSSDRAIDDESRSFDDFIILNDHVESPQEQSKKTKSFFAHAVEHWDAEKEIAKELPNVCQHWHVEFGNDNESSQRDSKV >Solyc08g008050.3.1 pep chromosome:SL3.0:8:2541376:2544632:1 gene:Solyc08g008050.3 transcript:Solyc08g008050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENKEEDVNLGANKFREPQPLGTSAQTDKDYKEPPPAPLYEPGELSSWSFYRAGIAEFMATFLFLYITILTVMGLKRSDSLCSSVGIQGVAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFMQGPYQRLGGGANVVQPGYTKGDGLGAEIIGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNQDQAWDDHWIFWFGPFIGAALAAIYHQIIIRAIPFKSRA >Solyc06g083170.3.1 pep chromosome:SL3.0:6:48749764:48752691:1 gene:Solyc06g083170.3 transcript:Solyc06g083170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNDCFSNGGIQPPFHFDPKIPLNSLHSPHSDYFLNTHWDNNSTDNQYTHFDSALSSIVSSPVPSNSVNSNSSLCELIGKLGSICTSPSTPFTSNCDSTRTSCYTTPMSSPPKLHIPIMNQIGKDKVPNLGNSVVMNSPPFPSLSAAKFSCFGSRSFNGRTSQFELNNEDSRYGSGTGVMGIGNLTRISSSPCVVQNKNSSLMMCERLNLGKISGRNEECSVSEQDPNGEMGSKTRNVLNSKKRKAVKSKDFVPIVDETGKKRAKSTQGNGSNNGTVKMEEQKGNEDDGAEKETKENRKIAEPPKDYIHVRARRGQATDSHSLAERVRREKISQRMKLLQDLVPGCNKVTGKALMLDEIINYVRSLQHQVEFLSMKLASVNPRTDIHIDSLLHTEISQPSGSLHQHVFPVDGYAENLAQLPTICEDDLQSIVQMGFNQNSNQDLILQSQTFPVPNSESQMKIKM >Solyc01g058420.1.1 pep chromosome:SL3.0:1:66431523:66432004:1 gene:Solyc01g058420.1 transcript:Solyc01g058420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGSLLDAVQLAEILGTTRVRSPQVSVLWGAFKNIRQGPRTLSTVHHSLNYSVMQYLLNTKKKMHFVPVIVLNHFVAPGVAELSSIYILKCSFSHVSLMADSKSIPSFILLSYKRGIPPP >Solyc10g055370.2.1 pep chromosome:SL3.0:10:56592595:56595666:-1 gene:Solyc10g055370.2 transcript:Solyc10g055370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLMWRRSPLFWIFFLFLFFATSSLAKHHVGNVYDYSAWTECQAEPVAALYKGGILRNKFPIYQPVKDINGDMVDSPTLSLENLSQGSIYSFSSWVRVKNVDSALVTATVKPDNKYAKCIGSVKAKKGCWSFLKGGFLWDSTFTSSEIDFQSSDRAKKFVEIEIVSYSLQPFTEREWKLNQFEGIKRERKRGVTIHVVDKNGLRVPGAAVKLSQLSTDFPIGTMISRTILENLPYQEWFLKRFKATVFENELKWYKTEPLPGQYNYTVVDEMMKFVRKNKLIVRGHNMFWDDPTYVQDWLENMTAPQLQTAMNGRIKSVMRKYRNEFFHWDVNNELLHFDFYEKKLGPKATLDMFKSMQREDPLTTLFLNEYNIVERCDSKANVDSYIDRFKELKKGGVKVAGIGLESHFSAPNPAFMRAVLDKLATLKLPMWLTEVDVSNMYGQEEQAVFLEQILREAFSHPSVNGIMLWTARSIGGCYQTCLTDEKFQNLPTGDVIDQLVLNEWTTGTKRGKTNEFGSYNFRGFLGEFKVSIIYNRTIVNSTFSLGHGVDTKHITIHV >Solyc02g090990.1.1.1 pep chromosome:SL3.0:2:53078279:53079349:1 gene:Solyc02g090990.1 transcript:Solyc02g090990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGEAVGHGSFGKVDFAIPKLHKTHILPLMVVKSSAASSSATLINEKMILDELNSCPYIIRCLGDSYTYEKGEKLYNVLLEYASGGALSEKLNNSGDRRLPEIEIRKYSKALLKGLHYIHKRRYVHCDIKPQNILLGKDGQVKIADFGLAKRAESVKDDKLRSELRGTPLYMSPEMVTGGEQDTPADIWALGCVISEIATGVPVWGYSDLTQLLMKIGVGDELPEFPTKLSEEGKDFLEKCLVKDPKKRWTAEMLLKHPFVTDEDDTVTLNYSGSPSTSPRCPFDFPDWISDDSVESSVTSQITSLPSPAIQELLNFSGGSLSTSPAERLRGLMNELTKSEWSDADDNWVSIR >Solyc08g075230.1.1.1 pep chromosome:SL3.0:8:59469831:59470427:-1 gene:Solyc08g075230.1 transcript:Solyc08g075230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKPVLGPERRTNPLIWCAAIICTLLTVAVIITGMVVFIGYMVIRPKVPQMSVVSAHLDKFSYDMASVLVVKVSIVIKAENDNSKAHANFYETSYTLSFHGVKIAYLNADPFDVPSNKSIDLYYPVESSPIPLKPEEGEVAELFLRRGQVVFDIRGNTRTRWRVGILGSVKFWLHLNCQLKFPLNGTTIYPKCSTKSR >Solyc05g055070.3.1 pep chromosome:SL3.0:5:65707823:65712295:-1 gene:Solyc05g055070.3 transcript:Solyc05g055070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRWLFVGFLISLFIISVIATDSEFSNCNCDEEGVFWNIHTILDCQKVSDFLIAIAYFSIPLELLYFISCSDVPFKWVLVQFIAFIVLCGLTHLLNGLTYSAHPSFQLIMSLTVAKILTALVSCATAITLLTLFPMLLKVKVRELFLTQNVLELDQEVGMMKKQKEVYTHVRMLTREIRKSLDKHTILYTTLVELSKTLNLQNCAVWMPNEDRSLMNLTHGLSPGSAVEYHRSLPIDDPDVLEITKNKGVRILRQDSVLAAASSGGPGEPCTVAAIRMPLLCASDFKGGTPELVDTRYAILVLVIPGANDDCSHNEMEIVEVVADQVAVALSHATVLEESQLMREKLEARNGLLQQAKENAVKASQARNSFQKVMNNGMRRPMHSVLGLLSILQDENTSSNQKIIIDTMVRTSTVLSNLINDAMDIPDKDEGRFPVEMMPFQLHSLIREASCLVKCLCVYKGFRFSTDVPNSLPNLVMGDEKRTFQVILHMVGHLLNISSGRGSVVFKVILESGIEGGNDKLQGARKHSVFDEYVTIKFEIEVSRGGSQTDSSISTSHFGGKRYNSKELKEGMSFSMCKKLVQMMQGNVWMPSNTDGHAQKMTLILRFLKQSSFRKHMFELVHPLEQAISSSTFKGLQVLLADDDDVNRMVTKKLLQKLGCQVIAVSSGFQCLSAMGHSTTSIQVVILDLHMPEMDGFEVTTRVRKFHSRSWPLIIALSSTSEQQVWDRCLQVGINGLIRKPVLLQGMAEELQRVLQRAGEGF >Solyc10g079280.2.1 pep chromosome:SL3.0:10:60965691:60980154:1 gene:Solyc10g079280.2 transcript:Solyc10g079280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAQSEKQTLFIASLIIFWYSSNIGVLLLNKLLLSNYGFSFPIFLTMCHMSACAVLSYVSIVFLKIVPFQRIKSRSQFLRIATLSIVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYLITQKREAWITYGCLVPVVAGVVIASGGEPSFHLYGFIMCIGATAARAFKSVLQGVLLSNEGEKLNSMNLLLYMSPIAVLVLLPATLVMEPNMIDATITLAITHRYLVLLILINSIMAYGANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTFIGIAGYAMTVMGVVAYGESKRRIK >Solyc08g067140.1.1 pep chromosome:SL3.0:8:56127749:56128704:-1 gene:Solyc08g067140.1 transcript:Solyc08g067140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEHKIEGGEIYDDLVYGYSDVKIPMEEDEVEEGEIHDNFHIDIDAKIQSVLGDYMKDFEGAENLGPIFGVYGSFLGSDQLVVQPQISQKLLSPTPVSSHKPIRIRIKLRSSNKIAAPKSSDSPPPAPFIIQEDWIRYSTCRKRRLLPYARKGREVSVKKRKSREQDYLGNELGESDANAFERKLRKQNKSKVIQTEKKKSSRSGKSTRRDRGIKDCGPIEREQQANKYGVKQQSQAECGMMRKPVYKKAIGYGKVARPYIAYW >Solyc02g090760.3.1 pep chromosome:SL3.0:2:52907055:52916651:1 gene:Solyc02g090760.3 transcript:Solyc02g090760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHGGVGSRFVSVNLNKSYGQSSHHDNKSYSGSNGPAAGVGRGRSGSGGGGMVVLSRHRSTQKIGPKLSVPPPLNLPSLRKEHEKFDLSGSGGGTSGGGGQGNGPRPSSSGMGWTKPAAVALQDKDVNTDGQVVDGLDHTGHGIDGFNQVSGSYMPPSARVSGIGAAVTGPAKSFPLTVEKVSVLRGEDFPSLQAALPVSSGQTNKQKDSMSQKQKQVSGEGSSDEQRDSYNMSSVVDMRPHGHSSRHATGNGLAENGYESHGLSSARRADQPRKQEDFFPGPLPLVRLNPRFDWADDERDTGHGFADRARDIGISKVDNYWDRDFDMPRTSVLPLKPVHNQYERRAPRETLTGNGFSTDQRGDSYSRDLRTPSREGREASTWRNSIHSRDGNVPYIANDRNAVSLGGSVVNKDLGKDNKYVPPHFGDTARDGSFTGNQDYSYGRKDMGLITDGKQRRNHANETSNSRGVERMTQDRLGSELSSRYRRDGFQNIAGPKSSFSSVGKSLPLGDPVLNVGRDKYVSRGERPYKEDPYLKDFESAGFDERDLFSGGLAGVIKRKKDVVKQTDFYDPVRESFEAELERVQKMQELERQRVMEEQERALEQSRREEEERLRLIREEEERRLKLEEEARETAWRAEQERLDAVRRAEEQRIAREEEKKRIFMEEERRKQAAKQKLLELEAKIAKRQTEVTKTDTLIVTTEEKISAMSKDIDISGASDVDNWDESERMVERLTTSASFDTAVLSRSSDVSSQHCSSRESFTNFPDRGRPINSWRGDVFESGSSSPMHLRDQDIDHHSPRRDVSAGGRAAPRKDLSGAAGYLASGNYAKGGREGYTDEFSHRKEHRWNVSMDADPYIRNRDMDTEFNDNLADRYGDIGWGQARSRSNARFPYPDRLYQNSEADEPYSYGKSRYAVRQPRVLPPPSLSTMQKTFRGMNDHPGSSNFVDNESHYSHPRGGESTRQTGYFGGHPSELVASQQENALAEDAKLNKDVTPRCDSQSSLSVTSPPNSPPHLSHDELDESGDSPSESVAAEGKNASLSGYECTLLKDAMKMASSSLSAMEDEDWNVEDNGELQQQEEYDEDDDGYREEDEVREVDDENLDLNQEFEDLQLGQGELSRNIDNLVLGFDDGVEVAIPSDDFERNSRNEESVFDRPETSEGGSINGVQVNEKCLHPGQGGAPGASLDSSSNRVQEAEKTMQESEFRQRTEPHTSAASHLLDGIDAYCGPSLCAQQTFSSVGTPCSVGQTSVSSLASSSQPDLPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSLTHIHPSQPPIFQFGQLRYSSTVSQGILPITAQSMSFGQPNVQAHYNTNQNSGCSMPPQLSQDTSTLVKVNVQSLSANQGHDFLVRPHDSKPVQGSAESKALTANIAGIADASGRKLISELDIQVEAKGLNNADRQVQPSKEKGSDGNTSSVLGSIQSVSNERNSAGGRVQGQAYSNKGKRFTYAVKSSNSRSSFPTSDGSYSESSRFQRRPRRTVQRTEFRIRENSDSRQSSSTSFSNDSCHGDKLNQGGRAAIAVLARSGSKRSSFSSKLLKQNVELDSKSANVDSQEVDSSTKPSKDDGRASLHKNQNISHTGEGYLKRNISVEDVDAPLQSGVVRVFKQPGIEAPGDEDDFIEVRSKRQMLNDRREQREKEIKAKSRASKPPRKPRTTRQSTAILTSPNKILASVGGEISNKSNYSDIIASEVQGSAYKDVSTGFTAVVSQPLAPIGTPAGSNGSQADKQFHTAKLHQTTPGGGVSAGGDDLEPGLVFESKKNTENVTSSPLNSWGSGQINQQVMALSQSQLEEAMSPARFEAHAASGGAHSSAVTEPILPSSSILTKDKAFSIAASPINSLLAGEKIQFGAVTSPTVLHTSSRVVSHGIGAPGSNRSEVQISRNISPDESDCTLFFEKDKCANDPCLNVQDSEAEAEAAASAVAVAAISNDEIVGNGLGSAISEAKNFEGDQQLSSQSRAEESLSVSLPADLNVETPPISLWQSLPSPQNSSSQILSHFPGGPPSHFPFYEMNPVLGGPIFAFGPHKESGGSQSQSQKATVSSSGPLGAWQQCHSTLDSFYGHPAGFTGPFISPPGGIPGVQGPPHMVVYNHFAPVGQYGQVGLSFMGTTYLPSGKQPDWKHTPSSSAMGINEADMNNVNIAGSQRNLSNMPSTVQHLGPASSIMPIAASPLAMFDVSPFQSSPEMPVQARWSHVPASPLHSVPISHPLQQQAEGALPPKFGHGHSVDKSLSTNRFLESHPPEDSDGTPSFNIATVANAAQFPVEIGLGDSSKPGVTGGSAQSLASQSSSGCANAETGNIDALRNGVSNSGKDQSVSGFRTQTQQKNTSAGYNYHRGGGMSQRNMAGNDWSHRRMGFHGRNQSLGAVPSTKVKQIYVAKQTLGGSKTTG >Solyc12g095810.2.1 pep chromosome:SL3.0:12:65910428:65916235:1 gene:Solyc12g095810.2 transcript:Solyc12g095810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRRNFNGGKSNEVGAKTNSFFLQYSSVLFDQLFCLYIHVVDAWLLSQCFNCQWWTVSDGNKIERVKEFFFFLGYGLIVNHMEDLLCEKGEMIEQSLLLDDTETQKGGFRTLPFILGNAALTNAATSALTPNMILYLMNEYHMDMTTGSNIIYMWSAVTNIAPVIGAFMADSFVGRFQMIGLGSVVTLVGMLLFWLTSVIPQARPPPCDSNNICRSAETFQLFFLCFSLGIVAIGAGAVKSSSLAFGSDQLKREIYQDNARLMERYFSWYYALYALSILVALTCLVYIQVNMGWALGFGAPVLLMLFSTLLIYLGTPFFVKLKPKSTLITGLFQVIVASYRNRCPKLSSQSEGIQYHQRKGSTVVLPSEKLRFLNKACIIQDPQLDLSPDGEATDPWRLCTVDQVEELKALLKVVPIWLTGVLLSINISQNTFPVLQANTMDRHIGSSFEIPAASFGIFAVISAILWIVLYDYLILPTASKMTGKPAHFSTKERMGFGLFLSFLSVLVVAVVEGVRRSIAIKEGYSDDPEGMIPMSAMFLLPQNSLTGFAEALHAIGQNEFYISEFPRSMSSVAASLLGVGMGVGSLLASSIMSTIDDLTKRGGEESWVSSNINKGHYDYYYLVLAGFSLVNILCYIVCSKAYGPCKEEVMEEEKP >Solyc01g094950.3.1.1 pep chromosome:SL3.0:1:86227745:86230204:1 gene:Solyc01g094950.3 transcript:Solyc01g094950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQQESHRPHHRFGNPFKMILSPKGSYLSPKLLALLNSFEQTLAGRLKSLKPGGKEDILSLSWMTQAISTLCAVHTDVKTLITDLELPVSDWEEKWIGVYLDNSLKMLDMCVAYSSEISRLSQGHLYLQCSLHKLDGSSTQFMKARSSLDGWKQHINAKNHRLENCFAILDSLTDSLNLPKIKNSAKGKVLMHAMYGVRVATVFICSIFAVAFSGSAAKLKDLQVLESCLWTEAFVDLRDFVSGEIRGIYSVGKFTALKELEALDVSVKKIYPIIQDGVDSIDAAQLELLTSDLTQKNEKFSVGLDLLAKETDKFFQILLTGRDVLLCNLRVGSATVKPAQPNNNVHKQMVK >Solyc01g010130.3.1 pep chromosome:SL3.0:1:4804977:4806552:1 gene:Solyc01g010130.3 transcript:Solyc01g010130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAWFATLVLPLCDLNWGCVLLDIGDTFLCNFEAKLGTLFLPLSILNWDDIFLDIGDTFLCNFEVKLGTLFLPLSILNWDCVFLDISGPFFLLFFSAAKLGTLVLPLCELNRGCVFLGIGDFFLGKFETKLGTLFLPCVLNWGIAQNYNLVPSISLPVWMCNEVEAFHQLNNRSLSSDL >Solyc12g040370.1.1 pep chromosome:SL3.0:12:54658206:54658735:-1 gene:Solyc12g040370.1 transcript:Solyc12g040370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMLHKIALRIARGLEYLHRGCNSRILYFDIKPHNIVLDEDFSSRNIGGVSHKYDVYTYGIMVLEMVRGRKNYSAERSHNNEIYFLRWAYQWILLDEELNISDMTTNEQEEIAKKMILVGLWCIQTNSLQRPAIGNVMKC >Solyc01g098920.3.1 pep chromosome:SL3.0:1:89163945:89176344:1 gene:Solyc01g098920.3 transcript:Solyc01g098920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGSAAGKGDAPTVRIVVAGDAKTGKSSLILTAATDTYPPNVPPVLPPTRLPDDIYPDKVPVTIIDTSSSPENRGKLVEELKKADAVVLTYACDKPATLDRLSTFWLPELRRLEVRVPVVVVGCMLDKRDEQYPVSLEQVMSPIMQQFREIETCIECSAFRHIQIPEVFYYAQKAVLHPTAPLFDQEAQTLKPRCVRALKRIFILCDLDRDGALSDAELNDFQVKCFNAPLQPSEIVGVKRVVQEKLQEGVNEQGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNEIRLSEDQLLPPIKRHPDQASTIHGEKLMYLKKVKSVELTNDALDFLRRIFFTFDIDGDGALRSNELDDLFSTAPENPWSNAPYKDAAEKDALGGLSVDGFLSEWALMTLLDPVYSVENLIYIGYAGDPSSAIRVTRRRRLDRKKQQSDRNVYQCFVFGPKEAGKSAILNSFIGRPFPEEYQSTTGDQYAVNVVDLGCKNSYSVHWQGAKKSLVLREIPEDEVKKLLSSKDALADCDIAIFVHDSSCEASWKRAADLLVDVASHGEATGYEMPCLIVAAKDDLEPYLTEIQDSTRVSQDLGIEAPIPISTKLGDFSNLFRRIVNAAEHPHLSIPETEAGRSRKQYHRLVNRSLMFVSVGAAVAVVGLAAYRVYAARKNASS >Solyc06g053860.3.1 pep chromosome:SL3.0:6:36812709:36840856:-1 gene:Solyc06g053860.3 transcript:Solyc06g053860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:K4C673] MEAPKLLLLILLIPCDLFIGKSLGDGVTHEESKELRDEVREMFYHAFNGYMNHAFPRDELRPLSCGGEDTLGGYALTLIDSLDTLALLGDRERFTASVEWIGKNLRFDINKTVSIFETTIRILGGLISAHLIASDHNTGMKIPSYDDELLHLAEDLARRMLPAFDTPTGIPFGSVNLLHGVDENESKITSTAGGGTLTLEFGILSRLINDPIFEQVSKNAVRGLWARRSKINLVGAHIDVFSGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYKAAMNYLFSDPWYVEVNMNSAALVWPLFNSLQAFWPGLQVLAGDIEPAIRTHAAFFSVWKRYGFTPEGFNLATLSVQQGQKSYPLRPELIESTYWLYKATRDPRYLDAGREILASLQYGARCTCGYCHISDVEFHKQEDHMESFFLAETVKYLWLLFDLAAGPDNLVENGPYKYVFSTEGHLLPVTPQISLVREHCSYFGAYCRNSNLRLKTHSAVSAQTNSSGSQTRHADSSFLSRSSYQSSSPVSGLKVRNLMGLCPGLTYEQRFGISFMSPVDSSSEDENSSQRKPTEVQGHSLLLNSNPNPEVSLSGPHNDHDNSPARVPQEESPSSKPKR >Solyc07g066200.3.1 pep chromosome:SL3.0:7:67801368:67807917:-1 gene:Solyc07g066200.3 transcript:Solyc07g066200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIENIPPDPCHISLLKSSSSDERPSSDNKIVDLSNSDLDDNNNNKFSIRDYVFRTRRKDIKTNWPFSQKNLQLCLRHGATTDLLPPFQSDKECAVDNRSTDKDNIVTSEEKHVELDDDPVPTSSSSGRICMPKLAVDCRNINSSGSDREKVFRSTLTSRSCSEIDSVPTAETRKQRCSGAEAVNLLEPLVKKPPMSNKSGSTVQQQSAKKCRLMVKFGNGTDRNVDEADTTTNSFMVSEAMASKVCPVCKTFTSSSNTTLNAHIDQCLSGESSTAKWTANPKVIKHRIKSRKTRLMVDIYATAKSCTLEDLDRRNGTNWASNPTLSIREITEVPGVEKLEKPPPVNLECTANEGAVYIDANGTKLRILSKFNDEQLPSSKPVIDPLQKKMVDGDKRSKFILTKKRKKHHNLLKSASHTKKFCLSKPDHCPKIKSGQESTFCPRENVDKMDCLNKDLRSADQMLSNGLATIKQWACSKRTGLTRKISDKDNHQLSGADMSTGVQSDNDVLPQTYPFKKRSGLVKSPRSSVCLPESSQRMGNMLLDQPQEERSEEPPSLHKKVVDFSSSQSSLPSNKKRSLVLQRCKGKHLKVDGHSVNNHPKMTTGHALSVKNVRVGRNTDNYSEVNCEQSTAHPSFSSKARKLSSLRKNLSSVSEGPARGVKYNLKWKTASFKKSSRSSSSESEEAEVFQTEGEKLCLRGNLSETKIQGSRNRDWVNVKRSEVLSIRKNREGIMASNLEGTLGLKSSQSSALTHSDNETGSILAGASDALGSVKANHQSKSDKTMDPTVSELAGRGDFTSFSKPMDAGSDEMSGPARTHCESQLFSEEYKGSFLGTKAATCSQDPILGVEGMIDGDVHDVAELGSNADGQGNYFLEVDPIPIPGPPGSFLPSPGRMSSEDLHGSSSLSSSRIQSSADHPEFIDQDSSGSPTSAASTVSNSTMARTGSRYSGNLYDSGRDSSEMLKCHTGWEDKRSSFSGRTVDLLVENSVALRPTANTGNDKDGLDKFDANALFPGKGTFRFTNDKPCCCVRKEGGTSQGFAVNREESQLLQRRAIALSPFPASENQLSRDSLTRCNNIILKSNSFSLSDSSSGPETNDPTKSSATAHTQFGISADSEFKLPTRESESFSPSASNPVLRLMGKDLMVINKDEDSPLKRSSHSNSMIDQANTRSRNEDLNSFHQVDAHNRLVPHFPQSGDPVQHFDVRLLNGFKSQDSYSRPQVQPSPTSPASFLCKSSGSGLMGAPFGRQDYLGRGNLHTVRNGPNETCDMKKFVATPISHWQNATSVGPNAVREIIVIDDSPENEANSPYTMNSGKMQISSGYTSRFVDLCENRPRGETGAAQNANLLTQVNELPAKTWNVNPDGCSLVHPSSFSASSSPAGPFRSSLYYSPGFS >Solyc08g082810.3.1 pep chromosome:SL3.0:8:65600177:65606828:-1 gene:Solyc08g082810.3 transcript:Solyc08g082810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASPSAPSFSASICCTRTDLTSARSLRFPPIQTRLQSRQFTFSCRSSGPSSNESEADLQYELQHGVSTDHRRCGSLVFVTLPLDTLNFPEGTMKRKKAMVQSFRALAAAGVEGVVMEVWWGLVESELPRVYNWEGYLEIVELAKRCGLKVRVEMAFHQYGTGPDDPFWIPLPQFGRQNMEYISLGCDVLPVLHGRSPIQAYTEFMRSFRDTFIPFFDGVITGIQVGMGPSGELRYPSCSLQNWTLRSRELGEFQCHDKYMLASLNACAGEIGMHEWANGGPIGAGSLSRSHEGSEFFKSDGSWNSPYGEFFLAWYSGMLLLHGERICREAESIFRGLEVNLSGKVAGIHWHYGTESHPSELTAGYYNTSIRDGLLPIARLFGRYGFSMCCTCFEMRDAEAQQVNPDSSPESFVKQLSLAARLCDIPLQGENCASSLDDGSFQHVLRMSRFYSNGPATPTFSFNFVRMDKTLFEYRNWVSFTRFVRQMSDAKFFRPNLGFGDGDMPFLSLSASSTGGVVLA >Solyc05g043400.1.1.1 pep chromosome:SL3.0:5:57138244:57138426:-1 gene:Solyc05g043400.1 transcript:Solyc05g043400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGRFVCGASAKKEWCGGVSVLTYFFGVFGWWNNEQWENEFILVWVFFFWVILISVGFG >Solyc07g049160.3.1 pep chromosome:SL3.0:7:59542039:59546381:-1 gene:Solyc07g049160.3 transcript:Solyc07g049160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYTSHMVYAFSFSSWTAMEEQSFQQRERLVEEITGYKFKNPDLLHQAFTHPSVPQNWASNDRMEYLGDSVLGLMIAKEHYFAYSDLSSEDLTDLRSVNVDTEKLARVAIKYNLQDHLRCQKHLFKEMRVEEFRSATLEYPLNSLGCINPPKVLADIVEALIGAIYIDCNFSIDITWQAIKNMLQPLITPETLEIQPITKIMELCQKNKLTINIVDNWDETGEFECIVDGKFVAKGKSSQNKATARNRAAYNAYELVIENLRMKTPDH >Solyc09g057950.3.1 pep chromosome:SL3.0:9:52783149:52788484:1 gene:Solyc09g057950.3 transcript:Solyc09g057950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEQAPVIECLKYSKRVMLKTILRRSDGGVGLIGQRVVIGGWVKSSREIRLIQPVTPHSVPAQEVVSTKDVTCSEVLQSRIPLLRSIMKVFGAGEYRVREKINVVCQPQPSVSILQVSDGSCVASLQVLVESALATPCQVMATGTCLLIEGMLQQPSLQGKHIIELHTEKILHLGLVDQSNYPLSKKRLPLESLRDCSHFRPRTTTVASIMQIHNALTWATHRFFQDQGFLHVQLPILTSTDSEGFSEKFVVTTLLNKGKNYDQISSTENAVVSVEAIRASIKEKYKKVEELNRTNSNKEALFAAQQDLKKTQELVSQLETRQKANSGVTIETRKFDFTKDFFARQTHLTVSGRLHLESQACGLGNVYSFGPRFQAVKSESKKSLAETWMVDVEMAFSELEDAMECAIDFLKFVCKRISEGCMEDLQFILKRIDKKVMERLQLTLSSSFERISYAEAIEVLRHAAGKRFQGKIEFGVSLTEEHESYLVDEIYKKPVIIYNHPKGLGPFYVHLNDDGMTVATFDVVLPKVGTVIRGSQSEERFNMLSSRMEELGLQKQQYEWYLDLRRHGSVKTSGFSLMLEPLVLYATGLNDVKDVVPFPRSFGRANN >Solyc06g009170.3.1 pep chromosome:SL3.0:6:3107203:3111745:1 gene:Solyc06g009170.3 transcript:Solyc06g009170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIQHKNLTVNGINMHIAEIGEGPSTILFLHGFPELWYSWRHQLISLSSKGYRAIAPDLRGYGDTDSPPSPSSYTVFHIVGDLIALLDALGLDQVFLVGHDWGAVIAWHVCLLRPDRIKALVNMSVVFHPRNPKRKPIESMRAVLGDDYYICRFQEYGEAEEEFARVDTARLIAKFLTSRNPAPLRVPKEKGFGGSPHTPITLPSWLSEEDVNYYANKFRQSGFTGGLNYYRAMDLNWELTAPWTGVQIKVPVKFIVGDVDLTYNTPGVKEYIHKGGFQKVVPFLQEVVIMEGVAHFINQERPEEINDHIYDFFQKF >Solyc12g038670.2.1 pep chromosome:SL3.0:12:51650335:51653857:1 gene:Solyc12g038670.2 transcript:Solyc12g038670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIEGVMEERERRRRKWGLGLKGTAIGDGRVEKLKSCIILPRSRLKLWIIRATTMVLLWTCLVQLTTLGELLGPSAFKGWPCCFSQESASSFLVKSLPPKRVYQNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILKELPPRLKRRVLLGMIYTMPPISWSDISYYQDQVLPLIRKYKVVHLNRTDARLANNGQPFEIQKLRCRVNFSALKFTPQIEELGKKVIRLLRQKGRFMVLHLRYEMDMLAFSGCNQGCNKEEVEELTRMRYAYPWWKEKIINSELKRRDGLCPLTPEETALTLRALDIDSSIQVYIAAGEIYGGTRRMASLAAAYPNLVRKETLLEPSELRFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRHLGFKKTFLVDRKLLVDLIDQYNAGLFTWNEFSAAVKEAHTERMGNPTKRLVIPDRPKEEDYFYSNPWECLEPSHESEISSII >Solyc04g005080.3.1 pep chromosome:SL3.0:4:65159:71261:-1 gene:Solyc04g005080.3 transcript:Solyc04g005080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4BNB4] MALSTIRTVNHLLKPFTAAISATRRLSSDSNATISVEISKPYTAHNIDPPSSIVETTPNELMTFFADMVEMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAIGMEAAITKKDCIITAYRDHCIFLARGGGLVESFAELMGRKDGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGIGISFAQKYNKEDHVTFAMYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDALAVKQACKFAKDHVLKKGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRGERDPVERIRNLILDHNIATEQELKDIEKGKRKVVDEAIAKAKESPMPDPSDLFTNVYVKGFGVEVFGADRKELRATLP >Solyc11g020352.1.1 pep chromosome:SL3.0:11:11039378:11039628:1 gene:Solyc11g020352.1 transcript:Solyc11g020352.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYLREETNIDGDDESKKMFLQTSISSIKCYTHSTINKRENVVTASYYCIGIFKEDREEHLDEAWMNTVLQP >Solyc08g081410.3.1 pep chromosome:SL3.0:8:64596250:64606579:1 gene:Solyc08g081410.3 transcript:Solyc08g081410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVAKYQGVVGRVFRNENSGSSEDSYVERLLDRISNGVLAEDRRAAMLELQSVVSESRAGQMAFGAMGFPVILSVLKEERDDFEMVRGALETLVGALSPIGHAKGPANEVQPTLMNSDLLSREVDNISLLLSLLSEEDFYVRYYTLQLLTALLTNSPQRLQEAILSIPRGITRLMDMLMDREVIRNEALLLLTYLTREAEEIQKIVVFESAFEKIFKTINLLIIGGPETDPGRDSNKLTNKTVLVQKKVLDHLFMLGVESQWAPVPVRCAALHCIGDLIANHPKNLEELASKRLGEEPDLEPALNSVLRILLRTSSKQEFMAADYIFKNFCQQNPDGQTMLASTLILQPQSMIHAPVEEDINMSFGSMLLHGLTTGENEGDVETCSRAASVLSHVIKGNNQCKEKVLQIELEAPTPILGRAEPLLHRMVKYLALASSMKSKDGKSSTSENVFVQPIILKLLTIWLSDCPNAVQCFLDSRPHLTYLLELVSNPTTTVCVRGLAAVLLGECVIYNNSNASGKDAYSIVDAISQKVGLTSYFLKFDEMQKSSLFTSAKPFLPRKSLTRSSAVSMSEIEDGATESSDQKNEHPMLASVFDSPFVYFLKRLEADIREKMVEAYSSPNSQVTVVPAELEQRSGENDVDYIKRLKTFVEKQCHEIQNLLSRNATLAEDLARTGGNNSSSLERKVSGGSDRVQLETMRRDLQEASQRIETLKADKAKAESEASTYKNLAGKTESDLKSLSDAYNSLEQANYRLEKEVEALKSGDIEALKEEAREEALKESEAELSDLLVCLGQEQSKVEKLSSRLRELGEDVDTLLEGIGDDAGADDADEDEEEED >Solyc01g008170.3.1 pep chromosome:SL3.0:1:2243235:2247501:1 gene:Solyc01g008170.3 transcript:Solyc01g008170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTPPSTEVPVPASAPPPAPVQLSAEEEAVKSNTDCVYFLASPLTCKKGSECEYRHSDMARLNPRDCWYWLNGNCLNPKCAFRHPPLDGILEAQAPTAMGFSAAYKVSVAPMPQVPYASSKQDVPCIFFQQGICRKGDKCGFMHAPSFVSNNPTQPPVSTASSETSTVTKAFDGVEKSVQGTKLSQTNALKPCELSKPVIKLGIHLTKKDNTFDEKVLPSNSVINREHSRNKPPSAPPTNGISFSAPNRKQQPIGIDDHNSMQYKDDEISREPSPGFDVLVDDELRGSDYYHGEDHYGGRRENVGRNEYDMGDSADYDSVADIDQDMYPDVREYDSYDRLQGQYAWEQHIASSERIPGGSAHSERRRYDTVDSPEHVEISDLRHRLSKHRRGNGLKSVIGHDFSSEKHVEGQANKSSRRDARYLPSHDSSLNGGRLRGRIKLPVRSSSPDDRADLRLDRARDRGRLSSERPQPYSYQGRSRDRIKGRMQEDINSDGRNNRVPHVRRDIINVNNSDFLRPKSLAELKGRKTAESNEQQPPRKRKFQKLDGGEDLSFEGPMPLKEILKRKRGGEGNMSSGGSEDDQHERKHQTERTSVTSWSVDFPSSVTKNGSDLPIQKEDSKLVPKSADHQSPLYRTENELEAEEEMVVEGAGTQDHKGYDQRDGHDDDYEPVEGEDYNFDEGDPDDEFLDDDDDDFAKKLGVH >Solyc07g017960.3.1 pep chromosome:SL3.0:7:8639423:8640202:-1 gene:Solyc07g017960.3 transcript:Solyc07g017960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRDRTRDRDRKRDREDQLLGILAPVLVPLPPTVTATATVLPRVPVLLLTVATVTTAAGVPQLKVLQEKGIKMMVNGIRKGIGTGTDAEKFRILWMG >Solyc06g075480.3.1 pep chromosome:SL3.0:6:46980496:46982693:-1 gene:Solyc06g075480.3 transcript:Solyc06g075480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPPLSSGTGEAQLPRRTGGWITFPFIIATTTCLTLAFGGWTSNLIVYLIKEFDMESIDAAQIYNLVNGAGSLIPVVAAIIADSFLGCFSIIWISSIISLLGTILLGLTATLDSLRPKPCEVGSTSCTPKPKVQFVLLYAAIVLATLGNGGLRSTLSTMGANQFDKQKDEGIFFNWFFFFTYGSSVVATTAIVYVEDNVSWKAGFFICVAANVLGSAIFLLGTRYYTNSKPEGSPFTNLARVVVANIRKRKVAISFTGQHFYHGPKSTSVAPSKTFRFLNHAAIKSEGDSKKLKISNP >Solyc10g007152.1.1 pep chromosome:SL3.0:10:1578302:1579425:-1 gene:Solyc10g007152.1 transcript:Solyc10g007152.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKKKVPQRGLGIAQLERIRLAEQHKQDSIFQTIQCPEFIPTSSRIDSSSKDCVFTPNQSAPFLQKGEYSQGVNQNVDHHPVVVFGQNLNSPGVLQQRRQQHPKTSSTSTMAGVKRPYPFEIVSRSRALSESKPSISVRETKALDGDFLSLAPPAAASTHLEAVNRRSLTDSAPQCLQLFNYVPSQVAAAEHMPPSGLSISVQQPILAFLPSAKVQIGREGTHGSDLHTEVGGDVDLELKL >Solyc01g018090.2.1.1 pep chromosome:SL3.0:1:27322446:27322727:1 gene:Solyc01g018090.2 transcript:Solyc01g018090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LWRASGITSELQLYCTTFGALVFAALMIFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLDPLLKWGKKEGQNIYKTKLVCTFFLKFRMEM >Solyc12g013760.1.1.1 pep chromosome:SL3.0:12:4582034:4582243:-1 gene:Solyc12g013760.1 transcript:Solyc12g013760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYRNVYNLAKEGGTMEGSLVWQLMAHGMENYDDGYSIVLGLNPSTTQIISNQAHIMTALAHSLNHE >Solyc04g025282.1.1 pep chromosome:SL3.0:4:24375238:24376577:-1 gene:Solyc04g025282.1 transcript:Solyc04g025282.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQQIPVLNSALYPWLQNIRSYKWNSDGTVKGVGGPSAGDFYIRHGEGNLIHAECCGLGTTSVLMSKSMALRRGPE >Solyc07g062250.3.1 pep chromosome:SL3.0:7:65160446:65167230:-1 gene:Solyc07g062250.3 transcript:Solyc07g062250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSVERSFEAWEEVQRHGQDLADKLAQGVTGLIQSHITPPSFPWPYSQNSKLFDVELMAESFIPRDFGIAVDKSAIAGVSAIFDMGNRIGQASKLFDVELPSQNFIPRDFGIAVDSSAIPGVSSIFEIGNRIGQAGADFGACLNGMVHQFFRKLPVPFRQDESLRLSLMAGTESQRADLSVNLQEDLGLLAERFRGYGYAENDSAEDKPSEEEFLDVNLKAFKHFGRSQGTINFSSTYESRTRNVESSVAARGDLWRVEASQGSSTSGNDNSSLFLVQLGPVLFVRDSTLLLPVHLSKQHLLWYGYDRKRGMHSICPAVWSKHRRWLLMSMICLNPLACSFMDLQFPNGQITYVSGEGLSTSAFLPLCGGLLQAQGQYPGDLKFSFSCKNKWGTCITPMMHWPDKSFSFGVTQALAWKRSGLMYWPTIQISVCPTFGGSTPGLQADITHSLKEGLNIICGCALATHSSAFASLALGRSKWNGNVGSSGVVLKVETPLGNTGRPSFSIQLNSGIEF >Solyc06g067940.3.1 pep chromosome:SL3.0:6:42226573:42231290:1 gene:Solyc06g067940.3 transcript:Solyc06g067940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRLSSQVRTLSPLRSTAKSNRLSAAATLPSSPATFRPTVPFIARHFSTASANVVKKVEDVMPIATGHEREELEAEIQGKDLLAINFPEGPFGTKEAPAVVESYYDKRIVGCPGDEGEDEHDVVWFWLEKGKPHECPVCAQYFVLKVVGPGGPPDGHDDDDHHH >Solyc10g017850.3.1 pep chromosome:SL3.0:10:6114548:6117755:1 gene:Solyc10g017850.3 transcript:Solyc10g017850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLDVARAELALAVLYLNKAEARDKICRAIQYGAKFLSDGQPGTAQNVDKSTSLARKLFRLFKFINDLHALISPNAPGTPLPLILLGKSKNALLSTYLFLDQFVWLGRSGIYKNKEQTELIGRISFFSWMGSSICTALVEIGELGRLSSSMKKLEKELKNTDKYMNEQYRSKLQKSNERSLALIKAGTDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPSSPKDKAS >Solyc02g083420.3.1 pep chromosome:SL3.0:2:47387299:47391374:-1 gene:Solyc02g083420.3 transcript:Solyc02g083420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLINFLKACCRSSADRYVHSATDSAGRQDGLFWYKDSGQHLLGEFSMAVVQANNLLEDQSQIESGSLSLLDSGPYGTFVGVYDGHGGPETSRYINDHLFQNLKRSAAEQNSMSVDVIRKAFQATEEGFISLVAQQWLTKPQMASVGACCLVGVICDGTLYVANLGDSRAVLGRLVRATGEVLALQLSAEHNASIESVRQELHSMHPDDPQIVVLKHNVWRVKGLIQISRSIGDLYLKNAEYNKEPLYAKFRLREPFTRPILSADPAISVHELQPHDQFLILASDGLWEHLSNQEAVDIVQNSSHKGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDISVIVVFLDSNLVSRASSLRGPSVSLKGGGMNFPAKSLAPTPA >Solyc05g052130.3.1 pep chromosome:SL3.0:5:63292009:63294596:1 gene:Solyc05g052130.3 transcript:Solyc05g052130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFVDCTNCNTTLLLPKGAQSMRCAICCAVVSTDTTESRKTSRLTTYMNNYFPMLHGRTSPAAGQKIQPPPAFGRKRALIIGITYKNTKDELQGCINDAKCMNFLLVNRFKFPQEAVIMLTEEERDPQRIPTLRNIRKAIYWLMQGVKAGDSLVFHFSGHGLQQRNYTLDEIDGYDETLCPLDYAKKGMIVDDELNATLVRPLPRGAKLHAIIDACHSGTMLDLPYLCRMDRTGRYVWEDHRPRSGTWKGTSGGEAISFSGCDDHQKSADTDSLAKVMSTGAMTFSFVQAIERGQGTTYGSILNAIRSSIRNTDSDLGGNIVSSLLTMLTTGRSNSGFGMRQEPQLTANETFDVYTKKFSL >Solyc07g062660.3.1 pep chromosome:SL3.0:7:65472265:65478082:-1 gene:Solyc07g062660.3 transcript:Solyc07g062660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDANDLNSRNRLRWGDNHKVYTRKRRRTLENTSTDVAPAATAAVSSAAAAPEVISNSGRDTNENDEVPEPSLQTQFRDCSIVKGVIGGEQVALSNGGEVRELTEDSCGRDEPRDGGAGLLVEHKAGESADGSFGRDVPEVGRGIDTGAAAASSGGEVPEGSSGSQPAMQNHRDEPQSDNLEMENGYGKPVISRIQDRIRINLTGVRSSDEIRELGMSLESELDQVRGLVKQLEAKQLQLTTYRTSINGGNINAGSVTTFPGSINAGSITTVPGGSISSYSHTQYMDDGVIKNRSLARMNSEVRSAGHIGSRPFQRPNFLIVENSNGSSDFVEKEKRTPKANQFYRNSEFLLGKDRLPPESNKRLKTNGSGKKHSANSENGFGLDKHRLQVFRNCSSLLQRLMKHKHGWVFNEPVNVKSLGLHDYHDIIKHPMDLGTIKTRLSDDWYKSPMEFAEDVRLVFRNAMTYNPRGQDVHVMAEQLSEIFEERWAVIEAEYHSDWRYQMYHDVGAPTPTSRMTSYPPPFLHTPVSSRSLAPHARQLHPLDRSESMTRPVNPKMKTSNIAHVTRTPVPKKPKAKDLNKRDMTYEEKQKLSTNLQNLPSEKLDAIVQIIKKRNTALSQDNDEIEVDIDSVDAETLWELDRFVTNFKKSFSKYKRKAELLRAREGAAQAARNVNPTSMVADALKENGTGEKDTAPATLEGGRPADSASSSSSSSSSDSGSSSSDSDSDSSSASGSEAAQSPRT >Solyc04g051768.1.1 pep chromosome:SL3.0:4:51008190:51009724:1 gene:Solyc04g051768.1 transcript:Solyc04g051768.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGYFEFFVAIFSFFVFLALGDRSGLPWNWPFLGMLPNLLFNVYRIHERCYEIFSRTSGGSFLLEGPWFTNMDILGTVDPENVHYIMSANFANFPKGEEFKKIFDVLGDGIFNSGLDLWKGQRKYARSLITHQRFYNCLVKSSWDKIENALVPVLENVVRDDRVVDLQDVFQRFTCDTTCTLVTGYDPGCLSVEFPRVPFSRAMDEVEESVWKLQKWLGIGYEGKLTRSWDVLDQVISKYISMKRDKLSNVATKTKEDEEEGCDDLLTSYILNDHGLNFDDKFLRDTILNLMIAGRDTTSSGLTWFIWLAATHPENELKNAIYLHASLCESLRLYPPVPFQHKTPLEPDTLPSGHHVHPKMRVVFSLYAMGRIESIWGKDCLEFKPERWISEKGLIKHEPSYKFLAFNAGPRTCLGKEVAFTQMKAVAASIIHNYQVEVINGHKVCPNVSIILYMQHGFKARVKKRWT >Solyc03g115530.3.1 pep chromosome:SL3.0:3:66671825:66690660:-1 gene:Solyc03g115530.3 transcript:Solyc03g115530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRNRAEANRLAALAKRKDRISEPNNNSWKLFKCRKLSGNVYFHKPQTPAPVNPPPKPCAPSPPPQRFKARLEICSPDSFCVTPVPLQGFIYPGEKNCLEKLRDCLSCVRIFFKIVSVLHCTQTTSGGKACVYQFRDYEAVLRSLKSSKSIECEEIPWGTFNVVERLSNSLAAGRWIPCRPEHLPDEKVDELISKLPKRLLDTLHPFQLEGVRFGLRRGGRCLIADEMGLGKTLQAITIASSFMDEGPMLIVCPAILRYPWAEELERWLPCLPSDIHLVFGHQDNPARLPKCPRVVVTSFTMLRRLRKSMLEQEWATLVVDESHNLHCTKKASENEEITTVLDVAAKAKHLILLSGTPSLSRPYDIFHQINIVWPGLLGKTKYDFAKTYCNVRLVHGCQGKVFQDFSKGVRLEELNVLLKQTVMIRRLKEHVLLQLPPLRRQIISLTLKKSDISQAVATIDLLKGRTSGNSGAKEAEGVTSDELCAKDVEKAFENLKFVAEDVQGESPERVDDDTSCSISLRELYDEALGIAKLPGFYEWLSIHPIITELGGEEMMEASHSCHKMIIFAHHHIVLDGVQEFLCQKAIDYIRIDANALHGDRQLAIQSFQSANEVKIALVGILSGGSGLNLTSAQHVVFLELPTKPAHMQQDTSDELRWQKLNTSLRQVSSTMDGKYDALQAIEVNDISHLEELDVREKMSEHLTTENAGNGEVVGEKTSVAEVHGLHLDLDPFEAHCDTYRIDDKQDGTSSLSPKSDLHNMPTTSTIGHEESCCRAKVSDDDFSSSHCTDVHEAKEQDLPDKGKAASSAVEVNGEILVTNSSIQVESLRFEVSQYTGRIHLYSCIPGIDSRPKPLFKNFRPEEVSLKLPPPKEVEKTAYTNINEDMSCQYALAEFLKQWSKLSAVERRKLIGKPLQLPLCVELSYLNENLNHDNGGLLKGRSKRRTTPLDELSYPLPPNAVWRKIHLCNGKRKQEKMYTQGWSDKDEPLCKLCQTPCRNANAKTPDYFEDLFCSLNCCEEYHLRTNNRSIRNALFKIERGICTNCQLDCHKLVERIRALSIESREEYIGKVAPNLVKRKKLFQKLVQDPIDGNAWHADHIIPVYKGGGECRLENMRTLCVACHADVTATQHTERRLTRLVAKKKLKAVMSNLKTVNKPKQKVDEPEGSCHSDVEENKDEDELIVNVPGSAYSFSTASQERGNSELETSASDVLDEAQHNDVLLKGQSLPQS >Solyc04g056748.1.1 pep chromosome:SL3.0:4:54853597:54858198:-1 gene:Solyc04g056748.1 transcript:Solyc04g056748.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIEKPNDFNILTWWKASSNRYPTISKNGKECSFYSRVYCASESTFSTGDRILDSYRNSLSQKTVDILIFTQQWIRSPSKEWKVQNYLEEVQKIEEVEKGYWVIEQLSRGVSLRIFFYLDVFLTCGHQHTLDGIRGKHQTAINNFSDSLVSPSSLLKAKTFAFHKDTITWKHKETKCTSPISDELLPIMPGNRIGLEQNKGHCMEGSKPRLALHISGACGNSFLACLKELQIRFNTTYDIPFQPLALSLTNQDIQMTTSEGSENEAPLCVWRAYMLKLQTAELTETAEIDGHKLRWAQTTRRLKLVIRNETPLQHFIMKTKSLLQVILRDINLAALEVSPHLQYPLIIELQVTIVEIISSLKLKAFSISPTLQNPSIKLLYVTTSGLRFLSNMASNKVCALSMLPHLQYPLISVILLPARYRLYSTQQAMHYN >Solyc10g050993.1.1 pep chromosome:SL3.0:10:51267623:51268095:1 gene:Solyc10g050993.1 transcript:Solyc10g050993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNIKLVLGQRELLEDPGRYQRPVGKLNYLTITRSDISFAITVVIQFLQAPCKDHWDAVIRILKYIKRAPEQGLLYEDKGHADIIGYCDADWSGSLTDRRSTFGSRISSYGPCFMRAYLVETTSSRVEIC >Solyc11g069970.1.1.1 pep chromosome:SL3.0:11:54860367:54861152:-1 gene:Solyc11g069970.1 transcript:Solyc11g069970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKPNGTTTTPTSNPTLKNQTYNPKSQTYDPNRIPYRPNYRHKRRGRGCNCRRCFCRCCCWTILMIIIILILVAIASTIFYFLYRPQLPSFSISSLKISQFNLTTSPDDTTRLATKFNVTLSTKNKNKKVVYIYDPIALKAESTNQIELANGDFPRFTSAPNNVTIIHSTLSIVSQVLDVDSISSLKLDMKRKSGMPMRILLDTKVVVKMDKLKTNKVGIRVTCDGINGPIPKGKNPSVASITTAKCKVDLRIKILRWTF >Solyc12g008395.1.1 pep chromosome:SL3.0:12:1830592:1835832:1 gene:Solyc12g008395.1 transcript:Solyc12g008395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLRVETAAMKRDAEHYSRPVNSCFCPYGGVKEHVELEKRYRELTDLLYYKQTQLEAMASEKAAAAFQLEKEAKRLQEVQLEAERNRSSRRASSSWEEDTDIKALEYVTIKNLLYAFGRHLPLPLHHRHMTRATIQLQKAAKLLDSGAVRATRFLWRCPTARVILLFYLVRTDHLLWKNLSVCPFVLDVSLASPSESPGVNSPIDMTLVDFREERSRGGPPEPPESHE >Solyc11g071690.2.1 pep chromosome:SL3.0:11:55387247:55391960:-1 gene:Solyc11g071690.2 transcript:Solyc11g071690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKREKKEKKSAKSEVMEVEELSEVKMKKSKRVRSKKRKEEDEDDDVEANEDLSLKIVEKALLRGCSTENGEVVVVTDLKKKEKEKEKEKEKEKKKKKKKEKNVEIPEDPQVSSGIDKGFQSFCSDMSMVDGMKEGENVVSIDMNVVDNAAQQNPVDMSNGVVLRRLLRGPRYFDPPDSSWGTCYNCGEEGHTTVSCTSAKRKKPCFVCGSFDHNSKQCTKGKECFICKKGGHRAKDCPEKSQGGSESSRMCLKCGDSGHDMLSCWNNYSADDLKEIQCYICKSFGHLCCASYPNGGPSEISCYRCGLLGHTGLACTGSRGETSGTWHLSSCFRCGEEGHFARECTNSNIVNKWNRESSTPKKKVFKERKENYEFQSAPHEYGKARKKNTQYGEGYASGYNTKHRAGWITDDSEDFPQSNGWRSPSTPGHKRARTSNFGSGDHSSVSYSSRKSNRLDFNDSTPYGSVKHHNHHRFSASRFGNSSHDGRRNYEW >Solyc01g105820.3.1 pep chromosome:SL3.0:1:93752916:93767041:1 gene:Solyc01g105820.3 transcript:Solyc01g105820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPIEEETIEEQMTVSPAMKARSQPAEHFAAAHASSILISETLFFGRNASSLNTERMNPGCRSSSGCGYPNSIEIHDEEDRRAKVEEVSDPDAAKNNSPTSPEELAGYIVLDSQEENENHDRNGVENNLPSTSALCHREVNYSDIYVYKENEMIPSSQLVEQSAKKPRHVVPEVLEVSSDQIVLSSTSSEEVASCFVQDSEEVNSKLCTEAIILKPETGSKKKRFVGKRRRHLFRHPQRARVRIDLS >Solyc04g055050.1.1.1 pep chromosome:SL3.0:4:53545140:53547170:1 gene:Solyc04g055050.1 transcript:Solyc04g055050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGNNSSGLQSSSTGGGGGGGGGGGGGGDEEYNSRAASQTFSSSFHTQNSSSSSILDPFINFFDHVSHPRPQPPQNSTATPISIYDLQFHLNNRRTRTDQTNNLMSEFNNSLIFDTTTGSITFPPTSQQHDAGDGNVSAITVTEQSAGAADPSIAEQARNPKKRSRASRKAPTTVLTTDANNFRAMVQEFTGIPGPPFAAPPIIPIRNRFDLFPTVPNSVAPTPIANNNTFLISQPSPNYLQRPFPQTIQPPPPYLGPSSSSASAFNRLPINMASASSASTSATHSAFNYQLPSGVAHPLPINIASAPSTSTSATRHSAFNYQIPIGVANSVAHRGLPINIASAPSTSTSATHHSAFNYQIPNGVANSVAHRGLPINMASAPSTSTGATQSAMNYQLSSGVANSVARRLPINIASAPSTSTGATRSAINYQLSSGVANAVAHRGLPINIASAPSTSTGATHSAINHQLSSGVANLPINIASAPSASTSAAHSATNYQLPSEVANSVAHGSNNFNSVQHNSIFTSLLQCSQKYQFGSKEPQPFQMPSNNIVSQFQMPSNSISQFQMTSSLNNSTQHGYLLSELPNLISEEQAATTSSMNESRINATNFHGDNLREAVNATNFHGDNLQETVVATNFHGDQVQETVIPTDFDGDKVQETVSNKGVEGMVESWLLSTD >Solyc09g098225.1.1 pep chromosome:SL3.0:9:72573484:72575620:1 gene:Solyc09g098225.1 transcript:Solyc09g098225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSLIESRKWFTVNGRQRLCHPLPYLRVGHMCIQIMFKTSGGRPKKKMYYRVNDLDRVMELQKKPSLILRLKSIIQSQRKQCVLLRDLEKEVGFVQKWNFMGIIEKYPMIFRVTGGNGTPPMVMLTEKADKIALEEDKARVQMEPILVKNLRKLLMLSVDCTLPLETIQLIENDLGLPNDFGQSLIPKYPQFFSVKDVNGRTSLQLENWDSYLAVTAREERLACEGILTSKEKVRVLKDGNYFGPFAFRMHYPAGFRPNMNYLKEIQKWQKMEFPSPYLNAKGFELADPKAQKRVVAVLHELLSLTMEKRLTSAQIDAFHTELRLPARLLLCLIKQHGIFYITNKGVRSTVILKEAYDGSNLI >Solyc12g040860.2.1 pep chromosome:SL3.0:12:56344873:56346927:-1 gene:Solyc12g040860.2 transcript:Solyc12g040860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFCTLVLSLFILLSLINYNVHGVGINYGTIGNNLPSPKKVAQLLQSTIFDKVKIYDTNPEILEAFSNTGIDLIVAVENSQIKNLSENQSNADKWFVTRILPFIPSTSIVTIAVGNEYLTDDQLLDHNALFQAMQNLHSVLLSRGLNRKIKVSTPHSMAVLASSFPPSSSTFATTLLPVMTSIVALLSDTNSPFMINAYPYFAYRDNPSMVNLEYALLGNTSGVRDPKGYVYTNMLDAQIDAIRSAINALGFGNQTIQILVSESGWPSRGDTSATNENARTYNTRLIQRAQLNKGTPMSPKDRIDVFVFALFNENKKQGGVSERNFGIFNGDGTKVYDVDLSCEFCSNEKFGGKIESSLLSSRGPSVWCVAKPHADEKVIQAVLDFCCGPGGVDCREIHENGDCFQPDKVYAHTSYAMNAYYQMHGRNYWNCDFKGTGLVTFSDPSYGKCFYSHQ >Solyc07g007480.2.1 pep chromosome:SL3.0:7:2180432:2183090:-1 gene:Solyc07g007480.2 transcript:Solyc07g007480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLLAGSHFLDGIQSVLSGTARGCGWQKIGALINLGAYYLFGIPAGVILAFVYHLGGKGLWLGITLALFAQALLLLIVTLPTNWEKRGKEGS >Solyc08g079510.3.1 pep chromosome:SL3.0:8:63138350:63141904:1 gene:Solyc08g079510.3 transcript:Solyc08g079510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSPFTPIEEGNEEEEYSQGRGSFRAETTPSEGEYRHHPTPLHSKTENKGKVCNKKRQENDDKGVLCNKCRPSNREKITVVPLDNKGNSLNSPHGGLFKSVLSNLVKKSPRLSLSSSEVESSVVGGVSTKEEQWKIALAEVSHKLIQATRKRDEAVLEASRLKFSMVELEKKLNKLEIYCHNLKSGLDVCSNNKVMMTNKSSLSLVQRVKFGEEDKVIEHFLVMVSEARSSVRILSRSMTLQLRQIGSKVYDRIALLLQPYEIKISISRNPRGLLVYLEALLNKAFYDDFESIGYQKSCCNQILNPIDRCESNFGLYNRLKDLTWEEVLSKGTRFYSEEFSKFCDRKMSEIVAMLGWNRAWPEPLLQAFFGASKAVWLVHLLANSLHPTLPIFRVDKEMKFDSIYMEDMGADKAKKLVPNMVRIMVTPGFYVYDNVVKCKVLCRYNNNIGINNNEGLITPSPT >Solyc06g051930.3.1 pep chromosome:SL3.0:6:35686023:35696252:-1 gene:Solyc06g051930.3 transcript:Solyc06g051930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDKFYSASPENVFRQTTLSESGAMEDFNLNAKQQKCSRLNGSQQLKRLCRTLMPDISSSTANVAITNYKCYKKKRNQNIGVTNGVDEKNRNGDEYREEKEVVVSLLFTMSTTTSDHPDHHQQHSGNGVVLTRTSSNVDEASKETEDLQSITRYSHGSISLIGGRREMEDALSVKLNLLTNYDFFGVYDGHGGSCVAHACRDLLHKLLMDVVVEEDGKLEDINWGKVMTMSFCKMDEEVNRIHGAEVATIGSTAVVAIVGEEEVIVANCGDSRAVLSRGGVALALSNDHKPDRPDELERIEKSGGKVINWNGHRVLGVLATSRSIGDNYLKPYVIPEPEVTVSKRSDGDEFLILASDGLWDVIPNDVACDFTRRCLNGQIRRCKNGIEPSQNKVMKDNVAAKAASLLAELAISRGSTDNISIIIVELKKSLAEESSSPTLNLARALHTPYYPSLSLLSHSFFQLYPILSKMLGGVASVAIFTNHSALSNNGFQVASFLVVSSSNLSRKYSIPQPFFKIPGFREHIAHYVASNNGNLARKNIVFAIPNEKDDAEKEGSDYYIDYPVIVGQKEDGSSCAPEMETTFSLLPCGEGILRSYEINGKEDGLLAKLMAVHLHVLAMEQWNASKLKMSHKPPKEKYQQMKLCVNIEQLKEELSSICFLNLDTINQHVLASLSAGIRLLDNVKYDSSSHSISYSEGISSTKSLDKQMKGEFSINTLRRRASHTADLLLGRLPEKRNTHIMVTVGEEAIESETLIKDLLNAGTTIVRINCAHGSPETWSEIIRKVKRSSQILEKPCRILMDLAGPKLRTGKLQPGPCVLKISPKKNAYGQVIYPALVWLSSPGAGSPPAHVSPDAILHVDGEELLSKLEVDDVVTLLDARGKQRTLKIISRHPIFSGVGYMAECSKAAYVKSGAKLYIKDKRRKSSAGHVVDIPPLKQFIKLRVDDLLSISRDGQNEENNLTSSTGVHRIACSSEYVFDSVKPGEPIAFDDGKIWGIIKATSTSEILVSITHAGPRGSKLGSEKSINIPRSNIRYEGLTSKDLIDLDFVANHADIVGVSFVRDVRDILLLRQELEKRKRRDLGISLKIETKEGFEKLPLLLLEAMKMPNPLGIMIARGDLAVECGWENMAYIQKEIISVCAAAHVPVIWATQVLESLVRSGVPTRAELTDVAEGMRTNCIMLNKGKCIVEAVSFLHRILSNHSTKSNAEFKPLALSSHDF >Solyc09g059670.3.1 pep chromosome:SL3.0:9:55297001:55297708:-1 gene:Solyc09g059670.3 transcript:Solyc09g059670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGPARRWICIDNHASDAELHISWHIIYASHDCLSLLVSDDSINKGHCEDLKAEGSSYTGRNLLDVVCVNLVCSIIRFGCISPDFRQAFVRTELTNI >Solyc03g121490.3.1 pep chromosome:SL3.0:3:71059700:71063245:-1 gene:Solyc03g121490.3 transcript:Solyc03g121490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAYQNSIDESWKLCSIYLYFYLAVFMLWDDICGQLLLRKHSRIELPNLIWPGDKCRLWLVERQECRS >Solyc05g055700.3.1 pep chromosome:SL3.0:5:66094640:66098208:-1 gene:Solyc05g055700.3 transcript:Solyc05g055700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEEAAVDQLLGKTVENKQIILKGYIEGIPKESDMELKIGKKLNLDKVPKGSNGLLVKNLYLSCDPFMRGRMRDFTGSYLPPFVPGEVIEGFGVSKVLDSDNPDFKPGDIISGFTGWEEYSLIYNTEQLRKIQADDMPLSYHIGLLGMPGFTAYAGFYEVCTPKKGDYVFVSAASGAVGQLVGQLAKLHGCYVVGCAGTKQKVDILKSKLGFDGAFNYKEEADIDKALKRHFPEGIDIYFDNVGGSILGAALLNMRIHGRIAICGMVSQQSLSNPQGIHNLFQLITKRVKMQGFLQSDYLHLFPRFLKDVSSLYKQGKITYLEDMNEGLESGPSAFVGLFSGRNMGKQVIRVAHH >Solyc02g067830.3.1 pep chromosome:SL3.0:2:38513014:38516829:1 gene:Solyc02g067830.3 transcript:Solyc02g067830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVEGISSLPNSRTPFTAIKGNTSSASRTSRPPHPTSMRQSRCKELSFDPIAIDIGSKLTDTPTGSVAASKSLHKSSSDRIKRDDVSGSRRHYSESTKEKECQSIEDALDQPSEECSLDRVLIFETKSSIKDPLHDNKHTTPGGYVERRDFMLHAVARGDADLLNGQLTSQSGISYCPSPQNSFYTATQYIEPKQSFSTTEVISECASSMGKSGGSGNVSNSCDISDSRKTSFYRGSTGSDISDESSSTSVGSTMYKPHQANDTRWDAIQAIRSIDGTLGFNHFRLLKRLGGGDIGNVFLAELIGTRDYFAMKVMDNAALESRKKTVRAQTEREILQSLDHPFLPTLYSHFETDKFSCLVMEFCPGGDLHALRQRQPGKFFPEHAARFYVAEILLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVKSSNSSLESKTSSYCVQPACIEPSCVIQPACFSPRFLRPKKGKKTKQKSEMHNQVSPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGQTPFKGAGNRATLFNVVGQPLRFPSSPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSVNWALIRCASPPDIPKAFVLHDAPRAPASTGPGVDVKPTDNYFEIDFF >Solyc07g005970.3.1 pep chromosome:SL3.0:7:808045:817578:1 gene:Solyc07g005970.3 transcript:Solyc07g005970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNASSGVVQAGKLLVLIAENGQSYELNCDEYTLVDAVLQYLESVSGIPVGDQLLLCLDVKLELHCPLSTYKLPSDECEVILFNKARMRSNAPPPLPEQVEIIDILEPTLPSSSHDPHPLDDATDPALKALPSYERQFRFHFQRGHAIYSRSQMRIDICERLSSEQKVQERALGIARGNLDHFYGMILQNYNDFLKCYSQQYRSHTNLLNNFGRDIEKLRACKLHAALQTANRKCLLDFVKEENLRKLADDCNSSHRQFENKVSEFKLEFGELEHNAKHLFSTKGSHLIREVELAIRDHQKYVTEQKSIMQALSKDVNMVKKLVDDCLTNQLSSSLRPHDAVSALGPMYECHEKSYLPKMQACDGEISNLVEFCKDKKNEMNILVHNYMQKVAYIQYTIKDIRCKFAVFQEALRRQSDLFEHLKVVRGIGPAYRACLAEVVRRKAAMKLYMGMAGQLAERLAIRREAEVRRREEFLRINSTYIPRDILASMGLYDTPNHCDVNITPFDTKLLDVDISDIDRYAPEYLLGLSSRTEKHGTLKSPLSMSNDGSQLAEAEISDFTEKFDCEELLQGSDILDIAGTSKMEVENAKLRAELASKIAFMCSTCPEFDYESLDDSKIDSLLKEAREKTSEALHHKEEYEKHLHSMLKAKQVQCESYEKRIQELEQRLSDHYSQGHTHSADEGVSNLTVSAVKNDDSKSDVLCVGDAHMPCMPPEVMDEFSCASSSSNIKPGSKQIKEQEGLDDNMTDSSGMINPQLDSSMLDTHRDEEHENFPTKDKKDTTLVGGDMALATSSMALSISQAQTDIPSEVTAEQGLDVKAREDLLLELQGVLADKSKLLDESESKVKSLTEEIAKRVRELEIRGKLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYNALRASAVKMRGLFERLRVCVLSGGVANLAESLRALSQSLSNSINEKEEDGSAEFRECIRVLADKVGALSRHRAELAEKCSKFDAANKQVSMELEEKKDLVNTLYKKHQHEKQANKEKISFGRLEVHEIAAFVLNSSGNYEAIHRNCPHYYLSAESVALFTDHLPNRPSYIVGLVVHIERQTVRSTPSTSVRADHDRDRLDILTSDTGTSRLSLNSGSTTNPYGLPVGCEYFVVTVAMLPDTSIHSPPPS >Solyc07g052330.2.1 pep chromosome:SL3.0:7:60964628:60965255:-1 gene:Solyc07g052330.2 transcript:Solyc07g052330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVIDPGIIPRNNESLFLESTQNGRVRSKRVFINGSKLKLKYCRICKIYRPARSCHCIVCDNCVDKFDHHCPWIGQCIGLRNYRLYVLLLVIANVYFVYIFVFSCLKIQQKDGGNGLIGLVRDCPETLVLACFSFVGACFVGGLACYHFYLIATNQVGFNFTYINIKMYCFRGMLIK >Solyc09g014355.1.1 pep chromosome:SL3.0:9:5911897:5918498:-1 gene:Solyc09g014355.1 transcript:Solyc09g014355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTSSTSLCFDKGKVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTIKAGLEKCTSQPTPMAVSSSMNGADTPFAYITHFRSLIGAL >Solyc10g048190.1.1.1 pep chromosome:SL3.0:10:44425362:44425778:1 gene:Solyc10g048190.1 transcript:Solyc10g048190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKTEYWLLKTEPGEWSWEDQEANGGISKWDGVKNKQAQKYLKSMNIGDLCFFYHSGSKARRIVGVVSVTREWYTDNDGGAVDVKAVGVMRRVVDLAEMKKDEGLKGFVLFKQTRLSVVPVEKGFWDKICEIGGGFE >Solyc02g085170.3.1 pep chromosome:SL3.0:2:48790595:48796635:1 gene:Solyc02g085170.3 transcript:Solyc02g085170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFRDDNTEDGLRKPLLHTGSWYRMSSRQSSMMGSSAQILRESISIYLCVLIVALGPIQFGFTCGYSNPTQSEITRDLRLTISEFSIFGSLANVGAMIGAIASGQISEYIGRKGTLMIASIPNIIGWLAVSFATDVSFLYMGRLLEGFGVGIISYVVPVYIAEISPKNMRGVLGSINQLSVTVGIMLVYLLGLFVSWRVLAVIGMLPCMVLIPGLFFIPESPRWLAKNGLNEDFETSLQVLRGFDTDISSEVNEIKKSVRSSTKKATIRFSELKIRRYYYPLLVGIGLLALQQLSGVNGVLFYSSNIFKSAGISSSKAATFGIGAIQVIVTGISASLVDKAGRRLLLIVSSSVMTASSLLVAMSFFLKEFTSENWHSFFAVLSLVGLVALVMAFGVGLGSIPWIIMSEILPVSIKSLGGSVATLANWFSAWIVTMTANLLLTWSKGGTFAIYALVSAFTVVFVKLWVPETKGKTLEEIQQSFR >Solyc03g005420.2.1 pep chromosome:SL3.0:3:295747:299114:1 gene:Solyc03g005420.2 transcript:Solyc03g005420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYHVAKPSEFLVITGRGIDELKIAKKALVLPFQKCTRINVSPLNYTFEVNAMSAEKLCFLLPAVFTIGPRADDHNSLVKYARLLSHYQRDSHDLKELVQGIIEGETRVLAASMSMEDVFKGTKDFKREVFGKVQVELNQFGLLIYNANIKQLVDVRGHEYFSYLGQKTQMEAANRAKVDVAEAKMKGNVGAKQSEGFTIQSAAKIDVETKVVTTQRQGQGKMEEIKVGSEVKIFEIQQEAEVSEANAKLATKKAAWSQQAKMAEVESDKAVAIREAELQQKVEQTNALTKTENFKAQFLSRANVEYHIKVQEVNGEYYQKQKAADAILYEKEKLAEARRVESDAESYAKKQAADVALYTKTKEAEGLLALAEAQGIYIRTLLSALGGNYSALRDYLMINKGVYKDVAKFNADAIRGLQPKINIWSNGASEEKMSDGMSARKGNGAMKEMSELYRVIPHLLQTVNEQTRMLPPPWLSTCSTNKATPSET >Solyc01g007780.3.1 pep chromosome:SL3.0:1:1862690:1868678:-1 gene:Solyc01g007780.3 transcript:Solyc01g007780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGELITSSLVLVLGYAYPAFECYKTVENSRVEIEELRFWCQYWIIVALLRIFESFGDVFMTWLPMYSEAKLALIIYLWYPKTKGTRYIYDTLLKPYVSRHEPDIDRGFLEFRTRAFDLAIYYWQNCTELGQAKFFQLLDFASPSRRDSHLISEVLSLPTYFLRNISY >Solyc03g051700.2.1 pep chromosome:SL3.0:3:22348863:22349562:-1 gene:Solyc03g051700.2 transcript:Solyc03g051700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKTGSTIDVCSLFVGGELFAAGFVSCGHNLVRLVRSLEMTLSSPNIPP >Solyc10g050997.1.1 pep chromosome:SL3.0:10:51274303:51278546:1 gene:Solyc10g050997.1 transcript:Solyc10g050997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKREIRRRTMPPKLGKPEKADALKQLGTHVALFGVWVAVIRITPYILHYFSDQKEEPLGKPERANALKQLGTHVALFGVWVAVIRITPYILCYFSDQKEEHVLKL >Solyc08g045770.1.1.1 pep chromosome:SL3.0:8:16657958:16658293:-1 gene:Solyc08g045770.1 transcript:Solyc08g045770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQRRNVGPPNGPRCGPSENGVRRSNSPAKRGPVDARRNVRNRSPAARETEKPGNQSPARNAENEGSSSKTEKPKEEVSLVTGESLENPLVLMECFIFRFDDKNILSHQT >Solyc08g068080.3.1.1 pep chromosome:SL3.0:8:57230378:57230934:-1 gene:Solyc08g068080.3 transcript:Solyc08g068080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIGKVNWNKTRSKSVRLGKNYMQRELSSCDHASSSCLLSSSSSPRWLAFWRRIKMHKKKSSNNNNDNFSCVYDEETYMKNFDGGEVGRVEPDNLYRSFSARYARSSRKKEFLGL >Solyc05g016550.2.1 pep chromosome:SL3.0:5:17438227:17439960:-1 gene:Solyc05g016550.2 transcript:Solyc05g016550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYGKEMSANVKLNTPCNIGRASPAFVVAYAHCSVDIDRGVPASIVACEHKHIHFRVGCAHRSGEFCHDLRASISHRRAWTTYITCSLQTTFKQYQVLPYPIDLAKNYQSMSDAGLAASAVEWTARMYHFMCTLLAIVGRGIAA >Solyc06g016827.1.1 pep chromosome:SL3.0:6:14224187:14227938:-1 gene:Solyc06g016827.1 transcript:Solyc06g016827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVLFDYFDDFAVVYLDDIDIYSRTLEEHVNHLSLVLSQLRKYTLYDIKYLRRLVSKNQVRMDPKKASHYRFARTSSCEGFEKIHCSLLKKGSGFDRLVEEGYKNLKEAIASEPILKLPDFELPFEVHTDSLDKAIGGVLVQEGHPVAFESTRFVVRTDNVGNTFFKTQKKLSPKQARWQEFVEEYDFVWEHKPGKHNQIEDQEHEPRFGITKYLNHREEMQDLKART >Solyc08g061985.1.1 pep chromosome:SL3.0:8:50308038:50317933:-1 gene:Solyc08g061985.1 transcript:Solyc08g061985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFEMKDLGPAKQILGMKISRDRPAGTLNLSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSKEQSLKTIVERDHMALVPYASAVGSLMYAMVCTRPNIAHAVGVVSRYMANPGKEHWEAVKWLLRYLRGISSTSLCFGKGKVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTAVSWMSRLQKCVSLSSTEAEYVAIAEAGKEMIWLANYKLKDCVATESEIRVIESGLAYCLANGFTQLIMKSDSLKTMKILNVRASARVRCFPSLIRKPIKYEFMVLVGLVKPIEIVFQEHRFSLQLSPMMSQGRPELLYHL >Solyc06g051630.3.1 pep chromosome:SL3.0:6:35260432:35271496:-1 gene:Solyc06g051630.3 transcript:Solyc06g051630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSYNYYRMFGCFNRKFKIREREPPPDVRNAFFRYTGKANQMNADQLFRYLVEVQGEEECTIKDAEQIIQNVASRRHHLIRRLNHSLELDDFFFYLFQDDLNGAIKSQVHHDMTAPLQHYFIYTGHNSYLTGNQLSSDCSEIPIVKALERGVRGIELDLWPNSGKDNIHVLHGRTLTTPVPLLKCLKAIRDHAFFKSPYPVIITLEDHLTPDLQAKVAEMVIQIFGEMLYYPQSECLDEFPSPEELKNRIILSTKPPKEYLESKNQRDTSPVGKDSFREDLLKKEKSEIGAEDHDTDERSDSDQDDEDGDTSTSNDQQSSQPEAPKYKSLIAVHAGKAKHGLKRALREESNKVSRLSLSEQEVVRAAEYYGTDLVRFTQKNILRVYPKGTRVTSSNFKPMTGWMHGAQMVAFNMQGYGKSLWMMHGMFRSNGSCGYVKKPQFLMDKGPNNEVFDPKVKLPVKQTLQVRVYMGDGWRLDFSHTHFDAYSPPDFYTKLYLVGVPADSRKKKTRILEDDWCPVWDEEFNFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSELRTGIRSVPLYDKKGHKMKSFTMAAAEAPADIKSLFKRYSDDSGVMSVQNLHSFLIEIQKEKNVSLENAEAIINNHGGDSKQKGLQLDGFFKFLFSDVNPPLDPKLGIHHDMTAPLSHYYIYTGHNSYLTGNQLSSDCSDVPIIQALQRSVRVIELDIWPNSDKDDIEVLHGRTLTAPVTLIKCLRSIKEHAFCASEYPLVITLEDHLTPDLQEKVAEMITQTFGEMLFSPSESLKELPSPESLRKRVMISTKPPKEYLKSKEVKEKDDTKKEAEAASEYKRLIAIHAGKGKGGLSDWLRVDLNKVRRLSLSEPELEKAVDTHAKEIIRFTQHNLLRIYPKGIRVDSSNYDPFVGWMHGAQMVAFNMQGYGRSLWLMHGMFRANGGCGYVKKPDLLLKAGPDNEVFDPTANLPVKTTLKVTVYMGDGWDKDFDQTDFDTYSPPDFYAKLGIAGVPADEVKKRTETIDDNWIPSWNEQFEFPLTVPELALLRIKVLDYNLSDKDEFAGQTCLPVAELRQGIRAVPLYDRKGEKYSSVKLLMHFEFK >Solyc05g009370.3.1 pep chromosome:SL3.0:5:3518401:3524392:-1 gene:Solyc05g009370.3 transcript:Solyc05g009370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLSISSASPTRTNSIITQFSSSFKGNVSNLKSSSKLLPLIKVCNLKSKSITRTPFVVFNQTASFGADSATGSVRFRLNNLGPQPGATKNRKRKGRGHSAGQGGSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRLPKLRGIAGGMHAGLPKYVPVNLKDIEEAGFQEGEEVSLESLKQKGLINPSGRERRLPLKILGDGELSVKLNFKARAFSTSAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAAAAESADPSAA >Solyc04g025286.1.1.1 pep chromosome:SL3.0:4:24435651:24436004:1 gene:Solyc04g025286.1 transcript:Solyc04g025286.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNMHLTKYDDDPFDDPERYKRLVGKLNSHCNCLDIAFAVSVVSQFMTTPTIKHWAALEQILCYLKGALGLRIVYTNNRHTRIEVLHTSIGLHPRLIEGLLLLLCLHGWKLGVMEK >Solyc08g062585.1.1 pep chromosome:SL3.0:8:51558429:51559918:1 gene:Solyc08g062585.1 transcript:Solyc08g062585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSAYQLNPVLLEPSVLTQQLTHRSRDIWDGSVKMILNMRRCDGKFWYLVKKYVTHPRILQMIELSGLYSVYRSNRPSIDRSLITSLVERWRPETHTFHFRMGEATITLQDVEVLYGLPVNGDPILDFKRSNLKVTALSAHMLEQLQLPDLETQDMINQMARCYMFWMIAGMVIAQRDTKNNFLACLPRGPCATRWFPHFSWTETAKHVLEVFRDALDSMTEDQFIWEPYLDDLIESLPDYCQIGRDIWLGQTKYKLEVGACSLVASLEPTT >Solyc07g063940.2.1.1 pep chromosome:SL3.0:7:66395286:66398607:-1 gene:Solyc07g063940.2 transcript:Solyc07g063940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GRAS2 [Source:UniProtKB/TrEMBL;Acc:Q00LP6] MESHNLYGYGATDVNLPYSYSMTTTPSIPNRLLGILKFDSGNSPNSPFANYNDPGTPTTLSDSLEQHSSTENISGTSPCSNSSRDYNHYFCRPSPSPDIHHNNLLVYSGDNSLLQYANHSHNMKHALLQLETALMGPEEVTTSSPSAGEIQQPQASGGQRSGMWHQDGQVPYRIETQPSHVSVFGISGDIIQSEEHHKPMVDYPSQGIPFGNLKELLIACARALAENNLDDFEKLIAKARSAVSITGDPIQRLGAYIVEGLVARKEASGTNIYRALRCKEPAGWDLLSYMHILYEICPYLKFGYMAANGAIADACRNENRIHIIDFQIAQGTQWLTLLQALAARPSGAPYVRITGIDDPVSKYARGDGLAVVGKKLAAISEKFNIPVEFHAVPVFAPEVTRDMLDVRPGEALAVNFPLTLHHTPDESVDVTNPRDELLRMVKSFSPKVVTLVEQESNTNTAPFFPRFQEALDYYSAMFESIDVTLERDRKERINVEQHCLARDIVNVIACEGMERVERHELLGKWKLRFTMAGFHQYPLSSYVNSVIKSLMRCYSEHYTLVEKDGAMLLGWKKRNLISASAWH >Solyc03g033490.2.1 pep chromosome:SL3.0:3:5058544:5060827:-1 gene:Solyc03g033490.2 transcript:Solyc03g033490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQVSLAFFFLCFIILISGALSATFTLVNQCNYQVWPGILSGAGTAQLSPTGFMLDPSQSVSVSAPTGWSGRLWGRTFCSQDSSTGKFTCASGDCGSGTVECSGAGAAPPATLAEFTLNGAGGLDFYDVSLVDGYNLPMLITPKGGAGNCSTTGCGVDLNGSCPNELKKMMNSLSSECVGCKSACEAFGDPKYCCSGSYATPDTCKPTDYSLFFKRACPRSYSYAYDDGSSTFTCGGSADYVITFCPLPSDSPKSPGGGAGDGSSPMSRGVLTNSPSPLLTSLIINILVAVWDMMQLHRSCTDKGVSNI >Solyc03g119390.3.1 pep chromosome:SL3.0:3:69498905:69500322:1 gene:Solyc03g119390.3 transcript:Solyc03g119390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQFNSDIQNFITNSPFSLLNFDSSVDLMNQFPDMMTIPCSSDMSSFNIQSSMEFSNDNVFTQVNDQFPGSLQEIFQGNIQQESKNEEINDSKKRKISDTPESSSASATGNKRRNTKGRGNRVKVDEKEEEKPREVVHVRAKRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAGMLDEIINYVQSLQNQVEFLSMKLTAASSYYDFNSESDILVSLQRAKAYEALKMQKMMKKEIECEVMSTNQVGLHFGSYPMLPYNT >Solyc11g006690.1.1.1 pep chromosome:SL3.0:11:1295535:1295969:-1 gene:Solyc11g006690.1 transcript:Solyc11g006690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPDESAIKTDLIEVDKETLDMLSALGMSDLPGVVKQAAEPQAVAALPTFGRGAGGFGRKY >Solyc03g121520.3.1 pep chromosome:SL3.0:3:71089443:71093480:-1 gene:Solyc03g121520.3 transcript:Solyc03g121520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPPKLYVNKPKKAQLKQIQQQQASSPTTPPAPPSSMASSSMARGAAPPPQPPKESFIRRYKYLWPMLLVVNFSIGAYLFMRTKKKDMGTEEGEIDVPGASISTVAASTVSEKEKEVAATPTLQPMIVREPIPENQQRELFKWMLEEKRRIKPKDQEEKKRIDEEKAILKHFIRAKSIPVL >Solyc05g015890.3.1 pep chromosome:SL3.0:5:12670173:12672239:-1 gene:Solyc05g015890.3 transcript:Solyc05g015890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVTLATGREETTSSTREPKRQQGGVGVMGSLRVIELQLVAFIMVFSASGLVPLLDLIFPALASAYLLLLSRFAFPSLGTTSSSSDETLFQGSRLFRLYMVAGTSIGLFLPLAYVLGGFARGDQHAVRSATPHLFLLSFQILTENIISGLSLFSPPIRALVPLLYTVRRIFVLIDWIQDVWINKTLPPNAQVKDVTWYWFGKGLAVANLAYFSINLFGFLIPQFLPRAFERYFRERSEVNSKLAEDKHSQAINRTKHADKKAE >Solyc01g110180.3.1 pep chromosome:SL3.0:1:96858208:96863711:1 gene:Solyc01g110180.3 transcript:Solyc01g110180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCDKEEASVYCSADEATLCQSCDYQVHHANKLASKHLRFSLIHPSFKDSPLCDICQERRALLFCKEDRAILCKECDLPIHKANEHTKKHNRFLLSGVQLSSDILASNYNNNQNSISPAGSAASNAGTNNFKALSGNFGMKSNSISSTTESTHNYFHVDYVQEGSVSTSSISEYLTETLPGWHVEDFLEYPSSSSYEF >Solyc03g083440.3.1 pep chromosome:SL3.0:3:54763702:54775081:1 gene:Solyc03g083440.3 transcript:Solyc03g083440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIASSSVLQSKNNGVVMSSPVKSLVGHQLNAMPLGRVGVGLGRTRVTRSSVVKRTTGFEKKFYGAKLRASGPERLHLWQSDGPGRAPKLRVVVRSALSQVPEKPLGLYDPSFDKDSCGVGFVAELSGESSRKTVADAIEMLVRMSHRGACGCETNTGDGAGILVGLPHDFYKEVTSEAGFEIPPPGQYAVGMFFLPTSDSRREQSKIVFTKVAESLGHTVLGWRPVPTDNSGLGKSALQTEPIIEQVFLTPTPRSKVDFERQMYILRRVAMVAIRAALNLQHGGVKDFYVCSLSSRTVVYKGQLKPNQLKEYYFADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMRAREGLLKCKELGLSKTEMKKLLPIVDASSSDSGAFDGVLELLLRAGRSLPEAVMMMIPEAWQNDKNMDPSRKALYEYFSALMEPWDGPALMSFTDGRYLGATLDRNGLRPGRFYVTYSGRVIMASEVGVVDIPPEDVSRKGRLNPGMMLLVDFENHVVVDDDALKKQYSLARPYGQWLKKQKIELKDIVESVNYSYRVPPPIAGVLPAVSDEDSMENMGLHGLLAPLKAFGYTTEALEMLLLPMAKDGVEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMQCMVGPEGDLTETTEEQCHRLSLKGPLLSIEEMEAVKKMNYRGWRSKVLDITYSRDRGTKGLEETLDRICSEAHDAIQEGYTAIVLSDRGFSPKRVAVSSLLAIGAVHHHLVKKLERTRVALIVESAEPREVHHFCTLVGFGADAICPYLAVEAIWRLQVDGKIPPKSTGEFHSKDELVKKYFKASHYGMMKVLAKMGISTLASYKGAQIFEAVGLSSEVMERCFNGTPSRVEGATFEALAKDALNLHGLAFPSRALAPGSAEAVALPNPGDYHWRKGGEIHLNDPFAIAKLQEAAQSNSVAAYKEYSKRVQELNRQCNLRGLLKFKEGEVKVPLEEVEPASEIVKRFCTGAMSYGSISLEAHATLAIAMNKIGGKSNTGEGGEQPSRMEPLPNGTKNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPGARVSVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPILREKFAGEPEHVINFFFMLAEEVREIMSQLGFRALTEMVGRSDMLEMDNDLVKNNDKLKNIDLSLLLRPAADIRPEAAQYCIQKQDHGLDMALDNNLIALSKAALERSLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPTDTIHIKLSGSAGQSLGAFLCPGITLELEGDSNDYVGKGLSGGKIVVYPPKGSKFDPKENIVIGNVALYGATSGEAYFNGMAAERFCVRNSGAKAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGVAYVLDLHSTFHSHCNPELVDLDKVEEEEDIMTLKMMIQQHQRNTNSQLAKEVLADFDNLLPRFIKVFPRDYKRVLASMKKEEAYEAAKERAIKEAEEQEEEELKEKDAFEELKKLAAASKDESSQVEEEQTLKRPIQVAEAVKHRGFVAYERQGVSYRDPNVRMEDWKEVMEESKPGPLLTTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVYQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIENPVSIKSIECAIIDKAFEEGWMVPRPPSERTGRRVAIVGSGPSGLAAADQLNRLGHTVTVFERADRIGGLMMYGVPNMKTDKIDVVQRRVDLMEKEGVKFVVNANIGNDPAYSLDSLREDHDAIILAVGATKPRDLPVPGRELSGVHFAMEFLHANTKSLLDSNLQDGKYISAKGKKVVVIGGGDTGTDCIGTSIRHGCTSVVNLELLPQPPNTRAPGNPWPQWPRIFRVDYGHQEAAVKFGKDPRSYEVLTKRFIGDENGNVKGLEVIRVQWEKDASGRFQFKEVEGSEEIIGADLVMLAMGFLGPESTIADKLGLEKDNRSNFKADYGRFSTSVEGVFAAGDCRRGQSLVVWAISEGRQAAAQVDKFLMKDDEDSSADAASQQESVKKQPTVVT >Solyc01g010820.3.1 pep chromosome:SL3.0:1:6162834:6171645:1 gene:Solyc01g010820.3 transcript:Solyc01g010820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQKKRNFQIEAFKHKVVVDPKYAEKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYSGLVFTITFHLQHISKSIECAQGDLFLEELNRQWADHNKALQMIRDILMYMDRTFVPSTHKTPVHELGLNLWRDNIVRSSNIQMRLLSTLLELILKERDGEVINRGLMRNIIKMFMDLGPSVYQEDFEKPFLEISADFYRAESQKFIECCDCGDYLKKAEKRLTEEIERVSHYLDPKTEAKITNVVEKEMIENHMPRLVHMENSGLVNMLLDDKYEDLRRMYNLFRRVTNGLATIRDVMTSHIREIGKQLVTDPEKLKDPVEFVQCLLNEKDKYDNIIVLAFNNDKTFQNALNSSFEFFINLNPRSPEFISLFVDEKLRKGLKGVSEEDVEVILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFHTAYGAELGDGPSLVVQVLTTGSWPTQPGVTCNLPAELSALCEKFRSYYLGTHTGRRLSWQTNMGTADLKATFGKGQKHELNVSTYQMCVLMLFNNADRLMYKEIEQATEIPSSDLKRCLQSLACVKGKNVLRKEPMSKDIGEDDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRKVLDHNNIIAEVTKQLQSRFLANPGEIKKRIESLIERDFLERDNADRRLYRYLA >Solyc03g026320.3.1 pep chromosome:SL3.0:3:3791949:3793793:1 gene:Solyc03g026320.3 transcript:Solyc03g026320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLQGSRKARRLDFLSRDYVLSFLVLLEAYLIMFYPSWKVDMNSRCSLGVMLLLVMATSALDTISERLVQEALDHLMKGRTTLVIAHRLSTVQNADQIALCSDGKIAELGTHLELLERKGQYASLVDTQRLAFE >Solyc08g083300.3.1 pep chromosome:SL3.0:8:65924910:65934056:1 gene:Solyc08g083300.3 transcript:Solyc08g083300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPDSTYMMENGSIELPCTSEEEARIIQELMSKAESNLKQGNLFYVVSNRWFMDWQRYIRKPLGAYPFNEHATESLHSLLPNSANRPGPIDNSDIIIREADSGDDDPQLLRTLEEGRDYVLVPQEVWEKLSEWYKGGPALPRKMISVGDAKQLSVEVFPLCLNLFDTRDKSHKALRLSKKASLHELYTIVCRLKEIAPEKAHIWDYFEKTKHTKLVASNQTLEDSNLQMDQDILLEVQPEGSLPSGFGFDSTGNDLALVPVEPLRSSVTIAGGPTLSNGFSTGYSSNAYQGSSLNSSYGDMEDGYDSLRPASKGERGGLAGLSNLGNTCFMNSALQCLVHTPPLVEYFLQDYTDEINRQNPLGMHGELALAFGELLRKLWSSGRTPVAPRAFKGKLGRFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYFETKDSDGRPDEEVANELWRYHRARNDSVIVDICQLEFDTYWRLIVTFFFFLVTKAISLPGQYKSTLVCPDCKKISITFDPFMYLSLPLPSTVTKTMTVTVFYSDGSGLPMPYTVTVLKHGYIKDLAQALENACCLRIDEYLLLAEVYDHRVFRYFENPTEILNSVKDDEHIVAYRLPKRGAQLTRLEISHRYREKCIIDSSKASERKLFLTPLVTFLEDPHNGADIDFAVHKVLAPLRRKSFISSAPGLKDGSDNGSPSETIEVPMNSCTIQFGCEGQSTECIDPVGNSSMELTFHLCLTDERGTNCRPVAKDTVIEPVRMQKVILDWTEKEYELYDASYLKDLPEVHKSGLTVKKTKQEAISLFSCLEAFLKEEPLGPDDMWYCPRCKEHRQASKKLDLWRLPDILVFHLKRFSYSRWLKNKLDTFVNFPIHNLDLSKYVKSTDLSESSHVYELYAISNHYGGLGGGHYTAYCKLIDDDRWYHFDDSHVSPVAESDIKTSAAYVLFYRRVKAQQDGVVGGSYQCHRSS >Solyc03g071660.2.1.1 pep chromosome:SL3.0:3:20433761:20434321:1 gene:Solyc03g071660.2 transcript:Solyc03g071660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KGVRDNAVNQLEKAVNSELEATVARQSQAQFLTSSKQTLQETLKSTLEVLVIPAFEMSCKAMFEQVNSTFQKDIADHTIVAQQQFDSVHSPLTIALRDVINSTSAMTHTLSRELADSQRQLLALVVSRANSQSTNPLNHMSNGSLLHEKIETPTDPTKEISRQLGEHKYEEVFTVALQMSDVSIVS >Solyc07g064610.3.1 pep chromosome:SL3.0:7:66810804:66815524:1 gene:Solyc07g064610.3 transcript:Solyc07g064610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICASKGKPNNANNGHHGSGSGGVPIHRNEIQYTKSPGPEAQLHVRPPPSPKPAVRYDTILGKPYEDVKLHYTLGKELGRGQFGVTYLCTEIATGQQYACKSISKKKLVTKADKDDMRREIKIMQHLSGQPNIVEFKGAYEDKGSVYLVMELCGGGELFDRIIAKGHYSERAAATMCRAIVNVVHVCNFMGVLHRDLKPENFLLSDKSENAALKITDFGLSVFIQEGKSYKDIVGSAYYVAPEVLRRCYGKEIDIWSAGVMLYILLSGVPPFWAETEKGIFDAILKGTIDFESKPWPSVSSSAKDLVQKMLTKDPKKRITAAQVLEHPWLKEGGVASDKPLEGAVLSRMKQFRAMNKLKKLALKVIAENLSAEEIHGLKAMFHNIDTDNSGTITYEELKSGLAKLGSKLTEAEVKQLMEAADVDGNGSIDYTEFITATMHKHRLERDENLYTAFQYFDKDSSGFITRDELEAAMEEHGIGDPSCIREIISEVDTDNDGRINYEEFCTMMRSGAKQPGKVF >Solyc08g036410.1.1.1 pep chromosome:SL3.0:8:11759041:11759232:-1 gene:Solyc08g036410.1 transcript:Solyc08g036410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLSVNIVAYFGFSSLSLAAEMPRPSPYFHVQFFSAKSGIFFTLYTALRMFQSAKTQYTNT >Solyc01g090970.3.1.1 pep chromosome:SL3.0:1:84552110:84552478:-1 gene:Solyc01g090970.3 transcript:Solyc01g090970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDARVISFLILLNLVFFTCVSSHNIPCPPTPTKAPSKCPKDTLKFGICGDWLGLVHEVIGAKPSSKCCALLEGIADVEAALCLCTAINANVLGVLKLKVPIAISVVLNSCGKKVPKGFKCA >Solyc09g005000.1.1.1 pep chromosome:SL3.0:9:6030:8054:1 gene:Solyc09g005000.1 transcript:Solyc09g005000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade VI lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4CPZ0] MDNPYCLVIILALIFCPILVRAQSFDFAYNGFNNSNIIRDGVAIINSSGALKLTNRSYNVIGHAFHPNPVPIFNSSTKNVTSFSTYFVFAIVPLEKTSGGFGFAFTLSPSPGFPGAQGDHFLGVVNIMNDGNDTNHIFMVEFDTVNGHNEGVDTDGNHIGVNRNGMSPTASKSADYYVNDSAVAEQVNLQSGESIQAWIDYDGMSKVVNVTISPITVPKPGRPLISEVVDLSTVLNETMYAGFSAATGDKASSHYILGWSFRLNGAADPLELVKLPVAPPEVVTSSKNSHLKRALIGTFCSVVILLLGAFYIYTRMRQHEVLEDWELDCPHRFRYRHLYKATKGFQESELIGVGGFGAVYKGVLPTNGAEVAVKKISSNSLQGMREFAAEIESLGRLRHKHLINLQGWCKNKNDLLLVYDYVPNGSLDSLLYRQKRDIVLTWEQRFNIIKGIAAGLLYLHEEWEQVVIHRDVKSSNVLIDGEMNGRLGDFGLARLYDHGKNSHTTNVVGTIGYIAPELTRTGKASTSTDVYAYGVLLLEVASGRPPIVYEPGKGALVLADWVIECLQLGNILDAVDQRLNSVYVDKEVQMIFRLGLVCSHPRPEARPTMRQVMKYLNGDESLPVFEQQLSCIGSDRVDEITAKFLEVFSTDTINISRRSLSVGQISSSSLHSGR >Solyc08g016210.3.1 pep chromosome:SL3.0:8:7092936:7098045:-1 gene:Solyc08g016210.3 transcript:Solyc08g016210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLNKWKYQNEWFVSLLFACADIIALSNLKHLDLRANNIESFVTTKGIKRMSYLRNLQFESLNSNSSRVIQSLKSFSFLKSLSYEDSDLTAPTIIYALRNLSTVEYLYLKGSSVNDNFLPNIGQMTSLKVLSMPSGENNGTLPNQGWCELKHIEEVDFLDNNFEGTLPSCLGNLTSLRWLCLAMNYFRGNIVSHSIWRRLTSLEYLDISHNQFEVPLSFSQFSNYTKLIYLDVGYSTIIPDTEFQNWIPNFQLEFFGIYGYIKLQKLPSFLHYQYDLRILVIDENQLQGKFQTWLLENNTRLAGFYSRDNAFDGPFKLPSIVHLYLETIDVSNNKLNGHIPANMSLAFPKLSFLNMSQNYLEGPIPSMFCGTHLEFLDLSVNKLSGEVPVDLTIGSPQLLYLRLSNNKLKGHMFLEVKSHVLSFLYLNGNNFEGPLPENIFLRSLIVLDASRNSFTGEIPRWITDNKRLLQLDLSNNHLQGLIPVEICNLKFLNVLAISENRLSGFIPSCVSSLPLKHIHLEKNQLGGELGHVLFNFSSLITLDLRRNNFAGNISHAIGSLTNLNYLLLSHNQLEGQIPTQICMLNDLSIIDLSFNMLYGPLPPCLGYLTRTKKDAEISWTYYPATSTRSWLSFEIWMRSKRHYHDSHGLPSDLFLMDVETQVQFSTKRNSYTYKGSILKYMSGIDLSSNRLTGEIPVELGNMSNIHALNLSHNHLNGRIPNTFSNLQEIESLDLSCNRLNGSIPVGLLELNSLAVFSVAYNNLSGAVPDFKGQFGTFDKSSYEGNPFLCGYPLDNKCGILSPKLSKINRDEEESSELECFYIGLVVSYGAILLGLAAALCLNNHWRRAWFRMIEALMFYCYYFVLDNIVTPIKSRRLIN >Solyc12g013865.1.1 pep chromosome:SL3.0:12:4653582:4655394:1 gene:Solyc12g013865.1 transcript:Solyc12g013865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNSRSNFVCFQVDKFISLWSGKPFRDDYERRILASLDIRQLSRDGRMRNPDEKRLVLPQAQTVAQPEIVEKTTVKPSKEESEPAQKVNKEKNTKKTKDASSNVTDTKEKNTKKPKDASSKVTETNYIFDIEEEIHGLEKQPKDVKPKNKETDEAKLKEMKREEEIVKNRQLVTEEPVEIVAEEVNLEENIETPRERQEVEGGKLGIKDLSRIVEATKTSKKDS >Solyc05g006100.3.1 pep chromosome:SL3.0:5:817663:828990:1 gene:Solyc05g006100.3 transcript:Solyc05g006100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLFHACSTAILTRTSHLVVKSSICGFPSLSWKTSFGHARIGKVDSNLYLNRVSVRCFSSKKHSGDSSPSQNSEFTTEMKEERDGFFVVRKGDLVGVYKNLSDCQTQVGSSICDPPVSVYKGYAMPKDTEEYLLSCGLKNALYSIRAADLTEDLFGTLVPCPFQQPSSSKSGTSDHLPKKRPQEAMWSEYADAVGSAVVSNDSARKHVKLEQQKGDQILALPSGRSCTLEFDGASKGNPGQAGAGAVIRADDGSMTLRLREGLGVATSNHAEYRAFILGLKHALREGFTSIRVQGDSKLVCMQIQGLWKVKNQNIAMVFEQAKQLKERFLSFRIIHVLRESNSDADQQANLAVELPGKELLPCTLGICHCYRSSKLLSNYVYQYRGSNSRGGRERKVVPTYASSAMKILMIRRGLRLLATGNLNSSPLIYRRHSFYSTSFFSSSSRLNANFAADDLLLGDQLATLASKAETSQNLLQPGVVVYDGVCHLCHNGVKWVIKADRDKKIKFCCLQSKAAEPYMSVCDLDRDDVRRRFLFIEGPGLYHQGSTDTVALNVLPADIIGIVIHSFTHCFKWNTEFHRLLAFCTLRSSIAAFLQILPILAQLSILTALRVLAHLPLPYSALSYFMIVPTPLRDAVYDHVAKERYKWFGKSDDCLVLREQDLLDRFIDREELLERSRSVL >Solyc02g077510.3.1 pep chromosome:SL3.0:2:42978890:42980144:1 gene:Solyc02g077510.3 transcript:Solyc02g077510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEMFEAQAHIYKHALSFANSMVLGCAIQLGISDVIHSHKQPMTLSQLVSELKLPLEKSDAIHRLMGLLVYSGFFATTNTILLDESSENQQGYILTPSSKLLLKSEIPNLSPFVRAIVAPVSVNPWQSVGDWFLGNETTPFETPHGAPMWEFFHQNPGFNNVFNEAMASDSQMMCDCKEVFQDMDSLVDVGGDTGIIANTILAAFPHLKCTVLDLPHVVANMPDTHNLKYVGGDMFHSIPSADSILFKHVLHNWSDEDCVKILKRCRGAIKDKNEGRKGKFLIIDMVLDGDDEEANMTEV >Solyc09g005285.1.1 pep chromosome:SL3.0:9:217113:218282:-1 gene:Solyc09g005285.1 transcript:Solyc09g005285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCCYRNIWIFSFRCSTDLYSTASAVYCPNSVLPVQEKHMAWIRNKTKEPDSTVLRLVLIG >Solyc02g031750.3.1 pep chromosome:SL3.0:2:27338440:27343165:1 gene:Solyc02g031750.3 transcript:Solyc02g031750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNMGQSLCCNNYICTKSANVDNNTNVQISYTYDLNDLPHKCSEILKDHASMDVNTYCKDKLYDMLHKGIVFSDGKTKYWVDKETGKNCFMVFAKNLTILDQMDHRKWTWQISKESYRDMSAEMAVMGKVKTLSVHGSFNTEFLSPDTKYKVEFVLRLKKGKHVTGWSKNPVRSVLIPPEKTWNEAIQNKVNLAEKGSQKSFEILAGEFFNPQFNSHGEIQFYLDEGKEWKTGLVIKGVKITPLI >Solyc07g064520.3.1 pep chromosome:SL3.0:7:66756543:66761323:-1 gene:Solyc07g064520.3 transcript:Solyc07g064520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA helicase [Source:UniProtKB/TrEMBL;Acc:K4CHD2] MGKHAPKSRKVKIQNRLSEVHEIELLEEWIESGKPESGSNPLSLEPLPHKAPVGRLPDGSFSRYAGCDRFSQLPVSKKTKDGLTQCKYKTMTDIQRASLPHSLCGRDILGAAKTGSGKTLAFVIPVLEKLYKARWGPEDGVGCIIMSPTRELAGQLFEVLKSVGKHQGFSAGLLIGGRKDVDAEKEHVNGLNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDVGFKRDLNAIISQLPKHRQTLLFSATQTKSVQDLARLSLKDPEYLGVHEESDTATPNRLQQTAMLVPLDKKFDMLWSFIKAHLNSRILVFLSSCKQVKFVFETFKKLRPGIPLKCLHGRMKQDRRMRIYSQFCEQRSVLFSTDVASRGLDFNKAVDWVVQVDCPEDCASYIHRVGRTARYLSGGRSVLFVMPSEMKMLEKLEEKKIPLRVIKANEKRIQSVSGILASLLVKYPDLQHLAQRAFITYLKSIHKQRDKEIFDVTKLPIDEFSASLGLPMTPKIRFLKQKLKGKTVSEALSLIPENTSDDNLLEFPIKDPEFPIKDPDAGKSDIEEVDEDIFLAKETQERGENINSKGDDMLATRITKKKKLKINVHRPVGTRVVFDEEGNTLPPLARLAASSSGADSVQLNKEKVNQRYAELRKNLKMADKEDKDLDRKRRKEKRIREKMKNKRGREEEEEEDEELSGSDMEIPRGRVDKKTKIYFDSDDEDDKRKGNMAKDGIAADAISLAEQEELALKLLNSMHS >Solyc03g053060.2.1 pep chromosome:SL3.0:3:23905631:23907838:1 gene:Solyc03g053060.2 transcript:Solyc03g053060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSELSKAVVPSIVQKILIDGLSMNYI >Solyc01g059845.1.1 pep chromosome:SL3.0:1:68759714:68761108:-1 gene:Solyc01g059845.1 transcript:Solyc01g059845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAWKILRMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRYLRGTSDVGLIYGGDTQCSVTGYSDSDYAGDVDTSISMTVYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLPLVISSRTREIDR >Solyc09g064943.1.1 pep chromosome:SL3.0:9:62823804:62824004:-1 gene:Solyc09g064943.1 transcript:Solyc09g064943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRQIVGILIYLTVTRSEICYSVNFMHSPTTSRLGATKMILRYVKRSLSHGLWYKMCATFY >Solyc11g056330.1.1 pep chromosome:SL3.0:11:45616349:45617023:-1 gene:Solyc11g056330.1 transcript:Solyc11g056330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFKGLMASSGKAWPPEDEDFFGRIESLYSRVLLESWEKSTETGTSVDQPEPGHMPPAIQVAPRGNEAGPSNQPPRVVPYPYQLDEVIGGDSVQSIQRRLLAKYSYTPPIGIMYLAEIEAKDLFMVKVEILRIMAVLDPTGDWLGRGARALENPRTATGEHSLDKLYTLLWDLESRGVYSESFSQLKKKVPLRSGWDEHSTT >Solyc11g039960.2.1 pep chromosome:SL3.0:11:40633759:40638728:1 gene:Solyc11g039960.2 transcript:Solyc11g039960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSSPPRQSDDGGGGSNYIQHQVTKFDTLPGVAIRYGVEVSDIKKLNGLVSDLQMFARKTLQIPLPGRHPPSPAVSNGQDTQGPSSSEQIPPRNKYPNLFGSFQSLKLKSSSQQRVSPAMSSLLGYQGPKLADQKVASEGFEMAVYRKGVSHYLEDGPFVMSTPHSNQPLGLHRKCKSVANDMSENGVGSAENGSNILFEKLSRRRQKSEADFSSRTPEVLLKEDNSSGSGFSAAAGKGLALRPKSASRILLGPDAEASSINPITMLLNDSFLNDSASVRKSSSTPSLQESDSSTLSSLWTTSKWNLKPDFQAISTAAITKPIFDSLQKPTGPRKSKTAVD >Solyc11g045035.1.1 pep chromosome:SL3.0:11:32114157:32115580:1 gene:Solyc11g045035.1 transcript:Solyc11g045035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSKKKKKTGVGRRNKGRTSSKDHNSIDEDNSELVAEELTAFQVISAIVDAMALNLASAELLATVSCFLDFQDIKASPNFTVHPVTDHLDSRHPPQSESQ >Solyc09g008330.3.1 pep chromosome:SL3.0:9:1794205:1803928:-1 gene:Solyc09g008330.3 transcript:Solyc09g008330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGSVVTDRDYERFLRRIGAGGADVSELVAGDIILLEREEEEETEEDEEDEEEEEEEEEEEEEEEESSNGRRTLRRVLYGDRGAARISSPERVINVDNLDNSAVESGDDTSRSKGEETASQNADKEEGGVGEEWNRSEIDGLFCSICMEAWTNDGDHQICCLPCGHIYGLLCIKKWLKQKGRLGKCPQCNKKCTVKGIRVLYASRLHAVDEELQKKIRSLEASCFSLEKECTDWCKKEIEWRRREAELHKQVEHLKERTTEGSPTKMQSRSSVRIFGCNVNGKSEKREYANSFLLQKEIQIDGARLFDVDINGESLMIARRLSGMGGQHMLTKISLLPPHEREDIPLPVSMKSIKDLHVSPHDRIILLASLGKKLSVLSSENNHTVLTYDLPTVPWSCAWDANNSQYMYAGLQNGMVLQFDRRQTTRPVESMTGLTGNPVHTVHSLTSPTLGDGIRSVLSASSVGLCHWNFGSSDVRPCLVPESENQGICISLACGDRNDDIVASFRPKLEISGDMAASQVLPTPVSTMEQAVYGSHVLYRRVGSRYQKLGASCAKVSGIRLPKTAIIDRGKKNPMFASGDDATMELVLHELPSLNVAQRIKSLNSPVRDVRINCSFAHINSNEKRWLRRLVKSPVCWMLHVTKILTV >Solyc06g073630.3.1 pep chromosome:SL3.0:6:45565359:45571492:1 gene:Solyc06g073630.3 transcript:Solyc06g073630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAPEQLNLTEAPTWGSRTVDCFEKLEQIGEGTYGQVYMAKEIRTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIDLKEIVTSPGREKDDQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNKGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGSPDEVNWPGVSKIPWYNNFKPSRPLKRRLREVFRHFDRHALELLDKMLTLDPSQRISAKDALDAEYFWTDPLPCDPKSLPTYEASHEFQTKKKRQQQRQHEEAAKRQKLHHQQQHGRLPPVQQSGQGHGQMRPGPNPPMHASHPQAAGGPSHHYAKPHGPPAGPGRYLAGGNPSGGYGHPTRGQGGAYGSGRYPPQGRGTQYSSGGMPGGSGPRGVGGPNYPHGAPYGSSGTGRGSNVNRNQQQYNWQQ >Solyc03g034090.3.1 pep chromosome:SL3.0:3:5817972:5823181:1 gene:Solyc03g034090.3 transcript:Solyc03g034090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDELIPVSTPTTAYSSLNTNSIPSRSLHDATLFGRGRYKFWALAAILFLAFWSMCTGTVSLRWSAGNLNSLSDLFDIPKSDDLDVLEMEDREKLVNHMWDVYTNSPRIRILKFWQEAFEAAYEELNSDVAEVREAAISEISKMSIQFVNIDLPPLRSMAVRKLSQKQAENKQRTATGSRL >Solyc08g078140.3.1 pep chromosome:SL3.0:8:62090011:62096347:1 gene:Solyc08g078140.3 transcript:Solyc08g078140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CNH3] MADIAKKWLPLEANPDVMNQFLWSRGVPPDEVECYDVYGLDEELLGMVPKPVLAVLFLYPLTSQSEAERVQQDSEIKVKDPTSTIYFMKQTVGNACGTIGLLHAIGNITSEIKLDEGSFLDKFFKSTACMDPMERASFLESDTEIEVAHSVAATAGDTEVPVNVDTHFICFTCVDGQLYELDGRKSRPISHGASTPSSLLQDAAKVIQKMMQKNPDSMNFNIIAISKRVKGDAP >Solyc12g019930.1.1.1 pep chromosome:SL3.0:12:12405512:12405895:-1 gene:Solyc12g019930.1 transcript:Solyc12g019930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILVNITVGFHVWIEDPSVAWIDAQVSEVNGQEVQVQTSDGRTIVANLSKTHPNDGDVPDGGVDDMTELSYLHKPGLLHNLATKYQLNEIYTYTGCILIAINQFQKLPDLYDGRMMAKYKGGFDRL >Solyc05g008680.1.1.1 pep chromosome:SL3.0:5:2966124:2967215:1 gene:Solyc05g008680.1 transcript:Solyc05g008680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BX42] MEFFKELLTIFFTTALLFGGGFCYCTFLLGSGEQRGKRAVQLSGGSLPKEKVQEGYDRYSSFFESGPNGKEMDSSDKVPALVDTFYDLVTDIYEWGWGQSFHFSPRIRGKSHNDATRIHEEMAVNLLNVEPGARVLDVGCGVGGPMRAIAAHSGANVVGITINEYQVERARAHNKKAGLDSMCEVVCGNFLKMPFDDNSFEGAYSIEATCHAPKLEEVYGEIYRVLKPGSFYVSYEWVTTELYNPDDPEHVEIVRGIERGDALPGLRSYKDIANIATKVGFEVIKESDLAKPPAEPWWTRLKMGRIAYWRNHVMVTMLSWLGIAPKGVVDVHDMLLVTADYLTKGGEIGIFTPMHMILCRKPE >Solyc04g054690.3.1 pep chromosome:SL3.0:4:52991192:52993750:-1 gene:Solyc04g054690.3 transcript:Solyc04g054690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEHDFHQQTQSLVKLVVLVCLLFLSASISVEARIRHYQWEVKYEYKSPDCFKKLSISINGKTPGPTIVAQQGDTVVVEVKNSLLTENLAIHWHGIRQIGTPWADGTEGVTQCPIVPGDTFIYKFVVDRAGTYLYHAHYGMQRQAGLQGMMRVSLPDGVLEPFSYDHDRSILLTDWYHKSTYEQATGLASLPFSWVGEPQSILIHGRGRFNCSIPTIDPTLCNATNPQCTPYSMTVVPGKTYRLRIGSLTALSALSFEIEGHNMTVVEADGHYVEPFVVKNLFIYSGETYSVLIKADQDPTRNYWASTKVVSRNNTTPNGLGIFNYYPNHPRRYPPTVPPSGPRWDDVAPRMAQSVAIKSHRDFIHAPPLTSDRVIVMLNTQNRVNGYVRWSVNNVSFNMPHTPYLIALKHNLLHAFEQTPPPDNYDQKNYDIFNIAPNVNATTSNSIYRLKFNTTVDIILQNANTMNLNNSETHPWHLHGHDFWVMGYGNGKFNQSIDPKNYNFVNPIMKNTIPVHPYGWTALRFRADNPGVWAFHCHIESHFFMGMGVVFEEGIEKVGKLPTSIMGCGESKRFHRP >Solyc04g015110.3.1 pep chromosome:SL3.0:4:5282539:5286681:1 gene:Solyc04g015110.3 transcript:Solyc04g015110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGFSIRTGKQNASGSPSSKTGSPSSVGSPKTAGSTGSPKAEVGEIDTRAPFQSVRAAVSLFGDTGSSPQAKPTIKRSKTIEERVLEKESRLHLALRELDGFKEQIRSTETTKTHALRDLEKAKRTLQELTGKLETLCETKQAAIEATETAKARAIELEEQKSNKPPVGTDAWKQNVEAEREQYRTSSGELNSAKQELTNLRQDFDAALTAKLAAFQEAADAQHNAAINRERLGKLSKEVTTLRETLGQVKVASLQAQDEHEKLIQDKEVHLQSLKTAKEEAEEKIRALKEDPDSQLVTENLVEKLEVTNEAIHVLQEQLNNVRALDLDAYNKANEELNATKNMLKEIVAEESSLRGIVESLKQEAERVKGELNELNKKAEETESLVEKLKLELENSKKELETSISAKTQAEGDSNDLPSRIQQLTSEANQYTKEANEMKKNTELLKQDAQSAHEATKETEDKLKIALKEAEEAKAAEKLADEKIHNQSRNNKTDNSTDDNNDEKHSSSESSNGKIRLSIEEYEALKRKVEGIKNDADIKVATVMAQVETINANEKQANEKLEVLLKEKEDIKIATQEALKTTEMAEAAKLVVEGELQKWRIKEQEEEEVEIGESSNGNVEET >Solyc01g020402.1.1 pep chromosome:SL3.0:1:30305253:30305525:1 gene:Solyc01g020402.1 transcript:Solyc01g020402.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNLITLKTSNDMRSQVIKNIVQYVDCASNLIPLTNVDGKIMFKVVEYWKKHSEEGVSNDALIDFDKNLVKVDQSVLF >Solyc09g010650.1.1.1 pep chromosome:SL3.0:9:3978644:3979096:1 gene:Solyc09g010650.1 transcript:Solyc09g010650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLFAIVSLIILIIIPTIVFAFIYAMKHPDNVFRRSSGETSGESTTGIITQHTDMLSTVKYEKRTSPEKEEDPGNECPVCLTAFIDGEEVRQLMTCKHIFHFSCIDKWLCSKSSCPVCRAAVTVKRPKRPAVNFDDDFRQGLPDAAALV >Solyc04g009550.3.1 pep chromosome:SL3.0:4:2955932:2960693:1 gene:Solyc04g009550.3 transcript:Solyc04g009550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEDEDRVIEKDETLPPALLGSCNDQAKQLHNSPSGRLLTALVCEYLDWAQLNHTFKVYLPECNLPKESWKSELKEFSSKNGYDLNRNGDSAPLLLDVLEGFLKYENFSQAKGAGSLSNTEARNVRKPSSSSVAGGLPPLGRPGPAAQSSDRRAGSSVSGYRKDEYNWRYENEELSQDVMLASSALENLQLDRKARNLATSWRHRGDGISENDGRME >Solyc10g080710.2.1 pep chromosome:SL3.0:10:62049752:62063826:-1 gene:Solyc10g080710.2 transcript:Solyc10g080710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPSKVIHVRNVGQEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQEIGAAVNAMQFYSNVQPSIRGRSVYVQFSSHQELTTVDQNAQGRGDEKAVFSIEKWSLCFGKIVFHFPGNYGLLDDLREKTGFQALIQYELTQTAISARNSLQGRNIYDGCCQLDIQFSNLDELQVSYNNERSRDFTNPNLPSEPKGKSPQQGYGDAGALYPWQGSGPRGVGFPQMGNAAAIAAAFPSGLPPGISGMNDRCTIIVSNVNPDRIDEDKLFNLFSIYGNIVRIKHLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGQRLEVNYSKYPNINTGPETRDYSNSNLNRFNRNAAKNYRYCCSPTKMIHVSSLPQDVTEEEIVAHLEEHGPIVNTKLFEMNGKKQALVLFDNEEQATEALVCQHATSLGGSIIRISFSQPPSNQNAKMSVDSAPPVEKLTLTDVVEEARFSQRVPICSIVGRTDGGAGLAGNVVKIGGWVKTGREQGKGSFAFLEVNDGSCPGNLQVIVDASVHKLGDLVLTGTCVHVEGELKVPPEGAKQKVELRVQKVISVGTVDAAKYPLPKTKLTLEFLRDVVHLRSRTNTISAVARIRNALAYATHTFFQNNGFLYIHTPIITTSDCEGAGEMFQVTSLISEAEKLEKELKENPAPSESDTQAAEKLAKEKGEVVAQLKASKASMDKTDPNIADINKKISAAVAELQRAKENLLKLQERSKLGERYRLSAGIPKKDGKIDYSEDFFGRQAFLTVSGQLQVETYACALSSVYTFGPTFRAEQSHTTRHLAEFWMVEPEIAFADIQDDMNCAEAYVKFLCQWLLDHCLDDMEFMTKFVDKDALSRLRMVASSNCHRITYTEAIDILEVAAKVKKFENKVEWGIDLASEHERYLTEEHFKAPVIVFNYPKGIKAFYMKVNEDNKTVAAMDLLVPKVGELIGGSQREENYEVLRSRILDLGLPLEPYEWYLDLRRYGTVKHSGFGLGFERMILFATGIENIRDVIPFPRYPGRADL >Solyc06g007420.1.1.1 pep chromosome:SL3.0:6:1437096:1437623:-1 gene:Solyc06g007420.1 transcript:Solyc06g007420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNLKITIDLPHIKPIKKISDEKLYHEEEFQNQSFVSNLQNDDQFLSRKSLSSMNNKLSNVEVYYGDAPLAVPFKWESQPGTPKVKIIETPLPPLTPPPSFLEKKMKNAIKKQTKGKLLQRFFFLPKLNFRKSQLQPSPTSSSSSSSLSSSSFSSPPRCWSYSVPASPCKVKSNF >Solyc02g077925.1.1 pep chromosome:SL3.0:2:43314430:43319400:1 gene:Solyc02g077925.1 transcript:Solyc02g077925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGRKQNKVRTWAEKEVSVTVNEAYATMAQDESQRLLGVVDAQKDPLTMMTGRPQGYRSRKPGGSGGLVELEEAMEVLEELEYLVYIVDTKNCYRVVDYPSDFVSKRKTSQQNAQTQSSQGKPTVNTSTNTNSEVPQRTCPDASSSSSGSGYFLTEQQYQELLGKKDHTTSTSECVSNMTGIHSSLSNVFAYEWIVDSGASHHTIPCNDLLFDIKKLDNHISDKVQMANLEDTTVQDAVDSISTVSAPARSRPIRATRPPIWLSDYVTVVTPKWSYSYPISQHKQGTSIVVILIYVDDLVITGNNQDLIYEAKQYLHSKFKVKDLGPLTYFLGIEIMRSKHRALLNQRKYALEVISNAGLSEAKPASTPLKANIKLTSVAYDDHLGNMIEDPLLKDISAYRRLVRKLIYLTIIRPDICFDVQLLSQFMQQRKNSHWDVALRIVRYLKHSHGLGVFYEERF >Solyc09g075720.3.1 pep chromosome:SL3.0:9:67763793:67766418:-1 gene:Solyc09g075720.3 transcript:Solyc09g075720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFQFSNDDHNKTRKSTSGQSSASVFTDSDLKGSEYSSQNVSTDISTVSFSCLSNKKACHLKVFTVEELKSATKNFSRSLMLGEGGFGGVYKGVLKDTNIAVKQLSQRGLQGHKEWVTEVNVLGVVEHQNLVKLIGYCAEDDERGIQRLLVYEFLPNRSVQDHLISRYMSPLPWETRLNIARDAARGLAYLHEGMEFQIIFRDFKSSNILLDEKWNAKLSDFGLARLGPSDGLSHVSTAVVGTVGYAAPEYIQTGRLTSKSDVWSYGVFLYELITGRRPLDRNKPKNEQKLLEWVRPHLSDLKKFEQILDPRLDGKYSIKSAQKLAAIANRCLVKHPRNRPKMSEVLEMVNQVVKATEAKIPQTPIDESSTPNVDDDMFMVRCLTASRRLVEHTSRENKLLVWKLWKPKLVSSN >Solyc08g042130.3.1 pep chromosome:SL3.0:8:23812788:23823277:1 gene:Solyc08g042130.3 transcript:Solyc08g042130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKQRFLLHFAANVSADSSFAVSGYILKLLFNSTVRFYRVLVGLQSPEGNTTTRVVLRRFNDFLKFLAALKRIFPRKNIPPAPPKGFLRMKTRPLLEERRSSLEEWMTKVLSDIDVSRSVPVASFLELEAAARSSFADETQHASESNPSVNSSVSSLQIHPNGSLSGIAASSSLTSDYGSDTAYEISDVGTPRLGRDNNSDFGTDDLSLDEDVTSPIDKFVKYGMSNIDEGLFMGQAILEQLGNYPRHRLHNRENNKFEENNKANGNASNFSDLSVGTDGMNRLSLKQENTKVIRHGRKLSTESIGSDTSSQRGSELSGSAVPNLYGQGLVEFPRMGDTSANIENTDLLLPNDMQLLLPSDHRQKMNRVLATMQRRLITAKTDMEDLISRLNQEIAVKDYLTTKVKDLEVELEATKQKSKENLEQAILIERERVTQMQWDMEELRRKSLEMELQLNSHKGKLGNASMEDYASQEKDTVLQELDATKQKLNELQKQHQELEVKSKADVKVLIKEVKSLRGSQADLKKQLNHSLEEKLEAERLYEEERLANEQARASFLKLLHECQVLRQRFEECNINFVNENKDDLVMDHVSCSDALDLLTTYDNQINFLLAEVKTDEGTDSSSAAAEKMNEVDSDSWTVDKKLRNLLTNILVDNGKLRKKVNSVIRYAVKKKMSSPGESEMYKTSKHLDS >Solyc02g092240.3.1 pep chromosome:SL3.0:2:54026054:54029394:1 gene:Solyc02g092240.3 transcript:Solyc02g092240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAAEAATVVIQHPGNKIERIYWSISAHEVMSSNPGHYVALVITSPTGRTHNGSPVRQLKLLRPGDTLLLGQVYRLICFEDVLKEFAAKKCVKLGKLLRESGGLVLDSKKISVDSSAVKNKPKMVNGIPAKMEHETYQNGSSSSSGQRSVGRHHGGVGQWKPALQSIAEIGT >Solyc12g005420.2.1 pep chromosome:SL3.0:12:251372:254004:1 gene:Solyc12g005420.2 transcript:Solyc12g005420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCNACEVAEAKVLCCADEAALCWYCDDKVHAANKLANKHQRVALSASSSPMPKCDICQETVGFFFCLEDRALLCRKCDISIHTVNAYVSSHQRFLLTGVKVGLEPLGPCASASSRKSHEQRSPPILKITPPSEGVLPVHTSGNGNFAPSRLPIVGNIPQCQFDQYLGMPDFNQNYGYMDYGQSKAGNGKVGESASSPFLRDVDAEVAGDECFSTEVPDTCWAVPQIPSSPTASGLNWPTKSIQNPFDAALLESDASYFPLQNIQDQQSNGSGTKRSRRF >Solyc01g044270.3.1.1 pep chromosome:SL3.0:1:42998917:43002129:1 gene:Solyc01g044270.3 transcript:Solyc01g044270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDDALRAKEIAESKFLDKDFLGAKRFALKAQSLNPGLEGISQMLATLGVHIAAENKVNGEGNFYGILGVSPKADDEAIRKQYRKLALMLHPDKNKSIGAEAAFKHVSEAWSLLSDKNKKTVYDNRNASVLQQRVRAENVDSSQQSKQNGFHKFAKNAASRARPPKSSTSKKSSSSGTKDRGTFWTVCYRCKMQYEYMRMYLNHNLLCPNCHEAFFAVETTAPSNGSKKSTEWDYSQQQENTYHQGMRKGAPVTGRNSSSAPNFVSSVVNNTTSPDHNNFQWSPFSQTAGHASAAQAANMVQQVYQKVKRERQEAQTATKREEALKRKNHSSKRPSAALSAGQFNAFKRKKGINDPSTSRLRHSWESDGGSTASPADVGRGNGERVKLNVVNQLGNEREASYNDVKHLLMEKAKKEILKNLSKRGSATSTTSISSRGVILTKEAKERKNEEYHVSEFDVREDHDAAREQFITETKVFANRSSSETFDNYLDNESAGCMLIDVTESDFHNFDSDRTESCFGPNQVWAAYDDSDGMPRYYALILEVVSLNPFKVRVSWLNSNNSGTCSLNSVNSGAPKTCGDFRRGRHEIRTSINCFSHKVRWTKGLGDTIQIFPRKGQIWALYRNWSSEWNEFTGDDVVQKYDLIEVLEDFNEEVVVVTPLVKVAGFKSVFHKHLNPEEIRKIPKEEIFRFSHEISSFLLTGNEAPNAPKGLRELDPAAMPGKLLEVIQDVEEIECMDSKGSREGNAIGGTKARSSSLMEETYISMEDKEVVILDS >Solyc04g010210.1.1.1 pep chromosome:SL3.0:4:3526079:3528223:-1 gene:Solyc04g010210.1 transcript:Solyc04g010210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKIVAQNVSPLRDCVPLYDRRQTVEMSSPTFAQLLNNVGDHVAGGETETPVHRVLTMQPPNSIPFVLSFSNLTYSVTVRRKNIFPAMSRGLTEEAPVTRTKVLLHDISGEARDGELLAVLGASGSGKSTLIDALANRIAKESLKGEMKLNGEKLHTKLLKVISAYVMQDDLLYPMLTVEETLMFSAEFRLPRTLSKSKKKSRVQALIDQLGLRNAAKTIIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSIHQPSNRILSLLDRLIFLSHGQTVYSDSPFNLPQFFTDFGHPIPENENRTEFALDLIRELDGTPDGTNNLVEFNRKWKSCSTTSTIYDLSLKEAISASISRGKLVSGATNPTSMVPTFANPIWTEMAVLSKRSFTNSWRMPEIFFVRFSAVMVTGFILSTIFWRLDNSPKGIWERLGFIAFAVSTTYYICAEALPVFIHERYIFMRETAYNAYRRSSYCLSHALVSLPSLIILSLSFAALTFWAVGLDGGASGFLFYFSVVLASIWAGNSFVTFLSSVVPHVMIGYTIVVALLGYFLLFSGFYMNRDRIPSYWIWFHYLSLVKYPYEAVLLNEFKDPTKCFVRGVQLFDNSQLGDLPNSIKEKLLDNISETLNVTITSSTCFTSGADILVQQGITQLNKWICLWVTIAWGFSYRILFYFTLLLGSKNKRR >Solyc05g005490.3.1 pep chromosome:SL3.0:5:355998:361530:-1 gene:Solyc05g005490.3 transcript:Solyc05g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carbonic anhydrase [Source:UniProtKB/TrEMBL;Acc:Q5NE21] MTLFSTSREVTKMAEDSYEDAIAGLKKLLSEKNELEDTAAAKIRQLTAELEGADGKKSDPDEKIRSGFAHFKAEKYEKNPELFGQLAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFVVRNIANMVPPYDQTKYSGVGAAVEYAVVHLKVENILVIGHSCCGGIKGLMSIPDDGSTKSDFIEEWVKICSTAKAKVKAEFCDLDHSEQCTKLEKEAVNVSLGNLLTYPFVREAVVKKNIALKGGHYDFENGSFELWNIDFKLTPSVAL >Solyc10g038000.2.1 pep chromosome:SL3.0:10:18556918:18562871:1 gene:Solyc10g038000.2 transcript:Solyc10g038000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVLCKFFAHGACLKGDHCEFSHDWNNPSNNVCTYYQKGACAYGSRCRYDHVKLSRSQPSAQSSAQPQQCLLSSSTPINVSPGMGAHNADVLPGICTEYLASSRPYDASSQSAWNQKSELQDALEIDDMIELNSDNPADRSICAFAAAGNCPRGEKCPRVHGDLCPTCGKHCLHPFRPEEREEHIKTCENKQKHLDLLKRSQEIECSVCLERVLSKSTAAERKFGILSECDHPFCVSCIRNWRGSSSSGMDVNSALRACPICRKLSYFVIPSVIWYFTKEEKEEIVDNYKNKLSY >Solyc03g080070.3.1 pep chromosome:SL3.0:3:53387719:53388432:1 gene:Solyc03g080070.3 transcript:Solyc03g080070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMMEIKKKLKGSNVLIGMLIVQVIATGLQLLSKVILSHGTFVFALMTYRHVVATLCIAPFALIWERDSLVKVTWSVLFWLFMIALIG >Solyc10g006520.3.1 pep chromosome:SL3.0:10:1086408:1087964:-1 gene:Solyc10g006520.3 transcript:Solyc10g006520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSKNSPFCLKWPWDVHNQNPKNPLPCTFETPWLFKPFKNLGSGVFNFIQNISKPEPLSPKFNLQSNGGVNQNNTLKLVKKKLTPTEQAELEQSALACALASEKEATVIEFYSPKCRLCNSLVNFVTEVENRNSDWLNIVMADAENDQWLPELLHYDIKYVPCFVLLDKNGRALAKTGVPSSRLHVLAGVSHLLKMRHPQHK >Solyc09g060180.2.1 pep chromosome:SL3.0:9:58163022:58164213:1 gene:Solyc09g060180.2 transcript:Solyc09g060180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSEKHTKTIIQPPLMAAALVGEQPGSLLPHQQHQGDGSTSCLSLWIKLQQHQLYAVSSDSEHRQQPGYCKNGSSCRFLHGGGPCESECLKIGILNSSESDNKDNAYFLDEHKVFKFNPLRNGDEKLLKK >Solyc05g050280.3.1 pep chromosome:SL3.0:5:61195021:61201406:1 gene:Solyc05g050280.3 transcript:Solyc05g050280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVEERENKLDPQQVIDEFELLSKDAGKIQEKTLQKILEENGRTEYLQQWGLNGKTDQVSFKNCVPLVTHKDLEPYICRIVDGDLTPILTRKPITTISLSSGTTQGKPKFVPFNEELMESTMQIFKTSFAFRNREFPVVNGKALQFIYGSKQFKTKGGLAAGTATTNVYRNSQFKKTMKAMQTPCCSPDEVIFGPDFHQSLYCHLLCGLILRNEVQVVSSTFAHSIVHAFRQFEQVWQELVANIRDGVLSSRVNVPSIRSAMSKLLKPDPELADTIYNKCIRLSNWYGLIPELFPNTRYIYGIMTGSMEPYLTKLRHYAGDLPLLSADYGSSEGWIGANVNPELPPELVTYAVLPNIGYFEFIPLVENVDGVEATPVGLTEVKLDEEYEIVVTNFAGLYRYRLGDVVKIKGFHNRTPELQFICRRNLLLSINIDKNTEKDLQLAVEAAAQLLLNEKLEVVDFSSNVNTTADPGHYVIFWELNGEASEEILKECCNCLDKSFVDAGYVSSRKVKTIGALELRIVKRGTFHKILDHFVGLGAAVSQFKTPRCVGTTNLSVLQILTSNVVESYFSTAFS >Solyc02g080355.1.1 pep chromosome:SL3.0:2:45157855:45158170:1 gene:Solyc02g080355.1 transcript:Solyc02g080355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGCLHFKSRSPASAWEDSLPEKSEGHSQFFWDEYDDQGELSQFICQFYESRYQIGSRIDDALQHARRYSCHLPSIP >Solyc12g057100.2.1 pep chromosome:SL3.0:12:64135172:64137166:1 gene:Solyc12g057100.2 transcript:Solyc12g057100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREKEGNYDKPKKLKNFMSIKTLLIFINFIFISVGQISGVLLVRIYYLHGGKRKWLQAFLLTAGFPILILPISISYLKKRKKITNINNNIRFFVTPKLAMFSAILGLLLGICSYLYTFGMSYLPVSISSLLSSTSLAFTAIFAYFMVKHKFTDYSVNAVVLMMLGSIILGLHMNGDRPIGESNRSYALGFVMTLVGAALHGFVNAGVEYSHVKAGVVVTFDLVMQMQFLISMFSALFCIVAMIINKDFQAITREAEEFGLGQRKYYMILGLTAISLQIMIIGNLGMIFCSSALLLGIVNALLVPIQQIFAVMFLPESFNSDKWMALAMCLWGFASYFYGEYKESITNKVTKDKHATLPDQV >Solyc12g099540.2.1 pep chromosome:SL3.0:12:67634201:67641984:-1 gene:Solyc12g099540.2 transcript:Solyc12g099540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:K4DHX5] MGGQTQQRNTMATALYDHSGNAGPAGDAGDAVMARWLQSAGLQHLASTGVDQRLLPNLLMQGYGAQSMEEKQRLFKLMRNLNLNGESASDPYTPTAQSPGGFGSPDDLYSPEFRGDFGAGLLDLHSMDDTELLTEHVNSEPFEVSPFMPGVNRAFDSDNDQQQKAQPEADAAAGLSTIEKENNGRENNVAKIKVVVRKRPLNKKEVARKEDDIVTVPDNDSLTVHEPKLKVDLTAYVEKHEFCFDAVLDEFVTNDQVYRATVEPIIPTIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDLVRLLHQPIYRNQKFKLWLSFFEIYGGKLFDLLGDRRKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLVVKKPNEVKESRRNNDVNESKGGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTINTLRYADRVKSLSKSGNTKKDQSASLIPSSAPTLAVPAGAGDAYEQPQESKVMDTSRKAMEKESISYIPSSDFDKQPSRLGSSLIANSWVESGANSGGMERDMVEVKNAYSIPAGQKLYSTTNLQSSADVVDKVPKVSPPRRKAYRDEKSEKPERPGNWSRKDVASVDSSPTSYRQQSTSTPTKSVGSRQNEVSSPPRDENINEILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSRIDNYVTQLSFVLSRKAASLVSLQARLARFQHRLKEQEILSRKRVPR >Solyc04g049640.2.1 pep chromosome:SL3.0:4:42694635:42703626:-1 gene:Solyc04g049640.2 transcript:Solyc04g049640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLLHHPHLVNLIGYCADGDQRLLVYEFMPLGLLEDHLHVRCPMSKSFIALGLSSYLWVRNLYLRATFQNLQARPLFKDRRKFSQMADLELQGQYPVRGLYQALAVAAMCVQEQPNMCPLIADVVTALNYLASQKYDS >Solyc11g065670.1.1.1 pep chromosome:SL3.0:11:51508246:51508746:-1 gene:Solyc11g065670.1 transcript:Solyc11g065670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETSKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMQPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEISDGDVEIPEN >Solyc06g009710.3.1 pep chromosome:SL3.0:6:3686111:3687922:1 gene:Solyc06g009710.3 transcript:Solyc06g009710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GRTPCCEKVGLKRGRWTSEEDEILTNYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLKSDLKRGNITSDEEAIIIKLRATLGNRWSLIAEHLPGRTDNEIKNYWNSHLRRKVDSFRIPGDEKLPQAVVELAKKGTQVKHITKRGRSRRKIITRVSNSTNENIEFDHIDDQMLWHDDIVPMDANYENCMNKEATQENIDPMQSDLVAWLLS >Solyc04g076050.3.1 pep chromosome:SL3.0:4:61109612:61112849:1 gene:Solyc04g076050.3 transcript:Solyc04g076050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIASSNLFRRKLPIVGAFCVLTFGLSNLSVPFTNTGFAHSLPFPPLLRSKLGGERRSTSVSRIRMEASSKIVPSIVVYVTVPNKELGVESVYEWQGEIQTDSEELLIIKTRESLLEALTEHVKANHEYDVPEVIAMPIVGGSPQYLEWLKNSTRDE >Solyc05g014830.2.1 pep chromosome:SL3.0:5:9036443:9036994:-1 gene:Solyc05g014830.2 transcript:Solyc05g014830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVARIARFLKVGKYAKHVGAGALVFLVVVLEYLAVEVLELAGIAERNDKKTRITPRHIQLAIRFDKELYQFLRDVTIPNGGVIPKIHKNFLPHNKSNTSKVVATAQEEED >Solyc07g042250.3.1 pep chromosome:SL3.0:7:55482163:55484987:1 gene:Solyc07g042250.3 transcript:Solyc07g042250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chaperonin 21 [Source:UniProtKB/TrEMBL;Acc:Q9M5A8] MASTQLTASSISGNGFASFEGLRSTCIVKTVSFAPLKHNNSRSFSRLVVKAATTVAPKYTTLKPLGDRVLVKIKTAEEKTVGGILLPVSVQSKPNGGEVVAVGEGHSAGKTKVDISVKTGAQVIYSKYAGTEVEFDGSKHLILKEDDIVGILETDDVKDLQPLNDRVLIKVAEAEEKTAGGLLLTEAAKEKPSIGTIIAVGPGPLDEEGNRKPLSVSPGNTVLYSKYAGSEFKGADGSDYITLRVSDVMAVLS >Solyc06g007570.3.1 pep chromosome:SL3.0:6:1569321:1572319:1 gene:Solyc06g007570.3 transcript:Solyc06g007570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:K4C3D8] MGISRDSMHKRRATGGKQKTWRKKRKYELGRQPANTKISSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIGRKKKGAAKKETTTEEGDAAEEAKKSNHVVRKIEKRQKDRTLDSHLEEQFSSGRLYACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKASGASA >Solyc10g074700.2.1 pep chromosome:SL3.0:10:58369538:58383199:1 gene:Solyc10g074700.2 transcript:Solyc10g074700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEGGGRPENVQQSSRFVATWIVGGAVLGLSVLGLRLVLPKKKKRPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDAEIIANKGPPVTPLHERMIMVGAVKWVDEVISDAPYAITEEFMRKLFDEYNIDYIIHGDDPCLLPDGTDAYALAKKVGRYKQIKRTEGVSSTDIVGRMLLCVRERTTVDSPSHASLQRQFSHGHSQKSDDGGAGSDTRVSHFLPTSRRIVQFSNSKGAGPDARIVYIDGAFDLFHAGHVEILRLARGLGDFLLVGIHTDQTVSANRGGHRPIMNLHERSLSVLACRYADEVIIGAPGEVSKDMITTFNISLVVHGTVAEDNDFQKETGNPYAVPISMGIFQVLDSPLDITTSTIIRRIVSNHEAYQKRNEKKAASEKRYYEGKSYVSGD >Solyc12g088310.2.1 pep chromosome:SL3.0:12:64724220:64734477:-1 gene:Solyc12g088310.2 transcript:Solyc12g088310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIDRVKYPSLPSNYVTIAELKERWLKEKERKEQEEKEKLESEEKEKYPVLRNHENRIVNGCAYSRGSVGKNLQKRENHSGKGWREVGLIAKEPKLKEVRMNGSVVEEGVDRVRGKEKIGCFRKRGARVERDGSGDMAKVTMIDVEEEELMAVVSAGRGGKESSKGKCKWNSGNQEVEEVATVCMVEVVGESNVDMEIAGGEFRVGYRGKKIDREIAGGEFRVGYRGKKNSWNAEQSGQKQNAGKEKVLVDVTEKVRVGGAFRAYGDKEVASACTVEVVGENKVDREITGQNCGVGNRGKKNGGRKMGVRIGEVSDQADSVEQSRRKHNIWKEKVTVDMIERTNEKVRLSGAFHAYSDKEVASVCAVEVVGENKVDREIAGQNCGVGFRVNNNSWREVGNRVGEASNKADSVEQSGQKHNAGKEVVPVDVKERTHEKVRVRGAYRGKKNSSKQMVDRVGEVSDKADSVEQLGQECNAEKEKVLVDLKERTKEKVTVSGAFRAYSAKEAEEVAIVCTVKVVEENKVDREISGKKHRVGFKGKKPSWRKIGDRVEEVSDKANSVEQSVRECNAEKEKVSVDVKERTNEKVRVKSAFGDNEEVEEVATVCTVEEEEEIKVDGKTAGKKHRVWYRGKKHSGRKMVNRVRKVSDKADSAELSGQERNAEKEEISVDVKERANEKARVRGAFCANSDKSKDVLIDSEAGLNRTIEGDLGGLLLTDRRYGHGRSSVRVYGGKSYGSSRRYDVRKMLKQRDNSFAWIKKGESSNGNVAEIETQDNTGFYNDTTYMEQVAGNSGTYSKGKSVLGKHFGFLKTTVNGKASSEKSGA >Solyc09g011310.3.1 pep chromosome:SL3.0:9:4653966:4658363:-1 gene:Solyc09g011310.3 transcript:Solyc09g011310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:K4CRF7] MDWGTVTTEDLIEALREVDWSSPPRPPSEFFSRFTFPRSSSKWNSRVKCNLYYYRTNYFIMIVAILALGFLRRPLAIVAAFLTTLSIAFLNDSFAGTFSEKVTRTVRQFSPHLAAKMRPPLTPVIRGRPSAKRAIFICGRPRWVFVLIFSLASFFLWFASCGLLTVLWALAIGLLSTLLHASFRTPNLKARLNTFREEFRAVWRNYSEL >Solyc04g053096.1.1 pep chromosome:SL3.0:4:51517812:51519762:1 gene:Solyc04g053096.1 transcript:Solyc04g053096.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQKTSVGAKIIQTSSNHGELFGVVGKTQVIYVKTGEDILSKIMTLSQQGPMKICVHSATGGIRNVALQESATGGGIVTYEVRSYCSWWLRCMKADSCYSGTGVILTILILGA >Solyc03g006680.3.1 pep chromosome:SL3.0:3:1252976:1257885:1 gene:Solyc03g006680.3 transcript:Solyc03g006680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVTSNFTFSFTHFFVHFPVMASPKKPPTESDRPPTAIMHQPASPRFPPGTPTSGANRKIAIAVDLSDESAYAVKWAVENYLRPGDAVILLHVRPTSVLYGADWGAIDVSIDTTDEKSKQKLEEDFDNFTSTKSNDLAQPLVDANIPFKIRIVKDHDMKERLCLEIERLGLSAVIMGSRGFGASRRSTEGRLGSVSDYCVRHCVCPVIVVRYPDDKDAVPDDDDSLGNDGSAKKAAKANEVLHLVPEEDSLYHDASDKATDTEKAS >Solyc03g113250.3.1 pep chromosome:SL3.0:3:64929356:64933621:-1 gene:Solyc03g113250.3 transcript:Solyc03g113250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANANGYDFKNFQPLNLVNFGDHEQGFTMWEGYVDWRNRAAIKECHGGAVAASFVLVVEILENLAYLANASNLVLYLSKFMNFSPSASANIVTNFMGTSFFLALLGGLSSDFFSTYYIYIISATIEFMGLLMLTLQACIPSLRQPICASVNRNAPCKEVGGGHIAMLFSGLYLVALGVGGIKGSLPSHGAEQFDENTPQGRKHRSSFFNYYIFCLACGALIAVTLVVWIEDNKGWQWGFGISTVAILSSIVIFLLGSRTYRIKVPTGSPMTTIFKVLVAAFSNSLFPKNSIGNVLDTRETPQYTTEISAEEGHGDLNTEIQTEMKDLKSIAKATVENATYPSLQCTVKQVQDVKIVVQVVPIFMSTVMLNCCLAQLSTFSVQQAATMNTYIGALKVPPASLPIFPVIFTMILAPVYNHMIIPFARAVTKSEMGITHLQRIGTGLFLSVVAMAVAALVEMKRKRVAEQSGLMNSTEPLPITFLWVALQYLFLGSADLFSLAGLMEFFFTEAPLSMRSLTTALSWASLAMGYYLSSVIVSIVNHITGRFQQTPWLYGSNLNHYHLERFYWLMCILSGLNFFHYLLWATRYKYRSTKPDDERDQLDNTPSSDVQMLKTKRNKRQGSE >Solyc01g102820.3.1 pep chromosome:SL3.0:1:91395756:91400752:-1 gene:Solyc01g102820.3 transcript:Solyc01g102820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLQLGIILSSSSPMFLASNSHIPKNVLPSISLFPTYFVKNPCRRTYSHADPRRGLFKISCSSTSENLNKESDVVVVEEKSVSVILLAGGKGKRMGASMPKQYLPLLGQPIALYSFYTFSKMPQVKEIIVVCDPSYQDIFEDAKEYIQVDLKFALPGKERQDSVYSGLQEVDSNSKLACIHDSARPLVQIEDVEKHIISSLQVLKDGWLVGAAVLGVPAKATIKEANSESFVVKTLDRKTLWEMQTPQIIKPELLKKGFELVNREGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILNIEES >Solyc08g062070.1.1.1 pep chromosome:SL3.0:8:50428592:50428993:1 gene:Solyc08g062070.1 transcript:Solyc08g062070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEEKTHNLYVLLKLESSDGSNSVLGISYDLFRNLMVEDLEVNAKMIREMLLKLPGVLVLEEWHAARNENSRVWKALKKVETEKRILYPGTPFLNNINEFYNTLSIVFPKCAADSEQKWVSLGSSNDNNRRAL >Solyc11g010910.2.1 pep chromosome:SL3.0:11:3963310:3964563:-1 gene:Solyc11g010910.2 transcript:Solyc11g010910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGTRMDPLLGGTCVIPERHKSHRFLPAVLSLCIDGACKGGLSGAADEKILMFALDHSMGSCLIRKEIVFERPGISGTSIRPDGKIAATAGWDQRVRIYNYRKGKPLAILKYHNTTCNAVSFSADNKLLASASEDTTVALWELYPPRT >Solyc06g009340.3.1 pep chromosome:SL3.0:6:3287621:3293389:1 gene:Solyc06g009340.3 transcript:Solyc06g009340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDEAKLLLGFPTSFCPNASQVKAAYRKKVWETHPDCFPVHLKPNAELKFKMVSFPLCRHKKCLLSSFLLMIPAAAFR >Solyc08g028980.1.1.1 pep chromosome:SL3.0:8:39042106:39042510:1 gene:Solyc08g028980.1 transcript:Solyc08g028980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNIGSYPVRVQAPWKLKKVVSPDEKFAKILLLPFAFVFHHIFRYWMEEMVEFVMKGGGNRQGVWLIDVTEENYPINNANAYVEKKILGEDYALVCEHLFRNREISVGDEIELYWDTEIQKFIFKLIKKCHVL >Solyc12g005510.2.1 pep chromosome:SL3.0:12:297082:298691:1 gene:Solyc12g005510.2 transcript:Solyc12g005510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSRDYIFLQEISSNIMPHDQHIPPTNNVAPYPMRMQIFDTTGIELRAQPIVNEVVDDEENYNILAMEIFGAVVSMMQCFCGETCSRQSVSET >Solyc11g073080.2.1 pep chromosome:SL3.0:11:56480171:56484522:1 gene:Solyc11g073080.2 transcript:Solyc11g073080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQNSLRRHRSGAEYPTSGQLTASSSAITPYRPLSSRFKLPPGWGIEQVLRSSGDRVDRYYYEPGTGQKFRSLRDVERRLNGEIFAPRNRASGSSLSRKMVICDGKIVRMDEEQLNEWAIVPSTRAATLPYDLPDGWVIEEVPRTDGSSMVDKYYYEPGSGQKFRSRIAAQRYLAQMRENVPLSATLEELKENKPLSKMFKLYHHAKKSLPCKRNIVTENSSFLSPPAKVNWVLSSPKGDAWNPLISGTPIPDSLKQQWTKRFKLFMNDENLNAEKSD >Solyc11g008700.2.1 pep chromosome:SL3.0:11:2874634:2886073:-1 gene:Solyc11g008700.2 transcript:Solyc11g008700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNASEDHLPQQEQLPQTSYTGEGNSSLYTTSGVSSEVGWYVLAQDQQQLGPYTISELREHYSAGYLLESTLAWSEGRSEWQPLCSIPGLLTDVAEQSTGDTNSVSLTSSDPFDEYEKFQKEVKEAEDEQAVDEDQRPSTPPDGEDEFIDDDGTRYKWDKALRVWVPQEDPTDKTDYRLEDMTYAEEEELFPTLPADISSGNENKNMDNTEANKNAINSIETATATHNGKRKLTEKDEPEKAAEKKEANKPPDSWFELKVNTHVYITGLPEDVTVDEVVEVFSKCGIIKEDPETQRPRVKIYFDKETGRKKGDALVTYLKEPSVDLAIKILDGAPLRPGDKIPMTVTPAKFEQKGERFIPKKSDKHRKKKLQKVEQKMLGWGGRDDSKILVPATVLLRYMFTPAELREEENLCSELQQDVQEECSKFGPVDLVKVCENHPQGVVLVKFKDRKDAHRCIESMNGRWFAGRQIHASEDDGSVNHALVRDIDEETDRLEKFGAELEADS >Solyc07g039585.1.1 pep chromosome:SL3.0:7:48468298:48471881:-1 gene:Solyc07g039585.1 transcript:Solyc07g039585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLRLNSNNRDDFEEYLNQSLENLEIKDGNEDLLGWWSRRSDAFPTLSKMVRDILAIQASSVASEAAFSAARFEFCLVEELISVKVHGRNGDVKELGVRLLLVGH >Solyc03g005060.3.1 pep chromosome:SL3.0:3:45463:51640:-1 gene:Solyc03g005060.3 transcript:Solyc03g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGLKTRTRNSPSVQVEYLIHIKEIKPWPASQSLNTPRAVLIEWQYGDKLSGSTNQVVPSLGTGSGIGDGRIEFDESFRLPVTLLRETSFKSGDGDTYQKNCIEFHLYEPRRDKTVKGQHLGTATIDLADYGVIRESLSICPPINCKRTYRNSAQPLLFLKIQLVERCRMRPSLRDSLKREASMDRNGSLSRLLSEEYAEEAEFASYTDDDVSSHLSLPVSSSTNGSNYGSPPQGEERFTGVKSSPGQDEYENVLDDKRRLKNMEKKQGTKSPSRLQGSLSHTSTDLSFDLAWISKKIGASSSTQYATSNVSSITGDTQNDCMLIKQDKQAQCVEQIVASDESGGEISCRRSSEEGFFDAHPAEKACPVLHITDESSNFVNTVSNFSDNEIEENTSTPSLNGLCDDARDAVTQNGNSEDHRENSQQCSPHNGGQHQENEHEKEILENKGRCKKDESVSCYPEEATLVPVLKETGAVSAYRDSSGAKSTTPQNEILKHVMSVRSSSESNRDGSVGSDQLLVQDTPKGVKGFSSNERKDQKVSPRDTTNILLESKIHKLEQRVKMLEEELRESAAIEVGLYSVVAEHGYSANKVHAPARRLSRFYLHAYKENSVLKRGNAAKSAISGIYLVAKACGNDVARLTFWLSNSVVLRATITKFHGQQQIPLSADSMPEKTVVKDKKKKFSPLKWESCSSNDVRNDICESLGNWEDPVTFIRALEKIEAWIFSRIIESIWWQTLIPYMQSGAATAICNDMGPEINKFSSTASSSGAEEHGNFSLELWKKALRHACERICPIRAGGHECGCLHFLSKLIMEQCVARLDVAIFNAILRVSSDEMPSDPISDPISDAEVLPIPAGKASFGAGAQLKIAIGNWSRWITELVGSGGANSVDDESRADNEDDGSEYDSSSESFNLLNALSDLMLLPKDMLLSRTIRKEVCPTFGPIIIRRVLNVFVPDEFCPDPIHEVVLEALNSEDPFDAEEDSVMSYPCTAAPVAYKPPSTASVDGLLGDVSHHSQLRRSKSSVLKKSYTSDDELDQLDLNFIISEGIATSPLVKSSRIAEGSGNGNAVRYQLLREVWMKSE >Solyc12g010370.1.1 pep chromosome:SL3.0:12:3424532:3425897:-1 gene:Solyc12g010370.1 transcript:Solyc12g010370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCEEELRDMSVLQKGDDYVEVVCGCTSRRHGDAGARLRIFKSGELKIACECYHGCPEDNLSPFAFEKHAGKENNRWKHNIWVFIDGYKVPLIKTTLLKFYNMSPKNAKRPHKLVLHRDEFIKCTKCSKRRRFYRRSKNECRSYHDALANANFQCSDIPFDKFSCDDAEERASRRACKGCLLSPTCGGCTSCVCFGCEVCCFSDCDCQTCIDFRKNTKA >Solyc10g005970.1.1.1 pep chromosome:SL3.0:10:741542:742738:-1 gene:Solyc10g005970.1 transcript:Solyc10g005970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVFTIALLLLHVIKSDGAAPPAKLDAPVFSPVFSPASPYASPDKSPATPEISPAITMSPKSSPFASPVQSPSRTPPTTSISPARTPSFNDSAPVPSKATPESPVNTSTISPKASSKVPASSPSISPMSSPGPMPSSNVAAPVPSAMTPRPRLKTPVITPAISPKASSMVPASTPFVSPINSPAPMPSSNVAAPVPSAMTPRPRFRTPVITPAISPKASSMVPASTPFVSPINSPGKTPSSTVAAPLPSVITPRPKFKTPVITPSSSPVPESPKMRPSASPVKISPTKTISPALTPSSSVIENPESAPVFSSPASSPAASPTDIPTSAVTPSISASIPSTSITPSEAPEMLYAPIPAPVDLSPASSRSVVTDTSAGTSKFKAPIFLSGLAIWAVLLI >Solyc12g098960.2.1 pep chromosome:SL3.0:12:67283483:67288835:1 gene:Solyc12g098960.2 transcript:Solyc12g098960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLNFELSKKTHIFHLKVWVLLAIFVGFFIVVILLLLPFCSSRKKSRKSHDTLPISKIPSVCKEIRVDQNSASNYGSHYRNPLAFQDTYCEKDSDKLLAHSNIDKMKDFDNNSQSGSFNYLDKDGTFESGEKEVAGTLNHPSHPANVPSPLSGLPEFSHLGWGHWFTLRDLETATNKFAKDNIIGEGGYGIVYRGQLINGTEVAVKKLLNNLGQAEKEFQVEVEAIGHVRHKNLVRLLGYCIEGTHRLLVYECVNNGNLEQWLHGAMQQHGYLTWEARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDEDFNAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSYGVVLLEAITGRDPVDYGRPAPEVNLVDWLKMMVGSKRSEEVVDPTIETRPSTTALKRALLTTLRCLDPDSDKRPTMSQVVRMLESEEYPIPREGRRRRKNQAGAVETESHNRKSTDSSDRPILMTKTRSDHRTNA >Solyc01g110410.3.1 pep chromosome:SL3.0:1:97028907:97034991:-1 gene:Solyc01g110410.3 transcript:Solyc01g110410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFDGYGYHGRSFEQTYRCYPASFIDKPQIENGDKIIMPPSALDRLASLHIDYPMLFELRNDSTERVSHCGVLEFIAEEGLIYMPYWMMENLCLQEGDTVTVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNFSCLTTGDSIMVAYNNKKYYIDIVETKPSNGISIIETDCEVDFAPPLDYKEPERTAPSRPSNSPAEVQEDATEVVEPKFNPFTGGARRLDGKPLKQQLPPSSSSSGSSDKQVNATNGGKKSAAAPSSQSSSRQSQGKLVFGSNANRAPEKQKEPVKEEPQKKEEPKFQAFSGKKYSLRG >Solyc09g082500.3.1 pep chromosome:SL3.0:9:68642568:68646044:1 gene:Solyc09g082500.3 transcript:Solyc09g082500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQESPFSNFLNNLSPIKPVKAAHFPQTLNTLSFGSIPSVFTSPHVSSARESRFLRRHLLSDPSKPEFASDNSGKVDNDEGMSDAVNSSNEPKESLGSQNSVVEASNECSKLAVERTLNYECNDSPSTLPTGSFRGKSLSEFAGSSVTYVPLVQGMSGKGLLRCEVNTEGKNELDPNKEAPGCDWENLICDATDLLIFDSPGDPEAFTKAAGPNLRHFGFASNEMQNMQVFGQASTSECGVDGSETEKPSTQPADETQVNEYAENQKMKPDSSLTNDGIGVGQSERTDNEMVSTLYRGMRRRCLVFEMVGSRRKHVDEGSGSSAVQGTDGNLACNEKQLVPYKAVSESSRCILPGIGLHLNALAASSKDGKVVKHETNASGKQLLITPGSAVSLHPLVAGQESMSKSLPETSQEIVPFENSVPLMEDASLAPGYVNNEELSQTSPKKKRHVHPSPL >Solyc07g042080.3.1 pep chromosome:SL3.0:7:55129839:55140759:1 gene:Solyc07g042080.3 transcript:Solyc07g042080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFVKSVEDGVHLAKRIYFGKDRAVAPPKPMTAMAKASLSYLPESPMLYAVIGDPAIVDNPDIPSYQPHVHGRCDPPALIPLQMNGISLEADCYLDTAFITVTGSWRVHCVMGSRSCDCRIAVPMGEQGSILGVEVELPRKSYSTKIVTLDDESKTEKVAKIEDGCFLKPHIFTLSIPQVDGGTNISVTIRWSQKLLYCNGQLTLDVPFSFPDFVTPAGKKISKKEKIQLNVNSGPGIEVICKSTSHPLKERQRQAGKLGFLYESDVLNWSRANFVFSYAVSSSHTCGNVLLQSPPPLDSDQREMFCFSLFPGDQQCGKVFTKEVIFVVDISGSMKGKPIEDTKQALCMALSKLDSQDLFNIIAFNNEKYLFSSSLELATKKAIENATQWIGTNFIAGGGTNILNPLTQAMEMFSYTQQHIPMIFLVTDGAVEDERHICDVLKSHLMQKQMICPRLYAFGIGLFCNHYFLRMLAMMSRGHYNAAYDVDSIEVRVEQLFSRASSVILADIAFENLDGLEEFEVFPTPVPDLSSKGPLVLSGRYRGVFPDTLKAKGVLADLSNFSLDLKAIQTKDIPLDKQRQQIELLTAQAWLTENKDLEQKIAQTSIQKGVISEYTRMILIETDKGKVITESTTNTKRKVSTAPTKIEEPKIQKETLLQNLGAGFGNYSATNENISPGTTETKPETAEFLAKAASNCCGKTCEICCCMCCIRTCSKMNDQCAIVLTQFLGSLACLGCFACCELCCSVNDG >Solyc10g081440.2.1 pep chromosome:SL3.0:10:62623355:62626918:-1 gene:Solyc10g081440.2 transcript:Solyc10g081440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:K4D331] MEFLERPDAQLIIGVAVAAVAVGATAYFYFSSKKSKVCLNPEEFRAFKLVKRTQLSHNVAKFRFELPTPTSVLGLPIGQHISCRGKDSQGEEVVKPYTPTTLDSDIGYFELVIKMYPQGRMSHHFREMREGDYMEVKGPKGRFKYHPGQVRAFGMLAGGSGITPMFQVARAILENPNDVTKVHLIYANVTSEDILLKEELEGLTVNYPDRFKVYYVLNQPPEEWSGGVGFVSKEMIQAHCPAPADDIKILRCGPPPMNKAMAAHLEALGYSPEMQFQF >Solyc06g076090.3.1 pep chromosome:SL3.0:6:47380650:47383315:1 gene:Solyc06g076090.3 transcript:Solyc06g076090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVMDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTTAEREIVRDVKEKLSYIALDYEQELDTSNTNSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFTGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Solyc04g077220.3.1 pep chromosome:SL3.0:4:62234441:62236347:-1 gene:Solyc04g077220.3 transcript:Solyc04g077220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCNTRLSLSLGLSTPPPHQHNNKGKLSSNDDDNQELTLCLSTSSEFTNNYDNNNRINPQLLGGVNSSVSSFSNTSSVKRERDHASSFDEEVENLETKKVLIISPKGLVDHNDDDDYGTRKKLRLTKEQSDVLEDSFKEHTTLNSKQKRDLARRLSLRPRQVEVWFQNRRARTKLKQTEVDCEILRKCYEDLKDENRRLNKEIQDLKSLKKSQPFRVQLSAATLSMCPSCERTYGGAATDNSTKISFSIGDQKPHFYGIPSQIH >Solyc07g042690.3.1 pep chromosome:SL3.0:7:56346516:56347441:-1 gene:Solyc07g042690.3 transcript:Solyc07g042690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLKRAFLTVVRISISAASSILTTWEKGGLLSGFPSQQRVMISPSTGKQSLGMVGRTPLLTTAKAACTAVMFWKGSIPGRCWIISLQNTVKMLSSSPQHPTHAKPRGAVVAAHAKLQALFDMKIATGTTSIASTLVLGKFPSIFLKSFMLLINTLLNPRTGMNCIQKSCAITAKWSISTVTAHLKITRATRYVHTYYKHSDSVLYSPTNTIFFN >Solyc01g107990.3.1 pep chromosome:SL3.0:1:95269431:95274402:-1 gene:Solyc01g107990.3 transcript:Solyc01g107990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLNLVMNFKFTVTLFVAVTLLFACCEALKEGQSCVANGSCDAGLHCETCLANGNVRPRCTRLQPVNPLSKVKGLPFNRYSWLTTHNSFAKLKAKSGTGGVILAPMNQQDTITEQLNNGVRGLMLDMYDFDNDIWLCHSFGAKCYNYTAFQTAINVLREIGVFLEANPSEIVTIIIEDYVTSPNGLNKVFDAAGLRKFWFPVSRMPKNGGEWPTVDDMIQHNQRLVVFTSKSAKESSEGIAYEWRYLVENQYGNGGMKPGSCPNRAESSAMNTKSKSLVLMNYFTDAPDFAQACKHNSAPLIDMMNTCHEAAGKRWPNFIAVDFYRRSDGGGAPEAVDLANGHLVCGCANIVSCKENMTFGACDLPEPSISPKTPAAAAKNETSFSNLCYQPKLQWLLGTTLVSFFLL >Solyc01g104980.3.1 pep chromosome:SL3.0:1:93192395:93195152:-1 gene:Solyc01g104980.3 transcript:Solyc01g104980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGILSRSNHNSNVGDLIEGSQSASCKRQRTSDSFWEHSPRLIPSLPDEISIQILARLPRTHHLNAKLVSASWKAAIMSPELYRCRKELGTTEEWLYLLTKTEGDKFLWYAFDPISMRWQKLPPMPAIAVNDEPRSGLSGLRAWNMAGSSMRIADAIRGWLGRKSALDQVPFCGCAIGAVDGCLYALGGFFRAAAMRSVWRYDPIVNAWNEVSPMSTARAYCKTGVLNGKLYVVGGVTRDRGGLTPLQSAEVFNPHTGIWSEVPSMPFSKAQMLPTAFLADLLKPIATGMTSYRGKLYVPQSLYCWPFFVDVGGEVYDPETNAWIEMPLGMGDGWPARQAGTKLSVTVEGELYALDPSSTLDSARIKVYDHQNDTWKVIEGDIPINDNSESPYLLAGFLGKLHVITKDANHNIMVMQADKQNHSAPSPSTSANSLQKNLHEVPEPVLGSEANMWRVVALRSGGSAELEWNLTVN >Solyc03g078590.1.1 pep chromosome:SL3.0:3:52649971:52650345:-1 gene:Solyc03g078590.1 transcript:Solyc03g078590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKKMVNGGTVVNWICINFSRNVQESVTHGSCSELLQSLSKELDLLVFILPDNNGSLYGDLKRICETEIGVVSQCTRAWSHLGL >Solyc11g071195.1.1 pep chromosome:SL3.0:11:55012933:55013991:1 gene:Solyc11g071195.1 transcript:Solyc11g071195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIILSEVKLYILFYGQIIKLRVENGTTCPVCLEEMNEEEKIAACVTCRNPIHEECLMAWKRSNNRRKSISCVLCRARWRDVRNELEGDKYLNLSPYIISNVENDTSENHQNRCRD >Solyc07g007845.1.1 pep chromosome:SL3.0:7:2513131:2514598:1 gene:Solyc07g007845.1 transcript:Solyc07g007845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKRLTDALLSLGFMGSKADISLFYMSTPVMGNNPVHIKALLAQLQSQFAVRDLGTLSYFLGIAATWTKEGLFLSQHNTDDSCNPVTTPISPASKLSSSGGIPFSDPTLYRSSCHLVAVKRILRYIKSTSAHGLFLSPTSTTLLHGFTDSDWGGDMDDRKSTTGFAIYLGNHLISLSSRKQCVVSRSSTEAEYRALAAATSELTWINPFQHLSTHGQSAHHLSASLYPFIPFSFLNFPSGDIER >Solyc05g054965.1.1 pep chromosome:SL3.0:5:65594506:65597510:1 gene:Solyc05g054965.1 transcript:Solyc05g054965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKITKSISRTLYTYRFSTRFISSASSPSITQPPSLPAVGSSPQPSFLLSHSLLPTNPNGLKPFSLNTVRFRVNRSGSSPYTPGTGDRAPTEMAPLFPGCDYEHWLIVMDKPGGEGAHKQQMIDCYVQTLAKVLGSEEEAKKKIYNVSCERYFGFGCEVDEETANKMEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIIQRSPERQRRVEPVPQRNDRIRPNRYNRRRDNMR >Solyc02g082250.3.1 pep chromosome:SL3.0:2:46498835:46507385:1 gene:Solyc02g082250.3 transcript:Solyc02g082250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIHKTLKTSVCIIGSGPAAHTAAIYAARAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPEGIGGGELMEKCRAQSVRFGTQIYTETVTKVDFSKSPFKVVSDDRTVLADAVILATGAVAKRLEFPGSGNNGFWNKGISACAVCDGAAPIFRNKPLAVIGGGDSAMEEANFLTKYGSKVYIIHRRDEFRASKIMQNRALSNPKIEVIWNSTVVEAYGEKLLGGLKVKNIVTGEVSDLNVSGLFFAIGHVPATKFLDKQLELDSDGYVVTKPGTTLTSVRGVFAAGDVQDKKYRQAITAAGSGCMAALDAEHYLQEIGAQVGKSD >Solyc02g082310.2.1 pep chromosome:SL3.0:2:46567998:46571506:1 gene:Solyc02g082310.2 transcript:Solyc02g082310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVGSPSASDDGTPVQPISSSTPPVPSFPALLGTTVSGLSSSTADVETTPQVAGCGLSSSMAEVQSTPSTSQFAGSGLPSSLSMSGGGLSSSTVDGESAPSTSQVAGSGLSSSTQPQADEAAVSSVSNPEEFGPMQSHVIKAEAGEEKDLKLESEINYLVLKRKLVKFTIKQINSFISARSVVGIGSWGTVYEGMIEGLSGLSSDLNGERIAVKVGNYFCKEEEFCNDENYIQWKTEIMILSDIKHEGVIKLFGVCRTNRGLYLVYPFYERGDLQKSIEVLDWERALNVIVKVAEALRVLHRGQVVCRGLKPADILLDDNWNPVVTGFGLMKMFGDGLSVSQKFNQEDLLFSGHQDHVLHYDSYCLGFLMLQVLMKVKEAHSHWAKGEGNYAL >Solyc08g079980.1.1.1 pep chromosome:SL3.0:8:63481601:63483871:1 gene:Solyc08g079980.1 transcript:Solyc08g079980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFSSIVTILGLICVFFLCTSNATEHPIYRRPKEDQEQRNSEVYIVHCEFPEGERGTRYLDLDSWYLSFLPATTSDSSREAPRLIYSYRNVLTGFAVKLSPDDLKEMEKMEGFISARPERLLDLYTTHSVDFLGLHQNTGLWNDSNYGKGVIIGVIDTGIFPDHPSFNDDGMPPPPAKWKGKCEFNVTKCNNKLIGARYFQSSGNETSWDEIGHGTHTASTAAGRFVPGANIFGNANGTAVGVAPLAHIAMYKVCSGLTCSESHVLAAMDMAIDDGVDVLSLSLGTLTNNFYEDNVALGAFSAMQKGIFVSCAAGNSGPSSFSTSNEAPWILTVGASTIDRKIKATAVLGNNQEFDGESAFQPSDFPPTVLPLIYPGSNVSNFNAKYCTPDSLNNTNVVGKIVLCEVGITTRANKGIAVEAAGGAGMILMNPEDMANTTLAEVHVLPVTHVSYAAGVKIKEYINSTLIPTATIVFKGTIIGDDRAPVVAGFSSRGPNFASPGILKPDIIGPGVNILAAWHISLENKTNTNSRFNMISGTSMSCPHLSGVAALLKSVHPDWSPAAIKSAIMTTADDVNLRSNLIEDETYLPADVFATGAGHVNPSKANDPGLIYDIEPSDYLSYLCGLNYTDRQVGIFLQRKANCSEITSILEVQLNYPSFSILVRVNSTAQVYSRTVTNVGQAYSTYRVEIGSPPGIDVKIEPTTLVFSEVKQKLSYQVTFTPLATLPNTTFSQGSFRWISEKHIVRSQIAVRFL >Solyc01g110430.3.1.1 pep chromosome:SL3.0:1:97060628:97063447:-1 gene:Solyc01g110430.3 transcript:Solyc01g110430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARMLCPELSTKSNYRPDRHLTADINHQVKLLLLFKHNHTVQKELSCDQSKRNILSVLETIANKKSISTAL >Solyc02g090315.1.1 pep chromosome:SL3.0:2:52535864:52551755:1 gene:Solyc02g090315.1 transcript:Solyc02g090315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGILHSIMFHRALGLIYPKNVDSELFEITYMQCSDFVIERKVDEKITQFIDKVKKHPNQKHQICVSFYESKNKQTSWFTKNVERCYWEHWYINLSVAHNPKADSGKSHHSKVVNLEEGAPNEREVRHSGLESSLREVLLQIINFVNEKKDHIPSIENLEGTSFPFEITISSLSDSAFGMELLKRMLPHGNRPCSADFQFLKGSDFV >Solyc08g068600.3.1 pep chromosome:SL3.0:8:57794832:57796816:-1 gene:Solyc08g068600.3 transcript:Solyc08g068600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLLEMDFESLPMSPRSLAAMTPRSLARRRLFPNVDNKKQKVAPPGDGPRKNLQLEVMEPGLKNDGPSLDTILVNYLDTLTQRVNYHLGYPVNICYDHYATLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLDWFAKLWEIEKDQYWGYVTNGGTEGNLHGILLGRELLPEGILYASKDSHYSVFKAARMYRMDSETINTSVNGEMNYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDLDVILETLKECGYSQDRFYIHCDAALCGLMTPFINNMISFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSTNVEYIASVDATISGSRNGLTPIFLWYSLSAKGQVGLQKDVKRCLDNAKYLKNRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVKDMAHVIVMPGITREMLDNFVSELVQQRKQWYRDGKAEAPCVGEDIGAQNCACSYHKIDYISP >Solyc01g095903.1.1 pep chromosome:SL3.0:1:86927329:86928326:-1 gene:Solyc01g095903.1 transcript:Solyc01g095903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLQLASLTDMTPTESFRIFTVSQKFGQEEARCISTFPEAMFSTVSDAGRFTSSLTSSPVLRSTSKLVVKVIFSGLSDISLAEEIESVIGSITGGEIENSTGWQPGQTVAGTYSDALGAILHCQA >Solyc02g014800.2.1.1 pep chromosome:SL3.0:2:19010903:19011085:-1 gene:Solyc02g014800.2 transcript:Solyc02g014800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GISWRIHQKKLCLPQWNLIGEISSKCLLNLFLSKKMSHRNNESPLISTHLKLPNAREFLY >Solyc08g028880.2.1 pep chromosome:SL3.0:8:39214052:39214436:1 gene:Solyc08g028880.2 transcript:Solyc08g028880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKTGILNRLPKRDIAMLNRQLSRFQTYLGGIKYMTGVPDIVIIVDHPTICLIDTNFDPYLADISIPVNDEAISSIRLILNKLVFAIYKDRYSYIRNP >Solyc03g112600.3.1 pep chromosome:SL3.0:3:64477247:64486497:1 gene:Solyc03g112600.3 transcript:Solyc03g112600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIGGRIEKVNGRELTYSEFAEKYMSQNQPVLLTGLMDDWRACKDWVSPNGKPNLHFFSTHFGKSKVQVADCGTREFTDQKRIEMTVSEFVDRWLHDGGAGGGSLLYLKDWHFVKEYPEYIAYRTPMDFSDDWLNFYLDKFHMHNDPDTYSERNDITCSDYRFVYMGSKGTWTPLHADVFRSYSWSANVCGKKQWYFLSPSQQHLVFDRNMKSSVYDIFAEVSQSKFPGFEKAVWWECTQEENEVIFVPSGWYHQVHNLEDTISINHNWFNGYNLFWVWDLLLKDYNEASEYIDDLKGCDDFEELCQRNLAANTGMNFYDFFIFIVRFAFANVVLLHTLAHVKNETSRKPSKALQHIYFNLESIRNVAVKMKPINTGVRQGVLLDLRKNLEDHSFIELCAAVRKTYELIHDQDETTPILLQCTNSVRSQVQSSEDLVAFINDALTKRGGAFSC >Solyc04g081750.3.1 pep chromosome:SL3.0:4:65759310:65768042:1 gene:Solyc04g081750.3 transcript:Solyc04g081750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGDYTTSNPYYNYPNSGHISPAPIPNPTPSPPSYASAPPFAATYPPSSDYSSYPSSYPNHYTQNPDLLSTAPAPPLQSYNPPPPAQVPVPALQQPQHSSPFPSFESHGAYQNPVHSQPQQPYYPPYDQPQAAPSYNHSTTPNSSYPSVYSTPNYDNTGKFDQSGQYFDEPVDKYGNYGSGRGEFGQDTYGKRAESGYGNDGYGDGVFAYQGSKVEPYGARGTGSKSSTWSSSFDDFGRPIGYNSPKERSSAPTAKIVKAIPKVDTQQDAKSGVQKFRVKLLAESGGQSTQDVLCQIGLDGIRMLDPSTSRMLRIYPLDTVTRLEATDSSTFAFWSKSAVDIEPRRIRLQSNSYTTSSLLDTVTAAVVQFKEMGGRIMSSESPKVAEQPTEKKKGLTDWINIVKTVNEEKDHWVPDEAVSKCTACGSAFNAFVRRHHCRNCGDIFCDKCTQGRTALTADENAPVVRVCDRCTAEVSRRLSSAKETVNRSTGLQSHEDLAKKLQEEMERNRKGSSGSRQDRSGRMKEVACPTCTVHLQVQVPSSGSETIECGVCQNPFLVSSH >Solyc08g029400.2.1.1 pep chromosome:SL3.0:8:36241702:36242418:-1 gene:Solyc08g029400.2 transcript:Solyc08g029400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YYLVSFSLTFTNQIELGTSINRLTILLYLLSCSAGLVAQDLWSLSVPDEKNGITSYGLVENYSDLVHGLLEVEGTLVGSSRTEKDCSHFDNDRVTSFLQPKPRNPLDMMQRGSWSMLDQRFLYEKYESKFREGEGEGALDPQEDLFNHIVWALRIWRPWGFLFDCIERPNELGFPYWSRSFGGKRIIYDEEDELQENGSGFFKSGTMQYQTRFRSQGLFRISQFIWAPTDPLFFLFKD >Solyc06g036630.2.1 pep chromosome:SL3.0:6:27057373:27063909:1 gene:Solyc06g036630.2 transcript:Solyc06g036630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQAREQQWIKGFKVGSDAVNQVAIPIVPTIRVLVTFTKFEELQPVEEFSTPPSSPAHFQDAKSKQSDGSASWISWMRGSHGGQSRDSESCSFHEEIDPFHIPSDYAWVDANEKKRRMKAKKAKSRKHKKHLTTRNSGIGSQATDDVEE >Solyc08g066850.3.1 pep chromosome:SL3.0:8:55795849:55807041:1 gene:Solyc08g066850.3 transcript:Solyc08g066850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lactoylglutathione lyase [Source:UniProtKB/TrEMBL;Acc:K4CLR1] MALQIFSPKIQQPLKSIFPSPTNNGCGIIVPSAYNSSRRLALFQLVITQSQLFNGKAPESLSAEGKVIESGMVAELAQSNIALNDETASEWIKKDKRRMLHVVYRVGNLDKTIKFYRECLGMKLLRQRDIPEEGYSNAFLGYGPEESNFSVELTYNYGVDKYDIGTGFGHFGIAVDDVAKMVDLVKAKGGKIVQEPGPAKDGGPIVSLVEDPDGYNFELLERGPTLEPFRQVMLRVGDLDQSINFYKKAFGMELLRKRDNPDNKNTIAIMGYGPEDKNTVLELTYMYGVKSYDKGNGYAQIAVGTDDVYKTAEAIKLYGGEIVLEPGPLPGINTKIMACIDPDGWKTVFVDNADFMKELE >Solyc11g065940.2.1 pep chromosome:SL3.0:11:51853126:51856704:1 gene:Solyc11g065940.2 transcript:Solyc11g065940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIIQRGRENSNNIMAQPFLHEFKKQASFYLKEKIKTARLALTDVTPTQILTEEATNGNPGAPDTKTLKMISKAAFEVDDYWRIVGILHKKLSSFDKKNWRVSYKAVIVLEHLLTHGPESVAEEFQSDKGVIREMGSFQLIDEKGFNWGLNVRKKSERILKLLEDGQLLKEERNKSRKISRGIEGFGSFNIRRTTSSEEESAIKPYGRSNSQFNHHENDDDDDELQVSEKLDDEYLVKENIAPSDEVHKWNFKGESKALLDEQKEEPRMVFSSEEDHPFRETDRLTSVSLLSSGDQVLQACQ >Solyc07g014620.1.1.1 pep chromosome:SL3.0:7:4983396:4983848:-1 gene:Solyc07g014620.1 transcript:Solyc07g014620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITQIVRLKQVVKRWKHKSLKRRGVLSYSSSDSDEPVLPGSNRRRRTPSGSLAVYVGPERTRFVIPTRFLNLPVFISLLDKAEEEFGYQRTGGLVLPCEVDYFSEILRLLNRDEDRFGHLGLDEMMNGLDQSCKEAASQGFAPLLHNARV >Solyc09g075150.3.1 pep chromosome:SL3.0:9:67372188:67375314:1 gene:Solyc09g075150.3 transcript:Solyc09g075150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAAAAVKGGKKKGASFVIDCSKPVEDSIMEIASLDKFLQERIKVGGKAGALGDSVTVTRDKNKITVTCNSTFSKRYLKYLVKKYLKKNNVRDWLRVIASNKDRNVYELRYFNIAENEADEED >Solyc04g008920.1.1 pep chromosome:SL3.0:4:2537260:2537984:-1 gene:Solyc04g008920.1 transcript:Solyc04g008920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLENQFPFFVLDKLHEKTKQDDELPLAISNIYFMQYTYFHVMGTPRKHQKTTQCGKRPCQMQLSFLKLELVADETESFLRNLIAYEQQSSEVQPKYFSDFALFMDHLIDSDKDVNLLRKKGIIEHLMGEDKEVASLFNKLGNGVIVYPNFYFKQVFTNVVKHCDENPWNRRWTSLKHNYFSSPWVGASTLTVIILLILTTIQTVLAIVSIIK >Solyc01g080430.3.1 pep chromosome:SL3.0:1:79520146:79525772:1 gene:Solyc01g080430.3 transcript:Solyc01g080430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVAKACATPSAQYTPAVTVLEKGSRNKRKFRADPPLVDPNKMISSPQFECTSFEFSADKFGMIPTHELSNGCDMCSLKQDSSESLKLDLGLSCSVGSSEVGPSEPREVVETTEQFHDADWSDFTEAQLEELVLNNLDTIFRSAIKRIMAFGYSEEIATKAVLRSGICYGCKDIVSNIVENTLVFLRSGHDIDSSGEHYFEDLLQMEKYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMESDPLSSLVVDSSENSSASLQPHLQSEAKSSESITRIPCKPNPSVACAHCSTDTSNVSSAISGHSFQLEASNMPGVHEIKPKPSFALTGIIPEKDSSSSLFDTVDKTFTATGAPNPPIVEEEFVGTRKVSGITKREYILRQKSLHLEKHYRTYGSKGVSRKFNGFSGLVLDNKLKSMADSAGMNIKNASLKVNKISVAGRNDNVHHSISTNNGFSSTSVFGSNNGNGPVPLPNTNIPSSSPQVSTSPALPAADTELSLSFPASNMTPMPLSYNAGAGVCAFNMIPNEKSIAQWVPQDKKDEMILKLVPRVHELQGQLQEWTEWANQKVMQAARRLSKDKAELKTLRQEKEEVERLKKEKQSLEENTMKKLAEMENALFKAKGQFERANAAVRRLEIEKDLLKRDMEAAKLRAAELAGSCQEVSKRENKTLMKFQSWEKQKAILQDELAAERRKLVELQQQLEQAKDVLNQLEGRWKQEKNATEDLLRQASSLRKEREQIETSAKSKEDMTKLKAESSLQKYKDDIERLEKEISQLRLKTDSSKIAALKRGIDGSYASKLTDFRNAPLPKDTQIPYISTFVTDFEEYSQDGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKECPSCRSLIQQRICARYNHT >Solyc10g079230.2.1 pep chromosome:SL3.0:10:60933719:60935827:1 gene:Solyc10g079230.2 transcript:Solyc10g079230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGQLTSEKTEQKQIDEGRQLFSLTFFDSLRLFQVNRFDYEVYIYEVPHSLAKTPQKHKTKSFYNFKKKMEGQTGQQEELFVEIDQKTDDQTYSDGEAASCTTDGNEIVNVIVTSDPILQGESMPRRYTYSWRRRIQKVLPLLKTDEYNRHEYDPKVVSLGPYHHGKTELQLAEDFKHIALEMFVSGSSRDVAYFYNKILEVVDNARSCYVDGSTDKYNDHEFALMMLLDACFIINHIELSTTDRYNKLRTTRHHLGMLALSTTVRDMFLLENQIPFWILKLLISLRYDKDEGDELLEMFLNFTLFGEYEQEGEMSHNHVEEPLHLLEAFRTRLVSQQSEVRSFHRTCTPQWLKRKKSISNERVNMKSYIHSFRSVTDLKAKGIQFKPSCTHSLKDIKFKSRYFYGQLVLPTWYVSIYTKAFFLNMIAYEMCPNTVTDRAVTSYVYFMKSLIESPRDVKELREMQILFNMLGSDEEVARMYKEINTYGVNNAHIFYNVKEKIQEHYNNKAKTWIAELIHTYFRSPWTALALLAATFLLFEKFCGRVLVSYLVCCYKKIKMLFLGLVLVFIFSYFELKLRGLIGPGHFTLKTKNQSGSKSTSVIGTSTICDLIV >Solyc12g043170.1.1.1 pep chromosome:SL3.0:12:59847111:59847287:-1 gene:Solyc12g043170.1 transcript:Solyc12g043170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWKLEKLDVSISGSRSSKPPKFMDRRMFINGRSDHKRGTTRRRNNDLISPVGNTAS >Solyc02g087230.3.1 pep chromosome:SL3.0:2:50318067:50324434:-1 gene:Solyc02g087230.3 transcript:Solyc02g087230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin-thioredoxin reductase, catalytic chain [Source:UniProtKB/TrEMBL;Acc:K4BBN0] MRTLQASTSYSVGFGISSFATRPKPSSTHRCLTVAKMEPSEKSVEIMRKFSEQYARRSETYFCMDKGVTSVVIKGLAEHKDTLGAPLCPCRHYDDKAAEAQQGFWNCPCVPMRERKECHCMLFLTPDNDFAGEEQTITMEEIKETTANM >Solyc01g107890.3.1 pep chromosome:SL3.0:1:95174795:95179526:1 gene:Solyc01g107890.3 transcript:Solyc01g107890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKSWLWPKKRSSEKTIVSTTKSDHPAQGNGIEEQTVPNETEYMEQSLKILDEKLATALSESNSKDEQLIKAAEMEQEAIAGQKKAEAEVLCLKKELYEAVHQREAANERIMHLNTALKDHMQQLASLREDDEQKIRDAEMRTSKEFEKALKKLEEKLAETNKRHANLTLENCHLNKVLLVKGQIIEELTKRGVQAEAEFNALMSRLDSVEKENSFLRYEFQMLEREFHIRNEEVEFSHRSLDASHKQHLENMKKIRKLEGDCQRLRLLTRKRLPGQVALSKVKNEIEMQEKNQTVIRRKKSDPPTGSSIPKDRVPEGHPDILRKEINCLVESMCNLEGENRVLKELLARKEVEIRQGVHHLGLVSSVKGSKELALASPLSYETSSISSYDTSKRDESVCSKMNGVSEMCLMDDFVEMEKLAIVAVDSTVGSSYPASDASLTLSDSSRIEAHGNQTDSNGKELVPVGPGDSNEAMAKRSSNWLHNILKIIMEQSRVSKKGADELIEDIRVASYNVIPPHTCAGHSELLPISGYITWKSPVTSPKMQSSTTEPEKTHPILQEENEGLKAEMNSMKSSNNDVQVKLQVARNKCENLTSELQQSQQIIEGLQAELKTAKESKRRMEDLIENQKSINDDLDTQLSVTRVKLNETLSKLSSLQIELEDRSHCCEELEGTCLELQLQLESITGKTTSVDGVDQEKDFQQTGWEITAASAKLAECQETIMNLGKQIKALTLPPQENTVGDTNASSNKMRKHMSLLDRMLTEDDMEKDNLNSPNSEEPLRTIDTVLATQSPQPEPNTPNTGAMVILPSKKPGVNFLRKLLLRKKYGRSKKRAFPLAIEAF >Solyc07g006760.3.1 pep chromosome:SL3.0:7:1598913:1607233:-1 gene:Solyc07g006760.3 transcript:Solyc07g006760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPTMTNPDSVSSSNDDTPRVKFLCSFSGSILPRPQDGKLRYVGGETRIVCVPRDISYDELMTKMREIYEGAMVLKYQQPDEDLDALVSVVNDDDVVNMMEEYDKLDSGDGFTRLRIFLFLHPDQDGSLHFCDGDERENERRYVDALNSPLDDLHGAEQCFSQMTLDGCLHNLRSNEVPIPQVNLRHLNIPHLGIAQPQQSVNQRYNEMEAPWSPAYYSPRQPGYLDPRPLSEFPNSPSSSRYYSPYPEFQERNFDRLPEEYSRLQVNHPSLYDHQPQYADNVLLFPNGHVVDKAGFPGNILHGATAFEGNSICEHCRMTFQRNQPHPDSSWKPGEHSLLDTGNGFHQVANPCAECPPKREMFPVTTDASLHHSYYPVEQNDLRSRQSDIHSHERGWSVQHQSNARFEEPQIHASGAGSNLVDGHQVLGQGLNNEDLRHIRTGRDPGSQVYHDQVVGTGSQIHLPSMEDRGVRYGNSPYAYGPDTAYQVPQANMPAHSLWRNIQNPSHGGPSYEVGNSPQLVNGSASPGFVRGIMENGPRLQSGMENQNAWLDSSQKKMVFDGFSIPEYSLAPSQNLISNAHNQGNQPMHTAEAIQAPGGMQTVATLQDPVLKSDSGPFFGDRPATLSRSGIRLAADKCASEKESIRQEGEQLHTSGLAEVSNICSSSPTKSLMDTIPLNHESPSTTCLENSVKEGVSADTETTDACVTYKNEKPLKDQLTSLSDEIPSAEKVASQSVKDAKVKVQENADSTHEHDSAKVVHENDAELADDQGGLEFDSDNDNVNNSKIESTKAEEEAIEKGLQTIKNEDLEEIRELGSGTYGSVFHGKWKGSDVAIKRIKASCFAGRPSDRERLIADFWREALTLSSLHHPNVVSFYGVVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPHRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKTKVTEKIDVYSFGIVMWELLTGDEPYGDMHCASIIGGIVNHTLRPQIPTWCDPEWKALMESCWGPDPAERPSFSEISQKLRTMAAAMNVK >Solyc09g090300.3.1 pep chromosome:SL3.0:9:70305287:70307204:1 gene:Solyc09g090300.3 transcript:Solyc09g090300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLIHFYGYNILPFPNVNKFSPLKSKTRAFANNKSHIYLAKMEQERSMDISLKDLSKQLEDFAKVRNWEKYHSPRNLLLAMVGEVGELSEIFQWRGEVDKGLPNWEESDKEHLGEELSDVLLYLIRLADICGIDLAHAATKKILKNSIKYPEPKVFLRDEDSYN >Solyc11g012100.2.1 pep chromosome:SL3.0:11:5031226:5036355:1 gene:Solyc11g012100.2 transcript:Solyc11g012100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQNLSFGLSSTLPTSPIQNQKNPFFQILLKPPSSSNILTLKGVFLKPHVSFSPKSFPSKEFFQCRNCLQRIEREAITVPDSGVSKELRKKKLAVFVSGGGSNFRSIYEATLEGTVHGEVAVLVTNKKDCGGAKYAREQGIPVIVFPKAKNSSEGLSEEDLVGSLRAYNIDFILLAGYLKLIPTELVQAFPRSIFNIHPSLLPSFGGKGYYGIKVHKAVIASGARYSGPTIHYVDEHYDTGRILAQGVVPVLANDTAETLAARVLQEEHKLYVEVAAALCEERIVWREDGVPLIQSKEDPNHYK >Solyc02g091060.1.1.1 pep chromosome:SL3.0:2:53125507:53125752:-1 gene:Solyc02g091060.1 transcript:Solyc02g091060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDDSCGDDGYEILIRMYVAAGSLTLLSSLFVRMLLNCLCVFVCLECSLLLFCLSRSKFVRVVYLAGVVAEASLHLNHL >Solyc10g047680.2.1 pep chromosome:SL3.0:10:41561068:41562807:1 gene:Solyc10g047680.2 transcript:Solyc10g047680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVFASLSSLKYFLLIPLVTSLSFNFDTFTPNDHNVTYERDASPENGAILITKNLLNHDLMLVLGETKYGDGFAFFLAPAGSTIPYNTTRGGSLGLTSNNERLNLSINHFVAVEFDTYQNPYDPKGDHNLSYNLDLREYLPEWVTFVFTDGAGRRFALQRIYSWNFTSSLDNMTDTGVTLSNIKPEDAPTKTKLRLVVGLICGGSVLVAEEMLGRGGFGGVHKGYLSESTLILLLRGHEKRELLLVYEFMPNSSLDTHIFSRKIHLEWPIRFKISQGLASALLHLHEEWEQCVVHRDIKSTNIMLDSNYNAKLGDFGLARLVEHGKGSETTVLAGTMGYMAPECVTTGKANKETDVYSFGIVVLEIGCGRKPIDPKAEEHQVNIVDWVWRLYEMIKSSLSS >Solyc11g019940.1.1 pep chromosome:SL3.0:11:9829975:9830418:-1 gene:Solyc11g019940.1 transcript:Solyc11g019940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLLYEGRKRDGNGEDRGGVKWMFMGSTESNNSFLGRHFFGSLILGDHESWIM >Solyc01g008140.3.1.1 pep chromosome:SL3.0:1:2222469:2225510:-1 gene:Solyc01g008140.3 transcript:Solyc01g008140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLQVCVIFLFLGICLQAQSQNLQNLICNPKDLKALEGFVKSLETVIDFWDLGNSTNCCNLVGVTCDSGRVVKLELGKRRLNGKLSESLGNLDELRTLNLSHNFFKGPVPFTLLHLSKLEVLDLSNNDFFGLFPSSMNLPLLQVFNISDNSFGGPVPLGICENSTRVSVIKMGVNYFNGSLPVGIGNCGSLKLFCVGSNLLSGSLPDELFKLSRLTVLSLQENRFSGQLSSQIGNLSSLVHLDICSNGFSGNIPDVFDRLGKLTYLSAHSNRFFGNIPTSLANSGTVSSLSLRNNSLGGIIELNCSAMVSLVSLDLATNGFRGLVPDYLPTCQRLQTINLARNSFTGQLPESFKNFHSLSSLSVSNNSMHNIDAALRILQHCKNLSTLVLTLNFRDEELPTDSSLQFSELKALIIANCRLTGVVPQWLRNSSKLQLLDLSWNRLSGTLPPWIGDFQFLFYLDFSNNSFTGEIPKEITRLKSLISGPVSMNEPSPDFPFFLKRNVSVRGLQYNQIFSFPPTLELGNNFLTGAILPEFGNLKRLHVLDLKSNNLSGTIPSSLSGMASVENLDLSHNNLIGSIPSSLVQCSFMSKFSVAYNKLSGEIPTGGQFPTFPTSSFEGNQGLCGEHGSTCRNASQVPRDSVAKGKRRKGTVIGMGIGIGLGTIFLLALMYLIVVRASSRKVVDQEKELDASNRELEDLGSSLVIFFHNKENTKEMCLDDLLKCTDNFDQSNIVGCGGFGLVYKAILRDGRKVAIKRLSGDYGQMEREFQAEVESLSRAQHPNLVHLQGYCKYRTDRLLIYSYMENGSLDYWLHEKVDGPALLDWDLRLQIAQGAARGLAYLHLACEPHILHRDIKSSNILLDENFEAHLADFGLARIIRPYDTHVTTDVVGTLGYIPPEYGQASVATYKGDVYSFGVVLLELLTCKRPMDPCKPRASRDLISWVIQMKKQKRETEVFDPLIYDKQHAKEMLLVLEIACLCLHESPKIRPSSQQLVTWLDNINTPPDVHVF >Solyc09g009790.3.1.1 pep chromosome:SL3.0:9:3264309:3266375:-1 gene:Solyc09g009790.3 transcript:Solyc09g009790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTFSAAAPKALIELKTALFNGFQSFNQLKHIHARLIRAGFDQNNYLLNLLLKFTLNNFNNPNYAKLVFNQAQEPNIFLYNTMIRGLVSNNCFHQSIEFFHGMRNEGFLPNNFTFPFLLKSCTRLSDFELGVKAHGLVVKGGFDYDVFVKTGLVCFYARCGFLDDAHQMFDDIPEKNVVSWTAIITGYIDFGKFKEAIDLFRRSLEMGLSPDSFTLVRVLSACSRVGDVSAGEWIHGYAVEMGIGRNVFVNTGLVDMYAKCGEMAKAREVFDEMVEKDVVSWSAMIQGYAVNGLPKEALEVFYTMQRENVRPDCYSMVGVLSACSRLGALEVGEWASKLMDMNEFLSNAVLGTALIDMYAKCGRMVSAWEIFKQMLVKKDRVIWNAVLSGLAMHGYVKSAFCCFSQVEKLGIKPNGNTFIGLLCACTHAGLVDEGRKYFQSMTHLYSLEPSIEHYGCMVDLLGRAGLLDEAHSLIESMPMKANAVVWGALLSGCRLHRDTKLAEHVLKQLIELEPWNSGNYVHLSNIYASNNKWDDSEKIRSSMNERRMQKIPAYSWIEIDGIVHEFLVGDTYHPISDNIYVKLGELSKELREVGYVPRTEYVLFDIEEEEKEHFVGCHSEKLALAYGLLSTKPGDGIRIIKNLRICGDCHTFFKLVSMITGREIILRDNNRFHCFLEGSCSCGDYW >Solyc12g006800.2.1 pep chromosome:SL3.0:12:1221057:1223953:-1 gene:Solyc12g006800.2 transcript:Solyc12g006800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMASSNSELSLECKPHQSYSMLLKSFGEKNVDQTQNLEEFLTRLEEERVKIDAFKRELPLCMQLLTNAMEASRQQLHSHRENNHIGQMRPVLEEFIPLKNNNASVELGDEKLVANNTSTIVDNKANWMTSAQLWSPPSDHQETKQQVQISTTCNKENDHHNNIGFSIASKLALDNNNSSCPSPTTLALASSNKQNNNETLLQVEDNNKNLEIQQDSQGIGGGTNSTSTSTQQQQPHRKARRCWSPDLHRRFVNALQMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQATTAPPHLVVLGGIWVPPEYAAAAAHGGAPPTATFYGPHSTSHAPSPHYIAAPQALAQEFYNTPQPLQTLHHQQLYHPGSHMYKPSPKAHSHSSPESDARGTGQHGDRSSESIEDGKSESYSSENGGERKVVVHKF >Solyc07g066290.3.1 pep chromosome:SL3.0:7:67888843:67895645:-1 gene:Solyc07g066290.3 transcript:Solyc07g066290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIGLFKQAWMWLQSKKDCYSVVRTAAGCFRDKVGIFNERIWPIVCCGCAILGKLLFILFRYWKDCLVRGCKSFFALGSAALLLIMWSCFLSLTSLSCLVYVLLSMGAAGAAVQYLGCTPGLFIVGLFAILVLWMYANFWITGVLFIVGGYLFSLNHARLVVLMATIYAMYCVKVRVGWLGVCLSINLAFLSNDLVNYLLQWCDNLSEGTHVEDFKESETFTEDDFSTDYESSVPLDEPEKVEKVEKVHACKTFSDAASTSTSSVVTKQIEPPAKPVVREDASSIIEMKRILDSADHYEALGVSRHKKIDTLLLKKEYRKKAMLVHPDKNMGSSLASESFKKLQCGYEVLSDAVKKRDYDEQLRKEESKSVLQKSPSTSNQESSNYCSEESRRIHCTKCGNSHIWICTNRTKVKARWCQDCCQYHQAKDGDGWVEYKGSLVFNRPQKAEIPRAFVCAEGKIFDVSEWAICQGMACRPNTHRPSFHVNMVGLEKSSQRSNSSRYPWDFDAEMTDEDEEFELWLQQALASGLFCETPKRRKTWSPFKLNQMKGKKQWRRSS >Solyc11g071995.1.1.1 pep chromosome:SL3.0:11:55591162:55595127:-1 gene:Solyc11g071995.1 transcript:Solyc11g071995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLAVGGAFLSSALNVLFDRLAPNGDLLNMFRKHQDHVKLLKKLEDILLGLQIVLSDAENKQASNRHVSQWFNKLQSAVEGAENLIEEFNYEALRLKVEGQHQNLAETSNQQVSDLNLCLSDDFFLNIKEKLEETIETLEVLEKQIGRLGLKEHFISTKQDTRTPSTSLLDDSGVFGRQNEIENLIGRLFSMDTKGKNLAVVPIVGMGGLGKTTLAKAAYNDERVQKHFVLKAWFCVSEVYDAFTITKGLLQEIGSFDLKVDHNLNQLQVKLKEKLNGKRFLVVLDDVWNDNYNEWDDLRNIFVQGDIGSKIIVTTRKDSVALMMGNEQISMGNLSTEASWSLFQRHAFENMDPMGHPELEEVGRQIAAKCKGLPLALKTLAGMLRSKSEVEEWKCILRSEIWELQDNDILPALMLSYNDLPAHLKRCFSFCAIFPKDYPFRKEQVIHLWIANGLVPVEDEIIQDLGNQFFFELSSRSLFERVPNPSEGNIKELFLMHDLVNDLAQLASSKLCIRLEESQGSHMLEQCRHLSYSIGVNGEFEKLAPLYKLEQLRTLLPTCISVNYCYHPLTKRVLHNILPTLRSLRALSLSGYGMKELPNDLFIKLKLLRFLDISRTEIKRLPDSICVLYNLETLLLSSCGTLEELPLQMEKLINLRHLDISNTRRLKMPLHLSRLKSLQVLVGAKFFVGGWRMEDLGEAQNLYGSLSVVKLENVVDRREAVKAKMREKNHVEQLLLEWSESSIADNSQTERDILDELRPHKNIKEVKISGYRGTNFPNWVADPSFVKLVNLSLRNCKNCYSLPALGQLPCLKFLSVKGMHGIRVVTEEFYGSLSSEKPFNSLVELEFEDMAEWKQWHALGIGEFPTLEKLLIENCPDLSLETPIQLSSLKRFGVVGCPVVFDDAQLLRSQLEGMKQIVELDIRDCKSVTSFPFSILPTTLKTIQISRCPKLKLEAPVGEMFVEYLSVNDCGCVEDISPEFLPTARKLIITDCQNVTRILIPTATETLTIENCENVEKLSVACGGAAQMTSLIISECKKLKCLPERMQELLPSLKELRLSDCPEIEGELPFNLQKLYISYCKKLVNGRKEWHLQRLTELWIHHDGSDEDIEHWELPSSIQSLTICNLITLSSQHLKSLTSLQYLCFDGNLSQIQSQGQLSSFSHLTSLQTLQIRNLQSLAALALPSSLSHLTILNFPNLQSLSESALPSSLSHLIIDDCPNLQSLSESALPSSLSHLDISNCPNLQSLSESALPSSLSSLTIYDCPNLQSLPVKGMPSSLSELAISKCPLLKPLLEFGKGEYWPNIAHIPSIYIDWERM >Solyc01g080310.3.1 pep chromosome:SL3.0:1:79398679:79404554:1 gene:Solyc01g080310.3 transcript:Solyc01g080310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPPFFVRSLCTRQNFNSPIRNLSIAGTNGRVEGEVAAQNADRSSSVNHQSEQLSFAEIAKDVCKVIRTRPRWEQILLSDFPTVNFTDPRFYTEVLKAQKNIMLSLRFHFWLSSQNGFSRDQFSDEVIFSGLVQAKAASAAKCFRQNMIFVPQPNCLEAYIQCLCENGLIEDALDVFTELRSVGHCPSLRIWNSALSDSIRAGRTDTVWKLYEDMTESGVVADVGTIGHLIQAFCMENNFPDGHQLLRQALEAGHAPSSVAFNKLIYESCKNRDYSRLSSLLHSMIATNCSVDIFTYQHVILGLCETRKMREAFRIFNDLKNRGYAPDMVMYTTMINGLCKMKSVGDARKLWFEMIQKGFNPNEYTYNTLIHGYLTTNRLKEAVSLYKEMCDKGYGENTVTYNTMIHGLCLYGRVGEAHNLFNKMAENGVAHDVVTYTSLIQGFCKNGKINKGLQFLYELLKQGLQPSPASYTVLIEKLCEIGHVSEAKSLWNDMLDRGVKPATSTYDSIILGLIKQGYVTEGLDWLSSMMKSRLRPRRKTFEKLIYHLSQADKLDESLSILDNMLRLVENIGGPMLLKLKSPIAALNMYIMIVPTATDREMPLAPIYSGACDPGRMIQGLERDHRNQNKNC >Solyc02g085660.1.1.1 pep chromosome:SL3.0:2:49127878:49129350:-1 gene:Solyc02g085660.1 transcript:Solyc02g085660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BB77] MGSSKQLLHIGVLSSPGLGHIIPVLNLGNRLATVHNVSVTVFVITSGSSLAETEILKSAHKSKMIDVVEIPAVDISKLIDSNTKTVTQLCMLVRETLCVVKSVIFAMKHLLDALIVDIFTTEALSIAKEFDLPNYVYIPTNAWFTALTVYCPVLDKEIEGQYVDHKEPLKIPGCKPVRPEDVSDPMLDRNNQDYCEYLKVLGIGFCLSDGILMNTWEDAEAGSLKALRENEILKAIVKSPVYPVGPLIRQEQQIIDGDDDGNNSVLNWLDKQMPNSVLYISFGSGGTLSSKQLTELAFGLELSHQKFIWVVRPPSEDGADKSYFTTGDQGKNTPEYLPEGFQTRTKDFGLVIQMWTDQTRILSHPSVGGFLTHCGWNSSIESITNGLPMIAWPLYAEQRQNATMLTEELRVAVGPKVLPTKEVVEREEIEQLVRMVMQYKEGNELKENVKKLKMSAEKALSIGGSSHDSMCQVLRDIEKRSIHGCKNIKV >Solyc05g006580.3.1 pep chromosome:SL3.0:5:1210921:1213885:1 gene:Solyc05g006580.3 transcript:Solyc05g006580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPFSPAIYLFIIISFSFSFLLVSSSPNSSLGLDAIYNGEAEQRDESGIIRRRHLMSFKETPIGTNITYDCSPSGPCVPCSYSEKKDEKYRCSETGYRIPFNCIEIKASTKEVKNKKGKKKRSALEDTYTAVRPHAMKHNEQALTSSVRQRNLLDDSSSSKSGIHTYITYRSCVLSINEEKLSVLGFEVIMLGLLIVSGSTIYFRKRRAGAVSGAGPVRLPTSSRF >Solyc01g067640.3.1 pep chromosome:SL3.0:1:76321748:76327528:1 gene:Solyc01g067640.3 transcript:Solyc01g067640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKEISSSETPSGEVVVGRRRENGEDRDLAAQSGRREKVGTVNKVDGGGEGRGGGSDVGEVQNGRDQKKDDNARPRGERRRSKPNPRLSNPPKNVHGEQVAAGWPSWLSEVAGEAINGWIPRRADAFEKLAKIGQGTYSNVYKARDNLTGNIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVITLQGLVTSRMSCSLYLVFDYMDHDLAGLAASPGVKFTEAQVKCYMHQLLSGLEHCHNRRVLHRDIKGSNLLIDSGGVLKIADFGLASVFDPNKKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSRLPHATIFKPQQSYRRCIAETFKDFPPSSLPLIETLLSIDPAERQTATAALHSAFFTTKPYACEPSILPKYPPSKEMDAKRRDEDARRQRATGKANADGARRNRHRDRAVRAIPAPEANAELQVNIDRRRLITQANAKSKSEKFPPPHQDGTLGYTLGSSHHIDPAYEPSEVPFTSMNFSYSKEPIQTWSGPLVEPATGGAPRRKTKPSKKDSNKKGKESM >Solyc02g090300.3.1 pep chromosome:SL3.0:2:52516027:52523938:1 gene:Solyc02g090300.3 transcript:Solyc02g090300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVVIIVGGLLLAWTLLQWIHWVAISNGSNKKLPPGPIPLPLIGNLHNIVGDQPHKSLARLAQIYGPMMSLRMGQITTVVISSSAVAKQVLQKQDLAFSSRTIPDAICANNHHQFSVVFLPAASRWRSLRKILNSNMFSSNKLDATQHLRSQRMQDFIGYCSKCSQTGESVNIGKAAFETMVNLLSNTIFSKDVVDPYANSGKEFKDVIRSVLEESGKPNFADYFPLLKRIDPQGIRRRTGKHFDKLLHHIMKGLIDERLEKRRKSQNGSRTDFLQVMLNTSEEDPQAIDRDHIQHLCLDLFVAGTDTTSSTLEWAMVEVMRKPYIMNKARSELADVIGKGTIIEEVDIARLPYLQCIVKETLRMHPPVPFLIRKVDQDVEACGYFVPKDSQVLVNVWSIGRDPATWEDPLTFKPERFWNLKMGVLGLDFELIPFGAGRRICPGLTMATTILSTMLGSLLNSFDWKAEGEIAAEDLDVEEKFGITLARSRGLLLGCTLFLCIGWFAISKKCNKKLPPGPYPLPLIGNLHNILGGQPHQSLDKLAQKYGPIISLRLGLKTTVVISSSAVAKQVLQKQDLAFSSRTIPDAIYALNHYQFSVVWLPVANRWRSLRKILNSYIFSASSLDANQHLRSGKIQDLIAYCGGCSQTGEAVNIEQAAFETSMNLLSNTIFSKDVVDPYANSGKVFKDVVSSITEDAGKPNLSDYFPLFKTIDPQGIRRRVGKHFGKLLQQFEGLIDERLEARRKSATIGSTDVLDVLLNTSKEDAQAIDRNHIERLCLDLFVAGTDTTSSTLEWAMVEVMRKPYIMNKAKAELAEVIGQGKAIEEADVARLPYLQCIVKETLRIHPPVPFLIRKVDQDVEACGYFVPKDSQVLVHVWSIGRDPAIWEDPLTFKPERFWSSKMDVRGQDFELIPFGAGRRICPGLPLATRTLSVMLGSLLNSFDWKAEGDIAAEDLDVEEKFGITLARLRPLRAVPIPL >Solyc03g046200.1.1.1 pep chromosome:SL3.0:3:11927870:11930071:-1 gene:Solyc03g046200.1 transcript:Solyc03g046200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKITTRVKTFVTTPFKKRSKHYILPPPQPEPPPPQISPPKSPEMSTQQKQQIRPLLQPFLFPKAKSTVLPDPSQFFAHNLLSTPLPTNSFFQNFVLKNGDQPEYIHPYLIKSSNSSLSICYPPQFRNPSFIYQIFNADLTISMLNNPNPNAPHVISSFSDLSVTLDLPSSNLRFFLVRGCPFVTCNVIVDVALKISTIHAILECSWNATLTKYTIRLNNGQTWILYASSPINLSNDMNNITSNEFSGTIRIVLLPNSDYEAVLDRFSSCYPKSGNAVFNQPFCVEYKWEKAGWGDLLMLAHPLHVQLLSDRSGVILEDFKYNSIDGELVGVVGDSWLLKSDPISVTWHSIKGVKEESCSEIIDALTKDVEDLNSTLISTLLSSSYFYGKLIARAARLALIAEEVCYVDVIPTIRKFLKDTIEPWLDGTFEANGFFYDTKWGGIVTKQGSMDSGADFGFGVYNDHHYHIGYFLYAIAVLVKIDPMWGRKYRPQTYSLMADFMNLSRQETSHYTRLRCFDLWKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVSIGSTLSAMEIHSAQTWWHIKEESNLYVEEYTKNNRVVGVLWSNKRDSGLWFAPPEWKECRLGIQVLPLLPITEVVFSDARFVRDLVQWTTPALARRGVGEGWKGFVYALEGMYDKTSALEKTRRLTSYDDGNSRTNLMWWIHTRGDEAEECDRGNNFCWFRHYSH >Solyc02g088840.3.1 pep chromosome:SL3.0:2:51430376:51433048:1 gene:Solyc02g088840.3 transcript:Solyc02g088840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMENWSSGDNKPSMQQPEKKHDDTKPLMKVKTSGLSPSSSPLSSPTSSESSSLELSNSRKDPLGDADASLSSPDHVPNQTPQWSMISASPRGEEPPQFPDFFPQNPEWNVANPGPMKSPPTHNNNMGHPPGYDPNRIPSSIFSSKPNSSGMEWSTASNESLFSIHMGNNSFSRDQFNMMYRSGELLKPEEWSNSPYNNAPDVKSNDKKNLPPNLPPLVEMAKDKEVKSETKMESPRMQEKIIESENHENNIKVNKTSNVDEVQHSPSALNKSDELLHSASTLNKSDGKAVSQFEASYASSPRLSNESGNSSSSFAFPLLLNDAGKTGSLKAPSAKMERPQPQPQHQHQPQPHPHPQPQPQPQPKYEPDSEPQMQPQSPQQSESYTKSESKQAESLPKLGATSWFSCFSCWPRCC >Solyc06g084487.1.1 pep chromosome:SL3.0:6:49627009:49628591:1 gene:Solyc06g084487.1 transcript:Solyc06g084487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASTISAAPSLSPDITPLLSDSSLPTIPSTLSPPNPDAMVAPGPFQHQFLVQFCSLVSLNIFCLGPEISLSNLESLIARSPNLNTLRLSQAVPLEKLPHILSCASQLVEFSTGAYSSDLHSHVFSNLQQAFSGCNILQGLSGFCISILIPVTDPYVYHWE >Solyc06g071250.3.1 pep chromosome:SL3.0:6:43975583:43983368:1 gene:Solyc06g071250.3 transcript:Solyc06g071250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRD >Solyc03g117245.1.1 pep chromosome:SL3.0:3:67920406:67920586:-1 gene:Solyc03g117245.1 transcript:Solyc03g117245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEQIFKISKEFKQEVFDKVKQLVDVAGHEYFSYLGQKTQMEAAN >Solyc10g018357.1.1 pep chromosome:SL3.0:10:7588261:7593073:-1 gene:Solyc10g018357.1 transcript:Solyc10g018357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMFTWLIHALSNSVDSKLDVRTEYPGVSPELNFFLKDMGRIELSTVTDEEALEAYKRLCRLEGIIQGLEASHAIAFLDKLCYILKNGEKVIINLSGHGDAVFVFNHTPNHE >Solyc08g068712.1.1 pep chromosome:SL3.0:8:57915623:57915966:-1 gene:Solyc08g068712.1 transcript:Solyc08g068712.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAPQLPTPSEVTITGNIYIRVRLATKSDLSHIYQLFYQIHVYHNYTHLYKATQSSLEGLLFKENPLPLFYGPSVLLLEVSPTTFNEPKRRVQACSYNI >Solyc06g060570.3.1 pep chromosome:SL3.0:6:38690312:38693232:1 gene:Solyc06g060570.3 transcript:Solyc06g060570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIEEKKMKILCLHGFRTSGNFLKKQLSKWDPSIFANSEMDFPDGIFAAKGKSDIEGIFPPPYFEWFQYNEDFTEYENLEECITYLCEYITSKGPFDGLLGFSQGATLSGLLLGYMEQGEILKEHPPMKLFVSISGAKFRDPNICNIAYKDMMKVKSVHFIGEKDWLKLPSQELTTDFENPIIIRHPQGHTVPRIDEAAVETLKSWTREVALSSNESCVGGGPNEACKHVNIENGVEQGKKLEKPNEVEIQKAQE >Solyc02g005427.1.1 pep chromosome:SL3.0:2:8787742:8788014:1 gene:Solyc02g005427.1 transcript:Solyc02g005427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVSLGLYNIGECWINITKLWRIYNSSSKTHSGRAWRAYARVLFYKTSLSKIIVPSGWDAWSYKGHE >Solyc03g119400.3.1 pep chromosome:SL3.0:3:69512051:69517599:1 gene:Solyc03g119400.3 transcript:Solyc03g119400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKTRTMLEGLVKEGSFKWLTKTPSSCDEDSDEMGSSSTGKSWLPELSPAANVVIRKCSKIFGISMSQLQENFEAEASESIKHKSQYARNFLEYCCFRALALSIQVNGYLGDKQFRRLTFDMMVAWEFPAASSQPFASMDEDVTAGLEAFSRIASAVPIISNAIVTDSIFGVLTSSTGGRLLFSVYDKYLTYLERAIKKFKNQSESSHLSALRTTRGEKILELDGTVTTQPVLEHVGISAWPGRLTLTDHALYFEAHRVVSYDKPKLYDLSDDLNQIVRPELTGPWGTRLFDKAVSYKSVSLSEPVIMEFPELKGHTRRDYWLAIIREVLHVHQFILKFQITGIERDEALLKAIFGILRVQALKDMSSKNSLCYEDLLMFNVCDQLPGGDLILETIADRSTVRALERTNSPKPKNRMYSISASALASNLGLVFGTSSHVPNEPGIVVGEISVGEMTPLEKAVKESRSNYRKVVQAQATVDGVKVDGLDTNLAVMKELLSPMMQLGNWILLLAYWEDPLKSMIFCSVFSFIIVRGWLCYAFALLLTFFAVFLVINRCLSQGKTVDELKVMVPPSMNAMEQLLAVQNAISQAEELIQDGNIVLLKFRALLLSIFPQATEKLVGVFLVSALVLAFLPLKYIILLTFLELFTRYSPIRKASTEKWSRRLREWWFSIPAAPVVLEKAKEDKKKR >Solyc01g067460.2.1.1 pep chromosome:SL3.0:1:75968845:75974052:1 gene:Solyc01g067460.2 transcript:Solyc01g067460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSRMVSEKPVVIFSKNSCCMSHTIKSLFCDLGVHPSVHELDEMQRGREIEAALSRLGCNPTVPAVFIGGELVGGENEVMSLHLQRSLKPMLKRAGALWV >Solyc01g108610.3.1 pep chromosome:SL3.0:1:95762278:95767093:1 gene:Solyc01g108610.3 transcript:Solyc01g108610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATMGEFLKKCEKINGGMKVTELGNGGLRIRDNDVEEEVTSNCSMSYSTKRRKFGSVTDVDFSENSASPATSVTSVHIPTNSQCSSCYESGEVMMKSILKSLDLKAEKFGTDNSASFNGGFSENFKPIDQPNPPSEHCGDSEDMESSSTTTKKSSSSASAPRKQLSASKVPPEAEIEEFFSAAEKREQKRFAEKYNYDIVKDAPLEGRYQWVSLKPRRINE >Solyc05g051640.3.1 pep chromosome:SL3.0:5:62878736:62884720:1 gene:Solyc05g051640.3 transcript:Solyc05g051640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHFFLTTIFFFLIQTSLVFSTSNFPLQLISLLSLKSSFQDPNNTFQDWNPTSIFSNYGSQPLWCSWSGIKCDTKTSHITSLNLSKRNLSGKIPQNIISLIHLHHLNLSGNSFDGPLPSFLFEFPFLRSLDISHNYFNSTFPLDGLTSLKSLVHLNAYSNSFTGALPVKLVEVQNLEYLNLGGSYFTGEIPKSYGKFNKLKFLHLAGNSLSGKIPEELRLLNSLQHLEIGYNNYTGNIPPGFSSLSNLTYLDISQANLSGEIPIQLGNLTKIESLFLFKNHFIGTIPSSFSKLTLLKSLDLSDNHLSGNIPSGFSELKELVMLYLMNNNLSGEIPEGIGELPNLELLALWNNSITGILPRMLGSNAKLQKLDVSSNNLSGPIPQKLCLSNNLVKLILFSNEFTGEIPSSLTNCNGLSRLRVQDNKLNGSIPSGFGFLPNFTYIDISKNKFSGQIPKDFGNAPKMMYLNISENIFDSNLPDNIWNAPNLQIFSASYSGLIGNLPDFKRCQSLYKIELEGNNLNGSIPWDIEHCEKLISLNLRRNSFTGIIPWEISGIPSITDVDLSHNFLTGTIPSNFEKSSTLEHFNVSYNQLTGPLPSSGSMFSTFHPSSFVGNQGLCGTIIHNPCRTDEFKDGKTDFSNHSKKTDGALIVWIASAAFGVVIVILIVVIRCFHSNYNRRFPCDKEIGPWKLTAFKRLNFTADDILESIAMTDKIIGMGSAGTVYKAEMPSGDIIAVKKLWAGKQHKETTRKRRGVLAEVDVLGNVRHRNIVRLLGCCSNNECTMLLYEYMPNGSLDDLLHDKNKDANLVGDWFTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIECDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEILSGKRSVDSEFGDGNSIVDWVRSKMKIKNGVTDILDKNIGASCPRVREEMMLMLRVALLCTSRNPADRPSMRDVVSMLQEAKPKRKLPGSGGDNSIGLVCLAQ >Solyc05g005320.1.1.1 pep chromosome:SL3.0:5:250445:250924:-1 gene:Solyc05g005320.1 transcript:Solyc05g005320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLQPQQPVQVYPNNPNNFPNQPNNMPMTQSSSSHNSSNGSFVSVFVVLAIVFVISVIACVVGRLCSKKSNGANKVKKSSHGQPQKQKEGKHNNNNKHNEFHNKRGGGDIEFGFDKKIASSKVAAAHGDNHYKGSKPHKNTNGGVRFSDNHIDFKLGP >Solyc06g063390.3.1 pep chromosome:SL3.0:6:40172071:40173205:-1 gene:Solyc06g063390.3 transcript:Solyc06g063390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDVNSPLFRSFLSQKGGTSDKRKTTEEQKPKEHKPKASDNKPVMNE >Solyc09g005220.2.1 pep chromosome:SL3.0:9:173337:174436:-1 gene:Solyc09g005220.2 transcript:Solyc09g005220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDPKCIALSIALRSSIIWMLRLWRLRRVSFMFVELEKSNKLSYFGFVCVTLFAVHSAGCFYYFLAARINDISKTWLSLGNLHDRSIWSPYVMSMDWSVTTLTTTGYGDLHAVATEEMMGCYSSFAQKNNLPVRLEEQMLDHLSMMHRTDTVDKAYLFHGVSHDVLFQLVSEMKAEYFPPKEDIILQNEASTDLYILVNGAVDLISHRNGMDQAGDVCGEVGIVCCKPQLLTVRTKRISQLLRLDRTSFFNMVKEIQYKRYLISVLRNSTSLSLKY >Solyc06g063160.1.1.1 pep chromosome:SL3.0:6:40013995:40014249:1 gene:Solyc06g063160.1 transcript:Solyc06g063160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWWRPEGGTRSPKESGLTAAYIDQKGRPRRGTSIIYSSMTCYITLGLSTSRRISVPLGCERSVKFTGGPLLHFRSRCPCLE >Solyc03g078170.1.1 pep chromosome:SL3.0:3:51575015:51575871:-1 gene:Solyc03g078170.1 transcript:Solyc03g078170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDLLCVLFNEVEMEAVRPIPLNIRPQRDTWMWKLDNKALYSMKRCTRRFVAWFEEQRKSNAKMKMEEAVMILWSLWEARNNLCWNNKQSAPAATLYSAKKELKEWRSANSGAEMTVVAATKMVAKWEQPLLNTIKCNIDASYEINTGLAGIGMVLRDHLGQFIARKFLILCRVASPLLAEIIGVHEALS >Solyc01g057854.1.1 pep chromosome:SL3.0:1:64114932:64116563:1 gene:Solyc01g057854.1 transcript:Solyc01g057854.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQCRARGQIHRCCMALELNCSSRQAFVMRVNGSTLRFTLREFALISGSNCVNEETDFIFDQSEPNRFMEKYFEGTVIFSGERATKKVPRLYFDLIESERYSQFPWGKKAFYLLMKSLSKRLNGEKQFYRIREMPIIFQVWLFECSSSIDFQVVDHIPRLPNWQTTNESRRYKKLMNTIFSDVNNKIKFRNITPNQREHAVLQLPPEGIENQAPPQYSDSSDDDLDDEIIDTNDYPREGSCDDKDSEDDFQAPPPQVVKVKQEFVEIRNSINDNFKTVLAAVNSTRDEHAPEHSDDHIVPNDEDGYTPPIKNLHQIKFL >Solyc01g020408.1.1.1 pep chromosome:SL3.0:1:30369175:30369495:1 gene:Solyc01g020408.1 transcript:Solyc01g020408.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVVDYPKKHSVEGVIEDQLKNFDRDFLKICQSKLFDVLIATNFLDDKRLEEVIIQEFADRIKGIQ >Solyc04g015240.2.1.1 pep chromosome:SL3.0:4:5454876:5455749:1 gene:Solyc04g015240.2 transcript:Solyc04g015240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVYIRTSKKPKDLVVKIVKEVAPSNSEELINDKDEEQLAHIIHGFLIVLDDVWDSQVVDFVEKAFSNNKSQPRGGRIMLTTRQQRVAEAVSAHPHNLENMSKGDSF >Solyc03g113990.3.1 pep chromosome:SL3.0:3:65522316:65528081:-1 gene:Solyc03g113990.3 transcript:Solyc03g113990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SILLRHFFLHCQNMQMAFRAASSPVFRRANISLFSLPPYITTKSPYSKFLPNPNPNLLNQHGPRYSIRAISGTTVAPNKDINEEKSPENWKIKMLYDGECPLCMREVDMLRERNKSYGTIKFLDISSDEYRPDENEGLDYETVMGRIHAILSDGTVVTDVEAFRRLYEAVGLGWVYAITKYEPIATIADAVYGVWAKYRLQVTGRPSLEEVLKARRKKEEMCKDSKACKM >Solyc01g099350.3.1 pep chromosome:SL3.0:1:89506518:89519966:1 gene:Solyc01g099350.3 transcript:Solyc01g099350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMARVSSGLAYPERFYAAASYAGFDGSSDSSTKGVSSKFSNDAALLLYALYQQATVGPCKIPKPRSWSPVEQSKWTSWNGLGNMVSTEAMRLFVKILEEEDPGWYSRASNFVSEPAVQGEKNNETITEPVTENGNVLPEIKTIPAEKGSLSEPQDKDVVSEGSGAVGVYDQWVSPPISGPRPKPRYEHGAAVIDDKMYIFGGNHNGRYLSDLQALDLRSWTWSKIEVKSSGEASQAPVAPFAGHSLIPWGGNKLISIGGHTKDPSETMQVKVFDLQTHTWSNLKTYGKPPLSRGGHSVTLTGTSLVIFGGQDANRSLLNDLHILDLETMTWDEMDTLGVAPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWSTPTQQGEIPSPRAGHAGVTVGENWFITGGGNNKSGVSETVVLNMSTLGWSTVTTVQGRVPLASEGLSLVLCSYNGEDILVSFGGYNGRYSNEVNVLKPSHRSTLQSMETPVPDSVSAMQNATNATRDLESDIATVQEGKIREIVVDNIESEPMVNKVEETSERLLAALKAEKEDLESSLSKEKLQTLQLKQDLTDAEARNTDLYKELQSVRGQLASEQSRCFKLEVDVAELRQKLQTLESLKKELELLQRQKAASEQALNEKRRQSSGGVWGWIAGTPPNQQDDA >Solyc09g008440.1.1.1 pep chromosome:SL3.0:9:1894247:1895179:1 gene:Solyc09g008440.1 transcript:Solyc09g008440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKIKKCYTMEVHDDRGCDQDQQLNMVIKRRRTKRPRPPSPLTLGITTSSCSTVEGASSGELVDGHVANSLLRSNNCGGMIDDKIVRNTNQEEEDMANCLILLAQGHNFQKSSSLSQSPTLDVYQCKTCNRSFPSFQALGGHRASHKKPKTLEDQIKNLKSNDHHHHQQAENNIRLKLNNNDDHVTTLSLQIPNNSNNNSNNKNKNRVHECSICGAEFNSGQALGGHMRRHRPLPNSIAITSNHHIHEESHHHHHHDQQIIKNNTRAFLSLDLNLPAPEDDHRPENSKFTFATKEQVIVFSASSLVDCHY >Solyc01g008471.1.1 pep chromosome:SL3.0:1:2489946:2490612:1 gene:Solyc01g008471.1 transcript:Solyc01g008471.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFSVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLDDPDSDVKNAKFFRGRPSRPCLCIRLAITAFPTHLEDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLDDPNFGVKNAKFFRGRPSRPCLCIRLAITTFLTHLEGQTSP >Solyc07g006580.3.1 pep chromosome:SL3.0:7:1387336:1406636:1 gene:Solyc07g006580.3 transcript:Solyc07g006580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:K4CBC9] MGSPELTTTDLSTSRNTAASGRSSVIDSIWGCTGSTIQKDDLRKRITIPEYLRVAMREAIQNKDVDSVMRHYDMANAAGAEPPQPSESPIVVFINAKSGGRHGPELKARLQDLMGEEQVFDLSTVKPDEFVQYGLSCLEKFAALGDTCAKETREKIRIVAAGGDGTVGWVLGCLGKLKRQGRDPVPPTGIIPLGTGNDLSRSFGWGGSFPFNWKTATKRILDRVANGPICHLDSWNLVISMPAGEALETPYSLKTSDSASLDQELEIDGELPEKSSYYQGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPLSNKLIYSGYSCKQGWFFAPCSSDPGLRGLNNILRLYVKKVNSSKWEQVPIPSSVRSIVTLNLPSYGSGRNPWGNLKPEYLEKKGFVEAHADDGYVEIFGLKHGWHASMVMVELISAKHIAQASAMRFELRGGEWKEAYMQVDGEPWKQPMNKEFSTFVEIKKVPFQSTMVHGE >Solyc02g087590.2.1 pep chromosome:SL3.0:2:50612260:50613290:-1 gene:Solyc02g087590.2 transcript:Solyc02g087590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRFPSLLKSLNHERIIKCYHYWVDSESKILNMITELFSSGSLKKYIHNGVGVDLVSIKNWGRQILQGLCFLHSQSPKIIHRDIKCDNVFVASDGKQFGLAVCIMDGDFVKEKEPKCTPEFMAPECYNGEYNELVDAYSFGMCLLEMVTGEYPYMECRNQMHTFKKVYTGVKPVSLGNVKDYRVRDIIEKCILPMSVRPSAEELLKDPFFLYNGGSSTFETCAPVDNILTSHAMNIRHLYLV >Solyc11g068660.2.1 pep chromosome:SL3.0:11:53592660:53599165:1 gene:Solyc11g068660.2 transcript:Solyc11g068660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSAGASSSSSSNQNRSSTSIPGSSSSSAPTQPQASSSSQVLSALKDDDFVEEIQEQVALEESSVASSNYKGDGELLESLGSEESNNEEKIVDNEKRNSGFDSEDLTRKCDGLGVVEEENEESSVQRLARSSCPPPPPVPPPKPASLNSSPRRSLTGSSHAIRIGSSRGTAGRSTVSTRTSPAGSRPSSPRSHCESEGYNSADEQNPNFGSSYDDVERERQFEMDLRRAKGLEVKKMLEDGNCLFRAVADQVYGDSEAYDLVRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINTFHGSYNTDSPPVRLSFHHGNHYNSLVDPRRLTVGAGLGFSSLQGRNVDKDQVKAAIKAQQDQQIDNALLAEGRFYSDLELTEKEIERMVVEASRAEYVANDKFRQQLGCRETSTSNAEPSSSGARSSGSDTHQEGIREVLSDCIQIMLSMGFSYARVIEAYSIFGDDVDSMVCYLIETSNSSRRKGKATE >Solyc09g031730.1.1.1 pep chromosome:SL3.0:9:28189480:28189725:-1 gene:Solyc09g031730.1 transcript:Solyc09g031730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVVGILGVALLCAIHGATVQNTLFENSDGANTFRAFNPTQAEETYSIVIANRFWSQIFGVVFSNRLDKGFFPHHGLWSI >Solyc02g093750.3.1 pep chromosome:SL3.0:2:55119195:55121741:1 gene:Solyc02g093750.3 transcript:Solyc02g093750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIPIKHRKKHVAVRRSFFWCILCFAAFTFSSLLFQGFHQWRPATTTALTAVKPIIQTVEFPDETVVFLKYPPSTPLFTKHDLYCLYLDPNSSQPPESVENDELLAQQLVRCPLVKPRGVLTSLFVKSTGYTLSIGPSRRWNSLAYEAMIDRDNTTIVFVKGFNLRGGRQSHASKFKCVYGWDVKNPKLVLQSDVVSIAQEVVRCNTPVSILNNPERFIGTSNMPIKVSVRMVGKEPLDSIASPKRRLQPDFPAQKQHNMCVCTMLRNQASFLKEWIMYHTRIGVQRWFIYDNNSLDDIDDVIKLLSNINVTRHVWPWIKTQEAGFAHCALRARDVCEWVGFMDVDEFFHLPTGMSLLDILRNQSRSNSKVAELRVSCHNFGPSGLKHVPTQGVTMGYNCRMIAPERHKSIVKPEALNSTLINVVHHFHLKSEFRYANMDRNVLVINHYKYQVWDVFKEKFYRRVATYVSDWQQQRNVGSRDRAPGLGTRAVEPPDWSSRFCEVTDTGLKDRVAEMFTDPNTGKLPWQKSLT >Solyc11g007540.2.1 pep chromosome:SL3.0:11:1793420:1795404:-1 gene:Solyc11g007540.2 transcript:Solyc11g007540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFIFTISAIFLSLFIFILTLKPKSKTLNLPPGPPGWPVVGNLFQVAGSGKQFFQYIRDLKPKYDSIFTLKMGSRTMIIVTSADLAHEALIEKGQIFASRPKENPTRTIFSCNKFTVNAAVYGAVWRSLRRNMVQNMLSPIRLKEFRDCRETAMEKLIERIRVDAKENNDVVWVLKNARFAVFYILLAMCFGVGMDDEEMIERVDQMMKDVLIVLDPRIDDFLPILRLFVGYKQRKRVNDVRKRQIETLVPLIEKRRRVLQNPGSDKTATSFSYLDTLFDVKIEGRKSGPTNAELVTLCSEFLNGGTDTTATALEWAIGRLIENPSIQEKIYKEIKTVVGDKKVDENDIEKMPYLNAVIKELLRKHPPTYFTLTHTVTEPVKLGGYDIPMDTNVEFFVHGISHDPNIWSDPDKFDPDRFLSGREDADITGVKAVKMMPFGVGRRICPGLGMATVHLNLMLARMVQEFEWLAYPGNSEVDFSEKLEFTVVMKNPLRAKVKPRT >Solyc06g072193.1.1.1 pep chromosome:SL3.0:6:44638333:44638797:1 gene:Solyc06g072193.1 transcript:Solyc06g072193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLINEIDSIVMETQQITKQFQKGDEVEVTSHKLGFVGSYYEATIVSIEDANHYRVKYKTLLTDDQSAPLEELVPIGHVRPVSSHQLGTTSENRFRQYDKVDVFSSDGWWFGFISGIIEENYYVHFPTTGQEVAYPSHALRFHQEWSNDDWEA >Solyc09g010750.2.1 pep chromosome:SL3.0:9:4054676:4055630:1 gene:Solyc09g010750.2 transcript:Solyc09g010750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAIQVVLSLYASGRTTAGIVLDSGDGVSHTVPISEGYALPHVDLAGRDLTEYMVKILTERGYSFTTSAEKEIELETTKTGSAVEKNYELPDEQVITIGAERFRCPKVLYQPSLIRMEVAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEI >Solyc07g066460.3.1 pep chromosome:SL3.0:7:68015474:68019243:-1 gene:Solyc07g066460.3 transcript:Solyc07g066460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHSCIHPLPSTPSTGILVSAGPAEAKLAANTRKLYSLTLNSSNYVPFPYKDQKFSRSSSTDFSRTAIGSNVSEDTDDMFDDLLRTHGKVVYKRNDQKPASEEIDDDAESLSFAMAVAKVASDVKAGDIKVLFVKPLVYWTRFFIIATAFSRPQIDAIGTRVRDMAEEQYGRVASGDSKPNSWTLLDFGDVVVHLFLPPQREYYNLEEFYGNAASIELPFENQQQLRGPTGY >Solyc11g005870.2.1 pep chromosome:SL3.0:11:691386:702815:-1 gene:Solyc11g005870.2 transcript:Solyc11g005870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGHTQYRTTRRTSNFSITLYIFLFFVLSMFVFFYYTKDIVEDEQKLVLASEKKEEPEFDENEDNSVWEASKSHGLHPCIKPTAKYKAALGWKRYLTVKSNGGLNQMRTGIADMVAVAHVMNATLVIPQLDKRSFWQDSSTFSDIFDENHFIKALRGDLMIIKELPKEIESLPRARKHFTSWSGVGYYEEMTQLWKEHQVIHVAKSDSRLANNELPLDIQRLRCRALYSALRFSPPIERLGKKLVEQLRTRAKRYVALHLRYEKDMLSFTGCTYGLTDAEAEELRVMREKTNHWKIKVINSTEQRIGGFCPLTPKEVGLFLQALGYPPSTFIYIAAGEIYGGNTRLSELKSYFPNVVFKEMLATPELREFANHASQAAALDYLISVESDVFIPSHSGNMARAVEGHRRFLGHRKTITPDRKGLVEIFDQLEAGQLDGSSFSHLVRHLHKNRQGAPRKRAGAPPGVRGRARSRLEESFYQNPYPECICSSRE >Solyc06g071080.3.1 pep chromosome:SL3.0:6:43806975:43809716:1 gene:Solyc06g071080.3 transcript:Solyc06g071080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIDREATKNEINYRGIRAMPFVIGNETFEKLGTIGSSSNLLVYLTSVFHLKSITATNIVNIFNGTCNFGTLLGAFLSDTLVGMLVLTLTAAVTKLHPPECGNQEPAPICVGPTPGQMIFLLVGFCLLVIGASGIRPCNLAFGADQFDPKTESGRRGISTILTIMRFLSCALFFVGTKIYVRLVPKGSPLSTVAQVLVAAIKKRHLQLPEQPCYSLFNHVPSNSLTSLLPYTDQFRFLSKAAVKTPEDQINSSDGSAVNPWRLCSIQQVEEVKCLLRVIPIWVAGTIYYVSVVQSQNYVIFQALQSDNQLGHNNFQHLS >Solyc05g008595.1.1 pep chromosome:SL3.0:5:2914575:2916841:1 gene:Solyc05g008595.1 transcript:Solyc05g008595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWSDLDYPRRCHSYPRIIKSMGVTGDYPYLSLFSFGIDTCKAESPLIELTRTSYSVLLNTSSSSVPFWLIILPPKAGLGYRKGAALHSD >Solyc03g096093.1.1 pep chromosome:SL3.0:3:59372706:59384361:-1 gene:Solyc03g096093.1 transcript:Solyc03g096093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERAQGYRPKRLGVHRGAAISHRGSEGDGIPFIHRGDKGHLKDDCYMDQVTSSLSTNIKNYLGSRITQTTKCVSNMVGIHSSLSNVFAYGWIVDSEALSPYYTLFNQDLFFVSVKGKHDIIMNIQSHGMESQKEAGPTYDVEDQPQPLPNLAKNIQNDTLPDPTLLPDKKQGSNVVIVLIYVDNLLITGNNQDLMYAAQQYLHSKFTIKDLGLLIYFLGIEVMRSKHGALINQSKYTLEVIYDVGLSESKPAPIPFEANIKLTSVAYDDHLGNVTDDPLLEDISAYQRLVGNLIYLTITTPDICFVVQLLSETNQSVLPGKQSYSGAKTLKLAPIQNIESIMQMKANHHLPRTLAGDRAPLSLLMSSSISLPSMHTTLLLTLTSELALLLVASGESGTLIDLLVLPLVGGPDLTLYIEDAGILVIKGRRLPETLCLISCPRAMSHTISKDFFDNDLPKPELSGDEDFADKLLCGECLSSCFGGTSFRIFITLSTILVPTVPGLTLSLALA >Solyc12g042060.2.1 pep chromosome:SL3.0:12:58017306:58021674:1 gene:Solyc12g042060.2 transcript:Solyc12g042060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVQSTSIPSSVAGERTTKFNGSGKTKRAVTMLCNAQSSSLTLRDFTGLRGCNAIDTLVRSGQTLQSKVAAATYVRRPRGCRFVPKAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQASSRSHMLPLGSLILCFCGKLDFLVSS >Solyc08g075630.3.1 pep chromosome:SL3.0:8:59886646:59890150:1 gene:Solyc08g075630.3 transcript:Solyc08g075630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFLQIMLENLTCFIQGELGLILGFKDEFEKLQSTFTTIQAVVQDAQLKQLKDKAIENWLQKLNGAAYEADDILDECKTEAPIIQKKNKYGCYHPNVITFRHKIGKRMKKIMEKLDAIAAERIKFHLDERTIERQVATRQTGFVLNEPQVYGRDKDKDEIVKILINNAQTLSVLPILGMGGLGKTTLAQMVFNDQRVIEHFHPKIWICVSEDFNEKRLIKEIVESIEEKSLGDMDLAPLQKKLQDLLNGKKYLLVLDDVWNEDQDKWAKLRQVLKAGASGAYVLTTTRLEKVGSIMGTLQPYELSNLSQEDCWLLFMQRAFGHQEEMNLNLVAIGKVIVKKCGGVPLAAKTLGGILRFKREERQWEHVRDIFPKDTKMEKENLISLWMAHSFLLSKGNLELEDVGNEVWNELYLRSFFQEIEVKYDQTYFKMHDLIHDLATSLFSASTSSSNIREINVEGYLHMMSIGFAKVVSSYSPPHLQKFVSLRVLNLSSMGLKQLPSSIGDLLCKLQNLQTLNVEYCWSLCCLPKETSKLGSLRNLLLDGCDGLDSMPPRIGSLTCLKTLSFFVIGERKDSLLGELRNLNLYGSIEITHLERVKNDRDAKEANLSAKENLHSLSMTWKGRHRYESEEVEVLEALKPHSNVTGLTITGFRGFRLPKWMNHSVLKNVVSIAIRGCENCSCLPPFGDLPCLESLELGDGSAELEYVEDSGFPTRRRFPSLRKLIIVNFDNLKGLLKEAGEEQFPVLEEMTISWCPVLVIPTLSSVKKLVVNRNMSDAIGLRSIYNLRALTSLNISHNLTATSLPEEMFKSLANLKYLEISFIFNLKELPNSLASLNALKHLKIEYCDALESLPEEGVKGLTSLTELSITNCKRLKCLPEGLQHLTNLSVRECPTLAKRCEKGIGQDWYKIAHIPHLLITNEM >Solyc02g088090.1.1.1 pep chromosome:SL3.0:2:50916677:50917222:1 gene:Solyc02g088090.1 transcript:Solyc02g088090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASNYLNRFPFKKFPVTTIPVPLLIHGAVGFFFLYIIFDCARRLFTFLSFAETQVCEKHDTPKKLSEKLVDGSLNREEVEMVMANLGIFANPEGVKIQERLDIFELFGEELEAKEQEVREAFDVFDENKDGFIDERDLQRVLCALGLKGVAELDNCKKMIMAFDENGDGRIDFQEFVKML >Solyc03g026370.1.1.1 pep chromosome:SL3.0:3:3831103:3831438:1 gene:Solyc03g026370.1 transcript:Solyc03g026370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFVLVSGKSSKAQLVAIADAASFYCAIFVLGLLLISSVKENYSTDGAVMKGNRFLSRPCDEIYVVGEGETLHTISDKCGDPFIVEENPHIHDPDDVFPGLVIKITPVNPS >Solyc10g005025.1.1 pep chromosome:SL3.0:10:34056:38508:1 gene:Solyc10g005025.1 transcript:Solyc10g005025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGNTSKNGKQFQKSNPSASGSGSKPNMGYPKINSYQQGQQAPRYPRQNLRFKGKKKYNPNVSCDHCGKTGHVMDDCYRLIGFPEDFNFTNDKNYSTQVRGNGAIMEQDEPSYYVDQARLHMSKEQFAQFIQVMKQMKLPESTSKDPGPEINANAVAGWEGVKENQNE >Solyc02g014610.1.1 pep chromosome:SL3.0:2:18028468:18029950:1 gene:Solyc02g014610.1 transcript:Solyc02g014610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVPVSWVAHLSLHLLCYRGFWGDQVIALQDPRARVAPLGCHASGFGIQGGAQKESRLRLCKPLWRLGCSASSSRIMVYAQVDAPQAQGAWVVHSKLYDSRSGCLGGVQKGVVTQVLGDCVVLKALRLIIKEPRWPAQRVSPHVPRAYDALKMIREHGRIAHASRLKPREPWWFAHGVVTQAPLHLLAHIGSRSSSSLCLIQALRETLLNLR >Solyc10g009490.2.1 pep chromosome:SL3.0:10:3612670:3623999:-1 gene:Solyc10g009490.2 transcript:Solyc10g009490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEGWAEPSGLLPNGLLPNAEPMIRVLDSERWSRAEERTDELIVCIQPNRPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSNNQTLKDTWAYQVRDMLEEEEKNENAEFRVKEVQYIQAEVKLIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLVLYIFHMFNNSFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPVPISSLPDVTAEPPRKDSGELLLSKLFLDACSSVYAVFPAGQENQGQPFMSKHFNVIDPLRVNNNLGRSVSKGNFYRIRSAFGFGAKRLARLLDCPEENVIHEVNQFFMNTWDRHGSGQRPDAPGAELCPRLASLDDLPDSEYLRVNSGEKKVNEKSSGHGVEVEGTGSRIVSSQHGNHLAGSFSRMNDSAESSYTESQKSYGNLSTSRGSDQTKKEVTSTQVVRSDKSHRNLRSDQTVNETQGKFVFARTRSSPELTDTYGEVTSQGKHGNTPETAKMQPTPLRQDGRNWRKNQGSENLASQSGRSLNNDASSIRHFPSHQSLDAVADSNSRSNSFNQDAGLDAPNEEFSFTGGTQGMHQDEQDLVNLMASTSLHSFNGQVHLPFNWASAQLPFPISPSVLASMGYNQRNFPGLVSANFPVIDPASSNMQFPHGMIAPHLNHYIPGLGLSPSSEDTIDRNSENFSSMDMNSGEVIKDIWHEPDAGSTVEFDPENGNYEAPQCDHKPHAIQSGFDFVPSSWVSSSSTRAQQKHTKEKRGPIKEEHSDDIQFQDNRMRDVYAEERWASSRFSTTAHSSSVRSKTSSESSWDGSSSKSTKSTRGRRGNKTGAAEPTTGYGKGKMMSDHISNHAEEDDQDWNSVSTLGTEMAEGSQVPQSVISMHIARHHLPEYEGAQPSGSDSIMPIAPMLIGPGSRQRMTDNSGVFAFYPTGPPVPFLTMLPVYPDASTSHFGREECFDNRDLGHNLDLSEGLDHTENLNTSHAIRGATSIEASGGHRSDILNSDFASHWQNLQYGRFCQNPRHPGPLVYPSPVMVPPAYLQGRFPWDGPGRPSSANMNLFTQLMNYGPRVLPISPLQSVANRPPNMFQQYVDDIPRYRSGTGTYLPNPKASVRDRHAPGTRRGSYNHDRNDNYGEGNWNANSKSRAGGRNYNRSQSEKVNSRLDRPVSSESRTDRSWSSSHRHDSFPSYQSQNGPLHANSSPSVPPNMVYGMYPLSSMNPSAASSNGPGGPPVVMFYPYDHNSTYNNSHGEQLEFGSMGPVGFSGTNEQAQPGDGSRPKGAIEEQRFHAVSGQRSSPDQPSSPHYQRKKTEKW >Solyc03g044160.2.1 pep chromosome:SL3.0:3:8295260:8299814:1 gene:Solyc03g044160.2 transcript:Solyc03g044160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNAFVLNCINTFAADPHHSDSVKDHTTTAASAGIYQTARKFTKSGSYNLPIDECGVYMVRLHFFAFFSLLDAEFSVSAAGFLLLSNFSIPRNTTSPVIKEFLVPVLRGRNLEIIFRPDSSFAFVNAIEAFVTPQGFISNFNDTTHVTSSNNDLSSTVLNVIHRINVGGSDITDDTDTMRRNWVADDDYLLIKRLATNHSIYSNRPKYDQERGATQYDAPDLVYRTAKEMNSSHVVTQSNNFFNITWGFDVKRNGTFFVRLHFCDIVSVQRNGTVFNAYIYGMFGKPISPYDRFGDFGVPFYVDFVVDSDGSGFMNISIGPRNDSRSLNAFLNGVEIMELINDRISFAEILHATNKFDPKFMIGEGGFGKVYKGTLHSGVKVAVKRSEAGHGQGLMEFQTEIMLLSKIRHHHLVSLIGYCDEQNEMILVYEFMAKGTLREHLYSSNEDLGKSSSRSELSWDQRLQICIGAANGLQYLHAGLPEPTIHRDIKSTNILLDEDFVAKVADFGLSKSGQPEETHIVTAVKGSFGYLDPEYLKSMQLTQKSDVYSFGVVLLEVLCARPAVDNLLPRNQMNLAEWGLSWLKENQIEKIIDPFLVGKINPNSLRKFGETAEKCLQENGTDRPNMMDVLWDLNYARQLQHPTMAQQSHEDSKSDVSWQMALPGINRLPSINVSTSCVSVTESEVLSELRIDETR >Solyc02g050330.1.1.1 pep chromosome:SL3.0:2:5380899:5381054:1 gene:Solyc02g050330.1 transcript:Solyc02g050330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESGVINVRAIIDSSGECVDGGHTPWLWKVSRLSCFIRIKKTGQWPEGNI >Solyc12g038000.2.1 pep chromosome:SL3.0:12:49246622:49247285:-1 gene:Solyc12g038000.2 transcript:Solyc12g038000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIMTSLGDIIVDLFTGHHSMPFNMQKLSEVMQDKHSKRGTVSTASVDTGTGEKNLYASQFYITLRDDLDSLDGVAYYFWRDCRRLAGLIPDASPKRKPKDEIDDDLLLEDDWMPKDEELGVGEEKESHSQ >Solyc07g019670.3.1 pep chromosome:SL3.0:7:12697497:12707001:1 gene:Solyc07g019670.3 transcript:Solyc07g019670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLKVTMEVGIDGVAVITIFNPPVNALAIPIIAGLKEKWTEATMRNDVKAIVLTGNGGRFSGGFDINVFQKVHATGDTSQMPDVSVNLVVNMMEDGKKPAVAAIEGLALGGGLELALGCHARIAAPRAQLGLPELSLGVMPGFGGTQRLPRLIGLSKAVELMMTSKPIMSEEGKMLGLIDAIVPSAELLKVSRRWALDIAERRKPWMRSLHKTDKIGSLSEAHEILKVAREQVKQTVKNMPQHMACIEVIEEGIIHGGYNGVLKEAKVFKDLLMSDTSKGLVHIFFSQRATSKVPNVTDIGLKPRTVKKVAVIGGGLMGSGIATALALSNTIVILKEINSEFLQKGMKAIEETYEDVSYITANVSGLVARKNLPQDKADKALSMVKGALDYSDFKDVDMVIEAVIENVPLKQKIFSEIEKVCPPHCILATNTSTIDLNIIGENTTSKDRIIGAHFFSPAHIMPLLEIVRTEKTSAQAILDLMAVGRAIKKVPVVVGNCTGFAVNRTFFPYSQGAHILVNLGVNVFRIDTQIASFGLPMGPFQLQDLTGYGVAVAVGKEFRSAFSDRTFKSPLIDLLIKSGRNGNVASLYALCCCFTVLLFTIDTGFAGKNNGKGYYIYEKGRKPRPDFTVLPIIEESRRLANIMPGGKPISITDQEIVEMILFPVVNEACRVLDEGIVVRASDLDVTSVLGMSFPSYRGGIVFWADTVGAGHIYRSLKKWSELYGNFFKPSRFLEERATKGIPLSAPSTSSVSRSRM >Solyc03g122320.1.1.1 pep chromosome:SL3.0:3:71672621:71672968:1 gene:Solyc03g122320.1 transcript:Solyc03g122320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDQNSVKKSNSTSILSQARRKMSFRRKKLPVEGGRKKQRRKFSPMKIFRLDGLKGHKLGMNKIKEFYWSAVNDILERKGEDAFQRQLATETSFAIPMMGFSFALLSPNPRAI >Solyc01g107570.1.1.1 pep chromosome:SL3.0:1:94973611:94973865:1 gene:Solyc01g107570.1 transcript:Solyc01g107570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVIIQYITIFFFLHLLLVSLVMHATEARPFIMVQNSEKHFNVVVKNSGPSPGIGHHDYGDLEKPIVIGHNSSGPSPNEGRHK >Solyc12g016150.1.1 pep chromosome:SL3.0:12:6233200:6233859:-1 gene:Solyc12g016150.1 transcript:Solyc12g016150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLRDTANYNENVRNSILDKRVTSLFKKAEELSIVCDVEVAIIIFRPGKIQPITWKSPSLAQDVLTRYLSFIEFKRLSKLVTHEDYLQKKVDKKEEQISKLEKMNEMEESINDPWFIQTIATLGDVSGVESAQKEGKGVNVEDDGHSKDLD >Solyc06g068580.1.1 pep chromosome:SL3.0:6:42625895:42627147:1 gene:Solyc06g068580.1 transcript:Solyc06g068580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEEEWKQQIPPGFRFIPTDEEILQYYLFRYVTGNYILPGIIPLVDLYECDPDYLPGVNMDGEYTYFFTKRDRKYVNGTKNTSRVTRDGRGYWKSTNKKSIVVAQDGSKLGKKTTLKYYFKNPEGDDIPAQWIMHEYVISCKIVKPSIEDEYYEDIAACRIYKKKPEVKEETLTDPNLLMSDHNNNGEPQLSVKRKFIASDPPHDTTMSSNYLCDSQAALILDGMRTTYEVGQTSNTKSIPIEINHTSSTNLTPFEIDQMSYTKSTLFEIGQTSNTNSTPLQGNIVPMGKGVFVDPTDQTSTNVYQNQSNEGKIEGTNFDLDLDGLHNILHDSSPPDESFEEYWQSLQI >Solyc08g061635.1.1 pep chromosome:SL3.0:8:49340051:49353356:-1 gene:Solyc08g061635.1 transcript:Solyc08g061635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTLCCFLIFEFLDWFRMEPLDRNRRKGESLNLRDKIGMDPLSRNQGNGKGFNLNEEIGMEPSRRNQRNGEVLNFGEGIGMELQSRNHRNGEVLDLSNQRNGESLNLSEGIGMDPGSRNLSEGTEMEPWSMNQTNGEGLTLIEGIRMEPWNMNQRNGAGLNLSEGIGMELWCRNQRNGKGLNLNEEIVMEPRNMCQRNGKDLNLSNGIGMEPRGMNQRNGEGLNLSEGVGIEPWSMNQRKGAGLNLSEGIGMEPWCRNQRNGEGFNLNERIGTEPWGMSQRNGKGLNLSEGIGMESWSMNQRNGEGLNLSVGIGMESCSMNQTNGEGIGIESWIMNQRNGEGLKLSEGIGIELWSMNQRNGEGLNLSKGIGMKRVHEGVENDSVVKKVRFYDEIFGSHKYTDENVALEKVKDEHLKSKSQVMVFEELDESIVHAGEMDILKGGGIKNGAVGAGEFIVGCQEAGLLRVPEECHNAIQMSKWNLGNANGGSELGFDFNIPVLEAADGNTLVGVMNYTQRAVELNEIRSGVSNRWEERVNKGKMEQIEEKIPYVARNCNLELGLMNKDQDIGGSSSLGGEKSYTREEKGKAKVDNSWLALTTLPMELDLQHSKQQHEAISPVPQLESIQRTQTELRRNLNAEHASRQKNALRERAIHFARYDATREGSSSQETKLPTLETIKDLGNTPDLASTALKGIRENIPKQKNEKLVRWEASQHPENKEFPCVFPSLLDLSLKALAENAEAIVSLKGIPDILRGRLTEILCYYRKMSTHMLDLLLQGSPTQIRINDCSWLTEEQFCNSFRDFDRRNLMVLQLDLCGQPTLDHVLGTTIATASNSLPNLAILSLRGACRMSDRALEILVTSAPSLQSIDLSQCSLLTHASIGIAANSLGSILKELCIDDCQSIDAMHILPSLEKMEHLELLSVAGIHSVCDQFISELLTARGQNIKELDISRCPNLTDQSLKFIGEACAYLHSLNISKLSELTDVGLQFLANGCRSIQKLTFCRNNFSDEGIAAFLEASGACLEELSLNTCYKVSTSTALSLAKLSRKLLHLDLSWCRRISDSELGLIVDSCVSLKLLKLFGCSQITDAFKNGHSNTVVEIIGLGMTQIIDIGRFDGVEVLLKHSPVVKSSNS >Solyc09g090100.3.1 pep chromosome:SL3.0:9:70118618:70123123:-1 gene:Solyc09g090100.3 transcript:Solyc09g090100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cryptochrome 2 [Source:UniProtKB/TrEMBL;Acc:Q9LDJ5] MESNYKTIVWFRRDLRIEDNPALAAAARNGSVLPVFIWCPKEEGQFYPGRVSRWWLKQSLIHLKQSLKSLGAELVLMKAQSTLSALTECVDAVGATKVVYNHLYDPVSLVRDHNIKQKLGDLGISVQSYNGDLLNEPWEVYDDDGKVFTTFDAYWEKSLSIQNEPVSQLPPWRLTQAAGSVKMCSVEELGLENESEKSSNALLGKGWAPGWSNADKALTEFVESNLLAYSKDRLRVGGNSTSLLSPYLHFGEVSVRKVFNSVRLKQILWTKEGNSVGKDSATIYLRAIGLREYSRYICFNFPFTHERSLLNNLRFFPWNADQAHFKAWRQGRTGYPLVDAGMRELWATGWVHNKIRVIVSSFFVKFLLLPWQWGMKYFWDTLLDADLESDIIGWQYISGSLPDGHELERLDNPEVQGFNYDPEGEYVRHWLPELARMPAEWIHHPWDAPLNVLKAAGVELGMNYPNPIIDVDVARDRLMQAIIIMREKEAAVNTSHANGTVEVVFDNSENVGDSASIPKDDVVKGKEPCPSSSSYDQRVPSMQNVGTYRKRPKPEEETKKLNDNKLSYKNERIKMSNVDGDLCSTAESSSMKKQMTVSRNSFSVPRTITMSHDRKSFDDEASSHVKLQKEEEIDT >Solyc06g009750.3.1 pep chromosome:SL3.0:6:3704089:3710362:1 gene:Solyc06g009750.3 transcript:Solyc06g009750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNSRTEARRSRYKVAVDAEEGRRRREDNMVEIRKNKREENLLKKRREGLLQAQQFPSTAAVSHLDKKLETLPELIAGVWSDDSSLQLECTTQFRKLLSIERNPPIEEVIQSGVVPRFVEFLARDDYPQLQFEAAWALTNIASGTSENTKVVIDYGSVPIFIRLLSSPSDDVREQAVWALGNIAGDSPKYRDLVLGHGALVALLAQFNEQAKLSMLRNATWTLSNFCRGKPQPLFEQTKAALPTLGRLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCSRLVELLLSHSSPSVLIPALRTVGNIVTGDDIQTQVMIDHHALPCLVNLLTQNYKKSIKKEACWTISNITAGNRNQIQIVIEAGIIAPLVYLLQNAEFEIKKEAAWAISNATSGGNHDQIKFLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKIGEADKDLGNTEGVNVYAQLIDEAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDVPVSLNEDQFEFGGADISLPSGGFNFS >Solyc10g082020.2.1 pep chromosome:SL3.0:10:63054210:63057754:1 gene:Solyc10g082020.2 transcript:Solyc10g082020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I0IUQ3] MEKVESSKFNRICVFCGSSSGKKTSYQEAAIDLGKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPKTLMPRELTGETIGELRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHQKPVGLLNVEGYYNSLLSFIDKAVDEGFISPIARRIIVSAPTAKELIRELEEHVPEKDEIISKLIWEDEIQRYNYAPESTVPT >Solyc10g051080.1.1.1 pep chromosome:SL3.0:10:51401155:51401343:-1 gene:Solyc10g051080.1 transcript:Solyc10g051080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSKDARSIPVVHLVCPAGFLGILCLIFVPPAFPLSLGFLNCEKGLVFSFLPSAFSPQNQP >Solyc02g090530.3.1 pep chromosome:SL3.0:2:52698100:52702838:1 gene:Solyc02g090530.3 transcript:Solyc02g090530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERSRAKRCVIEISSSSEQENGDDEGGGESSEESVQILGSDDDFDAASTYDFDDSASSESNGDSEDEEEDESSPSQQHGDEDIQEEGEESGSERVLQLLQGGGELRKLIEGLGKLALTDYKAYLRSNGLRLSGTKEECIQRIIEHWRIKDGNGQRQYPRSSFTINCTGDVCKGDVVLFQQKVYKKFEKMRRGGEQSGKRTIAGRIVKESYGAAKQQHTFTVEVLWSQGVKQLPPLFPLLVKGRNLYKMKTFRQRWRDEEERLEVLGEKHKRGDAARFIRATRKSKSTKPTKASSKNKGNKRQKLDHHMRPSKMMQTSNVKKHNHSIDERGKAMAGSKRTKKHQRKPYPPGRLNLAEASNCKPPMRNPSFVPAGRETSLQFNYPPATNFRQFESYSYSQRIQYSHSSNWCSAPRSHLGSYSSYTLPVPEHQSYGHGSYPNSSYARYVSQSNNYPHPPGMLGIDRSSDSIPFVNYERRWNS >Solyc10g083290.2.1 pep chromosome:SL3.0:10:63231957:63251647:-1 gene:Solyc10g083290.2 transcript:Solyc10g083290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCFKKSFLFSLPFFLLYFSIILSFNNGVNASHRVFPGLQSTSAVDVKNVHRTRYHFQPPKNWINAPMYYNGVYHLFYQYNPYGSVWGNIVWAHSVSTDLINWIPLEPAIYPSKVFDKYGTWSGSATILPDNKPIILYTGIVDAKNTQVQNYAIPADLSDPFLRKWIKPDNNPLIDADVNINKTQFRDPTTCWLGQDGHWRTLIGSLWGNKGMAILYKSRDLMKWTKVQQPLHSVDGTGNWECPDFFPVLLRGTNGLDASYKGENIKYVLKVSLDVTRFEYYTVGIYDTKKDKYIPDKTSIDGWKGLRLDYGNYYASKSFYDPSKNRRIVWGWANESDTVNDDVKKGWAGIQTIPRKIWLDSSGKQLVQWPVEELESLRGHKIQLSNRKLNKGDKIAVKGITPAQADVEVIFSFSSLDKAEPFDPNWANLYAQDVCAIKGSTVQGGLGPFGLLTLASKNLEEYTPVFFRVFKAHDKYKVLMCSDASRSTLENAKTMYKPSFAGYVDVDLTNKTLSLRSLIDHSVVESFGAGGKTCITSRVYPTLAIYDNAHLFVFNNGTETIKIESLNAWSMGPMYYNGVYHLFYQYNPKGATWGNIVWAHSVSKDLINWIPLEPAIYPSKVFDKYGTWSGSATILPGNKPVILYTGIVDANKTQVQNYAIPANMSDPYLRKWIKPDNNPLIVADKNINKIQFRDPTTAWMGRDGYWRVLVGSVRNHRGKVIMYKSNKNFMKWTKAKHPLHSAQGTGNWECPDFFPVSLKNENGLDTSYDGKDVKHVLKVSFDVTRFDHYTVGTYDTKKDKYFPDNTSIDGWKGLRLDYGNYYASKTFFDSGKNRRILLGWANESDTVDNDVKKGWAGVHPIPRKIWLDPSGKQLVQWPVQELETLRKKKVQLNNKKLNKGEKVEIKGITVAQADVEVIFSFASLDKAEPFDSSWADLYAQDVCAIKGSTVQGGLGPFGLLTLASKNLEEYTPVFFRVFKAHDNYKVLMCSDASRSSLKNETTMYKPSFAGYVDVDLADKKLSLRSLIDNSIVESFGAGGKTCITSRVYPTLAIFDKAHLFAFNNGAETITIETLNAWSMANAKLH >Solyc02g083345.1.1 pep chromosome:SL3.0:2:47338451:47342642:-1 gene:Solyc02g083345.1 transcript:Solyc02g083345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENSSQENFICLHGDLELHIIQARHLPNMDLTSERIRRCFTACDVCRKPQTGSTADDGNGELPNVKSTDQKIHHRSIITSDPYVAVCAPHTALARTRVIPNSQNPVWDEHFRIPLAHPMDCLDFRVKDDDVFGAQVMGKVTIPAEKIASGEVVSGWFPVIGASGKSPKPDTALRLWMKFVPYDTNPLYKRGIASDPQYLGVRNTYFPLRKGSSVKLYQDAHVSDKFKLPEIQLENNTTFEHNKCWEDICYAITEAHHLIYIVGWSVFHKVKLVREPTRPLPRGGDLTLGELLKYKSQEGVRVLLLVWDDKTSHDKFFINTAGVMGTHDEETRKFFKHSSVICVLSPRYASSKLSLIKQQVVGTMFTHHQKCVLVDTQAPGNNRKVTAFLGGLDLCDGRYDTPEHRLFHDLDTVFKDDVHQPTFPAGTKAPRQPWHDLHCRIDGPAVYDVLINFAQRWRKATKWREFKFFKKTMSHWHDDAMLKIERISWILSPAFAVLKDSTAIPEDDPKLHVYGEDHSENWHVQIFRSIDSGSVQGFPKTIDVAQAQARKP >Solyc12g027850.2.1 pep chromosome:SL3.0:12:27730276:27758286:-1 gene:Solyc12g027850.2 transcript:Solyc12g027850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVRGNSNSNRPEWLQQYDLIGKIGEGTYGLVFLAKIKANRSKSIAIKKFKQSKDGDGVSPTAIREIMLLREISHENVVKLVNVHINQADMSLYLAFDYAEHDLYEIIRHHRDKVILSINPYTVKSLLWQLLNGLNYLHSNWIVHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKPLAENGVVVTIWYRAPELLLGAKHYTSAVDMWAVGCIFAELLTLKPLFQGQEVKGTPNPFQLDQLDKIFKVLGHPTPEKWPTLVNLPHWQSDVQRIQGHKYDNPALYSVLHMSPKSPAYDLLSKMLEYDPRKRITATQALEHEYFRMEPLPGRNALVPPQPGEKVVNYPTRPVDTTTDFEGTISLQTSQPVSSGNAASGGMHGPHVMPTRSVPRPMQMVNMPRMQPQGMSAYNLASQAGMSAGMNPGNMPMQRGVAAQAHQQQMRRKDPGMGIPGYPSQQKSRRF >Solyc06g082600.3.1 pep chromosome:SL3.0:6:48412113:48415756:-1 gene:Solyc06g082600.3 transcript:Solyc06g082600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC39 [Source:UniProtKB/TrEMBL;Acc:B7U4A4] MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPTDSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >Solyc09g018285.1.1 pep chromosome:SL3.0:9:14051870:14055236:1 gene:Solyc09g018285.1 transcript:Solyc09g018285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTACQVPSKFKRDWNAQCDHCKTMGHTKSNCYRLIGYPSNFRFKKKVGKPNGPKEGENRSHAHNVRNEESRSHNDGAQARAAHVNFCNDPGYNNYSIADNLHADWNRCSGSHIPSHQHPKMMQQYDKSSHLNQSNIGKHKADDVPKSSSSNMCRLSDSMNMGGNFSISPLYESTFTDKVFASYACNDDKEWIIDTDIIPDIVVDDVYIPTMSVDIEPMPLRQSQRRHRTEIVVILVYVDDMLIAGNDLVLIEHTKQELHARFKIKDLGILRYFLGIEFSRSDKGILMNQRKYALEFIEEMGLSAAKPSWTPLDINLKLTNTLLDKAMNVTDDHVLEDKGPHQRLIGRLLYLTLTRPDIGFAVQTLSQFLQCPKKSHMEAALKVVRYIKREPAMGVLMSSKKDKELIAFYDADWAACPNTRRLVTGFLIKHGESLIS >Solyc09g011800.3.1 pep chromosome:SL3.0:9:5086605:5088070:-1 gene:Solyc09g011800.3 transcript:Solyc09g011800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMKSSLILFLTCSLFLQVTYAVYKTEVKCESLPNGDCAFAISSTGKRCVLEKAKTPKDDLISSEYECKTSEVMVQNMKEHIETDECIESCGLNRNFFGISSDDLLEPQFVSKLCAPACYQQCPNIVDLYFNLAVAEGAYLPDLCNKNNKLKSSGAAEDGVDAPAPSPSSF >Solyc02g072105.1.1 pep chromosome:SL3.0:2:41959202:41965633:1 gene:Solyc02g072105.1 transcript:Solyc02g072105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKGTTFSDCSVMRTLFSPCKISHIPVVSFGHKRSLKIYSAKMELSITQPDDWHLHLRDGDVLKAVVSHSAHHFGRAIVMPNLKPPITTTAAAVAYREAILKSLPADSDFNPLMTLYLTDTTSPMEIKLARESQVVFGVKLYPAGATTNSQDGVTDLFGKCLPVLQEMVEHNMPLLVHGEVTNPEVDMFDREKVFIETVLRPLVQKFPRLKVVMEHVTTMDAVKFVESCSEGFVAATVTPQHLVLNRNSLFQGGLQPHNYCLPVLKREIHREALVSAVTSGSKRFFLGTDSAPHDRRRKECSCGCAGIYNAPVALSVYAKVFEKENALDKLEAFTSFNGPDFYGLPRNNSKIKLSKTPWKVPESFSYASGDIIPMFAGEMLDWLPAPL >Solyc03g033630.3.1 pep chromosome:SL3.0:3:5225921:5253471:1 gene:Solyc03g033630.3 transcript:Solyc03g033630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALLIAFFSQLLIISNGISNSHYFLQQINSENKYLTKEEHWFNQTLDHFSPYDHRTFGQRYYEFFDHFRIPDGPIFLKICGESACSGIPSDYLSVLAKKFGAAIVTLEHRYYGKSSPFKSLTTGNLKYLSSKQALFDLAAFRNFYQESLNVKLNRSNIGNPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVQAVYSFSEFDKQVGESAGPECKATLQEITKLVEAKLTSNPKDVKALFGADELRNDSDFLYFVADAAVTAFQYGSPDRLCIPLLEAKKSGEDLVNAYATYVKDYYVKTFGVSVKTYDQENLKNTAVNGDTSDRLWWFQVAPLNDSIRSSKVDTRHVSYSWKNVFGASIYPDVDATNLYYGGTKIAGSKIVFTNGSQDPWRHASKQTSSPELPSYIISCHNCGHGTDMRGCPQSPLVPEGDAKNCSSPDAVRKVREKIVEHIDLWLSQCQVSGFDNSKREKISFYDMDESHPIVAPVAGVGLGVTGDVAVVVPAGGSAVSSVGSMIGGVGVGVGGGEKRKRGRPPRGQAGKPPPPPKIQRVVVEEDEEEDVCFICFDGGSLVLCDRKGCPKAYHPACIKRDEEFFSSNAKWFCGKKGFCSMCMRTIMLIENKDQGNNEKVQVDFDDKGSWEYLFKLYWVYLKEKLSLTLSELIQAKNPERQAGRIQGELKYGHHVANVKGEGSIMAQRKMNEKTEAHATNISEKLGYRAIIDQALDGSGSETLIASLSTTNSASTNISETDEVWHYRDPNGKVQGSFSMTQLRKWSTLGIFPLDMRIWTNDEYDDAVLLSDALNGLFRKAPAVHGKTSSQSIGLGPASDDRNGTGCGNSAGTGRECREMEVPCHRASKDSNGNAENVRMDGMSAPFPKFLDLMNGNNSYSDKLQLCSPVPSSHHGEVHVALPSKERVHENVELCIATGQVIKDPCGSTMCQNTDTYSRNTQSNSQSNVGQSSGQNWGSATSSRLSFNLDSGFASGTNSKDSFEQKGNVNLPDRPSPAAKTTYDDIEAQAAEKLLFLSSGVPLRASDIQDWSSSTPKSYGEAKAGQAAGNKESAPSKLSVQDSGPSWSSGSSLADEQDGNSPTAEPSAEERDAGLVSISSLKPAEAVNDHVATPIPIADQLNLNLTSTSLQMSNLSSWQATVNEPIEFSTLAEESVSDLLAEVDAMESQTQSGMGSPTSAMRFSEQMIPGYKNFILALFEDLSPTHDPAKSDGLSSNGDIKLPCQSPVTDELVGPSQADVFDPLKRSDGNSSNEQRGRN >Solyc06g048850.3.1 pep chromosome:SL3.0:6:31913156:31914427:1 gene:Solyc06g048850.3 transcript:Solyc06g048850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLSCSSPQPHDINIPFSSHLQTNTAQRDVTYSCGSCGYELNLNSGNRNTTVIGSKYGKTMRRGVLSFLCIDESRFTQISKLRCSPYFRSKNSWGFFQRRTKLLCRKCTNYIGISYTTSNNNGTSGAALHIDVTSDAALATPNCWDGLSACTTNYEIKICALRPSVKEVIMHQ >Solyc02g085410.1.1.1 pep chromosome:SL3.0:2:48961443:48961610:1 gene:Solyc02g085410.1 transcript:Solyc02g085410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCTITGSTEIFALSTVPNCLIWITYILRVKLSGSYHKFMHIYALSVLGKRHMK >Solyc11g006440.1.1 pep chromosome:SL3.0:11:1139450:1140201:1 gene:Solyc11g006440.1 transcript:Solyc11g006440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQNHQNLLIKFSLLLIIILHHESYAMQHLVGDSIWTIPPTNNFYTNWSSSQVFFPGDTLYFQFDPEFYNVMQVSRREYGYCTSNQAYKVFNDGPLNITLIESGVFYYMCNILNYCELGQKFSVTVLQNSSNNYVPPPSTSS >Solyc02g086150.3.1 pep chromosome:SL3.0:2:49540566:49544454:-1 gene:Solyc02g086150.3 transcript:Solyc02g086150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHAVYAPKSCSISYSRIPCYQKPLAPTRLRVSASLPEPNGVKVEFTPWLIVGLGNPGNKYHGTRHNVGFEMIDRVSQEEGIVLNTIQSKALIGIGGSIGEVPVVLAKPQAYMNFSGEAVGPLAAYYQVPMRHILLVYDEMSLPNGILRLQPKGGHGHHNGVKSVMEHLNGRREFPRFCIGIGNPPGTMDMKAYLLQKFSDTERKQVDAALDQGVAAVRTVVLEGFGSRISRFNIGQKYKYHKV >Solyc08g008140.3.1.1 pep chromosome:SL3.0:8:2611133:2611610:-1 gene:Solyc08g008140.3 transcript:Solyc08g008140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGATANSVPASGVDVGVNRMSASYVNSVRISAAANRFATLLCNHPQIDAQEFVQLCLSLSR >Solyc04g081230.3.1 pep chromosome:SL3.0:4:65305557:65310659:-1 gene:Solyc04g081230.3 transcript:Solyc04g081230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQSSSAEQVSPEQREAESVAASTGALPNLKKAFSVLSDPQTHSISIHSLQKCFGFSIDGSTNEESVVPKEFPVLMSNLSSSIVDLFFLSEKGGISWVEFLKGHIKCSGRTVSSASLNSLLRLFGMVSVKAGLPEKLQIVSDEDDCKISGFLLPVDVQMLLSMCWIMWWDSNKLKASSTLGDSGLPDVTHLVLSAINSCAEADKKMDLWDNSILDMDIQLPVAKIIMWALKTVPSLSDCFGKFVHARLFYVAAHEEKLDQADLFSHDISAKERSVGHLLNSGRAWAISLTLRGNLSEEISKVCFPSAAEEVDDYLLYRSSLHGKGLNRFWSNIEGYNAPLLILISAHEANNDARRWTIGSLIHQGLENHDTFYGTSGSLYSISPVFHVFTPSGKEKNFVYSHLHGTVKYEAHPKPVGLAFGGSSGNERIFVDEDFAKVIVRHHACDKTYHHGPLYPDQGFLPVEALIMDVEVLGLGGKRARDIQSSYKKREELFTEQRRKVDLKTFGNWEDSPEKMMMDMVSDPNRVRREDR >Solyc03g078520.3.1 pep chromosome:SL3.0:3:52491554:52499471:1 gene:Solyc03g078520.3 transcript:Solyc03g078520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFFAIVILACLRSFVLPDAQGDALYALKISLNSLNASNGQLADWNQNQVSPCTWSKITCDDKGNVIMVSLSSLGFSGTLTPRIGVLKNVNTLSLQGNHITGEIPKEIGDLTSLTMLDLENNRLSGEMPASLGNLKKLQSLFLSRNNLTGTIPQSLSGLPNLMNLQLGSNSLTGQIPEQLFQVPKYNFTGNHLNCGLNLTHHCESASGARKSSFNICTLLSFLYKPNSRVEFLAGSPSKPKTGLIVGIAVGFLGLLLLGGSVLLFCRRRHKGYRREIFVDVVGEVDRRIPFGQLRRFSWRELQLATDNFSEKNVLGQGGFGKVYKGVLNDGIKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMQNLSVAYRLRELKPGEPVLNWPTRKRVAVGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVKKTNVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLEIVTGQRAIDFSRLEDEDDVLLLDHVRKLQRDKKLDAIVDRNLNNNYELGEVEMMIQVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVNRRQEYERLQRRFDWGEDTMFNHDAVELSGGR >Solyc05g050735.1.1 pep chromosome:SL3.0:5:61719675:61734626:1 gene:Solyc05g050735.1 transcript:Solyc05g050735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKHKGNYGQLHDAHIFSFREMKTKDNGNGADELLVKEMKTKDNGNSINRLPKKELQDLCRKHGSSPNYLIRTSGGGTGEGHHLGGGVSRGERHKGETVVATEVAVTPEVDMVAAFVRAFEEAEGAIIPWREKEREA >Solyc09g014270.1.1.1 pep chromosome:SL3.0:9:5751469:5751681:-1 gene:Solyc09g014270.1 transcript:Solyc09g014270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVETSLYDFYSKGGYVGSVRRVFDDLLVKSTANWTAIIAACVNVGKSEISLELLRNMLETHVVHDDYLV >Solyc02g062913.1.1 pep chromosome:SL3.0:2:35397841:35398200:-1 gene:Solyc02g062913.1 transcript:Solyc02g062913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLSTNGADTPFADITHFRSLIGALQYLTITHPDIQYNFGTLGRDLLIQPGDLELRGFSDSDWANDKHDRKSTSGFLVFLRPNLISWCTKK >Solyc01g104050.3.1 pep chromosome:SL3.0:1:92423847:92429088:-1 gene:Solyc01g104050.3 transcript:Solyc01g104050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPITVGVGNIISEEGYLLLMSPAAAESVWKAILGHGAVPMGSNAWETLRILQGRPAPGKELTDEFNVLEANLWNAVSLNKGCYKGQETIARLVTYDGIKQRLWGIRVSSPVEPGSTISVNGKKVGKVTSFTTGKRASQPLGLGYIKRKAASEGDSVIIGDDVEGTVVEVPFLAHFGYVLRAPWSSSNCKVLNSTPQLSNGSAIPTSSKGQCCQNLLSLFGVAMAQHLKETSLFQLPNLETSASCIQEFQSKLNSLSLPSNLTSFCFDPIQFVNTPYICASIQTIQDWDKKLGNSTVLNSGCRSDLEDLTACDGCVAAGFRVQQQLIAIDGNASHSTDCFYFTILYAAGIVNEFGPESTGVMSCIFSIDLKKDSSSSKRHFALIFGLAGAGMAVLCMSLVLGLYIWWNKRWRKNDDVEMESTVSRRRMRPNTAVWFKFQELERATDNFSQKNFVGRGGFGVVYKGTLADGTNVAVKKIIESDFQGNDEFCNEVEIISNLKHRNLVSLRGCCVTDKNRIENGESERFLVYDYMPNGNLEDHLFAVNQGGILKQPLTWPQRKNIILDVAKGLAYLHYGVKPAIYHRDIKATNILLDEDMRARVADFGLVKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKVLDFSSGSPRSFLITDWAWSKVKAGKMNEVLDAILVKTEDSVSANPRAIMVRFLLVGILCAHVMVALRPTILDALKMLEGDIEVPEIPDRPAPLGHPSFYNASGNTFSISPALSCLQMPAGDMLR >Solyc01g080500.2.1.1 pep chromosome:SL3.0:1:79585604:79586939:-1 gene:Solyc01g080500.2 transcript:Solyc01g080500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEVLRPQNCLIDRFREPQPAAAFHRRKNNGYYNNNGYRKPVVRTEKKKLNSKIQNQSEPSISRRSEESKPVQIPGRVTPVVDGGIVMGQVMILRRGESLDSLNPNIRKENKTTSSGKKKKQPASGSGDELTTVYGTGRLGPEQPGMFPKNIRVGHTPTDVYAGSAFSNSPSPRSLPLPSFFNNMNNKKQVELTSFDDSASRDLRRLLRLE >Solyc02g030100.3.1 pep chromosome:SL3.0:2:25594160:25630537:1 gene:Solyc02g030100.3 transcript:Solyc02g030100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKPSPSPGRYENCKKPYLSKSVSDANSHNQSLASILNNPHAGKSDGWWWPSNSSSLPVVPEFTPLNPLPKPGSDIARTDFLPYITSFSDPFARFNDIQQHSKSSLLDDQNGENALVACLREVPSLYFKEDFQLEDGATFKAACPFRTTAENLVMQEKLSQYLDVVELHLVREISLRSSSFFEAQGQLEDLNSKIVEGCKRIRELKETIRLLDTNLVGPARKLQELNVKRGDLVALQNKLKLIIYVNQALSTLNLLVASADCAGALDVTDDLQHLLDGDELAGLHCFRHLRDELATSIDSINSILSAEFLRITIQETGNMDAAITSKFRARATIAINGEGHEAKLDEEETSNFRDRLLPFVIGLLRTAKLPAVLRIYRDTLTADMKTAIKTAVEELLRVLVAQPSDSDFVAGERVADTDGGSSSLASRLRSLAPESFVQLLKAIFMIVQ >Solyc06g052055.1.1.1 pep chromosome:SL3.0:6:35806487:35806738:1 gene:Solyc06g052055.1 transcript:Solyc06g052055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQINDQDKLADKLDSDEKEKIETVTKEALEWLDDNQSGEKEDYDEKLKEVEAVCNPIITAVYQRSGGAPEGESGAGDDDEL >Solyc05g055390.3.1 pep chromosome:SL3.0:5:65898829:65903736:1 gene:Solyc05g055390.3 transcript:Solyc05g055390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAVNLVMTVIGFTVSIIFIVFVCTRLICARIQYLTRRRSSAYTSRSDLSILERGLHGLEPLAVSKFPTKKYSDAFFSFAENTQCMICLAEYREEDTLRILPLCGHYFHATCIDIWFQQHSTCPVCRISLRETAEKKCFLQPLFSSAVRSQYTMDTLNVNSNQCSSSGLRLSSRSHDNQTTNPSTASASNA >Solyc07g055213.1.1 pep chromosome:SL3.0:7:63455847:63457436:-1 gene:Solyc07g055213.1 transcript:Solyc07g055213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDARLQPDQEVSFIVENLKLLTALAQPNFRPQIDLLVTKSYDKKFESVFLLWQIKSEEPWESKGNDEASKQEITAGLLTSPSTIIACCSRGTEIYLKREIKEQRIKKMNGKSISSLQNDEHTLLQVVL >Solyc03g006960.3.1 pep chromosome:SL3.0:3:1519972:1523231:-1 gene:Solyc03g006960.3 transcript:Solyc03g006960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDKDDYSEKCRMRRRHRRIQMRRQHHPNLLSYLGQGIFEAGKMEASSSSREPEATGPVVGAISIPGRQRVMEDTISIRPNLCSPEINRCRPVDFFAVYDGHGGRHVNPTHNQLTAVFPIQVASMCKERMHEVLEEELMRMKNNQDTGRQPLEGQRMEEAWRRVFKSCFLKIDEMASCICSECGSVGYECGCPLSVLKLTGSTAVVVVLTDETIIVANCGDSRAVLSRSGSAIPLSYDHKPDKREERARIEARGGRVVFTDGARVEGVLSMSRAIGDNNLKPYITSEPEMTFTKREAEDECLILASDGLWDVVSSDIACAVGRECLRQRDPSGNFSSRLSSVEGDSRGAMFSSRRACSAAALLTRLALGRNSCDNISVIVVDLKTNHSAV >Solyc08g007900.2.1 pep chromosome:SL3.0:8:2403606:2408600:-1 gene:Solyc08g007900.2 transcript:Solyc08g007900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGIYIIGSLVVIVILHYWRNLKSNGKLPPGSMGWPLLGETIPFFAPNTSLDISPFVKERMQRYGPIFRTSLVGHPIIVSTDPDFNYFIFQQEGKLFQSWYPHTFAEIMGRQNVGSFHGFMYKYLKNMVLNLFGPESLRKMLPEVEKTTNNNLKRWSKQKSVEMKEATAKMIFDITGKKLISYDSENSTENVCENFVAFVKGLISFPIYFPGTAYYKCLQGRKKTMKMLKRMLEERKSQPRKEQSDFFDYVLEELQSKDTILTEAIALDLMFVLLFVSFETTSWAITLAIKFLHQHPQALKELKVRYTIPAGWAIMVYSQAVHLNPTKYEDPLQFNPWRWKGIELNGATRNFMAFGGGIRYCIGADFAKVQMAVFLHCFVTKYK >Solyc09g014620.3.1 pep chromosome:SL3.0:9:6273340:6276816:1 gene:Solyc09g014620.3 transcript:Solyc09g014620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGKGKGALRKETRSALKPVEDRKMGKRKATLKDAKKKVTKDKKAKKDPNKPKRPPSAFFVFLEEFRKTFKKENPNVKAVSAVGKAGGEKWKSLSAAEKSPYEAKAAKRKAEYGKLMNAYNNKQVESSDDEDEEEKEEEKEKRSKPEVHDEDAEDSGQGEEEDDEDEEDEDEEDD >Solyc08g081710.3.1 pep chromosome:SL3.0:8:64816538:64818485:-1 gene:Solyc08g081710.3 transcript:Solyc08g081710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFSLLKYWRGGGAAGVFSDDAAGAKTRSAVLTEVSANSSESDSDEDEEVDGDDGPFFDLEFAALPEEEEVKVEGNDRCKISSKNEEEENEEGDVSESENEEELKFTLSPSSSSVDGTDPNVSLSPSDDLFFKGSLVPIEPSSLLLTASEANSKFSSSLLKSATKFRVLMLKLKKPKSNAATKTGKLSEGDGDGDDGSVSATPKPLRIKVTEDEKEERHNRTQSKFLTVKFKVEEVPIKSLFTRDNSSKANNSSSNTQKRNPEEALSTNAAISSSDEKKFSKDVMQKYLKKVKPLYIRVSKRYGEKLKFSGQLSFTGNAALKPGPSPPPSATAKAEPASYAPQTAEKNQKQGNIPSGLRIVRKHLGKSRSASSAVIAASPVASNRRDDSLLQQQDAIQGAILHCKRSFNSSRDSESSILSRSASDASHEKLTHLTTDSSALEEAIAVRKLEI >Solyc03g093880.3.1 pep chromosome:SL3.0:3:56970828:56975584:1 gene:Solyc03g093880.3 transcript:Solyc03g093880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U6 snRNA phosphodiesterase [Source:UniProtKB/TrEMBL;Acc:K4BIR4] MEALLASYADDSSDTGSDSDSNPTPPQPPSASTSLAPLPPPPISLLQPPNSLVPSDSLPSSQVNRVRSFPHIEGNYALHVYIPVYIPSATRKGLVRFLKKVTAIVPTLYAVDVDVPLSGLLKDEALLEKVVLDREFHISLGRTVPLRVHQINSVVSMLRQRLQFQRRYLIDFNKWEIFVNDDSTRTFMSLEVLEGGLAQIRRQIQAVDEVYKLHNLPEFYKDPRPHISITWALGDISDTLKRMAEEEMIKYKAGPSSRQNCIFTSKFSGILCKIGNKMHEICKFQEE >Solyc02g071520.3.1 pep chromosome:SL3.0:2:41485647:41489184:-1 gene:Solyc02g071520.3 transcript:Solyc02g071520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4B8C8] MSVVGGLYSIYSICSFAAGIAGNLFAFVLFVSPIPTFRRIIRSKSTEQFSGLPYIYTLLNCLICLWYGTPIVSPGIILVFTVNSIGAVFQLVYITIFIIHAEGSKKLKMLGLVLGVFAVFAAVVAISLTLFEPSSRQTFVGYLSVFSLICMFASPLFIINLVIKTKSVEYMPFYLSLATFLMSLSFFAYGMCKNDPFISVPNGIGGVLGVTQLVLYFRYSNSEEEPRAPLLDSYA >Solyc10g055617.1.1 pep chromosome:SL3.0:10:56994424:56997463:1 gene:Solyc10g055617.1 transcript:Solyc10g055617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDVESRRQVPQKNKRRYAVAGIPHTRRHIVTGMMRSDGSFFSAGGYLHGVTGLTYGVDIERIRWMGILQRIAVGYYSSFVRDMASMSRDEKSCPFQKLNLAMVKCSVRGYRRPACNSAGMIDRYILGIDHLYAKPVYRNMKECNGSNSDSF >Solyc03g058460.1.1.1 pep chromosome:SL3.0:3:26833065:26833349:-1 gene:Solyc03g058460.1 transcript:Solyc03g058460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFILKHFLGHYLFNSKTLWDEFSPEGLCKATMFALLVKEELECWPKHSLRRRSWMTVPEAIQCCPHPWMRQALEEGFSKWHDNGMTSTTNCED >Solyc05g041370.1.1.1 pep chromosome:SL3.0:5:52223510:52223686:-1 gene:Solyc05g041370.1 transcript:Solyc05g041370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKKMVNGGTVVNWIYINFSCNVQESVTHEFCSELAQMCGISKMNINPNPVLHKFTP >Solyc01g020120.2.1 pep chromosome:SL3.0:1:27715903:27722275:1 gene:Solyc01g020120.2 transcript:Solyc01g020120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYGGGGYFVDEKAIRVENIFLEFLKSFRVDAYSCEPFYESEIEAIRPNESNTMFIDLSHVMRFNDILQKAISNEFLRFESYMKNVCKRFVMELKPTFITDDNPNKDINVAFYNLPLIKRCDAFFDECAIQCCVDCGGSWRNN >Solyc02g066970.1.1.1 pep chromosome:SL3.0:2:37788058:37788381:-1 gene:Solyc02g066970.1 transcript:Solyc02g066970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQPKKSSSLRLRRSRRNSSVEKRSKNGVVNNSSNAAGDDNSISISEKLEALKQLLPVNNGELKADQLFEETADYIVLLRTQIFVLQKLLDFCDDASGQSQHINAV >Solyc06g009400.3.1 pep chromosome:SL3.0:6:3327651:3332259:1 gene:Solyc06g009400.3 transcript:Solyc06g009400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PII-like protein [Source:UniProtKB/TrEMBL;Acc:Q6T2D2] MASPSLSKSNFSLHSFSSSPSLSQFPHFTSITVVQPKFFPSQLTFKRCQNAPSFPIIRAQNSPDFVPDAKFYKVEAILRPWRIQQVSSALLKMGIRGVTVSDVRGFGAQGGLTERQAGSEFSEDTFVAKVKMEIVVSKDQVEGVIAKIIEEARTGEIGDGKIFLTPISDVIRVRTGERGEKAERMMGGHADMSSALSTS >Solyc12g013525.1.1 pep chromosome:SL3.0:12:4381861:4382777:1 gene:Solyc12g013525.1 transcript:Solyc12g013525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTGIWDFITSGKEKVMNVCHRIGDGEGRAKIVHFTAKFVKNASFYAFKEAANILVPGGKEISKIYTDTVSEIETESREKQNRSCDDKIIGNLSKITNGNTSPVILLDRAEKLESTREGSENSVQVDSFAYQTPEDVLRFFMVMEFMGTRYLDNLLVHDNRQRKNV >Solyc01g103110.3.1 pep chromosome:SL3.0:1:91650466:91657922:1 gene:Solyc01g103110.3 transcript:Solyc01g103110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITGALPSSPFLGHVPFYLGKSSSTHFTHNSVCIFKVKALFWGSRRSVKPADKVLSLGEFTLSEADSLGNTGTPEKISVSVVSSIAEVSPDNWDSCSLDATGHQQFNPFLSHGFLSSLEESGSAVEGTGWIPQHIIAEDASKNILGVVPLYLKSHSYGEYVFDNSWANAYYNYGLSYYPKLQCSVPFTPVTGPRILVRNTSHRDQVFDILVSALKDLAAKFKVSSLHITFPTSNEWNKLEEKGFLQRTGMQYHWKNRNYKNFDEFLMDMKQSKRKNIRQERKKISAQNVTMKRLRGHEIKASHWDTFYKFYRNTTDNKWGTAYLTRDFFHRMGAKMGDNVLLVVAEEGDELVAAALNFIGGDTLYGRLWGCLPGTYYANLHFEACYYQAIDAAIELNLSTVEAGAQGEHKIQRGYMPVPTYSCHYILDEDFKRIIDDFLVRETAQVKLVMNLLQDSGPFKENLWN >Solyc12g099510.2.1 pep chromosome:SL3.0:12:67610887:67613771:-1 gene:Solyc12g099510.2 transcript:Solyc12g099510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIRKMTRVTDEHVQLIRQIKIKKDYYAILGLEKGCSVEEIRKSYRKLSLKVHPDKNKAPGSEEAFKKVSKAFKCLSDDDSRRQYDQTGLAEDFEYNQQHNVRRRRRTGHNV >Solyc09g091710.2.1 pep chromosome:SL3.0:9:71419450:71422514:-1 gene:Solyc09g091710.2 transcript:Solyc09g091710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFVQPENNLKDCSLGSLLYGSVTEAFDLDYPMKNPVKSVWIVGSVNGLICVAIEENDLFIWNPSIRKFKRLPSFRPTLRCGYYFMYGFGYDEVNDDYKVVGVFCIFGVGSSYDVEVKVYGLKSDSWRNIDDYHGGVLLNDSGKFVKGKLHWATSARFGGYNGWDIVSIDLKDEKWGKIEQPCYEKGNFDFVLGVLEDNLSVLCNYNRTRADVWIMKDYGVKDSWEKMYTISCPNDPGKYMFSPPLCMSKKGSEKAMYGTTEQLIHHL >Solyc11g011970.2.1 pep chromosome:SL3.0:11:4921333:4924951:-1 gene:Solyc11g011970.2 transcript:Solyc11g011970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLENGDRSTCRPIVNSSSDEDDDGGLLPESYLPVLDGEGLLVPPLNFAMVDYGVFRSGFPDTANFAFLQTLGLRSIIYLCPERYPEDNVEFLNANGIRLFQFAIEGSKEPPLVNIPEETIKEALKVVLDEKNRPLLIHCKRGKHRTGSLVGCLRKLQKWCLTSIFDEYQRYAAEKARVSDLRFMELFDISGFKQPPTFCSHSPN >Solyc02g077660.3.1 pep chromosome:SL3.0:2:43112860:43126838:1 gene:Solyc02g077660.3 transcript:Solyc02g077660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSDGEGNRNLIQSSSEGPKKPKRQMKTPFQLETLERVYAMETYPSEAIRAELSEKLGLTDRQLQMWFCHRRLKDKNTSGGTEKKPRTGGTGGKRNLPESPREDLVVAEAASDRGSGSVSRSGSGSGSSRFDNGDDMPAPSIRSYESPRRAMERRVIACIEAQLGEPLRDDGPIIGVEFDELPPGAFGIPIDLEERTDHYRQSFDCKLYGQYDAKVNVGSALSLSPVLTNGHREPAEPKIVSDKYGQIAAPYPYDSSVDCPSKNMATMQRNGHFVREYGVEGQSIGMMSQQSRQRRFLSPSRDNEFVPGNEDMLQLDRKRKSEEFGMGREVQVNEKRMRKELEKQDLLRRKMEEQMKKDMEKQDRERKKEEQRLMREQQRKEERFQREEKREMERREKFLQRELVRVERKKQKDELRKEKEAAKQKAAMEKAMARRIAKESMELIEDERLELMDLAASSKGLPSIASLNYDTLQNLESFRESLCEFPPKSVQLKKPFSVEPWIASDDNVGNLLMAWRFCLNFADILGLWPFTLDEFLQAFHDYDSRLLAEIQIALLKLIIKDIEDVARTPSGGPGTNQYSAVNPEGGHPQIVEGAYLWGFDIRSWQRLLNPLTWSEVLRQFALSAGFGPPLKKKRERTCLNDSDETKGCEDIVSNLRSGSAALNAVAIMQEKGHMSQRKSRHRLTPGTVKFAAYHVLALEGDKGLNVLDIAERIQKSGLRDLSTSKTPEASISVALSRDPILFERIAPSTYNVRLAFRKDPADADAIISAAKEKIQRYANGFLSGQNVEDEERDDDSEGEGDVAEGPEVDDLGTSYGANKNNEQSSLLDTCLVNGKSKLSDEIGQQIGVDVVGIAVSNPSQGCSEIDETKAGEPWVQGLAEGEYSDLCVEERLSALIALIGIANEGNSIRAILEDRLDAANALKKQMWAESQLDKRRLKEETINKFNDSSFNVVVEGSQSPLGYPNNKNHGTSPTTLVKDDSAGIVDNLQNHFESIPAEKSSAAQETFVGQFAVPSGNTAERSRMQLKSFIGHKAEEMYVYRSLPLGQDRRRNRYWLFVASGSSEDPGSGRIFVESPHGCWKLIDTEEAFDCLLASLDTRGVRESHLHIMLQKIEGPFKGRARQNMSCGASSNPTSGVSADSPGSAIYGVSSDSWETSSSFKIELGRTEEEKKNALQRYQVFQIWMWKECLSSSILCAMRYGKKRCLPLLGICGHCLDSYLSEEGICPSCNKMNCEVDMNGKFIEQAMDSMDNLKIDYNNLVVSNACPVRVRLMKAVLSFTEVCVPYEALQSSWTEDCRKTWGLKLQNSSSPEDLLQILTQLEGVINRDYLSADYETAQELMGLCALSRKTALESTYPEPVPQLPWIPQTTSAVALRLLELDSSISYDPQQKTEAELKNKVDCLPKPSLGYASLKDPQKIEATVMDHGLMREENWDYLNNMPSSSRSRQVVRGRGGSRPRGKLQKGTTSKQPESGRTVVRPSETLTQVLIKQGETHGQRHVRGRRTVRKRRIEQKIVEEAQPDYLGDRSSRLSLVVSPRKHVTEEFDMNMEGIEATNDNSISMEAMRIRLFLPVMELKTTMTMKMMMTTTTLIDTEAMVKIWEDMLTWMTTQIEMGMSMRIKSRALQSLKIIVIEVAKIFVGLDNCNIALMVDRQNTEVQCDAFVLLLRNFGILLR >Solyc05g015580.1.1.1 pep chromosome:SL3.0:5:11453883:11454056:-1 gene:Solyc05g015580.1 transcript:Solyc05g015580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQHQLHGVRNNFKMPPVSFNLPSACCGDVQSTPDASSSPGTISFSLSVILVGFSLH >Solyc01g091400.3.1 pep chromosome:SL3.0:1:84907819:84912068:-1 gene:Solyc01g091400.3 transcript:Solyc01g091400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGTGPCGACKFLRRKCVKGCIFAPYFDSEQGMAHFAVVHKVFGASNTSKLLLTIPPNKRFETVVTLYFEALARVRDPVYGCVGHIISLQQQAVTLQAELAYVQARISMLHHHHHHLPMPPPTPLQADLAAACCSNVSSSVCTSGDPLIDITDLCNVLDQQLDNSM >Solyc01g096620.3.1 pep chromosome:SL3.0:1:87562473:87564992:1 gene:Solyc01g096620.3 transcript:Solyc01g096620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWFSRVDDGDVVVPESLDGFSPSSDSWSQWDSTPFGNFKSEMKRNNIRSYVGAEDCKVIGNGLSNDVDMKNFGIHEEPFDNAANQASYCDMDQWSSYPPPEQLEFHLDNLTSIDQLDDVFLSSLLEENCPTGMDASDESSTDSRCSMLLGDDQARDMTSKACAGTTKYFSAHAFTSPVDWEGQEVNNSYLLKKVMLLFIFVSEGHEFSCNNSNL >Solyc09g065090.2.1 pep chromosome:SL3.0:9:63164958:63166665:1 gene:Solyc09g065090.2 transcript:Solyc09g065090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAQLIQGMVTQSMLQTPNIQQAQLQSLLLDSQQLPTPGLPPLPPRFLPKARPQIPSAQPGQSQVLQSLLTTPSRAIQPQVVVSMNPPVQGNPSSVFGILDNTNNSSLITRPAYPSGLPEKKRPAANNVHLPSPLSKMTRINDAMLDAPLKLGPSTQVSAPGQKSNPDNQASQVQLPSDVESALLQQVLSLTPEQLTSLPPDQQQQVIQLQHRLR >Solyc07g042843.1.1 pep chromosome:SL3.0:7:56491734:56494972:-1 gene:Solyc07g042843.1 transcript:Solyc07g042843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding QNLARTTTKLTPNAQPPKLIQNSHHESITGTPSPNAPPSSSKLANTSSNSDEELKTPVIGELHLGEQNSTSNPFSMNTQQERHQHRRTTTILSALLLLQIAENFVQEVRGDWKGSLINYGKDYGRLFVIVDVINQHRALVD >Solyc02g014840.3.1 pep chromosome:SL3.0:2:19145832:19146910:1 gene:Solyc02g014840.3 transcript:Solyc02g014840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSILVISFCVRVCFDGRLVIFLLRLQI >Solyc08g042010.3.1 pep chromosome:SL3.0:8:24310932:24315462:-1 gene:Solyc08g042010.3 transcript:Solyc08g042010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGGGLLYTSLGVLCCVLVLSVIAVVSIRFSVSGSPFPSSVSSVNSAAITIAELQKKVIAATLANPNPSRAIASDLSYYRNSSFTPIPRKSTLVRKDDKIEEGLARARAAIRKAAVAGNLSMNPGGIYRNAGAFYQSYKEMERKLKVYVYEDGDFILVHNGPCKDIYASEGRFISEMEYGNNKFRTRDPHSAHVYFMPFSVAWMVKFLYVPLSYNLAPLKQYVSDYVRLISTKYPFWNRTHGADHFMLSCHDWAPVTSKGNDFLYNTSIRVLCNANSSEGFNPQKDVSLPEIYLYNGVVSPKLQSPPPANISRPYLGFFAGGLHGHVRETLFDHWKEKDSQLRVYQQLPKDMDYPSEMLHSVFCLCPSGYEVASPRVVEAIYAECVPVMLSDHYVFPFSDVLNWEAFSLQVNISDIPRLKDILLAVPDDKYMKLKEGMRAVRKHFELNRPARRFDMFHMILHSIWLRRLNLRL >Solyc05g014110.3.1 pep chromosome:SL3.0:5:7799317:7802364:1 gene:Solyc05g014110.3 transcript:Solyc05g014110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESISAFRFNFPGNGESEGLFQYGNYCREVDDLHSVVKYFNGESRKVTTILGHREGGNVVLLYASMHHDVQTVINLSGCYNLKEGIAEHLGKDFEAAIKKYGYIDVKNVAGNSDYRVTEKSLMDLLATNMDEAYVQIDKNCRVLTVHGSADIISSEDALEFNKISNHKSHIIQGATHCYMLMSHQDELTTAVLSFIKEDQLQN >Solyc12g043165.1.1 pep chromosome:SL3.0:12:59833387:59835374:1 gene:Solyc12g043165.1 transcript:Solyc12g043165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGKAKPLKAPKTEKKEYDENDKAFLAKKKEEEKALKELKAKAQKGSIGGTGLKKSGKK >Solyc01g014220.2.1 pep chromosome:SL3.0:1:11797805:11798883:1 gene:Solyc01g014220.2 transcript:Solyc01g014220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLGLRSSGNYGSSQQKLFQNSSSPIQTTPPIPRKPPKLFKDKEGLFLWICKFAPRKNVGMLLLCVVSVTAFLWVLYVGKGEVAAEQSNRMFAQEIVLKIYF >Solyc01g096720.3.1 pep chromosome:SL3.0:1:87609845:87612824:-1 gene:Solyc01g096720.3 transcript:Solyc01g096720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEIRINAYDQFREGSSFMVGRALTSVFWGAVADRYGRKPVIVFGTFAVVVFNTLFGLSVNFWMAIATRFLLGFLNGLIGPIKAYAAEIFREEYQALGMSTISTAWGIGLIIGPSLGGFLAQPAEKYPTVFSKDSIFGRFPYFLPCLCISLFSLAVGIASFWLPETLHNHDSRMPPQSSYEALEEAASDTKDGNESAPKENLFNNWPLMSSIILYCVFSLHDMAYTEIFSLWTVSPRKFGGLSYSTVDVGEVLSISGFGLLVFQLSLYPLVEKCVGPIVITRVAGVLSIAVLTSYPYIALLSGIALSVTINIASVIKNALSISIITGLFILQNKAVDQRQRGAANGIAMTAMSIFKAIGPAGAGVVFSWAQKRLDASILPGDQVVFFVLNVIEAIGVLLTFKPFLVETQ >Solyc02g068075.1.1 pep chromosome:SL3.0:2:38691591:38694381:1 gene:Solyc02g068075.1 transcript:Solyc02g068075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREMKGGVDEGKQSKCCGLSSLAWLRFPPPEIPEKGAWHNDLHDTSHLLNSTKRNYWWLYISKFTNSDISHPWLRVGIQER >Solyc10g007510.2.1 pep chromosome:SL3.0:10:1833711:1835906:1 gene:Solyc10g007510.2 transcript:Solyc10g007510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKATLILFLLLSHISVGTLVLAHEQKTWCVAKPSSDLKTLEENIIYACSQVDCRILQNGCTCYSPNNLMNHASIAMNLYYQANGRNQWNCHFGNSALIVMTDPSYGGCIYE >Solyc02g092910.3.1 pep chromosome:SL3.0:2:54493127:54503749:1 gene:Solyc02g092910.3 transcript:Solyc02g092910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSKNNSSTDSENSSGDVPDSKPSLYFEGEKVLAYHGPRIYEAKVQKAELRKNEWRYFVHYLGWNKNWDEWVGADRLMKHTEDNVLKQQALDKKQGMEKSTKSGRSAPAKPKSSADVKLDKEETKSNVPKGKKRKTDSGAEKGNVSVEKLVKIQIPSTLKKQLVDDWEFIMHQNKLVKLPRSPTVDDILTKYLEYRSKKDGMMTDSVGEILNGIRCYFDKALPALLLYKKERQQYHEAVSDNVSPSSVYGAEHLLRLFVKLPELIAYAKIDEESLTKLQQKFLDFLKFLQKNQGTFFLSAYDGPKASEGNGKDKES >Solyc09g076020.3.1 pep chromosome:SL3.0:9:68132300:68138901:1 gene:Solyc09g076020.3 transcript:Solyc09g076020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Imidazoleglycerol-phosphate dehydratase [Source:UniProtKB/TrEMBL;Acc:K4CVH9] MFFGQNGAHNRLSHMELSLSHRLFNSSSSLPSLFNSRIKLSQTHLFSLNNHHQKLQFFTGLHHKLAASMEPQTTIPHAFDNGSSITSSETGRIGEVKRTTKETNVHVRINLDGTGVAENNSGIPFLDHMLDQLASHGLFDVHVKATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALVHVSLDLSGRPHLNFDVQIPTQRVGTYDTQLVEHFFQSLVNTSGMTLHIRQLAGKNSHHIIEATFKAFARALRQATEYDLRRGGSVPSSKGVLSRS >Solyc07g007040.3.1 pep chromosome:SL3.0:7:1814752:1829932:-1 gene:Solyc07g007040.3 transcript:Solyc07g007040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNRKRSNKPKTRKPRNPSYSGRGLFVEGGVLSDWGDFNSPPSSGRNLKGEYGNGNGNSRNRNAAVSSSMNASSSKTELKKSRGSEIRYVYPSADSVIRSDAVCSGGVKDVKLDSEHPILLVDTKETQIIAFVDEGPNKEPQNQGCIYDCTTPLSLDVGQNKDSNEVDYAGDYSAGFSLDESSHRGLGFYEEAEITHGGVGLSPKDEKENPSFEHSFSDEDMDADGGFLGGTSIEMDNHLPAEMSSSLENEGFLSIGGFRLHTRDLSDEESDGDDEDISSDDESSCSSESEESDGSSENDGSSDSDSDVDEEVAADYYEGTGGLCKVIDVRQLVGQVPSSCSDDSLDETVEKLGGIHLQEASRVYGMKKPKKERKFRGGQKSPSAKQAQGSDLDGLVFVKDPRTVSGKKKHAAKFPQSWPFESQKSKNFGRFPGAKKKHRKEMMAVKRRERMLHRGVDLQKINLKLHQMVLDGADMLSFQPMHSRDCSQSSVFYEYNDYKSLVLTFSAEYAQVQRLAAIYRLRSGCQGSGKKRFVTVTKTQHTAMPSPSDKIRLEKLIGAGDEDSDFTVTGIQSYRKDVNAAKNSSKGSGGQSGPSNLFKMPINPRGQKDSSKKRRDQKTVSYALPVSFVSSGIMRSETEVEEKSIETTQTTTIVHETKVVTNSVEYGAFEMHTTGIGSKLMAKMGYQEGRGLGKDGQGISEPIEARQRPKALGLGAEIPETSIRSSGKKDSLPKSSGRGAEVVGGSGKSIRKESSVGFAGFERHTKGFGSKIMAKMGFVEGMGLGRSSQGITNPLVAVRRPKSQGLGAKRSEEGDAYATLPLSSYAEEGSIFLCHSTICSRPKKSPFGVPDLGHPDLIKKLLKMRSFEKFKIWVLLICLVSELCYGFYLPGSYPHKYGVGDLLNVKVNSLTSIDTELPYSYYSLPFCQPQEGVKDSAENLGELLMGDRIENSPYRFKMYTNETEIFMCQTKPLSGEEFKLLKKRIDEMYQVNLILDNLPAIRYTRKEGYFLRWTGYPVGIKVQDAYYVFNHLKFTVLVHKYEETNVARVMGTGDGAEVISTVGKDGSEEPGYMVVGFEVVPCSVQHAPDSAKNLKMYNKYPNPIKCDPTTVAMAIKENEPVSFTYEVNFVESDIKWPSRWDAYLKMEGAKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVSDVFRAPSNPALLCAMVGDGVQILGMGVVTIMFAALGFMSPASRGTLITGMLFFYMILGIAAGYVAVRLWRTIFCGDHKGWISVSWKAACFFPGIAFLILTTLNFLLWGSHSTGAIPFSLFVVLILLWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPPQKYPSWLLVLGAGTLPFGTLFIELFFIMSSLWMGRVYYVFGFLLIVMILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFDLKSLSGPVSATLYLGYSLFMVLAIMLATGTVGFLSSFWFVHYLFSSVKLD >Solyc11g068770.1.1.1 pep chromosome:SL3.0:11:53701528:53702385:1 gene:Solyc11g068770.1 transcript:Solyc11g068770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIVDSDENPIKIREVWSDNLESEFELIRRVIDHYPFISMDTEFPGVIFKPEVVSSRKNRRRYVPLLPSEHYKMLKSNVDVLNIIQVGLTLSDSDGNLPDLGTAGVNRYIWQFNFSDFDVERDRHAPDSIELLRRHGIDFEKNKVNGIDSYRFAELMMSSGLVCNETVSWVTFHSAYDFAYLLKILTRRELPGELDDFLEIFRVFFGDNVYDVKHLMRFCEKLYGGLDRIAAVLEVNRAVGKCHQAGSDSLLTWHAFQKMRDVYFVNDGPEKYAGVLFGLEVY >Solyc12g007270.2.1 pep chromosome:SL3.0:12:1676388:1687373:1 gene:Solyc12g007270.2 transcript:Solyc12g007270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIIHFNSLVLMIIATITFQSYLANGWTSSSMYVNWGSGAQSKNSFLFGSFEMLLKLVPNNSAGTVTTYYLSSTGTKHDEIDFEFLGNISGHPYIIHTNIYTQGVGNREQQFYPWFDPTAAFHNYTIHWNPNAVVWYIDSIPIRVFRNYQSKGISFPNQQGMGVYTSLWNADDWATRGGLVKIDWTNAPFIATYRNFRPRACYWNGPMSISQCAIPTNSNWWASPSYYKLSANKVDFNSLVLMIIAIIALFHSYVVIGMTSSSMYVNWGAHHCKLLGDDLQLVLDKSAGSGAQSKRSFLFGSFEMLIKLVPNNSAGTVTTYYHDEIDFEFLGNISGQPYIIHTNIYTQGVGNREQQFYPWFDPTADFHNYTIHWNPNAVVWYIDSIPIRVFRNYQSKGIPFPNKQGMRVYTSLWNADDWATRGGLVKIDWTNAPFIATYRKFRPRACYWNGPMSISQCSIPTKTNWWSSPTYNKLSANKLGQMNSMRNNMYINWGSHHSWMQGDDLQLVLDQSSGSGVQSKGTFLFGSIEMQIKLVPGNSAGTVTAYYLSSTGDKHDEIDFEFLGNVSGQPYIIHTNIFTQGAGGREQQFYPWFDPTADYHNYTIHWNPNAVVWYVDDIPIRVYKNYQSQDIPYPNAQAMGVYSSLWNADSWATRGGLVKCDWTNAPFIAKYRNFAPRACAWNGPISISQCATQTPSNWYTAPEYNQLSYAKQGQMEWVRSNYMIYDYCKDTKRFNGQFPGECFKPQF >Solyc12g006790.2.1 pep chromosome:SL3.0:12:1199288:1214285:-1 gene:Solyc12g006790.2 transcript:Solyc12g006790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQWQMKLELEQKHMVSRTPLQESLNQNAQCQSSGEHSRMEEVKRHNNAGKRRGRRKGKGILVEKMQDNSVCSASSSSERSLVFPRRPGYGQLGTKCLVKANHFIAELSERNLSQYSVRITPEVKCTRLNKAIMAELVKLHKDADLGKRVPVFDGRRTLYTAGLLPFNSKEFTITLGDDDEWIGITKERKFAVTIKLISQANMLQLRELLAGKQVDNPPQALKIIDIVLRELASQRCISFFLFSCLFRYISVGRFFYSPNIKKPQTLGNGLQSWRGFYQSIKPTQMGLSLNIDMSTTAFIEPLPVVEFVAQVLGKDVSSRPLSDADRIKVKKALRGVKVEVTHRGNIRRKYRISGLTSQPTRELIFPVDEEKNMKSVIEYFQEVYGYTIQYPHLPCLLVGSQKKVNYLPMEACKILEGQRYTKRLDEKQITSLLKSSCQRPREQEMDILQTIRQNGYKQDPIAKEFGINIDDKLASVEARVLPAPWLKYHDAGKEKECHPQLGQWNMLNKKVINGSTVNHWACINFSCNVQENAARGFCHQLAQMCQVSGMEFNCEPVIPVYYARPDQAKKALNYVYNAAVNKLGGKELELLIAILPDNNGSLYGTLKKICETDLGMISQCCLTKHVLKISKQYLSNVSLKINVKMGGRNTVLLDALRWKIPLVSDIPTIIFGADVTHPESGEDFSPSIAAVVASQDWPEVTKYAGLVCAQPHRQELIQDLYRTWQDPQRGTMSGGMIRELLLAFKKATGQKPLRIIFYRDGVSDGQFYQVLLYELDAIRKACASLEPGYQPPVTFIVVQKRHHTRLLPNNHNDRNHTDRSGNILPGTVVDTKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADEMQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAYGARFYVEPDSRDNGSIRGTRATNGSVNVRPLPALKEKVKNVMFYC >Solyc01g081470.3.1 pep chromosome:SL3.0:1:80530124:80539956:-1 gene:Solyc01g081470.3 transcript:Solyc01g081470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-methoxy-6-polyprenyl-1,4-benzoquinol methylase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4AY39] MATLVRSVARSLRRKNLSSMYCPASTLQSHATSFGFKDVAEEEKSKMVGNVFTSVASNYDLMNDLMSGGLHRLWKDRLVSKLNPFPGMKHLDVAGGTGDVAFRILENIKNVKRRAMQDVLDDDMIEETRIYVCDINPNMLGVGKKRAEQRGFGLEKSLVWVEGDAEALSFEDNSMDGYTIAFGIRNVTHIEKVLAEAYRVLKRGGRFLCLELSHVDIPVYKDLYDVYSFSVIPAVGELVTGDRESYQYLVESVRRFPPQEQFAKMIADAGFQKVEYENLVGGVVAIHSGVKF >Solyc08g015690.3.1 pep chromosome:SL3.0:8:5229176:5232949:-1 gene:Solyc08g015690.3 transcript:Solyc08g015690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSENPEIVERVFKDKDEEEKDEQKGSFIDKVKGFIQDIGEKIEETVGFGKPTADVSGIHIPHINLEKAEIVVDVLVKNPNPIPIPLIDINYLIESDGRELLSGLIPDAGTIHAHGSETVKIPLNLVYDDIRTTYHDIKPGSIIPYKIKVDLIVDVPVFGRITIPLEKNGEIPIPYKPDIDVEKIHFERFSFEETVAVLKLKLDNKNDFDLGLNSLDYDLWLSDVNVGGAELEKSATLAKNGITYIDLPITFRPKDFGSALWDMIRGRGTGYSMKGNINVDTPFGAMKLPISKEGGTTNLKKNKEDGGDDDEDEFSSLVHSFRLKLEIDFARATIFIAKMPSAGGDF >Solyc07g040940.3.1 pep chromosome:SL3.0:7:51314934:51320729:1 gene:Solyc07g040940.3 transcript:Solyc07g040940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDGDSPPSAAAAAMVNVAVAVKSGEGRGSQRAVRWAIEKLLPKAHRFFLIHVMPTVIAVPTPSGENTPVNELDDNVVEMYIEDMRANCEEIFIPFKNLCTRKSVETVVLEGDNPATVLLKYVAQAGINSLVLGSFSPSYFGRKQKDGDVPSAILKHVPESFDVYVVSSNGLVKNSLNPLLSTETELNTINQQESIASCASMDFHSRASSLADFTHLNPPAFLHVNTSNHISPQQRYIHNLEESAAVLEAVKSSHSSTYSEHSDIQVEMGRLQLELQNTITLYNQTCEHLIHAQNKVQLLSSKFCEESRRVNAAKEREESLRKIVAELKKKHVETEKEVEIARKLLAEEACERQIAESKALQQSLEKQKVVDTLLSCDGRYRRLTREEIQVATDSFSESKIIGEGGYGIVYKGNLDHTPVAIKALHPNASLKKEEFLKEVEVLSQLHHPHIVLLLGASPGNGCLVYEYMENGSLEDHIFQGKNRPLPWFVRFQILFEVASALAFLHNSKPDPIVHRDLKPGNILLDKNYVSKIGDVGLAKIMSDIVPESVTEYRNSVLAGTFAYMDPEYLRTGTLRPKSDLYAFGIITLQLLAACHPNGLIMKFEEAIDTNSLANVLDKSFVDWPLIETEELSKMALKCCKLRCRDRPDLVTEVLPLLEKLSEFAKMHVRVLGNLRAPSQYFCPILQEVMEEPHIAADGFTYEHRAIKAWVDRHNISPVTKQILQHKMLTPNLTLHLAIQDWRSR >Solyc05g053370.3.1 pep chromosome:SL3.0:5:64329873:64332141:1 gene:Solyc05g053370.3 transcript:Solyc05g053370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCVMDTARALACRDGNAATNLKLISIFVIFFTSVIGITLPVFLARFLFHGKPVHDKAILIIKCFAAGVILSTSLVHVLPDAFTALSDCQVTSRHPWKDFPFSGLVTLIGVLTALLVDLTATSHVESHQNQAGGYTAVGDSEELGILSKKIDVEQQQREAEELVKLKQRLVSQVLEIGIIFHSVIIGVTLGMSQNQCTIRPLVAALSFHQIFEGMGLGGCIAQAGFSFGTTAYMSFMFSVTTPMGIVLGMIVFSITGYDDTSPNALILEGLLGSLSSGILIYMALVDLIALDFFHNKLMSGQPFLKKVSFIVLVLGSTSMSILALWA >Solyc01g104725.1.1 pep chromosome:SL3.0:1:93017026:93018430:-1 gene:Solyc01g104725.1 transcript:Solyc01g104725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIGDLIESSLQILVEASKIGLLVKILVIKSHHFWLDAEHLQETIQNTLSASLLKTSIARTLVTPPVLVTHLV >Solyc07g064280.3.1 pep chromosome:SL3.0:7:66619789:66626490:-1 gene:Solyc07g064280.3 transcript:Solyc07g064280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:K4CHA9] MAFSSPSKIAPPLPKPHRFSSLSQSQIPFKFIKITSLPTKPPAIACVLTGKSMDATAVQRPDSFGRFGKFGGKYVPETLMHALDELETAFNSLATDQDFQKELDGILRDYVGRESPLYFAERLTEHYKRPDGEGPMIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLDCVIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVREFHAVIGKETRKQSLEKWGGKPDVLVACVGGGSNAMGLFHEFVDDKDVRLIGVEAAGFGLDSSKHAATLTKGEVGVLHGAMSYLLQDEDGQIVEPHSISAGLDYPGVGPEHSFLKDLGRAEYYSITDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLANGTKVVLNCSGRGDKDVQTAIKYLKV >Solyc04g015100.3.1 pep chromosome:SL3.0:4:5276713:5282097:1 gene:Solyc04g015100.3 transcript:Solyc04g015100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMVQDSIKPQDVCIVGVARTPMGGFLGSLSSLSATELGSAAIRAALKRANVDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPYSVICTTINKVCSSGLKATMIAAQTIQSGANDIVVTGGMESMSNVPKYLAQARKGSRLGHDTVVDGMLKDGLWDVYNDFGMGVCAELCADQYKITREEQDSYAIQSFERGIAAQRSGAFAWEIVPVEISGGRGRPSSIVDKDEGLIKFDASKLRKLRPSFKENGGSVTAGNASIISDGAAALVLVSGKKAIELGLQVIGRIRGFADAAQAPELFPTAPALAIPKAIKNSGLESSQIDYYEINEAFSVVALANQRLLNLNSGKLNAHGGAVSLGHPLGCSGARILVTLLGVLKQKNAKFGVAGVCNGGGGASALVVEFMPVGMVARSSL >Solyc11g056630.1.1 pep chromosome:SL3.0:11:47389614:47390181:1 gene:Solyc11g056630.1 transcript:Solyc11g056630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRTSGATQEAIDRQLCKRKSKPTVVSGQGSFSQDRPKKGHVEEPRRLRGHPRMISDQSQQVKVLQVPHVPTNGPTSDPQLNNAKFSGPNDSQLVSYGIKLNVNAPFPNTPVESINSSIRSTSTCGQEELDLELRI >Solyc02g089060.3.1 pep chromosome:SL3.0:2:51598131:51603569:1 gene:Solyc02g089060.3 transcript:Solyc02g089060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMCLSRSSGPVKKRQSKRLTNQSAAAVNGGGSNRWSRNRSSKRDDSIIQERALAAAILLQQQMQNGGGGGAAPFDRSASLRYPNSNSKKNQPLPRSSSSRARSLTDPLLQPHQLVNQEVKVDDLETNHFVLVHGGGFGAWCWYKTIALLEEAGFKVTAVDLTGSGIHSFDTNSIASLSQYVQPLTDFLEKLADGEKVILVGHDFGGACISFAMERHPLKVSKAVFVAAAMLTSGQSALDMFSQKTDSNDLMRQSQIFIYANGNDKPPTAIDLDKSLLRDLLFNHSPAKDVALASVSMRPIPFSPVLEKLCLSDSKYGCVRRFYVETTEDNAIPKALQQNLISNNPPEQVFHLKGADHSPFFSKPQGLHRILVEISRIP >Solyc04g050940.2.1 pep chromosome:SL3.0:4:48941348:48944423:1 gene:Solyc04g050940.2 transcript:Solyc04g050940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINEWDSFPTTRCCQNALNFFSHALANQAIQQGNLFLHRDQWGRCTSGSFKHQPSVSISKCGLDHLYQESSRCSTLSLTSVVQNQNFKNVWYNCSRFNSSNFDDACRDCTSAIKSTRDHFLEQLNAKDNGTERAICLVAVVISAATTKLNDPSLIDDFFRCLPGLNTLDKSSEHYIKIRCKYEIFKKEDSLAKALVAIVLATFGMMMVIFLVKYVTRNARAGRKLLRSKPKMFPSCPGLYTFSKAEIENAINYGDEKKFLGRGSAGQVFKGVLPSGQVVAIKQIYRSNTSDSFSRELENLSRVRHPNLVCLFGCCIEDGEQYLVYEYCSAGNLAQHLLRKDMVLSWEQRVKILRDCALALRYLHSYIDGFIVHRDIKLTNILLTEDLDAKLSDFGLAKMLGMEESKVFTDVRGTIGYMDPEYMSNAKLTCASDIYSFGIVALQVLSGQKVIELDLDARDQLTRKAKDVSMGKRGLKDFEDPRLKGEVNSVDFESILQIAVLCVAKSSKGRPTIDVVVEEMEKVLKNTLSENKAAEQSASAALRRSHSVGHVIPV >Solyc01g111810.3.1 pep chromosome:SL3.0:1:97888063:97892659:1 gene:Solyc01g111810.3 transcript:Solyc01g111810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEERGVRETGDFEVKGQGMLKLVSEMLCARGGQKMRSFLCAQISGFHTSKPSLAPRSFFGVEDFVDDDNSRPYTYQKGKKSKNPNKHVSFKQRTVAYMEPFTLDVFISKRFVSASITHRVTCKQVAVAGTNSKDIKAVLKSRSDIPACLSVGQILSDRAREADVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDIKVYLD >Solyc07g062210.3.1 pep chromosome:SL3.0:7:65138034:65141958:-1 gene:Solyc07g062210.3 transcript:Solyc07g062210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRKYFNFICLIYAILCLNYELTSAVVLLKHLSHSRGSKSNNSCDLFQGNWIYDNSYPFYNSSICSFIEGQFNCQGNGRPDKLYLKYKWKPNSCELPKFEGLDFLKRVRGKKIMFIGDSLSLNQWQSLTCMLHAAFPKLNYTLQRKGDISNFVFQDYNVSLILSRNAFLVDLVKEKIGRVLKLDSIQNGDAWKGFDMLIFNTWHWWLHKGSQQSGFYTKRRSNI >Solyc09g018590.1.1 pep chromosome:SL3.0:9:15466527:15469449:1 gene:Solyc09g018590.1 transcript:Solyc09g018590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CSC1] MANISLVFLIIFPFLLILSSAQSLSTNFYSSSCPNVLSIIKTAGCDASVLLDDTSNFTGEKTAALNSGSIRGFDVIDTIKTQVESSCAGIVSCADILAVAARDSVVKLGGPSWTVLLGRRDSTTANLSAANNNLLGPTSNLSALISSFSNKGLTTREMVALSGSHTIGQTRCTSFRTRLYSEANINASSATTVKANCPQSGGDNNLSPLDITSPTSFDNAYYKNMQIQKGLFHSDQVLFNGGSTDSIVNT >Solyc05g046170.1.1.1 pep chromosome:SL3.0:5:59203701:59203904:-1 gene:Solyc05g046170.1 transcript:Solyc05g046170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGDVFHEFFRQRPHHLSNICPDKIQNVNIHEGEWGTVGSINFWNFTHGKHILYLILIFIMNMVH >Solyc08g007540.3.1 pep chromosome:SL3.0:8:2088414:2091677:-1 gene:Solyc08g007540.3 transcript:Solyc08g007540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNTDDMEWPTFLDEYEKLVFRMTIPRVMIDNATSSNATLVKIDSARKHGILLEAVQVLTDLNLSIKKAYVSCDGRWFMDVFHVTDLEGNKLTDKGFISYIEQSLGTIHYASSKSYNGLTALELTGTDRIGLLSEVFAVLSDLQCNVVEAKMWTHNGRIASLIHIKESQSGYPIEDSQKIDRIEARLRSVLKGDNDVRSAKTSVSMAVTHTERRLHQMMFADRDYERKPVIRTSNDTPRVSVLNCLEKGYSVVNIQCKDRTKLLFDVVCTLTDMQYVVFHATIDSAGDRAYLEFFIRHTDGIPISSDAEKQRVILCLQAAIERRASEGVRLELSTEDKQGLLADVTRTFRENGLNVTRAEISTTGESALNIFYITDARGNPVDSNIIESVREEIGWSNLKVKELPLINHQTADRDEPAVGVGGAMLLSLGSIFRRNLYSLGLIKSFS >Solyc09g055570.3.1 pep chromosome:SL3.0:9:42431157:42447842:1 gene:Solyc09g055570.3 transcript:Solyc09g055570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASKLSIIGITLLLWYCCSLLLFTKANSQTTHPDEVKALRAIKNSLVDPNGNLSNWRRGDPCISNWTGVLCYNQTNNDGYFHVRELQLLDMDLSGNLSPELGRLSYMRILDVMWNAISGTIPKEIGNIKTLELLLLNGNELTGSLPEELGYLPNLNRIQIDQNHISGPLPVSFAKLEKAAHFHMNNNSISGQIPPELSKLPKLLHLLLDNNNLSGYLPPELAQIPNLRILQLDNNNFEGSHIPDSYGNMSRLLKLSLRNCSLQGPVPNLGNIPNLTYIDLSLNELIGSIPSNMLSDNMTTIDLSYNNLNGTIPSNFSSLPHLQKLSLENNSLSGSVPSIIWQNRTLNATETLILDLRNNKLLNISGPLAIPQNVTVSLQGNPLCSNSILFNFCGPYNGDAGGTLQLANNTDCPPLACPPPYEYALPYPTCFCALPLLIGYRLKSPGFRDFRSYMDQFKWYITIGLKLNISQLHLNTFSLEAGPRVKMYLRIFPIFDDNNSSRLFNKSEVLRLRSMFTGWLIPDNDLFGPYELINFTLLADYREFIPPPSSSGISKGALAGIILGVIAGAVTISAFVSLLILRLHMKKHHHASSKRSLLSKISVKIDGVKEFNFEELTLATKNFDNSSIVGQGGYGKVYQGTLADGTAVAIKRAQEGSLQGQKEFLTEIELLSRLHHRNLVSLLGYCGEEGEQMLVYEFMPNGTLRDHLSGKCKEPLSFAMRLKVALGSAKGILYLHTEADPPIFHRDIKAIPDLEGTLPAHVSTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNLAYRSGMIFNVIDDQMGSYPSECVEKFINLALKCCQEETEGRPSMVEVVRELENIRVMMPESYSIIRDSVVTDSEKDSRTPSSTSAMKYPFVSADVSGSDLVSGVVPSINPR >Solyc11g064920.2.1 pep chromosome:SL3.0:11:50526826:50536177:1 gene:Solyc11g064920.2 transcript:Solyc11g064920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFFFRVQLLIPLISVLLALFPLSESNNIQFCDATNIYGDSGCDFMSSVSSKILIKGGTVVNAHHKEVADVYIEDGTIVAVQPNIKVSDDIKVIDATGKFVMPGGIDPHTHLAMEFMGSETIDDFFSGQAAALAGGTTMHIDFVIPVDGSLSAGYEAYVEKAKRSCMDYGFHMAITKWNETVSEEMEIMVKEKGINSFKFFLAYKGSFMVNDELLMEGLKKCKSLGALAMVHAENGDAVFEGQKRMIELGITGPEGHALSRPPVLEGEATARAIRLASFVNTPLYVVHVMSIDAMEEIARARKSGQRIVGEPVVSGLLLNDSVLWDPDFHFAARYVMSPPIRAAGHGTALQAALAAGVLQLVGTDHCTFNSTQKALGIGDFRKIPNGVNGMEERMHLVWNTMVASGQISVTDYVRITSTECARIFNIYPRKGAILVGSDADIIILNPNSSFKISSKSHHYRTDTNVYEGWTGMGKVETTIAGGRVVWENDELKVIPGAGKYIEMPPFSYLFDGIDKADAKYLSSLRAPVERSTT >Solyc09g061680.3.1 pep chromosome:SL3.0:9:60384309:60387465:-1 gene:Solyc09g061680.3 transcript:Solyc09g061680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKEAIIITDKDEMRKWTRSMRAQGNTIGFVPTMGYLHQGHLSLIQEAHNQADLIVVSIYVNPGQFSPNEDLSTYPSDFQGDIQKLNSVPGGVDVVFNPKNLYDYGNSQIGNGSDRNLEESGGMVVSCVEDKGMGHETWVRAEKLEKGLCGNSRPVFFRGVATVVTKLFNIVEPDVVVFGKKDYQQWRIIQRMVRDLDFGIKVIGSEIVREHDGLAMSSRNVKLSPVDRQRALSISGALSSAQVEAGNGQVNCRELINTAILTISEAGGTVDYAEIVDQESLEPVETIKRPVVFCVAVWFGKVRLIDNMEIDV >Solyc07g041330.2.1 pep chromosome:SL3.0:7:52515782:52524120:1 gene:Solyc07g041330.2 transcript:Solyc07g041330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSASFSNRLLVGSQERCASISSSAKSAEGKLDIEPTISSLSGDARKKCNENVVAIAESNVKSPVSCIYNERTASHHKRMSRSIDAIEYNGNLNSKGNKWQRQLSQKISLHDGMLNSRTDRLHDEKKHLVADIRHDLFSEHFRSTKKRKTLCELGLQLLNNNSAAKTKFDSSGVKSDVCAHQSPNVYSLPETAQDCKDGEHDDLVDIDELVGGEYIKLLNLDNDTDEESYRLAIEMPLSPTLPEIQCHSSVALVPINTPLYEGFLNAETVASSGNFDVINVEINSNKVKHPTIDPPKKSSLPEKKDHVDSSKRLNLDTACKLSCSSDLVTLEALCRSDLAAPATEGLQISSERRAVSLQDGFAKYCVIFSNNNDAKTISSVYHATSRCLAQCSVSSDTSLRSILMPYSDLEGSKCPFSESAATMDVVASSLLDSLKSYCCSAVGLDLMESLNSSRYGIKCYGKRTDESTDNVDLVQWAYVTLRDSSQFIDTLALMELVAGFMSWDWTFDKIACPLLKLLEYCSTEHNAAAIATLLGQLGRSGLEAFGYEDVRIQRLRSSFCALLSQCDSKRMDLHLQFSIGIALVGLIPLRFEELVGSNIEVAPAANPTDCLRKWFSLLSSEQRLLFKARIFC >Solyc10g086125.1.1 pep chromosome:SL3.0:10:65187321:65189808:-1 gene:Solyc10g086125.1 transcript:Solyc10g086125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLIGKTITLDVKSSNTINNVKAKIEDKEGIPSDQQRLVFGGKQFKDDHTLADYNIQKESTIHLVLSLCGGYREDEEPNVSRVSKLHSPSSFTDSI >Solyc01g014780.1.1.1 pep chromosome:SL3.0:1:15013543:15013791:-1 gene:Solyc01g014780.1 transcript:Solyc01g014780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQICYTIENFEKLDLVSTLGWESETTFPNVVGDVVGSFVGAIFVDSSFEKDTSYIFKHKASSCVCISKVWRVKYNIEGKR >Solyc12g049610.1.1.1 pep chromosome:SL3.0:12:62209700:62209888:1 gene:Solyc12g049610.1 transcript:Solyc12g049610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASTMRNMVRKLMTSQDRVVNYVLDLCVYLLYVCIFYAKVGELGRKLQVCSLYEQFSFLR >Solyc01g014747.1.1 pep chromosome:SL3.0:1:14828831:14829020:-1 gene:Solyc01g014747.1 transcript:Solyc01g014747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFGGDLNIICYSDSAWARSIDYMKSTSGHSFLFVFVLGYQIIKVYCSINCRSRICLNS >Solyc01g067730.3.1 pep chromosome:SL3.0:1:76485331:76487761:1 gene:Solyc01g067730.3 transcript:Solyc01g067730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:K4AX21] MASVTGSSFAISSLSSSFNPNKACLKTSSLSIKGISFPSLRVKPATRRFSISCAAKPETVDKVCEIVKKQLALPSDSAVNGESKFIALGADSLDTVEIVMGLEEAFGITVEEENAQTIATVQDAADLIEDLVAKKC >Solyc04g050060.1.1.1 pep chromosome:SL3.0:4:45298969:45299241:-1 gene:Solyc04g050060.1 transcript:Solyc04g050060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELNVSSSKSDEPSSPSTEIKLAPPDDKSDAPKQDHVSTELSSNVASSPADDLTENKERKSCENHDSNGRDLSVPPAKRAKSEETSPL >Solyc07g006960.3.1 pep chromosome:SL3.0:7:1776368:1782114:-1 gene:Solyc07g006960.3 transcript:Solyc07g006960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTECTSPPPPRIGKIGPYTVFMTPPPTPKSGSTELPPPIQPQPVQTPPVQVVDKSCPVWSPPMQYDKPSQSSFGFFWNAVAKVQNAHASLDEQVAYWFGLNQSKYQWALDDYYESKNINKAEEKAKVVASKLENV >Solyc07g054600.3.1 pep chromosome:SL3.0:7:63008150:63010542:1 gene:Solyc07g054600.3 transcript:Solyc07g054600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRISNLPIEIIREIQSRLPRIYAVKTSILSKKWQRIWASHPKILLDEIDFGADNSRYRAKDKPKREAFLTYLIKSLEIRERHKNCEVDELFLRMIVDSDADELLVSKWISFALENSIKRLCLSLQTIRRDHYHLYGVAFCSHKLVDLILSDCKIKNCSFKLPALKLLFLFVVRIEDDDFKDLIAGCPLIEQLRVQDTKKLRTINLVSNPNLQFFGVHLPCPHGGGKIRIESPNLHSLEFISFNIHLCELEIMSTTTVRKLTLLHAYDPETFIEKFPLLDKLVLDTCGWSQKLHISQPNLVSLVLKDSTVRREVRIDSPKLKSLEYKGGLTDFTGIQDLQELEFVLLYLDLLKLPDNWYSWFRDILESCARSKTLSLICDIQEVVLIPVKVTDILPVNDIKNLELEIKTRHGTFEVVIAELISMLPDLKTLSLTLGSTTKFFKFS >Solyc03g082340.1.1.1 pep chromosome:SL3.0:3:53712957:53713283:-1 gene:Solyc03g082340.1 transcript:Solyc03g082340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPPLRVTPSHQAKSPEDPSESSPSHRGAHPEDPFPPRSHPRVLGAHAPRTPPLNHPRILEAHALKTLSLLIIPESSRRTPRGPLPPLESSPSSRGARPEDLSLPSP >Solyc02g089600.3.1 pep chromosome:SL3.0:2:51999258:52005150:1 gene:Solyc02g089600.3 transcript:Solyc02g089600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKDLERKLKKKKIKDGESQTMNSNSVQNEENFPKVTKEKKRNKKNLNMQSRRSKDDIDECHIAYHKDESNNAVGEKKKSKSSKQKRKNNTLAESGGVNQSNEIEDEIGRDNTISSEPDNQETRKSKRKKRKSDKKAGKAEVDGVPKRQRGNLKETNDIVEKDHPLSIAKDDFPVDGEQVEEEEIYELSSGDEDYSKGMRKWVANYYQNRPGLDVLQERIDEFIIDYEAKKEQEKKEKEALAAEDGWTVVVHHKGRKKTTDSETGIAVGSVSQAAVMDNVAKKKNKDVGLDFYRFQKREAKRNEIMVLQSKFEQDKKRIQQLRAARKFRPY >Solyc07g062360.1.1.1 pep chromosome:SL3.0:7:65247249:65247935:-1 gene:Solyc07g062360.1 transcript:Solyc07g062360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAIFMLFITMLVCVAPCFEAKTQSKNMDNEKVTNLASSAPYNNKGHVYGNLNLDPNHRAGHSSLSLRIGQGYGNLKPSLSIGAGHSSPPPKHGASHSVPPPGMGYVYGNLKYDPSRGAGHFGSPFRMGHVYHNLRSVPSPGAGHSGPPGMGHVYGNLRSGPSPGAGHSGPPGIGHVYGNLRSVPSPGAGHSGPPGMGHVYGNLRSGPSLRAGHFGPPDPATGHIY >Solyc04g077030.3.1 pep chromosome:SL3.0:4:62077868:62083972:-1 gene:Solyc04g077030.3 transcript:Solyc04g077030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCSLPQDSLFLGFDCSTQSLKATVLDAYLNIIISELVNYDSELPHYNTKGGVFRDQFVNGRIVSPTLMWVEALDLILHRLEMSNLNFGKIAAVSGSAQQHGSVYWKNGSFGILSSLDPKKPLVDQLLDAFSIEKSPIWMDCSTTEQCKAIEIAVGGGLELAKLTGSRAHERYVGPQIRKIFETQPEIYQNTERVSLVSSFMASLLIGDYACIDQTDGAGMNLMDIEHRTWSKIALEATAPSLEEKLGKLAPAYAVAGSIASYYVERLAKIDMLFTKFFCVSTDRYHFNKNCVVVQWSGDNPNSLAGLNLNTCGDLAISLGTSDTVFGITTDHKPSLDGHVFPNPVDTKSFMVMLVYKNGSLTREDIRDRYADGSWEVFNMFLQQTPPLNGGKIGFYYKEHEILPPLPVGFHRYVLDNFTGDSMDGVIEHEVEEFDPPSEVRAIIEGQLLSMRAHAERLGMPSPPKKIIATGGASANDHILTIIASIFGCNVYTVQKPDSASLGAALRAAHGWLCNQKGKFVPISDMYIRKLEETSLSCKLAVPAADQDLVDKYTLLMKKRLEIENRLIQRLGR >Solyc12g062455.1.1 pep chromosome:SL3.0:12:33060758:33061251:-1 gene:Solyc12g062455.1 transcript:Solyc12g062455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQDDCRKFFCRMIIIDELPFRFVEKEGFKLFMKLAQPCFFIFLPEIQQRVSLITDTSTSIQRINYMVITTHWIDKNWTLHKRIINFCPITSHRGEDLGKSISKYLHEWGLHRIFTATVDNAGSNSTAITELSKQLTK >Solyc07g041023.1.1 pep chromosome:SL3.0:7:51666543:51673029:1 gene:Solyc07g041023.1 transcript:Solyc07g041023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAALLVPSQFAAPKKVLSSYYNDYSDVRADATTNPRSWNIIEHPPRYSWNIIEHPPRYSWNIIESSTLLQLEHYRAIHLVTVGILSSHPPRYIGLLSSHPARYSWTIIKPSTSLHMKYYRVVHLITVEILSSHPPCYSWNIIESSTSLQLEYYRASTSLQLDYYRAIHLVTVEILSSHPHRYN >Solyc03g117145.1.1 pep chromosome:SL3.0:8:12656101:12663584:1 gene:Solyc03g117145.1 transcript:Solyc03g117145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISCPLSPGMFFSSINSLSVYKVKLLTGISCVELQEKGRQYSSNTAYVHHWKKSGRGYYERTSTSKTGTRRGAGSGYKKRPKVVGQGVFVADTGYTCINQGLSSRRRVNTSV >Solyc09g057522.1.1 pep chromosome:SL3.0:9:49759796:49761819:-1 gene:Solyc09g057522.1 transcript:Solyc09g057522.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGERVKLEESMSREGIYLAAVGAHYHCSLRRADVVVILPREQDNWWHHLLDVVSEEEDCLVARRIKLLPSMEVRWWSLCAFRSSPLLSNCLFLGCCWMELFSDLLESRTERRENGYGWWIWRGEEANEEKN >Solyc05g052210.3.1 pep chromosome:SL3.0:5:63340924:63343797:-1 gene:Solyc05g052210.3 transcript:Solyc05g052210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWRLVVVLITLISVSLEQSNASSVHPLQHLDNQVAPSPRSGAAVKGNLGTDQVPVGQPTAGFGSGTCIGVSNKCQDDHIGMTACFFPAGKGHEEPFLFVLNNGDNALTLKVNVSSANKTYENIQIPKHDFKQINISSKIGGSSSIMLDAGTGKCTINIGRSLWQGYFNMPSYTMYVNPTNGAYLLGMTALLIGGIVICCKLRTQDRHVDGIAYQELEMGQPEPQSSMKLEISSEGWNESWDEDWDDEKADESPDGKTISIKQSKW >Solyc01g008240.3.1 pep chromosome:SL3.0:1:2303734:2312454:-1 gene:Solyc01g008240.3 transcript:Solyc01g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASVATSTSRISYPMTHSFNTTCNFASPFRSSFSSLAWKKVRFSIGNRGRKLGFRNCSTLRISCGGGLTEIKEDEFSDVVLKSDRPVLVEFVATWCGPCRLIGPAMESVAEEYKEKITVVKIDHDASPKLIEEYKVYGLPTLILFKDGKEVPDSKREGAITKVKLKEYLDGLLKTVSIAPAWICLSGYVTSRLMTQVHDTHLSPHDCLSGGFAVSGGSGDYEGKVTAFVIMTCLVAATGGLLFGYDIGISGGVTSMDEFLLKFFPNVYHKEKNLKEGGSQYCKFNDHMLQLFTSSLYLAALVASFAASITTKAFGRKISMLIGGLIFLVGAVLNGAAMNLGVLILGRLLLGVGIGYANQSVPVYLSEMAPPKLRGALNVCFQMAVTLGILIANLVNYGTSTMKKNGWRVSLVLAAVPAVVMTVGAVFLPDTPNSLIDRGQKEKAKTMLQKIRGTSNVDNEFEDLIIASDMSKQVENPWGNIMRPRYRPQLTIAVLVPFFQQLTGINVIMFYAPVLFKTLGFGDGAALMTAVITGLVNVFATLMSIFTVDRFGRRPLFLVGGTLMLICQIAVGSIIASVFGVDGLGTFSKGLGNITVAIICVYVAAFAWSWGPLGWLVPSEVMPMEIRSAGQSINVSVNMFFTFIIGQIFLTMLCEMKFGLFFFFGGFVVIMTLFILFFLPETKGIPIEDVTRVWKSHWFWKKYMPADDSTHV >Solyc01g103660.3.1 pep chromosome:SL3.0:1:92088923:92095723:1 gene:Solyc01g103660.3 transcript:Solyc01g103660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFIQELFTGFRGKPVYLTFNSCSDLYQQVVSKCRILHGRFSSTPWLCSPHLQTIFLQLFERVPACIYQRQILKTSDGGTIALDWLRNVNVKIPSVEGFDGVQSDDKTPIILVIPGLTSDSNSAYIKHLAFKMAKSGWNVVVSNHRGLGGVTITSDCLYNAGWTEDARKVIDHLHTQYPQAPLFAVGTSIGANVLVKYLGEEGVNTAIVGAVAICSPWDLLIGDRFIKRRFAQRCYDKALGIGLKLYAQLHRSILPRLTDWDSISKIYCIRDYDQHVTCVLNDFETVDTYYRKVSCSSYVGNVRVPLLCISALDDPVCSRETIPWDECRANKNVVLATTQHGGHLGHLEGLTGKSLWWVRAVDEFFCAFNSSSLIHREKIQNTTVDSPPLKSIDEAPSVNIREDGLVTAFGHEPSSGLGNEQLVEDDNGTVQQEPNATTRFDSVCCPNINAMMVPVKNCLNQLSRRSKISMWVLACVAITTTWPILGSLLFKKKLRKKHFTSSSMKR >Solyc07g016120.2.1 pep chromosome:SL3.0:7:6300678:6301101:-1 gene:Solyc07g016120.2 transcript:Solyc07g016120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEVQAQHMCKSTSQTFKGLCFTYSSCRKGCLKEKFEGGHYIVANSKGSAYALRFVYLTKFQMN >Solyc07g007000.1.1 pep chromosome:SL3.0:7:1795159:1795661:1 gene:Solyc07g007000.1 transcript:Solyc07g007000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPELEEELSFENEDCGGGVTERQLPVVLLNITQSINFRKDDHPSVYEKIGRRAKQDCGHWCLPGVPDEWNELICATFCLFVF >Solyc10g054963.1.1 pep chromosome:SL3.0:10:56113042:56113989:-1 gene:Solyc10g054963.1 transcript:Solyc10g054963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGPVKGRQSMSLPAKSKILFYVTKSQDKYPDLTSFCHHPKITVHPSESSAASDLSPSLASDSSLSVVPSLAFCSWEQRIIRFPIENTVQGSWFN >Solyc09g048970.2.1 pep chromosome:SL3.0:9:34669027:34671264:1 gene:Solyc09g048970.2 transcript:Solyc09g048970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKAIVIRHMDDFSAKKASKYVGCSMALTTLERIEEGKVREHTAWSCLLEMWPRDKVYLSHQKMADYKYVPGENPIFMNEKMSRIEKDSVVRFIVVGTRYVEVEKEFQAVMILESDYLGHHLTKLCNLSEVSVLILLLKPSSGHVNVKRTKVCATDRAQTVVERTCSKKGDMVLAQSSPDNSWNRAMEAVDSYNREKHARDTTKKLQVSLSEELNKILQVEIRRQERISGIFVWLHYSVESIVNETLKHVEIEKAAVVENISGPRGHYTAL >Solyc05g005390.2.1.1 pep chromosome:SL3.0:5:311388:314100:1 gene:Solyc05g005390.2 transcript:Solyc05g005390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVELPSHLNQEIAASEEDSECTIREQDRFMPIANVVRNMRKILPPNAKIADESKLVIQECVSEFISFVTGEANDRCKLEKRKTITAEDLLWAMNSLGFDDYVEPLTLYLQRYRELDGGERGSLRVDHFPLKRPTVNPSSGYSLMPNHLPPNFSMANHNGYYVYPPPIDNSYRQGDASSGSTSHACAVAAVDGDVKSPAEKSEE >Solyc06g069240.2.1 pep chromosome:SL3.0:6:43122728:43124834:1 gene:Solyc06g069240.2 transcript:Solyc06g069240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSNNNCSPILSSLICQNIPSSPCMQYEHELYFQSFNHDNQYYFQQQQLVPSIDDLSPHILADSCTEIITKPSNCNHELQGMEEGRGEKKGDDDVMSSRISGRISKNNKRSSNKDRHSKINTARGPRDRRMRLSLDAARKFFRLQDLLGFDKASKTVEWLLTQSDSAIEELVAAKGNDAQVAQQTSCNTPTTTTGIGAICASNSISESCEVISGTDETSSNDKNKETAQDEEKKKRKKVVNTARRAVLEPLTKESRNQARARARERTKSKKMSQTGKSKSLANDLNPSGSRRPANKTCEEPGTHEELNFHQEKNTVDDCNFMVNGNWNPFTIFSYHEQYAGISNETCNFVESYGKARARNFEADKVPSSFCAGNSKRIKFLLHFVLTRPQQKNVSGLYLLVNVT >Solyc03g116850.3.1 pep chromosome:SL3.0:3:67593531:67598352:-1 gene:Solyc03g116850.3 transcript:Solyc03g116850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNYKSQIIGGQKEKFVRLEDANSDISFKSDMAGRTKYGFNIEGHATNPSKSIKLGVKRGSEGLVTFGRTLKSGVTRAVFPEDLRVSDKRIFDPQDKSLLFWNRLLVISCILAVSIDPLFLYLPVFKDEGKCLHIDESLAKIVSWMRTAVDLFYLIRMVLQFRTAFIAPSSRVFGRGELVIDPKQIATRYLSRYFVVDFFSILPAPQIVIWRYLGGSRGSDVLITKTLLSYIILIQYIPRFLRFIPLSSDLKKTAGVFAETAWAGAAYYLLWFVLASHIFGAIWYLLAVERKDTCWNEACTENDQCKDKLSYLYCSREGNFNLTEWQNIGKSVLDEKCAEEEKFSYGIYARAVTTNVLDTEDFVIKYCFCLWWGLQNLSTLGQGLETSTYTKENLFSIILAISGLLLFALLIGNMQTYLQSLTVRLEEMRVKRRDSEQWMHHRVLPPELRERVRRYDQYKWLETRGVDEESLVQNLPKDLRRDIKRHLCLNLVRRVPLFENMDERMLDAICERLKPSLCTERTYIVREGDPVGEMMFIIRGRLESVTTDGGRSGFFNRGILKENDFCGEELLTWALDPKSGSNLPPSTRTVKALTEVEAFALEAEEVKYITSQFRRLQSRQVQHTFRFYSQQWRTWAASFIQAAWRRHTRRKIAELRQIEEMEEDGFNENDDGETEFTPMLHKSLERPY >Solyc04g078550.3.1 pep chromosome:SL3.0:4:63345240:63346947:1 gene:Solyc04g078550.3 transcript:Solyc04g078550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDLMTSGYRTDNFSSKMEETAVQEAATAGLQSVEKLIRLLSKSHQNQQQQQTNFQDSSSGNSSVSADYQAVADAAVNKFKKFISLLDKNRTGHARFRRGPISSLSPPLPSKPQQLQQSIKNQNPQIEEIEKPQASNTKIYCPTPIQRLPPLPHNHLQLVKNGSIERKEASTTINFASPSPATSFMSSLTGETESLQQSLSSGFQITNLSQVSSAGRPPLSTSSFKRKCSSMDDTALKCSSAGGSSGRCHCPKKRKSRVKRVVRVPAISMKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDAAMLIVTYEGEHNHSHSITETPGAHVLESS >Solyc08g066180.3.1 pep chromosome:SL3.0:8:54639886:54651496:-1 gene:Solyc08g066180.3 transcript:Solyc08g066180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRLSRTTPPPLAMAARVLPRSFHPTAASAMSVSTNSHFILPPSPTVAHISFGKSLSIIGRKFHYGLNCKEDRRWSVAGWSGKVFASQREYRKSRKRSLKKKEKELELSVNICIEEQLPDDPEIVDISEMLRLNVPMAMKFAFDNLKDSDYQTRDRAINDVGCFESVELSVLLCNDEFIRELNKDWRDVDSPTDVLSMSQHIPELELPILMLGDIVISVETAARQAEERGHSLLDEIRILLVHGLLHLLGFDHEISDEAEAEMEKEEELLLKCLGWKGKGLIQSAYDAEAAGSSLLENIDRKKEGSLRFYRPKFSYIFCDMDGTLLNSKSQISSATAEALREATSRGVKVVIATGKTRPAAITLLKSVGLAGKDGIISEVSPGVFVQGLLVYGRQGREISRINLDPVVCREACLYSLEHRIPLAAFSEDRIFTLFHHPLVDSLHTIYNEPKAEIIPSVEQLLTGTDIQKVIFFDTVEGVSSTLRPYWEESLGDNASVVQAQPDILEIVPPGSSKGSGVKILLDHLGVSPKEIMAIGDGENDVEMLKLASLGVALSNGSEKAKAVADVIGASNDENGAADAIYRYAF >Solyc02g014543.1.1 pep chromosome:SL3.0:2:17609507:17610045:1 gene:Solyc02g014543.1 transcript:Solyc02g014543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SALSNRFSLKDLGPLHFFLGIKVLPQSAGLFLSQTKCIMDILQEFSMLNDGSPPRDAKKYRSAIGKLQYLAFTRPDISFSPSHTHWQVVKCLIRYLKSNIHYGLLFHHRSNTALHVYIDAEWVGDHDDRTSTWLNTNQLVIKETKNSCPIFK >Solyc01g104435.1.1 pep chromosome:SL3.0:1:92749021:92755940:-1 gene:Solyc01g104435.1 transcript:Solyc01g104435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSSAKHINALEMNKSQKREPQEFINGCELWLKKHEEQDKLGLKTPRLLRVGKSVMLEQPKLYLANIALARQSELTSHTNLNDIHVSKNSLIDEFYLETSIEKETVSSANADRDVERSVVVVGVTSSIAPRPISLGGIVTGSSSSDIISKSALHFLITLTLKKGFDSGYRLQDKPLEVPSGNLNSFLVVNWKAELLIKDSGGGRGGGGQAEEPFCPETSSPTSSFWSSRAMRCGFTSEECTAREQKRHETRVHIAGNIFGKTRDTSKYIAYWSLKQDNQALTMVDQIVPFNLQLVDFNK >Solyc07g044980.3.1 pep chromosome:SL3.0:7:58150418:58156415:-1 gene:Solyc07g044980.3 transcript:Solyc07g044980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NIM1-like protein 2 [Source:UniProtKB/TrEMBL;Acc:Q6GUF1] MESGHESFATSSNVSNECSSPQEPGPNVDHLSNLCGSLEKLLLNPEYDYSDAEIVVEGINVGVNRCILAARSQFFHEKFKEKNENSLKNEKPKYLLKDLVCVSSIGYEVFMVLLNYLYTGKIKSSPSEVSSCVDNACAHDACRPAINYAVELMYASSTFQIKELVMFVERYLDNFVDKATPEDVIPILLVAFHRKSNQLLEHCIQRVARSDLDNATLEKELPHEVLTDIKSRRLKSRQGTEQESLDSLSEKRIRRILKALESDDIELLTLLLEESNVTLNDACALHYAAAYCNSKVVNEVLELGLGADVNLQNSRGYNVLHVAARRKEPSIIMGLLAKGASVLDTTRDGHTALSICRRLTRLKDYNDPPKQGKVTNKDRLCIDVLEREMIRNPMIGSMCSSSLVLADELLMRLLLFENRVALARMLFPQEAMLAMEIAHADSTAEFTGLSATNGLCKNPGGVDLNKLPSEQVKRLQDRLGALLKTVDTGRRFFPNCSEVLDRLLEDDKLDSLMLESGTPEEQRSKKMRYTELKDEVMEAFKKDKAEKNWAGFSTSSSSSCSPKTNVSHKNRKK >Solyc03g115820.3.1 pep chromosome:SL3.0:3:66890188:66900652:-1 gene:Solyc03g115820.3 transcript:Solyc03g115820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSLGSSTLLQSQISGFGGSQKISFSNPNSLTFTRRRIQTVVTASSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVEQAGCDWIHVDVMDGRFVPNITIGPLVVDSLRPITDLPLDVHLMIVEPDQRVPDFIKAGADIVSVHCEQSSTIHLHRTINQIKSLGAKAGVVLNPGTPLTAIEYVLDAVDLVLIMSVNPGFGGQSFIESQVKKISDLRKICAEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAPDYAEAIKGIKTSKRPAAVAV >Solyc05g024383.1.1 pep chromosome:SL3.0:5:31507189:31508490:-1 gene:Solyc05g024383.1 transcript:Solyc05g024383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAPRHLHLVVIRRILRYLLRTTTRGLFFSSGSLIHLNDFIDSYWVGCSDTRCSVCGCCIFGVINYFLGIEFHQTEDEIFISQRKYAKDLLIKFGLVNCKPGATPMNIGEKLQLHGGTEMDDVRSFRSMVGGLTYLTHTRPDIAVLCLCYIQVYATTFKG >Solyc12g077630.1.1.1 pep chromosome:SL3.0:12:18095084:18095293:1 gene:Solyc12g077630.1 transcript:Solyc12g077630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTANQMLFITIIEERENPIMASNYATLNPMGNIGPHDLMTKYPFLSPNFPIIKIAVAGHSGYLSPSCK >Solyc01g099163.1.1 pep chromosome:SL3.0:1:89360692:89368371:-1 gene:Solyc01g099163.1 transcript:Solyc01g099163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVALVSCYSQPNKLFVPNKAVVGLPGSGINIRLKLGRLTSDIIGKKQSTTRGLNNKFYVDTLLGIPLPVSPSSLVGKSNVLPVANELMNARVISCIGFGQLPNRTFNTLVSWCIYFANSTKLISVWMWQA >Solyc04g082790.3.1 pep chromosome:SL3.0:4:66415125:66425158:-1 gene:Solyc04g082790.3 transcript:Solyc04g082790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADASITSTATSSIAASTTANPPESKSWADQADEIDQAEQSSASNEDAAAEINIGSLQVDESKRDNSTLSDPEDSSIEAVTSGDTMYKSAKRFEDLNLSPELLKGLYVQMQFERPSKIQEISLPMILTPPYKNLIAQAHNGSGKTTCFVLGMLSRIDPKLAAPQALCICPTRELAIQNMEVLLKMGKFTGITSELGIPADSANYIPISKRPPVTAQVVIGTPGTINKWVTGRKLGMSCMKILVFDEADHMLAESGFQDDSIRIMKAIVKASANCQVLLFSATFGENVKAFVTKIVQDLFVQDYNQMFVKKEELSLDSVKQYKVQCPDELSKIMVIKDRILELGQKVGQTIIFVRTRNSASMLHKSLVDYGYEVTTIQGALRQEDRDKIIKEFKDGLTQILISTDLLARGFDQSQVNLVVNYDLPVRHESPTEPDHEVYLHRIGRAGRFGRKGNLLSLSYACFLDRLMAENEPHIYVVNFMQPFPRVEQISWNSEEDFENALKIAGLLLLTVPKADDKYAHLNNLDKAADNLKLFKADLLDPNSLAGAIKGCDGVFHVASPVPSGSVPNPEVELVEPAVNGTLNVLKACYEENIKRVVFVSSVAASALDPNWPKGQVKDETCWSDDQLPICLIAFCGSKCMQNWYCFSKTVAEKEAWSYAKQSGLDLVTVLPTLVIGPMLQKTKNSSSLVLIKLLKEGYEELENKKRFLVDVRDLADALLLVYERPEAEGRYLCMAHAVKSEDLVAMLKKQYPNYNYPKRFTDVTEDGGFSSEKLQKLGWQYRALEETLVDAVESYKQKGFLD >Solyc09g018410.2.1 pep chromosome:SL3.0:9:14754283:14754963:1 gene:Solyc09g018410.2 transcript:Solyc09g018410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNYFPPCNKQDLTLGTGSYYDATSLIVLHEGCVSELHALSNGREKSFIHREVVNNKTPRKSHDFILYPENNKVVSPPTKLVDDNNPRIYPEFTWPTLFEVTQMTSGRDRHMRFKIEDLGFLFIYVVSFLVY >Solyc11g018750.2.1 pep chromosome:SL3.0:11:9357652:9364936:-1 gene:Solyc11g018750.2 transcript:Solyc11g018750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILAIKDDHTTSKMQGLPMEDVPHEIYSAPLIVSS >Solyc10g008630.3.1 pep chromosome:SL3.0:10:2693822:2699878:1 gene:Solyc10g008630.3 transcript:Solyc10g008630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFTYLSKVLHSHSSYSKLLVLCSISTGGLLVYAESNVESGKQVVEQNQPESKKKRVVVLGTGWGGTSFLKDLDISSYDVKVVSPRNYFAFTPLLPSVTCGTVEARSVVEPVRNIIKKRSGEIQFWEAECLKIDPENHRVSCRSGINDNLAGHNDFSLQYDYLVVAVGAQVNTFNTPGVMEHCHFLKEVEDAQRIRRTVIDCFEKSVIPGLSEEERRTNLHFVIVGGGPTGVEFAAELHDYVYEDLVKIYPSVKDFVKITVIQSGDHILNTFDERISSFAEQKFQRDGIEVSTGCRVTSVSDHFISMKVKSTGKNVEVPYGMVVWSSGVGTRPFVKDFMEQVGQEKRRILTTDEWLRVKGCSNVYALGDCASVDQRKVMEDISAIFKAADKDDSGTLSVKEFRDVLEDIIIRYPQVALYLKNKHLLEAKDLFRDSEGNEREEVDIEGFKLALSHVDSQMKSLPATAQVAAQQGTYLARCFNRWDQCKSNPEGPRRFKSSGRHEFLPFEYRHLGQFAPLGGDQAAAELPGDWVSMGHSTQWLWYSVYASKQVSWRTRYLVVGDWVRRYIFGRDSSRI >Solyc03g033600.3.1 pep chromosome:SL3.0:3:5184816:5188182:-1 gene:Solyc03g033600.3 transcript:Solyc03g033600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein Sm D3 [Source:UniProtKB/TrEMBL;Acc:K4BFN2] MSRSLGIPVKLLHEATGHIVTVEMKSGELYRGSMVECEDNWNCQLESITYTAKDGRVSQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLESRIKGKGSSLGVGRGRAMAMRAKAQAAGRGAAPGRGVVPPVRR >Solyc09g075600.2.1 pep chromosome:SL3.0:9:67703654:67711189:-1 gene:Solyc09g075600.2 transcript:Solyc09g075600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRLAISSSTKLHRLLLAQSQKYKSFSSSTGGRTADPAIHSGPLEGDDLEESVKFSENEKKQPNIKSGKAKDAFVPPKSPIGSSQKIESTGVNQPIDPLTQQKRQISSNATEKTSLENASCAGLDGSPWPDESKSRGDQFEDDKEYFSHHKPSPLAEMEMADTRKPITQATDAPPDDGIPLYPAPWGGMMVWRPEQLDTAEEALLRAMEIWKMNAMRGDPDSPQGRILRKLRGENW >Solyc09g092075.1.1 pep chromosome:SL3.0:9:71704512:71706243:-1 gene:Solyc09g092075.1 transcript:Solyc09g092075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSNTENNQTQQEDLRKHFIANKVNAFMEALSLNVSSPKYKQSSSIETKNEFQQHYCQVADKNDHEIHSIAPRSRRKCLLLQMPA >Solyc10g079420.1.1.1 pep chromosome:SL3.0:10:61086939:61087556:1 gene:Solyc10g079420.1 transcript:Solyc10g079420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLITDLPKKLFKSKKKRSISRSENTSFGSVTTSSSSSGSVTTPTSVLPSTNTQWPSEISAEVYSELVQAFQMIDGDGDGKIHKEELSAILTRVGSDPPTEEELVQLLNEVDVNGDGCISLQEFGAISSAFGPPSCDSEMRDTFEFFDANHDGKITAEELFNVFRTIGDGRCTLEECRRMIRGVDKNGDGFVCFEDFSLMMEQQR >Solyc05g041750.1.1.1 pep chromosome:SL3.0:5:54499420:54499665:1 gene:Solyc05g041750.1 transcript:Solyc05g041750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTKGDLVGSFVVCLMKKKARVICVVLGGLWLPQNAGCSSGRGFPASLASIRGEKSGGRRVGTGGGLEQARRCLGGAILV >Solyc11g069470.2.1 pep chromosome:SL3.0:11:54360713:54367512:1 gene:Solyc11g069470.2 transcript:Solyc11g069470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVAQQHRESSSGSITKHLDSSGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECHILSNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMRQQLQSVSAATTDVSCESVVTTPQHSLRDANNPAGLLPIAEETLAEFLSKATGTAVDWVPMPGMKPGPDSVGIFAISHSCSGVAARACGLVSLEPTKIADILKDRPSWFRDCRNVEVITMFPAGNGGTVELLYTQIYAPTTLAPARDFWTLRYTTTLDNGSLVVCERSLSGNGPGPNPTAASQFVRAQMLPSGYLIRPCDGGGSIIHIVDHLNLEAWSAPEILRPLYESSKVVAQKMTIAVSSTLRYHLIRHIDLYVCQALRYARQLAQETSGEVVYGLGRQPAVLRTFSQRLCRGFNDAINGFGDDGWSMLSSDGAEDVIVAVNSRKNLATTSIPLSPLGGVLCAKASMLLQNVPPAVLVRFLREHRSEWADFNVDAFVASALKSCPYTYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVIRLEGHSIGQEDAFMPRDIHLLQMCSGTDENAVGACSELVFAPIDEMFPDDAPLLPSGFRIIPLESKSGDAQDTLNAHRTLDLASSLEVGPATNSTTGDAASCYSARSVLTIAFQFPFEDNLQDNVATMARQYVRSVVSSVQRVAMAISPTGMNPTLGAKLSPGSPEAVTLSHWICQSYSYHMGTELLRADSSGDESVLKNLWQHQDAILCCSLKSLPVFIFANKAGLDMLETTLVALQDISLDKIFDESGRKVLLSEFAKIMEQGFACLPGGICMSTMGRHISYEQAIAWKVFASSEENAVHCLAFSFINWSFV >Solyc09g066140.3.1 pep chromosome:SL3.0:9:64775762:64782407:1 gene:Solyc09g066140.3 transcript:Solyc09g066140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGGISSPGGFMTVLSYAVCEWFLMFMLFIDAALAQFIAKFASYYELQTPCMLCSRFNHILGDKKSGCYGSHLCRNHKEDVSFQVFCHIHGNLADVRAMCEECLVSFATENILIKESDNLMIDKLGNKSFIPTSPGPWNCSCCQKTWRARSSAQRLLQLTSVGFGASKANVKPPLPRAPGRSRFSRRDSFKKIRDKISGPESPRTRASALDPLSHVGYTELKITSDSESEIQMSDDEDGCSATRGKNDSRSEDNVPHDKGITQKLETDQPRQSSESKPYHLDQPRQLTVNSGKTVSFQASDDFVGHGLAELDWESLSPKTGASVLPEFISSYNVAHASNPLEDHHMSRESLTSNVFLPRISDLSALSELISVTKTPSLSTINIHQTDLTEANDEGSNLTEKNNAKAPVHLDEGAPPISNQKNQADLSVVSNGGIKVSDRLEEPSAITDSANAGEGMKSIPQLSTAVTDKSKTTSLRNHDRLGEPSEISDSVNAGEAMKSLPQLSTSVMDESQTPSLQNHDRLEEPKATSDSANAGEGMKSLPQLSTSVTDESQTTSLRNHDRLGEAKAISDSANAGEGMKSLPQLSTSVTDESQTTSLRNHDKLGEEKAISDSANAGEGMKSLPQLSTSVTDESQTTNIRNHDKLGEAKAISDSANAGEGMKSLPQLSTSVTDESQTTSLRNHDKLGEAKAISDSANAGEGMKSLTQRSTSVTDESQTTCLGNHDKLGEAKVISDSANAGEGMKSLPQRSTSVTDESQTTSLRNHDRLGEPSAISASVNAGEGMKSLPQLSASVMDESQTASLRNHDHGDDQQRSDASSSDGVHVVQTSTIKGRDDSGNESADGFSVSDIEDESIVDRLKRQIEHDQRYINSLYKELEEERSASAIATNQAMAMITRLQEEKASLHMEALQYLRMMEEQAEYDMEALERANDQLAEREKELQDMEEELLEYRNNIPDELSAEDPQKENKNLKEENVINENHSKEHVENKLNGSSDSKTIKVSKICDKPRQFNDSICNFEDEKLSISKHLENLEKKLSQISGRKASDNVPCNGCSERIKKDVDNQVKKQSNDAGSIYSQQEEEISSSTRNDFSKSNGGPIDKPAALDVENAFVSEKKNHVDNNNSKLSSLGGEVDGASIGNEISELSGRLQALEDDYKFLMHAFNSLQNGHEGIQLIQEITHQLQEIRKVEFDKR >Solyc06g071570.3.1 pep chromosome:SL3.0:6:44202462:44206335:1 gene:Solyc06g071570.3 transcript:Solyc06g071570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGKCQLILLLVNIMSDIKELDSIKKIIHLPYITISSLPYSLSNKINTSLKRIANMEETNKESTKDEIKYRGIRAMPFVIGNETFEKLGTIGSSSNLLVYLTSIFHLKSITATNIVNIFNGTCNFGTLLGAFLSDTYFGRYNILGFASVCSFLGMLVLTLTAAVSKFHPPECGNQETAPVCVGPTAGQMTFLLGGFGLLVIGASGIRPCSLAFGADQFDPNTESGRRGVMSFFNWYYFTRTFAVMVSLTVIVYVQSNISWSLGLAIPTITMFLSCALFFVGTKIYVRFVPKGSPLSSVAQVLVAAFKKRHLQLPEQPCFSLFNYVPSNSLNSMLPYTNQFRFLSKAAVKTPEDHINSSDGSAVDPWRLCSIQQVEEVKCLLRVIPIWVAGTIYYVSVVQSQNYVIFQALQSDNQLGHINFHIPPASFIVISMLSITIWLPIYDRVLLPWLRKFTGKEDGITLLQKMGIGIFLSIATMILSGIVEDKRRTLAMTRPMLQMTQGKGAISSMSGLWLIPQMALAGLSEAFTLISENEFFYKQCPENMRSIAASFFFVGMAGSSYLSSFLTSVVHKTSSWLAEDLNKGKLDSFYYLIAGLEILNLGYFLVCAKWYKYKGRAGDNKVSERTDQNEIVI >Solyc02g086520.3.1 pep chromosome:SL3.0:2:49826838:49832553:-1 gene:Solyc02g086520.3 transcript:Solyc02g086520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQYMDKQIMDLSNSQNSNNNSDFIDLVNPQADRHISGDDQKKEDIVPSYEFHPIRPIGSSSPKSNIDSSNVGVARAWNSADSKNNAESYIRNYGSLDTIGPTKVILEKDLGSVYSSQLSEIDHTVKKYADNLLHAVEGVSARLSQLETRNRQIDNSIDELKLSVGNNHGVTDGKLRQLENILREVQDGVQVIRDKQEIMDAQLQLMKSQAPKIEQQAETHSTTHTDSSQPTTAPLHSHQQFPPVALTQQPSTLAPPPPQQNLPYQVQLQNQFPHNPIPSHPQPEAYYPPAGQAPENLGHQYQSPVAQQHQPSPPPPHQQHQSTPQPIYSQPPPPAQPHLPHPSQPQPQPLVGHHREETPFVPSQTYPPPSIRQPPHSSSGAPPSQQLYGTPPNIFEPPSSRPGPGYSGVYGPSSMPGDPYPYSSSPGQYGSGSSMKPPQVSLPSMGQSGSSGYQQLPTARILPQALPTASAVSGGSSSPGSGNRVPIDDVVDKVTNMGFPRDQVRATVRRLTESGQTVDLNTVLDKLMNDGEVQPPRGWLGR >Solyc12g035475.1.1 pep chromosome:SL3.0:12:41189659:41199025:1 gene:Solyc12g035475.1 transcript:Solyc12g035475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRLNSGDIAGFKILFSLGILYGLISVLVYSIIHMKFITPLPMDAPPDRFSEARAIEHVRILSKDIGGRQQGRQGLRLAAQYIKTQLEMMKERAQPGVRIEIEETIIFHLPMNWDQPRFDQ >Solyc04g051860.3.1 pep chromosome:SL3.0:4:51102177:51109638:1 gene:Solyc04g051860.3 transcript:Solyc04g051860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SK description:Shikimate kinase, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q00497] MEARVSQSLQLSSWINSDKVVRKPSGLLRFSEKWNEKPRHRVVVSCHLQPRKAAHSDRRVQLKVSCSPQNVQASVLESGCFSASIDEIETLKNKAEEVEEYLDGRCVYLVGMMGCGKTTVGRILAETLGYSFFDCDRLIEQAVGGITVAEIFELRGESFFRDNETEVLHKLSLMHRLVVSTGGGAVVRPINWRHMHKGISVWLDVPLEALAKRITTEGTKSRPLLHEESGDVYDTTLKRLTTLMETRGENYANASARVSLENIALKREKDVCHITPAEITLEVLIQIENFLKTQKSVVVL >Solyc08g074460.2.1 pep chromosome:SL3.0:8:58687881:58693727:1 gene:Solyc08g074460.2 transcript:Solyc08g074460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPKSTKEHPFLDLYSDDDKLRESAKVLLNYSKEHFPVSLIEQLLQAIECSLRGSRTGILYYDILSDVLPSLWTKLPLSKRNDLKICLHEKVWVETDYETLRACSSCISSLAGLLFPKNEWNFLFRLMFEHLGTNWDRKLCVMLLWNEVIPKCPAVFVPYVDVLIEGFTDIMRTVLEDHRCRVAAARASVKMILYYSTPASYCKFCSLLEHVIMTLFVAISEEDLVCRLLEDLIVLVGVETAVFEVHISVVIKSMARIAENSKLGEKLRQLAIEFVVTLAEDREIGCGMMQMLPKEQVTKLFTVLTVMLVHIKDDPCWGNATIDDENEEQLSMRSYAMESLDRLAIALGGSVIIPCCAVGLYNLLHNENWRIRHAAVTALLLEMEKLVQTIVKLIHDEHPRVCWATIRTIGQLSTYLSPHFQEQYHHQLLRALIEVLDDIDNPRLQTRAASAIKLFSQNCSADILKPYLHNIVRKLAGFQKRGTAMMKEASLATLASLAIPLQEDSAYLYDALMPTLKVIVETATNDTSNTLLAKSTECITMAAVAVGNLAINDYVEKVTAVLTSLHGTQTEIEDPMRRLLLLECGRLCKFLGADFLPYLRLVMPIALKSALLKNCLSVSDNSDTDDSDYKSMIKATDGNKMIGIRAVLLEEKALACHMLCFFSNELKEGLHLWVNEVVSALVPNLTYKFSEEVRMAAVSAMPLLLNSASCAMKKGLLVTGCGKSPVQKLSDTIISSLLDALKKESKVQIQARLLEAFNKSIQLICLCTKKYLSQVPGSCLSKNQAAKFVDGISKVLSRCSYRKTEREKRAKEHTGSREQELLKEEAEQHLTICRNIGICLGTMVKKLKASFLPNFDKFLPYVSLMYYEDWIPLLLRIYNHKNPDVQQLVATAIGICAEFGADFLKPHTKGILGHLKTAMENPNATHPDNIMAYEAAVSTCGKLNQFVSEGISYEYILLWLNHLPITCDLDEAKISHELLCSMMETSEQKVIGPNGSYIPIIIAIFAEVLWAGNNLATEETRTRIINLLKKFKREVEPLVLSKIFQTLPLTHQNMLRIVL >Solyc10g024480.1.1.1 pep chromosome:SL3.0:10:14688278:14688727:-1 gene:Solyc10g024480.1 transcript:Solyc10g024480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEREEDLRVPLISFLLCLCVLIGGIFLFLYLFVPNLSEPWFPPIALILIGFPWLLWVLTYIYTCIKRCFGVSRNNTDSNRQISRRASTMANSRMARNASTTNGSNNAKEKQAQLGSASLNSSHCSKSEDPSITSSQEIEMPLTTSAPS >Solyc07g009420.1.1 pep chromosome:SL3.0:7:4482583:4483868:1 gene:Solyc07g009420.1 transcript:Solyc07g009420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLMFHAATDNFSNGIFPGEKEIAVKRLSSHSGQGIDESKNEATLIAKLQHRNLVRLLGYCINATEQILLYEYMPHKSLDTFIFDGELCKLLDWETHYDIILGIGRGLAYLHYDSRLRIIHRDLKTSNILLDDEMNPKIADFGLARIFEGKRTEAKTKKIVGTYGYMSPEYASDGLFSIKSDVFSFGVVILEIISGKKNTGFYQSEEALNLLEKSLLDSCNRSEVIKCINIALLCMQEDSNDRPKMSDVIVMLVGEGTSLQRPNRQDFVIRTHTSSTYKHQQNINTTK >Solyc12g100357.1.1 pep chromosome:SL3.0:12:68123510:68124236:1 gene:Solyc12g100357.1 transcript:Solyc12g100357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFGFELLIITCGSQASPQKDSVWTSYLSKSWLSDKVAVEMLGIERGERMFCPLQMNEASSALFWGPMLAKSHSCMVAGSL >Solyc09g015505.1.1 pep chromosome:SL3.0:9:9579702:9599736:-1 gene:Solyc09g015505.1 transcript:Solyc09g015505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERCLGVAFHATDPRPQISAWLVEPHVVMRHTPIISRSSVDPYTDTIDIFESLCLGIKVALAAGLDKDVEDSEGMTTLHFACGYDECSQEFGASIMQEKNLVDYNPAQSIINKHLPCNYRSVM >Solyc01g088530.2.1.1 pep chromosome:SL3.0:1:83177255:83178500:1 gene:Solyc01g088530.2 transcript:Solyc01g088530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCKKHLSDLSSSVGVCASCLRERLFAVMAAQAQAQAQVQQQLFPEDHRKADGNPPPLMFPRSVSPYISRRKSDTTPWQLQHNSLPSIRDQRFYSTPQVGPNGTVIAAGPYKKKSYFRFFSGLFRSKSDKLDLDRRVSNSGDNCPASSSSPSWFTTILSGRRKKQSRTFSLEESTIGGHRKTHRNRDRGMSPARYSDDEEDEHCGGSSGYSSESSQGWKQTPRRTPAAQPGRRGKSSHNRNISGMAFCLSPLVRASPSRNWNQKGMPPEMVFSGEIRVTSKPQLSTATSFCKNRSRKLADFGRFNPNR >Solyc07g056570.1.1.1 pep chromosome:SL3.0:7:64491941:64493758:-1 gene:Solyc07g056570.1 transcript:Solyc07g056570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED1 description:9-cis-epoxycarotenoid dioxygenase NCED1, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:O24023] MATTTSHATNTWIKTKLSMPSSKEFGFASNSISLLKNQHNRQSLNINSSLQAPPILHFPKQSSNYQTPKNNTISHPKQENNNSSSSSTSKWNLVQKAAAMALDAVESALTKHELEHPLPKTADPRVQISGNFAPVPENPVCQSLPVTGKIPKCVQGVYVRNGANPLFEPTAGHHFFDGDGMVHAVQFKNGSASYACRFTETERLVQEKALGRPVFPKAIGELHGHSGIARLMLFYARGLFGLVDHSKGTGVANAGLVYFNNRLLAMSEDDLPYHVKVTPTGDLKTEGRFDFDGQLKSTMIAHPKLDPVSGELFALSYDVIQKPYLKYFRFSKNGEKSNDVEIPVEDPTMMHDFAITENFVVIPDQQVVFKMSEMIRGGSPVVYDKNKVSRFGILDKYAKDGSDLKWVEVPDCFCFHLWNAWEEAETDEIVVIGSCMTPPDSIFNECDEGLKSVLSEIRLNLKTGKSTRKSIIENPDEQVNLEAGMVNRNKLGRKTEYAYLAIAEPWPKVSGFAKVNLFTGEVEKFIYGDNKYGGEPLFLPRDPNSKEEDDGYILAFVHDEKEWKSELQIVNAMSLKLEATVKLPSRVPYGFHGTFINANDLANQA >Solyc05g017900.3.1 pep chromosome:SL3.0:5:19246178:19256581:1 gene:Solyc05g017900.3 transcript:Solyc05g017900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHAMRISRIRWQKSVTGELAGAQPAMATVLPCTTAIDCSIKYSSSSPLSSVDLSRYYSVKRRLHIKNSSSSFSCHCTPTSSNGVLRSIKPAVVGKNEGHRKKNRKHSLKSLLGRRSMVLFASTKMRSIILLNVTAMIYASNISVVKEIEGVVDPGIFNVVRFASAAIPFVPFVLRTNDSRTRNTGMELGFWVSLGYLMQAIGLLTSDAGRASFLSMLTIIVVPLLDGMLGSIIPARTWFGALMSMIGVVMLESSGSPPCVGDLLNFLSALFFGVHMLRTEHISRCTSKENFIPLLGYEMLVVALVSTLWYFIGGAFFGTLALNPSDWTCQHSGVQHCHFPGYLLFTLAYFQLGYVYG >Solyc02g068050.3.1 pep chromosome:SL3.0:2:38662714:38667661:-1 gene:Solyc02g068050.3 transcript:Solyc02g068050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVYSSMAKCISAPFPSFTHRPLALFSRVSISPKYANARRLWKMCSASSSDTLVDNGPVKEGSKSLEVASKKEGEYGDLKSWMHENGLPPCKVVIKDRPSHDAKHLPIHYVAASEDLQAGDIAFAVPDSLVVTLERVLGNETIAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRARGQLAVESPLLWSDAELDYLTGSPTKADVLERAEGIKREYNELDTVWFMAGSLFQQYPYEIPTEAFPFEIFKQAFVAVQSCVVHLQKVSLARRFALVPLGPPLLSYCSNCKAMLAAVDGAVQLVVDRSYSAGDPIVVWCGPQPNSKLLINYGFVDEENSHDRLMVEAALNTEDPQYQDKRLAAQRNGKLSVQAFQVCVGKEREAVLEMLPYLRLGYVSDPSEMETVLSSQGPICPMSPCVERAVLDQLSDYFKARLAGYPTTLSEDETSLADADLDPKRRVATQLVRLEKKILNACLETTVNFINQLPDHSVSPCPAPFAPTLK >Solyc02g094060.3.1 pep chromosome:SL3.0:2:55327907:55332235:-1 gene:Solyc02g094060.3 transcript:Solyc02g094060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:K4BDK2] MEEPNGFATINDMEEERESTDINYSRNRTLSSTSVALEGAKVSHIESLDYEINENDLFKHDWRKRSRVQTLQYVFIKWTLAFLVGLFTGGVATLISLSIENISGYKLRATVNYIDDKRYFMGFAFFAGANFLLTLLAAFITVYFAPTAAGPGVPEIKAYLNGVDTPNMFGATTLFVKIIGSIGAVSAGLDLGKEEPLIHIGSCIASLLGQGGSDSYKLSWHWLRYFNNDRDKRDLITYGSSSGVCAAFRAPVAGVLFALEEVATWWRSALIWRTFFSTAVVVVVLRIFMDYCKSGSCGLFGRGGLIMFDVSDVIVRYHIVDIIPVVIIGVIGGLLGSLYNHVLHKVLRLYNLVNEKGKLHKLLLALSVSLFTSICIYGLPFLAKCKPCDSSLSDSCPGTGETENFKQFNCPNGYYNDLATLLLATKEDAIRKIFSLNTGTEFQVISLLIFFLLYCILGVITFGIAVPSGLYIPIILMGSGYGRLLGIAMRPYTKIDQELFAVLGAASLMAGSMRMTVTVCVIFLELTNNLLLLPITMLVLLIAKIVADCFNPSIYEMVLELKGLPFLEAHPEAWMRNIIVGELSDVKSPVVTLEGIEKVRRIVEVLKYTTHNGFPVVDGVIPELHGLVLRTHLLLVLKKKWFLNERRRTENWEVEEKFTWIDLAERWGKIEDIAVTKDEMEMYVDLHPLINTTPHTVVESLSVAKAMVAFRQVGLRHMIIVPKYQAAGVFPVVGILTRQDLRACNILNVIPHLAKSKSIKKGN >Solyc08g008083.1.1 pep chromosome:SL3.0:8:2565583:2572329:-1 gene:Solyc08g008083.1 transcript:Solyc08g008083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKKIIAICQSGGEFVTNNEDGSLTYVGGEAYALDLDNQTLLNDFKLEVAENFECGTDGMTIKYFLPGNKKTLITISKDKDLKRMINFFKDSEQVEVFIIAEESVALSTPNVSASRSSRTNISEPEHIPVIPMDMIHPDDLFQAPVEISTPGVYLSGNDEKHRKAAMQWENAITGVGQRFNSFSEFREALHKYSIAHGFTYKYKKNESRRVTAKCKSEGCAWSIYASKLPTTELICIKTMNPKHTCDGAAVKAGYRSTRGWMGNIIKEKLKVAPNYKPKDIANDIEREYGIQLNYSQARRAKEKAREQLQGSYREAYSQLPLLCEKIKETNPGSVAIVYAKDDSSFHRLFISFHASIAGFRQGCRPLLFLDSTLLYAKYQGTLLGAVGVDGNDGVFPLAFAVVDEETNDNWHWFLSELKSTVLMSCPITFVCDFQRGIRESLHEIFSEECHHGYCLRYLAEKLNNDLQGQFSHEARRLMIQDLYTAACAPTLESFERCAENIRAISPDAYDWVTRSEPDHWANALFGGARYGHLTSNFGQPFYDWVMEVNELPITQMVDVLRGKIMELIYTRRVESSQWATRLTPLMEEKLQSETSKAGSLHVLPSHGSTFEVRGESVDVVDIDQWDCSCKSWQLNGMPCCHAIAVFECIGRSPYDYCSRYFTTESYHVTYVESINPVPNLENPANGQVDAAVLITPPPSKRPPGRPKMKKVDAFDIVKRQMQCSKCKGLGHNKKTCGKVNKFEESDPLLLTGLETEDIEGTE >Solyc01g097155.1.1 pep chromosome:SL3.0:1:87980207:87982818:-1 gene:Solyc01g097155.1 transcript:Solyc01g097155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQRFGVMGFEIMGKKMDTFGNLEDLRGDHTKSKLLVFEAIFPFRIQLQLSLVYFFPLLFGTGAVPLHRDLWSDGYFSDIAKIIGGVWLRLWVQGASAVSNMGMFLAEMSGDSYQLLGMAERGMLPDFFAKRSRYGTFYDTLKLLIE >Solyc07g063270.3.1 pep chromosome:SL3.0:7:65915737:65921494:-1 gene:Solyc07g063270.3 transcript:Solyc07g063270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear/nucleolar GTPase 2 [Source:UniProtKB/TrEMBL;Acc:K4CH10] MAKKKERSVNVSGKPKHSLDSNRDVSAAKNGRSAATVRRLKMYNTRPKRNPQGHIIKHDLQSKELPSTRIQPDRRWFGNTRVVNQKELEFFREELQTRLSSNYNVILKERKLPMSLLNDHQKQVKVHLLDNEPFADAFGPKTKRKRPKLMALDYEALVKKVDVSHDDFEDKHGASTSMDENADGFRDLVRHTMFEKGQSKRIWSELYKVIDSSDVVIQVLDARDPCGTRCYHLEKHLKENCKHKHMVLLLNKCDLVPAWATKGWLRVLSREYPTLAFHASVTKSFGKGSLLSVLRQFSRLKSDKQAISVGFIGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNHDSETDIVLKGVVRVTNLPDASEHISEVLNRVKKEHLKRAYKIENWVDEYDFLQQLCKSSGKLLKGGEPDYNTAAKMVLHDWQRGNIPFFVPPPKLDDEPNELVAEADTAVDNDQATAALKAIADVVSSQQLKEVPVQEELFSKAELLGEN >Solyc09g092605.1.1 pep chromosome:SL3.0:9:72119112:72121977:1 gene:Solyc09g092605.1 transcript:Solyc09g092605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDILDLLLQLKKEKLTPIYLTLEDIKAIVMHTCGITIVHVNAWAIARDPEIWENPEEFIPERFLNNDIDFKGEDFELISFGAGRRGCPGIALGVASMELALSNLLYAFDWELPCGMKKEDIDTNVKPGITMHKKNDLCLIPIKYI >Solyc05g013180.3.1 pep chromosome:SL3.0:5:6257498:6263752:-1 gene:Solyc05g013180.3 transcript:Solyc05g013180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKLFKREMVPEWIEAYVDYTGLKHILQEIRRFKESKQPQTPATSLDQRLALYRNFSGLNLQGGVQNTGDIENQVIAVNTVPHENYRKFYQTKFLASPEGAENEIIFFDKLDHEFNKVNTFYKDKVDEVMREVTLLNKQMDALIALRIKVMDPGFNAFPSLQSLSLDINNSTPSRITSPLKTTAVDTDDMPVSPSFGRQVSMTNASYPKLPINRNSLREFRDTDSHKTDPLEILDHVKIVNTFESPISTIRGVLSESKENDLSYKKEELKKVEHRLKLIFIEFYQKLRHLKHFSYMNLSAFSKILKKYEKITSRKAARSYLKMVDNSYLGSSEEVTSLLDRVETTFIKHFSQSNRREGMKILRPKHKREKHRITFISGNTLYILVLCVISNFTNSQFPGFFSGCSIALLVAVILLRDDRKLIDKDEGNSYLDKIVPLYSFYAYIVLHMLLYAANIYFWKHHKINYAFIFGFKQGTELSYREVFLLSNGLAMLVLAALLMHLHMNSRAEVYGTRIDYVPLGLIMVLLFITFCPFNIIYRSSRWFLIRCVFRCICAPLYKVTLPDFFLADQLTSQVEAIRSLEYYICYYTWIKSSHGHDTCQSHNVYNIFYFILAIIPYWFRFFQCVRRLFEEKDQAQAYNGLRYFLTILAVVIKTAYVLRKSLTWEVLVILSSLITTLFNTYWDIVVDWGLLRRKSKNKFLRDKLILHHKSVYFTAMVLDVLLRFAWLQLVLRFNVHSLRGSTVSSIFACLEVIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFAYHDEDDHKEE >Solyc02g033075.1.1 pep chromosome:SL3.0:2:30215049:30217334:1 gene:Solyc02g033075.1 transcript:Solyc02g033075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPANVEDFVFYMRDEKLEIVSSTNPQLKPYLILRQGVEASATIVGFNPESHEQFEKYGLVKLAKLEEKVLILLIDVQPKMVKSRISKKKLVSDEIICINNESYPEALVALTAGFPSGSLKDEEIEAGVVSEDGGTEVYN >Solyc11g056450.2.1 pep chromosome:SL3.0:11:45665261:45666496:-1 gene:Solyc11g056450.2 transcript:Solyc11g056450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRIFRFDEASLNSSSSSSNIASPSSSSSFGTCSTGILNPTNQSTTTIGDFSLRSSSTQVDGSVSSILNNHGIFEGGSAYSNAVNTNNAVNTNADLLESPLDQFEIVPLIPMKIGNFFFSFTNPSFFMLLTLSLVLLLVYFVTKKGGGNSVPNAWQSLVELIYDFVLNPVNEQISGLSGNVKQKFSPRISVTFTFSLFCNPQGMIPYRFTVTSHFLITLGLSFSIFIGITIVGFQKNGLHFLSFLLPAGVPLPLVPFLVLLELIPYCFRALSSGIRSFANMMAGHSSVKILSGFAWTMLCMNGLFYFIGDLGPLFIVLALTGLELGVAI >Solyc11g066195.1.1 pep chromosome:SL3.0:11:52179497:52181578:-1 gene:Solyc11g066195.1 transcript:Solyc11g066195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEIICIVDVPSAYVGLRILLIDPNSTSLSTIAAILEEHSFKVTAIEQATVALSILREHIDQFDLIMVDANMFEMDYLQFIKSTQLIKKTNQLFILYPYKFLPNDVSEVTIEMIKEASTLGICFIYEKSLISSLKLKDIWKHVRWHDKKENEESQHYNAKQVNLMVNISCPTKMQDLKGKSKENCSVTYQDQEVDSLMEKDAAKRSKRMRSTNEDTQVKHSVSSEKEEKHSFLSKISTERPEKKRRNMKWTAELEKKLDEVVRELADKVPDAQPVTSTIFNEEHPSKVFNSSKSSADVNELFQGAYRPQPLEVPLVTLPSSNHSSLIECDEWINEFLELDNFEQKLR >Solyc03g083690.1.1.1 pep chromosome:SL3.0:3:55078030:55078299:1 gene:Solyc03g083690.1 transcript:Solyc03g083690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVMKIVFVLSIIMSILLTPSVAKGVSGGSKASTASGRTGTPTTTWTTVPRRPRTTTNSSSSAASSIGWSSSMAMVGASLTYLTLVYI >Solyc04g049496.1.1 pep chromosome:SL3.0:4:42121578:42126699:-1 gene:Solyc04g049496.1 transcript:Solyc04g049496.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSHVLEFVLQLGKKFYMKDLGPLHFFLGIEMNYFEGGIHINQNKYCAEMLAKTEMTLAKVVATPLARKHGLHEAVGSFTSKKQIKVARSSAEADYTALASTTAETTWILYHLHDLGVFLRYRRVAAPNAQATGNVRFTSKKSEEIVTSISAKIIN >Solyc11g008980.1.1.1 pep chromosome:SL3.0:11:3135526:3136140:-1 gene:Solyc11g008980.1 transcript:Solyc11g008980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPLLRFTPTTTPRLLPHPLSLNLLQTRIQTRTRIHTKPTTSISASYNPIPATDRLISAVAYFLPFFNGLQYGRFLFAQYPTLALPFQPILPLLSLYRSLPYASFVTFFALYLGIVRNENLNKYPRFNALQAVVLDVLLVLPMLIQRIFSPGQSGIGLKFTVWMHNGLFVFVVGCFIYGLVSSILGKTPYLPFVTEAANRQM >Solyc12g089080.2.1 pep chromosome:SL3.0:12:65192249:65194422:-1 gene:Solyc12g089080.2 transcript:Solyc12g089080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSSRSGAAIVESWSNESMEWMNMLRSDIRMLSEKNAELQKTKEKLQIAEQEKGRAQEQHALLVKSTRTNRPVLPDESVNPRLAKILTEVAVGKEVIVVLVYSNVKSMLEMWLTNVKRVGYTKLSDLCNENGVFAYKRDPDETERVLAAWLQCPFDHLYRDSDVEVMSDGHNSMTAYGYNDAYSSGFFYIRPTIPAIDLLDRVANRLTQEPNALDQAVLNEELAFPSHPEYIGLYASRRVMDFFLFMNSKIIRKDSNLKKLKLVAVHINYHPDELYRMKEVVEYYVYSKQDALYAFPDG >Solyc01g110913.1.1 pep chromosome:SL3.0:1:97306790:97308999:1 gene:Solyc01g110913.1 transcript:Solyc01g110913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSTAKDVPKGHCAVYVGEEQKKRFVIPISFLSQPLFQDLLSQAEEEFGFNHPMGGLTIPCREDKSSTAKDVPKGHCAVYVGEEQKKRFIIPISFLSQPLFQNLLSQAEEEFGFDHPMGGLTIPCREDVFVNLTSRLKN >Solyc06g050640.1.1.1 pep chromosome:SL3.0:6:33461504:33461713:1 gene:Solyc06g050640.1 transcript:Solyc06g050640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSNLLTPKFKHQIAPNTWVVSGTSQIKKFQDILPQIIYQLGSDKLENLKKLVEQFQKQFFRSDLVVR >Solyc12g096990.2.1 pep chromosome:SL3.0:12:66656876:66661451:-1 gene:Solyc12g096990.2 transcript:Solyc12g096990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGSVVGLGDVNFSTEPKTPTPTMIFPKIEPKLEPLDEFTPQSMNPNSNFSYNSGFRNTTTPQQQQLNATSSQTPSSIEAGVHSEYNRISELFQTAFAQSVQRDGDVEANEDLGCRAIVPVSNGSQVSDIVITRRKYEKRSSELVRVTDLKPEDVRYFRDLIRKTRMLYDSLRIFVNLEDENSQHLGSGRQTRARGDLKASQMMREHGLWLNRDKRTVGPIPGVLVGDLFLYRMELCVVGLHGTPQAGIDYLPANQSSNGEPIATSIIASGGYEDDEDAGDVIIYTGQGGQDKNSRQVVHQKLEGGNLALERSMYYGVEVRVIRGFKYVGSSSGKVYVYDGLYRITESWFDVGKSGFGVYKYKLVRIENQPDMGSAILRFAESLRTRPLEVRPMGYISLDISRKKENVPVFLFNDIDNERDPACYDYLLKTVFPPYVYQHVGNGSGCECTDGCGNGTNCFCAMKNGGQFAYDTNGILLRGKPIIFECGPHCSCPPTCLNRVSQKGVRNRFEVFRSRETDWGVRSLDLLQAGSFICEYTGVVLTQEQAQIFTMNGDSLIYPSHFAERWAEWGDLSRIDSNYARPAYPSIPPLDFAMDVSRMRNLACYMSHSSSPNVLVQPVLYDHNNVSFPHLMLFAMENIPPLRELSIDYGMPDDCTGKLAICN >Solyc05g010340.3.1 pep chromosome:SL3.0:5:4485975:4495218:1 gene:Solyc05g010340.3 transcript:Solyc05g010340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDTSNGEATRRAGLLKDQVQLVKRKNCDRYEIISIPDNLSFEKGFFVVIRACQLLVQKNEGLIILGVAGPSGAGKTVFTEKILSFMPSVAVISMDNYNDASRIVDGNFDDPRLTDYDTLLKNINDLKAGKPAEVPIYDFKSSSRIGYRILEVPSSRILIIEGIYALSEKLRPFLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPSEIIHQISETVYPMYKAFIEPDLKTAHIKIINKFNPFTGFQSPTYILKSFRDVQVDQIKSALSEEHTESTEQTYDIYLLPPGEDPETCQSYLRMRNKDGKYSLMFEEWVTDSPFVISPRISFEVSVKLLGGLMALGYTIATILKRSSHVFSDEKVCVKIDWLEQLNRHYVQVQGRDRLIVKSVADQLGLEGSYTPRTYIEQIQLEKLVNEVMALPDDLKTKLSLDEDLVSSPKEALSRASAERVAWRNKNLRSGLSHSYANHREKNLSKIDTDGQRFDDRNTDSATLANQGAVTHLSEQISTLNDRMDDFTSKMEELNSKLTKKRTSQSTQSLALQAEACNGSGPTSYFISGLGNGSLTGSIMPNSSSSSLLIPKESNLMEELSNVARGQRQIMHQLDSLSNLLRERLGEQSRQARTSKRSDLNSIRVPLVVTLAVSGLGLFLFRSRN >Solyc03g058380.3.1 pep chromosome:SL3.0:3:26279500:26289534:-1 gene:Solyc03g058380.3 transcript:Solyc03g058380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKATEENSEPPKQGGGGGGKTKKKNRVIDDDEYSVQTEEPTLQEDTVLDDDERKKPAKQVGGGGVKSRKKNVVIDDDEYTIATEEPVILEEKVILGGQKKAKKGKKGASNYGGYLTRDSDQEDEGIAPFTGKLNKSKQGKTSAGVFSTAFDTIGDEESEEDEEPVLAGMGKTSGNSFSVALLDEEEEADTSVSKFDTETVEEDDAPELIFAGKKKSSKKKKKSAVTEANVKEEAESEQASPGVNPEEEANDNDKKKQQRDVPETSKNKTKKKKGGRTVQEDEDEIDKILAELGEEAAPVPSEEKVHAQLESKDNKSKKKKGGRMAQEEDDIDKILAEIGEGPPATSAPTPSLAQEVKGQLQPQLGDAAAEKEATEEGAMDWDDADLKLPGKSAFEDEEVDSELQPITKKEIKVVSSAVHGAAILPVASKSVIPIQKTAATVPGVLKNDRSRKGEPEARGAEQNKQKDSPEEPGAPNQDEDNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCKNAPIVKAMKQQSKDVQFEFNTRLTQIVTQFKEQGINTELYYKNKEMGKDTFSIVPTSAISGEGIPDMLLLLVQWTQKTMIERLTYSNEVQCTVLEVKVVEGHGTTIDVVLVNGVLHEGDQIVVCGMQAWKSPFYVHYLSLGPIVTSIRALLTPHPMKELRVKGTYLHHKKIKAAQGIKITAQGFEHAIAGTSLYVVGPDDDVEDIKEAAMEDMKSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVSIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTQEARELSDELGVKVFMADIIYHLFDQFKAYIDTIKEEKKKEVAEEAVFPCVLKIVPNCVFNKKDPIVLGVDVLEGIVRIGSPICIPQKEFIDIGRIASIENNHKPVDSAKKGQRVAIKIVGSNPEEQQKMFGRHFEMEDELVSKISRRSIDILKANFRKDLSVEDWRLVMKLKTLFKIQ >Solyc11g044235.1.1 pep chromosome:SL3.0:11:33478954:33479757:-1 gene:Solyc11g044235.1 transcript:Solyc11g044235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQRKYTLDLISDLGLSGAKPAHSPLELHEKLTSTDLDCLIDTKDDPLLTDISFYQRLIGRLLYLTHTRPDISFAVQTLSQFMHSPKLSHMVSATRVVRYLKKSPGLGIFLSSDCDSTLTAFCDANWASCPNTRRSVTPAEAEYRSLASTVAEIVWLVGLFTALNVKIPLPSNRLTFSPKAKFFVNILIFFPS >Solyc04g051070.1.1.1 pep chromosome:SL3.0:4:49371361:49371603:1 gene:Solyc04g051070.1 transcript:Solyc04g051070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSPLCCAGLRLITSSSIHPNKTAYQKIYLLWVCASPNNYSIPKQNHTFLWSKSFGSYIKRRKLTKKKESVEKVQIWKT >Solyc00g007065.1.1 pep chromosome:SL3.0:2:32721347:32724565:-1 gene:Solyc00g007065.1 transcript:Solyc00g007065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVMVLGVKSQQQYGSGFGVGSVPQFTPDQYKQVLQMLNKPLIHEGNATSTNINANAAGNFVGNSKFNSSRFDWIVNSGATDQMMGTKNVLTHESTVMSSGHVQLPNGDSSRVTHSGCSKLQGGDIVKNVLCVPDFNFNLLLVVKLTRQLNCCAMFYPDLFLLQDLLTGRVKEIAVQDSDEDMKAIVPDDAIQVLVPVLNVKADDHVCMNDHTDSTTRHSVDHILEEPNMSPSSSSLDQSLTVCLLEIVLAIVACSSSDSLLSDISAYQRLVERLIYLTITRPDLSYVVQSLSQFMNAPKRSHMDVVVRVVRYIKQNPGSGIFLAAQSYDSLQAYCDAD >Solyc02g082230.3.1 pep chromosome:SL3.0:2:46476872:46485455:-1 gene:Solyc02g082230.3 transcript:Solyc02g082230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BA93] MLSESEPPAAAASLPSATPPPPSSDEDFFSSVSVASTSSTSSPSTPIPSPPQLHPEIPISWPEDGTLTLAWVTNLMLAFDWSSKNLAPTELPSVLPVVVFDRLILTASKILHKEPNCVHIDSGSGLGNDSRVVVVGDVHGQLHDVLFLLRDAGFPSDDRFFVFNGDYVDRGAWGLETFLTLLAWKVFMPNRVFLLRGNHESKYCTSVYGFEKEVLAKYADSGKHVYRKCLGCFEGLPLASIIGDHVYTAHGGIFRSIAVTPAKRAKGKKNRKIVSNPDVTTLSLGSMEELLKARRSVLDPPWEGTNLIPGDVLWSDPSMKPGLSPNKERGIGLLWGPDCTEDFLKMLNLKLIIRSHEGPDAREKREGMEGMDQGYTIDHEVQSGKLITVFSAPDYPQFQATKDRYRNKGAYIILEPPNFDIPVYRSFEAVMPRPKVNPYYDFEDCIDSDEELDLASMAT >Solyc01g080180.3.1 pep chromosome:SL3.0:1:79250511:79256813:1 gene:Solyc01g080180.3 transcript:Solyc01g080180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHHFLSSFITMAPSSSLTKPLKKTSNSNPNSNPTNSPNCPSSHHNFCNHSPSATLDLLIFILVLFSGAFLIISYFSYIFNSISLLFPYPPSFLLNTLLNYLEEVDVWTHYIFYIFFVVVFVVVVLCFEICCGFGFRSRKCGKNGCKGLRRAMEFDLQLQGEECLRSGCETKAVREINQLPWKGGSENNPDYECLRAELRKMAPPNGRAVLLFRSKCGCPVAKLEGWGAKRGRRHKKTLAINGKADHR >Solyc02g092780.2.1 pep chromosome:SL3.0:2:54371286:54388745:1 gene:Solyc02g092780.2 transcript:Solyc02g092780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVTDRIELAKLCSSKEWSKAIRILDSLLAQTCVIQDICNRAFCYSQLELHKHVIKDCDKALQLDPKLLQAYIFKGRALSALGKKEEALLVWEQGYEHAVHQSADLKQLLELEELLKIAKQNTAVGSNNHSVQSSGPESNTGPPLSTKSGETCDISKASDRELKTCSSGMLESSEKSKNSSVLQNSSSNNSKKHKKIESESKELHERQANKTNNNCKKLGYPSLVCSELSDISEDSRKSSAVTSESSEQSEPNELQEILSQLNNKCDVRVELSDEGKRNKKFCVTRVNKTKSINVDFRLSRGIAQVNEGKYSNAVSIFDQILEQDPTYPEALIGRGTALAFQRELDAAISDFTKAIQSNPSAGEAWKRRGQARAALGESVEAITDLTKALEFEPDSADILHERGIVNFKFKDFKGAVEDLSTCVKSDKDNKSAYTYLGLALYSLGEYRKAEEAHKKAIQIERNFLEAWAHLAQFYQDLANSEKALECLHQILQIDGRNAIKDLSMGLAIDSANIECLYLRASCYHAIGLYKEAVWAFSNSSLVKDYDAALDLELDSMEKFVLQCLAFYQKEIALYTASKMNSEFSWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLKESLKKGKQRKQEFTFTKQKTALLQAADSIGRNIQYHCPGFLHNRRQHRMAGLAAIEIAQKVSKAWRALQAEWRNSTKGTGKSGKRLRRREKLNSISLNRGGAGCSTSSSSDTSTSYSLIDDRSTGRSMMSWNHLYSLAVKWRQISEPCDPVVWINKLSEEFNTGFGSHTPLVLGQAKVVRYHPNFQRTLTVAKAVIKENKSVCNKEDKIIDLSEQQKLQEIMAAESSSDLYRVVGQDFWLATWCNSTALEGKRLEGTRITVVKMGEIGYDFAIRTPCTPARWDDFDVEMTSAWEALCAAYCGDNYGSTDFDVLENVRDAILRMTYYWYNFMPLSRGTAVVGFIVLLGLLLAANMEFTGSIPKGLQVDWEAILEFDSSSFVDSVKKWLYPSLKVSTSWKSYPDVTSTFETTGSVVAALSTYSD >Solyc03g032190.3.1 pep chromosome:SL3.0:3:4716715:4720458:1 gene:Solyc03g032190.3 transcript:Solyc03g032190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNENNPIMTKPTNGQEMGNRKFGVETRNNRRALGVINQNFVGVNQYPCVVNKRGISETNGICNKNPPIPAHRPITRKFAAQIASSQQHYTEETKKPKLAAESFSVWEDVPIIDVDEYEAAKDQPVPMSLEQTETVSHDNKTQMEIEMEDIFEETAIDIDSDDAKNPLAAVDYVEDLYAYYSKMEVSSRISPDYMAQQFDVNERMRSILIDWLIEVHHKFDLREETLFLTVSLIDRFLEKQSVVRKKLQLVGLVATLLACKYEEVTLPVVDDLVFISDKAYERKEVLELEKLMLNTLQFNMSVPTPYVFMRRFLKAAQSDRKLELLSFFLIELCLVEYEMLKFPPSFIAAAAIYTAQCTLYSVKQWSTTCELHTKYSEDQLLECSRLIVGFHKNAATGKLTGVHRKYNTSKFGHAAKCEPAHFLLEQNQ >Solyc09g091600.3.1 pep chromosome:SL3.0:9:71321575:71324159:1 gene:Solyc09g091600.3 transcript:Solyc09g091600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVMKQQDRAEEAIEAIKSFRDRCSKQAQESLDNVLIDLYKKCGKLEEQIELLKQKLRMIYQGEVFNGKPTKTARSHGRKFQVTIKQETSRILGNLGWAYMQQSNYAAAEVVYRKAQEIDPDVNKACNLCTCLQKQSRYTEARSVLEDVIRGKISGSDDPKSKNRVEELLKELEPFGYTSPSPKLNLEDAFLDGLDQLINQYAPFRSRRLPIFEEISPCRDQLAC >Solyc06g050833.1.1 pep chromosome:SL3.0:6:33733537:33738737:-1 gene:Solyc06g050833.1 transcript:Solyc06g050833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQGPDVVQFTLTPTTTELEKAYLYNWKDLETVIAASPDSPNHAIAASPDTLDRVVVASLSSPDHGAVSASPYREIMTAVIASQDSPDHGIMTASSPDHGRTHVVASQEAIG >Solyc12g098980.2.1 pep chromosome:SL3.0:12:67289128:67293705:-1 gene:Solyc12g098980.2 transcript:Solyc12g098980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTI1 description:Pto-interacting protein 1 [Source:UniProtKB/Swiss-Prot;Acc:Q41328] MSCFSCCDDDDMHRATDNGPFMAHNSAGNNGGQRATESAQRETQTVNIQPIAVPSIAVDELKDITDNFGSKALIGEGSYGRVYHGVLKSGRAAAIKKLDSSKQPDREFLAQVSMVSRLKDENVVELLGYCVDGGFRVLAYEYAPNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNILLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDARLNTDYPPKAIAKMAAVAALCVQYEADFRPNMSIVVKALQPLLPRPVPS >Solyc12g039005.1.1 pep chromosome:SL3.0:12:53024048:53026229:-1 gene:Solyc12g039005.1 transcript:Solyc12g039005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKYEITNLGLLHYFLGLEVKQGEGEVFVSQKKYSLDLPKRFGLVNCKSTATPMNMNKKLQQEDGTGKANARSFRRLVGGLIYLAHIRPYISYSVCVVSRFMSNPSKHHFGAAKKNFTLLCWNVRYGILASYVSNFRLLGFTDSDWGGSVDDRKSTSGNIFSPGPGTITWSSKKQVTTTLSSSKAEDIEITTSSCQFCPAKAAGLLLSERSPVEGED >Solyc11g065125.1.1 pep chromosome:SL3.0:11:50760923:50767739:1 gene:Solyc11g065125.1 transcript:Solyc11g065125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVENLTRKAQLQEIELERTNKQLKEAIAIAGEETAKCKAAKEVIKSLTSQLKEMAERLPVGASRNIKSPTSLSSGSNLTASDIPNGCVDRVHSQLTFQDVEPNVSNSQLLSNGSSNVSNHNAVQNRQGFPEPTTRNGGRTKEGDSRNENEWVEQDEPGVYITLTSLPAGVKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMGDKSSIGTVSEDLQH >Solyc02g081540.2.1 pep chromosome:SL3.0:2:46016966:46017601:-1 gene:Solyc02g081540.2 transcript:Solyc02g081540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAKKELEILETQHPNKFEYLKLELRSFISFLESHYSHTLPSSSYVDTQESSSNRKRKNGSFASKEEPKKKLQRVGQDTVGCNKRSRIDVVMERAQACLRKIQRFKTSNM >Solyc12g038010.1.1 pep chromosome:SL3.0:12:49371958:49372695:-1 gene:Solyc12g038010.1 transcript:Solyc12g038010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMIVDMFGGYRIGFVHRSMRFNMQKLSEVMQDKSGDPKGTGLGEDSIYKFLFVDPACFNGDGIRSGLKHSKRGTVVMASAEITLGFDTLNRLNEIYVDDKGKPYQNSRIKNTYILYDPFYDPFKLADFIPDASPKIKSKDEIDDVRLEDYCMPKDEELGVYEEKEAHTRAVLLESVGVIPDAEMKPLKMCSLLVN >Solyc12g056410.2.1 pep chromosome:SL3.0:12:63292522:63295015:1 gene:Solyc12g056410.2 transcript:Solyc12g056410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSLSFFLNPRGSVDVPPANPGLGDLPESCVASVMVYLNPSEICSLSVLSRGFRAASSADFVWESKLPINYELLIDRVFGGVDDFSNNLCKRDIYARLCRPSYFDDGLKKVWLDKGTGRVCLSISANGLAITGIDDRRYWSRIETDESRFQSIAYLQQIWWVEVGGEVDFPFPAGSYSIFYRLQVGRSSRRFGRRICNSEHVHGWDKKPVQFQLSTSDGQQATTQCYMKEPGKWKYHHVGDFTVTGSAANTKVKFSMTQIDCTHTKGGLCVDSVLICPSEFTKRLKRF >Solyc12g005340.2.1 pep chromosome:SL3.0:12:211547:214276:-1 gene:Solyc12g005340.2 transcript:Solyc12g005340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHHLVAYGVTGSDLSYSSCPTVSPLENRPFGTSKFDSGNSPLVNYFNSETFNTVSDYQEQPSCTENLSGASSSSGSSLDYNQYFHRPSPSEDHLPEAPYSRNMKHTLLQLESALMGPDKEAMKSSPYLGENMGAQTSGQRYKAWNKEAQVVRHQQSVVSILNGIQSDKRDNVMEDLPLQGVPSSNLKQLLIACARALAENKLDDFEILVAKARSVVSVTGDPIQRLGAYIVEGLVARKELSGTTIYRSLKCKEPAGKDLFSYMYILYEICPYLKFGYMAANGAIVEACRNEDRIHIIDFQIAQGTQWMTLLQALAARPGGAPYVRITGIDDPVSQYARGDGLAAVARRLSAISEEFNIAVEFHAVPVFAPEITWDMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLIRMIKSLSPKIVTLVEQESNTNTAPFLPRFVEALDYYHAMFESIDVTLLRDMKERINVEQHCLARDIVNVIACEGKERVERHELLGKWKSRFMMAGFQQYPLSSYVNSVIKDLMKRYSEHYTLVEKDGAMLLGWKERNLVSASFSCLFFKLSFDIIC >Solyc04g077870.3.1 pep chromosome:SL3.0:4:62841017:62848791:1 gene:Solyc04g077870.3 transcript:Solyc04g077870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4BUM4] MEDYIKDNYGEVKPKNSSEEALQRWRKLCWLVKNPKRRFRFTANLSKRFEARAIQRSNQEKLRVAVLVSQAALSFIQGVSYTVPEEVKAAGFQICGDELGSIVEGHNLRKLKVHGAVEGIAKKLSTSTTNGICTSADLLSRRKEIYGINKFIESPPRGFWIFVWEALQDTTLMILGVCAFVSLVVGIMTEGWPKGAHDGLGIVASILLVVFVTATSDYRQSLQFKDLDKEKKKITVQVTRNGYRQKISIYDLLPGDIVHLAIGDQVPADGLFLSGFSLLIDESSLTGESEPINVTAENPFLLSGTKVRDGSCKMLITTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVITFAVLVQGLYSRKLGEGSQWSWSMDDAQEMLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKACICGKIIETESSKDGSNICSEVSNSALKILIQSIFNNTGGEIVKNEDGKIEILGTPTETALLEFGLLLGGNFQEERQSSRLVKVEPFNSTKKRMGVVIELPGKGLRAHCKGASEIILASCDSFLNSSGEVVPLDEASINHLNDTIDLFANEALRTLCLAYKDIGDEYPAETPIPFEGYTCIGIVGIKDPVRPGVKESVAICRSAGITVRMVTGDNINTAKAIARECGILTDDGVVIEGPVFRMQSEAELQQIIPKLQVMARSSPMDKHTLVKHLRTTFEEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYVNIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRTPVGRKGNFISNVMWRNILGQSFYQFVVIWYLQTTGKALFHLDGSDADLILNTVIFNSFVFCQVFNEISSRDMEKINVFKGILDNYVFVAVLSSTALFQIIIVEFLGTFASTSPLTFHQWFTSVAIGFLGMPIAAAIKMIPVGSS >Solyc01g057585.1.1 pep chromosome:SL3.0:1:62206988:62208390:-1 gene:Solyc01g057585.1 transcript:Solyc01g057585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPIDTPSAANIHLTAMFAPKSEKEKEYMSRCLVTGYSDSNYDGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKVLLLAIVLKMKSRTE >Solyc07g016095.1.1 pep chromosome:SL3.0:7:6265396:6266591:-1 gene:Solyc07g016095.1 transcript:Solyc07g016095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFICFMTFVVLAMMLFVSYDVQAQPMCKSTSQTFKGLCFTDSSCRKSCLKEEFEGGHCSKLQRKCLCTKICVFDKISNEVRTNFGWGSKNS >Solyc03g093870.1.1.1 pep chromosome:SL3.0:3:56965417:56965941:1 gene:Solyc03g093870.1 transcript:Solyc03g093870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMLKRSKVEEESVEKFAMANCADIMKRNELLERPNLIFKCKKRFESFQALGGHMTSHKNKLTTMSTNGELLHVNLQKHECSICGKKFATGQALGGHMRKHQDELNQLEHKKKKKMKLDESLIKSGRELAEALNSKSDELHDKLDLESSKRTLFLGLNLDLNLTIDENELRTG >Solyc03g065340.3.1 pep chromosome:SL3.0:3:43658420:43673650:1 gene:Solyc03g065340.3 transcript:Solyc03g065340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-1,4 glucan phosphorylase [Source:UniProtKB/TrEMBL;Acc:K4BHG4] MATANGAHLFNHCGSNSRFIHFTSRNTTSKLFLTKTSHFRTPKRSFHVNNILTDKIHHPITEQGGESDLSCFSPDAASITSSIKYHAEFTPVFSPERFELPNAFFATAQSVRDSLLINWNATYDVYEKLNMKQAYYLSMEFLQGRALLNAIGNLELTGAFAEALKNLGHNLENVASQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFQQRITKDGQEEVAEDWLEIGSPWEVVRNDVSYPIKFYGKVSTGSDGKRYWIGGEDIKAVAYDVPIPGYKTRTTISLRLWSTQVPSADFNLSAFNAGEHTKACEAQANAEKICYILYPGDESEEGKILRLKQQYTLCSASLQDIISRFERRSGDRIKWEEFPEKVAVQMNDTHPTLCIPELMRILIDLKGLNWNEAWNITQRTVAYTNHTVLPEALEKWSYELMQKLLPRHVEIIEAIDEELVHEIVSKYGSLDLNKLEDKLTTMRILENFDLPSSVAEFFINPEISVDDDTETLEVHDKVEASDKVVTNDEDDTGKKTSMKTEASAEKDIDKKTPVSPEPAVIPLKKVRMANLCVVGGHAVNGVAEIHSEIVKEEVFNGFYELWPEKFQNKTNGVTPRRWIRFCNPPLSAIITKWTGTEEWVLKTEKLAELQKFADNEDLQNEWREAKRSNKIKVVSFLKEKTGYSVVPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMKAAERKTNFVPRVCIFGGKAFATYVQAKRIVKFITDVGATINHDPEIGDLLKVVFVPDYNVSVAELLIPASDLSEHISTAGMEASGTSNMKFAMNGCIQIGTLDGANVEIREEVGEENFFLFGAQAHEIAGLRKERADGKFVPDERFEEVKEFVRSGAFGSYNYDDLIGSLEGNEGFGRADYFLVGKDFPSYIECQEKVDEAYRDQKRWTKMSILNTAGSYKFSSDRTIHEYAKDIWNIEAVEIA >Solyc07g063950.3.1 pep chromosome:SL3.0:7:66416704:66426067:1 gene:Solyc07g063950.3 transcript:Solyc07g063950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESSGLIIGISIGVVIGVLLAIAAFFCFRRYHRRRPQIGNSSSRRAATVPIRANGVDTCTVLSDSSIGTESPKSIQNGMSFWLGSVRKSNVVSASGILEYSYKDLQRATYNFTTLIGQGAYGPVYKAQMSTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSRGSLASHLYDEKLEPLRWELRVQIALDVARGLEYLHDGAVPPVVHRDIKSSNILLDQSMSARVADFGLSREEMVSKHASNIRGTFGYLDPEYISTRSFTKKSDVYSFGVLLFELIAGKNPLQGLIEYIELAAMTTDGKGGWEEIADSRLDGKYDLQELNDVAALAYKCVNRAAKKRPSMRDTVQVLSRILKSRHERKRQKRSLSATAEEITINVEQVDHRNPISGHRRVESIDSTADSYEVKSAEGPCLITAKLKRWERKECKPNSLPVLHKMHVRLGDTVKVISGHDKGKIGEITEIIKHNSKIVVKEVNLKTKHVKSRSEEEPGQIVKIEAPIHSSNVMLYSKEQKVASRVGHKTLDNGKRVRYLIKTGEIIDSAENWKKAVKEKGKTTEAVAAAS >Solyc10g084280.2.1 pep chromosome:SL3.0:10:64011588:64026817:1 gene:Solyc10g084280.2 transcript:Solyc10g084280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGLVSHKRDPIKASVGNAAAQRRRQHAVTVGKERREALMRTKRLCRVGVSADFDEASMDDSDMMIEEDQAILEALTSAAVEELKLDVAYQYGEYFLFCYEEILVANSGFLVLHFVFYFKFQLSWGKGATEKRVNALRELRRFLSRSEFPPVEAALQAGVIPLLIQCLSFGSSDEQLLEAAWCLTNIAAGKPEETKALLPALPLLVVHLGEKSSIHVMEQCAWALGNVAGESEELRNVLLLQGALPALARMLLPNKRSTVRTAAWALSNLIKGPDPRAATELIKIDGIPDRIVRHLKKGDDELATEVAWVVVYLTALSNVATTILAKSDLVQVLEAAWVLSNIAAGSVEHKQLIYSSEAMPLLLNLLSKAAFDIKKEVAYVLGNICVAPTEGSGRPNVILDHLVNLVRGGCLTGFLDLVRSADVEAARLGLQFIELVLRGMPNGEGPKLVEREDGIDAMERYQFHENEELRSMANELVDSYFGEEYGLDEEEEEDATLFVTFESWKKRRIKLQSLNNTRTEYKLSEICAAPVLKDHLSNEKMGKKGSWFSSVKKALSPNSKEKKDGKSKKKWFGKEKQPLPDSSTLVVASVSPPQPIPQVEEVKLAEVEEEQTKHVYSVAVATAAAAEAAVAAAQAAAEVVRLTTVNQFPGKSKEEIAAIRIQTTFRGYLARRALRALRGLVRLKTLVDGPTVKRQTANTLKCMQTLSRAQSQISSRRSRLLEENRTLQRQLMQKHAKELESLRRGEEWDDTLQSKEQIEASLLGRYEAAMRRERALAYSYSHQQTWKKSSKATNLLFMDPTNPQWGWSWLERWMGARSRENQNMSEKELKGDQMSVRSASMSMSGGEITKAFARHQLNSELPSSPLSQKPNRPSSRQSPTTPSKPSTARKPKPGSARVSAINQEDDTRSVFSVQSEMNRRHSIAGSSVRDDESLGSCSSVPSYMASTQSAKARTTRLQSPLGVENGTPPAKGSAGSVKKRLSYSPSPAITRRHSGPPKVEITSTNTSNAEKYVNGVVN >Solyc11g040177.1.1 pep chromosome:SL3.0:11:39582462:39585476:-1 gene:Solyc11g040177.1 transcript:Solyc11g040177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRITIKIERSRVEEYVWVMRRNRFKPETLALQQRQPSPEEAAAPPSIREAAAAARRSSTAAAPGHGHPPEEEEAAAAAPRCQAASSSRIVPSYSAINIQPARRQHSSRQPPRKCDNMLSLDVPTRWNSTYFMLDTAKKFEKAFERFDLYDASDDLYLSKMASGMKEKFKKYWGTPEKMNKIIFIPSVLDPRNKFMYVSFSLEVLLGKETENVVNKKVEVYLSDVFAIYVSKYKKSFKSQPSSSDSSDSSTSGISQNVSKNSLGTKLHKKKQKNDSGSLGVKYELDKYLLEDQELESEDFDILSWWKVNSPRFLVLSQLARDVLAIPMSSVVSECAFSTGGRILDPFRSSLTPKCVQCLICDKDWLSKRNQAYLC >Solyc01g005700.3.1 pep chromosome:SL3.0:1:481060:484939:-1 gene:Solyc01g005700.3 transcript:Solyc01g005700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTEDKTLFCFCHWGGKNKVLPDGSTSYVGGITDQIIVKTGIKYNDFVNAVFDRLGIDPSDKVLQFTVKFDRAQLIRLRDQEGVNTLLQFNDGFAHVYASSSEKEPNSEVAPNMATTRISTTEVEAVSLGSAEEEQVSADTPIPATSNQWITDGTPDAAANWSELLVGEGQAFENADAFRLAVFKFSIANRFHYRILHNKPRYISIHCAADGCPWKVSAGVEKKSQNVSIRKFIDSHSHPPLDSSQLKPRIRMKWLGGIMQEDILGSPDCLPRKVCEDAEKNLAIKLTYRQAWSVKQRIREAINEKSGESYKLIPWLCNRLVEAMPGTIATWSCTEENRFKRLFVSYDCSIRGFDVGCRPLIFVDVYNLNELHNSSFIVASALDADNEMYPLSYGILLSMNEEDLMWFLEKLKLVLQNREVVVVSGTSLPFLSSLDKMFGDENHSFCFHCVKENFNNFIDGNTAFKVQGRGKEIALKYLCDIAYARTLDSYNEALGKICSFRRELYDWVIASQPERWSNALFRKPRWDHLNCKSTDSLNSFIEEEKFVHVLELMEAYHEKLYMLLQSNKLKIEQWNLPIGPRVAEKIFENQKAGDNLAVTVLSDVEFKLQELQGREEVVNLKLWTCTCLEWQMTGIPCSHACNAITMTSMNIYQYVADWYKRETQEHIYAEVMDELAKFDIPHPDDIISSASSGNDIVLCPLSPHIKRPPGRPRKEPKGLQVETVKRPIRCSKCGGVGHNKRTKCSSAAQ >Solyc12g044535.1.1 pep chromosome:SL3.0:12:60499397:60501138:-1 gene:Solyc12g044535.1 transcript:Solyc12g044535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQNCVLKIGFADKKIVMDQTPMRMKLQWNFNLILLNLSHIDMNIRIDRTLKLNFGSCYLPHPNKEDTGAEDAHFICSDELAIGVADVVGGLADIGIDAGKWRGGDLMYGVNERVV >Solyc01g104015.1.1 pep chromosome:SL3.0:1:92384831:92387516:1 gene:Solyc01g104015.1 transcript:Solyc01g104015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQFVVLSLAVFFFISFSQATSTSIKPLIFIETNHSATAARSCSYTLTIKTSCSSPKYTRDRVSIAFGDAYGFEVYAPRIDNPSSRIFETCSTDTFQIRGPCIYEICYLYLKRVGSDGWKPESVKVYGSDRPAITFKYNKLLPNGVWYGFNHCQISLSPNKGIFLISQSTAAAARSCSYTLTIKTSCSSPKYTRDRVSIAFGDSYGFEVHAPKLDDPSSKIFESCSTDTFQIRGPCIYEICYLNLKRVGSDGWKPESVKVYGPDRPAITFKYDKFLPNGVWFGFDHCKKRSL >Solyc03g062820.1.1.1 pep chromosome:SL3.0:3:34538849:34539286:1 gene:Solyc03g062820.1 transcript:Solyc03g062820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKKKIEMEKITKKSCRMVTFSKRRNGLFKKNEELESLTGSQVSSVVLSPAGRIYTYGDVNTAINMHFSKIDCMRQSDSDVVVSSGSSELRSSSKSLRDWLEDIDVEQCQNLNQLLLLKEQLEGTKKKIVSIEDSKSFQALFM >Solyc04g007210.3.1 pep chromosome:SL3.0:4:904063:907238:-1 gene:Solyc04g007210.3 transcript:Solyc04g007210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKKLANAMGAKTARACDNCIRKRARWYCAADDAFLCQSCDSSVHSANPLARRHERVRLKTSSFKSSDDFPNLESTVSGLGSGSGSGSDSIPSWHCGFTRKARTPRYGNKHAKRVKSTEEEEEEEEMKNPIQLVVPEILSDENSHDENEEEQLLYRVPIFDPFMADGSNYGNEYSSNKVDFNQDMNTFQGLLAPSEMELAEFAADVVSLLGKGLDDEESFNYMEGLGFLEKHDEKLVKVEDEGEVGFVNMISTNNQVDYSEFDMVGETFELKFDYDSQVINNLDEDNKKVEFLEINYDSGKNNNKIMLNLDYESVLKSWGDKRFPWTTGVRPEVDFNDCWPVCMGNCGKIHSYGDIAIMNGHGGGVVDEGREARVLRYKEKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTNFAPTPFPSLNK >Solyc12g005950.2.1 pep chromosome:SL3.0:12:575323:588074:-1 gene:Solyc12g005950.2 transcript:Solyc12g005950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESSVGGVVPAVKGEVMRRMGDKEEGGSVTLRDEEVGTVTEWELDRELLCPICMQIIKDAFLTACGHSFCYMCIVTHLHNKSDCPCCSHYLTTSQLYPNFLLDKLLKKTSARQISKTASPVEQFRHSLEQGSEVSIKELDALLLMLSEKKRKLEQEEAERNMQILLDFLQMLRKQKVDELNEVQHDLQYIKEDLNSVERHRIDLYRARDRYSMKLRMLADDPIGKKPWSSSTDRNFGGLFSTSRNAPGGLPTGNLTFKKVDSKAQISSPGPQRKDTSISELNSQHMSQSGLAVVRKKRVNAQFNDLQECYLQKRRQLANKSRVKEEKDADVVQREGYSEGLADFQSVLSTFTRYSRLRVIAELRHGDLFHSANIVSSIEFDRDDELFATAGVSRRIKVFDFSSVVNEPADAHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYDGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSVHIAVGSADHHIHYYDLRNTSQPVHIFSGHRKAVSYVKFLSNNELASASTDSTLRLWDVKDNLPVRTLRGHTNEKNFVGLSVNNEFLSCGSETNEVFVYHKAISKPVTWHRFGSPDIDEADEDAGSYFISAVCWKSDSPTMLAANSQGTIKVLVLAA >Solyc11g020343.1.1 pep chromosome:SL3.0:11:10887262:10888711:1 gene:Solyc11g020343.1 transcript:Solyc11g020343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLHFTPTITSSSPCHISPTPSSANSEPTCFSQSVKNLKWQQVMAGEFNTLLEYKTWLLVPYNNNMNLVGCKWVYRIKYNLDGNYHETFNPLVRPTTVHIVLSLVISFASILNEEVFMKQPPGFTYSDYPHHVCKLTKASYGLNQAPRAGFHRINTFLLTQGFVCSKIDLSMFVHRFSFARYLHYFLGLLLKFHTHTCNPVRNPIAYHTCIILEDCTLLSDPTEYRSMLGALQYLTMTCPSIAYAVNIVSKFTHDPRTTYLHCVKRIFRYLQGTPTYGLFLRWGGCPDTR >Solyc04g016035.1.1 pep chromosome:SL3.0:4:6692392:6695702:1 gene:Solyc04g016035.1 transcript:Solyc04g016035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNTVGIKNVIEGNDITAFWTVAKQLPSKPTIKNPNAFCDYCHSKGEIHTQVGGIDHRSGEFPSYPVIASQRFGDYNRAIAGRSSSGSAGTAGIPSHGGGINMAFTTPGGVLHGSGTISCSVVHNSPGYRWIVDTWATNHMVSTHELLYETQNSSSNESNKIVLILVYVDDLLITGSNSQLIQNTKTMLQAHFKIKDLGEMRYFLGLEIARNKEGIIVNQRKFTLDLISEFGMAGTKPVSTSLEVNQRFTSQEFDMHFVPQETHDDIALNDPTGYQKLIGKLLYLTMTRPVISYAVQNLSQFMHKPKKSHMDGSLRVVK >Solyc06g053180.3.1 pep chromosome:SL3.0:6:36006388:36009144:1 gene:Solyc06g053180.3 transcript:Solyc06g053180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDHKVHLFEEVAKHNKTKDCWLIISGKHRRSCDSWSEWHSPEPIRSVFYGKVYDVTPFMEDHPGGDEVLLGR >Solyc01g104410.3.1 pep chromosome:SL3.0:1:92673587:92680774:-1 gene:Solyc01g104410.3 transcript:Solyc01g104410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKPAAIFMAFGTKGDIYPLAAIAAAFACDQEQYQVFFITHSAHENLMVHLRANKVMYIPVSSPPVVSPLEHYGKMELSFSAHKREIIQKHRYECTSIIEDILGDSSVEGDVIAINFFALEGWSLAELFQVRCIVVAPYVVPYSAPSSFERQFRKEHPGLYNHLQEAPTDKVGWKDVIHWMWPLFTEEWGSWRSLDLNLSALPFTNLYFAKSKPVTNSEPNPLTELLGSLVFWLKDPVTGLPTFHERLPSPLLLYGFSKEVVEYPEISASVSPGSSNKQNKLCSIHLGLQFFIEFPAAELPVFIGLSSIGSMGFLRNPHAFLQVLRTALDISDSRFILFSAGYEPLEAAIESYAKEASSCPEQTQRSNGGVSFFGGRLFCFSGGSTAAALLAGVPQVICPFMLDQFYWAERMYWLGVAPEPLKREHLVPDKDEDFYIKKAANMLVRALDYSQSSEVKTRALQISNKLSNEDGVSEAVHLIKEELRSCR >Solyc09g018670.3.1 pep chromosome:SL3.0:9:16623572:16627731:1 gene:Solyc09g018670.3 transcript:Solyc09g018670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSIAGHATPIKELLWLLSLIAGIIICKLVYQLTGAISPFFFTGYVKLDCQKKLEWNNRGFSTFHALLVTAASLYLLLESDLFCDGAQDELIINRTSAFSDTILGISTGYFLADLAMIFYYFPALGGTEYVLHHGLSMFAIVQSLLSGQAQIYIFMVLFTESTTPFVNLRWYLDVAGQKNSQLYVINGVALFLGWLVARILLFLFFFHHMFNHFHQIKNVYPLGFYSLLTVPPILALLNIVWFWKITKGLIKTLRKARHSQ >Solyc05g055320.3.1 pep chromosome:SL3.0:5:65848846:65852184:1 gene:Solyc05g055320.3 transcript:Solyc05g055320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4C2K8] MDSKGFNCSVFVLVCCLVLSLSVSSLAKRQPSCLIEVGYYRYTCPSAEAIVRKVVYKAVARNPGIAAGLIRLHFHDCFVRGCDASVLLDGLNSEKESIANKNSLRGFEVIDEAKKQLEAACPGTVSCADILAFAARDSSYKVGKINYDVQAGRRDGHVSIKDEALANLPSPFVGVKELIKSFARKGMSVDEMVTLSGAHSIGVAHCAVFANRLYPQNKQQNLPIDRVYERMLKSICPPESLTNGTGVANPTNFDVITPNKLDNKYYMDLKSNKGLLVTDQTLMSDPKTAKMVNFNARYGRVWGKKFADAMVHMGTLDVLTGYKGEIRKNCHFVN >Solyc07g014670.3.1 pep chromosome:SL3.0:7:5054399:5056800:1 gene:Solyc07g014670.3 transcript:Solyc07g014670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHYSSYLFYLAIFSFFTPILFRYFFHRKRNLPPSPFSFPIIGHLYLVKNALHLTLTSLSAKYGPVLYLKLGYNMPVIVVSSPSAVEECLTRNDVIFANRPKTLAGDKFTYNYIVYVWAPYGQLWRILRRLTVVELFSSQSLSKSCTLRDEEITTFIRCLYRFSTINSSKKVDLTNWAFTLVFNLMTKIIAGKHIVKEEDAGMEKGIEIIEKLRGTFLVTISFLNMIDFLPILRWFGYKGLEKKMDVIHSKRNEFLNTLLDEFRRNKISGLKSNSSNTLIETLLSFQESEPEFYTDDIIKSIMLVVFVAGTETSSSTIQWVMRLLLAHPEVLHKLRADIDNKVGNKRLVRESDLTKLPYLRCVVNETMRLYPPVPLLLPHYSTEDCTVGGYDVPKHTMLLINAWAVHRDPKVWDDPEKFKPERFEATQGETERFNYKLIPFGMGRRACPGTDMGLRAVSLALGALIQCFEWQIEEEESLEASYHARMSMQNKPLKAICTPRKDLVDLLSQL >Solyc01g013880.1.1 pep chromosome:SL3.0:1:10223870:10224574:-1 gene:Solyc01g013880.1 transcript:Solyc01g013880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELSVMYLSDNILSGTINITFSIGNQLRVIKFDGNKLEGKVPQTLINLTTKALDIELPRVLTTNIIIDLSRNRFEGHIPSIIGDLVGFRTLNLSDNRLEGHIPASLHQLSVLESLDLSSKKISGEIPQ >Solyc02g079730.3.1 pep chromosome:SL3.0:2:44736118:44739112:1 gene:Solyc02g079730.3 transcript:Solyc02g079730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIHSALNLNFCAISSLSALKAGQHCSSCSFSNFLLPPPLKRLTCFATSKKSEEEHVSDPKNNSGSRSRKAIRSRESKVTHNEDKDSAKIFPSTIPKKPRRGRRSEAAAVEDFVRDSLEKTFASIREQNSDMMKDTENILKDRVDDDTESDESSDDEDDSSRDKKEKKMIIEEEDPNWPLDADVGWGIRASEYFDKHPIKNVIGEDGVEIDWEGEIDDCWVKEINCLEWESFAFHPSPLIVLVFERYNRASDNWKALKELEKAAKVYWSAKERLPPRTVKIDINIERDLAYALKVKESPQILFLRGNRILYREKEIRTADDLVQMIAYFYYNAKKPSCIDDAALSQQSYTSQIKKEAVEHGSIPV >Solyc04g011610.2.1 pep chromosome:SL3.0:4:4048339:4056602:1 gene:Solyc04g011610.2 transcript:Solyc04g011610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTYWCYRCNRFYRVWSEESITCPDCNTGFVEEIEAPTRSTLSGSRRRRFPPAVMQTAARSTDQSPASGYGSGSSPGVRRNRRNTGDRSPFNPVIVLRAQIEANGIGRMENPPASKAAIESLPTIEIIHSHIVAESCCAVCKEAFELGTEAREMPCKHLYHSDCILPWLSLRNSCPVCRHELPSESRDVVDPNRAPSEQSEQSVATNNEVEEAVGLTIWRLPGGGFAVGRLGRRGGERALPVVFTEMDGGFNNNNNNGVPRRISWGSRGSESRQSGGFRRFFGNLFSCFGGIGSSGSSSSSDSRINQSRSSSMSSQEGAEAGVSKLIMELEDGTSHNRDPAISLGLIVKRLAFDHVNDKSNSGDHLRLFAKGQRLPEC >Solyc06g076253.1.1 pep chromosome:SL3.0:6:47508669:47514345:-1 gene:Solyc06g076253.1 transcript:Solyc06g076253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVVPQIPVPHSSNTQTQSTLKSQQVSYVKNHISHFDNSSCLINDKLVPDDDNTVRDNEGDVMEEDVRGNNEDTYKSKDQKIYERNTRKRESNENPEGKGEIKQVLKKVDQYSKGTEMGNLVSTCDDEMSSEDKVMGLPANKREMVEKVYKGMKLFLCDVDLKLMYGIYKLTFYSLGSVEDDPPSLSFVSGVLLLPTLRSAIVVRNLVVDGDFKLEMDEIGVKGGETRSTMDGIYGVIFHFVSLDWNTLSSKGLMIRVCFSIVDDCLPLVEEKFREVIQTNYYTKTKFNCKLTSEQVSQLVKNLCRDKTSLTKGEETHNRDTSREGRLVDYRGETLVVNHHPYPTYNPPPASPNPLSPTYNPPSDSQDPVYSPHPTYNPPPDSQDSVYTPHPTYNPPLVLMSTINLQTLYNPPPATRIPILGSVKGMVTLPTRIQVYCVV >Solyc06g083933.1.1 pep chromosome:SL3.0:6:49276152:49276630:-1 gene:Solyc06g083933.1 transcript:Solyc06g083933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSNQHDYSLNSSIDQQVGQQEVEQEQEYYMGGVGKSYECVYCKGGFNTAQALGGHMNIHRKDKLSSSRNKPNTTTHNLLTSTTTNKHETHHNLSSGVKSGDLRRNVNRNVYIE >Solyc10g074560.2.1 pep chromosome:SL3.0:10:58181451:58192309:1 gene:Solyc10g074560.2 transcript:Solyc10g074560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMPLKKQKKNKNDKYSLSDLKTLGHQLLSSRAHVNNLPLLLSFITPSTRPKYALESLLSLQSFFIPLLPQLPSSTVSSSFTSDSQADPEFIYRTWVRSKFDDFVQSLLDIVICSQSDEALREVVLDTLMEFVKVGNGGKFHSAIYYRLLHNIVHSSLEVDDILLELLASKYFKYIDIRYFTYISLEKLSRNIGANDISDDIRERLDTTGVNQPQSSLDLSVHKLSHLLSRIPPLEGSDDKAEYDMWNAAGIFTEKENDKGHTGKQCKGESTNIKALSPANIAKKMKLKFTKAWISFLRLTLPVDVYKEVLVNLHQVVIPYLSNPLMLCDFLTRSYDIGGVVSVMALSSLFVLMTQHSLEYPNFYEKLYALLEPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFCKKLSRLSLAVPPSGALVIIALIHNLLRRHPSINCLVHQEDGNETTKDMIGAENGAADDSTEASSPSREMSSVKPSIDPFDDKQTDPLKANAMRSSLWEVDTLRHHYCPPVSRFVLSLENDLTVRAKTTEVSVKDFSSGSYATIFGDEIRRRVKQVPLAFYTATPTMLFPESDFIGWTFKMKDKDSATISAKRSRVEETS >Solyc04g039920.1.1 pep chromosome:SL3.0:4:12385001:12385347:1 gene:Solyc04g039920.1 transcript:Solyc04g039920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSSPIPLLTPYKMGSFELSHRVVMPPMTRNRSYNNTPHPHAIEYYVQRATKGGFVISESTSASDISNGQIISLFLPFYYIKSII >Solyc04g080110.3.1 pep chromosome:SL3.0:4:64464730:64465533:1 gene:Solyc04g080110.3 transcript:Solyc04g080110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFYLFVCRYCPEVYIGENCHLIQTCHGYQRRILVPVEAFHLRTMFQNIINHQERFDYDRIPAVVELCLQAALFQVKKSYVVGKRNLEGMGSTLIWSSEVCEHCSEVHIGPSGHKARLCGVFKFECWRGSHFWKKVEVDHLVPSKTVLLKQIHEVSCQTARDNISFSQDTTTQG >Solyc10g047140.2.1 pep chromosome:SL3.0:10:39837309:39849012:-1 gene:Solyc10g047140.2 transcript:Solyc10g047140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Somatic embryogenesis receptor kinase 3A [Source:UniProtKB/TrEMBL;Acc:G0XZA5] MDQSVLAIWVFLCLIGLLFNLSPVAGNAEGDALNALKTNLADPNSVLQSWDATLVNPCTWFHVTCNNENSVTRVDLGNANLSGQLVPQLGQLQKLQYLELYSNNISGRIPNELGNLTELVSLDLYLNNLNGPIPPSLGRLQKLRFLRLNNNSLNEGIPMSLTTIVALQVLDLSNNHLTGLVPVNGSFSLFTPISFANNQLEVPPVSPPPPLPPTPSSSSSVGNSATGAIAGGVAAGAALLFAAPAIFLAWWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVASDNFSNRNILGRGGFGKVYKGRLADGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERVLVYPYMENGSVASRLRERPESEPPLDWPKRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKYETLVDADLQGNYNEEEVEQLIQVALLCTQSTPTERPKMSEVVRMLEGDGLAERWEEWQKEEMFRQDYNHVHHPHTDWIIADSTSNIRPDELSGPR >Solyc04g025993.1.1 pep chromosome:SL3.0:4:20033948:20041888:-1 gene:Solyc04g025993.1 transcript:Solyc04g025993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDVYAIGVRSLVSLFTTNLLMIQSGFLEYVIGSALDTSMEQMLNIGFLGHGALQQLPLRSNWSLGEAGHYKSQPANPYRLLQTASVPYTIQTNQLLPVPNGWRNVRKCNASAPPASSYSLASTPITGAEWMEDSGGNQLTAIPMIFLNENSMVLLLQANMQIPHVSAAPTLLGKG >Solyc06g008470.2.1 pep chromosome:SL3.0:6:2370426:2370827:1 gene:Solyc06g008470.2 transcript:Solyc06g008470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNDALVTIARNRPNMIRFRLCIIEPQTPDYLILEPLDAGDSDLGLLYVLSGCESLRKLEIRDCPFGDEALLANAAKLESGDHAIPLDV >Solyc01g056690.3.1 pep chromosome:SL3.0:1:55914730:55929996:-1 gene:Solyc01g056690.3 transcript:Solyc01g056690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLEILEPNTCIRGCCTSRRIPIHLPPPSYSLSHPIARGAESVVYEAILDGKKVAVKKPILSTSEDIDKFHKELQLFTLDHWGIAKLVGAHAKPPNYMFFFEYYESGNLAGKLHVDEWSPSIREALEIAAHLAKALQYLHNLGIVHRDVKPANILLDRQLQPHLADFGLAEYKKNLKLVSTENWKSTGKPTGGFHKRNMVGTLIYMAPEVLTKEVHTEKSDVYSFGITVNELLTGIVPYTDLRAETQAHTVLEMNYTEQQLTAAVVSEGLRPVLADIQSSAPASLVSLIERCWDKDPQNRPSFDDIVVELDFILEHDVGRGCMEKASGEAFISSNGVYAANFQTYQENISWFSQGKDFMKRVPTALAASTWLDSSDDHIYSPVLSWGSFASCGRRETMEDRHFLMPQLCDEKDIHIFAIFDGHRGSAAAEFSSGALPGFLQNLGSVCSPSDALFEAFIKTDVAFRTQLDSSRKRKGAVQKDWHPGCTAIAALIVRNKLIVANAGDCRTILCRSGIPYALSRDHVASCLEERERIIRAGGLVKWQVDTWRVGDAALQVTRSIGDDDLKPAVTAEPEITSTTLSAEDEYIVMASDGLWDVVSEKDVVNIIRDTVKEAGMCSKRLATEAAERGSKDNITVIVIFLRPVSTAERIY >Solyc10g045453.1.1 pep chromosome:SL3.0:10:33898863:33901015:1 gene:Solyc10g045453.1 transcript:Solyc10g045453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLNTRLSSTFKMKDLGPAKQILGMKISRDRSAGTLNLSQKLYIKKVLSRFRTVEERDHMAHVPYASAVGSLMYAMVCTRPDIAHAVGLFSSTSLCFGKGKVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTAVSWMSGLQKCVSLSSTEAEYEAIAEAGKEMIWMTDYLEELGGEDSEAEVVTDVIDITHIPKVVHVNEDIDLEVQTTPSPYEELLSTSQKNSIIVFIELFVHTNADDYSTCFL >Solyc08g016100.1.1.1 pep chromosome:SL3.0:8:6802309:6802854:-1 gene:Solyc08g016100.1 transcript:Solyc08g016100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTSLFTPYLLLLVLIATIFNFQTSLCDIRADEALITGICRQVQNLQFCLTTFRQIIPSHPYVPEEVTRAAITKSLQNANDNRAFVEKAKANAKDKETKDLYSICDSSYGLLITVLQDASKSLTNKDYNGLENDLAKCPRFVSDCQNVLGSKTTREMVDRSRKQFDLVLMAKIAEQLIKK >Solyc12g009930.2.1.1 pep chromosome:SL3.0:12:3095687:3097042:1 gene:Solyc12g009930.2 transcript:Solyc12g009930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNEVIVAMVPFYDYSHLNNLLDLSRFIASHNIPVHFISFVDMNRDLKLRLKGSPGASNIHFEDLLRPSAPTENDVRDGEPPILVFLEKLTKPINEICVQLSTKAKKLVIVHDLIMSEQILEVNTLSNVKSYMFHTGSTFSRYSSIKQTIPDLVDDDDDHVKFIKEMQDEFPLLEAHEKYCFQMELDDKDIHYSGEIINSCREMEGKYPDLLAKAKGKPLWAFGPFHMLLESNSNITPRHDQCLEFLDKQEASSVIFVSFGSTTTLSQEQINEIALGLEQSNHRFIWVLRKGDNSEKLKEKDVKIELPKGFEERVEGRGIVVNWAPQLEILGHLSTGGFMTHCGWNSCIESISKGVPVATWPISYDQPFNAVFLTNMLKIGIAVKSWSHRNELITASTIEKSVKTLMGTVEGEEMRQRAVKLSKQMKNSVRSGGLARKDMEAFISHIIE >Solyc06g051100.1.1.1 pep chromosome:SL3.0:6:34293735:34293824:1 gene:Solyc06g051100.1 transcript:Solyc06g051100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVQPSKGWKMVEVVLQRMMFLEFLQTMK >Solyc01g006120.3.1 pep chromosome:SL3.0:1:775937:784419:1 gene:Solyc01g006120.3 transcript:Solyc01g006120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGVQVGGSPVYKVERKLGKGGFGQVFLGRRLTGGNERASGPLALEVALKFEHRNSKGCSYGPPYEWQVYNTLGGSHGVPRVHYKGRAGEYYVMVMDMLGPSLWDVWNSSGQSMSAEMVACIAVESLSILEKLHAKGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDTSKGQHVGYDQRPDTFRGTVRYASAHAHLGRTASRRDDLESLAYTLIFLHRGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPAPFREFLDTVINMKFDEEPKYSKLISLFGSLLGADPAIRPINTDGAQKAIQVGQKRGRLTLNEEEEQPQKKIRIGVPATQWISIYNARKPMKQRYHYNVADTRLAQHVEKGSVDGLSISCVASCSSLWAIIMDAGTNFTSQVYELSPFFLHKEWIMEQWEKNYYISSLAGGINGASLVVMSKGTQFTQQSYKVSESFPFKWISKKWKEGFHVTSMATANTRWAVVMSRNSGFSDQVVELDFLYPSEGIHKRWDNGYRITATAATPDQAALILSVPRRRPGDETQETLRTSQFPSTHVKEKWGKNLYLSCLCYGRTVS >Solyc04g011980.1.1.1 pep chromosome:SL3.0:4:4339331:4341976:1 gene:Solyc04g011980.1 transcript:Solyc04g011980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSAVISLIRTLDERNIHELFHGHTAEALDSLRAIAEYFEKVLDEFEPGKIKSLEEKIRDAASEAEDVVELKTHQIIEGTSWTFGILQHQDLLPVFEKMDTIKKQVMEIVSHDADQILELSGDSMIDTSSKSYALLSDKLEDDIVRGIDDDLQIIIKRLTGPPSDLDIVTISGMGGIGKTTLARKAYDHLTIRYHFDILAWVTISQEFQCRNVLLEALHCISKKTDIVNAKDYDKMDENELADLVQKNLKGRRYLVVVDDIWSRDVWDSIRGIFSNYNNGSRILMTTRENEVAMYANTCSPHEMSLLSAGNGWKLVCDTVFGPKHDHPPELEEIGKEIVEKCQGLPLTISVIAGHLCKVARTLEGWKDVARTLSEIIASHPDECLAVLGLSYHHLPNHLKPCFLSMSSFQEDFHFETQILIQLWIAEGFIRTCENGKSLEEVAIDYLEDLISRNLLQARERRFNGEIKTCGIHDLLREFCLTEAEMTKHMHVERTYPTLPTQMHNVRRFSFQTEYYSVDDCYKLLPPASRSIYLFSRLDLPALPRIKLHRRLPIYYHDPIIYEFFSHFNLLRVLSMNNNYLYFESFPLVITKLFHLRYLQVRFNGNIPESISELQNLQTLIFRGNNSYMYSMTFPVTIWMMKNLRYIHLDTSTYLPSPGTQSLVTGMPNLQEFSGHFKDEVFSGIPNIKRLIFRLPYFRKSILDQLQLDMSRLTKLEAFKFYGSSFYRCSLKRFRFPTSLRRLSLTRCSDFLWTDVSSTVLMLPNLEELKLKNCQTLIDEWRLSDEDKFKSLKLLLLSGSSLKRWEANSDNFPNLKRLVLKNCYRLQEIPADFGEIGTLESIELHNCSTTADDSAREIVQEQEDMGNYFLKIYIHNIRG >Solyc01g009990.3.1 pep chromosome:SL3.0:1:4530469:4534632:1 gene:Solyc01g009990.3 transcript:Solyc01g009990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4ATJ4] MAATFASVSNLGSISVPRVANPTYAAYPKLLKSSFSSSSLFGGSLRLDTSSNRSVHKKTGGSSGSIQAAVEVADLQSKVTNKVYFDISIGNPVGKLAGRIVIGLYGDDVPQTAENFRALCTGEKGFGFKDSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLVHTGPGIVSMANAGPNTNGSQFFICTVKTPWLDQKHVVFGQVLEGMDIVKLIESQETDRGDRPRKRVVISDCGELPIV >Solyc05g017890.3.1 pep chromosome:SL3.0:5:19075404:19076608:-1 gene:Solyc05g017890.3 transcript:Solyc05g017890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNASKRRKLKREHMASEPGEYSPAAHPPLSINMTQPSDGRDRGERKGVIVQQRPGYLDEPGLRIHGKESASKAPRRDADSMYDREWDDDKRQRAEPKRRHRK >Solyc04g025090.1.1.1 pep chromosome:SL3.0:4:25791219:25791524:1 gene:Solyc04g025090.1 transcript:Solyc04g025090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRISRVRQRVELKNKLMNLEGVLQMEKYRGESLLEIMKRADGVWWESPIEDIKLFQLQHLKKALETLNQKVEKEIQMMNNNAFLFQLLGSAWALPSFTS >Solyc03g095610.3.1 pep chromosome:SL3.0:3:58217061:58220928:1 gene:Solyc03g095610.3 transcript:Solyc03g095610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQATTKVRFVRCPKCQLVLPELADIPVYKCGGCGTILQAKNRKKAPIKKDVLDQNEIRNTSEEASLNEWKKSDQTEAGDCNKELPRQLNSTDELPSSSGVSCLENEDPLAKSAVHKGDECSCSLDEKIEQYENESRDHQQFAGGENFSNGLASSDQLTSPESGSPIVEAKDDAEGSAIEEREKLELDKDIGCSSHVIEQDIEGLPLEVTEQLELDEGNLVDDCTVNDQNRSGVNHKSNSLDENSTYSGCVVHRDKASPGSRAHEDGIESKSLVEQIIGRGQNDFQGQNAESSENVRYTKETSLSAEIARDFEKQSSACSDMFQSILNESIMSDTLMATDYEQFEPFHKEIPSGFDRISSMDTLENQPLNIYRSEPPVNRRNMIRSPTHRSYYGYDGSASSSDENDHIPDQYNDQPVRKFKEAYPVSPGEFLLDGRSRVNHTMSGESKMVQRAMNFSTVLPGRSHQATEGSNWSQKPQSKKRGQASGGRMISDQDEHDTTFPFISTGSHAGHKHGNPSNYRKNMAHHSSLLPPRMPSDSEPDKIQLLRMVYELEDQLRQTRITTRMANGANGRFSAEPMRDEEYNPSYYDQFLEDGGGDLNYSRYPVRCSHGKGWPQQRKSSRIPFSAEVSHYRHPADCLCSHCSPKVRHFSAQLHPSVCYNKGRGVGYSSYNCCNHLQSDSSSPQHYSSSEYSRWDHDSRSDDRRHKDHEMKKLYLREKYSKMRHLRPVAGGAPIISCYYCNELLQLPADFLLFKRRCHQLRCNACRKVLKFSLQNQIHVVPFHAEVLAPPPSEVDDNTGVSDHQNLAYEYHLDSCPRAERISCSDDLGPSFCRSVSTEGESSLPPIQPRGRTSFNGEISSSSSSAPPKDGKMKSVMREPRMGTFGSPQPSAKMSKWGKVSSSEIEEVRPNGGSPLHRLMGYESASEVIHW >Solyc12g006690.2.1 pep chromosome:SL3.0:12:1151914:1155156:-1 gene:Solyc12g006690.2 transcript:Solyc12g006690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTNRDESDKDSTASSSITMPESSRRSWMSSTNLSSFSSRRSSISLCNENPYFSNSHKPHKSNQISWELIRRIRVESGQIKLEHFRLLRRVGGGDIGSVYLCEIRNPVVGLPQCFYAMKVVDREAVEIRKKLQRGEMEKEILGIIDHPFLPTLYAQFEASHYSCLVMEYCPGGDLHAVRQRQPGKRFSISSAKFYAAEILLALEYLHMMGIVYRDLKPENVLVRSDGHIMLSDFDLSFKCDEVVPTLVKSKTTKSIAKTPRNSYCAMPIQPVLSCFLSQKTEQNHENQEEDQEIVAEPINARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFLYELIFGTTPFKGENNEKTLVNILKKPLTFPRIAISSSKEYEEMVKVQDLISRLLVKNPKKRIGSLQGSVEIKKHEFFKGVNWALIRSIKPPQVPNDLVKMRGVVPKLSKKQREEPYQIPQYFDYF >Solyc11g043080.2.1 pep chromosome:SL3.0:11:33864603:33865298:-1 gene:Solyc11g043080.2 transcript:Solyc11g043080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWHVFVSVSNKGFSSWNSTILVLDTNGFEDDAIKLFTSLQCSILKPDSDSFIVVVTACNHSRLVDKEKYYFQIMKMKYVKWNLDVVIWGSLLSACRSHMDMQLARWSAENFLELYPNESSGYVLMANMYATSSLFEKNMDERISMEEKHIVKESGCSSLEINGEVHEFASGRKLYSEFMIYMF >Solyc04g040220.3.1 pep chromosome:SL3.0:4:11922438:11925508:1 gene:Solyc04g040220.3 transcript:Solyc04g040220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNPEDPLRSLSLDYLNLLINGQAFSDVTFHVEGHLVHAHRCVLAARSQFFRKFFCGPSSPQSGPQLGSVNGPRDTGSPASSVVIPVNSVGYEVFLLMMQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLSAARSFGVEQLALLTQKQLAIMVEKASIEDVMRVLIASRKQDMNQLWTTCSHLVAKSGLPPEMLAKHLPIDVVAKIEELRLKSNLARRSLMPHHHHHLDLSSSAELEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESIALHYAVENCSREVVKALLELGAADVNFPAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRMLDGITPLDILRTLTSDFLFKGTVPGHVHVEPNKLRLCLELVQSAAMVISREEGSANIDLSSTNIYPPNNMSDDHTSSTSTSGTNNIDSRMVYLNLGGGVTNTSSTHDHPSSMYHHSSHEY >Solyc05g046195.1.1 pep chromosome:SL3.0:5:59215871:59217482:1 gene:Solyc05g046195.1 transcript:Solyc05g046195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSGWSSEKEILPDSNVLKDVLDSSHIQLSIDEVENEANEDIAKEGLAQNPWQTDFSLFLKVVGKKLAIVIVYVDDLILTGDYEEEILLTKKKFSVRFQMKELGQLNHFSWLRVGVMNRYMHNPKKHHMEVVRRILRYVKSTIDYGLLYMKGEECKLVRYCDSDYAEDHDTRRSTTGYVFKL >Solyc07g041027.1.1.1 pep chromosome:SL3.0:7:51737592:51740230:1 gene:Solyc07g041027.1 transcript:Solyc07g041027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IRGMMFFQLLTSATMMNHT >Solyc04g049185.1.1 pep chromosome:SL3.0:4:39991855:39992793:1 gene:Solyc04g049185.1 transcript:Solyc04g049185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKFKKYWGTPDKMNKIIYIASVLHPRNKFVYVSFAFEELLGEETKNIVNTKVEAYLRNLFAIYVSKYGKGSKSQPSSSDSSDSSACGISQNVSKDSLRTKLHMKKQKNASKSLDQEPESGDFDILSWWKVNTPRFPVLSQLARDVLVIPMLSVALECAFSIGPRVKTMKK >Solyc05g053780.3.1 pep chromosome:SL3.0:5:64659059:64662015:1 gene:Solyc05g053780.3 transcript:Solyc05g053780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCNKLGGLLRQSISTGNAVSANSPTPAMLNAIRCMSSKLFVGGLSWGTDDQSLKEAFTSFGDVVDAKVIIDRDSGRSRGFGFVNFSDEDCAKEAMNAMDGQILSQSEDD >Solyc06g065035.1.1 pep chromosome:SL3.0:6:40656698:40658354:1 gene:Solyc06g065035.1 transcript:Solyc06g065035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALLKLVPTNRMVFLWLAMPTINHENGFLLKGFDGMAHPTQEPLCHRRTRLMCPIQVVRKPTGLTIFPSDALSNRDKETAQEAPYAKNAEVERETYTFQLDWHLIVEELLQTNHGEYIGNTK >Solyc05g055490.2.1 pep chromosome:SL3.0:5:65967471:65970107:1 gene:Solyc05g055490.2 transcript:Solyc05g055490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPGPLINATTNDVVIVNVFNNIDEPLLITWNGIQQRLNSWQDGVSGTNCAIEPGKNWTYVFQVKDQIGTFTYFPSINFHKVAGGYGPIRVNNRNVIAVPFPKPEDEFDLLIGDWFRTDYKQSLRSSIQDKQSNQFFDIPDAILMNGKRPYDELSSSNNHKSFTVTKGMTYRFRISNVGTVMSFNFRIQNHKMVLVETEGSYTNQITLDSLDVHVGQSYSVLVTADQNEADYYIVATPKMFRSNETLEGIGILHYANSLTTVSGSVPDGPEPFDIAFSVNQAKSITWNLTTGAARPNPQGTFNVSNVTLAQTFILHGSKSEINGWTRYVVNNVSYFTPDTPLKLADMFVNGSGVYQLDQFPTHSVHDSASYGVSVVSGIHKGWLEIVFINDLDVMDSWHLDGFGFYVVGFGNGDWTPAARETYNIFDPVVRSTVQIYPGRWTAVYVFLDNPGMWNLRSQHLKNWYLGQQLFIRVFDDNPNPAKERKPPQNLLLCG >Solyc05g056490.3.1 pep chromosome:SL3.0:5:66608965:66615021:-1 gene:Solyc05g056490.3 transcript:Solyc05g056490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTGLSSRSINSLTQKTLPLLTKNTKPHFPFSLLLTLRASKSTLRAVTMSYDKELDAAKNAASLAARLCQKVQKALLQADVQSKSDKSPVTVADYGSQAVVSVVLQKELCSASFSLVAEEDSGDLRNEEGKSTLQRIMKLVNETLASDGTYGTAPLSEEDVLAAIDSGRSEGGPSGQHWVLDPIDGTKGFLRGDQYAIALGLLDEGKVVLGVLACPNLPLSSLASHNLQDDQDKVGCLFYAQVGSGTYMQSLDGSTPIKVHVTDLDNPEEASFFESFEAAHSLHDLSSLIAKKLGVKAPPVRIDSQAKYGALSRGDGAIYLRFPHKGYREKIWDHAAGYLVVAEAGGVVSDAAGNPLDFSKGRYLDLHEGIIVTNQKLMPALLKAVKESLNEKASSL >Solyc02g082285.1.1 pep chromosome:SL3.0:2:46547674:46548878:-1 gene:Solyc02g082285.1 transcript:Solyc02g082285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCLQKSVSPPKTPRGKHPVKMSCQHLELIHCVPGSKFKTVSNPQVLASTASTDMDNKRKGTSVQFLALTTSILVSFKGRDGIWSELEAGLVFDSSSGSLRNGNIK >Solyc09g065160.3.1 pep chromosome:SL3.0:9:63296370:63300736:-1 gene:Solyc09g065160.3 transcript:Solyc09g065160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKLETPIAIAEQLLLACQGLVRQECQQAKQDLLFVENLVSSVEECTKKLEVDSISWKRQINSAQARVVRLVESTLQLSNVDLVATYVFRGENSTQMPATISVQNDILGQAVLEGQFLGTFGGLGAAGLSASLLTSVLQTTLEDLLALGLCSAGGLLAVSNFSSRRQQVVDKVKRTADGLARELEEAMQKELLETTSNVEDFVKLIGKPYQVRAQNRLDELLATAEELTIIEKKLKSLRIDIQNLHVS >Solyc08g078530.3.1 pep chromosome:SL3.0:8:62430227:62450663:1 gene:Solyc08g078530.3 transcript:Solyc08g078530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYNDNDYQSHLAGEDSSKVSSVLHPYALPKFDFDDRFDSLVENEVFLGIPTQEDNHWIEDFSRGSSGIEFSSSATDSCSIPRRNNVWSEATSTESVEMLLKSVGQEDMVPGDTIIEESDAGNELGCLIQPAESSLKLDDKQDDVKNSISATPAVESVELSGSFSRCERTKIEAIHSVCAPERQEVGPIADGCSGVNTEEKLQTEVKSIDENLGEVRTAQSESLPDNYNRQPSIPVTESAIKECVTDSLTASIEILASQHNPTNCHSGNTSGLPSEHHKQVEKQISVSKESSLGDGKTHGCAVDSETCTSNASPPSLAASELEVGKDLSTETRMITSEEPCVQRNKCSLTIEGCNKDTSSVEHAEAVFSKGLKDKLQAECNSKLCENEEASVSENCLDTRDTKNQEGSSKGQTEKVSAMQMSDGLTTSTEKEESNLEGHSPLNLGTSEACTVSEISEPSKQNNGNGINALEGPSNIQETSVSAELVERPVSENIETGNDADRVSEGYACGGDHISLSVPAGSMDICRETFSHVVDVDSTSVDVSGGKDTEEVLPVETELVGSCVRDDELRSSSVAGESEQISDQGHGSQFESSTLNNQASDVGFDCRNLILGGDPVSGRSLSGSGAIATEIIDHDDKLKPVSVMGGSDHFSGKEEMEAVLSREAEVSTLKESSEGARQLGLLSDDGKDASSDCHMKIKPMVVDQDVLIQDNSNSASHIEQAASAEANIEGPGARAEAAPIVKNQEMEVETVKFGEVGVEGSSDVIGGLKHDSASVPSYTALSPSEKKKTPSRSRAVVEKVAPLVDTTEIGGEALSTSINSGEKASTKTDRSFTFDVSPLAAGSAKGEADKSIISSQACQPTELKAEDRLHLTSGSKQTDTEIMQKISHGSPLVPDEGTPSGGAKGDRKASRGSGKSGKENPRKGRQSKAINSSKQSDRGDKSCVQFSPSVAVQKIQFETGTGTIERNITKSSGVVSFPTSSLPDLNTTSASVLFHQPFTDLQQVQLRAQIFVYGSLIQGTSPEEACMVSAFGTSDGCRSLWDPAWRACVERIHGQRSRAGNNETPSHSRSEMRNAGPRTPDQANKQVVHQDKVTTSTAGRAGGKSSNSLAVSPMIPLSSPLWNMATPSRDVLSSARGALIDYKALPSMHPYQTPPARNFVGHTASWLPPAPFPGPWVASPQNSPFDTSAQLPALPVTESVKLTPVKESSLSTASAKHAPPGSVAHAGDSGIQSGAFPHDNTKTPVLPAQFSADQKSRKRKKASGTDDRTQKSKIGTSSESITTPVICTQLSNKAPASDDFGLLSSVAVAPLVAHSQTGPTSVPIIGGHFSTSVVIEPPSSSVPKNNSDIPIASAPSSTELSKRVLDLGKKTPTLEYLSKVEEAKLQAEEAAANATAAVSHCQDVWSQLDKHKNSGLASDVEVKLTSAAVAVAAATSVAKAAAAAAKLASNAALQAKLMADEAMIAFGVSNPSQTQAGFFPNIVNNFGSATPASVLKSQDVGNGSSSVLYAAREASRRRIEAASAASRHAENLDAIVKAAELAAEAVSHAGKVVALADPLPLTQLVEAGPDSYWKVSQTLSGQGIKSNKVNGDESGSPVVEKTPGIFSKQSEGPSVEEMHPMVPACQTTSVSGNIIEDNMRNEEVIRTPVTSVEKDVRGAKGHSMPEVSKTVAVAAESSHDLVEARGDVASSRMQEGSLVEVFKDSDDGKRAWYSAKVLTLKNGKALVCFTDHQSDEGLEQFKDWVPLDAGSDEPPRIRPAHPVTAMQGGKKRRRAVVKEHTWYVGDRVDAWIDYRWREGVIAEKNKRDETTFSVNFPAYGDTAVVRAWHLRPSLVWKDGEWVEWSRLRHDFLSQGDTPKEKRVKLGNPASEDTGNSLSKKMDPLVPVTNESATLLPLSVTEKTFDIGSNKDDSKPNTLRTMRSGLHKEGSKVFGVPKPGKKRKFMEVSKHYVSDRTAKSNAAHGSAKFTKFLMPQATGTGGWKTNSRTDLKEKQQTIETRRKLPKSSKPSSSARTLKDNSITSTRDASGAEHMVGDAIEYDKNEAQQPNVGNFVSNAEEGVEVVKFRSEALPTNIPKKASTSSNRGEGMKKRIPISNLKSSKVEVKDKMIPEVSEPRRSNRKIQPTSRLLEGLQSSLIISKFPSVSHDKSSRSHSRGASR >Solyc10g079210.2.1 pep chromosome:SL3.0:10:60922715:60929210:1 gene:Solyc10g079210.2 transcript:Solyc10g079210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sdl1-like protein [Source:UniProtKB/TrEMBL;Acc:B1N665] MAGLFATLRRPTNISSSNFQSSSVFASRLLYLLTVISVSLAVFAYVLQWRGGLPDPTTQWIPGDDPNEAGSKPVRLSSSSSGCADILGQSRTASFPYFRDWKFDFGSSPSGSDLRPKISITTSTSAGLEQILPWMFYHKVIGVTNFFLFVEGKAASPDVSKVLKSIPGVRVIYRTKELENVQAKSRIWNETWLAGFFYQPCNHELFVKQTLNMEMAIVMAREAGVDWIIHLDTDELMHPAGTSEYSLRKLLADIPEDVDMVIFPNYESSVERDDVKEPFSEVSMFKKNYDHLTKEMYFGSYKEATRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYMKSPKEIKLGEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTLTEEEMLDWYREHVVWTDKTLIQKLIKKGILTRIYTPMAIVQGLKESGVFVSIIASAHRDVIKDESLSSSAGNRNASGYPHITDTFPRKMGRILESQSTARKFVDFSTTDHQAIPPESPPGMDGIDLADTKYLLNNSSS >Solyc12g088760.1.1.1 pep chromosome:SL3.0:12:64995439:64997688:1 gene:Solyc12g088760.1 transcript:Solyc12g088760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYIALWLCFLAILFPFSMSKLETYIIHMDLSAMPIAFSSHHSWYLSTLASISDSSNHGSLVYAYTNAIHGFSARLSLSELQVIKRCQGYLSSTKDMTVKIDTTHTSQFLGLSSNSGAWPKSDYGRDVIIGLVDTGVWPESKSYNDNGMNDVPSRWKGECESGTQFNSSMCNKKLIGARYFNKGLIASNPNITIEMNSARDTEGHGTHTSTTAAGSRVESASYFGYATGVAAGMAPKAHVAMYKALWDEGSMLSDILAAIDQAIEDGVDVISLSLGIDGRQLYDDPIAIAAFAAMEKGIFVSTSAGNEGPDNESLHNGTPWVLTMAAGTVDRDFLGTLTLGNGVSVTGLSLYPGNSSSSDSSIVFLNSCLEDKEVKKNAYKIAVCYDANGSISDQVYNIRNSNVSGGVFITNTTDLEFYLQSEFPAIFLNFQDGDIVLKYIKSSHSPKARLEFQATRLGAKPAPKVASYSSRGPSGSCPSILKPDLMAPGALILASWPQKLSVAQINSRDLFSYFNIISGTSMSCPHAAGVAALLKGVHPKWSPAAIRSAMMTTADSLDNTQGPIRDIGRDNNAATPLAMGAGHINPNKALDPGLIYDATPEDYVNLLCGLDFTSKQIKSITRSSSYSCSKPSLDLNYPSFIGYFNFNSSKSDPKRIQVFNRTVTNLGDGQSTYTAKLTPMGEYTVSVTPDKLVFKEKYEKQSYKLRIEGPLLVDNYLVYGSLSWVETSGKYVVKSPIVATTIRVEPL >Solyc03g025160.3.1 pep chromosome:SL3.0:3:2637689:2640637:-1 gene:Solyc03g025160.3 transcript:Solyc03g025160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLQDQNINIHFDGASLFGKNETSKALKKGGGLGGRKALNDISNSAKPSSLQASKKNSTSVISIGKDLNATKNKFIAGTKDNLAKVPDKGGRKALTDLTNSSKPSAKQGSKKGFDKKWSAAAAANIPTSIAEEQFLHDHKECIKAQRKVIDMDFFLKEVGLDNDIPVQPLASPHASKLSMKSMSLTYQLETPVKKHFEVDEMPELLMCDQDPQCDKMGTCGGDSSPSLGSPISPKLSYMSWKDVSDPCFTLTSSPDRQKY >Solyc04g009170.2.1 pep chromosome:SL3.0:4:2671541:2676566:1 gene:Solyc04g009170.2 transcript:Solyc04g009170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGITVADGGAALYFCHKCSNTVTITPSPSGDLFCPTCNSDFVEEYEEPDPEPEMFPNFPNPGLLSSLLMGARPRSAPTTGSHQHSAPATGSRVSPYTAFFNSNRNPRQMSSGSDDFNPFEFLQTHLAALRANGASFEFVIEGGNGGSGLQMPANYGDYFIGPGFEQLIQNLAENDPNRYGTPPASRAAVEGLPTIKVDEELMRSELAQCAVCKDDFEMGSDVIQMPCKHVYHKDCIIPWLELHSSCPVCRYELPTDEPENENRQRDNDGDSKGLLQYHCHGLWEALEHHLARDDPSLVGSGTGIDCSIHLIQIYTRDLLIEAKIVLVVSLLAGCIMDDKVGGVVG >Solyc08g066300.2.1 pep chromosome:SL3.0:8:54863819:54866155:1 gene:Solyc08g066300.2 transcript:Solyc08g066300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEIPKRISSQLENIDLSENHLNGSIPEDIGNLKNLLKLDLSHNSLSGSITSKLFQLHNLHHLLLSSNYLSGVIPDEMDLFSLYDMDLSHNQLTGSIPNELANSAELDVLDLSYNQLQEIFQKLTYEVNCFDESNLSSSSNNRKLLRSQHLIIPIVGVGVVIQLSWIFYRTRKHWWKTKKHDVKDDMKFISFQKLKVTIENILSSLKDETIIGNGGSGKVYLAVIDQTGNTYAVKSIGHGEKSGGRPQKEFLAEVRTLGSIRHNNIVKLMCCISSLDRKLLVYECFEKQSLDKWLHRKKSAVSLGQSSTPALDWQKRLKIATGAAQELCYMHHDDLLVLATMRRGHVVCKDDSLNSSRPTMSFFRGLAAFGTKPSWGRILNFELRGRILILPQTFPEIPPPS >Solyc08g082880.3.1 pep chromosome:SL3.0:8:65660096:65665334:1 gene:Solyc08g082880.3 transcript:Solyc08g082880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVSETVPKFTAEALKSAAKQSERCHIVPIRLRRAIKKYLREQEEPHMKRKVLRLSESFSQIKEVNLMLPTSTSKELFEDPLKSVDCSQRWKIKSAYGDIGLKYRDDETLAYVASRMPAVYSALYRVLSEVRRRLPGFSPAKVLDFGAGTGSAFWAVREVWPRSLSRINLVEPSQSMQRAGQSLIKAVFNALVLMCPNLLPVRIYFSYCSTAVIRKYEADKDGKICPVIAVGLKSLPLIQSYGSIQALSQDVKKSDRQHDLVIADRITVVRQLWEQTGDVLVLVEPGTPQGSNIISQMRSHILWMEKRRSRKLEGVSGKDSKALTTLKNGVYIVAPCPHDGRCPLDNTGKYCHFVQRLQRTSSQRAYKRSKGEPLRGFEDEKFCFIAFRRGERPKQVLLQEYGMPIVNLFLACSIITIVKEPWPLDSMKFETLKEQHARRNPEDLEIDYEDQFISEDEGIEDEVDHVTYDSDATETDAVTENDDWEEEGEERTHADLGGGWGRIIYSPLRRGKRIEMDVCRSINQEGSEGSFERIVITKSRNPTLHHQARRSLWGDLWPF >Solyc11g013210.2.1 pep chromosome:SL3.0:11:6121880:6123510:-1 gene:Solyc11g013210.2 transcript:Solyc11g013210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPEMVKLVGRPKMKRNRETDEASLRKGAWKQSRKGTLMRCNKCGDFNHNAKGCYKDHEEGESSHEINKKASSQESRSRAGKNSTCSATIVYEIEASPQHFQTQHSTAYGPEIGNEEDPTLRPMVISETETRMEKRSRIFEGIRSRKIVFKGDARGISTPLDLPYSPKKTTWKGKKAVTTGQLQAEVKKKRVKQMAMKGKQPVDTNDDLV >Solyc05g016445.1.1 pep chromosome:SL3.0:5:16956099:16957107:-1 gene:Solyc05g016445.1 transcript:Solyc05g016445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGQDHRPRKHVHRTLIQKMLTGHVRYFDECRLQMMPAIDVLHWLTNVYKPRMIGVAHVMSLDHCVDGKVDTSDNKGKVGRPCLMSTDFCTDQRPCVTTTVYCVQSKGDVERSSLTIAK >Solyc06g011378.1.1 pep chromosome:SL3.0:6:7230309:7232129:1 gene:Solyc06g011378.1 transcript:Solyc06g011378.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTQLNPSNVSCSSLPLSYSREKDLEELAKMICVMEPTEDILSRWRNRGKGFSKLQLMARFILAMQASSLALEGVFSAARFQLGEHRYSLAVDSLEISILFRDWIYAERINLGREPLPTNFQDDVDEVM >Solyc01g014710.1.1 pep chromosome:SL3.0:1:14497476:14497853:1 gene:Solyc01g014710.1 transcript:Solyc01g014710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVDFAKCDCCGLTEECTLDYIETIRYLSTLPPDPTIHLIDAMRQLLRRSLESPKSLRSMLCSITRNYIWRPCSSIWGKDF >Solyc12g005770.2.1 pep chromosome:SL3.0:12:414727:427048:-1 gene:Solyc12g005770.2 transcript:Solyc12g005770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFRGRDLREKLDREHSPVGRYSSGKGHSPVGRYSSGRGHSPVGRYSSERGHSPVGRYSSDRDARERHASRGRSPPESVERSDRKRRMKQHSDGQSDISGSLNMSDGTGDHVKEKKHLSSNSKDVLQLRQLQSEINMLDDEKRELEAESLASKNQELEMELFKEKEERKRITLKTKQFIEAYTNHSRLEAELKRSEAQLQKLAYKLSSDFIRTGASEEDSAINISDGGMAGNHFSSLVEQQKNTSPTKKRPRIHHEADETSNQALTRAKGNGAQRISYPTQLSNEKKDEADFSSDMTFTNKPKASESAVVLPPTSMAAHAKDEDAEVGETEEKLEVAGNATRRAGKGVPSGITEYPFLPPPPPLPRGSSLQVSSITEPVHV >Solyc12g094520.2.1 pep chromosome:SL3.0:12:65716200:65720088:-1 gene:Solyc12g094520.2 transcript:Solyc12g094520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAQYNNNSTVDPKSGYSPANSTFYSKRRPIPFPANESIDVTTFISSRAHSGKIAFIDATTGRKLSFSDVWNAVESLATSLSVDMSIRKGDVVLLLSPNSIFFPIICLAVMSLGAIITTTNPLNTNSEIAKQIKDSNPVLAFTIPQLLPKLTGFNLPVILIDGVNDSNSNLKIVGDLQKLIQRKPSESRLKERVTQNDVATLLYSSGTTGASKGVISSHKNLIAMVQTIVSRFNLDEGVQTFICTVPMFHIYGLAAFAAGLLASGSTVVVLSKFEMDEMLSSIHKYKATSLPLVPPILVALVNNADWIKKKYDLSSLESVLSGGAPLSKEVIEGFVEKYPSVRILQGYGLTESTGIGASTDSLEESRRYGTAGMLSPSMEGKIVDPESGKALPVNKTGELWLRGPTIMKGYFSNEEATASTLDSDGWLRTGDLCYIDEDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPEISDAAVIPFPDKEVGQFPMAYVVRKTGSTISESAVMDFIAKQVAPYKRIRRVAFVASIPKNPSGKILRKDLIKLATSKL >Solyc05g014765.1.1 pep chromosome:SL3.0:5:8922186:8923531:-1 gene:Solyc05g014765.1 transcript:Solyc05g014765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYLTVGQKCEAWVDNSNSHYIKRLEAQHCKCVLHSVNLTTVTKTCKKEPPQNQVEMTIKDQVPFWKAFATRFTCSFWSEQFEGVGGGYGFKKKLSVTIRVFTTPSIKNKYHYKKEKSR >Solyc06g082825.1.1 pep chromosome:SL3.0:6:48537079:48538911:1 gene:Solyc06g082825.1 transcript:Solyc06g082825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLFVFCLFCSWYLWIFVILFLLLNINGISQLKLVHLATLLRFHATAYYNAIVLCWHTYFWIAFFPFILLLSVGTKLEHVILQLAHEIAEKHVAIEGELVVTPSDNHFWFDNPQIILLLIHFILFQNAFEIAFFFWILFQYGFHSCIMGKYVFVIPRLVIGVIIQVLCSYSTLPLYALVTQMGSHYKKSMFDNHVQTCLVEWAEKVKKKKGHKYGRDGSTRSNDGSVVAASLSVNDHKDLPQNGV >Solyc03g113210.3.1 pep chromosome:SL3.0:3:64915853:64917125:1 gene:Solyc03g113210.3 transcript:Solyc03g113210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKQPGIFSDIGKNATDLLYGDYIRKSPVHNLLDWGLYFKCQVNDIVPGLSSLVKLSVPDQRSNKVEVQYMNNYFGVATGISLEKTPLLSLSGVTGIGFFSIGAEIAFDTATKTLAECGGGLSFDTDVLSASLTLSNNADTLRAHCYRPILPLTSTGVAAELTHRFVNNQTTLALGAQHCLFPCMLIKARVTSDGSLGALVQNNIFSALSLSIGAEMNAMDAANTAKLGLALIFNP >Solyc09g018550.1.1.1 pep chromosome:SL3.0:9:15239515:15239697:-1 gene:Solyc09g018550.1 transcript:Solyc09g018550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYQRQTSLPNAAKSAAPTRPDVSFSFISYSRAGEFVVSSFAARESSLQLLLFFLLATRW >Solyc06g051020.2.1 pep chromosome:SL3.0:6:34193049:34195089:1 gene:Solyc06g051020.2 transcript:Solyc06g051020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLQLFFFLIVIIINFINAPICSSLQHPSHFIRHGYFQQKYLEINRPLPFANLTPSCTLPILSHHFGDTIGLPPVSVAYAPPENCSWNHVALQFNASSNGVQYDRIAAVWLDGADLLRTSTAEPTESGGFWTVTKDVTRYSSLLAKQDISLSVMMENIVNDVYTGAYYVNLTILYYYIEEMNVPLSATRNNRKVRMVDDVFVDNSMSLYEKPADLIIPISGYNRGEGFWFRIRSDSELKGKSVIIPKNTYRAVMEICVSFHGLDEFWYSNPPDSYIRANNLTSQRGHGSYREVLLNIDRNLVGSVVPFPVIYPGGINPLYWDPIVSIGAFDHPSYDIDITPFLGDLLDGKSHFMGFKVLDSLPFWLLDANIVVSETGTKISHTSMKREYPLTITTKTWKPSREDGTIIMHSELEHERNEEKNWSNGHSHSYSSRLKNGQKCKGWMSVKDRIVLQGGATTEQSYSYNGEASTYSREIAAANGKLVHDTATYSKFPSVSAM >Solyc02g089820.3.1 pep chromosome:SL3.0:2:52148653:52151386:-1 gene:Solyc02g089820.3 transcript:Solyc02g089820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSKKIAHEIGGVRNDAFRFGLHGVKSDIVGSHPLESAYHSTKARQYEMKRKILANTYGSAFPMKLELDRQILSRFQRPPGAIPSSMIGLESLTGGLEDFAFEDYLNDPKESESFRPVDMHHGVEVRLGLSKGPVCPSFI >Solyc01g088005.1.1 pep chromosome:SL3.0:1:82721603:82723369:-1 gene:Solyc01g088005.1 transcript:Solyc01g088005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRDVSSCNTYNYGDALYWDSRYVQEAGSFDWYQRYSALRPFVRHYVSTSSRVLMVGCGNAVMSEDMVKDGYEEIVNVDISTVAIDMMRRKYEDVPQMKCMVPEKFLNSDLETFFQFW >Solyc09g010300.3.1 pep chromosome:SL3.0:9:3673431:3681941:-1 gene:Solyc09g010300.3 transcript:Solyc09g010300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNSKLMPNLDERSTKMLNLTVLQRIDPRIEEILITAAHVTLYEFNVDLNQWSRKEVEGSFFVVKRSAQPRFQFIVMNRRSAENMVEDLLGDFEFEVQVPYLLYRNAAQEVNGIWFYNAHEIEEVADLFGRILGEYSKVPLKPQLRKSEFADHEVVPMSTAIEGTSGPAFTTSTDGFGADDSSFMNFFNSAATIGHTSSTVVNSGLPYHYPVPTFDPSPRVPSPLPSPAPILQVSLPVQSAPSPPRQSRRDSANLINSTNYPANLVKPSFFTPPVSPVLVTTSVSSATSTPVLYPHVNPQRPHGTLLVQPFRTPTSPPFLTSTQIPPQSVTLSREKVQDVLLMLVQDNQFIDLVYQKLLKAHS >Solyc02g021430.1.1.1 pep chromosome:SL3.0:2:23200559:23201479:1 gene:Solyc02g021430.1 transcript:Solyc02g021430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAATSFHSCLCFSVQKLPSPSSSASCSDQQMSLLSPTKPKSILQNNPLYQPTHKNISLQFKEKILCLEIMGVDSGKALSQNPCLHTASLHAIHSIITFLQSKGLYQKDFARIIGMCPTILTSDIRSELNPVFNFLSKELRVPEDNFRKVINKCPRLLICSVKDQLKPTLFYLQRLGFTDVHHLAYQDPILLVSSVENTLIPKLHYLVSLGFTRREAVEMTLRCPGLFTFSIQNNFKPKFEYFSQEMEGELDELKDFPQYFAFSLDKRIKPRHIQLVDNGVSIPLSLMLKTTDEEFNHLISQKTR >Solyc05g047450.2.1 pep chromosome:SL3.0:5:59745361:59752972:1 gene:Solyc05g047450.2 transcript:Solyc05g047450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDHLDIATPGKADHNDIYGSSVASRRDKVKHEPKDSRSSASAGGIGTSNQNPKDVASLAEEEEHNTDDEDNHSEDAQKQLVLYDPAAVGADEIALDADPVNSQPRRNSFPNYTTRLLPSVGAFTVQCANCFKWRLIPTKEKYEEIREHILEQPFYCETAHEWRAELSCADPPDLTQDGSRLWAIDKPNIAQPPPGWERLLRIRGEGGTRFADVYYVAPSGKRLRSMVEVEKYLQEHPDYVAQGVSMSQFSFQIPRPLQDNYVKKRPYRPALAPDETEPVNRITWIADNGDTDLRLGMPGAPLFEPLSQSFKKKRTPSKRLSNADAACKSS >Solyc09g056390.1.1.1 pep chromosome:SL3.0:9:49157554:49157781:1 gene:Solyc09g056390.1 transcript:Solyc09g056390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKKAKKLVSVFKIVEDPPVSFPLCPALAEEEVAFVLLSAEVAKSKIPLDFKLSGSNLCIAGLPSVTADPTCHT >Solyc03g034020.3.1 pep chromosome:SL3.0:3:5759030:5763802:-1 gene:Solyc03g034020.3 transcript:Solyc03g034020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSGSSEVSETPQSYRAIKVHYLMCMKLFQFITRVSVLLPEIEAARPGCSSGREALCRLNIEIDIAKTLCQRCTESSKLYLALTGDSILSRCEKSRNLFKQSLSQVQNQVPVALAISQLIAELSGVVFSLDPSEEEAGKVLKELLSRYANTTDSAEEHAFEAIQVAMSKLHITSLKALSIEKGSIKKLLERVGESETSKRRILSIFLKLLNKHGKSIVTEQTENGSLQQEDSYQCEANSRLGCRLDDAEMDVLRSSLPPDEFKCPLSLRLMYEPVVIASGRTYERFQILKWFAEGNDTCPTTRRKLANLSLTPNHTMKDLISRWSATHGLSIPDPSMEAAGAHLLKSRCSSIASLSSSMNNLLLPSFSNLSPESSDAGQVSYAKKLCNFDAISEESNDSIHKVQFQDMDLNPLTRLSSLSWGSQCILVRKISNIFKYSDQACNWTSFEDFVPAMIRFLKDAHDLNDLNSQKLGCLSLSTVLQKCRSSLAYLNDDTFALLVSFLGTDVSKEALSVLKVLSCHQYCQQKIMASDALTPILKMLDDQNRELHEPAIKILCNLSGNSIIVSFITLSDVIPKLIPFLEDKALARDTLYYFE >Solyc07g063280.2.1 pep chromosome:SL3.0:7:65922781:65924650:-1 gene:Solyc07g063280.2 transcript:Solyc07g063280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNKSGNRSSATTRRLKMYNSRPKRDRKGKILKHEYQSKQLPSTRIQPDPRWFINTRVISQEKLESFREEIQDRLSSNYNIIMNGSNLPMSLVNEHKKKGKAHQLGSKPSAGTEKKHAKHFKSLAKKADTP >Solyc06g007350.3.1 pep chromosome:SL3.0:6:1381697:1386691:1 gene:Solyc06g007350.3 transcript:Solyc06g007350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISILRPSISLPQHFLNSMNPQKFSSISVIRKKQSFLHCVASDSAPSPARQEMSLSVGMYLIPHPNKASSKIILRRSIFTCSVEKGGEDAFFVSSDNGGVIVVADGVSGWAEKNVDPALFSRELVANVSSLVGNVEVNDDPRILIKGAHAATSSIGSATVIVSVFENGILKIASVGDCGLRVIRKGQMIFSTFPLEHYFDCPYQLSSEAVSQTYLDAIVSTVNLQVGDTIIMGSDGLFDNIFDQEIVSVVTTNDDVSNAAKALADLARNHSVDTKFDSPFSLEARARGFDVPWWKKVFGMKLTGMTSKNAFCFFFYYFLDDMPDKVSFPCNLEQEENSMILQSLLEK >Solyc10g087010.2.1 pep chromosome:SL3.0:10:64160613:64173296:1 gene:Solyc10g087010.2 transcript:Solyc10g087010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQFSFNFIFLILFLSFLYLLLVELKKSRNLNKKLPPGPWKLPIIGSIHHLALEDGLPHHALTKLGKKHGPLMHLQLGEISTIVVSSMEMAREVMKIHDLAFASRPKLVSLDIILYKSTDIAFCSYGDYWRQMRKICVLELFTAKNVRSFSSIRREEGSNLVQFIRSSTRGEPINVTKQISWYESSITCKAAFGELLKDQEKFIGIVRELAELTGGFSVANIFPSIKILHVLSGVRSRILKVHENVDAIVEDIISDHKRNIASGKRGNGAFGGEDLVDVLLRLMESGALKIPITNDNIKAIMIDLFSAGTETSTTTTIWAMTEMIRNPSVLAKAQTEVREAFKGKETSNEDVIERLKYLKQVVKETFRLHPPLPLLIPRECREETNINGYTIPLKTRVVVNVYSMGRDPKYWEDAESFIPERFEGSSVDFMGNNFEYLPFGAGRRICPGITFGLINVYLPLANLLYHFDWKLPHGVKLEDVDMNEFSGITAARKSPWKLPFIGSIHHLALEGGLPHHALTNLGKKHGPFMHLHLGEISTIIVSSMDMAREVLKTHDLAFASRPKFLALDIICYKSTDIAFSPYGDYWRQMRKVCVLELLTTKNVRSFSSIRRDEASRLVQFIRSSTCREPINVTERILWYQSSITCKTAFGELLKDQEKFIELVKELVELASGFSVADIFPSIKILHVLSGLRNRILKIHKNVDAIVEDTINEHKKNLACGKRGNGAFGGEDLVDVLLRLMESGELKIPITNDNIKAIMIDLFAAGSETSATTTIWAMTEMMRNPNVLAKVQAEVREAFKGKETFDFDEDDIEELKYLKQIVKETLRLHPPVPLLVPRECREETNINGYTIPLKTRVMVNVYAMGRDPKYWDDAESFIPERFDGSSVDFMGNNFEYLPFGAGRRMCPGMSFGLINVYLPLAKLLYHFNWKLPDGLKPKDVDITEFSGITAARKSPWKLPFIGSVHHLALEGGLPHHALTNLGKKYGPFMHLQVGEISTVVVSSMDMAREVLKTHDLAFASRHKILAFDIILYKSTDIGFCSYGDYWRQMRKVCVLELLTTKNVRSFSSIRRDEASRLVQFIRSSTCGEPINVTERISWYQSSITCKTAFGELLKDQEKFLGILREFTEVAGGFSTADIFPSIKILQVLSGLKSRILKFHKNVDVIVEDVINEHKKNLASGKKGNGAFGGENLVDVLLRLKESGELKIPITNDNIKAIIIVREAFKGKEEIFNEDVIEELKYLKQVVKETLRLHPPLPLLIPRECREETNINGYTIPLKTRVVVNVYSMGRDPKYWEDAESFIPERFEGSSVDFMGNNFEYLPFGSGRRMCPALSFGLINVYLPLANLLYHFDWKLPDCVKLEDMDMNENLNKKLPPGPWKLPFIGSVHHLALEGGLPHHALTKLGKKHGPFMHLQLGEISTIIVSSMDMARESTDIAFCPYGDYWRQMHKVCVLELLTTKNVRSFSSIRQDEASRLVQFIRSSRCGEPINVTERILWYQSSITCKTTFVELLKDQEKFLGILRELMEVAGGFSMADIFPSIKILQVLSGLKSRILKVHKNVDVIVEDVINEHKKNIASGTKGNGAFGGEDLVDVLLRLIESGGLKIPITNDNIKAIMIDLFVAGSETSGTTTIWAMSEMMRKPSVLAKAQAEIREAFKGKETFDFDEDVIEELKYLKQVVKETLRLHPSVPLLVPENAEKKQTSTVTLSH >Solyc05g051880.3.1 pep chromosome:SL3.0:5:63133334:63138685:-1 gene:Solyc05g051880.3 transcript:Solyc05g051880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNLFDAKSCVNSTMFVVEMVSPPPPPPPRGSCINNISRKTLVEINGDPKIMKSWIDSMRASSPTHHMSTTLYEDKTSWMMRGTVRKLARYFPTAIVSGRCRDKVYNFVQLSELYYAGSHGMDIKGPSKGSKYSKKGTQAILFQPASKFLPMIIEVYKELLDKTKSIEGVRVENNKFCVSVHFRCVDEKKWGELSQEVRLVLKEYPKLKLCQGRKVLEIRPIIKWDKGKALQFLLQSLGYAKCNDVFPIYIGDDRTDEDAFKVLRERRQGLGILVSKTPKETHASYSLQEPSEVRIFKH >Solyc01g044540.1.1.1 pep chromosome:SL3.0:1:44231542:44231694:1 gene:Solyc01g044540.1 transcript:Solyc01g044540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMDGDADETQSQGPPRFVSISQRVRVKGEQKETSEQGRRKRGGWGWDP >Solyc01g065900.2.1 pep chromosome:SL3.0:1:72336512:72337438:-1 gene:Solyc01g065900.2 transcript:Solyc01g065900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASESNNLKQLSLTPSTDFDIRNQSINGLNDAKKVQGKKVVEERIHQARTRGMELGSTQVEAKWDSVSSYCKIQGVNRGPIQCQRRWSNLFGYFKKIKEWESQIKEEKESFWMIRNNFKREKKLLGFFDRQVFDILDHGNDNEEGLDLTSVSEVLSDSGRSVFVEDDGLFSDVPQKNEDAIPMETSEELYQPLSLVSPTQAGIRQTTTRGDDKGRGLEGSKGKRNDSNDEKARNVQHHLVKALQKNGKMVSSPLKAQIMHSEWDREQRKDHVDKLVVVLNKLACALEKIADKL >Solyc07g021400.1.1 pep chromosome:SL3.0:7:17944787:17950705:1 gene:Solyc07g021400.1 transcript:Solyc07g021400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSSEGPREVPRAGSSAGPREVSCAVPSAGPRVVLSEGPREVPCAGPRAVQSSTQLSTSSATGQKKLYTHRKCVQRWCNEKGDIISESCHQPYQPGYTAPPPLPPSEETVIDISGGWTLVDTQLNLNDPRLLSMAVTERYLQEANHDEDVHASASGAAFGFFVALFVSYCNYLV >Solyc06g054140.3.1 pep chromosome:SL3.0:6:37052343:37053341:1 gene:Solyc06g054140.3 transcript:Solyc06g054140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALFELEETLISRKEALTSEEAKLLNSWKQNAVRDFGIGATGASIATWLGTFPVYYIVK >Solyc11g043175.1.1 pep chromosome:SL3.0:11:33645734:33646017:1 gene:Solyc11g043175.1 transcript:Solyc11g043175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDESPLLSDPSYYQKLIGKLNFLNSTRLDIAYGVQHLIQFMHAPREPYLQAVFNMLRYLKLDITLAFLYDYTVHIFVIKIGPHALIPENLL >Solyc08g075485.1.1 pep chromosome:SL3.0:8:59751062:59753713:-1 gene:Solyc08g075485.1 transcript:Solyc08g075485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHTEDVLKWWRERTKGFPKLVPMVRDILAMQASSVASEGVFSAAMFQLGEHRHSLAADSLEISVLFRDWINAERRNLGREPLPTKFQDDVDEVMQDYSVQDFSQTNILENAYKEIQVADIFTKPLKFEDFQRLRSSVEVKKEK >Solyc12g036340.1.1.1 pep chromosome:SL3.0:12:46261874:46262035:1 gene:Solyc12g036340.1 transcript:Solyc12g036340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQENDSNPRARWRVRRLALLSALKGSFCNLTRTGVFPDLQLVLACVDGRHIK >Solyc08g062130.1.1 pep chromosome:SL3.0:8:50501994:50502607:1 gene:Solyc08g062130.1 transcript:Solyc08g062130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSCTKAISFFGVVQLLLSMTIWNDVLFTVNSIRKSLQSKDMHIDVSIDQLRGLVSFLKTYREEGFTSAIIPAKKIALEMNIEPVFRKKHVIYRKNNLMRMLTMKPQDLSKNHLELITSYT >Solyc02g050203.1.1 pep chromosome:SL3.0:2:4524101:4524340:1 gene:Solyc02g050203.1 transcript:Solyc02g050203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKRPFSDAFHGSDTCRKGGNVFLMDIRGIIKFLLHLKIKRKPPLLIHMGDLRSREYRLGCAMHSPHFRYGGRYY >Solyc10g046995.1.1 pep chromosome:SL3.0:10:39057757:39059093:-1 gene:Solyc10g046995.1 transcript:Solyc10g046995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFGLNSTNHDDFEEYLNQSLENLEIKDGNEDLLGWWSRRSDAFPTLRKMVRDVLAIQASSVASEAAFGYWNRYQNRTWVVIRFCKNQFRSG >Solyc03g005990.3.1 pep chromosome:SL3.0:3:667017:672405:-1 gene:Solyc03g005990.3 transcript:Solyc03g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRLPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYKLPKHCDNNEVLKALCKEAGWIVEEDGTTYKKGCKPVGHVDIIGYSASVSPCSSYQPSPGASYNPSPASSSFPSPVSSNYVANVQNNHDPNTLIPWLKNLSSGSSPSSSRFPHHLYIPGSSISAPVTPPLSSPTARTPRMSDDPMANSGWAQQHYAFLPSSTPASPGRQTPPDSGWLSGVQTPQDGPSSPTFSLVSPNPFGFKEPISNGGSRMWTPGQSGACSPAIASGIDQTADVPMSDAISAEFAFGSHMMGLVKPWEGERIHEECATDDLELTLGNSSTR >Solyc06g019178.1.1 pep chromosome:SL3.0:6:18166688:18167483:1 gene:Solyc06g019178.1 transcript:Solyc06g019178.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVNPGPIDHTLLLSQHEHKSELLWKAMVERWRLETHCFHLPFGEVTITLQDMQVLFGLHIDGDVVYIQDATRRIRPWRTLLETLTRCTIAPTDMDGASRVRIHSFTGYLRDQLQVDPIRDATPVERVESIARLYMLVILGDILFPNSSGNLISLQYLAFVDPIHDVGNFT >Solyc06g075567.1.1 pep chromosome:SL3.0:6:47086098:47087891:1 gene:Solyc06g075567.1 transcript:Solyc06g075567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARARWKPTPQQLMILQDMYKKGLTNPNSCQVQMITSHLSMYGKIQWKNVFYWFQNHKARDRQKMRKQQKQNPSSSSYASGPVNSQLHPNSPTIFLHQVEGTNASPHEMMKYLWKNGMMRNNGMDWMLMTDEEGSSNNNIVHCNNNRPLKTLQLFPVTTTGFKDY >Solyc01g009973.1.1 pep chromosome:SL3.0:1:4516566:4518124:1 gene:Solyc01g009973.1 transcript:Solyc01g009973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSQSGHGGYYNNNTTSSGTGRGISNFQNNGYRNKGYGDGRTGHTKETCYKLHGYPKKKGGVSSYANNAASAGNESGMINSTSSSNTRTNESSNDTTLGQGVSMFTQEQYYEILQMLRKRKSKEVDTMAIVATAGVSGTSDTGASNHMVHNFGLMSQSANLDVQGGMRVNLPTGDQSSWFMLMISWLLGAAYIIYNK >Solyc03g083500.3.1 pep chromosome:SL3.0:3:54854507:54857927:1 gene:Solyc03g083500.3 transcript:Solyc03g083500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCWSAENATKAFLKTMNMGKRANEPNGAEFISALAAGNNAQIMVVACANVADSTTLALVAAAQQTGGRVICILRGIEELHLSKLALGTNSSQLEFVVVNSQTLELLLPNYYKDADFIAVDCNIQNHEEILGSLRKSRRDKSTIVLGYNAFCKESWKSSPLRTQLLPIGEGLLLTRVAAKMKVDTDRGHWIVKVDKCTGEEHVYRVKSPRRRVVEA >Solyc01g006545.1.1 pep chromosome:SL3.0:1:1124145:1126682:-1 gene:Solyc01g006545.1 transcript:Solyc01g006545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVKLVFFMLLKLDLLEFKNMFTVNPNASDYCYDYTDQRMQSYPRTLFWNKSTDCCSWDGIHCDETTGQVVELDLRCSQLQGKFHSNSSLFQLSNLKRLDLSFNDFTGSLISPKFDLGSNNLEGTIPQCVGERNEYLLDLDLSNNRLSGTINTTFSIGNSFKAISLHGNKLTGKVPRSLINCKYLKLLDLGNNQLNDTFPNWLGYLSQLKILSLRSNKLHGPIKSSGSTNLFMRLQILDLSSNGFSGNLPERILGNLQTMKKIDENTRFPEYISDQYEIYYVYLTTITTKGQDYDSVRILDSNMIINLSKNRFEGHIPSIIGDLVGLRTLNLSRNALEGHIPASFQNLSVLESLDLSSNRISGEIPQQLASLTFLEVLNLSHNHLVGCIPKGKQFDSFGNTSYQGNDGLRGFPLSKLCGVDDQVTTPAELDQEEEEEDSPMISWQGVLVGYGCGLVIGLSVIYIMWSTQYPAWFSRMDLKLEHIITTRMKKHKKRY >Solyc04g010040.3.1 pep chromosome:SL3.0:4:3347233:3350764:1 gene:Solyc04g010040.3 transcript:Solyc04g010040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPERSSSSAATSSSSSRHTYSSSASTSSLSAAATVSSSSQYTYSNGAYFPTPIHLQQQPPQPYIGAAPPPVQLPYPSVYPAIPATPGVYTLPHFQQAQQLFHRDAQTITPEALENVKAALASNETEHRANAKKRGVPRKAAGKSWKDPTLTQWPSNDYRLFCGDLGNEVNEDVLSKTFSKFPSFNMAKVLRDKRTGKTRGFGFVSFSSPVDFAAALKGMNGKYVGNRPIKLSKSNWQERNDNEAQKDTRTDCIRSRC >Solyc06g075665.1.1 pep chromosome:SL3.0:6:47168373:47168990:1 gene:Solyc06g075665.1 transcript:Solyc06g075665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFPAATSNTSRKRARKSTPSCRRIWTPEEELTLIDGLKELCVNGWRGDNGTFRHGYLMELEHYMNARHPSCGLKSLPHVDSKIRAWKKSYATISLLKSRSGLGFQYSDGSILVDYPKAWDDLIKVDPNAKSMNLKNGHYLLTGKRYLARIELLESLQKVQKMLLKK >Solyc06g009783.1.1 pep chromosome:SL3.0:6:3786429:3788407:1 gene:Solyc06g009783.1 transcript:Solyc06g009783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCASQGLTVPDASLVRVASTSDVIDLMNLGQRNRAVSATALNDRSSRSHSCLTVHVQGRDLTSGAILRGCMHLVDLAGSERVDKSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAIGETISTLKFAERVSTVELGAARVNKDTTDVKELKEQIASLKAALARKETESVSMSYKVTSSPCGLQSSPFQSNLQGREMLGNSNIQRKPVEDVGNREVSSNSAFRQRRQSFDLDELLGNSSPWPPASSPSENYVEDDSNMSSGEWVDKVMVNKQEAARGVGNLFGCWESEKGNGSDVLYENYLSDSSKVYQEKTTSLFQMSNHFDITASEDLDEFDATTSDSSEPDLLWQFNNSKVNTFPSSGNGSKIQKPNTKPGKIPESRLVLIPINL >Solyc06g071310.3.1 pep chromosome:SL3.0:6:44022633:44026133:1 gene:Solyc06g071310.3 transcript:Solyc06g071310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFGGTTQKCKACEKTVYLVDQLTADNKVYHKACFRCHHCKGTLKLSNYNSFEGVLYCRPHFDQLFKMTGSLDKSFEGAPKTVRERSADQVLFEHTSSSTFTTYIFVHFSLPADLIIDCLVFISQGSNSKVSSLFGGTQDKCVACKKTVYPLEKVAVDGTSYHRPCFKCSHGGCVISPSNYVAHEHRLYCRHHHTQLFKERGNFSQMEDHEKN >Solyc08g081495.1.1 pep chromosome:SL3.0:8:64652006:64660106:-1 gene:Solyc08g081495.1 transcript:Solyc08g081495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSTLQDGAKVNRYSYMGHHSCCNQQKVKRGLWSPEEDEKLIRYITSHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGAVGNSINYRDAIVMANQTGGASSIGSRDIRGVLINGGQYVRYNVYGNLFEVFSKYVPPIRPIGRGAYGLVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHLDHENIVAIKDLIRPPKKEAFNDVYIVSELMDTDLHQIIRSEQPLTNDHCQYFMYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTGAIDVWSVGCILGEIMTREPLFPGKDYVQQLRLITEQFDQLIVPSFNCVSSVLKLLGSPDDASLQFLRSDNARRYVRQLPQYPKQQFSARFPSMSALAIDLLEKMLVFDPTRRITVDEALCHPFLSSLHDLNDEPICPRPFSFDFDEPSITEEKIKELIWRECVKFYPDFVEQNI >Solyc12g040250.1.1.1 pep chromosome:SL3.0:12:53971781:53972143:-1 gene:Solyc12g040250.1 transcript:Solyc12g040250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSKEDKHLESEIDVDNKSVNTKSAVLKNFHVALVEFVKELLRLTWNLGLLRKVAYKKIVKKTVNMVENSLNQNQIPNTAESTEEYFYLSLTKLSNTIEVRHKKFVCPCEFHCPLFSK >Solyc05g021073.1.1 pep chromosome:SL3.0:5:26218623:26225341:-1 gene:Solyc05g021073.1 transcript:Solyc05g021073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKDKRSIFYIAFGSHPEISNQLMKEIGHGLFKYGRQFLWVIREGQDRDKMEDKLSCKDKLENQGKINGIRVNTSEGVVETDVFNRCITIAMGSNEEGEELRRNANKWSDFK >Solyc03g120760.3.1 pep chromosome:SL3.0:3:70516486:70523666:-1 gene:Solyc03g120760.3 transcript:Solyc03g120760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGLPGVPLVPPLPFPPADNATTPTSPVNPSTTPPSPDVASQPSPATLLNPPPSSINGSSPTSAPSNGSSNSTTLVALGVGIGIGGALVLICVCIFALWYKRRKRRLGLNGFGHDPSQAPKDNLFGGQHHHWQQNAPPSTENMVRIPINPSPPPSITSVPQVTAADGCTPSLPPSTGSNLSTDKPFLQTTPDSSSSFSRTTFTYQELAFATDYFSVSNLLGQGGFGYVYKGVFRDGKEVAIKQLKAGSGQGEREFQAEVEIISHVHHKHLVSLVGHCISGVQRLLVYEFVPNKTLEFHLHGDITAGKEHPPLSWETRMRVTLCSARGLAYLHEECHPKIIHRDIKASNILLDDNFDAKVADFGLARLNYDSDTHVSTRVMGTFGYLAPEYALTGKLTEKSDVFSFGVMLLEIITGRRPIDKAQPYLDDNIVDWARPLLTQALDDGNFDTLADPRLDKNYDLTEMTRMVTCAAVCVRHLARRRPRMSQIVRALEGNLPLDELNGLRPGHSGIHESYGSSDFDAVQYKEDLKKFRKMALESQAHNSSECSGPTSEFGPHPSGSSSEGLRTTQGTRSSVEGHREIRID >Solyc01g017070.1.1 pep chromosome:SL3.0:1:23788704:23789286:-1 gene:Solyc01g017070.1 transcript:Solyc01g017070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFLCIYREAPLLCSLRRFYIPAVKRERSVIRSIMEIMDMLCRRALPSWCNRQLPIQCYACFLGNFLFGIKNSHHFLDSWTQFNSVGSFIQILFTKNIF >Solyc06g075400.3.1 pep chromosome:SL3.0:6:46941701:46950829:1 gene:Solyc06g075400.3 transcript:Solyc06g075400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:K4C9Q5] MEYIDNMPLMDLMRSEKMTFVQLIIPAESAHRAITYLGQLGLLQFRDLNAEKSPFQRTFVNQVKRCVEMARKLRYFKDQIHKAGLFLPPLPASQPDTDLEEIEIRLAEHEHELIEMNANSEKLRQSYNELLEFKMVLQKASGFLVSSSSHTTDREIELDENVYSNDNHGDTASLLEQEMRSEMSNQSGVRFISGIICKSKVLQFERMLFRATRGNMLFNQAVADDEILDPSSNEMVEKIVFVVFFSGEQARTKILKICEAFSANCYPVPEDTTKRRQITQEVLSRLSELETTLDAGLRHRDKALTSIGYHLTKWINMVKTQKAVYDTLNMLNFDVTKKCLVGEGWCPIFAKTKIQEALQRATFDSSSQVGIIFHVMDAVESPPTYFRTNRFTNAFQEIVDAYGVAKYQEANPAVYTIVTFPFLFAVMFGDWGHGICLLLGALVLIARESKLSSQKLGSFMEMVFGGRYVLLLMSIFSIYCGLIYNEFFSVPFHIFGDSAYRCRDATCSDAQTVGLIKYKDPYPFGVDPSWRGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNARFFSSSIDIKYQFIPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPFEALGENRLFWGQSVLQVILLLLALIAVPWMLFPKPFILKRLHMERFQGRTYGILGTSEMGIDDQPDSARERAEEFNFSEVFVHQMIHSIEFVLGAVSNTASYLRLWALSLAHSELSTVFYEKVLLLAWGYENIIIRLVGLAVFAFATAFILLMMETLSAFLHALRLHWVEFQNKFYHGDGYKFMPFSFALLADDDD >Solyc04g071360.3.1 pep chromosome:SL3.0:4:58424664:58429819:1 gene:Solyc04g071360.3 transcript:Solyc04g071360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVSGLVSSEGGGDNPESGGGAGSGGSSEIGLGGGSGGGGGSSGGFMTEDGERNSGGNRWPRQETIALLKIRSEMDVIFRDSSLKGPLWEEVSRKMADLGFHRSSKKCKEKFENVYKYHKRTKDGRASKADGKNYRFFEQLEALENITSHHSLMPVPSSNTRPPPPPLEATPINMAMPMASSNVQVTASQGTIPHHVTISSAPPPPNSLFAPSHQNAPSSSPVPLPPPPSQQPSPQPAVNPINNIPQQVNASAMSYSTSSSTSSDEDIQRRHKKKRKWKDYFEKFTKDVINKQEESHRRFLEKLEKREHDRMVREEAWKVEEMARMNREHDLLVQERAMAAAKDAAVISFLQKITEQQNIQIPNSINVGPPSAQVQIQLPENPLSAPNMELVPKSDNGGDSYSPASSSRWPKAEVEALIKLRTNLDVKYQENGPKGPLWEEISSGMKKIGYNRNAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFHQLDALYKEKAKNPETASSTSSFNPSFALNPDNNQMAPIMARPEQQWPLPQHHESTTLANKQQSSMAAANTTTSTATTTV >Solyc11g006590.2.1 pep chromosome:SL3.0:11:1217074:1221862:1 gene:Solyc11g006590.2 transcript:Solyc11g006590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFLLFVAIPICLIFLLPNAKWSGKNKVPPGPIGLPFIGNLHQFDTLAPHIYFWELSKKYGKIFSFKLTSNVPIIVVSSAKLAKEVLKTQDLVFCSRPSLVGQQKLSYNGHDIGFAPYNDYWREMRKICVLHLFSLKKVQLFSPIREDEVSRMIKKIYQQAVNSQVTNLSNLMISLNSTIICRVAFGVRFDEEAHERKRFNYILAEAQAMFAGFFMSDFFPSLSWIDKLTGMIDRLEKNFKDLDEFYEELIEQHYNPNRPKSMEGDFIDILLQLKKDQLTPIDLSLEDIKGILMNVLLAGSDTSSSVIIWAMTILIKNPKAMKKVQEEIRNLIGNKGIVNEDDIQNMHYLKAVIKETLRLFPPAPLLIPRESMKISTLEGYEFQPRTIVYVNAWAIARDPEIWENPEEFMPERFLNSNIDFKGQDYELIPFGAGRRGCPGLALGVASVELALSNLLYAFDWELPYGLKKEDIDINGKPGITVNKKNDLCLIPKKYF >Solyc06g062430.3.1 pep chromosome:SL3.0:6:39493055:39496715:-1 gene:Solyc06g062430.3 transcript:Solyc06g062430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQVGPVLGRRKEECEIFDFSSVFSFTMTILIEQLPVEIQADETNIHAQNQKELVLGDGFAVPETNAFGHNFRDYTVESARQQGVENFYKINHFNQTYDYVSKMRVEYAKLDKAEMSIWECCELLNDVVDDSDPDLDEPQIQHLLQSAEAIRKDYPDEDWLHLTALIHDLGKVLLLPSFGELPQWAVVGDTFPVGCAFHDSIVHSKYFKENPDYNNTAYKSKFGVYSEGCGLDKVLMSWGHDDYMYLVAKENGTTLPSAGLFIIRYHSFYALHKSGAYKELMNEEDKENLKWLHIFNKYDLYSKSKVQVNVEEVKPYYMSLIEKYFPAKLKW >Solyc07g063580.3.1 pep chromosome:SL3.0:7:66151358:66154299:-1 gene:Solyc07g063580.3 transcript:Solyc07g063580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKNGLAAMDVSTDQTVMDAQAMDTSESAAPKPHIGGSLRKTKGVQMKRTKNVRKKKAMAKAISKSEKLEERITRSESKIERTKNAKQLYE >Solyc08g014120.3.1 pep chromosome:SL3.0:8:3773798:3777989:1 gene:Solyc08g014120.3 transcript:Solyc08g014120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQHKRSPISLEHSSSLTSLTPKRLKADMLISSKEKKEKFGERIVALQQLVSPYGKTDTASVLLEAMGYIKFLHEQVKVLSAPYLGTMPMSKTQESQPYNLRSQGLCLVPVSYTVGVASSNGADIWAPIKTSQKF >Solyc08g061853.1.1 pep chromosome:SL3.0:8:49690169:49690614:-1 gene:Solyc08g061853.1 transcript:Solyc08g061853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHHNNRHYKISKTGRKALIFHYDKTIYFFLSTSLDPIHETISRSSAEAEFRSMATCTTEITWLLGLYKELGVKITTP >Solyc09g072970.3.1 pep chromosome:SL3.0:9:66061262:66066842:-1 gene:Solyc09g072970.3 transcript:Solyc09g072970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:UniProtKB/TrEMBL;Acc:K4CUX3] MLFFSYFKDLVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDEDKYPHMRSVRNCFIRGSVVRYVQLPPDGVDIELLHDATRREARGG >Solyc01g106820.3.1 pep chromosome:SL3.0:1:94465433:94469077:1 gene:Solyc01g106820.3 transcript:Solyc01g106820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSATSSSSSSSWSLKNRRPHYLPPISSISKNPICRNTLFPFSSYNPKRLNLYVPYKKRLNFYVKAAEEDEPSSSSSVAVASEENDSPKNDAHIQESESGPQHETESERIEREKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDSETTSNPLVGFFKNLVRVSLSKEKERLEKAEEAFVALDLNKLRNCFGFDTFFATDVRRFGDGGIFIGNLRRPIDEVIPKLEKKLSEAAGREVVLWFMEEKTNDIVKQACVVQPKSEMDLEFESTKLSTPWGYISAISLAVTTFGTIALTSGFFLKPDATIDDYLANVVPLFGGFLTILGVSEVTTRVTAARYGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLILAVAAFVADGSFNGGDNAMYIRPQFFYNNPLFSFIQYVVGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTATLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEVPAQDEITPLGNQRFAWGCVLFLMCFLTLFPNLGGTFSTSFFGDPYFRGTL >Solyc06g048790.1.1.1 pep chromosome:SL3.0:6:31806456:31807973:1 gene:Solyc06g048790.1 transcript:Solyc06g048790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAGNGGIVEEPMGKALNISTSQRELNCQSAIPERLKPLNSDNLGSPSAKFDQMGDRRDELPPTLPSSRPHHLRQRITKLFSRKLDWASIRKMCKEWFKKPLNIVIFIWIACVGVCSVIMLLLITGALNHALPKKSQRDTWTEVINQSLNALFGLLCLYQHPKRLSHLNLLLRWRPEDISALRSAYCKNGTYKPNEWRNMVVVVVLLNLNCFAQYAACGLNWGFKRSERPATLVGICVLAAVLSAAIAGLYCTHSSLGKDYDSELEEESQAQKIVPLEKRFSFASDKGRVVETRPQWSGGILDFWDDFSTASLSLFCCFCVFGWNLERLRFGNMYIHIATFLLFCMGPFWIFNLAAVNIDSDSVKKLLGGTGVVLSLFGLLYGGFWRIRMRKRYHLPSYNTCCGKPEVADCALWLFCCCCSLAQEVRTANSYDIVEDKFCRKHENISPLPREEGRYTYTSATPLQTIPSPSRSADELHSPENVIIIPPSPSVIQREEENLKQKL >Solyc03g118820.3.1 pep chromosome:SL3.0:3:69111081:69113751:1 gene:Solyc03g118820.3 transcript:Solyc03g118820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMDDSFDEECDYLFKSVLVGDSAVGKSNLLSRFARDQFQFDSKPTIGVEFAYKNIRVGDKLIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRTTFENLRKWLHELREYGNSDMVIVLVGNKSDLSNSSRQVNIEDGQSLAQVEGLSFLETSAMENLNVEEAFLQMINKIHEVVSQKSLEAKLNEVTTPKSLQQGKKLEIINMMNSEVTATKQNVNCCY >Solyc10g050257.1.1 pep chromosome:SL3.0:10:48731449:48734582:1 gene:Solyc10g050257.1 transcript:Solyc10g050257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKYKHMMDKEEVGSSSKGTTDMENIAGITSKGRTVHLSNGESTSVSYDISNGKLKLPTEVLKDKLLFNGQKANIGNLRTIIKQRFCVNQRKYALELIAEVGLRNAKPSLTPLECNIKLTNVDSDQDIKTTYIFPDSLIIGNPKSGILSLGVLQRLSTGLLRAFDNIMSLLKFPLTSQRL >Solyc06g069130.3.1 pep chromosome:SL3.0:6:43024370:43030985:-1 gene:Solyc06g069130.3 transcript:Solyc06g069130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSILDRVAKDCGRRNSSIPSTSGDIFEPSSSSNGEAEAKLRATLVVWINGLLPSLSLPVNASDEELRAYLVDGTILCQLLNKLKPGFIPEVFGGSGLSSSLGSENVKRFLSAMDKMGLPRFQASDLEKGSMKIVLECLLTLRAEFMPDVGGYGSTTPLSRKSGADVAHRQELSSTHSSPSSTEGRRKIGSDSKFQRALRSPVMAEPSAALLHHVGHKFHEVFQLKQGGYADLPPSKISEMMKSNSLDIAPTQSLLSVVNEILDESIERKNGEIPHQNNLFKTREEKYQSRIRVLEALATGTSEETQSVKIKMDAEKRNEDQDVVRLMKEKDDHSQEIAALKQELETAKKAHEERCLEMEEEARTTQQKLEERLKDVEILLKESQSRAKEIETFSESKSLKWSKKENVYQIFTEFQLGALRELKFASQSIKQEVVKTQRSYAEEFNQLGVKFRALDHAAANYSVVLAENRKLHNELQELKGNIRVYCRIRPFLRGQKEKQTVIEYIGENGELVVVNPSKQGKEGRRSFKFNMVYSPASVQAQVYSDIQPLVQSVLDGYNVCIFAYGQTGSGKTYTMTGPDGASEEDWGVNYRALNDLFRISQMRESTFKITKDRYYVCNIYHASSTVFLDLHTLGILSTSQANGLAVPEASMFPVNGTADVLDLMNTGLRNRAKGSTAMNERSSRSHSIVTIHVQGKDIKSGSTMHSSLHLVDLAGSERVDRSEVTGDRLKEAQHINKSLSALGDVISALAQKNAHIPYRNSKLTQVLQASLGGQAKTLMFVQLNPEIGSYSETMSTLKFAERASGVELGAARSSKDGRDIRELMEQVASLKDTIAKKDEEIEQLQLLKVQKNVSPVANGEKRSPSSFSDADNEERLSDMLSDSGLSVGTETDECAESANPFPEGYKRPENTNKHKTAHKTPRPPQKSERDSPKASNGLRKSISAANMLAKSTKGGSRWQ >Solyc03g082520.1.1.1 pep chromosome:SL3.0:3:53884510:53884863:-1 gene:Solyc03g082520.1 transcript:Solyc03g082520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSENKHHHLNFHIQFHFPFHLHHHPKEALRNTAPRGYVTVLVGQGEEQQQKFVIPIMHINHPLFTQLLNEAEQVYGFHHNGPLNIPCNIDEFRYIDDMIDNDVHHHHHHHLWCFKA >Solyc09g057850.1.1.1 pep chromosome:SL3.0:9:51407083:51407307:1 gene:Solyc09g057850.1 transcript:Solyc09g057850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEEILRLTFVCEDKELGSRKVVELCPGKITTVNSENREIYVNILVEHHSLTSIAHQITHLLESKKNRCKRES >Solyc03g117690.3.1 pep chromosome:SL3.0:3:68247870:68249798:1 gene:Solyc03g117690.3 transcript:Solyc03g117690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIDLCCVCSSIESFKTMSLCQYSIVFALLFVGAFGYGDNELFLPLTTLQKMHHKHNEPSCSSQKSRSENGAIILEMKHKDYCFRSRGDLNKRLQTRLLADDIRVRSIQSNIKKISSKQVEALSQTTTTTTQIPISSGVTMQTLNYIVTVTLGGRNMTVIVDTGSDLTWVQCQPCRLCYNQPEPLFNPSISPSFQTVACNSSACLSLESATGNSGLCGTNSQTCDYLVSYGDGSYTKGELGQDHLVLGRTLVDNFVFGCGRNNRGLFGLASGLMRLGRSDLSLISQTSDVFGGVFSYCLPSTEAESSGSLIFGGDPSVFKNSTPISYTKMVPNPQLFSFYFLNLTGMTIGGVSVQDSSFGKSGFLIDSGTVITRLPPSIYKAVKAEFLKQFSGYPSVPGYSILDTCFDLSSYEEVNIPTIKVHFEGDAQMEVDVAGVFYFVKNDASQVCLALASLQFEGEIGIIGNFQQRNTRVIYDTKQSQLGFAKETCSFM >Solyc11g006340.2.1 pep chromosome:SL3.0:11:1061494:1067625:1 gene:Solyc11g006340.2 transcript:Solyc11g006340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNNTLPTISVHQRISFVSTIFAQKRVIFRTMDKNQRVLLSSSQQTENSSSILSCKASLEVVHDSPSGSAVHGLSETVVGVLGGGQLGRMLCEAASQMAIKMIVLDPMENCPASALAHQHVVGSYDDSATVEEFGKRCGVLTVEIEHVDVATLEKLEQQGVDCQPKASTIRIIQDKYLQKVHFSRHSIPLPKFMQIDNLESARRAGDMFGYPLMIKSRRLAYDGRGNAVAKSEEQLSSAVNALGGYDRGLYVEQWAPFVKELSVIVARGRDNSIACYPAVETIHRDNICHIVKSPANVSWKIMKLATDVAHRAVSSLEGAGVFAVELFLTEDGQILLNEVAPRPHNSGHHTIEACFTSQYEQHLRAVVGLPLGDPSMKTPAAVMYNILGEDDGEPGFLLANQLIGRALGIPGASIHWYDKPEMRRQRKMGHITIVGSSMGVVEAQLKVMLNEDSVNDQPAVAPRVGIIMGSDSDLPVMKDAAKILKEFDVHAEVKIVSAHRTPEMMFSYALSARERGIQVIIAGAGGAAHLPGMVAALTPLPVIGVPVRASTLDGLDSLLSIVQMPRGVPVATVAINNATNAGLLAVRLLGISDVNLQARMAQYQEDRRDEVLVKGERLEQVGYEEYLNS >Solyc08g076385.1.1 pep chromosome:SL3.0:8:60483877:60485700:-1 gene:Solyc08g076385.1 transcript:Solyc08g076385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGHNTCRIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKTKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSRKPILCGYTDSDMAGDVDTRKSTSGYLVTFTGGAVSWQFRLQKCVALSTTEAEFIAIVETCKELIWMKRFLGELGCAQERCRLKLVDTNIK >Solyc10g054200.1.1 pep chromosome:SL3.0:10:54744474:54745850:-1 gene:Solyc10g054200.1 transcript:Solyc10g054200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANATLLIQKFATKTIHKQIIESANGRNIPIDEDQLQKEEIAKSQEHVAGGSHINDSEKYIDEVEDTSTGNRIVYVGNKNNKPNCSQTFSTTNIKKRRNIIVQNNKQIAGLIKIESQLDIPYEEISEPYNIQPESEGQGEMKDINAPNEWKSDGSKTSDFIGRRSG >Solyc06g075920.1.1 pep chromosome:SL3.0:6:47285245:47286778:1 gene:Solyc06g075920.1 transcript:Solyc06g075920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCYSLEYQDVIPLYDSIHCRKCRNQVARVHDYIRMVWPQGIFAGLFNVLVPESENSHLGQFGTTVVNANCIGCGELIGWKIIAVTRQNMYVREGRFFMNLDKLSLWNDVPLLHLNGLQDLGVNEENADQHGDPNEQNVDQDGDTNEQNVDQDGDTNEHAPNEQGGANKQNADQDKDTNEDVGINQYTFTDLLMHFICQNANQGGGGGNEQNYDQDGSGNEQVHNEQDVGTNEKSADQDGDTTDGDANDQDRDATDGDVNEQNVDQDGDTNEHAPNEQGVANEQNVDQDKDTNEDVGINQYNFTNLLMHFICQSANQGEGGGNEQNRDQDGGGNEQVNKEQDVGANEKSADQDGDSTDQDAETTDRDGDDATDQDGDATD >Solyc06g007980.3.1 pep chromosome:SL3.0:6:1833318:1843658:1 gene:Solyc06g007980.3 transcript:Solyc06g007980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTSPEVSMAEPSTKFNKRSSSSSSGELSVTVVKEDDISTAGAGADVGSREEAVGGSASNGVTNSSDHLKLTASLQFNVLGTSGMELTRVSTLPSENPQSPSDQPKLGRSKTEKPTHSSGFAQEAAKIFDDKISEQQKLKLLKRIATVKDDGTVEFEIPGDVETTEVLGTGSESVHTAVEDEPLDATELQYFPPMQIVVLIVGTRGDVQPFTAIGKRLQDFGHRVRLATHANFKEFVLSAGLEFYPLGGDPKVLAGYMVKNKGFLPSGPSEIPVQRNQMKEIIYSLLPACKEPDMDTGVPFKADAIIANPPAYGHVHVAEALQIPIHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQSSELDIPHAYIWSPHLVPKPKDWGPKIDVVGFCFLDLATGYEPPEALVNWLKAGPKPIYIGFGSLPVQDPQGMTDTIVKALEITNQRGIINKGWGGLGNLAEPKDFVYLLDNVPHDWLFLQCAAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPQPIEADQFTLDKLVHAIRFMLNPEVKERAIELAKAMENEDGVTGAVKAFFKQLSRTKNEPPRLPKPASTFSLRSCFGCSST >Solyc01g103275.1.1 pep chromosome:SL3.0:1:91788464:91790415:1 gene:Solyc01g103275.1 transcript:Solyc01g103275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMPREMRLLALLFLSAMSSLLLLPREMPDTPISLFWLLSRLDLLCSWFIWPASLLKALALTLLEV >Solyc01g080230.3.1 pep chromosome:SL3.0:1:79295275:79297554:1 gene:Solyc01g080230.3 transcript:Solyc01g080230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNIVMSGPECCENPPNYLSGGGGGGGAGHVQQLGGFNCYLSGPLDSAQAIILVSDVFGYEAPKLRKLADKVAAAGFYVVVPDFLRGDPRIPNDEKPLEVWIVDHGPDQGFEYAKPVIEALKSKGVTKIGAAGFCWGAKVVVELAKYAYIQAAVLLHPSFVVIDDMHAVKIPISILGAEIDRYSSPELVKQFEMALNAKPEVDAFVKIFPGVTHGWAVRYNDEDELAVKSAEESHQDMLGWFEKHLK >Solyc07g008230.1.1.1 pep chromosome:SL3.0:7:2961101:2962501:-1 gene:Solyc07g008230.1 transcript:Solyc07g008230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CBU2] MMNLKKNNCHVLLVSYPILGHINPCLQFAKRLVNLGVQVTYCTSLSGFNRISNLPTIKGLSFAPFSDGYDGGFKGSFDEYHLFCNSMKTYGSEFVINMVSEHTTKKNLAFTRIIYTTLMAWVGSVAKIINVPSTLFWIQPVTILDIYYYYFTDYADSFKNCPQHQSLELPGLPLALNPRDFPSFVFTNVKYNDWATQSIKEHIQLLNSEENPRVLVNTFDALEFDALKALKDSVTMVGVGPCIPSTFLEEKDRFDTSFGSDLQSTSKNYIDWLDEKPNESVIYIAFGSYAEISNQLMEEIAQGLLKSGRPFLWVIRKGIKGENPKEKLRCKEELEKQGKIVTWCSQVEVLHHPSVGCFLTHCGWNSTLESLASGVPIVACPLWNDQLCNAKLIQDVWKIGVRVSVNDEGIVEKDDFERCIDVVMKGEECRKNAKKWKDLAKEVMKENGSSNLNMQAYVNEILLGHS >Solyc03g118000.1.1.1 pep chromosome:SL3.0:3:68471751:68472635:-1 gene:Solyc03g118000.1 transcript:Solyc03g118000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol polyphosphate multikinase [Source:UniProtKB/TrEMBL;Acc:K4BLV1] MLKIPPHQVAGHKAGNGKLGPLVDESGRFYKPLQGDERGSNELKFYTSFSSDSRIPEHISKFFPTFFGTQLIEASDGSGLKPHLVLEDLSVGRDNPSIVDIKMGSRTWGPEASEEYIQKCLKKDRETSSLPLGFRLSGIQIYGNKESGYWKPERTSVQNLSAEEVKLILKRFVSSNTSTDMDMQPDCAFAATVYGGSTGILSQLLEMKAWFEDQTMYHFYSCSILIMFEKGLALEGQNPGGQIKLIDFAHVIEGRGVIDHNFLGGLCSLIKFISEILTAPSEHKSLVSSINGVN >Solyc01g105260.1.1.1 pep chromosome:SL3.0:1:93387534:93388013:-1 gene:Solyc01g105260.1 transcript:Solyc01g105260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGAVQENVKTMILTVSAGLFAGACSMAIGEFVSVYSQLDIERAQMKRDKATRGQKREHEEGNKKQLPNLFQAAVASTFSLGAIVPILAAAFIANHKLRLAMIVAAVSLASLAYGAIGSFLGKSPMVKSCARVLIGGWMSMAITFGLTKLIGSTGLEI >Solyc03g013130.1.1.1 pep chromosome:SL3.0:3:48817433:48817639:-1 gene:Solyc03g013130.1 transcript:Solyc03g013130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRYSCKIMTCASCLYEKHFAHVIILHKYLLSIVDHVRFRNFFQPMFKMVSRNMIKNDILKFFHNLK >Solyc12g019295.1.1 pep chromosome:SL3.0:12:9918268:9921566:1 gene:Solyc12g019295.1 transcript:Solyc12g019295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMLITISYLEISYANVGTILDGPRENFMNADREHIASECPNRRSSVALRDKYHSDDKEECDVESEEHDPKI >Solyc06g068520.3.1 pep chromosome:SL3.0:6:42585917:42587534:1 gene:Solyc06g068520.3 transcript:Solyc06g068520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hydroxyproline-rich systemin [Source:UniProtKB/Swiss-Prot;Acc:Q7XAD0] MISFFRAFFLIIIISFLIFVGAQARTLLGNYHDDEMLIELKLESGNYGRTPYKTPPPPTSSSPTHQEIVNGRHDSVLPPPSPKTDPIIGQLTTITTTPHHDDTVAAPPVGGRHDYVASPPPPKPQDEQRQIIITSSSSTLPLQASY >Solyc06g082490.3.1 pep chromosome:SL3.0:6:48330638:48333927:-1 gene:Solyc06g082490.3 transcript:Solyc06g082490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGSSKMNIAIIHPDLGIGGAERLIVDAAMELASLGHKVHIFTSHHDKNRCFEETLSGVFDITVYGAFLPRHIFYRLHAVCAYLRCMFVALCLLFMWPSFDIILADQVSVVVPILKLKKSAKVVFYCHFPDLLLAQRTTILRRIYRKPIDFIEEITTGMADLILVNSRFTASTFASTFKNLDARGIKPAVLYPAVNVDQFEKPDAIKLKFLSINRFERKKNIELAISAFAMVHAHQVHDHQGVNMNDVSLTVAGKFLQFFKFNMNLKYASINESKIPIVYHQWLNIYSKEIFLHANRVLPAFISGGFDNRLRENVEYLEELKKLAEREGVSQRVRFITSCSTAERNALLGQCLCVLYTPKDEHFGIVPLEAMAAYKPVIACNSGGPVETVKHGVTGFLCDPSPREFASAMSNFIQDPHMSEKMGQDARQHVAESFSTKIFGQHLNRYLVDIARGKKE >Solyc12g049470.2.1 pep chromosome:SL3.0:12:62029110:62032851:1 gene:Solyc12g049470.2 transcript:Solyc12g049470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVADDDNWYKTIVLAGVCACMPGLAGRLEKEVLGLLPPSMTSGIRVLPPPYGTDSAWFGALSIGNR >Solyc05g050220.3.1 pep chromosome:SL3.0:5:61119025:61125598:1 gene:Solyc05g050220.3 transcript:Solyc05g050220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIEDRKSSTPEKSFSTAPDQSSFHVYPDWAVMQAYYAHRVAVPPYVSSAVAPGHAPHPYMWGPLPPMFPPYGTPYAGVYAHGGVYPHSGVPIVFRPQAHGMTSSPVVSQTKNTASLGMDTSAKSSGNSDQGLTSQSKGFDGLGISIGNHSVDNGAGTDHGPSQSGQTEGSSDGSNIHTTEMREHSKKRSRESTPNNSGEGKSQRMCSPQRTREVNGASENGIGIAVNPGIIAEKLAGTTFSPIMTTTPLVKSSPTSQICPAMPGEVWLQNERELKREKRKQSNRESARRSRLRKQAEAEELAVQVQSLTSENLALRSEINKFTENSEKLKFENVGLMERLKNKQGQAKEVTLGMIDDKRLKPVSTADLLARVNNNNGSFSRTNEDGEVHDSTSGAKLHQLLDASSRTDHAVAAR >Solyc11g007200.2.1 pep chromosome:SL3.0:11:1621883:1624325:1 gene:Solyc11g007200.2 transcript:Solyc11g007200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQKVTVIGNVEPEAVFQTVSKTGKKTSYWEEPAPASAPEPETKPVEEKPVEEKPTETPAEPEPKPTEEKPAETVA >Solyc03g005250.3.1 pep chromosome:SL3.0:3:144177:148167:-1 gene:Solyc03g005250.3 transcript:Solyc03g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFLFAIRSLSFETLKHLYPKSISSYLSILLSRTVADPLRTGSIMSQTRSHSGLLIEPDGGKLVELSVDESQRDLKRKEALNLPKIKLTKIDVEWVHVLSEGWASPLKGFMRESEFLQTLHFNSIRLEDGSVVNMSVPIVLAIDDSQKNQVDGSSSVALVVDENNPIAILTDIEIYKHNKEERIARTWGTTAPGLPYVDEAITHSGNWLIGGDLEVIEPVKYHDGLDSFRLSPSELRDEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRLLLEMGYKNPILLLHPLGGYTKEDDVPLHWRMKQHEKVLEAGVLDPETTVLSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPLEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTKNGMAFFDPSRPQDFIFISGTKMRTLAKTKESPPDGFMCPGGWKVLVEYYDSLDQAENGGVSEPVPA >Solyc09g062960.2.1 pep chromosome:SL3.0:9:61097507:61097973:-1 gene:Solyc09g062960.2 transcript:Solyc09g062960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNLLYGSGRLPAPPPAPPPTPPPTPPSPPRLPSNPLLPMPPIPPAPP >Solyc04g009930.2.1 pep chromosome:SL3.0:4:3248695:3252812:1 gene:Solyc04g009930.2 transcript:Solyc04g009930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFTSFQDEIRRTNFLGVWYFVLTILTIYVTKWIYRWRNPKCNGILPPGSMGLPFIGETLQLILPSHSLDLPPFLKNRIKKYGQMFKTNIAGRPVIISADPEFNSFILKQDGKLVETWSLDTFAEVFEQNTHSSRKYTRHLTLNHFGVEALKDKLLPQMENFVNLVLQKWSTHESLEVKSAATKMTVDFAAKQIFSGDLENAPFNISDMFRDLVEGLMSFPINLPGTSHHKCLKIHKKVRETMRDVLKKRIESSTKKRKGEEDLVDHLLKDMDSQKFLTQDYIVQMMFGLLFVTSDSISTTLALSFKLLVEHPHVLEELIVEHDLILKNKENLDAPLTWNDYKSMTFTLQVINEVLRLGNIAPGLFRRALKDIPINGYTIPAGWVIMIATAALHLNSDQFEDPLSFNPWRWKKIRLSGGAKNFMPFGYGMKQCAGAEYSRVFLATFLHVLVTKYRYLITLFYEI >Solyc06g036130.3.1 pep chromosome:SL3.0:6:25668199:25672164:-1 gene:Solyc06g036130.3 transcript:Solyc06g036130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETPLINGFSDDEHHYHQQIIGDDGDYRPITNIREWLAIFCVESVKLWRIGGPIAFNIICQYGVNSLTNIFVGHLGDIELSAISIAQSVIGTFSFGFMLGMGSALETLCGQAYGAGQIHMLGVYMQRSIIILFVTCILLLPIYLFATPVLKLLGQESQIAELAGEYAILIIPQLFSLSINFPTSKFLQAQSKVDVIAWIGFVVLLMHATLLWLFIYTFGWGTTGAAIAFNLTSWVLAIAQFAYVVGWCKDGWKGLSWAAFNEIWSFVRLSIASAIMLCLEIWYMMSIIILVGHLDNAVIAVGSISICMNVNGYEGMLFIGVNAAIREHLAIIFTSSKDMQQAVGDLSYLLGITMVLNSVQPVISGVAVGGGWQALVAYINLGSYYIFGLPLGFILGYVAKLGAKGLWLGMIAGTSLQTVLLLIVLYKTNWNKEVEETNERMRKWGGQDLEIEKSQADRLMVC >Solyc01g005410.3.1 pep chromosome:SL3.0:1:286693:290153:1 gene:Solyc01g005410.3 transcript:Solyc01g005410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGIGNNDELTPLQKHVMFFDINKDGIIYPWETYQGFRKLGRNVFRSLLAAVLIHVVTSGKTRPGKWPSPLFPIEIKNIKFGKHGSDSDAYDSEGRFVPEKFEEIFKKYAHNNSESLTSEEVNELLKKNREPKDYFGWLNAMTDWRILFDVGKNKDGILTKEAVRDVYDGTLFEQKAREVAAKKSKEN >Solyc04g072730.2.1 pep chromosome:SL3.0:4:59785729:59786173:-1 gene:Solyc04g072730.2 transcript:Solyc04g072730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPVRPISLISNEVAPAYVDRPIANALYDPSFSLVGLPVDPILR >Solyc01g081040.3.1 pep chromosome:SL3.0:1:80207063:80207986:1 gene:Solyc01g081040.3 transcript:Solyc01g081040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIGNTNPWAPYDSYKDCSQGICSVYCPQWCYFLLPPPPPDDEDASDDSATAFSPLIIAIIGILASAFLLVSYYTIITKYCRRRRSRNDATELEVNRNETPQDQWQLATVAGLDESTIKAITVCKFKRGEGLVEDTECAVCLSEFQEDENLRLLPKCSHAFHLPCIDTWLKSHPNCPLCRANVVSPPAPSTTTSSLLHRDEVVVTIVCDDDVSTKNNSGEDSEFSGNNIIGNEFRRSTSLGAFSRQHLFVCNPVSMNRSVSTGRFIFS >Solyc08g067350.1.1.1 pep chromosome:SL3.0:8:56464409:56464582:1 gene:Solyc08g067350.1 transcript:Solyc08g067350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKISHLLAMLLSLSSIPFFFQTATDFSIYNLSSFINASHHSSECTFKNKEQWRKEK >Solyc02g065090.3.1 pep chromosome:SL3.0:2:36826039:36836514:1 gene:Solyc02g065090.3 transcript:Solyc02g065090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIFVAALTLLVTLQVLHIPIAFAATTGKKITILSIDGGGIRGIIPGTILAFLESKLQELDGPNARIADYFDVVAGTSTGGLIATMLTAPNKDNRPLYAAKNITNFYMDHGPKIFPESSRTSFLKRLANIFGGPKYDGKYLRTLVRSILGNLTVTQTLTQLVIPSFDIKRLQAVVFTTSDAKAHVSKNALLSDVCLSTSAAPTYFPVHYFETRDSQGKTRTFDLVDGGVAANNPTLVAITQTSKEMMLGKLQNAGLKPMDCKKMLVLSLGTGIAKDEKKYSAAAASTWGVLGWLYNNGASPLLDVYGDASSDIVDVHLSTIFQSLDSQNNYLRIQDDSLSGEAASMDVATKKNMEALVQIGNDRLKKGVSRVNLDTGRYEEVSGEGTNEEALIRFAKLLSEQRKIRQPTVTILSIDGGGIRGIIPSTILAFLESKLQELDGANARIADYFDVIAGTSTGGLVTTMLTAPNKDNRPLYAAKDINNFYMEHAPKIFPQRSRNNFMKKMFNLFGGPKYDGEYLRLLVRSELGNLTMKQTLTDTLIPTFDIKRLQPIIFTTTDARAIVSKNARLSDVSLGTSAAPTYFPVHYFETKDAQGKIRTFDLVDGAVAANNPVSIHLNKSRSTTLLAITHISREMMTRRLKYEDAKTVDCKKMLVLSLGTGTGKNKEKYNAATASKWGLLSWMYNHGAIPLLDIFTDAITDIVDIHVSTMFQSLHNHKNYLRIQNDSMIGEAASMDISTIENMQTSVQTGKDLLKKPVSRVNLETGRVEAVRGEGTNEEALTRFAKLLSEERKFRRLET >Solyc01g100320.3.1 pep chromosome:SL3.0:1:90263774:90270080:-1 gene:Solyc01g100320.3 transcript:Solyc01g100320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSQICSALAILALFFFSSALADDVVVLTEENFDKEIGQDRAALVEFYAPWCGHCKKLAPEYEKLGASFKKAKSVLIGKIDCDEHKSVCSKYGVQGYPTIQWFPKGSLEPKKYEGARSAEALAEYVNSEAGTNVKIASIPSSVVVLSSDNFDEIVLDETKDVLVEFYAPWCGHCKSLAPIYEKVATAFRQEEDVIIANLDADNHKDLAEKYGVSGYPTLKFFPKGNKAGEDYDGGRDADDFVNFINEKCGTSRDSKGQFTSKAGIVESLDTLVKEFVGATNEEKKAVFSKMEDEAGKLKGSAARYGKIYLKAAKSSMEKGADYANNEIQRLERMLAKSISPTKADEFTLKKNILATFA >Solyc09g042717.1.1 pep chromosome:SL3.0:9:25278686:25278927:-1 gene:Solyc09g042717.1 transcript:Solyc09g042717.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLIFLYIHSSFLESVISQLGTEFSILDLVALSFFLGIQVLQQSDGISLSQHKYIQNLLTKAGMKNCKPL >Solyc12g006130.2.1.1 pep chromosome:SL3.0:12:690144:691205:1 gene:Solyc12g006130.2 transcript:Solyc12g006130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHDINLVNMHKNRVVETGPCIIIHADYPLRNQLYFLEFSDHRDVVRKIRTPFANYVPEFKVVGSCQGLLCICDSLFSDALYVYNPFTRDYKQLPRSIEFEVQKLVFGFGFHPVTKEYKVIKIINYANMYYNEPGGRYHRRFRVPFFGKSDVQVLSLGTNNKWRSVGEVVYRFDQSSQGILLNGKMHWLTRFGKYHGRRDRLIVSFDLGNDVFGEVPKVDFDVKPRIVQYHLAVLGDCLAVALTLPHYKGGGFEIWVMREYNVKESWMKEFRIGAYTPNPNCVTQHVQPLVKVLCLLKNGEILLEYKGGNLVSYDPKTCVFRTLRFQGMPNLFQTFVHVGCLNWIDIPPQGRS >Solyc01g079140.2.1.1 pep chromosome:SL3.0:1:78084330:78084900:1 gene:Solyc01g079140.2 transcript:Solyc01g079140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YHKKYQINMKIFESKKRKEYPEFDDNEEEKMNKFYELIRNFKDHASQLLINSSSTNMPKNNETTQRELAEKFELKKNKKKKKSDDKVIKEEVKSSNHKDFFFKSPSLPNLDGINLDINEMSGYNKLQRVSRDHQIHEDTKGLDLNLSL >Solyc02g067430.3.1 pep chromosome:SL3.0:2:38180267:38183476:-1 gene:Solyc02g067430.3 transcript:Solyc02g067430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKRDNSISSANNHEEEDVKKISKDDEFKSAIAEVNKIKLENARLKLLLQQIEKDYTSLQTRFFNICQPDFKKSSGSPTCTHENIADEEESELVSLRLGRSPSPSEYKKVDKKRSYDKTIEDSPSCNDQSNNGLKLGLDYSTGVCESEFIKPSNDPSPGPTSEVVKTVKKCDDESIKKDDEVSQPNVKRARVSVRTKCDYPTINDGCQWRKYGQKISRGNPCPRSYYRCSVAPLCPVRKQVQRCLEDMSILITTYEGTHNHSLPIEATAMASTTSAAASMLLSGSSTSFQTSKNLPNISKTTPLYLSNSSSNPFPTITLDFTTFPTTSSFTSFNFPSNFQSGLGFLSNSLSFSSTESTTMPILGSGGLNYDSTSTLPYHNNLINIGSSHKQFDTSSNKLKEDSSQQALTETLTKAITSDPSFQSVLAAAISSMVGATKT >Solyc09g092530.3.1 pep chromosome:SL3.0:9:72059653:72063516:-1 gene:Solyc09g092530.3 transcript:Solyc09g092530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFKWKQSSSSPATPPHLQLQQSFKNLQTQFTNFLQTTIPQLNTPQNSPLWAKIGVKKQSLSTETIEERLGGVPVYALSNASQEFVLVSGVSTGKSLGLFCFSEADAEALRQQMESMDPSMRNGSRVVPVALNKVFQLKVDGVAFRLIPEASQVKNAIKERERTGTTDESFYGVPVFQSRSLILRSQNKRYRPVFFRKEDLESSLVRASQQQGRLNPALKGDIQVHLLFFTVYLLFDSSTSQWDDVVFVPPGFDVSADPSQK >Solyc11g013083.1.1 pep chromosome:SL3.0:11:5934828:5941498:1 gene:Solyc11g013083.1 transcript:Solyc11g013083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLAIFNQWTVMAEHNNQTLTMNVPSVRTFKKINPLIHFHRLSLYNHHSQFQLCFSQSSYNDTHENPHSFVTKGFILIIFSFTLLSLRIISTILLPEFPQRWSRLIAFSKQAESEVMSSCPHHLIRAVVAYEDRRFFSHFGVDPVGIARAVLSLSSRGGGSTITQQSTISFRGKNEKANAERNSRPIPASSIQSPAKLFLFFLSSLLYMEFILFFLILSLSSIPVFGFTLTEFVYPNFTASSFQFVDSTGSFLVSRNGTFKAAIFNPGPEQVNFYLCVIHAESNTIIWSANGDAPVSTSGIMRLTQNGISITEKDGSFKWSTPPLKLAVYAMQLTEVGNLLLLDQFNGTLWQSFNNPTDTIVIGQKLRVGMMLSSAIAGDDLSTGRYRLSLTTSDAMLQWQGLTYWKLSMETKAYTNSNYAVEYMSVNQTGLYLFGQGGSVVVIMVNLLQSTFRIAKLDGSGQLIVISFVGSDIKRDFVGPVDGCRVPYACGGLGVCTSDVLSDNPKCSCPANFNLGSHNSSSCVPSDSSYSLPVSCHSTNHSNLSNYSSMLYIGLGFGMDYFTTDFTKPFRDGVNLSICQNLCSVDCSCLGIFYANLSGSCYKLQDEIGSIMLRTSRNDDLLGFVKTLVGVSTTLENNDNFDQEADDFPLVAIVILPFTGIFLLMALGFILWIRSRPQRMGKIESKISQPSSPSSENLDAFSIPGLPVRFQYKQLKAATDNFKTQIGTGGFGAIYKGVLPDNTVVAVKKIINLGIQGQRDFCTEIAIIGNIHHINLVKLKGFCAQGRQRLLVYEYMNRGSLDRTLFGHAPVLEWQERVEIALGSARGLAYLHSGCEQKIVHCDVKPENILLHNNFQAKISDFGLSKLLNREESSLFTTMRGTRGYLAPEWLTSSAISDKTDVYSFGMVLLEIVSGRKNCSLRTLSHSLDDSATGDHSSSLSAQGLVYFPLFALEMHEQGRYLELADPKLEGRVSGGDVEKFVRIALCCVHEEPCLRPTMVSVVSMLEGEIPPTAPRMESLNFLRFYGRRFSEASNVEEAGGQIDAMLYPQANTSHTTSWSVSNAYFSYISSQQISGPR >Solyc09g031760.1.1.1 pep chromosome:SL3.0:9:28173266:28173628:-1 gene:Solyc09g031760.1 transcript:Solyc09g031760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAKRKGRHSGHGKRKGTREVRLPSKVLRMRRLRVLRRLHRKYSESKKIDKHMYPDMYMKVKGNVFKNKRVLMENNLRLGGQRTRQAGREFARREERLAQGPGGEKPVQPAAPAQGSNK >Solyc07g055090.1.1.1 pep chromosome:SL3.0:7:63362043:63362441:-1 gene:Solyc07g055090.1 transcript:Solyc07g055090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSCGKVENPILCAKGCGFYGTSSNNNLCSQCYKAFLKEEEAKNVAVLSVKISSLTCQDDSKGTTENIKQRCMTCKKKVGLIGFSCRCKGMFCSVHKYPEEHACTFDYKSSGRVTLATENPLCRRDKLENRI >Solyc01g099310.3.1 pep chromosome:SL3.0:1:89480816:89486721:1 gene:Solyc01g099310.3 transcript:Solyc01g099310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFMTSTHKTKWIYTLQDIKHKYKVANQRAKQALEMFGTTRMEVDIDGTFSYAESQNDTKDNAEKRPKPLKVEEEQLLRAFYEFKIQDVCDAFKFPRKIQATALIYFKRFYLLWSVMEHHPKDIMLTCIYAACKAEENHVSAEELGKGIGQDHHVILNNEMLSLGFDLIAYAPYRALEGFISNLEEFCGAQDNDQLLALKGALDTARIEADKIMRSDGPLLFPPGQLALTALHRADAAHGIFDFERYLRSVLSHHDQPGHAISELTDSINVGKLLTPTSKDVKHIDRKLKSCLDPGSHDKSKKRKHRSKDSSNEVTDIS >Solyc08g008405.1.1 pep chromosome:SL3.0:8:2816118:2816569:1 gene:Solyc08g008405.1 transcript:Solyc08g008405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLHIFLFLGAYMWWSDQRMWIMRGLSSFPIGIIEYLMKLDHDQITRCNRGIIELGVASPMFVPLVTILIINLMAFVKDLVSYYKGENFDGLFLNTLIVCFGF >Solyc11g010100.2.1 pep chromosome:SL3.0:11:3222419:3224730:1 gene:Solyc11g010100.2 transcript:Solyc11g010100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGGYGDASQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIQHKSVKAQIWDTAGQERYRAVTSAYYRGAVGALLVYDITKRQTFDHIPRWLEELRAHADKNIVIMLIGNKTDLEDQRAVPTEDAKEFAQKEGLFFLETSAMEATNIEDAFLTVLTEIFNIVNKKNLAAGDDQANGNPASLTGKKILVPGPAQVIPEKKACCSS >Solyc10g053890.2.1 pep chromosome:SL3.0:10:54120043:54120691:-1 gene:Solyc10g053890.2 transcript:Solyc10g053890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLLEMMGAFYDNEDGLLINGKKVISFFKVMILETFLQVLCFPPKIARSVSYLTDLEISFEDSYGRRWMATVCNYNGSLAIRQGWDKFSAEHDLKVGEFLVFHYVSDDLNFIVQIFGTSGCEKIKFSCNIGKEKPKERTYQEITTTEECQSGKLFVHKFFRVILFL >Solyc11g008380.2.1 pep chromosome:SL3.0:11:2579347:2589508:-1 gene:Solyc11g008380.2 transcript:Solyc11g008380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIRLRRLGAIAAVAGGAYTIVRQPSFSSNDRGDKLSALETFRRKISDPFAVVPPRNTQEAALIGSSLANPLDILVVGGGATGCGVALDAATRGLRVGLVEREDFASGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQLIENAPHLCHALPCMTPCFDWFDAIYYWAGLKMYDLVAGRHLLHLSRYYSAQESVELFPTLARNGKDKTLKGTVVYYDGQMNDSRVNVALACSAALAGAAVLNHAEVVSLLKDEGDGRIIGARIRNNLSGKEFDAYAKVVVNAAGPFCDSVRTLADKDAKPMICPSSGVHIILPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTSITMLPEPHENEIEFILDAISDYLNVKVC >Solyc09g082695.1.1 pep chromosome:SL3.0:9:68838227:68838507:-1 gene:Solyc09g082695.1 transcript:Solyc09g082695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGFVAAIGMELANGADLSAQLSNGGLLWFLGSSALLTLASLIPLFQGVTVESKSDGIMT >Solyc09g008415.1.1 pep chromosome:SL3.0:9:1872569:1875469:1 gene:Solyc09g008415.1 transcript:Solyc09g008415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPIDISSCRVKNMRKMGHIKHYKPTNSTIRNRGHDISMHWHGDAGSILMNDTNYPLIQIHWHSPSEHTINGRRYALELHMVHQEQVNKKTVVNAVLYKFGKPDPFIF >Solyc07g063620.3.1 pep chromosome:SL3.0:7:66175690:66178222:1 gene:Solyc07g063620.3 transcript:Solyc07g063620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRLFTCFGKGGSSHSSSKDPGSNNKDSATADLTAEEQKRCGPVVVELFSSQGCATSPEAELLFSRIGRGDFNLEMPVILLAYHVDYWDYMGWKDPFGSSLWTVKQKAYVETLNLDTMFTPQIVVQGRAQCVGNEQDAVFSCIKSAPRFAAPSFQATFERPTPESLQVSLLGSLRSKVDNDGANVMIALYEGGLVTDIAAGENKGKMLANDYVVRRLEKLCYVKDITAKKTISGTVNFSLWDGFNSSKCGVALFVESGSHQICGSQNFKLPENL >Solyc12g096200.2.1 pep chromosome:SL3.0:12:66200998:66202568:-1 gene:Solyc12g096200.2 transcript:Solyc12g096200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTPCCSKVGMKKGPWSIEEDMLLTSYIHLHGEGNWRSLPMNAGLLRCSKSCRLRWVNYLRPGIKRGNFSPEEDDLIIPLYSLLGGRWSLIAGRLSGRTDNEIKNHWHTNLLKKLKASGIEPKP >Solyc06g009970.3.1 pep chromosome:SL3.0:6:4378057:4381256:1 gene:Solyc06g009970.3 transcript:Solyc06g009970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/Swiss-Prot;Acc:P17786] MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVVKNVDKKDPTGAKVTKAAQKKGK >Solyc09g090690.3.1 pep chromosome:SL3.0:9:70615076:70618022:-1 gene:Solyc09g090690.3 transcript:Solyc09g090690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLPKRNLPWLQLRRLSTAIRQTVEDEGDWFYSSEWWGTTSGGGDTVFRSISDKGNGVVSVVAYPSSKPENCYWGKTENWLQKRYEKMYPRDEQEGNFRILGYQWRNLHFNEETRQSTVKIMAAYRDSAPGSIYLMQQAECLAVPYVKSMVSAGLATIASCRFDLESAVCGRKPMKILCIGHGGGSIPLFLASKIQGAEVHIAEIDPVVISASVQAMGFPSYSVMTPSGSRAHSTPDLIQEVQWKGIHERIQLHESDAEKFLLENKNLYDLVFIDAYDGEDIFPHTLWDPHSPFLNALADQLHPEHGTVVVNLHSDVDFRDDDFIPPGSHLLPMGKYISKVCRSYKEALLGSKSSYNGLAYVVSVPWVCNTSLVVSRGLEKSNRDMVMRNIMSKSLVVENTLDLPFSCMQYLKRGFTLVN >Solyc01g099715.1.1 pep chromosome:SL3.0:1:89754169:89763118:1 gene:Solyc01g099715.1 transcript:Solyc01g099715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDRVRTVKKMLEEDEDFLESETQLTDDQKIEIAKWFLLNAPAGEIQYVAKDVRAILKDENIYKKAAEESFPSYNKSHLICLEFPNRSGDVLITSFSEVDKDEYLDPRTAQVARVDHVKQVCKDVRPARDEELPSAFVEEYRSAMDAEIMKYVSETYPKGISSVYCTKGKDVEEPGFDFELVVVISAARHSPQNFCNGSWRSIWNIEFKDEIQSVEVRGEMQVGAHYFEEGNVQLDAKHECKDTTLIQSPDDSAFSLVNIIRHHETEYLASLQTSYLKLPDTTFKDLRRKLPVTRTLFPWHNTAQFSLTRDIEKELGIGK >Solyc09g007120.3.1 pep chromosome:SL3.0:9:758725:763888:1 gene:Solyc09g007120.3 transcript:Solyc09g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKIQRRCEFCPNLSFVNGGDGFFYCNGCGSQANDIIDMSVDNDDGCNVDGGIYRTSQCRPKRHQISLTYTDYYSEIRLRYVMGLQAMIQMQCKTLVEKFNVTPLIVGLAGPIWLRLLAHENVLSDEWAYNVINESESQTQGEGELPQPTGSQKTEPHNSLGKRAVTIWHKSLSSMIPLPCSLAISFLVCHVAREAILPTDILKWTLEGKLPYFAAFLEIEKQLGPPSRSCPISTIRMFRPIRTVTLQKLESLAASIATKIGLELPSVNFHAITARYLKHLSLPVEKILPQACQVYEWSMPPELYLSDNDSRLPSRVCVMSILIVTMRILYDLNDGKWESIASCSNNLVSAVENGAGERGFSCNARGAVAEDDSASHDADPHDSTSDMSKSNSDAVELLKIIEEKYNELSDTYGNCKALLDFLIHVDIAQEFSKDLHSYLRYCKDVVFAGLGPAYDDHEEKKLIEDLWNFYQSRKAGKASEDGKTRHGCSSRPKENENFRDDSYKCICKTSRDDGDSNATLRQLKVDMKENRFVYIPPRKNVKKKDGYIRYARKKDGAYLYAVHADYYILLRSCAKVAQVDVRTMHVGVLTFEKRLEMLEKRIGFCLCKRIPDDSCEFCRD >Solyc08g006990.2.1 pep chromosome:SL3.0:8:1561402:1567476:1 gene:Solyc08g006990.2 transcript:Solyc08g006990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEMEVNNGENTNNNWVPIMKKLHINVIGEKMKKFPRTIWRIGKEDPRRVIHSLKVGISLTLVSLLYLMEPLFKGFGSNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLLAGSLAFLIEYVATKTGHVFRAIFIGAALFFIGATATYMRFIPYIKKNYDYGVVIFLLTFNLITVSSYRVDNVLKIAHERFYTIAIGCGICLLMSLLIFPNWSGEDLHNFTAAKFEGLAKSIEACVNEYFSDEEQQKARENSSDLEDPIYNGYKTVLDSKSFDETLALYASWEPRHSRHCYRFPWQQYVKLGNVLRHFGYTIVALHGCLQTEIQTPRSVRAMFKDPCIRLSGEVAKALKELGDSIRYRRHCSPEILSDHLHEALQDLNKAIKSQPKLFIGSKNNTNKLTLATVVSKGQSGALNSRRVSLSSVKTDTSALLDWKSKLRGGSSTTTNENSKLRPTLSKIAITSLEFSEALPFAAFASLSVEIVARLDLVIEQVEELGRIAHFKEYNDDDNVELVVVEIDKKNSRTLPNQLPTQDAGD >Solyc03g118355.1.1 pep chromosome:SL3.0:3:68741631:68744626:-1 gene:Solyc03g118355.1 transcript:Solyc03g118355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNENQEDMYSLQREEEKDFDLGSDEPEAPLPLTVTSRVLYMLGDITAGPAYRFAQWLELVRKRSSKYRSSGFPRRADSMPLSAEELSLDQIDPLPSEPTTEVSLWERLGKAAVSDIESSTFSWNMLSSLHHTEHNSSTEQSEDETNKALEVTVNSGGVVFFALFNELENDDASPKEAAAVIKISSSRMATQSERLGYEFAKWLGVQTPQVARVIHNCSPEWLQIKEAAEKAKDAAISEGDEIVEMTCSELLEALELSRCLLLMKCVCNLIFLWSIRAHLH >Solyc04g081290.3.1 pep chromosome:SL3.0:4:65417827:65421013:1 gene:Solyc04g081290.3 transcript:Solyc04g081290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:K4BVK8] MENNHQTQIQTTKTSRFKRICVFCGSSPGKKPSYQLAAIQLGNQLVERNIDLVYGGGSVGLMGLVSQSVFNGGRHVLGVIPKTLMPREITGESVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFVTPSARHIIISAPTAQELMSKLEDYVPKHNGVAPKLSWEMEQQLGYTTTKLEIAR >Solyc04g012050.3.1 pep chromosome:SL3.0:4:4376348:4379090:-1 gene:Solyc04g012050.3 transcript:Solyc04g012050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKFKVANYRKKGNYKAIIRDDEEEESGINNNDNINVMFSGNINREEEMSMMVTALTRVITGEDRILNQENNNNNIIGSSSLNEFSGGVGEKRGREEQQYFLSDIISSSKVGEDSSINRTIASNTPTTEATFIYTTPTYDHNNNTNIIDCDQPRRRYRGVRQRPWGKWAAEIRDPYKAARVWLGTFDTAEDAARAYDETALKFRGSKAKLNFPENVRLLPTSSMEKGSLTNTFPSNSVESNLEFLTKKKVLTSTFPAENNMVFSTERQFMTDTFPTEYVPVSSIISPNTSPNTLSTISFFPNSNPIAHTQLSNSNSDDINTQYFLNGDFQRGNSSLSLLNQSMFSTTFQYSSSSPSSSSSSRVPFVPSMYFSGSQPTSQSSENDFRATSSDSAHHPPK >Solyc02g065555.1.1 pep chromosome:SL3.0:2:37277046:37277916:-1 gene:Solyc02g065555.1 transcript:Solyc02g065555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLAQRLSNVYKTTGFSISASRISSSSMSPRKLVPPHPDDKIAPDPGNNGIIRRFIHRRLIGTSPATSPDLRSLPTGESLIEKIREMDVNKSRIKLDGFLPPLEMRPEPEPEVTTEGNLTVADAKKLFKVSQLEMVKLRLKQTEKNCVSYPEFVQICSGISSSNDSGIELAKILDDSGTVLVLGNVVFLRPDQTGK >Solyc08g082550.1.1 pep chromosome:SL3.0:8:65424548:65425193:1 gene:Solyc08g082550.1 transcript:Solyc08g082550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLGCLLLWNPTTRMHTKLPNPRPRWKYYYDFYGFGYDEEILDIADSGRTIRLRDSGFFANGKLHWATITFSPNFDDVRKCTRIICFDLANDKWEKVEKPSSGVGETDMCMGMLESDLCFFRDYNKSQFGVWVMNGYGVKESWIKKFTITYPIMKDLCTPLFMSNKGGMQWC >Solyc10g008520.3.1 pep chromosome:SL3.0:10:2627285:2632754:1 gene:Solyc10g008520.3 transcript:Solyc10g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSLDKSNYYTDTDEMINWFEKSAENAAAVQRQTLRRILELNHGVEYLKKWLGDIRLQDENAMESLYATMVPLASHADFEPYIHRIADGEKAPLLTQDPMRTLSLSSGTTEGRQKFVPFTHHSSQTTLQIFKLAAAYRSRIYSIREGGKVLEFIYSSKQTKTKGGLIAGTATTHYYASEEFKIKQQHTKSFTCSPEEVISSGDYKQSTYCHLLLGLYYCDEIEFVTSTFAYSIVQAFRSFEELWKELCHDIREGTLTSRINITKVRKVLLGMIVPNPELASRIESICEEVEKENWVSIIPKLWPNVKYVYTIMTGSMQPYLNKLRHYCGDLPLVSADYGSTESWIGVNVEPSSPPEKVTFAIIPTFSYFEFIPLHRSKSQSYNNSHKDANLLANDDFIEDEPVPLSQVKIGQEYEIVLTTFTGLYRYRLGDVVEVAGFHKKTPKLNFICRRKLILTVNIDKNTEQDLQLVVERGSRILISKSRAELVDFTSHANVSKRPGHYVIYWEIKGDVDENVFGECCREMDASFVDHGYVVSRKTNSIGPLELCIVERGTFKKILEHFIGNGAALSQFKTPRCTSNQVLLRILNVCTIKRFYSTAYG >Solyc12g070154.1.1 pep chromosome:SL3.0:12:29736379:29740340:1 gene:Solyc12g070154.1 transcript:Solyc12g070154.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKLKFYTFTLYHDRDDIKFAQSINFDEICIVIKMIEVTMYFHHHDECGTTYDNSEVDYSTIALYFKDKLQSDLTYKAMKLGFKSGLRPMIGLDGTLLKEKAKGQVFNNSFYPLAWGVIDKETNRTCTWFMQHFLELQNNERLKFISDMQKISKVCKVSGNGDNGYEVTEGADKHIVNLKEKKCTCRTWDLNGIPCPYAIKVMEHKKMIPKKEIHWYYSKEAALAVHKHKLQPVRGEPFWKCNLLHTIEPQKLVKLVGGPKLMREREKNEVVNRQGVWKQTRKRKVMTCSSCGEQNHNARGCEKAKQGKEPTKNINYKAPQPTQESQLEYVSSSIYFPVAKDDDEDPRLSPRSISKKTFLSRLRKRQNPQEPIESRVTGFRGDKFSVSEPTNIPIAPTDLNLKWTGCSYYKSATDVEAKKGLMLLLGVSSKTNYCFAYI >Solyc07g066430.3.1 pep chromosome:SL3.0:7:67993680:68001475:1 gene:Solyc07g066430.3 transcript:Solyc07g066430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Obg-like ATPase 1 [Source:UniProtKB/TrEMBL;Acc:K4CHW8] MARMACSQLLPSMAIPLKSSFLNGSSHQWLRLSESTHPVVLIKRRFSVAKISMSLRAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDTRLHVLSDISKSKRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFEDNDIVHVNGKVEPKADIDVINLELIFSDLDQIEKRMEKLKKGRAKDSQAKVKEEAEKSALEKIQQALLDGKPARSVSLSEFEKDAITQLCLLTMKPVIFVANVAESEVAEPENNPHVKEVIKKAAELNSGVVTISAQVESELSELPLEERIEYLKSLGVNESGLGNLIRETYGLLGLRTYFTSGEKETKAWTILTGMTAPQAAGVIHSDFEKGFIRAETVAYDDFVSAGSFAAAREKGLLRLEGKDYVVQEGDIMLFRFNV >Solyc05g053930.3.1 pep chromosome:SL3.0:5:64802341:64805624:1 gene:Solyc05g053930.3 transcript:Solyc05g053930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSNQIKAETTFYTVSGKFALSLEISSDFFLLLDVLLILLLTVFCWHCKWIVLGLDSRNVSGNGTDISNSNSKHSPTTPRSEGEILQSSNLRSFTLSELRSATRNFHRDSVVVEGGFGSVFKGWVDEHTLAASMPGTGIVIAVKNLDQEGWQGHREWLAEINYLGQLHHPNLENLIGYCLEEDHRLLVYEYRPEGSMENHLFRRGSYYQPLSWSLRMKVALGAARGLAFLHNAEIKVIYRDFKTSNILLDSDYNAKLSDFGLARDGPVGDQSHVSTRVVGTYGYAAPEYLATGLSPCYPIPFSSSQSDGHLTAKSDVYSFGVVLLEILSGKKAIDKNRPMGEHNLVDWAKPYLTSKLRVSRVLDARLEGQYSLSHALKVAILSFQCISTEPKSRPTMDEVVTALEQLQQSKDVAKNDKKVRQVNQHSQSSFAFKKSCKSSTEETPAESNYPRPSLSFLS >Solyc07g051920.1.1.1 pep chromosome:SL3.0:7:60595685:60596653:1 gene:Solyc07g051920.1 transcript:Solyc07g051920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTRGRTIGHGSTAAVFVAKSRFSDEVFAVKSVELSKSQLLQKEQKILSQLISPYIVRYKGYDVTKENDKLIFNLMMEYMPDGTLSDEIRKQGGRMNEPLIGYYTKQMVKGLEFLHSGGLAHCDIKGQNILLGKSGATIADFGCARWIDPAEPIGGTPMFMAPEVARGEEQGCPADIWGLGCTMIEMATGGSPWNNVTNAASLLYKIAFSEQSPKIPKFLSLQARDFLSKCLRRDPKERWTAKQLLKHPFLESNTTTIQDFVTSSPTSILDQDIWNSESTISQTVSSPLQRVRELGSNLGESNCRWNDDERWITVRSSSSE >Solyc09g008850.3.1 pep chromosome:SL3.0:9:2268913:2276524:1 gene:Solyc09g008850.3 transcript:Solyc09g008850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCDDDFSLLGDDNAAVAVTPTTTTANPNYHHQPFSSVRYKPVQIHPPPISAGSPKNISGDEDDGDGYSDNNAQSYHMGVNPYENDSIPFENDTNVNRSRGKSSNEKRADREDISDNGTPYSYKRSRISSSSGSGSGEYRKDREEWSDTAIACLLEAYMEKFVQLNRGNLRGRDWEEVAVMVSERCEKQSKSVEQCKNKVDNLKKRYKLERHRMSNGGLTISHWPWFKQMEQIVGNSVSVKATSEEDKAIVPMNNSSTGRQPKRYGTAVPSPSGQIVKAKSSMSPRWRRVVLKISGAALAGMTPNTNDANNIDPKVATLLAAEVSIACRLGIEVAIVVGGRNFFCGETWVTSTGLERCTAYQIGMMATLMNSILLQSALEKVGVQTRVQSAFNMPELTEPYSRQRAMRHLEKGRVVIFGGIGAGTGNPLFSTDTVAALRASEIHADAILKATNVDGVYVCDSRNNNVVAEHISFRELVSGGDSPLDLMAVTLCEENAFPVVIFNLHGPGNISRALCGEQVGTLIDQTGRVS >Solyc10g006350.3.1 pep chromosome:SL3.0:10:967331:976798:-1 gene:Solyc10g006350.3 transcript:Solyc10g006350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQVSKSSKPSKPTTQSSSAPPSRSSSSSSSSSLSTHLAMIELKQRILTSISKLSDRDTHQIAVEDLEKIIQTLSNDGVSMLLNCLYDASNDPKPAVKKETLRLLPTVCASHGDSAATHLTKIIGNIVKRLKDSDSGVRDACRDAIGSLSSLYLKGEAESGGIGSVVALFVKPLFEAMNENNKTVQSGAALCMAKMVECASDPPVLSFQKLCPRICKYLNNPHFMAKASLLPVVSSLSQVGAIAQQNLEPLLQTIHECLSNTDWATRKAAADTLSALALNSSNLVAGGATSTLTVLEASRFDKIKPVRDSMLEALQHWKKIAGKEDGATDDQKASCVDGESSESAGSSEKDLRNAVGILKKRGPALSDRKLNPEFFQKLEERSSNDLPVEVVVPRQCLNASNTPTEVESAPDKAETGQRIMRKSHSDARYSNSESQTSGVSGREHDTVDDGDLNQREQSSYRAGFAKNAGPPEGFMANKGNWLAIQRQLLLLERQQAHLTNMLQDFMGGSHGSMVALENRVRGLERVVEDMARDLSLSAGRRGSAFTARFDESLNRPLGKYNSFHDYSSTKLGRGSEGSIPFGERFVPSDGNSSGMRGRSPPRRSDNPDAWDFHSYGKNGQSGSRRGIGGGPMDARSSKLENEIDQVGTRRGWAKGAGPVRFGEGPSARSIWQASKDEATLEAIRVAGDDNGTARGTRVAIPELEAEALTDDNNMQERDPVWTSWTNAMDAFSVGDMDSAFSEVLSTGDDFLLVKLMDRSGPVIDQLSNEVASETLHAVAQFLLEPNLTDICLSWVQQLLEIVVENEPEVVDLPMEVKKELLLNLNEISSSVDLPEDWEGATPEQLLLQLASAWDIDLQELEK >Solyc12g036570.1.1.1 pep chromosome:SL3.0:12:47257794:47258153:1 gene:Solyc12g036570.1 transcript:Solyc12g036570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHGILMKESPFILISSTKKGAGRSTFFFGTHRLLQTHQKRLFKAPNSRMESLQKKGIRLGNRNMMKPLGMQMSVCCQPQSFGFRIGIKSSMFILTHHSIPLDVCWLKKGRLITHIYF >Solyc03g005950.2.1 pep chromosome:SL3.0:3:636650:641044:-1 gene:Solyc03g005950.2 transcript:Solyc03g005950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKSELLEGKVDWRGRIATKDKHGGQGPSLLILGTFACENIASFVLGVTLVTYFNGVMHYDVADAATQVTNYSGTSYILTVLVAILADTYIGRFTAVFVSCWIEFLGLALLAFQAHYPKYKPPLCNILDPTSNCEKVDGKNAALLFVALYLVALGSAGIKSALPSHGADQFDEKDKKEAIQMSSFFNWLLLAVCLGGSFSTTFIVWIQQHKGWDWGFFVSTLAMLCGAIIFCVGLPWYRIFVIKGTSAITEIFQVYVAAIRNRNLQLPQDSSDLYEIDEDEEVAIPTEFLPHTDTYKFLDKAAIIQTSQQQSKKAINPWRLCRVTQVENAKILLSMVPVFCCTIIMTLCLAQLQTFSIQQGFTMDTRITNSFHIPPASLPIIPIVFLIIIIPVYDQIIVPILRKFTGIPTGITYLQRVGVGLVLSALSMTAASILEVKRKQVAQEHNMLDAIPVLQPLPISVFWLSIQFFIFGIADMFTYVGLLEFFYSQAPKELKSVSSCFLWTSMSIGYFLSSIIVKIVNKATKKVTNSGGWLVGNNFNRNHLNLFYLMLAVLSCLNFCVYLVVASKYKYRVQKSPSEKDDSRVHALKDRNSLSTFSM >Solyc03g093120.3.1 pep chromosome:SL3.0:3:55712198:55720653:-1 gene:Solyc03g093120.3 transcript:Solyc03g093120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSKLVLVMCFMISAFGIAIGAKFDQEFDITWGDGRAKILNNGDLLTLSLDKISGSGFQPKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTADFHTYSITWNPQRIIFYVDGTPIREYKNSESIGVSYPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFSASYRNFSANACIPTSSSSCSSISATSTSNSWLNEELDNTSQERLKWVQKNYMVYDYCTDSKRFPQGFPADCLNMASSSSKLVLVMCFMISAFGIAIGAKFDQEFDITWGDGRAKILNNGDLLTLSLDKISGSGFQSKNEYLFGKIDMQLKLVPRNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTADFHTYAITWNPQRIIFYVDGTPIREYKNSESIGVSYPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFSASYRNFSANACIPTSSSSCSSISATSTSNSWLNEELDNTSQERLKWVQKNYMVYDYCTDSKRFPQGFPADCVQNI >Solyc06g010037.1.1 pep chromosome:SL3.0:6:4800814:4808844:1 gene:Solyc06g010037.1 transcript:Solyc06g010037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEGKGKVVVDTSHDKRHYYTQEVKKKGYIIMTPNDLFSLGVSNMKIFSCCKFKGRIEVMTFEGLMLPGDKGIDFIIVFLYTNDFIYTSSSVTLVDEFKSQMMNKFEMSGMSAATPTNVGENFEINDAVKMDDSRSIIRLVYASTFNGSFWGSKISLAPYCWNYELWDLTPKNFQFEIMWINKLELKEANYNNLTLSTSEVNRLPSHMVETNSVGMYAPLIQMGSEPQYSKAIHLFFLNMINLTYQIE >Solyc01g067890.3.1 pep chromosome:SL3.0:1:76678252:76683503:-1 gene:Solyc01g067890.3 transcript:Solyc01g067890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCAYAFPGILNRTGVVSDSSKATPLFSGWIHGTDLQFLFQHKLTHEVKKRSRVVQASLSESGEYYTQRPPTPILDTVNYPIHMKNLSLKELKQLADELRSDTIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDRILWDVGHQSYPHKILTGRRDKMSTLRQTDGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRNNNVIAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNRQVSLPTATLDGPVAPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLIAILKEVRSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVAKFDPATGKQFKASAKTQSYTTYFAEALIAEAEADKDIVAIHAAMGGGTGMNLFHRRFPTRCFDVGIAEQHAVTFAAGLACEGIKPFCAIYSSFMQRAYDQASKNASNYSHFVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGIGVELPAGNKGIPLEVGKGRILIEGERVALLGYGSAVQNCLDAAIVLESRGLQVTVADARFCKPLDHALIRSLAKSHEVLITVEEGSIGGFGSHVVQFMALDGLLDGKLKWRPIVLPDRYIDHGSPVDQLAEAGLTPSHIAATVFNILGQTREALEVMT >Solyc06g062950.1.1.1 pep chromosome:SL3.0:6:39846423:39848753:-1 gene:Solyc06g062950.1 transcript:Solyc06g062950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEISVLSILFILSFCLAPVTISVQSDDHETFIIHVAKSHKPHVFSTHHHWYSSIVRSVSPSSHHPSKILYTYERAAVGFSARLTAGQADQLRRVPGVISVIPDQVRYPHTTHTPTFLKLADSFGLWPDSDYADDVIVGVLDTGIWPERPSFSDEGLSPVPAGWKGKCVTGPGFPRSSCNRKIIGARMFYKGYEASQGPMDESKEAKSPRDTEGHGTHTASTAAGSLVANASFYQYAKGEARGMAIKARIAAYKICWKTGCFDSDILAAMDQAVDDGVHVISLSVGANGYAPHYLHDSIAIGAFGASEHGVLVSCSAGNSGPGPYTAVNIAPWILTVGASTIDREFPADVILGDDRVFGGVSLYAGNPLNDSKLPVVYSGDCGSKYCYPGKLDHKKVAGKIVLCDRGGNARVEKGSAVKLAGGVGMILANLADSGEELVADSHLLPATMVGQKAGDEIREYVISDPSPTATIVFKGTVIGNSPAAPRVAAFSSRGPNHLTPEILKPDVTAPGVNILAGWTGANGPTDLEIDPRRVEFNIISGTSMSCPHVSGLAALLRRAHSKWTPAAIKSALMTTAYNLDNSGKIFTDLATGEESTPFVHGSGHVDPNRALNPGLVYDIETSDYVNFLCTIGYDGDDIAVFVRDSSRVNCSERSLATPGDLNYPSFAVDFTSDSNGVVKYKRVVKNVGGNPNAVYEVKVNAPLGVEVSVSPAKLVFSEENNSLSYEISFTSKRSEDNIMVKGTPSAFGSIEWSDGIHSVRSPIAVRWRYQSAVSM >Solyc01g079120.3.1.1 pep chromosome:SL3.0:1:78053613:78053864:-1 gene:Solyc01g079120.3 transcript:Solyc01g079120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PNSNELSPSTIPTDTTESISIRRPNLVFFPKNRKQQNKLVVTSSRRVYEIAPCEIVFRSNHTN >Solyc04g078870.3.1 pep chromosome:SL3.0:4:63614976:63627404:1 gene:Solyc04g078870.3 transcript:Solyc04g078870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEGVADGTVKLGALPMKPDRVFNNLEPEFTVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKERRWALQRKAQEAQVPSDEQEKMLRNLEKRETEYMRLQRHKVGIDDFEQLTVIGKGAFGEVRLCRFKSTGEIFAMKKLKKSDMLSRGQVEHVRSERNLLVEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDVLSEDVARFYIAESILAIQSIHQHHYVHRDIKPDNLILDRNGHLKLSDFGLCKPLENKYSSILLEDEDLTNAESINGTEGHSGGDRASWPMPKEQIQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGIECDWWSLGAILYEMLVGYPPFCSEHPRMTARKIISWRTCLKFPDEPKVSNEAKDLICRLLCDVESRLGTAGVEEIKFSSIIHEITVLCVQRSIVSLVSGYAASMFVSSYQYLSLGFQAHPWFKGVNWDMLYEMEAAYKPIVTGELDTQNFEKFPEVEHPSSATPRVGPWRKMLTSKDSNFIGFTFKKSDILKSAETSGIDMSSNGHSKPPSLVSLFGMKPEGNVSKLTGRAGKCVSFRYQFLIKKIPSQDSRPKSMEWTDEKHSLYLKSMEASFVDHLYGSLDVVGRHSQNDGFSRHKSSRQKHVNPSGQYKVFQDGCWTKIDFKKDQPQLNKTSGSAAVLASPWIKHFKSAGRHQTRVNSDLQGNTTLVKQNQSPVLCHKDYVTEVMDQNFIDEDLEGGQSSSREHCTKRTKIPLGAGSSSDQVVPFSTSSMTDNLKDLLESTG >Solyc11g066040.2.1 pep chromosome:SL3.0:11:52007153:52015258:1 gene:Solyc11g066040.2 transcript:Solyc11g066040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4D9L3] MGEEDKGEVAKKLIEIVNDISSISDYRISVKKQFCNLARRLKLLTPMFEEIRDSKEKLPIESMKSLISLKEALESAKELLKFGSEGSKIYLVLEMEHIMNKFQELTSKLEQALNGIYYEKLEISDEVKEQVALVLSQFRRAKGRVETPDAELHEDLLSLYSRSNDAAVDHDVLRRLVDKLQLTELDDLKQESLALHEMVIATDGDPEERIEKMSMLLKKIKDFVQTENPNIDSYGRDKSSNISGQASADGSQKAPVIPDDFRCPISLELMTDPVIVSTGQTYERSCIEKWLEAGHSTCPKTQQALTSKSLTPNYVLRSLIAQWCEANGIEPPKRPGSAPPKKSASACTPAEHSMIENLLRKLKSGSPEEARSAAGEIRLLAKRNTDNRVAIAEAGAIPLLVHLLSTPDSRTQEHAVTALLNLSICENNKGHIVTSGAVPGIVHVLRKGSMEARENAAATLFSLSVIDENKVTIGSSGAIPPLVALLSEGTQRGKKDAATALFNLCIYQGNKGRAIRAGVVPTLMLLLTKPQGGMVDEALAILAILSSHPEGKAAIGAADAVPVLVDVIANGSPRNKENAAAVLVHLCSGDQHHLVEAQRLGLIGPLMDLVQNGTERGRRKATQLLERMNRLAEQTKQPQAQAESQTQNQVSRSPASSTNAIES >Solyc02g070440.3.1 pep chromosome:SL3.0:2:40742363:40749637:1 gene:Solyc02g070440.3 transcript:Solyc02g070440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEPALTRWTFPFGRRKVPARDATDNGDSVQNGNSSTMNGNGSVRNTAELSIFEQYNQANGVQSAGVDGKPEKSLLPPFESAEMRTLAESLTRDILRGNPDVKWESIKGLETAKRLLKEAVVMPIKYPKYFKGLLTPWKGILLFGPPGTGKTMLAKAVATECNTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHESSRRLKTELLIQMDGLNRTDELVFVLAATNLPWELDAAMLRRLEKRILVPLPEAEARCGMFEDLLPSLPEEAPLPYDLLVEKTEGFSGSDIRLLCKEAAMQPLRRLMAELDKREELVPEDELPNVGPITVTDIEVALKNTRPSAHLHAPRYDKFNSDYGSHALQ >Solyc08g041890.3.1 pep chromosome:SL3.0:8:25292922:25298923:1 gene:Solyc08g041890.3 transcript:Solyc08g041890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRPNARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNKREENLQKKRREGLQANQQFSVPVQSTVEKKLENLPAMVAGVWTEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLIRDDFPQLQFEAAWALTNIASGTSEHTKVVIESGAVPIFVKLLSSPSDDVREQAVWALGNVAGDSPKCRDLVLNCGALLPLLSQLNPNAKLSMLRNATWTLSNFCRGKPQPAFDQTKPALPALQQLIHSTDEEVLTDACWALSYLSDGTNDKIQAVIDSGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIIGHQALPCLLNLLSGSHKKSIKKEACWTISNITAGNREQIQCVVDAGIIGPLVNLLQNAEFEIKKEAAWAISNATSGGSHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAEKSLGRTSDINLYAQLIDDAEGLEKIENLQSHDNQEIYEKAVKILETYWLEEDDETMPPGDAAQQGFQFGGGEVSVPSGGFSFN >Solyc02g088320.3.1 pep chromosome:SL3.0:2:51090721:51092512:1 gene:Solyc02g088320.3 transcript:Solyc02g088320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQLVNRAGAEVCLDPASEPTLPLPILCIPPFFFLLYFQQKEPKTLPSFFLHYQADLTFFNLIQSPKVSVFLVFHLEVVSRMG >Solyc07g021450.2.1 pep chromosome:SL3.0:7:17999124:18001233:1 gene:Solyc07g021450.2 transcript:Solyc07g021450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRAEKRCLSLLSYFKTLGNLNQIHAFVYKSGLDSDAIDYARRLLIHYPNSDAFMYSTLIRGESESNSPKSSSFSFAFVLKAAANLQCLTTGFHLHCQAMTRGISMYAECGFVEFSWKVFVQIPQPNVVAWNPILTPYLRGSDVSGADKVFGLMPFRNLTTWNVILARYTKARELERAEGLFLQMPSRDISWSTIIVGFSHNGYFDEAIRVFRELVESESKPNEVILTGALSACAQAGAFKFGMVLHAYIEKVGLVWLTSVNNALLDTYSKCGNVLMARLVFERMLGKKTIVSWTSMIAGFAMQGYGAEVIKYFHEMEESGTRPDGHYGCMVDLYGRAGQLHKAYDFVFQMPVPPNAVIWRALLGACSFFGDIKMAVQVKERLSEVDPDNFGYHVLLSNIYAFVGKWKDVSMVRRSMTEKNLKKIPSWSTIEIDKVMYNFVAGDKRNEITEEAYNKLSEIMLKLKVKGGYIPEVGSVLHDIEEEEKEDIVSEHSEKLIVAFGMTRLCKVSTIRIVKNLRVCKDCHSFMKLISEVYGLEIVVRDRSRFHSIKEGLCSYFLDKHVECKQKTHILDDMESSILAIEMKENGKEVVKHVCQLIDLEKATASSSLQIYWYVI >Solyc09g074660.3.1 pep chromosome:SL3.0:9:66899587:66906139:1 gene:Solyc09g074660.3 transcript:Solyc09g074660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRFAPFLHINPKFSTIFASANSSSMLNKLLISSSSSSFRYLCKSTKPFFRVLPMAEQQQQSSSLSTSSHTHKHINRLASEHSPYLLQHAHNPVDWYPWGEEAFAEARKRDVPIFLSIGYSTCHWCHVMEVESFEDEEVAKLLNDWFVSIKVDREERPDVDKVYMTYVQALYGGGGWPLSAFLSPDLKPLMGGTYFPPEDKFGRPGFKTILRKVKEAWNSKRDTLIQSGAFAIEQLSEALAATSTSNKLSDEVPQTALRKCADHLAESYDSKYGGFGSAPKFPRPVEMQLMLYDSKRSGETGNSGEAKNALKMVLFTLECMARGGIHDHVGGGFHRYSVDECWHVPHFEKMLYDQGQLVNVYLDAFCITKDVYYSSLSRDILDYLRRDMIGPSGEIFSAEDADSYESEGASRKKEGAFYVWTSTEVDNIVGEHATLIKNHYYIKPSGNCDLSRKSDPHNEFKDKNVLIERSTTSALASKHALPVEEYLDILGNARLKLFDARSKRPRPHLDDKVIVSWNGLVISSLARASKILKGEPEGTRFYFPVTGTNPKEYMDVAEKATYFIRKHLYNEQTRRLQHSFRNGPSKAPGFLDDYAFLIAGLLDLYEFGGSVFWLGWALELQEKQDELFLDKTGGGYFNTTGEDPSVLLRVKEDHDGAEPSGNSVSVINLVRLASMVAGEKSKLYRETGEHVLAVFEKRLKEAAVAVPLMCCAADMLAIPSRKQVVIVGVKSSEEFESMVTAAHAAYDPNKTVIHIDPKDAEDVAFWEETNRNIALMAKNNAAADKVVALVCQNFTCSPPVSDPKALESLLSQ >Solyc03g059500.3.1 pep chromosome:SL3.0:3:32314769:32315935:-1 gene:Solyc03g059500.3 transcript:Solyc03g059500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCLHNFSSSSFFKYCRNFAFDRLRMLGGKTFCWIRTFWSFQFVAILSSYLYLILRGCVARRFSVCGINGCFQIDISHL >Solyc09g074880.3.1 pep chromosome:SL3.0:9:67115678:67120592:1 gene:Solyc09g074880.3 transcript:Solyc09g074880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTLPSSHHLHFCFFSSSSSKFSITTQLCLNFPPKNRISLVICSSSTSPPPPSSSSPQVSEPTPTAESCVNAGLDLFSKGRVKDALVLFDTALTLNPNPEEAQAAFYNKACCHAYRGEGKKAAECLRTALKEYNLKFGTILNDPDLASFRALPEFKELQEEARLGGEDIGYSFRRDLKLISEVQAPFRGVRRFFYVAFIAAAGISTFFTIPRLIRAIQGGDGAPDLGATAGNAAINVAGIAVFVALLFWDNKKEEEQLAQILRDETLSRLPLRLSTDRIVELVQLRDTVRPVILAGKKETVSLAMRNAEKFRTELLKRGVLLVPVIWGEGRETKIEKKGFGVSQKAVASLPSIGEDFDQRTQSIIAKSKLKSEIRFKAEVVSPAEWERWIRDQQKSEGVTPGEDVYIILRLDGRVRRSGKGMPDWAQILKELPPMEAILSKLER >Solyc03g096435.1.1 pep chromosome:SL3.0:3:59964731:59967639:1 gene:Solyc03g096435.1 transcript:Solyc03g096435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTSAHTSKRSRNSTPPTRRTWIVAEEGTLINGLKELCVNGWRGDNGTFKPGYLKELECYLCEHHPNSGLKGEPHVLSKIRYWKKCHASIAMLKSRSGLGFQYSDGAIIVDDPKFWDDFIKVDPNTKNMNTKKWPMFVDWEEIFGKDRAIGKFAEGPLDAVEDIQRSQSLVMFNDMSLGFPIDLDGDEEAGSSHRPNVTKGEAGNATGATTFPEASQNESAEAFEPEEAGSQQTNK >Solyc10g044975.1.1 pep chromosome:SL3.0:10:29244305:29244630:1 gene:Solyc10g044975.1 transcript:Solyc10g044975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLGCTLGRYMGSPTLVHAHSILVVLSFQVILMGIFEVLPICLADNLTIFGKLKVKESKNGRLPMLSMIGFLVQDNVTGKGPPEY >Solyc09g014325.1.1 pep chromosome:SL3.0:9:5844996:5845591:-1 gene:Solyc09g014325.1 transcript:Solyc09g014325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLAAKENYRLHINCSTKFLRDLQEFGLNLMGKASRDKRDIYYRKAKEEGWRARSAFKLLQIDEEFNIFEGSLFLNI >Solyc07g039386.1.1 pep chromosome:SL3.0:7:47533669:47534140:-1 gene:Solyc07g039386.1 transcript:Solyc07g039386.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFIIQRGQERIKDVAEGYLDELIRRSLVQLVDTFWEKVIKCKIHDLLRDLAIQKALEKNLKSSSCIRHAIHSEGERYLSPLDHLSNSKLREFVPPQVVKLERCP >Solyc09g091190.3.1 pep chromosome:SL3.0:9:70984134:70989000:-1 gene:Solyc09g091190.3 transcript:Solyc09g091190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PHD finger protein ING [Source:UniProtKB/TrEMBL;Acc:K4CWE5] MSFIEEFQANIEALPNHLRRKYALLRDLDKSLQGVQTQNEQRCDKEIEDMIQRIKAGNVTPDSSLIKFSDDALDEQKHAIRIADEKVALASQAYDLVDAHIQQLDQYLKKFDEDLRRERDTAVVTGAPATTVENNVKSGRSGEGKGGRKKTRLATAAAATATAATPSGMDLDLPVDPNEPTYCFCNQVSYGEMVACDNPNCKIEWFHYGCVGLKEQPKGKWFCADCAGTQKKRKGK >Solyc10g078510.2.1 pep chromosome:SL3.0:10:60437801:60446378:1 gene:Solyc10g078510.2 transcript:Solyc10g078510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHGFLVVLAFMGYWIDHNICVEGFGVNWGTMATHMLEPKILVQMLRDNGIKKVKLFDADKSTMDALAGTDIEVMVAIPNDQLLTMTDIDRAKDWVRRNVTRYNFKNGVNIKYVAVGNEPFLTSYNNSFVNLTFPALQNIQNALNEAGVGDSIKATVPLNADVYFSPESNPVPSAGRFRTDIAELMTQIVQFMSKNQAPFTVNIYPFLSLYANEHFPMDFAFFDGTPNPVLDNGVEYTNVFDANFDTLYSALKAVGYGHMAILVGEVGWPTDGDKNANLNNAYRFYKGLFAKLASNRGTPLRPGYIEVYLFGLIDEDAKSIAPGNFERHWGIFRYDGQPKFPMDISGQGQDKHLVAAKNVQYLPNRWCMLNPNAKDLSKLANNIDYACTFSDCTSLGFGSSCNNLDAIGNASYAFNMYYQVQNQLDLSCDFEGLAMVTNKNLSQGTCNFIIQTGIINQTRQQTNYCLGLASQSQGSRKYNYDDDEAFEKLRKEIEGNQDNALSLCTEKIDSHIKRLDEDLANFAEDLKQEGKLPADEPPILPPLPLVLKTEKRKAPYVTPQSKKFEYRDWDWDRERDRDYDLMPPPGSHKKDFASPVDVDQPIDPNEPTYCVCHQVSFGDMIACDNENVGSLCAMVIVCDLLMETLHFSSLKKIYVMTTKRFVFMASEKNLTPQDLFIFWLLQIPS >Solyc06g084350.3.1 pep chromosome:SL3.0:6:49538370:49542078:-1 gene:Solyc06g084350.3 transcript:Solyc06g084350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sm-like protein LSM2 [Source:UniProtKB/TrEMBL;Acc:K4CAU0] MLFFSYFKDMVGREVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDEDKYPHMRSVRNCFIRGSVVRYVQLPPDGVDIELLHDATRREARGG >Solyc04g009620.3.1 pep chromosome:SL3.0:4:2998148:3006314:-1 gene:Solyc04g009620.3 transcript:Solyc04g009620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CS2 description:Chorismate synthase 2, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q42885] MASSMLTKQFLGAPFSSFGSGQQPSKLCSSNLRFPTHRSQPKRLEIQAAGNTFGNYFRVTTFGESHGGGVGCIIDGCPPRLPLSESDMQVELDRRRPGQSRITTPRKETDTCKISSGTADGLTTGSPIKVEVPNTDQRGNDYSEMSLAYRPSHADATYDFKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKLYSGTEILAYVSQVHNVVLPEDLVDNQIVTLEQIESNIVRCPNPEYAEKMIGAIDYVRVRGDSVGGVVTCIVRNVPRGLGTPVFDKLEAELAKACMSLPATKGFEFGSGFAGTFMTGSEHNDEFFMDEHDQIRTKTNRSGGIQGGISNGEIINMRVAFKPTSTIARKQHTVSRDKHETELIARGRHDPCVVPRAVPMVEAMVALVLVDQLMTQYAQCMLFPVNLTLQEPLQPSTTKSA >Solyc04g015630.2.1 pep chromosome:SL3.0:4:5927424:5929459:-1 gene:Solyc04g015630.2 transcript:Solyc04g015630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGACGSKILVTTRKTEVASIMGTAPAYCLNGLSHEDSLTLFLRHLNKKKTERREWNIVNSHSGWNSNQNEEISSAVRVSYEQLSSYLKVCLAYCSIFPKGCVIEIDKLIQLVAEGLISKSNESEDLEHVAIQHFRELLSRSFFQDVEEYRSVYTSICTMHDLVHDLALSAAGVEFCAVNSHIQNISDEVRHVVFSEYDLSGKELPASLLRNQALRTISFSFDGVGAMSTMFVENCIARLCNLSSNGSIKELPDSINKLLSLQTLRISHCPQLEGLPKDIGNLISLRHLYITTKQACLPDKAIVCLSSLRSLCIHNCNNLVSLSEGLQHLTNLRTLAIIGCPRLTFFPIAMKHLTALENLLIVDCKELTLLEWQDIEGLRMLRSLVIGGLPELESKDVHCLGSLQMLVLAGLPEVVTSPRWLEGASATLQYLRVERCLNFAALPNWLANLTALEKLEISKCRKSFSLPEGMSCLTNLKVLKIDN >Solyc00g007220.3.1.1 pep chromosome:SL3.0:2:32543342:32544561:-1 gene:Solyc00g007220.3 transcript:Solyc00g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPPVLRFLLSTASSSPPPAKYTSADPPSSFAPVEPDYVIIIAALLCGVICIIGLISVARCAWLRRTGGSTGGQSSAAANKGLKKKVLRSLPKFKFDPASSSTEAASECAICLAEYTRGDEIKVLPQCRHVFHVHCIDTWLGSHSSCPTCRQILQTGRCRRCGEFSGNSNAGTQIQGRNCLT >Solyc09g059030.3.1 pep chromosome:SL3.0:9:53241959:53245252:-1 gene:Solyc09g059030.3 transcript:Solyc09g059030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKIMHAVQYDSYDGGAAALKHVEVPVPTPKKDEVLLKLEAASINPVDWKIQKGMLRPLLPTKFPFIPTTDVGGEVVEVGSNVKSFKAGDKVVAMLNTLVGQILFICLIFATSLLHFGPWFKPVSEGPAQSLHSFSMDVVIVPCSLPMNGGGLAEYAVANESLTVPRPAEVSAAEGAGLVIAGLTALQAFVNPAEVKLDGTGPRKNILVTAASGGVGHYAVQLAKLCNTHVTATCGARNIDFVKSLGADEVLDYKTPEGAALKSPSGQKYDAVIHCTTGIPWSTFEPNLSSSGKVVDITPGVNAMWTFAVKKLTFSKKQLVPLLLIPKKENLELIVGLVKEGKLKTVVDSRFPLSKAEDAWSKSIDGHATGKIVVEP >Solyc04g063390.2.1 pep chromosome:SL3.0:4:55530877:55534066:-1 gene:Solyc04g063390.2 transcript:Solyc04g063390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKETEYYDVLGVSPLASEDEIRKAYYLKARQVHPDKNPDDPLAAQRFQELGEAYQVLSDPVQRNVYDQNGKSCVSRETMLDPTAVFALLFGSELFEDYIGHLSVASMASSELVTENDNPERLHDRLKGVQKEREEKLARILKDFLQQYVRGDRVGFIHRVESEAERLSQAAFGAEILQTIGYIYTRQAAQELGKKAIYLGVPFMAEWVRNKGHFWKSQLTAAKGAFQLLQLQEDVRRQFKMDGSGPENDVESHLRLNRETLMNSLWKLNVVDIEVTLLHVCQLVLQEGNVKKEELKKRAMALKILGKVFEREKCVGTSKKKTIGDINAEESSSDSSDDEEDSLRTLNYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKGK >Solyc10g017550.3.1 pep chromosome:SL3.0:10:5498294:5501354:-1 gene:Solyc10g017550.3 transcript:Solyc10g017550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGIAVSDECKLRFLELKAKRNHRYLVFKIDESVQQVVVEKVGGQTETHDDFANSMPPSECRYAVFDYDWTTDENVQKSRIFFVAWSPETARVRSKMLYASSKDRFRRELDGVQVELQATDPSEMSLDTFVGRIH >Solyc10g045395.1.1 pep chromosome:SL3.0:10:33239404:33246378:1 gene:Solyc10g045395.1 transcript:Solyc10g045395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMYITLRFQYEGGMVTDCFNVDVDKLSYFKFVDIVKEMGYNYAASVVYIKPPKCRHVVEVKSDRDIMGIVPKLKNGDIVELYVIHLVEEDVVAPPAIEYLNDVGGVDGVELSEVFGRTSTSVGEELGRSFSSAREELGRTSTSAGEELGRAFASAKRNWVGLLLVVEKTWGRETTIGSVNETKIGGDVNGFATTATDVPGAIGGVKRPRMVGMGILHTQSGFTIHNDYLELSHDNRNDFDAYINQNTEPTEDILQWWRNRGKGFPKLVPMVRDILGMQASSVTSKGVFSATRFQLGEHRHSLAADSLEISVLFRDWINAERRNLGREPLPPNFKMTLIK >Solyc10g081770.2.1 pep chromosome:SL3.0:10:62891864:62900602:-1 gene:Solyc10g081770.2 transcript:Solyc10g081770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRGLFPFTWRCNMKDSGNISQYRSRLDQTLSSDDLVDYDRLKSLVKNQIVCLSECDVHECIDNLVDRRTKEVANFLSMLRSASVTDSEASRDGWRVKQDSEEIRVMYREGPEGTPYHTLLAEGYVDGPIDVCLCISWEAELYKKWWPQTTIPTFKIATSQCLQKVRTGEQICLVRTKLSRPLSAREAVIHVFAFEYFQDGLIVVVANTISDLDSVDSTHCYSKDEIPDVQDVVRVDLVGGFALQKVTDNRSYFRTIGNLDVKLDFIPSALINFVARQLIGGGFKLYKKEVASVAKGDEDFSKALKDPMYARIREALYSNKIPLELQDMRKNAVPLDEKTRRKNDESCREEKVHSEIKEIEDEACKKMEYQNQDDRNIDDSPVNQLVQKPSKHENVGISPEVKQALRTLDKAISIIREYGCNLEIRTVPDTNISVEEEIYSLQDSKSSEADKDGRNVEDFDVIPKQEFREATSYENRNSSCSRCTSSSLCTREPPHNKIAPASPDVYAAIRGDEAHHIALCSSTDEATTGKTMEKLLKEDNVTVAYEDSIHEKELGERKTRKIPRKCCLKVRKFF >Solyc03g082800.2.1.1 pep chromosome:SL3.0:6:412063:412212:1 gene:Solyc03g082800.2 transcript:Solyc03g082800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLVVAVSPLRFRVSSYCSAARLLLSLRFPSSQVYLSYHLLSRVLSQY >Solyc09g011125.1.1 pep chromosome:SL3.0:9:4485215:4493568:-1 gene:Solyc09g011125.1 transcript:Solyc09g011125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRLNPAEALLSNKIEGTGKPRGGGYTGNTGSSSGGGNSGGFGYYNGYYGGNNSGSGGFGSGGGVGSLGVSSSRTRRFTPYCKICNFRGHTKEACFKAMQCSYCNMRGYTRDNCYKLIVYPADFKGKKKISAANVQNQHTGDNEVTPHPHHVQQPHFTMEQYNQIMRLLNRPQLNETSANANMTGILASSSSLINTHPTHSQWIVDSGATNHMVNDNSIFNTGLTISITGKVQIPTDDNVLMPIFHPTKIAEEFPIERLGECDIEPLIDSIETETMVEAASSVDSVGQPPVRRTSTRISKPPIWQKDFITKTCSKSASSCLYPIEDNVAYDNLAIPYQSCSKRLIDNAKQVLKNNFKIKDLRDLRYFLGIEFARNSEGILMHQRKYAMELIPDSEMSGSKPCATPVEVKQKLTTSEFDDHFKLDNGNVLLDSGEYQRLVGRLLYLTITRPDIAFAVQILSQFMHVPKSSHMEAALRVVKYVKQAAGFGILMSAKPTNILQGFCDADWGSCIHSRRSITGYMIMFGNSLIFWKSKK >Solyc01g091190.3.1 pep chromosome:SL3.0:1:84751008:84757878:1 gene:Solyc01g091190.3 transcript:Solyc01g091190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-phosphoshikimate 1-carboxyvinyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AZ59] MAQISSMAQGIQTLSLNSSNLSKTQKGPLVSNSLFFGSKKVTQISAKSLGVFKKDSVLRVVRKSSFRISASVATAEKPHEIVLEPIKDISGTVKLPGSKSLSNRILLLAALSEGRTVVDNLLSSDDIHYMLGALKTLGLHVEDDNENQRAIVEGCGGQFPVGKKSEEEIQLFLGNAGTAMRPLTAAVTVAGGHSRYVLDGVPRMRERPIGDLVDGLKQLGAEVDCSLGTNCPPVRIVSKGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERFGVFVEHSSGWDRFLVKGGQKYKSPGKAFVEGDASSASYFLAGAAVTGGTVTVEGCGTSSLQGDVKFAEVLEKMGAEVTWTENSVTVKGPPRNSSGMKHLRAIDVNMNKMPDVAMTLAVVALFADGPTTIRDVASWRVKETERMIAICTELRKLGATVVEGSDYCIITPPEKLNVTEIDTYDDHRMAMAFSLAACADVPVTIKDPGCTRKTFPDYFEVLQKYSKH >Solyc02g031890.2.1 pep chromosome:SL3.0:2:27629567:27631274:1 gene:Solyc02g031890.2 transcript:Solyc02g031890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKASNKVMIFSSCSILVGLFSLQHYGIGSVAFSPVYIYRYFKRDGWTSLEGIMLSITGTEALFAHFPVSAIQLGFTVIVFPCLLLAYSGHAKYG >Solyc12g005840.2.1 pep chromosome:SL3.0:12:474502:477564:-1 gene:Solyc12g005840.2 transcript:Solyc12g005840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRLFLCYRRMVHLNMKRKIICKFTILQKYLNSFWNKVLACWLGKSINYRQLSHNQSFPTTTAAATGMPSPPAGAGMPSPPAGAGMASPGGFSENMSSTCCCDHSKDSSENVVALKISLLGDNQIGKTSFLRKYVGKEEVDEGLSTKGVNQMDKTLCVKGTRISYSMWEVKGDVSGPTQIPMACKDSVAMFFMFDLTSRCTLSSVLSWHQQARQWNQTAIPVMIGTKFDDFVKLPLDLQWTIASQARAYAKALNAPLFFSSATYNINVNKIFKFITAKLFNLPWSLERNLTIGEPIIDF >Solyc01g088110.1.1.1 pep chromosome:SL3.0:1:82857389:82857586:1 gene:Solyc01g088110.1 transcript:Solyc01g088110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGAGVAVAAANSNSTTDDDERKRPEVSIDLGAAAEATANASQGCCCGGGGCGGGCGGCGGD >Solyc07g041010.3.1 pep chromosome:SL3.0:7:51647869:51652504:1 gene:Solyc07g041010.3 transcript:Solyc07g041010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGAILEAGEGRNTVELVKSASEKHLDLLRPSARYYSVSKGQAGDAEDREKGKYTLIRDVEDLQTGFYDKPLPCFGCGIGWFSFLLGFLCPLMWYYATILYFGNYYRKDPRERAGLAASAIAAMVFSVVLLIIAATLFL >Solyc02g066980.1.1 pep chromosome:SL3.0:2:37806439:37809493:-1 gene:Solyc02g066980.1 transcript:Solyc02g066980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIGKSTRLTPKKCSKISENANPNVELSPALSKSSKSASRIQSLVSRNSSTNKLASISPKNKISQRKFVIAKKKKCNKDNLKSKMICNCDKDGAEKKKCLCVAYESLRASQEEFFKNRCGNEHEEYEIEELDEVNRAENGDGERIMGCYGDSPVRHRLSLTTVDQEVTVPVSISVELRDSSVADELVERGNEDVGRREMSGGTIKRRRERLLEEARQSVPETGSGRVKHLVKAFEKLLSIPKTKGCEEKGENDENEAEDSSKEQKWALPGLQPPKISETQVSSSSFCPSDFFLNSESLGLDSRRVSSLDSNHGCFSMSSRTSGGGRRSRRNSMESSITFAKRNWKRKQLKVTLQKPFKLRTEERGKCKEEEFLKKVQQTVEEEEKQRIPIAQGLPWTTEEPECLPKPPMKESTRPVDMVLHSDIRAAERAEFDHQVAEKMSLIEQYKMERGRMQKMAEEEEIRRLRKELVPKAQPMPYFDRPFVPRRSTKDPTVPREPKFHIPQHKKIKCCMSWNGMYIHNNSEWGMQQ >Solyc06g065730.3.1 pep chromosome:SL3.0:6:41283257:41325545:1 gene:Solyc06g065730.3 transcript:Solyc06g065730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPTVADDSDASNMGSKHVFVKQYLVKWKGLSYLHCIWVPEKEFLKAYKLHPRLKTKVNNFHRQMSSMTNSEEDYVAIRSEWTTVDRILACRGEGEEKEYLVKWKELPYDECYWEFESDISSFQQEIERYHRVQFRDDKASSSKQNSVPKETTELKLKPRELFQQYERSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEDISPHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFFFPKNSNKIKKKKSCQTVGESKKDRTKFDVLLTSYEMINMDSASLKPIKWECMIVDEGHRLKNKDSKLFSSLKQYASRHRVLLTGTPLQNNLDELFMLMHFLDAGKFGSLEEFQQEFEDISQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNFQILARKGGAQISLINVVMELRKLCCHPFMLEGVEPEDTNEFTKQLLESSGKLQLLDKMMVKLKDQGHRVLIYSQFQHMLDLLEDYCTYKKWHYERIDGKVPGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIFRLILRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKLRQIHYDDAAIDRLLNRDQVVDEDAAMDDEEEDSFLKAFKVANFEYIEEAEATPEEDIPTPPLENKATVLNSERATYWEELLRDRYEMHKVEEFNGMGKGKRSRKQMVSVEDDDLAGLEEVTSDGEDDNYEADLSDGETALPGAPVVRRPYRKRSRVDSSIPLPLMEGEGKSFRVLGFNQSQRAAFVKVLMRFGVGDYDWAEFTPRLKQKTYEEIKDYGFLFLSHIAEDITESPTFRDGVPKEGLRIPDVLLRIAVLLLIRDKVKAFSEETTSPLFAKDIVSWFPGLKGGRVWKEDHDLLLLRAVLKHGYGRWQAIIDDKELRIQEVVCKELNLPSITLPVPGASQPQVPPAPGASQALPASGVSQVSAPGVYQAPNGLNTANAGTSGNQVKAADETNHEVSHGTSDPSNRTQLHQDSSLLYHFREMQRRQVEFIRKRVMLLENAINAEYQRDVVGCGKPHELPGKEMERDTKIVDELSRSVEAAGTEMVDNFPKLIAISPQGISEIACDGEVDRLSVAQLYNKVIASQPASLALKRNLLPLEAFFQEMKRVLSSAHQNPGNAPGSELQEDWKPEGGNPSPVPSFLRDGRLHTTEGKHDNFPTGTEFVSEGTSNLRTTESNISHDISPSEIPGEEIKHCSLNGDTDIEMVEKQDDTYVSTTNVVMDIAE >Solyc06g072620.3.1 pep chromosome:SL3.0:6:44927427:44941214:1 gene:Solyc06g072620.3 transcript:Solyc06g072620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFSDHWTFAFGVLGNIISFFVFLSPLPTFYNIYKKKSTEGYQSIPYVVALFSAMLWIYYAFLKTNTTLLVTINTFGCFIETLYVGFYLFYAPKKARVQTIKLLLLLVVGGFGAIILITQFLFKGAIRAQIVGWICLVFSLCVFVAPLCIVRQVIKTKSVEYMPFLLSVFLTLSAVMWFFYGLLLKDFNIAIPNVLGFIFGILQMILYVMPTFYKMYKKKSTEGYQSIPYIVALFSAMLWIYYAFLKTNTTLLITINTFGCFVEIMYIGFYLFYASKEARVQTVKLLLLLVVGGFGAIVLVSQFLFEGAVRVQVVGCICLICSLCVFVAPLCIVRQVIKTKSVEYMPFLLSVFLTLSAVMWFFYGILLEDFNIAIPNVLGFIFGILQMVLYVMYYNKKEEEPTFYNIYKKKSTEGYQSIPYVVALCSAMILIYYVILLGGLVEVIIINAIGVFIETIYIIFYIFYAPKKAKAPNILGFTLGVIQMVLYVVYKKYKKEDNVIKEQKLSELLQNHVIILDDGKKLPQLTQEQIIDIWKLGTLIYSEKLNASAADVDNNRPKLAN >Solyc01g111710.3.1 pep chromosome:SL3.0:1:97803541:97808142:-1 gene:Solyc01g111710.3 transcript:Solyc01g111710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQDVEMADQAPPSKSLSSADPSVLPHLKEIASLIETGAYAREVRRISRAVRLTMVLRKKLKASSLGAFLNFVLVPGSDVHSRLSSFLPKEDEHDMEVDSATSGTLASVKHSLPELEIYCYLLVLIFLIDQKKYDEAKACSSASIARLKTVNRRTVDVLASRLYFYYSLCYELTGDLAEIRGNLLSLHRIATLRRDELGQETLLNLLLRNYLHYNLYDHAEKLRSKAPHFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPQAALGFRVQCNKWAIIVRLLLGEIPERTVFMQKGMEKALRPYFELTNAVRIGDLELFKNVAEKFSSTFGSDRTNNLIVRLRHNVIRTGLRNISISYSRISLTDVAKKLRLDSPNPVADAESIVSKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAQHIAEEDDDDF >Solyc06g074220.3.1 pep chromosome:SL3.0:6:46029671:46036258:-1 gene:Solyc06g074220.3 transcript:Solyc06g074220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRILVQRGSTGSSSSSSNQNRSSVSLPGSSASSSSASSQPQVSSTSQVPSALKDDELVGEFPETIVLDDVSVGSFNQKGDGDDASVESFSCDRSLLEEKIVDNEKVSDDAFVCGDSIKASSGLRVEVVENEGTSLLEPKGSYCPPPPPPPVPPPKPASLNPRPRKFSLGSSNAVRIGSSREFVGQTNVSTRTSPTGSRPSSPRSHSESEGYNSADEQSPNFGSSRNDVEREHQFEIDVRRSKGLEVKKMREDGNCLFRAVADQVYGDSEAYDLVRQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSIEPMNTFHGSYNSDTPPIRLSYHHGNHYNSLVDPRRLSVGAGLGFSSLRGKNVDKDNVKAAIKAQQDQQIDNALLAEGRFYSDVELTEKEMERMVIEASRAEYIAHDNKFRQQLGRRESSTSGAEPSSSGTRSSGSEKRQEAGQELSSPDSAFNDCIQVMLSMGFSYPRVIEAYSIFGDDVDSMVCYLIETSCSSRRKGKATE >Solyc06g059840.3.1 pep chromosome:SL3.0:6:37846164:37850453:-1 gene:Solyc06g059840.3 transcript:Solyc06g059840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWFLRRLNNHGVMQKLSMAFSGKSTTAIGRRYFVSMEVGQKLDPYSCDDDYRENIDHQNQDLDFPGGKIPLTSQMKFISEASEKRLPCYRVLNDDGSLISNSIHDQLGEEVAVNMYSAMVTLKTMDTYLYEAQRQGRISFYMTTFGEEAINIASAAALSPHDFVLPQYREAGVLLWRGFTVQECTNQCFGNKDDKGKGRQMPVHYGSNKHNVITISSPLATQISQAAGVAYSLKMDKTGACAVAYTGEGATSEGDFHAGLNFAAVLEAPVIFLCRNNGWAISTPVHEQFRSDGIVSKGLGYGIRSIRVDGNDVIAVYNAVRAARTMAISEERPILVEAMTYRVGHHSTSDDSTKYRPTNEIEYWKTARCPINLFRKHIQRNGWWSDVQESELYTHVKNQVLEAIKTAEGMEKPALTELFSDVYEKMTPNLQEQERCIRDAIHKYPKDYPTDFQV >Solyc05g053440.3.1 pep chromosome:SL3.0:5:64366674:64367961:1 gene:Solyc05g053440.3 transcript:Solyc05g053440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L29 [Source:UniProtKB/TrEMBL;Acc:K4C224] MAKSKNHTAHNQSYKAHRNGIKKPKSHRHSSTKGMDPKFLRNQRYARKHNKKNGETAAEE >Solyc05g006830.3.1 pep chromosome:SL3.0:5:1452279:1454580:-1 gene:Solyc05g006830.3 transcript:Solyc05g006830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4BWK9] MGANYSSTWHETHNMPITPQSKRSQVIAFHSSTKWKLHFDSLKNTNKLIVIDFTATWCGPCKYMEPVLNDFAAKYTDVEFVKIDVDELDDVAQEYGVQAMPTFVLIKKGKVVDKIVGADKDGLQKKIQKHKAIYV >Solyc07g009530.1.1.1 pep chromosome:SL3.0:7:4682055:4682246:1 gene:Solyc07g009530.1 transcript:Solyc07g009530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKFVVVIVTILALLLSIANAQQCGIQAGGALCDNGLCCSQFGYCGTTTAYCGPGCQSQCN >Solyc10g018027.1.1 pep chromosome:SL3.0:10:6538794:6539630:1 gene:Solyc10g018027.1 transcript:Solyc10g018027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRSLISWQTKKQTTVSRSSAEAEYRAMADTCYEIRWIQHILGCIGVATTAVPSSCIPVVFFLVNNRSSISQTSFGDIMYMMDEPFSN >Solyc09g018150.1.1 pep chromosome:SL3.0:9:13305083:13307680:1 gene:Solyc09g018150.1 transcript:Solyc09g018150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKKINGGGENNHVFPWETNDGWPYLNLNGNQIGIAVTFEGDKLQDPTRFDTYPPLTVVNEVIEPSTNAGKKRSPPNRKKNGKEIVEPNFCIDGAGDRGGLNHDLHIWMARQRTMKIGIFFNTLRALISNIPAKADKSTIVEKAVNHIQKLQNTLEKLEQEKLERLQEHNVRCRSSQKFTNTCNNWEKYLGDQGSTHNTSSTTSTTHGTNPLMVNNNIPTGFVTWSSPNVILNVCGENAHISVCYPKKSGLFTFICYILGKHGIEIVSAQASSDQFRSVFKIQAHAKGGTGIAQYSEASRVEEMYKQIAIEIISFATPK >Solyc04g076300.3.1 pep chromosome:SL3.0:4:61300722:61302317:-1 gene:Solyc04g076300.3 transcript:Solyc04g076300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSRLSSEVILLDLLRVISHHRARLATPIRTVQKTSREIDADDVPFADSIFSRNRPNRQVLLIEPSYKISSDDKAKASARSVQSDEEKDQKVEATITSRTADDTNGKPSTLVEKEVDKASSASNANGDLKAATSPSDGKSLKQGSTSPVKSNSEKNQVAGDPPGLTSDTNIEKTDAASSASQAQQDTERSISSPPSSVGRPMLEDNIVLGVALEGSKLTLPIEEDETTPPSPSESKELAACRNGNSSTNSNKDKTDDKMPGAPSTQSTSNEQKERER >Solyc03g097400.1.1.1 pep chromosome:SL3.0:3:61164434:61164610:1 gene:Solyc03g097400.1 transcript:Solyc03g097400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNILDTGLDRHSLSVLISLCDLGLNPEALAAVIKELRQENPSSASASHQRTMPPSVP >Solyc05g007230.3.1 pep chromosome:SL3.0:5:1866402:1870861:-1 gene:Solyc05g007230.3 transcript:Solyc05g007230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLNKLLLVWFVAATLFVGYVFSETEFEALLEIKKSLVDDPENVLSNWSDENKNFCQWRGVSCDEDTLKVVGLNLSDCSISGSISPSIGFLHHLLHLDLSSNLLSGPIPPALSNLSSLQSLLLYSNQLTGPIPNEIGLLKNLQVIRIGDNVGLTGPIPSSFGDLENLVTLGLASCSLIGAIPPELGKLKRVETMNLQENQLENEIPVEIGNCSSLVAFSVAVNNLNGSIPEELSMLKNVQVMNLANNSFSGQIPTQLGEMNELRYLNLLGNQLEGLIPKSLAKLSNVQNLDLSGNRLTGEIPGEFGNMEGLRFLVLTSNNLSGSIPKTLCSGKSSLEHMMLSENLLSGEIPVELRECVSLKVLDLSNNTLNGSIPFELYELVELTDLLLNNNTLVGSVSPLIANLTNLQTLALSHNSFHGNIPKEIGMLASLEILFLYENQFSGEIPMEIGNCSSLQMIDLYGNAFSGRIPITIGGLKELNFVDFRQNDLSGEIPASLGNCHQLKILDLADNRLSGNVPATFGYLRALEQLMLYNNSLEGNLPDELINLANLTRINFSHNKLNGSIVSLCSSTSFLSFDVTNNAFDHEVPPHLGYSPFLERLRLGNNRFIGEIPWTLGLIRELSLLDLSGNELTGLIPPQLSLCRKLTHLDLNNNRFYGSIPSWLGNLPLLGELKLSSNKFSGPLPRELFNCSKLLVLSLEHNAINGTLPLEIGELKSLNVLNFDKNQLSGPIPSTIGNLSKLYILRLSGNSLTGEIPSALGELKNLQSILDLSFNNFTGQIPPSVGTLTKLETLDLSHNHLTGEVPPQVGEMSSLGKLNLSYNNLQGKLDKQYAHWPADAFTGNPRLCGSPLQNCEVSKSNNRSSGLSNSTVVIISVISTTVAIILMLLGAALFFKQRREAFRRGSEVNSAYSSSSSQGQKRPLFASVAAKRDIRWDDIMEATNNLSNDFIIGSGGSGTVYKAELFNGEIVAIKRIPSKDDLLLDKCFAREIKTLWRIRHRHLVRLLGYCNNSGEGSNVLIYEYMENGSVWDWLHKQPDNNNKRKTCLDWEARLKIAVGLAQGVEYLHHDCVPKIIHRDIKSSNILLDSNMEAHLGDFGLAKAVHDNYNSYNTESNLWLAGSFGYIAPEYAYSSRATEKSDVYSMGIVLMELVSGRMPTDGSFGEDMDMVRWIESCIEMSKEEVIDPVLKPLLPNEESAALQVLEIALECTKTAPAERPSSRKVCDLLLHAFNDKVVHSDKMSPDNYV >Solyc01g034050.1.1 pep chromosome:SL3.0:1:35596563:35597311:-1 gene:Solyc01g034050.1 transcript:Solyc01g034050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHETSSRNKRAAAETREQLQKQDRPAAKIREQLQKQDRPAVSISYIEIAGTTATRQKALKEQYLFSCTCIRCIMLGQNDDIQESAVLEGYRCKDKRCTGFLLRDSGFTCQLCGLVRDKEEIKNTVHEIQSLSEKASFSLPCGRILCI >Solyc11g071450.2.1 pep chromosome:SL3.0:11:55208503:55212359:1 gene:Solyc11g071450.2 transcript:Solyc11g071450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVMIKTNGSPTDMWLFKSEYDKCGTLIVRRKCFYVNFQVCCYRQVFGMQLSKKGDYNQCTADTISCF >Solyc10g083550.1.1 pep chromosome:SL3.0:10:63456435:63459882:1 gene:Solyc10g083550.1 transcript:Solyc10g083550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRCESSSVGSSCEEGNYNSSDEAFKPVPFVRILWIDNSGIHRCRVIPRERLSFVKKHGLGLSPACLAALSSVSNCPAEGSNLGFTGMIRIIPDLSTRCKIPWEKQQEMTLADMCIEPDKPWEYCPREVFRRVTKILKDEFDLVVNVGFEIEFYLLKSVVKNGKEEWLPIDKTSYCSTSGFDVSSSILEDIVTYLQTINITVEQVHAETGKGQFEVVLGYAEASTAIASLIYAREVIKSVARQHGQMATFVPKYAEDEDGSGSHVHISLSRNGENVFMASGDSKYGMSKIGESFMAGVLNHLPAILAFTATHPLSYEHLVPKTKNAAYLCWGKENTEAPLRTASPPGIADDFVNHFEIKAFDACTNPYIGLASIIISGVDGLRKDLSLPKPVDGGKELL >Solyc07g065480.3.1 pep chromosome:SL3.0:7:67341148:67346008:-1 gene:Solyc07g065480.3 transcript:Solyc07g065480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSSVQTAPSIEDDEWDTEGYVIPSLELEDSGQNNSNLVEVEESKTVAAESKKEENIYLGPHGAPPSQSKQQELGSANNRKQKFRQKLKEADKKYSGSGRENKVEHLRELVGGKMAAGSKNSPKDWLDPHCDENMFQRNQR >Solyc11g069975.1.1 pep chromosome:SL3.0:11:54868934:54871765:1 gene:Solyc11g069975.1 transcript:Solyc11g069975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITNNDSASSTSECDLQVVDQKHLALIEPHGSCVPAPIVSSFNDRIRPLLDCVDKLRHLNIMQEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQNHNETELHLEYNGKLVPTDEVNIAEAIVLATNEIAGHGKGISNIPLSLIVKKNGVPDLTMVDLPGITRVPVHGQPEDIFEQISDIIMKYITPEESIILNVLSATVDFPTCESIRMSQKVDKTGERTIAVVTKVDKAPEGLLEKVTSNDVNIGLGYVCVKNRTGNKSYDEARSEEARLFQTHALLSKIDKSMVSVPVLAHKLVHIQANIICKCLPDIVKKINDKLAVNVASLNKLPQHLSSVAEALTMFMRILSSTKESLKKIFLRGEFDEYAEDLEMHCTARLAEMLNEYAVELHSKNVEKKEDFLMDEIMSLKEANGIGLPNFLPRAVFLNVLQKKVSVISAIPEVFVEKLWNYIETVVIKVLMHHFGDYQQLRSSTRCAVQNLIAKRKDESINWVREIIETERLTDYTYNPEYVATCSTLMEQQKPFMEIMNDSDKPSIIKLKEIGEVDVGSLRMNKDIAQQAFELKMRMIAYWKIVLMRMVDHMALYAMFSLRKMVNYEIEQEIVNELMASHGGGIERMLEESPCVSEKRLRLKRSVKLLKESKDVVAKIMDRIALQTYGEKAVNGSSSSEYPLQVYEPKHIAVIKPKVSGVHAPIISSLNDRTRPLLDCVDKLRHLNIMQEGTQLPTIMAVRDQSFGKSSVIESLPGTSLPRGNDTCTRVPLITRLQGVQVEAPTYVEPCIQSL >Solyc11g020180.2.1 pep chromosome:SL3.0:11:10408950:10439106:1 gene:Solyc11g020180.2 transcript:Solyc11g020180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSIPSMQQLPISNEILHYYLNGHKHYLSSVKAVHQIFINKEGTRKNERSGLNIGADTGMGGTNNRQQELDGVQRGSSSDQRRRDAEQHLQIGLVSLELCDLGLLNRSAKVHLSSDANAGSAKILSEEFRINWAHAQRRNPSHIFEKTINNLHLVLRENPRSMRYNFEEEDGGRQSEDVEWLPLQHHPVFSTPPDRDRDGDRALTIPKNLLAWDGASRLYFWDSYKTCLHRLSVRLGEPDPTSLLAASPSKVLQADVQLNSEVQRISINRNGSALFLVCLDGGQAREAWGKESIIFYVVQFDDGSLNRLACPGVRMFEMVYIKLAWSATGDAWTVLVGSEIYFDRNNAIRTLKKYFLGIEVAQSKSGIAISPGKYALDILEEIGMTDCRPIDSPMDSNVKLLPGRGGLLAIEEDMGKDFLMKIDDMRKLLDIQMQTGVFDLSSALGQPEQEYYLQPVEPGSSHNATAICPVDFSFGDDHMWDRFSVFILFSDGSVYILCPVVPFGSVYKWESVLELYSYAHMFGLKSANSKAVKNSNLAISWLGATFPELTREEVHAENVAVLRAQPYALFDASISLQGPLSKVSHGVEDDSVHPPVFEGRAVSFLYDLVSKDSILVTAWSRGQLRIDALADEVQPVWKVSSPPRVCLDSTDSIVGLAMICESLSSDTSILKLDVPPDHTLWLGHPPPLLRLAIVDLALPRKSSSVYFELQHHAPHVKKIIDDQYSRLCKAQQKILEVERKQEKIEDRVEPAVRFHSELEERLQSLRHLPAAHKTSLSKAEREFKSELDRFRGVELDALRSSIEAVNARLKRYTHSLQPSQSNEERQVSVRRKVRVQENEMSLLKASLEKLSVMNSENAKKVKVVESALKGREIGT >Solyc09g074830.3.1 pep chromosome:SL3.0:9:67081106:67086085:-1 gene:Solyc09g074830.3 transcript:Solyc09g074830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kinase WEE1 [Source:UniProtKB/TrEMBL;Acc:Q2P9R8] MERKTPNRTRRKQRSNQKSKKRMNKGSLSRHFTVGIAKPPLPNQQQLHSSLSNVTLPNPSRFQKLLDSDDLPPAQSQFSSVLPLNLDADDDADVADVAEKDFILSQDFFCTPDYLTPDAPAICNGLDGDKDDYTPCPKSPEKLLSVSRKRPRLASVRPFSSDLSGQQQPVDIPTDTFGTDEMKSEKISESEKGPSYVSQSAIALRYRVMPPPCIRNPYLGDASEIDADPFGNRRSKYPGFNPAISGNDGLSRYRTDFHEIEQIGSGNFSRVFKVFKRIDGCMYAVKHSTKQLHQDTDRRQALMEVQALAALGPHENVVGYYSSWFENEHLYIQMELCDHSLSNKKYCKLFSEVEVLEAMYQVANALQFIHQRGVAHLDVKPDNIYVKNGVYKLGDFGCATLLDKSQPIEEGDARYMPQEILNENYDHLDKVDIFSLGAAIYELIRGSSLPESGPHFLNLREGKLPLLPGHSLQFQNLLKAMMDPDPTRRPSAKGVVDNPIFERWQRNSNK >Solyc09g082960.1.1.1 pep chromosome:SL3.0:9:69064685:69065020:1 gene:Solyc09g082960.1 transcript:Solyc09g082960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHTTATATEKREEDGEVTQRSPIRVHRKRQNTGDLNGVRERSFRSQTGRSAPSPEKRRSPASSRGVQGRGMPQQRRNVGPQMDPDAVPTKMGFGGQILRRNVDRWMHVGM >Solyc05g026490.3.1 pep chromosome:SL3.0:5:41505416:41514686:-1 gene:Solyc05g026490.3 transcript:Solyc05g026490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSLQTINSSITNFPCVPIIKKPKLHISLTKTSISSVRVVCCSSSSSSATTYNEDEEMDKIRRLQNGSDVRGVALQGEKGRSVDLTPPAVEAIAESFGEWVIKRLNNKEKVVMVSLGKDPRISGNTLSVAVFSGLSRAGCMVFDMGLATTPACFMSTILPPCQYDASIMMTASHLPYTRNGLKFFTKKGGLTSVEVEEICSDAARKYANRFAKVSTTLSTRPTKVDFMSNYAKHLRDIIKERVNHPNHYETPLKGFQIIVNAGNGSGGFFTWDVLDKLGADTFGSLHLNPDGMFPNHIPNPEDKIAMSLTRAAVLENNADLGIVFDTDVDRSGVVDSEGNPINGDRLIALMSAIVLKEHPGTTIVTDARTSMALTKFITNKRGQHCLYRVGYRNVIDKGVNLNKDGVETHLMMETSGHGALKENHFLDDGAYMVVKIIIEMVRMKLEGSKEGIGSLIKDLEEPLESAELRMVVLSEPRYAKAKAFEAIEAFRTYIEQGSLPGWDLDACGDCWVSDGCLVDTNDDPTAIDAYMYRAKVSSQENGEHGWIHLRQSIHNPNIAVNMQSTVPGGCQNMAKVLRDRFLLPSGMDKILDVTQIDKYAKSGDLSQDA >Solyc04g009990.3.1 pep chromosome:SL3.0:4:3303243:3311932:-1 gene:Solyc04g009990.3 transcript:Solyc04g009990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTELVRHCIKEEDMDISAIPPGFESLAPFTLKQVENNRLRINQSSTASESKSHRSQVETNIEGNEDVKMMKSLRRKPGVNYGKYEKSSEDESGSDQNPSVRSSLPKGVIRGCEGCLNCQRVTARWRPEEASRPDLGDAPVFYPTEKEFEDTLTYMASIRSKAETYGICRIVPPVSWKPPCPLEEKNVWEKSKFATRIQRIDKLQNRDSMRRMWEANIHKKKKRRRCLKPGVDLGNGSVDNRNLGDAERFGFEPGPEFTLEAFQKYADDFKAQYFRQNEGQCPSLENIEGEYWRMVEKPTEEIEVLYGADLETGVFGSGFPKHDHQVGSSDTKYLNSGWNLNNFPRLTGSVLTYESSDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHFGAPKMWYGVPGADASKLEAAMRKHLPDLFEEQPDLLHKLVTQLSPSILKSEGVPVYRCVQNPGEFVLTFPRAYHAGFNCGFNCAEAVNVAPVDWLPHGQNAIEHYREQGRKTSISHDKLLLGAARDAVKAHWELNLLRKNTSNNLRWKDVCGKDGVLSKALKNRVEMERVRREFLCNSSQALKMESTFDATNERECSVCFFDLHLSAAGCHNCSPDKYACLNHAKQLCTCSWGAKFFLFRYDINELNVLVDALEGKLSAIYRWARQDLGLALSSYVNKERQVAGIAGKLSLKPEESVLKEASAGPSIASVKKEKDDGTSALLMKASSSAFSPHKDKLSREPLASESIKASSMPDNASHGIEGAQNGFQGRSESLKVGPVYRTPVTQLSVEGGLCHKKLSTDKREVKGTSSLNDVVILLSDDEGDEMDNSIPSKDTAGKQTVNMGNNDKPVPTTSIDSARVTKDGINCSPSSESMKVEDNSKDEIHRGPNQDTHSFIGGSSVNMDIDRHAQAPQVADTCPQSRQPFDCKPNKEGSQNKTMECAQPLSGDSPVSQNNLDRGFRQKGPRIAKVVRRLACNVEPLDYGVIQPGKLWCDNRVIYPKGFRSRVRYIDVLDPTNMSHYISEVIDAGRDGPLFMVTLERCPNEVFVHLSPVKCWDMVRERVNQEILKQHKLGKPKLLPLQPPGSVEGMEMFGFSTTEIVQAIQDMDINRVCSEFWKSKPLMQTVQSSLVVDRSKLNIKSEISNDPTRADIVLSGLLKKANCEELHALNNLLKTNNLTPNQGLMTRLLNEEIDKRGR >Solyc03g025190.3.1 pep chromosome:SL3.0:3:2688693:2722121:1 gene:Solyc03g025190.3 transcript:Solyc03g025190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPLLNGYSGSGERNDLIGADGDYRPAKSTKDWWAIFCVETLKLWRIGGPIAFNIICQYGVNSLTNIFVGHLGNVELSAISIAQTVISTFSFGFMMGMGSALETLCGQAYGAGQVHMLGVYMQRSIIILLATCVFLLPIYLFTTPLLVLLGQETAIADLSGRYTMLLIPQLFSLAINFPTSKFLQAQSKVDVLAGIGFAAVLVHALFLWLFIYTLEWGTNGAAIAFDLTNWLTAMAQLAYVVGWCKDGWKGLSWSAFNEIWAFVRLSIASAVMLCLEIWYMMSIILLVGHLNNAVIAVGSISICMNINGWESMLFIGINAAISIRVSNELGQGHPRATKYSVYITVFQSLLIGILCMVIVLVARDHLAIIFSNSKEMQEAVADLAYLLGITMVLNSVQPVISGVAVGGGWQALVAYINLGCYYVFGLPLGYLLGYVAKLGTKGLWLGMIAGAALQTLLLLIILYKTNWNKEVNDTTERMRKWGETRVMDQSPLLNDFSGEHWQLIGSDGDYRPISSMSMNELWDVFWIETVKLWEIGGPIAFNIVCQYGLYAITVAFCGHLGPTELSAVTLAQTVLGTFIYGFMIGMGSALETLCGQAFGAGQVHMLGVYTQRSMIILLLSSFLLLPIYVFATPILKFLGQDHDIAVVAGKFAMLTIPELYSLSVNIPTSKFLQAQSKVGVLAWIGFVALVLHAILLWLFIYVFNWGLTGAAISFNLVGWVNALAQFAYVVFWCKDGWKGWSWSAFNEIWAFVRLSIESAVMLCLETWYMVSIILLTGHLKDAVTAVGALSICMNVDGWEAMFFIGVNAAISVRVSNELGLGRARATKCSVYVTVFQSLLIGILCMIVVLALRSHLAILFTDSELLKRAVSELAWFLGLTMLLNSVQPVISGVAVGGGWQGLVAYINLGSYYIFGIPLGYLLGYVANFGVMGLWGGMIGGLALQTLLLSIVLYRIDWNKELWEIGGPIALNIMCQYGFYAITVVFCGHLGPTQLAAVTLALTVVATFCYGFMMGMGSALETLCGQAFGAGQIHMLGIYTQRSMVVLLLTTFLLLPTYVFSNPILQLLGQDHDTALLVGEFTMLIIPELFSLSITIPTSKFLQAQSKVGVLAWIGFVALSLHALLLWLFIYVFDWGLTGAAISLDLVCWINALAQFGYVVFQCKDGWMGWSWSAFNDMWPFVKLSIESALMLSLEIWFPMSMVLIAGYLKDPDRQVELELCNLIELNTSSKHINFLSSFSSTLSEWQEMFFVGINAAISVRVSNELGLGHARATKYSVYVTLFQSFLIGILCMIVVLAVRNHLAILFTDDEVLIKYVSELAQFLGLTMLLSSVHPVISGVAIGCGCQGLVAYINLGSFYAFAIPLGCVLGSVANFGVMGLWGGMIAGLALQTLLLSIVLYRIDWYKEVRQLMVIGYFSSAMEPLSLEYDSSSDVDELEYDLSRYDLIASNGDYRRISGMNLNELLGVFWMETVKLWEIGGPIALNIMCQYGLYAITVVFCGHLGPTQLAAVTLAQTVIATFCYGFMMGMGSALETLCGQAFGAGKIHMLGIYTQRSMIILLMSAFLLLPIYIFATPILKFLGQHHDIAVVAGKFTLLIIPELYSLSITIPTSKFLQAQSKVGVLAWIGFVALSLHALLLWLFIHVFNWGLTGAAMSLNLVCWINALAQFAYVVVWCKDGWKGWSSSAFNDMWPFVKLSIESALMLSLEIWFPMSMVLIAGYLKDPVTAVGSLSICSTLSEWQEMFFVGINAAISVRVSNELGLGHARATKYSVYVTLTQSVFIGILCMIVVLAVRNHLAILFTDDKVLIKSVSELAQFLGLAMLLSSVHPVISGVAIGCGLQGVVAYINLGSFYAFAIPLGYVLGYVANLGVMGLWGGMIAGLALQTLLLSIVLYRIDWNKEQLIGADGDYRPINGLKEWRAVFWMETVKLWEIGGPIAFNILCQYGIYSITVAFCGHLGAVQLSAVSVALNVVGTFSFGFMLGMGSALETLCGQAFGAGQIHMLGIYAQRSMVILLFSTLFLLPIYIFATPLLKLLGQEHDMAVLAGKFALFSIPELFSLAVGIPTSKFLQAQSKVGVLACIGFVVLLLHAFLLWLFLYVFNLGINGAALVFNITGWANAIAQFVYVVVWCKDGWTGWSLSALNEIWAFVRLSVASAVMLCLEIWYMMSIIVLTGQLKDAFAVLCIAFDEMKSSFIMNQSNLCLSVLCFSMNIDGWEAMLFIGINAAISVRVSNELGLGRPRATKYSVYIAVFQSLLIGIFCMISVLAVRNHLAILYTNSRDLQRAVADLAWLLGITMVLNSVQPVISGVAFIPFLLVFVFVVLIVASVAIGGGWQGLVAYINLGSYYVFGIPLGYTLGYVANFGVMGLWGGMIAGLALQTLLLSFVLYRIDWNKELGMGSALETLCGQAFGAGQIHMLGIYTQRSMVILLFSTFLLLPIYIFATPLLRLFGQDHEMAVIAGKFALLSIPELFSLAVAIPASKFLACIGFVVLLLHAFLLWLFIYVFNLGINGAALVFNITGWANAIAQFVYVIIWCKDGWTGWSLSALNEIWAFVRLSIASAVMLCLETWYMMSIIVLTGHLKDAVIAVGSLSIW >Solyc11g020080.2.1 pep chromosome:SL3.0:11:10154490:10155240:-1 gene:Solyc11g020080.2 transcript:Solyc11g020080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKVVSSIIGSNQEMSNDKLMDIVCKVLRGRKFLIVRDDFWSTEAWDQIQRIFSKDDTRLKYVADYVNSSDFLSHTKSFLSSYDSWNLFTKNYSKKICPPLLVETGKDIVQQCQGLPLSVVVVAGLFGKMDLTLRKNLNSFFGTVSERGQSILSLSYNYLPQYLRACFLYVGGFPGYVEICVRSNKRLEEVGEEYLRELIDRSLILAVKQRVNGRMRSCKICDLLR >Solyc04g076710.3.1 pep chromosome:SL3.0:4:61681548:61696075:-1 gene:Solyc04g076710.3 transcript:Solyc04g076710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYATTLAALWKCPCFEFQASFLRICCSCNFNLTAPHGDVKIIFIVIQVYHWNPCDPEVSLFKKHLLFSAEKNVCGTCSKKLSVT >Solyc01g090260.3.1 pep chromosome:SL3.0:1:83783806:83801109:1 gene:Solyc01g090260.3 transcript:Solyc01g090260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIPEVRESTRQFPIGAKVAHTFSTSKKEVGIRGFRDFDLAIPIQTWKGKTSYQEEEDLMVDAGTIKRSDDSLEDSGSTSFHGASHPPEPVDTDLMRPVYVPIGQNKADGKCLVKNVSLKGPFLDDLSIRMPNVKPSPSLLSPAESLVEEPNDLGVISSPFTVPRPSQNTETSLPPDSEEKECIWDASLPPSGNVSPLSSIDSTGVVRSMSIVNSCTSTYRSDVLMSDGMLSVDRNYESTKGSIRGDSLESGKTSLSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRARDGILGMSHFKLLKRLGCGDIGSVYLSELSGTRCYFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRISSDDPSKRGAAFCVQPACIEPTTVCMQPACFLPRLFPQKSKKKTPKPRADSGFQANSMPELVAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLKFPDSPATSYASRDLIRGLLVKEPQNRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVEPDYPAKYGQVNPVGVGNTSKRVVGADANLELLVNKKEPRWRRITAHWPMEHLLRINLETNDNNNPQANLQALSLISNPSTSDSTLSSIAKVLITSLKYPNPKSNSHRFIHHHILRLFSLLLHRCPHLHHNLISAIREFSLLPSTSTRLLVDALTCLSISDSNVNDESTFLSLVFRPCVSVRHWLLLNVSKFDIRPSVLLTVLLGFTKDPYPCIRNVALSGLADLCECIIVEDESLIKGCYFRAVELLFDSEDLVRCSAVHAVSACGQLIVASKQESKGDWSDALFLQLCSMVRDMSVKVRVEAFKAIGKIETVSEYILLQTLSKKASSITKEMNFPGQYSETLFRIPAASAVLAFLHGLEDEFDVVRVSACGALQSLAIHSADFSNMVVNLLMGILNDDSMAVKLQALDTLHHLTMFRLLKVQQPHLHMFLGILLDSCSLIRCRARKVLKLTELPSLGMFKMCVDGLIRDLELYPQDEAEVFSALFMIGQNHGEFLVRLINEASQLIEPSSGGKLGYDNVRKASYLVLATSAPVSMKQQTCSIPPRIFSYAVTLLGRVSRSFADIVDQRTFLAYLSCCSRFTFVSASEFFKMEEHRLLPHQNNKMEEHPEEGDVQLTQRCEISEYDIWRKLQLKEAESSLLDFQVEHCKERNCVNIVLQVVIDIWPSLKLGLINEVTCTLRSLKAELGTRSDHNHRGELVFALQYIDALEQLGHLWHHLVFSKEFYFHKWGKLECSLKKLDRCLRDMRYKLIGLTKKDNFLILELIIANSILTLCNMEACANTLKKLQSVMSCIEHICGEGSTESSNFVVEVQKSLSEIDTSSCSILDNPYLLLKSLEHFTPRMVVSSGNLKYMEAELQCQGNEFQNPLPFISGLPVGLSLDIKLNNISNESRLWIKMRCEEKLTQFVFLDLHEIEGDDEVRKFTFVAPFYQTPKANCFSLKICIVLECISDSDQLFRGYGGPKHEVVHLCEEKAVYFSVQVR >Solyc04g077337.1.1 pep chromosome:SL3.0:4:62361017:62364055:-1 gene:Solyc04g077337.1 transcript:Solyc04g077337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYESKVYDVRDSYCVPTTLPVFAPISFPVTLRAMPKSDIFGFISMSNKTLLALSSGMGAPLHFKPFHGPTRYNTFVPLLVRICGYPVGSMLRVNSPGAGSLSLFHAERSASIPGSVTFKMTEDVLEESGDAEIKRIAFLSLSKSL >Solyc07g020890.1.1.1 pep chromosome:SL3.0:7:14580535:14580867:1 gene:Solyc07g020890.1 transcript:Solyc07g020890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGDVAVNCHYKSLSFRASLFDIVCNVVWRLKTGDVVSTSINDMRIFVSAEAKVKLDVTWLQQYLDDISEEGDMEKKLSDLTELGKTTMLVSIATEKDILERNRKVFTED >Solyc02g069610.3.1 pep chromosome:SL3.0:2:40020808:40024139:1 gene:Solyc02g069610.3 transcript:Solyc02g069610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFEMNDRKKIGLGLTGFGVFFSFLGIVFFFDKGLIAMGNILFFSGVALTIGLKSSLQFFSKRSNFKGTISFGVGFFFVIIGWPILGMILEAYGFVVLFSGFWPTLAVFLQKIPILGWIFQQPYIRSFFDRYRGKRVPV >Solyc05g032730.1.1.1 pep chromosome:SL3.0:5:45281143:45281310:1 gene:Solyc05g032730.1 transcript:Solyc05g032730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLEWLAFDLIIFRSGLFPNPILETSILCICFTITTVHCHIPYYYGAVESTLFN >Solyc08g059690.1.1 pep chromosome:SL3.0:8:44720134:44723310:-1 gene:Solyc08g059690.1 transcript:Solyc08g059690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPAKIIIHQPAQDLKKRGPPRKYSVHGFGLSPYKISESRGASASEKLSKETPGRPQSFGEKNQPQDLGIYDFIISLIMYPFNVGIVSSVKLRESIIGGGTVTYEGQYDIISLSGSLLLPDDNDSPGITGGLNVLLSRPDGSNICGIVAEMLKDPVEVLF >Solyc11g044470.2.1 pep chromosome:SL3.0:11:32850987:32858145:-1 gene:Solyc11g044470.2 transcript:Solyc11g044470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKGCYVLFFPTIIIFCFLFPPISFFSLPSSFLPISDLIEIFRSSDINEIQITYRSKMTPSAQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGDILEAVVITDKATGRSKGYGFVTFREAEAAMRACVDAAPVIDGRRANCNLASMGVQRSKPTTPKHGGGRNLRVMSGFHGGFQTATAFPSATTFPHYAIQQGIPYNLYGYSPYSPDYTYPTTGYYSVYGGGNSQYGVYGSAATASGVLSSAAAAFYPYMNFGEGNGNGCYTPSQGYGVQYPHHLFPYSAAAAAAGPGYPTQHYGTPISLAPSPALHSGVTMSLRAPIPHH >Solyc06g016710.1.1.1 pep chromosome:SL3.0:6:12918902:12919213:1 gene:Solyc06g016710.1 transcript:Solyc06g016710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADGIPWSTPFIRVGCPKEMMSFSTSSTEYAVHGNNGLPHSTSFERVSFPRAMMACHTGCSAVVYAFQYHDGIPCTTSYDYVCFPKTMMACHARRRTTVYAA >Solyc01g065622.1.1 pep chromosome:SL3.0:1:71836893:71839491:-1 gene:Solyc01g065622.1 transcript:Solyc01g065622.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SETDHSDYNKVVNQRNQGCGRGTGQSYQVDYHKGMNVVQEKYNQILHMLGQSKPQGNTEGSSTSATTPHSSANLAQDNYPSAETTSYFQRPHATVVHSEPTEAVQVTPPIDLIIKGNDLSLTKQNKIILQENFKINDLGDLRYFLGIEFARS >Solyc03g005500.1.1.1 pep chromosome:SL3.0:3:383587:383976:1 gene:Solyc03g005500.1 transcript:Solyc03g005500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEVKYRGVRKRPWGKYAAEIRDTNRQGGVRVWLGTFSSAEEAARAYDKAAYNMRGHLAILNFPEEYNLPRSSSHFYNNSSSMPSSSSSSNVHARNDQQGNRQVLELEYLDDHVLEELLDCDQHANNRK >Solyc08g067920.3.1 pep chromosome:SL3.0:8:57034983:57044788:1 gene:Solyc08g067920.3 transcript:Solyc08g067920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGVEEKSGGSSIENSKKQRSLDLQTLYKSGDLKKGKVSVGESDVDARQKKKKKKRKSIKEVSLDKLEPSGKKSRSSKDEDHVNGDSVGPAESLLSSSKLEKGLDYSNRLSGFSLKLHINGNAIPRRPRGFVGRSKFKNGRASQLSRVQTSVIGNVKIEGELDKTEGDQLPKKCALSGGEAKSDERTSKLPSHSAGNGVTVKEKRKRSVDDSRVKKKDKVSSSRHAKEDGHVAVNNGETSSGKHLSTRNKRKDSSSRSRKSVKNDVSSGDNLGSFRQGSLIDDDEVNLEQNACMMLSSRFDPSCTGFSSKNRSSASQSAERLTPLLTSGQDFVSREGNSLTGSEYLSVDTASRVLRPRQKLKERGISRKRRHFYEVLPRDLDAYWLLNRRIKVFWPLDESWYYGLLNDYDPERKLHHVKYDDRDEEWINLESERFKLLLFPGEVPGKRRVRKSANATESIDERKLDLVVDGDSHQGNCPDSEPIISWLARSSRRVKSSPSRPLKKQKTLQLSTPVVSSPLHVKTDGTSWNLGSSNSCIGRTDNDVLLPEKLIDHSMAENSFVESHSSPNDGKPVVYVRKRFRKMDGLPVYEADKAYVANIPTVSVAPVVDELRNYKSSVMCIPGSQSEKFPSAIDDEGVLRLHRPLLEAKQFRVEICLPVLPLLLLEAEQNWLSRSVLLLQHGAIMIRWPTFFLEMLFVDNVVGLRFLLFECCLNHAVAFIFFVLTLFNQADEEWRYESLQLPVTSVRFRLSSIQDSRKQQSFAFSCFSKLKNSKWLYLDSKLQKRSLHARQLPLSECSYENIKSLNCRSDQLQFNAHADPSSFKKKFVPGYLPKGTSTECCSARFTSSTLSSATKLGRVPPFALSFAAAPTFFICLHLRLLMEQHNFACVSLQESSINACQPVKSDGSRVKCSEIAGSEIAGSEDISETSFTGASSAGGSSFAERQLGSLACKQQLGSMRVPLKSSQNCQLDVSGSSFTAKLSELDTSDVTVVSNNLESDDQVLDQFVGSPGRRHSKNLSHRLSNARRHSGLVGMSVVIPSSDQVEGLSDGKEIIVGEESHLSLNTGNDLISSPNHTVTSDVVRSSNITGTGDRMVQSPNPSGPGGLPHRNRNNSSSSPFGKISPVWVDGKANFTGGGFGNGPKRPRTQVQYTLSYGGYDFSSMHKNHSPRTLPYKRIRRASEKKNADSCGGSQRNIELLACNANVLVTLGGVKGWREFGARIVLEIAGHNEWKIAVKFSGATKYSYKVHNVLQPGSTNRFTHAMMWKGGKDWVLEFPDRSQWMLFKELHEECYNRNIRAASVKNIPIPGVRLIEEIEDYASEVSFIRSSPKYYRQTESDVEMAMDPSRILYDMDSEDEQWLSKNNFSCFGESKHEEISDEFFEKAMDMFEKVAYARHCDHFAPDELEELTVGVGPMEVVKSIHEHWQNKRQKNGMALVRHLQPPLWERYQQQLKEWEQAMSNASFGFASGCQDKAASMEKPPMSAFCLKPRGLEVPNKGSKQRSQRKISVSGHNHVVSRDQDGLHPFGRRSNGYSHGDEMFMYPNHEYSDGSPMLHASPRVFSPREASGFGYFSLNSDVSDWNQPKFYRNKPKKIGSFHSHSNQHMVASNDQRTIVKRNGVHRWNMSLPGRSNKKHYRHEGSRGSAIEQFDSSDLHEFRLRDASGAAQHALNVAKLKREKAQRLLYRADLAIHKAVVALMTAEAIKAAALSANGDG >Solyc04g049005.1.1 pep chromosome:SL3.0:4:38999153:39003191:-1 gene:Solyc04g049005.1 transcript:Solyc04g049005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TKDLSRLKYFLGIEIAQSRSCIIISQRKYASNILEETEMMGCRPIDTLMNPNVKLLPRQWDPLSKGIDDLLESLIISHQLPLRDYSLRINAMNISLDMQMVIGQDHPLTDIRIRMLCFSWSGNSEIRMSLMPMSCRGSSVISFPESTDCGRRIETK >Solyc07g045200.1.1.1 pep chromosome:SL3.0:7:58449013:58449192:-1 gene:Solyc07g045200.1 transcript:Solyc07g045200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMPSSPSGQPKSAKYNCLCSPTTHAGSFRCRYHRSASLTRNSKSVGSKLSELAAEK >Solyc12g098460.2.1 pep chromosome:SL3.0:12:66936808:66938468:1 gene:Solyc12g098460.2 transcript:Solyc12g098460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQSNNVSDSRLMKGVLDEQNSQPTMGNSESSLQQLFHKVLTPSDVGNKTFVIPRRYALKYFSHIQHNEEVDFYDSSTQSWRFKLFYCQSSKKFTFTRGWHKFVQAKNLKAGDTIIFNLCEIKNGTHENSNTFVIDVVKNDEGLSMDLALNHNDVAVDVDAAPNDEGLPMDLALNHNDVAVDVDAAPTPVLLFGKQIGWTKSKRGNEV >Solyc08g074690.3.1 pep chromosome:SL3.0:8:58970631:58973965:-1 gene:Solyc08g074690.3 transcript:Solyc08g074690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFLLTSCPSISSSPSKIFIRPKRIDNFKVNCEIKNSNTINDDDNEGKSFPGKLDRRNVLLGLGGLYGASNLIGVPNEPFALGAPVPPPDFSTCSTASLPDGSQVPFSCCPPLPKDLTNIPTYKLPNVSKVKIRPAAHNVTQEYITKYNTAIQKMKSLDKDDPLSFIQQANIHCAYCNSGYKELGFPGVPLQVHFSWLFFPFHRWYLYFFERILGSLIGDDTFALPFWNYDSQVGMQLPSLYNDVNSPLYDPNRNQNHFPPNVVDLGFTTIDLDASDQQKINNNLTMMYRQMLTNAPCPQLFFGNPIRGGEQPIRGMGTIENVPHNSVHRWVGNPNNKFRENMGTFYSAARDPIFYAHHANVDRMWTIWKTLGGNRRDYNDRDWLDSAFLFYDENRTLVKVTVQDCINNEKLGYKYQNVPIPWKNYKPVPRKQKVKKNPKNVKPSTEIFPSTLKKTLSFSIKRPNISRTQQDKDIEEELLVFNNMTFDENEYIRFDVFINEDEGVKAKVLDRTEYVGSFANLPHVHAAGSSSSSSGTPAVMSLGISEILEDLGLEDEEEIVVVVVPQSGGKEITIGSVEINTLACAN >Solyc06g054317.1.1 pep chromosome:SL3.0:6:37245789:37248654:-1 gene:Solyc06g054317.1 transcript:Solyc06g054317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFDPPVKKEKSDEQIKMNQLQDAVLLEGFIWGMNVDSRELPTRIVGVNEGTPYWMAPEVILQTVHSLSIEVQLSTYFFVSPKAMKVFFSHPNEKVQGHFISLNKYE >Solyc02g077560.3.1 pep chromosome:SL3.0:2:43028003:43034598:1 gene:Solyc02g077560.3 transcript:Solyc02g077560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4B8Y1] MMCGLIDLNTVDNDDAGEETTAPVSLDSPASSSAASGSSDLTSSTTPAVASVCMELWHACAGPLISLPKKGSAVVYLPQGHLEHLSEYPSIACNLPPHVFCRVVDVKLQADAATDEVYAQVSLVPDNQQIEQKWKDGDIDADIEEEEIEGAGKSITPHMFCKTLTASDTSTHGGFSVPRRAAEDCFAPLDYRQQRPSQELVAKDLHGIEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRTGDGELRLGVRRAAQAKTCSSYLAPCSKPLNVSGIVDAVNVISSRNAFNICYNPRDSSSDFIVPYHKFSKTLAHPFSAGMRFKMRVETEDAAEQRFTGLVVGVSNVDPVRWPGSKWRCLLVRWDDLDVSRHNRVSPWEIEPSGSAPVPSSLVMPSAKRTRVGFPISKADFPIPREGIAVSDFGEPSRFQKVLQGQEILRMHAPYGGLDARSPRPAGTRCFPGFPSSGISRMGNSIRPLFGDTDKSHESIGFSESLRFNKVLQGQEIFTSPPYGRAQAGIQMQEKSRTGIFVGIQVPNHGNRWPAPNQDNNTPCKPINPVSASSPPSALNFQHPSPPASKFQAMFNHKHDLVNQASLDLSENCCRYPYLSSGSHTEDISQKEGTQGISSFGFLKEQKQTGLSYLSLGTQSSFKGNQNLVSTCKTGCRIFGFPLTESKISATRADTPSEAVYSHGLETTFLPSSDGKLQPGPPLMTNVVGTNFTKVNDLYAARDVLLDIAL >Solyc11g008760.2.1 pep chromosome:SL3.0:11:2933814:2937176:-1 gene:Solyc11g008760.2 transcript:Solyc11g008760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKVQRNLAVLYNKRQFSVAGASYTGTTSAAKTAAASKVGNLIVVASIAKALIKRGGTRNLEKYGDLIPLSESLVLQVLRRNNLDAEKKLDFFKWCSLRPNFKHSTETYSQMFKCICYSRNHREDVFVLLNSMKDDEVLLNSATFKLLLDSFTRTGNFDSALEILEFVEGDLANSSCLSPDVYNSVLIALVQKNQVNLALSIFLKLLETNDGNSIGVSSAIACNELLVGLKRGNMRAEFKQVFDKLRGGNVFPFDRWGYNICIHAFGCWGDLSRSLSLFKEMKERGSCFSPDLCTYNSLIHVLCLLGKVKDAFVVWEELKGSSGLEPDAYTYRIVIQGCSKAYLINDAIKVFTEMQYNGIRPDTIVYNSLLDGLLKVRKLTDACNLFQKMIEDDGVRASCWTYNILIDGLFKNGRALAAYTLFCDLKKKSNNFVDGVSYSIVILHLCREDRLDEALKLVEEMEARGFTVDLVTITSLLIAIYREGHWDYTERLMKHIRDSNLVPIIIRWKDSMEATMKAPQSREKDFTPIFPSNRNFGDILGLENLTDAETDIALGAEEAEIHYQESDPWSSSPYMDLLADKVSSQSNSSRTFSLTGGKRIDTKSADSFDIDMVNTFLSIFLAKGKLSMACKLFEIFTDMGADPVSYTYNSMMSSFVKKGYFNEAWGVLQEMGEKVCPSDVATYNVIIQGLGKMGRADLADAVLDKLMKQGGYLDIVMYNTLINALGKAGRIEEVNKLFQQMKDSGINPDVVTYNTLIEVHAKAGQLKQSYKFLRMMLEAGCAPNQVTDTTLDFLEKEIEKLRYQKASMKRPNEAREEVEFIELGVSSFDSKS >Solyc09g010220.3.1 pep chromosome:SL3.0:9:3606592:3611740:1 gene:Solyc09g010220.3 transcript:Solyc09g010220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEIAPHFNALLVFIEHRYYGKSIPYGGDKTIAYSNKTTLGYLSSTQALADYATLIIDLKNNLTAIDSPVVVFGGSYGGMLAAWFRLKYPHVTIGALASSAPILNFGNITSPYSFNNIITQDFKGESDNCYKVIKGSWKQIEDMAKTKGGLEKLRKSFRICKNYISQGALENWLYTAFVYTAMTDYPTPSNFLNPLPAYPVKQMCKAIDDPKGGKDTFEKIYEAANIYYNYTGNATCFDLNDHSDPHGLAGWTWQSCTEMVMPTDGNNKESIFPPSKWDYNERAQFCKSIFGVEPRPNWVTTEFGGYNIERVLKRFGSNIIFFNGLRDPWSGAGVLKDISKSIVAIVAKEGAHHVDLRWSSKEDPKWLQQVREREVNIISNWLSQYYQSLNEIY >Solyc03g093370.2.1 pep chromosome:SL3.0:3:56067968:56071537:-1 gene:Solyc03g093370.2 transcript:Solyc03g093370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EMDYQKGQKQSSVVGADTTVPALGRHENQPFLPTSENHSTLKRHDDHESLGAKMNIEIGSIYEIDHIFLPPRTPVQLKSIRVAMVSETTALNVAVRFPSLESLQTYFSNSTREMYPAFDEKFVMGTALANKVLLRQVPSLEFAEKKHLHSFWLMNSTSLGVLPKSGTCFSQLKVNGMVTWGIRRQVKFLGRHEESNNTKSSSSFVQGDDVPKVEVPAKSEEDDEEEDEEEEDEVGAESLKQEDDEETEENYEEEEAVTEKTNRNLKRKRYSLRATTEKQAKKSRIEIQRQKNKVAKKNKCRQLTVYKDPKDRWSTERYKSAEKNLMEVMKAKGAIATNPILRPELRAEARKSIGDTGLLDHLLKHMAGKIAPGGTERFRRRHNAEGAMEYWLESADLVNIRKEAGVNDPYWIPPPGWELGDSPTQHPVCAQEFRQLKNEIFVLKRDWEDMAISKKQLEVEVGKLKRKIEELELKKKQQETKAIETSRKAIPSMEKCKKQLMIANSDFMAKMEEKFLNLVSKLEEKDKVITTLMLSAEQFAEVKRKEVEQGSEKHGVQAVDVATERSNDQSKELTAINPRKAKNAPPAAEGKAAKIQRLKSGFRLCKPQGSFLWPNMVRKNSGCNLSPQVVVQVEDLHMVQTPPSVSSSTTIAPPSLLPFSNNFHNNNLASPVKPVPERRAVTVTVSTISSETCYEAGDNMMNYSTGNKTTTLINLNDVPHNVGEGFRQAPTSRQTITTTTTVTPHTFSSPVKGVKMSKARQASGDDRASQVSISRKEYGHQQASKCCSSSATSLPQGAASWLVLATPRNAASDESII >Solyc02g077810.1.1.1 pep chromosome:SL3.0:2:43230678:43231223:1 gene:Solyc02g077810.1 transcript:Solyc02g077810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNSNINVRVGSSEMRYKGVRKLSTGNYIAEIKVTSQRRFVWLGTFDTAEEAARAYDAAARQYLGPRTVINFPPLTNQKDVKRSRDYLQKLNRKDVMINTCASSSGSGETLMPNQSSDQEEFRRFTTVTGVSVMMSMVRDRSLIEALTREGLILPDPEIIDTQPLNLELTLAPPGTMANK >Solyc09g091200.3.1 pep chromosome:SL3.0:9:70994079:71000255:1 gene:Solyc09g091200.3 transcript:Solyc09g091200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDKIDDNEVEQRGEFPVVLLQQKSMEYSIISKRSSRARYSYGIIFLITNLIAWFVRDYGERILPLLHYSKACGDGGSECSHTMGVLRLVDFEVSHVDHFYGDSIFRPLRLHPVIWVFLILQLISVIEFITWWNNYWMPDERKKQSCSLGLFMSTVCYIASICGIFVMYVLYASKTSCILNIFFISWTAILLVVMMAVSLHSKVNRGLLSSGIMASYVVFLCWSAIRSEPATQKCSSQQQNNAHGGWTTVIGFLIAICAIVMATFSTGIDSQTFQFRKDKVQSEDDVPYKYGFFHLVFSLGAMYFAMLFISWNLDGLPRKWSIDVGWASTWVKIVNEWFAATIYCNIYSGQIPFATPLGFEPPEDGVAIPNANGAMPTFNINGVPLGTGLAGTIFAGGNNNNNGQNVNTQLGPDGLGLGFGTITVIDDFLTSSPELGTQKLGKAQGVYVASSADGSTQMMAFTAMFEGGEYGDSLNFFGVFRIGSTMSRISVTGGTGKFKNARGFAEIRSLIPAGQHVANGAETLLRIVVHLTY >Solyc02g085390.3.1 pep chromosome:SL3.0:2:48947827:48955689:-1 gene:Solyc02g085390.3 transcript:Solyc02g085390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVADEGVKDRAVADSPVSVLEDEDTCKEDLTVKLEEEVSLYSENGDATHIPENMAKEEEILIKTRAKEEEEQLNNLKEVPILNDTQFTKLDELLTQTQLYSEFLLEKMDNITTTKVMEDEEKSVKENKKGRGSKRKATSYNNNKAKRAVAAMLTRSKEDVPIEDATLTEEERFEKEQAELVPLLTGGKLKSYQLKGVKWLISLWQNGLNGILADQMGLGKTIQTIAFLAHLKGNGLNGPYLVIAPLSTLSNWLNEMERFVPSIKAIIYHGDKKQRDEIRMKHMPRTIGPNFPIVITSYEIAMSDSRKFLRHYSWKYLVVDEGHRLKNSKCKLLKELKLLPIENKLLLTGTPLQNNLAELWSLLNFILPDIFSSHDEFESWFDLSGKFSTESEKEEMEERRRAQVVAKLHAILRPFLLRRLKVDVEQMLPRKKEIILYATLTDYQKKFQEHLINRTLEGYLIENVSTGNGFKGRLNNLMVQLRKNCNHPDLLESIFNSSNFYPPVEQIVEQCGKFRLLDRLLSKLFARKHKVLIFSQWTRVLDLMDYYFSERGFDVCRIDGSVKLDERKRQIKEFNDVSSKYRIFLLSTRAGGLGINLTAADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLSTALSVEGRILKRAFSKLKLEHVVIGKGQFKQESSKPSMDVTEEEDLLSLLRDQDSEEDKLVQTDISDEDLQRILDRSDLLIRPPSGEDGNTESCVNVLPLKGPGWEVVIPTATGGMLSTLNS >Solyc05g006550.3.1 pep chromosome:SL3.0:5:1184464:1188845:1 gene:Solyc05g006550.3 transcript:Solyc05g006550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQRQPSDLKNRVNTCLNRLSDRDTLAVATNELESIVRSLNSEGFSAFLTCISSTDSSDKSPVRRQCVRLIGVLSATHGDALSPHLAKMLSTVLRRLRDPDSAVRSACVEAVSSIASEITQPPFSSILKPLIDAIMHEQDNNSQIGASLCLAAAIEASPDPEPAELKKILSKLMKLVKNDSFKAKPALLSLIGSIVSVGGASNKNVLNSLVPITAELLSSEDWTVRKAAAETLGRLAVSEKELLSELKAFCITSLDNRRFDKVKVVRETMNRALEWWKEVPGTSDDVLPQLQSKFPHKDCISGACSPTPSKSSSDTAMETPQPKKAIRSTKSLASSSSSSITSQKNSSIRFRRTKSNVTSSCKLDFRKSPDAKAKHTVSQASSLEVACEEQKSQNFRVQGSVDSVSCSSSNSEAKSTLFNRPPDEKFHRYGNSQFGSRVIPLFEDYNDNMDVPAEDINEDAFLSHREYENFCSVSKQLVQIENQQSSLLDLLQGFIGSSRHGMNSLEKRVNGLERLLDEMQYDLAITTGRISDTDFTGSTCSMIPRAEFLGPKFWRSPEGQNSQSRISFSTGSQDVAYSPSETDATKQNKNISSAKQFSITPGEALRSFSSRRKERIARDGETAGFCHVSRLNGTSFTNCIQQQT >Solyc01g091750.3.1 pep chromosome:SL3.0:1:85210458:85215645:-1 gene:Solyc01g091750.3 transcript:Solyc01g091750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIFCGNLEFDARQSDVERLFRRYGKVDRVDMKSGFAFIYMEDERDADDAIRRLDRIEFGKKGRRLRVEWTKDRGSRRPEISRKPAANTRPSKTLFVINFDPVHTQTRDIEKYFEPYGRISNVRIRKNFAFVQYESVDDASRALEATNMSKFMDRVISVEFAIRDDDDRRNGRSPDRRGRDMSPDRRGYDRRRSPSPYRRDRGSPDYGRGAPLNSRPQTRRSPEYGRAESPVNERYHSRSPPPRERSRS >Solyc04g076810.3.1 pep chromosome:SL3.0:4:61765961:61771801:-1 gene:Solyc04g076810.3 transcript:Solyc04g076810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKVLDRSTIIKHKMVDQIKQEISIMKLVRHPYVTGVGEIFFADVIATRTKIYIILEFITGGELFDKIVHHGRLSEAESRRYFQQLIDGVDYCHIKGVYHRDLKPENLLLDSQANLKISDFGLSASPGEGVNILKTTCGTPNYVAPEVLSHKGYDGAVADIWSCGVILYVLMAGYLPFDEVDLTTLYAKIDKADFSCPSWFPVGAKSLIHRILDPNPQTRIRIEEIRNDEWFKKNYDPVKVMEYEDVNLDDINAAFDDTEEEASNEQCDNADAGPLALNAFDLIILSQGLNLSILFDRGQDSMKHHQTRFLTQKPAKVVLSSMEVVAQSMGFKTHIRNFKMRVEGLSTNKTSHFSVFEVAPTFFMVDVQKAAGDASEFLKFYKNFCGNLEDIIWRPPDESCKSKVTKARSRKR >Solyc06g066070.1.1.1 pep chromosome:SL3.0:6:41536201:41537223:1 gene:Solyc06g066070.1 transcript:Solyc06g066070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTTLSGGVLKKVILSYTYLAIWIVLSGTVIVYNKYILDKKLYNWPFPISLTMIHMGFCSSLAFFLVRILKLVELFTLSRRVYLTCVLPIGALYSVSLWLSNSAYIYLSVSFIQILKALMPVAVYTIGILLKKESFKKSTLCNMLAISIGVAIAAYGESKFDSFGVSLQLLSVLVEATRLILIQILLNSKGIKLNPIATLYYVAPSCFLFLSIPWVFVELPVFKERGFSLDFDLMVFGSNCLCAFALNLAVFLVVGKTSALTMNIGGVVKDWLLIAFSWSVIKDRVTPLNLVGYGLAFLGVAYYNHQKLQKLKEKEAEKKFQQNDDEEEGGGRTNVDR >Solyc08g078590.1.1.1 pep chromosome:SL3.0:8:62487068:62487913:-1 gene:Solyc08g078590.1 transcript:Solyc08g078590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKCKLCSKKLLNGKALGGHMRSHLIPLPLPPKTPPLNPDSGGRSESTLSLCSSENHEDKMVEEKDFNYELRENRKKSYRMIDPEFLDRESDTESEKNTENRRRRCKRNHERINKVNENISDFRSLNLYSDDSDIAMCLLMLSRDSKSNPKQHQCGICYKVFKTSQALGSHKTIHKNRNNYDDDDHEVEEQPRKISSKKKLKLVSNVNEKLHECPFCGKFFQSGQALGGHKRSHLIVVVSSSTMSGSCSSTSSANLPNRLIDLNMPAPIEDNEFQQEFA >Solyc05g055370.1.1.1 pep chromosome:SL3.0:5:65893094:65893345:1 gene:Solyc05g055370.1 transcript:Solyc05g055370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEFFKDLHLRIGDLFKKIFYHNKDQEDETRQIIGFEKSSSDESLKYKNRGVDESQLKLERFKVKTPQVQPTEVGAKTSSSK >Solyc01g047597.1.1 pep chromosome:SL3.0:1:44890113:44915733:-1 gene:Solyc01g047597.1 transcript:Solyc01g047597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLGRPPFKDMRWVVTSFKVTCTPPSLCNFASNIKVHAASPGDEDAIQCKVVALVKADSIEETLVAIKDSSKKSSLDLSFFKGYCFYRQNKLEEALEFLEGARRKY >Solyc10g083960.2.1 pep chromosome:SL3.0:10:63777230:63788821:1 gene:Solyc10g083960.2 transcript:Solyc10g083960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDKAVRECDDSRLKTKYSNAIYVIKRALALYSIQEVALSFNGGKDSTVLLHLLRAGYVLHKAEESSSQDVADGENSFPIRTIYFETPTVFPEINSFTYETAATYNLQMDILRLDFKSGLEALLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFLLVCKVRYCSLYDQGYTSIGSIHDTVPNALLCIRNENNSEQKFRPAYLLADGRLERSGRVKKFSAPVWGKLSSVCNGLKIEDLNSNNMLTASIIAVGDEILKLHSIGWATSLLAVTRNDIDSVAEEVERRKSTNDMVFIYGGVGPLHTDVTIAGVAKAFGVRMAPDEEFEEHLRHLIGEKCTGDKNEMAQLPEGITELLYHEQLPVPLIKCHNVIILTATNVAELDLQWHCLIDSLKSNGDFVLMAPFVSKCLATTLSDVEVAQPLSKLCLQFPDIYIGGYRGSRKGPLMIRFEGKDLSRIEAASQSLSQNFQPGAFSETE >Solyc08g068965.1.1 pep chromosome:SL3.0:8:58112891:58114358:-1 gene:Solyc08g068965.1 transcript:Solyc08g068965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGKNTSKIDEFKKELCKSFSMKDLGHAKQILNMRITHLRDERKIYLFQKKYIERVLERFNMKNVKHVSTPLAGHMKLSKKMCPTTREEKENMTKHGRKLLLKFEVISSRIEEYWNLGVVDVLYVLIRKNKQKEYI >Solyc06g074370.2.1.1 pep chromosome:SL3.0:6:46139193:46140341:-1 gene:Solyc06g074370.2 transcript:Solyc06g074370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLFSVLLLIAVAAILVFRITLRYSSIELKNEYPFAGKAEKPVLNETLLKYACIDIGEPRLKKEVEELLEGNFRGHNRHRSFLSSGKYRIDVSPRTARGVSVQLRSPEFYRLWQSFRRNLQDWFRNRKLPKDVMMDLVSAVKVPIDAHSGLVRSQKRYKSCAVVGNSGILLKSEYGELIDSHEAVIRLNNARNRGFEHRVGSKTTLSFVNSNILHLCARREGCFCHPYGVNVALVMYICQPVHFLDYMVCNSSHKVPLVVTDPRFDILCARIVKYYSLKRFVEGTGKSFQEWAPAHDGANFHYSSGLQAVMLALGVCEKVSIFGFGKSASAKHHYHTNQRGELKLHDYDAEYDIYHDLVERPQVIPFISEKFKFPPVSIYQ >Solyc03g113280.3.1 pep chromosome:SL3.0:3:64948110:64953332:-1 gene:Solyc03g113280.3 transcript:Solyc03g113280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLHLRCNHCILLPPTSFSSSHTFSVLNCSNENRIIGLGRLGLKERILADRRVSRRKNSCRLGIRASAGVWDGWMPEKSSKAPPLSDIIWPSAGAFAAMAMLGKIDQILAAKGISMTIAPLGAVCAVLFATPASPGARKYNMFMAQIGCAAIGVLAFTILGPGWLARSTALSAAMAFMIYTRSVHPPAASLPLLFIDGAKLHQLNYWYALFPGAAGCILLCLIQEIVCYLKENVKF >Solyc01g100490.3.1.1 pep chromosome:SL3.0:1:90378790:90379743:1 gene:Solyc01g100490.3 transcript:Solyc01g100490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHLN description:Nicotianamine synthase [Source:UniProtKB/Swiss-Prot;Acc:Q9XGI7] MVCPNSNPVVEKVCELYEQISRLENLSPSKDVNVLFTDLVHTCMPPNPIDVSKLCQKIQEIRSHLIKLCGQAEGLLESHFSKILSSYENPLQHLHIFPYFDNYIKLSLLEYNILTKNTTNIPKKIAFIGSGPLPLTSLVLATKHLKTTCFHNYDIDVDANFMASALVAADPDMSSRMTFHTADVMDVTCALKDYDVVFLAALVGMDKEDKVKVVDHLAKYMSPGATLMLRSAHGARAFLYPVLDPRDLRGFEVLSVYHPTDEVINSVIIARKLPVPSVPLLDGLGAYVLPSKCACAEIHAFNPLNKMNLVEEFALEE >Solyc06g060880.3.1 pep chromosome:SL3.0:6:39009039:39012525:-1 gene:Solyc06g060880.3 transcript:Solyc06g060880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHIFFLQKKTNFMALSSSTLLLVKSHISLSSFSNPSLYFRRGETRLRVRPISLSIINARADERKEDIVIVGAGIAGLATAVSLQRLGIRTLVLEQGESLRTGGTSLTLFKNGWKALDAIGVGNDLRSQFLEIQGMAIKSEDGRELRSFRFKDEDESQEVRAVERRVLLETLASRLPPDAISFSSKLANIERSENGETLLKLEDGIRISAKILIACDGIRSPVAKLMGFPEPNYVGHCAFRGLAYFPEGQPFEPKVNYIYGKGVRAGYVPVSETKVYWFICYNSSSPGPKITDPSILRQQAEQLVKNWPTELINLINLTPDDTIIRTSLVDRWLWPSISPPASTGSIVLVGDAWHPMTPNLGQGACCALEDSIVLTKKLAEAIKSKRTSVEDAFKAYGSERWPRIFPLTVRAYLVGALLQWDNPVICAVRDNIVVPKLVRLGPVLEHTNFEFDPL >Solyc09g065453.1.1 pep chromosome:SL3.0:9:63787975:63788512:-1 gene:Solyc09g065453.1 transcript:Solyc09g065453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNMFTAPTETSGTITTWAIIEMMRNSNLPAKAQAEVRKFGETSSSIPLLIPRKCMEETTINGYTIPLKTRVMVNVYT >Solyc06g034090.1.1.1 pep chromosome:SL3.0:6:23737267:23737590:-1 gene:Solyc06g034090.1 transcript:Solyc06g034090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLELTYFQRINLFKKFTWRQRGTLLLRRLQMAEMEAARPPPAEARLQMAESWRQRQRRRRRWRWRRRRRIEETEKTMAERNDERERDDTEREGRRERETIQREGR >Solyc03g031510.3.1 pep chromosome:SL3.0:3:4053753:4054433:-1 gene:Solyc03g031510.3 transcript:Solyc03g031510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQGKHQNIYTLIKMASFKQTLLFIALFVVISVNFSFDSNKMQVMALRDLLVDVAEMKGKLLRLSDISTCGSACKSRSDCKEGVICSNCVSLGALFSQCM >Solyc08g080920.3.1 pep chromosome:SL3.0:8:64196683:64201033:1 gene:Solyc08g080920.3 transcript:Solyc08g080920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEMEPVQINGAPRRPRILLAASGSVASIKFANLCRCFSEWAEVKAVATKPSLHFIDKASLPEDVILYTDEEEWSTWKKIGDSVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYNKPLFVAPAMNTLMWNNPFTERHLMVIDELGISLIPPVSKRLACGDYGNGAMAEPSLIYSTVRLFYESRSQSGGINLA >Solyc09g010460.3.1 pep chromosome:SL3.0:9:3820490:3829610:1 gene:Solyc09g010460.3 transcript:Solyc09g010460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFAKPENALKRAEELITVGQKQEALQALHDLITSRRYRAWQKTLERIMFKYVELCVDMRRGRFAKDGLIQYRIVCQQVNINSLEEVIKHFMHLATERAELARNQAQALEEALDVEDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSRLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLSLPESLQLYLDTRFEQLKVATELSLWQEAFRSIEDIYGLMCMVKKTPKASLMGVYYGKLTEIFWMSSNHLYHAYAWLKLFSLQKGFNKNLSQKDLQLIASSVVLAALSVPPYDQSYGASHLELENEKERSLRVANLIGFEVEPKAENRVALSRSSLLSELVSKGVMSCVTQEVKDLYHLLENEFLPLDLALKVQPILNKISKLGGKLSSVSSVPEVQLSQYVPALEKLATLRLLQQVSQVYQTIQIDNISKMIPFFDFTAIEKISVDAVRRNFLAIKVDHMKGAVFFGKQSIEAEGLRDHLSLFAESLSKARTMIYPPAKKTAKLGDALSNLAEIVEKEHKRLLARKSIIEKRKEEQERLLLEMERVEESKRRELQKMTEDAEQKRIAAEYEQRRSQRILKEIEDRELEEAQAMLQEAEKRSKRKKKPILEGEKMTKQVIMELALNEQLRERQEREKKLQKYAKTMDHLERAKREEAAPLIEAAYKQRLAEEAALHEREQQQEVELSRQRHAGDLEEKKRLGRMLENKRILQERVVSSREAELNRLKQERRERISQIIQSRKQEREAKRKMLFFLRTEEERQKRLLEEEEARKREEAERRKKEEAERQAKLDEIAEKQRLRMIELEEKERREKEEILRRPAVLPRPSEPQALGRPTELGGAAPVPAAAAAAPAAGKYVPRHLRGNVDAAGQAPPPDTDRWGTGSKSDDRPSWRDERRPTSFGSSGSRTSWSSSRR >Solyc08g080390.3.1 pep chromosome:SL3.0:8:63802747:63804653:1 gene:Solyc08g080390.3 transcript:Solyc08g080390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVHLQAQQLEIMFLLPLFLLALTFFIFNIFRSSKTTQLPPGSTPWPVIGSIFQMVKMPHITLTNLAKTYGPVMSLKLGTKWLVVGSSSLAAIEILKTHDRILSGRDVPNAAPSKGLDLDKTSLGWRSECNSGWRYLRTLCRAELFAGKVLESQACLREKKVMELVEFLRSKEGQVVNIGELVFATVMNMLGNVLISKDMVNLEEEIEDGEIKSLVRGIVEVLSAPNISDLYPVLGKLDLQGLQKKSIDLVTKLCSNWEPILEEKRNSRRTRFLGLLDNGFTNDSIHQLLMELLLAGSDTTTSTIEWAMAELIKNVESMKKVQEELEIELSESDYLKESQLLQMSYIQACVKETLRLHPPGPLLLPHRAIKTCQVMSYTIPKDAQIFVNVWAITRDPLIWEEPDMFRPQRFLNSDMDFKGNDFEYLPFGAGRRICPALPMAAIEIPLVLALLVRFFDWELPHGKCPVELDMTEQFGVTLQKKEPLLLIPKARK >Solyc02g033050.2.1 pep chromosome:SL3.0:2:30192968:30194950:1 gene:Solyc02g033050.2 transcript:Solyc02g033050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHLQARRTQGRYERKRFGESPSVLDVDGFRVPDSSATEDSSVFGEGTVWFMWNNIAGDTMIERLREPLSHSKGGCIISHPPSTGKTRLTIVFLQSYLKLFPKCRPVVIAPSNLLLNWEAEFQKWAMDIPFHNLNSKNFSLKEDEGTVGVFHCLSGAAKKNPHLIRMVKLKSWAKSKSVLGISYDLFRILTGEDGEEGHTARNEHNLVWKALKKVETEKRILLSGTPFQNNIKELYNTLCVVSPKFAADLEQKWASLSSSIDKNARALEELRDILSPLVHKCSENVKNVSLPGIRDTVIHLKPTELQEELLKRVPENPGSFYEQNLMSLISVHPSLVANRKEFSELESQLKERRCRLDPDIGVKMKFVIELIRLCGGLKERVIIFSQLLDPLNLIKEQLNSLFSWTLGREILYKDGKLDVNQRQISINSLNDPKSDVKVLLASTKACSEGISLIGASRVVLLDVLWNPSVEQQAINRAYRNGQTKFVHVYCPVTSKWEVDKIEQQTRKRYHSDVILSRNEENTSCSVSEDIILECMVKHDGLRHIFEKLSHAPRVVPSTCFYFGSQPSKGSS >Solyc08g065420.3.1 pep chromosome:SL3.0:8:53489303:53493786:1 gene:Solyc08g065420.3 transcript:Solyc08g065420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPLRIIDENFLHTTIVPISFPETVCMNNQNQIMDGHGIPYPFMLQGEAVNTSHGFLNVPNFPRPVDTEAIHNVLPVGRNRIKEYSVSTSYPISNAYADDQYMEGIPISAVSLANLLAARNAMHENPENLAVLAPLSHPTEGQKMDGLDYPNVLNHSFGSYRNYEFDGVSEIGGMVVGRAGSQPFQLTENVNSNTWFSSETASLSSDSPSGSSRFSNDLCLSLTTSQSAVACGTTIRDQCSDISCSGVTNHAFPQRRFDSELTSCNSRNLSLSFGSYKPVYLSQFLTGSRYLRVMQEILSEIAQLSLQNHNLVGYRGNGTENGANTSFALNSDAGRGYAAMSSDDSPDGLMGCEAKKKNLVALLQVVDDQYNQCLDEIHMVISAFHAVTELDPSIHARFALQTISSLYKNLRERISNYILAMGEHFNKGGGERGVEKSFETSFIQKQWALQQLKRKDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKQLLAVKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNRRKIRAGNEEDHRRNHKIIESHLFTMK >Solyc10g085190.2.1 pep chromosome:SL3.0:10:64581532:64583907:-1 gene:Solyc10g085190.2 transcript:Solyc10g085190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLQSWSEPIVRVQSLSKSDIQKIPNCFVKPPSNIPFNIMDTKITTSVNLPLIDLENLNSSNEFVRKETIDVIFNACREWGFFQVANHGVSHELMEKTRTNWYEFFQLSLEEKQKFANSPATYEGYGSRIGTEIGAKLDWCDYFFLHYLPEELRDENKWPRIPISCRNLISEYGQELVKLSKRLTTILSIGLGLNEDYIHQSFGGNNETSACLRVNFYPKCPQPELTHGLSPHSDPGGITLLLPDPDIPGLQIRHGNNWLTVNPIPNAFIVNIGDQVQVLSNAIYKSVEHRVIVNSKKERLSLAFFYNPGGDKLIKPANQLVTKDCPALYSPMTFNEYRSFIRTKGLTGKYQIESLKSPK >Solyc11g006760.2.1 pep chromosome:SL3.0:11:1352581:1356141:1 gene:Solyc11g006760.2 transcript:Solyc11g006760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPTRGGVRGGRDQFSWDDVKVDKHRENYIGHSIKAAVGRWQKVDEKHIASSVFRKAHANSALVVCVHCKLKNGKDLHWYTRDKKSQDKDMEAAKEEIRRIKEEEEQSMREALGLAPKRATRPQGTRLDKHELSELVKRGSTAEDLGAGHSEAARVDGLGFSK >Solyc07g008700.3.1 pep chromosome:SL3.0:7:3667933:3675911:1 gene:Solyc07g008700.3 transcript:Solyc07g008700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGQRIVGGGVQHVLDYLRRMQAESPAFFYAVQGDIGSSNGNIFWADATARMNYHYFGDSIKLDTSYRANGYRVPFATFTGLNHHAQPVLFGCALLFNESEDSLVWLLQTWLQAMSGQTPVSITTNTDHLIQMAVAHVLPETHHRLCKWSVIRETKEKLTHVCQAHPTFETEFMKCVNGSETIEEFEFQWKSFLERYYLTDNEYLQSIYSARHHWVPVFMRETFVGDILSDEENDAKNTFFNGYVDATTSIQLLIKQYEKALTIWHEKELKADLDSTNTTPVLKTPSPMEKQAANLYTRKVFIKFQEELVETLANPATKIDESGTITTYHVAKFGEEHKAHTVRFNTFELTANCSCLMFEFSGIICRHVLSVFRAKNVLTLPSQYILKRWTINAKTGSGSTVEEHCLELPTNSQESLTMRHNSLRQEAIKFVEEGAKSIHHYNIAVNALKEAAKKVAAAKKKNADKTLVNNLVNGCNQVVDQGDIDLADSGQSKEEKEQKIRQLTAELESVNQQAEVYRANLLAVLKDMEEEKLKLSVKVQNARLSLKE >Solyc01g106040.3.1 pep chromosome:SL3.0:1:93909674:93924139:1 gene:Solyc01g106040.3 transcript:Solyc01g106040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAADNSRKMVGGQYGVVAFQQEYVNVPIRVDRYGGGGFEAHDISVGGGYEEAMSSGEELQVCSMPLVAGPVDSGRVMAVSSRTSELTISFEGQVYVFPAVTPEKVQAVMLLLGGCDVPSYVPNSNSVALPSTKSVENDVPTRQNISPRMASLIRFREKRKDRCFEKTIRYACRKEVAQRMHRKNGQFASLKEGGKSSADNIDSGDSAAQSEPTLRRCHHCGTNESETPAMRRGPSGPRTLCNACGLMWANKGMFRDITKGGSHVPFDKNEPGTPDIKLSTFAPENSYLKQHQEGSSGETKLTEENPSVTTAELDMQEAAENFADSSPFRIRSSSVNIDDEDNLDELANASGTEFEIPADFDEQIGIDSHMSVHWPVT >Solyc10g055390.2.1 pep chromosome:SL3.0:10:56615509:56617788:-1 gene:Solyc10g055390.2 transcript:Solyc10g055390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHIPSTSPARKWLGFVAAVWVQAISGNNYTFSNYSHALKSLMSLTQLQLNNLSVAKDVGKAFGIFAGLASDRLPTPAILLIGSIEGFIGYGVQWLVVSGRIQPLPYWVMCIFLCMGGNSTTWMNTAILVTCIRNFRKNRGPVSGILKGYVGLSTAIFTDICSALFANDPSSFLLLLAVVPFVVCFTAVLFLREIPPSSTAAEEQDEVKYFSIINVVAVCIAVYLLAFDISGPHGTVFSKLFTVMLLILLASPLFIPLYLMMKNFIRSNSGNLDFEGNNIATVPLLAEQIVEKEEMVMVPLIGEDHTIFEAMRTVDFWILFFSFLCGVGTGLAVMNNLGQMGLAMGYVDVSIFVSFTSIWGFFGRIFSGSVSEYFIKKAAIPRPVWNAASQILMAVGYILMAMAIPGSLYIGSIVVGICYGVRLAVTVPTASELFGLKYYGLIYSVLILNLPLGSFLFSGLLAGLLYDAQATKTASGGNTCIGAHCYRLVFIVMAIACIVGFGLDVLLSIRTRSLYSKIYASRKSKKSAVLS >Solyc07g064300.3.1 pep chromosome:SL3.0:7:66627071:66647714:1 gene:Solyc07g064300.3 transcript:Solyc07g064300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSEMEIVEQRLNTFVSQLQTEFAILDRLVYKNKNQHRRCSYFQYLLKVRRDVRLLQTTNLEEVLSDSFQVLNGKRPKQKVQLLESLKRRRSNGGKYNFLERLLGVAHLLSQMVEPVLRAASEISTLLARSFFMGFSLTVLSLLARIRVLVQQILLDVVCVFNNVSSLSQREQAIKLTQDGFEVFREYFPPKQQVVFLECIWESDKYMLVERQNQKDVGSQEKDVGEDVSVEASKVQYESIGLFLGDDEPGQTASKDSAEDGQTMKDNDIVDSLEDPIQDSNDESQAQVDSTIAEPSGNNFDAPEAGALPSSSRDKNPSKAKHGSRNSVAFVSVKRPKLSTNNELGFDIHRTEKVDNPGVDKEDPFFSLLFSGNVKSSLF >Solyc05g055760.3.1 pep chromosome:SL3.0:5:66118006:66121236:-1 gene:Solyc05g055760.3 transcript:Solyc05g055760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopentenyl diphosphate isomerase [Source:UniProtKB/TrEMBL;Acc:A9LRT7] MVDVIADANMDAVQRRLMFDDECILVDVNDKVVGHESKYNCHLMEKIESENLLHRAFSVFLFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENALGVRNAAQRKLLDELGIPAEDVPVDQFTPLGRMLYKAPSDGKWGEHELDYLLFIVRDVNVHPNPDEVADIKYVNQEQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHVEKGTIQEAADMKTIHKLT >Solyc04g078600.3.1 pep chromosome:SL3.0:4:63365570:63375243:1 gene:Solyc04g078600.3 transcript:Solyc04g078600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDADMMEAESTVSVDPPVPPLPPSPSPSLLHSSSDQDGAIRGMLTVARQLIDQGRPSQALQAVVTAMRTRGGEQAVIQALNRAQELYRNKVQASVAADELASLFAECAIAEAIPTISQPSEHNTVDKPIEVDADGTSILSETGRKQIMFDAFSDGSSFVCLQCGGLVSTHRKDEHYSFWCCKN >Solyc04g080050.3.1 pep chromosome:SL3.0:4:64424763:64438185:-1 gene:Solyc04g080050.3 transcript:Solyc04g080050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSLVDAPVNSPHLRRSGSRAVVSDIGTVELGGSPVEALLHSIEVNEMKGVTAPLSTAAIMPSPVLLWRFKVLLFLIWGVSCCKISWDSVMRMSANLRDLFLYEAFLYYNPLLLMTMMVWFWGINLWVFAQANVNYSKIFDLDQNHLSHREIWKVLLYAAFAMALIFPFDIFYLSSRYFFLRTVWRIVFPFQAISFPDFFLADIFTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHAVAIPIVLVLPYLFRLFQCLRQYKDTKEKTTLLNALKYSTAVPVIFLSALKYHVFPDNWVNIYRPLWLLSGVVNSLYSFYWDLTRDWDLSCFTRIFKFSRPNALSYLLYGRKWVYFWVIGTNLILRCTWTYKLSSHLRHNYLTVFAITALEIFRRFQWAFFRVENEWNKMNSKQNIQLSTIDKPNDEQKLLDPNGYSV >Solyc02g082610.1.1.1 pep chromosome:SL3.0:2:46814149:46814304:1 gene:Solyc02g082610.1 transcript:Solyc02g082610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFEEKNKEAKLLPFEVKLMHVLSKSEGNSYLFEAKISGSVRLFGAQFSQ >Solyc09g074890.1.1.1 pep chromosome:SL3.0:9:67124599:67124955:1 gene:Solyc09g074890.1 transcript:Solyc09g074890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSFCSIFIISSLLIAALIISGDATGGDFDVSGWIPMKSADSCEGSIAECMAAGEFEMDSESNRRILATTDYISYGALQSNSVPCSRRGASYYNCKTGAEANPYTRGCSAITRCRS >Solyc03g112010.3.1 pep chromosome:SL3.0:3:64045520:64056169:-1 gene:Solyc03g112010.3 transcript:Solyc03g112010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLKAVIKESRRLHPPIPLLVPRESIEDIILLGYKIPAKTGVIINSCSVGRDLLSWEKNPEEYRPERFLDNNIDLKGLNFELIPFGAGRRGCPGIVFAIVVIELALARIVHKFNLALSEGLKPEELNMTGGSGITIRRISPPLALPPSPTKLPIIGNLHQLGSLPHRSLHKLSKKYGSLMLLHFGSKPVIVASSVDAARDIMKTRDLVWSNRPKSSIADRIFYGSKDLAFSPYGEYWRQIKSITVLHLLSNKRVQSYRVTREEETSNMIEKIRQACDSNSSSSVINLRDCLCSLTNNIVSRVALGRKYNEEGQGGINAKVILHELGELLGTFSIGDYIPWLEWINKINGLDNKVEKVAKELDTFLESVIEEHVSRKNRGENSTGEAKDFVDVLLEIQNGKETGFLLQRDSLKAIILDNFAAGTDTTYTALEWIMIELLRHPRVMKKLEEEVRELAGGKTEITEDDLRNMQYLKAVIKETLRLHPPIPLLIPRESTEDIELLGYHIPAKTQVIINAWAIGRDPLSWDDPEEYRPERFLNSNIDLKGLNFELIPFGAGRRGCPGISFALVVIELALARLVHKFNFSLPKPEELDMTEASGVAIPDKKQSNNVCSSTSSLSLHFVPSPLPPSPTKLPIIGNLHQLGSLPHRSLHKLSKKYGSVMLLYFGSKPVIVASSVDAARDIMKTHELVCSNRPKSSIAERLFYGSKDVAFSPYGEYWRQIKSVTVLHLLSNKRVQSYRDIREEETSNMIIVYVLSPINIVSRVALGRKYNEGQEGINAKITLHELGELLGTFSIGDYIPWLEWINKINGLDNKVEKVAKELDTFLESVIEEHVSRRNRGEYSTGEAKDFVDVLLEIQNGKETGFLLQRDSLKAIILDIFAAGTDTTYTALE >Solyc07g032790.1.1.1 pep chromosome:SL3.0:7:41306821:41307123:-1 gene:Solyc07g032790.1 transcript:Solyc07g032790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHTPMQFMIEHFFYMIQAWLPSETPVKLHWYRKEELLNMRGNGIGKLEEWDRIYDYAYYNDLGEPEKGSTYVRSILGGSTKYLYPRNIVRYAKLKAIK >Solyc06g054270.3.1 pep chromosome:SL3.0:6:37195327:37197553:-1 gene:Solyc06g054270.3 transcript:Solyc06g054270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIQPNNSKITVYVVSCWIFAAFGGLMFGYDIGISGGVSGMDDFLLKFFPNVYERKLHAKENNYCKYDDQLLQLFTSSLYLSALVSSFFASKACSALGRRPTIFMASLFFIAGAIISAASEHRWMLIVGRILFGVGVGFGNETVPLFLTEVAPIQLRGAVNIMFQLFVTIGIFIANLVNYATSNMHPNGWRVSLGLAAVPAFMLLIGCFVITDTPASLIERGKDEQGKAALKKVRGVDDVEVEFKEIVAACEQAKAVKHPFRNLLKSASIPPLVIAILLQVFQQFTGINAIMFYAPVLFQTMGFKSDGALLSAVITGLVNVGATFVSIYAVDKVGRRKLLLQACCQMLISQLAIGIILSVSLKETGTLDRTLAAVVVILVCTYVMSFAWSWGPLGWLIPSETFPMETRTAGFAFAVSTNMLFTSIIAQAFLTMLCKMQANIFFFFSGWIVVMGLFVVFLVPETKGVPIDGMVDVWKSHPVWKRCFKNE >Solyc02g077320.3.1 pep chromosome:SL3.0:2:42852999:42859090:-1 gene:Solyc02g077320.3 transcript:Solyc02g077320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCRLAASPFWTRHASPEETSLELIMGSYQLLNELDKRFPRLCLPKMEEQASCLPPTILNEPVEVEEDWCPFTLGLDRDDEINKGSSGSIDPLGFHSLIQELGKMINQRSKALETKILRKMLLLHYLVSVLEGDFVPRIKAFKEKMSWTILRDSLLSMLLGSRKIIYRSLVKDCLSVICDVFSDLYDKYESSDVDSVAPPSNEISHNCIAAFALALPELKRSTCIYLKNLLRMVDS >Solyc04g015090.2.1 pep chromosome:SL3.0:4:5270907:5272272:-1 gene:Solyc04g015090.2 transcript:Solyc04g015090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEVDHYNALDLPSGKEGAKLSEIDISKAYRKKALELHPDKRLHDPINAHLSFQKLKASYDILKDHKKRKLFDEMIQLQQQQQQQQQDSKRRKTMPVPEHIFVPDINLARLEEEERTAIKLLGEIARIRELLLSKKVSSDMCVDKEKVLKVSWSKSSEDYTCQKLKELFSNFGKVEHVIMSSSSKKIRYALVEMLSIDDAAKAVASCVLPGLLIVPLEALNEANPVEYNLSLPAMNHVLGQTSVCLERHPSSIAFWEIHH >Solyc09g082930.1.1.1 pep chromosome:SL3.0:9:69040318:69040650:-1 gene:Solyc09g082930.1 transcript:Solyc09g082930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRVSAMLKNLMRKRIIPTTSKRKMSDHVEPENVIKDSSGKISPADFPTITSPPITVPTDATAVPESQFVPDFDADPPIRPGDNDDDQNESNLEVSTPQPEAADEKPPPF >Solyc11g028183.1.1 pep chromosome:SL3.0:11:20667964:20668336:1 gene:Solyc11g028183.1 transcript:Solyc11g028183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVYIEKILKTQRVSIIVGGSNSYIEKLVEDHMFMFKYKYGSCYIWIDVGRSILNRRVNMRVDKMANTGLVDEV >Solyc06g009455.1.1 pep chromosome:SL3.0:6:3418619:3419386:1 gene:Solyc06g009455.1 transcript:Solyc06g009455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLALILISFILPKRRAKPGVDHHTVTPISDVIRVRTGLNLSLVMILRYAGTGERGEKAERMMGGHADMSSALSTS >Solyc09g009535.1.1 pep chromosome:SL3.0:9:2949333:2953382:-1 gene:Solyc09g009535.1 transcript:Solyc09g009535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESPTTSGMTRKVVVLFLIGLLAYVYQAITPPPPKICGSPGGNLITAPRIKLSDGRHLAYKEQGVSKNEAKYKIVFIHGFDCCRHDVVIASTLSQDVIESLGIYIVSIDRPGYGESDPHPQRTPKSLALDIEELADQLELGSKFYVVGFSMGGQAVWGLLKYIPHRLAGATLLTPVVNYWWPGFPSNLSTKSYYDQLLPDQWTLRVGHYLPWLTYWWNTQKYFPSSSVAAHSPDIFKTQDMQLAPRFAGSQEKYRAQIRQQGEFESLHRDVNIGFGTWEFDPMDLKTPFDKNEGFVHLWQGDEDGLVPVILQRYIAQKLPWIQYHEIKGGGHLFPYADGMGDKIIKTFLLGEKFEI >Solyc01g098710.3.1 pep chromosome:SL3.0:1:89006604:89013175:-1 gene:Solyc01g098710.3 transcript:Solyc01g098710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWQQFTIVLSFISLFIRYGVVSAIRISHISNVSSGFLPSPADGSLHTTMLLPLFPPKDTSRRVEISRRHVQKSPASARMSLHDDLLINGYYTTHIWIGTPPQKFALIVDTGSTVTYVPCSSCKKCGNHQDPKFQPEMSSTYQSVKCDKTCPCDLKRQQCIYERRYAEMSSSSGLLGEDVISFGNLSELGPQRAVFGCEIAETGDLYSQRADGIMGLGRGDISIVDQLVGKHVISDSFSLCYGGMDFGGGAMVLGGIKSPAHMVFTKSYFGRSPYYNIELKEIHVAGKPLKINPQVFGGKHGTILDSGTTYAYLPEAVFVAFKSAVMKELHSLKQIKGPDPSFNDICFSGAESDVSQLAKNFPPVDMVFSDGNKLTLSPENYLFQHFKVRGAYCLGIFPNGKNPTSLLGGIVVRNTLVTYDRENERIGFWKTNCSELWNRLNPPPPSPSPSTPVFSGLDNPNSTAHMSPSPAPSGPPGYNIPGEIEIGLVTFYLSLSVNYSELKLRIPELAHSIAQELDVNVSQVRLMNFSAKGNDSLTKWGIFPAGSSDRMPNATAMEIIARLAEHHPHLKDSFGSYKLFDWGIESPPKRKHWPRNYLALVVPFLVVLIVGLSAPIGWLIWRRRQEQALPYEQVGSVETVTREQELQPLK >Solyc12g006560.2.1 pep chromosome:SL3.0:12:1064894:1066461:1 gene:Solyc12g006560.2 transcript:Solyc12g006560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNVSLASMDQKLAMAKRYSYEGVVAGAKAAVVASVATAIPTFAIGKMSPWARTYLNPTAKALIISTVAGMAYFVVADKTILKTARQNSFK >Solyc07g005350.3.1 pep chromosome:SL3.0:7:272331:277513:1 gene:Solyc07g005350.3 transcript:Solyc07g005350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTKPPLANSSKKPRLQVQNTSSIKDKKVMEEGEQAEVSIRRTVSPMSINEAITSAAENPALQRALEIIRFRRTQAQKEKKSMEIKNKGATSSKEILTTIPEDDVIIPPIKPLEI >Solyc02g094320.3.1 pep chromosome:SL3.0:2:55512393:55525851:1 gene:Solyc02g094320.3 transcript:Solyc02g094320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSVGEFASVISRALIHASKKRRQTWTPALEQTLYRLGCRESLSPTLVARVIDPFLVHHHSLALGFFNWASQQPGFSHDSSTYHSILNSLCLTRHFNPLDNLLKQVKAQKIPLHPSLVRSLIASHIIAKKSNLAFSIFSDFPSFASDIGSQTCNSLLAALSSQGNYKCAFQVFDEMNHRGVRLNTLGFGVFLWRFCGFNGLEKTLNLLDEVRKIDFSGINGSLLAVLVVHGLCSHSRIPEAVSAFDQLRIRECKPDFIAYSIVANMQNVVDKDLVLKKKRKLGVAPRNNDYKDYIFELISERLISEAKDLGKVIASGNFPMDDDLLNALTGSVSDIDPLLAIFFFNFMLDRERSPNLVTGNRLSVNLCKHGKLDELLEVFQKLSSRNYFTDTHSYNIMVSFLCKAGKVREAYEVLRDMRRKGAVPDIQSYNLLLEACCREDLLRPAKRLWDEMFTNGCPGNLESYNILIQKCSEESEIEDACRLFHDMIEKGVVPDTITYTSVLKGLCQAKDLKMSFQVFSKCAIQDKILACSVLCTFILSLCKEGYLVPAMELLHDQSTDTAFLDSHLIFLKFLADAEEISLAVEHLKWIQGKSPLMHLAYVKCGFAGENFPTSVFPCVVGRPMLRYEESLMEQDLKDIIVGDECLKLRHQLDLSYPVNNGIVQNWDDMGNVWDHAFFNELKIDPTECKILLTDPPLNPSKNREMMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYMVDLLLRRGYAMNRSADFETVRDIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRVLKVGTERFQAPEALFTPDLIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDVVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWINRQDYLEEGVACLSKCGQA >Solyc06g071230.3.1 pep chromosome:SL3.0:6:43953795:43957520:-1 gene:Solyc06g071230.3 transcript:Solyc06g071230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative DNA binding protein, identical [Source:UniProtKB/TrEMBL;Acc:Q53J25] MSSVCSDKSSSTPAVTGGGFGGEIMLFGVRVKVDPMRKSVSLNDLSQYEQPNANNNNNNGGGDNNESSKVAQDEGYASADDAVQHQSNSGRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRSNLNRRRRRSSLFDITTDSVSVMPIEEVENKQEIPVPAPATLPPVETTKTNAFSVAPAVAPIIFPVQVNKSRENPTLFRHDHANSSMLVGPVPMFSMPNPSKSIDLNANHNSTIEPSSLSLRLSLSLDQGQASSTRHSAYNVMSSFSNGESIIRVA >Solyc07g024095.1.1 pep chromosome:SL3.0:7:24247529:24251594:-1 gene:Solyc07g024095.1 transcript:Solyc07g024095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDRCYSFIIGWEYSADGKCETMPSTRSLNRWFFPFNSPDGNNNLDMAFLFVSAIKYIFRALQTMGIGLFTTFFRLGYWANVQSSYYYLTIQMVAGSFQPTGSPSIVAMIRNWFSKENSIVPGILIAFSKYDSVPIVTRLSKIYSSKEVESTPFAFCIFWWLTHFSIVAVVLYWPHKHANCSMIVMLDMLSCSFTLLCKFDERAITAPSFMYCVIPSPTYFEATKTSP >Solyc04g025560.3.1 pep chromosome:SL3.0:4:21824145:21828808:1 gene:Solyc04g025560.3 transcript:Solyc04g025560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDVVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >Solyc12g099520.2.1 pep chromosome:SL3.0:12:67625845:67627400:-1 gene:Solyc12g099520.2 transcript:Solyc12g099520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKSVLIFAIDDSDHSFYALEWTLDHYFGSPSTSQFKLVIIHAKSNAYATCSVGMSGPGRIDVLTLIETDIKRAVDNVIEKAKELCKSKGVSNVSYEVYEGDARNIIVDAVEKQHATLLVMGSHGLYWAV >Solyc12g038370.2.1 pep chromosome:SL3.0:12:50648125:50653765:1 gene:Solyc12g038370.2 transcript:Solyc12g038370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASSLSRKFLRTMLTNPSSIKASQLSSLSFCTKISSATDDFSSPDSDHNELGPASDSEPESTGFSSPSASEMKQERKYIERTLENGMDVGIYKAIMVGQVGQIPIQKRLKSGRTVTLLSLGTGGIRNNRRPFDNEEPGEYANRCAVQWHRVSVYPERLGEMAVKNIVPGSILYVEGNLETKIFTDPITGLVRRIREIAIRKNGRLVFLGNGGNEGPRQSQAEIKGVGYY >Solyc02g068810.1.1.1 pep chromosome:SL3.0:2:39292886:39293188:1 gene:Solyc02g068810.1 transcript:Solyc02g068810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGKTPRELFRQEHKSLLKEGERWIKDTSNSCMIVATLIVTTVFAAVFTASLSYQMQWHYSPQSFPSMLFEIGNNLLQCIVPYALKQVSLCRAKDHSMQV >Solyc08g016195.1.1 pep chromosome:SL3.0:8:7070644:7071447:-1 gene:Solyc08g016195.1 transcript:Solyc08g016195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLIPVFKGESYELWSIRMKTILKFQDLWDLVERGYTDSDEENWLRDNKKKDAKALVFIQQAVRDNVFSRIATKTTSKQAWSILQREFQGDSKVIVQVEVDEKEDFAVVEVVVMEEAEEEILVIGIPMNKETQKMAFNVIIAITMGI >Solyc02g083750.1.1.1 pep chromosome:SL3.0:2:47594436:47595701:-1 gene:Solyc02g083750.1 transcript:Solyc02g083750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEDQAVVYNDDDLDDEEDDEESGHPQNEAVVDEDDDVDVDEEEEEEDDSTSSPPVPVANHSVAGEVTIAVVGVPATDSLCIEPKRERINDFGVTPATMVVEEKKPLVLDDSRKLFQRLWMDEDEIELLQGFLEYTTQRGGINSSSHHHHDTGAFYDQIKNKLQLDFNKNQLVEKLRRLKKKYRTVMSKMGSGKEFVFKSPHDQATFEISRKIWSNAGPIVVRSSGPPPPPPADDGGFDDEDSHLNLNHANFIDHTPNFNLNPNGFDVKTSKSKKRPRGGEEKPGYIQQYYHQSSGGGTNVILPPTPVSMAAPQATATATAAASIPSLIEETVRSCLSPIFKELFNNLNGSRYSGFGMSLGPSPLVGFGNSLMSCDINMKTDEKWRKQQILELEVYSKRLELVQDQIKAQLEELRSMNS >Solyc06g060120.3.1 pep chromosome:SL3.0:6:38167912:38186514:-1 gene:Solyc06g060120.3 transcript:Solyc06g060120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPASSSETRNRWRKRKREPLISRKSKNQHDDDGFEDEEDEEDIEQQEMEDEHQNPNNSVDRSYSSVELVSESRDRISEFPLVVRRAVTRPHSSVLNIVATEKAGLSGESRQNGLVLENISYGQLQALSAVPVDSHSLLTEERGGEGSGSGSYVITPPQILPGRGVIKHYGTAGRIHVVPMHADWFSPNTVHRLERQVVPHFFSGKSAEHTPEKYMECRNCIVAKYMESPVKHLSVDDCHEIVGGISADDVTRIARFLDHWGIINYCAVPPKDEAQKDGTYLYEDTNGDLCVPAAGLKSIDSLVQFDKPKCRLKAKDIYPELERDCDDDSDFDNSIREILSEIRCNCCSRPVSLAHYQSQKEMDILLCLDCFHEGRFIAGHSSLDFVKVSSMKDYGDLDGDTWTDQETLLLLEGMQLYNENWNQIAEHVGTKSKAQCILHFVRLPLDGAALDNIELPGASGPSSSKTGEDRNKSHSTLNGNLAGPSTGNLDSDSKFPFENCGNPVMSLVAFLASAVGPRVAAACAHASLAALSKDDTLTASQNMTQMDGSTTNNGISVGRMPGKNESPHGDVGSSYQRKDDKAAGQGPWGQHDAGGTPLSTESVRAAAKVGLAAAAVKSKLFADHEEREIQRLSANIVNHQLKRLELKLKQFAEVETLLMKECEQLERTRQRFFGERARIMTTQPGSVRVSRPMGVSGAGAAVVNNTGNSRQQVSGPPQQNFIAGYGNNQPMHPQMSFMQQQGIYGFGPRLPLSAIHPSSSTPSMFNAPASSQPALSHSMLRPVSGTKTGLG >Solyc10g054860.2.1 pep chromosome:SL3.0:10:55967549:55979246:1 gene:Solyc10g054860.2 transcript:Solyc10g054860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKKRKQHSTDKEEGCSRPSKSHRTEQLAGEVEEPIAVPESEEAINIQDDSPWRNLQLILSLQNNSIPLQEKLELAYNYVKSRTEGAGECREDIQTVNFSRVVVFLNNWVQRILVSSEKKIRVEGDKHAMEIAGSYSDCRCWVIFRFCLEESKKMGVSLHFLRDLLRVIQYISRDALIRLGDQPMVSEDLELHNIVLDCISLVFSSHGGISNENLDLWISLISVVLEFLRKVLNDKLDGTKAGIFAKQLSCCLLEPFVKFLKVHPTRKNGFREFIDKLFEDLVIVWDVLDVHGCESNPEWKRNLLVLIEEVLSQALFHPTHIDGFLSLQSTSKYRHSDDKKSKEEKTFIKSYHRHLFDKLGKIITGKNESALSGAGELLRLFINCIYMKNGVVVGAEAFRHQEGNSTAFSRSSSNSSAISTSPPQYALPAEARKSVFDFFVEIMELFLSEIYTHSQAGVDAEPLYMGLSTLRSINKLLATCVQEKVYIRTEDTSEGACFNFLKLIYDAIMSLAVQMNRLLQSFDSPEERIPGQLLILAAKEIFLAIHYLVDIEYEVVGDDLEKLWGMILALTTSSHPLMKASDQHLLTSEVLKLGCRLVHLYSELRQVNIAIFTLSKAVRDVLSSFRSNKVIKSSMLCHSFANSMSMLLCSPEFRLSIRNAVKSIPEGQASGCIRQMIVDVAESLEWIKSEYQLPAESDFAEPCFSSCGTLCFDLKAEILGKSLTEMYTLILDSITITTGNSNLIALSVKDLMAVIRPGLSSLVSQGPDILSVFFTLVTGRGFSKAAALGNDILSACWIVVFFFRLYMSCRSLQRQAISLMPPDASRKMSRVLTDSFSAYSAKDWLESSGWEDESYFSWVVQPSAPLPAVLHIIAEFCDQHTVIVCYPLIYVLSGMALQRLVDLNRQMKSIDYLLQRNNNIVQTILDNDAGLSSYSKDTKKWNKHVSTLKKEAADLTEFMIRYLSLVTEDRIYKSTVDQVSSKNTYLNHLYETEVWDLGTGSIDEKLFPSALWWIICQNVDIWCPHASKKDMKKFLLALIQNSRPCLSTNMSDLRNYIEKSGHVTGVNRHLISVELLSNIILYEQRPICRHMASVFCQILKKSVSSIFSYVGEVDVNGAPDWENAILMLEKSSTIFFRSNHPQDNDSLLIEPVHHLLNDIPAELIEKEPSPLNAEITRCRAFLNLLSWIPKGHLSSKSFSRYATSILNIDRLVVGCLFDQHGSVALCSRYELLRLLLTCRRTFKNLLMASREGKKGHQSLLACFLSESSPVFWLLKSLSAVTGFLSVISQETSPQLKHMIFSLMDHTSFILLTLFKDQFEAIFADGQEETVLRENGPCSQFSDNNDAWRSVSSVAGTLTGHAQELLDSLNLAVVNRKVGDLAGLQEMDKISPVISCFQGFLCGLVSAMDSLDIKSSSTFIESTICNLKMKPCIETCANLLYSILHLLFLEGDQCPQGLSSTHTTIETECCNELLAAGTYQSRDSADEANNVNKEEHYSGSADSLQSNDSKNDLQKFGGIESLLANVDFEQQYLRKSLLQALSIGENLEAAFCLKHIFGASSAILKFSLHTKSTSLPKNLLPLLIRVSHVLLSDFANHSGSLEQFSFIWLDGVAKFIGELGKVFPLLNPLSSRDLFVKHIELHLRAMGKCISLQGKEATLASREIESSTKMLSGLPEHDLSNSHWLNHLDELKSRLRMSFANFVSRASELHLLSAIQAIERALVGVQEHCIINYEITTGSSHGAQVSAYVAAGIDCLDLILESVSGRKKVAVIKRHIQNLVSSLLNVILHLQGPKMFFRNHKFRKDFAEPDPGSVCLMCISVLTKISAKHAFFQLEACHIGQLLHLPATVFQCAFQLWTSKVLLCSNYTGGSTFEETEVPGSERSVVDREFCIKLYAACCRMLCTVLKHHRSETRRCIALLEDSVGRLLNCLEMVCTSPVGGDYFGWEVQVGVKCASFLRRVYEEIRQHKDVYGNNCFQFLSCYIWVYCGYGRLRNGIIREIDEALRPGVYALVDACSEDDLQRLHTVFGEGPCRNTLATLQHDYKIHFQYGGKV >Solyc10g008590.1.1.1 pep chromosome:SL3.0:10:2677649:2678935:-1 gene:Solyc10g008590.1 transcript:Solyc10g008590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSSNFKLLLSYTKLLTSHVNQGKHEQALSLFHQIHSTLSRSLDPFVFPLALKSCAALSFSQLGATIHAHTIKASFGSNPFVACALVDMYGKCVSVEYARQLFDESPERNVVVWNSMISVYAHCDEVGRALELFRVMDVEPNSSTFNAIIAGLAETEDGFSKAVLCYREMGRMGLKPNLITVLALLRACLGMADVNLIEQIHGYSIRNDIDPDPQLRSGLIEAYGRCGCLEKAHLVFLSMRNRDVVAWSSLISAYAFHGQARTALEVFEQMQKANIKPDGITFLGVLKACSHAGLADEAQMYFSRMSVRYGVEASSDHYACLIDVLSRSGRLHQAYDVIRKMPVKVTAKAWGALLASCRTYGEVELAEIAGRALFEVEPENPANFVILARIYSSNGRFEEAEKLRREMIKRGMKTAPGSSWVVHQD >Solyc07g040930.1.1 pep chromosome:SL3.0:7:51301208:51301880:1 gene:Solyc07g040930.1 transcript:Solyc07g040930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKYKIDQVQHTTRQYMAEIEENQWRK >Solyc05g018190.1.1.1 pep chromosome:SL3.0:5:20205403:20205561:-1 gene:Solyc05g018190.1 transcript:Solyc05g018190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVKIDTLRLSLEKISEDLAIKTVKNRKNFQNLNLFYINFDFSPFIPGNQN >Solyc04g054480.3.1 pep chromosome:SL3.0:4:52523928:52535297:1 gene:Solyc04g054480.3 transcript:Solyc04g054480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVLPLVIRNADAKPHDMEPPTPHSFMKTSSRDRSSMEDPDGTLASVAQCIEQLRQNSSSMQEKENSLKQLLELIDTRENAFSAVGSHSQAVPVLVSLLRSGSLGVKMQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSADSQIASAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWEQLKKGLKAGNIVDDLLTGALKNLSTSTEGFWSATVQAGGVDILVKLLNNGQPSTQANVCFLLACMMMEDSSVCSRVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQSKDSRKEIANSNGIPALINATIAPSKEFMQGEYAQALQEHAMCALANISGGLSYVISSLGQSLESCTSPAQVADTLGALASALMIYDSKAENSRASDPLEVEETLVKQFKARLPFLVQERTIEALASLYGNSVLSSKLVNSDAKRLLVGLITMATNEVQDELIRSLLFLCKNEGKALDALCFLSRLEGASGIKPAWAVLAEYPNSISPVVSCIADASSVLQDKAIEILSRLCQAQPTVLGDAIACAYGCISSVARRVICSSNAMVKIGGSALLVCAAKVNHQRVVDDLNESKSCVPLIQSFVGMLNASESLHLEDQGDKIAISISRNAEEASKKDEVKKSTLVVSGVNIAIWLLSALASHDDTSKAEIMEAGAIEVLTERISQSFTQFTQIDFKEDSSIWICGLLLAILFQDRDIIRANGTMKAIPVLANLLKSEESANRYFAAQAVASLVCNGSRGTLLSVANSGAPSGLITLLGCADEDIKDLVALSEEFALVRNPDEVALERLFRVDDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLIQLARDCPSNKIVMVESGALEALTKYLSLGPQDATEEAATDLLGILFTTAEICRHESAFGAVGQLIAVLRLGGRGARYSAAKALENLFSADHIRNAESARQSVQPLVEILNTGLEREQHAAIAALVRLLSENPSKALAVADVEMNAVDVLCRILASSCSMELKGDAAELCSVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAHHSVVRALDKLVDDEQLAELVAAHGAVIPLVGLLYGRNYLLHEAISRALVKLGKDRPSCKMEMVKAGVIESVLDILHEAPDFLCAAFAELLRILTNNATIAKGPSAAKVVEPLFVLLMRPEFGPDGQHSTLQVLVNILEHPQCRSDYTLTSHQAIEPLIPLLDSPASAVQQLAAELLSHLLLEEHLQKDPVIQQVIGPLVRVLGSGIPILQQRAVKALVCIALTWPNEIAKEGGVNELSKVIMNADPSLPHALWESAAVVLSSILQFSSEFFLEVPVVVLVRLLRSGSEGTVLGALNALLVLETDDSTSAGAMAESGAIESLLELLRCHLCEETAARLLEVLLNNVKIRETKATKSAIVPLSQYLLDPQTQGQQARLLATLALGDLFQNETLARSSDAVSACRALVNLLEDQPTEEMKVIAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSETDTSVQAAMFVKLLFSNNTIQEYASSETVRAITAAIEKDLWASGTVNEEYLKALNALFGNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFFLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKSEFLLQCLPGTLVVIIKRGNNMRQSVGNPSVFCKLTLGNTPPRQTKVVSTGPNPEFDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPESKSGPSRNLEIEFQWSNKQQME >Solyc02g030130.3.1 pep chromosome:SL3.0:2:25660813:25667570:-1 gene:Solyc02g030130.3 transcript:Solyc02g030130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVSFRFLVGAIFLGIFVGCGCSIENAADSATAVYIVTLKKAHFNEELNLKNQYHSRNGGSQRVNRFDKPSNFSHIDHMNGSYVSQMHDSLLRRVLRGEKYLKVYSYHYLINGFAVLVTPQQAFKLARRREVSNIVLDFSVKTATTHTPQFLGLPRGAWAQEGGYETAGVGIVIGFIDTGIDPTHPSFNDKSPEQTYPVPEHFSGICEVTLDFPSGSCNRKLVGARHFAASAITRGIFNATKDFASPFDGDGHGTHTASIAAGNHGVPVIVAGHYFGNASGMAPHTHIAVYKALYKSFGGFAADVVAAIDQRQPRMAWI >Solyc05g046040.2.1 pep chromosome:SL3.0:5:59021506:59025130:1 gene:Solyc05g046040.2 transcript:Solyc05g046040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLCDLCGEVRAVVYCKSDSARLCLQCDDYVHSPNLISRRHSRSFICDKCNSQPSIVRCMDEAISLCERCDWDGNGCIGTGHRLKKLNPYTGCPSPDEFTKMLSQVLEMPIGTDTNFGSFGNSLGSSLSINENNSSLENKVNEDSFVSSKLLASNYKFEAWSIPPEPNYLNSYQIDLAPFSEGSGLSKQDCPIKDLGLQEGDDLSKGVDFDDVTLDFNCSYEILPDSRQTGFSDENKELDCLVMEKNSSVTGSNNVETSHEATSSVQQEYMGLQSSQISAAASSTNLLQTMSANANCMLMNSTCNGSIGALPFLPAPIHPSMSLSLSNITGESCAEQDCGLSPGFLNEAPWDLSLENCPQKRHEAKMRYNEKKKTRTFGKQIRYASRKARADTRRRVKGRFVKAGEAYDYDPSETRDF >Solyc03g031600.3.1 pep chromosome:SL3.0:3:4112339:4118012:-1 gene:Solyc03g031600.3 transcript:Solyc03g031600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIAKKGLQNYFIQLQQHPLRTKAITAAVLSAISDIVAQKITGIKRLQMRRLLLKVLFGFAYLGPFGHFLHLLLDKLFKGKKDTATVAKKVVLEQVTSSPWNNLLFMIYYGLVIESRPWIQVKSNIKREYPKVQYTSWTFWPVVGWINHQYVPLQFRVIFHSIVACGWGIFLNLRARSMALKKA >Solyc06g075810.3.1 pep chromosome:SL3.0:6:47248557:47250211:-1 gene:Solyc06g075810.3 transcript:Solyc06g075810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWRSNLSRNLKELRILFSPSSSESAATRAFIEKNYRDLKTQNPKLPILIREASSIEPQLWARYDLGVERGIRLEGLTEEQISKALEDLGKVGASLKS >Solyc02g071800.3.1 pep chromosome:SL3.0:2:41717709:41726243:1 gene:Solyc02g071800.3 transcript:Solyc02g071800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLQCMCCIICGSSISIALTWHGWWCFRNLADNKLNGSVPKSFLNLTSLEALELYENCFTGDLLPFQMNNLQVLYVDANQLSGSIPQQLGNLTQLEELDLRANYFVGELPPSFMKLVNLEYFGAQGNNLSGKFPTFIANWTQLETLDLLGNNFEGSWPKEISSLRSLGYLSLSNVVTRGGAYDFPDLSRMTSLEYLILRKCSLRGPIPGYIWELKELQYLDLSFNELSGQLPNSISTSLTSIFLRENKLNGSLPGWLTKRKNVKPHRYVDVSENLFNITNSEFNAASDDPDVNTFPGCSSNLPYTKDTCDHYCPNNLKYDELYINCGGKEVTVKGHHYYADENPNGSSTFSRDEKGGWGYSSMGLTKFVNKRPESSIIKDTCDLSTTAAVLVETARVAPISLKYYGFCFSSGNYTVKLKFYDIGSSNKQVYPITHTRVFDIDIQRKNVRKNYNIETAEINADGDKIVEYNTSITSHLEIHLYWSGYGSYPESNGPLISAISVIKVVQPPPKHQLSPALKAVIAVSSLSFLALLLLLLRKLGYLGGKRSSKEELKTTELFPGGVYTFRQIKDATQNFNVVNKLGEGGFGPVYKGVLPDGTTIAVKQLSGKSKQGIREFVNEIGTISALQHPNLVKLMGCCAEDNELLLIYEYMENNSLEHALFGPDEEIKSRLNWPTRVKIILGIAKGLTFLHEESKLKIIHRDIKPTNILLDKDLNAKITDFGYAKLNEGEHTHVITRIAGTLGYMAPEYAMRGYLTPKADIYSFGVVTLEIVSGRNSTSCRPSDQTVYLLDSAYVLQEQGNLMDLVDPKLGTDYSWTEAKTILELAMMCTNPSPTLRPTTSEVVKVIEGKTKIKTTSSTVRRSTDEIALTKAMAALSQPSPSESYSTAGPSEATPPISNSNNITNEI >Solyc09g037120.1.1 pep chromosome:SL3.0:9:21112211:21114353:1 gene:Solyc09g037120.1 transcript:Solyc09g037120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGGRRRMVNLGAPLNVAKPTKDSSVATEGAKIASASRIRWGVAVLIGINPII >Solyc09g092130.3.1 pep chromosome:SL3.0:9:71744739:71752949:-1 gene:Solyc09g092130.3 transcript:Solyc09g092130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase B [Source:UniProtKB/TrEMBL;Acc:H9BYP6] MAGNEWINGYLEAILSSGASAIEDKKPSSTTTSSHLNLAERANFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLARKKKQLEWEDLQRSANRRLERELGRKDVTEDMSEDLSEGEKGDVLGETPTLDSPRKRFQRNFSNLEVWSDSNKEKKLYIILVSLHGLVRGENMELGRDSDTGGQIKYVVELAKALAKMPGVYRVDLFTRQIASTEVDWSYGEPTEMLNTGPEDGDDTDLGESSGAYIIRIPFGPRDKYLRKELLWPYIQEFVDGALAHIINMSKALGEQIGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLIKQARQSKEDINSTYRIMRRIEGEELSLDAAELVITSTKQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRFMPRMAVIPPGMDFSNVVDQEDTADADGDLAALTNVDGQSPKAVPTIWSEIMRFLTNPHKPMILALSRPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSAGNASVLTTVLKLVDRYDLYGQVAFPKHHKQSDVPEIYRLAGKTKGVFINPALVEPFGLTLIEASAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAIADALLKLVSEKNLWHECRKNGWKNIHLFSWPEHCRTYLTRIAACRMRHPQWKTDNPSDELAAEESSLNDSLKDVQDMSLRLSVDGEKTSLNESFDASATADAVQDQVNRVLSKMKRPETSKQESEGDKKDNVPSKYPMLRRRRKLIVIALDCYDTNGAPQKKMIQIIQEILKTIKSDPQVARVSGFAISTAMSMSELAAFLISGNIKVTEFDALICSSGSEVFYPGTSSEEHGKLYPDPDYSSHIEYRWGGDGLRKTIWKLMNTQEGKEEKSVTCAIEEDVKSSNSHCISYLIKDRSKAKKVDDMRQKLRMRGLRCHLMYCRNSTRMQVVPLLASRSQALRYLFVRWRLNVANMCVILGETGDTDYEELISGTHKTLILKGAVEEGSENLLRTSGSYLREDVVPPESPLIIYTGGNETVEEFANALKQVCR >Solyc05g025765.1.1 pep chromosome:SL3.0:5:36385616:36387584:-1 gene:Solyc05g025765.1 transcript:Solyc05g025765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNKELTQLVHDRLYNAYIIGVEKFLDYAFAKLGETQQIRCPCIKYCNASSKTHDMAEPNPEAKRFYGLLYDYEQPLYEGSKSRKLSTLIKLLHIKTIGKWSNASFTMLLKMVKKNLLPNGSNLQDSYYEAKKVLDGFSSNISQCVNLQGRKL >Solyc05g016507.1.1 pep chromosome:SL3.0:5:17384188:17384856:-1 gene:Solyc05g016507.1 transcript:Solyc05g016507.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNFRKGLHALTLNVRSFVACTDRLGEDIDKWKIKLSKTAVAFEHWLEDNEIACIGYGCPHCSVDIFCGLSASVVSCAHRTVIAGYGLCASSRGIQPWSERGDMSCVLCASNKQHKQTTCNKTLHTSIMACVHRLDDSSRGLCASTWRHH >Solyc01g017260.1.1 pep chromosome:SL3.0:1:23817771:23818382:1 gene:Solyc01g017260.1 transcript:Solyc01g017260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGNLIAIIQTRIKRMLAYLSIRQIGYVIIEIIVGDSNDGYASMITYMMFYMSMNLGTFARLYFFILIGLLARVVSINYYLKLIKLLLTGRNQELTLHLRNYRRSLRLNNSIKLSMIVCVIASTNLGISMNPIISIAQDSLF >Solyc06g073640.3.1 pep chromosome:SL3.0:6:45572279:45579249:-1 gene:Solyc06g073640.3 transcript:Solyc06g073640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTSESDDRMTSQDGVDSPSAEEACGGGNTGGGLPLKKGPWTSAEDAILVEYVTKHGEGNWNAVQKHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEERHIIELHAKMGNKWARMAAELPGRTDNEIKNYWNTRIKRRQRAGLPIYPSDICFQSITENKQNEELGTFSSADSQYPDFFPMNYEIPAVEFKRLEFNQHLCPPALLDIPTGGILDIPGRSLLAQGLNSAYYSRSFLSTTPPAKRIRGSESLFSGLNGDCSPSKNDVSFSTCHQHQDDGSLLAQSMGFSSSFNQNLTSDYHPSSLGVIPGSHALLNGHTSSSEPSWAKKLELPSLQSTIASWGLVTSPLPSLDSVDTLIQSPPTEHTESCNLSPRNSGLLDAVLHESQTMKASKSILHQENSGDVVDNSCPDLHMTEWGQHGDPISPLGHSAASVFSEYTPTSGSSSEEPQLVTMPACKVKQEKFDYGPYDGKDDASNLICPRPDFLLESNCFGHMQNTVRSIWY >Solyc03g025670.3.1 pep chromosome:SL3.0:3:3136385:3137881:1 gene:Solyc03g025670.3 transcript:Solyc03g025670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLNTLAIVAFALAFFVQVTLGDIACENLNEDSCAFAISSTGKRCVLEKHVRRSGEEVYICRTSEIESDKLKDWIETDECIEACGVDRNALGISSDALLESRFTSKLCSSACYNKCPNIIDLYFNLAAGEGVYLPKLCAEQKGKGRREMAEIRSSGFVAPAPESEVKPLNFMVAPAMPPF >Solyc02g093990.3.1 pep chromosome:SL3.0:2:55298189:55301648:-1 gene:Solyc02g093990.3 transcript:Solyc02g093990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLSFLGVSARTFLRAASSKSVRAYGSAVAAHFDYDNEEDMEEPSGSVPRRGVQWLIMGHPMTQRHVYAQWLSKLMDVPYISMGSLVPQQLNPHYNKISSVVNEGKHVPEEVIFGLLSKRLEEGHCRGENGFILDGIPRTMLQAEILDKVVDIDLVLNLKCSVSKNDRSNGIYSTEDQLLKRGNLMSSRVMDGGAWKEKQYDHDEQIKPLEEYYRKQKKLLNYQVAGGPAETWQGLLAALQLQHMMSAVGSTQLTAGC >Solyc08g066100.3.1 pep chromosome:SL3.0:8:54590941:54599045:-1 gene:Solyc08g066100.3 transcript:Solyc08g066100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4CLI8] MGTESKYQMKVVNGDFGYVLEDVPHLTDYIPNIPIFDNPLRSNPAYSVVKQYFVDMDDTVPQKIVVHKDSQRGVHFRRAGPRQKVYFNSDDVRACIVTCGGLCPGLNTVIREIVHSLDYMYGVDKVLGIEGGYRGFYAKNTINLTPKLVNDIHKRGGTILGTSRGGHDTKKIVDSIQDHGINQVYIIGGDGTLKGAAIIYEEIRRRGLKVAVAGIPKTIDNDIPIIDKSFGFDTAVEEAQRAINAAHVEAQSAENGIGVVKLMGRYSGFIAMYATLASRDVDCCLIPESPFFLDGSGGLFEFVKKRLREEGHMVIVIAEGAGQELLAAENSNAGSQQDASGNKLLQDVGLWVSHKIRDHFGKKLKMPITLKYIDPTYMIRAVPSNASDNVYCTILAQSCVHGAMAGYTGFTSGIVNGRQTYLPFNRITEKQNNVVITDRMWARLLASTNQPSFLSTNDIVQVHKRQHSQSQLLNGDENESEITGTYLKVLDLLPCLSCGQ >Solyc11g067015.1.1 pep chromosome:SL3.0:11:53079068:53081957:1 gene:Solyc11g067015.1 transcript:Solyc11g067015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPFYPREKLAAKQKYFQSVHKYTHLKGPVDKITSVAIPLALATTATFMIVRGIYNMSHDIGKKQ >Solyc02g078710.1.1.1 pep chromosome:SL3.0:2:43945487:43946590:1 gene:Solyc02g078710.1 transcript:Solyc02g078710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPFPSPSRASPRSPENSTSRYSIFASFCSTIVTNDATVTNWIACYDPSNNNWSYVTSIPDLPENHVLKDFAMVSVLNSLYIIGGRLCKKEKTQNAQYGIDEFFDRDIDVMSLVLRYDVDSNQWSKCAPLNVPRYNFAYVVEKNKIYVAGGQSMLGSARGTSSSEVYDTLIDDGQWILLTNMNRSRCKCVGVTWQGKIHVVGGFVQGGGFSQYVDRCSAELYDMSAGQWDLVAGMWQLDVPANQIVEVEGRLFSSGDCLNVWKGHIEVYDGKLNIWYMVEGSQKNIFPFEENGQLIHRLYLTMALIGTHLYFLAGYQTVDDPSKTISMIYSFDTSAKGGAWKSFEPIHQEGERELCSHCCVVQLY >Solyc10g005390.3.1 pep chromosome:SL3.0:10:290217:294067:1 gene:Solyc10g005390.3 transcript:Solyc10g005390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVKYALRTQINNNNNLVLVDTLQRMGIEHHFQQEIQSILQKEYEQNTCFLKYQNHHDISLCFRLLRQEGYHVSADVFKKLKNNDDGTFGLNLNQDVNGLIGLYEASQLGVEGEYILDEIAKFSGDHLNACLVNSDEARIIKETLKYPYHKSLSRWKAKSFINNFKGINGWGKSTLQELANMDYSITKEIHQHELIQVSRWWSSLGLAEDLKLLRDQPLKWYTWPMTMLTDPKMSQQRIELAKCISFVYVVDDIFDVYGTIEELTLFTQAVNRWELCVMKDLPEYMRATYKALYDTINSIGYNIYKIYGQNPTQNLRNAWANLCNAFLKEAKWFASGELPTTDEYLKNGLVSSGVHVVLVHMFYLLGFGLNNQNSIYLEDSSAMASSVATILRLWDDLGSAKDENQEGNDGSYIECYMKGQKNASIELAREYVVKLIEDEWKQLNKKHFNLMNGSLGSYSKASLNLARMVPLMYNYDDKQSLHVLQEYINTMLYDV >Solyc12g036935.1.1 pep chromosome:SL3.0:12:49028019:49029329:1 gene:Solyc12g036935.1 transcript:Solyc12g036935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPCLPKGRLDRLKLSISHFHFWNDFRASEKACLPKVRVRVQTPARFFTHESLLESRLGGGGIILIASSFVHPICFPRKSYIVMYIAANCVKIIHDSPLSMDINRQHFFVWGYLP >Solyc05g055045.1.1 pep chromosome:SL3.0:5:65665317:65669582:-1 gene:Solyc05g055045.1 transcript:Solyc05g055045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFKIAQSRSDIVISQRKYALDILEETGMMGCRPIDTPMDPNVKLLPGQESHLFMTSPCDSHREAVVRILRYIKSAPGRGQLFEDQGHEHIIGYRVVDWAGSPSNRRLTSRYCILVGVNCLKCGAEKYQKYLKNKAVIPKILGNAGAKIARNAIPNP >Solyc04g080600.3.1 pep chromosome:SL3.0:4:64799380:64806289:1 gene:Solyc04g080600.3 transcript:Solyc04g080600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPLHRRKLSNANGLSFSAKQHPYDGVLTGTQAKFGAPVLPSGAPDYSEIFGGSRDSSIPVLDLSGIDDAAVSDDGRSSDKLDYSNIFGGLSREDMAVRYEELFSRGKRGKRSSAKSRTASEGSDQFSPSGRQKESSCDAVNQSLDGPKHFNLSYHKTSQRSRDGLNGMTQIAELHAVPGFTHFIDESSHVPKTESRQQAPFLTGDVLRQRSFSGEIFKGRDRKGDLHVPKIQSSDGVHRNRSFSGEIFKEGHARNRDLHVPKLQTSDVHRNRSYSGEIFKERLARNEDLHVPRNQSSDGHHNRHFGGEIVREGPDKSGDLHVPKNLSSDVHHDRSFSGELFNGHDRKENSHVLKKLNPIGEVKVKSGSSWDVFSDKFFSARDEFDKRSSSTEAASGSIPVANDIKGQPYQSKINGPDSKFGASGRGSRVNDTSPPSSDEELDANSAAAISAATLKKAIEKAQESIRLAKELMERKSEGVPASLKQRPKGSLKSKDNRVECNTRSNRENTIELQGKLGSGLPPFTEVCREIPSSNAVLASCFNLKEQQRVARNVEVSHRDVAGTWSPEVVSSRKENTQTLASQQVDSSNHSQPSVENNRHVYKPKEMNPSNKTKELGEAPDYTKSMGNIKPTPNILGKAEAPEEYKDTSNSALMHDSEEYVISEMTKDYCVAKEKGNCSAELKKSENMKVNFSAESKNSENMKNNLESTFVEQWSFKNLHNSPAPLTEEKIEFQEMENDNLHNNQKTPLENETLNHEDLERRIASKKLEKVEMEENKSRLRRNSDEEETGIVDKEASLWVENDEKPQHGFKKEGIDSKHEDFQGGQDTGISYGVHECEPSESKTSYSCEGEESERNLEGSQRVVPQNISIEPCQYEATEEIENQADKFTQNRKTEASQKVDEIDRELVEASDKSEGDQETSVAPSVADKQNPMRTISEPDHDGSTCSSEIQEACEYQLENGDLGISQQAVDSEGIQGVSEAINEHAECEKYGASEESSNSREREIMETASDLQNASEGDASESMVQDTYDSSSEDAKEVSRGSTCMNTADNLPSERVLFETESFCNVIPENVSDNESHFVPEVHPSEEQRNTTFIDRNLEQKRDETGKELEESSDPDEGDDSWVPNHVENEETIKVDGSGDQVEKNNDIEAAQQVNKSVENSEELEWSSLPGDREPLGNDEELKAEQYEEAKNLGEKVVVEEDNKESLTKEVDKNNNRKTEVDMRQQREREKDRKVVERAIREARERAYAEVCERAERAAVERVTAEVKQRVMAEAREKHEKASASIKVSKEKSSIEAKRKAERAAVERATAEARERALEKALSQKNIAELRSQVDRDGVERSASRTRENKLKQSLSSSDLEKSDGSNSESAQRRKARLERHQRIMERAAKALEEKNQRDLLAQKEQIERNRLAETLDFDIKRWASGKEGNLRALLSTLQYILGTNSGWQPISLTEIITTAAVKKAYRKATLYVHPDKLQQRGASIQQKYICEKVFDLLKAAWNRFNSEER >Solyc04g005430.3.1 pep chromosome:SL3.0:4:297037:303465:-1 gene:Solyc04g005430.3 transcript:Solyc04g005430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPLLKNLSKNFRSRSPFNWLLLFLVSVLVVIALLGSSSSTFNSVTSSVKNDIYTNYRKLKEQARSDYLELKSLAVGENQIKDVGVCGKERENYVPCYNVSANILAGLKDGEELDRHCELSQERQYCLIRPPKDYKIPLSWPAGRDVIWSGNVKLTKDQFLSSGSIMKRLMLLEENQIAFHSQDGMMVDDVKDYSHQIAEMIGLGSDTEFLQAGVRTVLDIGCGFGSFSAHLLSLNLMALCVAAYGSSGSHVQVALERGLPAVIGNFISKQLPFPSLSYDMVHCAQCGVIWDSKDGLFLIEIDRVLKPGGYFVLTSSTTQQQGSSTGAKKGIMPTPLEEFTKNLCWSLLEQQDETFIWQKTVDSQCYTSGKQDTIPICKGQDMQLYYQPLAHCISGTGSDRWVPIHSRSDSMNSTELKVHGKLNKIGVYPDNFFEDSEFWKSAVRNYWSLLSPLIFSDHPKRPGDDDPLPPYNMVRNVLDMNAHYGGLNAALLEAGKSVWVMNVVPLGVHNTLPLILDRGFAGVLHNWCEPFPTYPRTYDLLHGSGLLSHLESQGCSIVEVLFEMDRILRPEGWIILSDKLGPIEKVRMVATQMRWEARVVDLQNGSDQRLLVCQKSFVRK >Solyc08g048510.1.1.1 pep chromosome:SL3.0:8:12418755:12418979:1 gene:Solyc08g048510.1 transcript:Solyc08g048510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGRPHTTISSQSSSLTLLLIANPRDQKVNKNQNQLSFNKRLELIPTSEMGRRCSSRTSSPWKPQHQYHHTNN >Solyc02g055565.1.1 pep chromosome:SL3.0:2:2236519:2240831:1 gene:Solyc02g055565.1 transcript:Solyc02g055565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCRNSASTSVSSKSSMTSTKRVKLAEKVKAGGVKQSKPTSGKKKKTAKTDAKKPKKPPTAFFYFLEDFRKEFQEQNPDVRSMRDIGKACGEKWKIMTYEVLLLS >Solyc09g075580.1.1.1 pep chromosome:SL3.0:9:67699546:67700031:1 gene:Solyc09g075580.1 transcript:Solyc09g075580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAPNSQFQFFLLLFFSFSLNNVQITQAMSTITAISKDQIACEMCTTCENPCQPIFPPPPPPPSPSPPLLCPPPPSPPPPPPPSPPPLPPVNYCPPPPPPPARRSCPEDCSLQPRSPPYSIYPYFSPPSTYPNKSTRYKNQPIVTYLIIAIACLFKTFGLM >Solyc09g064555.1.1 pep chromosome:SL3.0:9:62075077:62076827:-1 gene:Solyc09g064555.1 transcript:Solyc09g064555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIPCVLDPRYKFITLGFALRKMFGEKGAALEIVVRTYMESLFNEYTKPVDFDKNGQFSSTEVDTSDSRSGGEFRNFFEELQKYTSEKGGASSKLELVKYLDEEIEVGKSDFDVLLWWKVNSPRFPILFEMARDVLSIPVSNVASECAFSTGGHILDSFRSSLTPKLVQALVCLQDWLRSEPQPISIEEDLDFLEQLEEDFIMPQLHGSNARSPIWNHYEKLEEKEDGSWTVKCIHCGRVAYYHSHYNGTASLRNHVKRCLKTRNQNR >Solyc05g045923.1.1 pep chromosome:SL3.0:5:58471193:58473298:1 gene:Solyc05g045923.1 transcript:Solyc05g045923.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIRISRRRNTYNAKNNILTLQCLFLRYSNFFSVSWILGRALWHFHYKKIQSRKGLLMELLSRFFGPYFLVSSLCSLLYHHLLWYTQWTSLDEQSLNFDNYTIREDDLELGQSIDNRVVLPAKSPICFIVTSADVPHSWVLPSLGVKRDVIPGHLNETSISVQRKGVYYCHEICGTNHAFMPIIVEAVPRKDYGSRVSNQLIPQSPNKKP >Solyc07g019490.1.1.1 pep chromosome:SL3.0:7:11746421:11746573:-1 gene:Solyc07g019490.1 transcript:Solyc07g019490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDMCEREKARQHIQSNQGTVRKKLLMSTTPNSQDFQLYSFREGSRRAQS >Solyc02g087190.1.1.1 pep chromosome:SL3.0:2:50302821:50303807:1 gene:Solyc02g087190.1 transcript:Solyc02g087190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BBM6] MGLTLLLFLCFSVILTPSLSQHHSPLNTAYYRRSCPRFEQIMQETTTNKQITSPTTAAATLRLFFHDCFVGGCDASILVSSTPFNKAERDAEINLSLPGDGFDVVVRAKTALELACPGVVSCSDILAVAARNLVVQTGGPFYPVNLGRKDSFTSKASLVEGNLPRPTMSMDQIIQIFGSRGFSVPEMVALSGAHTIGFSHCKEFSSNLYNYNKTSQYDPSYNPRFAQALRNACNNFQKDPTLSVFNDIMTPNKFDNMYYQNLPKGLGLLSSDRGLFSDPRTRVHVEEYIRDQNAFFKAFASAMQKLSDHGVKIGRSGEIRHRCDAFNN >Solyc01g057010.1.1.1 pep chromosome:SL3.0:1:57925460:57926632:1 gene:Solyc01g057010.1 transcript:Solyc01g057010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus F-box protein type-11 [Source:UniProtKB/TrEMBL;Acc:K4AVR5] MTNGIVNKFSKDVLMYLILKLPIKSILRFKSISKSLYNLMQSSTFINLHLNHTTCTKHEIILFKRSIKEGHNEFRSIMSFLSSYHDNDDFYHVSPDLDVPHLTSTTSCIFHRFIGPCRGLILLTDKVETVLFNPATRNYRLLQPSPYDSPLGFHRSINGVAFGFDSISNDYKIIRLAEVRGEPPFYCYTVVQWRVEIYELSIDSWRDVNHGDLPLPYVHWYPCAELFYKGASHWFGNGRSIEMLAFDVSTETFRNIKMPHTCHSKDRKCYALVVLNEYLTLVCYPYPGCKIDPAIDFMEIWAMKEYGVIESWTNIHIIPPLVIESPLAVWRNHILFLQSISGHLISCDLNSHEVKELDLDGWPESLRVTIFTEGLTLISKEIQHNSTQLQ >Solyc03g013250.3.1 pep chromosome:SL3.0:3:47786989:47796779:1 gene:Solyc03g013250.3 transcript:Solyc03g013250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKVMEGVASVALMDNGCISGHFIHLPHSICYGIHGTEMVCERECSRGEDYRLVKLTIIDYNRKRERDVIVECRGHDAARIQNVQHAHGWDDDVVNMLDEKEQK >Solyc01g110460.3.1 pep chromosome:SL3.0:1:97067853:97073477:-1 gene:Solyc01g110460.3 transcript:Solyc01g110460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLVTLLRAAWVAAILPVVIALIPSSKISFFQKFVLGFAKRGKIMQSSSNKLSVPQKLFIHFYILAFVWTTLLLVATWLYDYCTMPKITEPLLFSSIASHLTGGSRIFSSHSSHTSKEHRSRIAVSIFLLLLMEAQVLRRLFESIYVFKYSPSARMHILGYLTGLFFYTAVPISLCCNHATEVYKFGLSLIQEFIVKGKDRMLATEVDWWRFLNPLIQLQWYSWIGAAIFFWGWIHQHRCHAILGSLRENRKDNDEYAVPYGDWFQYVSSPHYLAEIVVYAGFVVASGCSDLTIWLLWGFTVANLVLAAAETHRWYLHKFDNYPRNRFAIFPYVY >Solyc04g014853.1.1 pep chromosome:SL3.0:4:5087565:5088465:1 gene:Solyc04g014853.1 transcript:Solyc04g014853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSILLSARIPKILSHLNFLIRDNDILCIDKLRMDRNAFHILASLAKNIGVLLVKPNPVLEHDSDDRWKWFKGCLGALDGTYISIRVEAIYKPRYRTRNRDIATNVLRVCDRNLNFIYVLPGWEGSVADGRVLRDVVVRRNRLKVINICEMEDIQMEMVYCLPTEDIDIG >Solyc02g067080.3.1.1 pep chromosome:SL3.0:2:37877282:37878724:-1 gene:Solyc02g067080.3 transcript:Solyc02g067080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDISVPRITAWNSDTLPIYEPGLYDIVKECRGRNLFFSTDVEKHVREADIVFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIEDLFKPDRVLIGGRETPGGQKAIQALKDVYAQWVPEERILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGANVSQVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKNRFVNRVVASMFNTVSGKKVAILGFAFKKDTGDTRETPAIDVCKGLLGDKANLSIYDPQVSEDQIQRDLSMKKFDWDHPLHLQPMSPTTVKQVSVVWDAYTATKDAHAVCILTEWDEFKSLDYKKMYDSMQKPAFIFDGRNVVDAEKLREIGFIVYSIGKPLDAWLKDMPAVA >Solyc01g098030.3.1 pep chromosome:SL3.0:1:88518480:88526377:1 gene:Solyc01g098030.3 transcript:Solyc01g098030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLSKLSHCSIGRPITASSGSAFSTFSGGGGGGRGRGRGSDSPNFGFSPGKSASEDSKPESSTPATPSGTGHGRGRGKPLPSSPIVPSFHSFVDNPNTPAGRGRGGIGPKPIFFAKEEETTDSNSSSSNAPKPRDDSNLPSSVISVLTGAGRGKPLQTASSVSEKPKEENRHLRPRQQKVADSGERASSPPPQRLSREDAVKKAVGILSRSDDGDVGGGRGMGGGFRGRGGRGASGFYLGDDADGEKLAAKLGPESMNTLAEGFEEMSARVLPSPMDDAYLEALHTNMMIECEPEYLMGDFESNPDIDETPPIPLRDALEKMKPFLMAYEGIKDQEEWEEVIKETMETVPLMKEIVDYYSGPDRVTAKQQQQELERVAKTLPESAPNSVKRFTERAVLSLQSNPGWGFDKKCQFMDKVVMEVSQHYK >Solyc01g079260.3.1 pep chromosome:SL3.0:1:78215921:78218540:1 gene:Solyc01g079260.3 transcript:Solyc01g079260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYKDIKMEDHHPMYFIDNNGFGVTNNHSFISDYSINPSSLGFMELLGFHQDFCSVFELPKEENHYPAVCVSEEELKPPSSSSVAAAEKQKSSTTTVVATGNVLNTPSTPNCSSISSEGHGDADGEVENHDQKNTNAKQQLKAKKTVSQKKQKEPRFAFMTKSEVDFLEDGYRWRKYGQKAVKNSPFPRNYYRCTNATCNVKKRVERCFSDPSIVVTTYEGKHTHPSPMNTMISRPNCYPINPVLPSLGTYTLPMQFNANQSFNDNLTSSNLAINHQLDHAAFVAQGRRFCSTNEILEDQENDLQNLMPSAVLKHDYNR >Solyc02g070035.1.1 pep chromosome:SL3.0:2:40387120:40393893:-1 gene:Solyc02g070035.1 transcript:Solyc02g070035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGKVPASGPKKLPFTPKAIIHQKFGTKACYKVEEVQEVVQNGCPGLVIPQRGPCLYRCSLQLPEFSVVSEAFRRKKDAEQSAAEKAIQQLGIQPKEVNLTVEQAWDELVGRLSYLFSIEFLPAIHPLSGHFRAALVREGHLNGFIPLVAIATFDAKINSLCKCISSEMESNPSLVMSLIIEAAKRLEDSLLFSEEKRSLKRLTPHPPEIIQSLPKNEPNSPESISFEAIRVPSSAEKTVEPVILNASSGNYYLDVIAKELGVKDASKVLISRTIGKASSETRLYFCAPESTTIGSSSELYMKQASSFKGYVNTIATYLSGQEICGDAILASVGYTWKSTDLFYEDLSLRAYYRLLANKIPSGIYKLSREAILAAELPTAFTTRSNWRGSFPRDILCTFCRQHRLSEPVFSSDSIEPLPDLPGRKRLRDTSSGENETNEGGLAATAVAQEGCNLVYRCTVKIYSKCQELILLCSPKESYKKQIDAMHSTALKVLSWLDRFLDKVDMSVEEITSSAKGFDILIYPQQLVKEFTLCQTLPKYQWGSATLAGNFVCPSYSNVQNNTLEEELSSGTTPSSGSLVCVTYKIYLATERECIMEHLEGSEEFEFEIGSGAVSPVLEAVVTQMSVDQSACFTMELPAKEIVLAVAHDSANIISLLSSGTCLMKCEVTLLRVTVPLEDRMEQALFSPPLSKQRVEYAVQHIRESCAASLVDFGCGSGSLLESLLAYQTSLEKIAGVDISQRALARAAKV >Solyc10g084930.2.1 pep chromosome:SL3.0:10:64404813:64412566:1 gene:Solyc10g084930.2 transcript:Solyc10g084930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQSTSRGGEFVIRDYVVQKQIGAGSFSTVWLARHRVNGTEVAIKEIVTARLNSKLKESLKSEIVILQRISHPNIIHLHDMIEDPGKIYIVLEYCRGGDLSMYIQRRQGRIAEATAKHFMQQLASGLKVLRENNLIHRDLKPQNLLLSTTDDNSTLKIADFGFARSLQPRVLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIMKSTKLQFPLDAKNLSPHCIDLCQKLLRRNPVERLTFEEFFNHPFLAKKQPDELSRNQRPQRVIDGFSLTERNPVRRTEGTFQEDGLPFSLDNDSSNPDGGPSFAGISLRSSCGFSHDAKDYRKEVTSASNKTGPPLNCSNINHKSNVIGSSPGPHRHSEGKLKESSSIDYRLCPRVADSFELIEREYVLVSGSLMDSSSSAGASRLSNTPFRSSCSLQASGNIDSRLSEPVVVAGPPTDSIVLRLESPSSAPGASQGSTDTVDPLEKPTDGIPRIESLQHSASAITELVNEKIAADKHLEAFSIELVMLAIWKQALHVCHTQAASAIEGSPNQKTAKLMEILRDSRVRADIKKDTCNTLGPENVLSHIQRAFLNEVGKAEELAKHIEPGNAEVPDAMEMIFQSALDLGRKGAVDEYMGRTENAVVLYSKAVRLLAFLQVEAPSLILNPPFSLTNSDRYRLRSYIDVLNNRQSVSRSQIMTVLKCEDQH >Solyc01g079610.3.1 pep chromosome:SL3.0:1:78573033:78579326:-1 gene:Solyc01g079610.3 transcript:Solyc01g079610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRRSKLLILLCCLSYTLSIAIAAKSYYDILQIPKGASDEQIKRAYRKLALKYHPDKNQGNEEANKKFAEISNAYEVISDSEKRNIYDRYGEEGLKQHAASGGRGGGMNMQDIFSQFFGGGSMEEEEEKIVKGDDVIVDLDATLEDLYMGGSLKVWREKNILKPAPGKRKCNCRNEVYHRQIGPGMFQQMTEQVCDKCPNVKFEREGYHITVDIEKGMQDGQEVVFYEDGEPKIDGEPGDLKFRIRTAPHDQFTREVNDLRTTVTITLVQALVGFEKTIKHLDDHLVDISSKGITKPKEVRKFKGEGMPLHYSNKKGDLYVKFEVLFPTSLTEDQKKQIKEVLG >Solyc10g084060.2.1 pep chromosome:SL3.0:10:63863103:63864036:-1 gene:Solyc10g084060.2 transcript:Solyc10g084060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQENQVNQPPPLGYPIEFTPNENKKKKMKGWPRSKPRGERGFLEGCLFALCCCWLCEVCFD >Solyc01g012630.1.1.1 pep chromosome:SL3.0:1:9744495:9744890:-1 gene:Solyc01g012630.1 transcript:Solyc01g012630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYVVTTYLYFKYSRLITRLITYLWSNFVNNECYTQSAVKASLHEHILHASLDLQRQIYYKIENFEKLDIVSTNGWESETTFQIVVGDVVRSFIGAIFVDSSLENILNTFLSIRRLLVCASPSFGEIYTT >Solyc06g036232.1.1 pep chromosome:SL3.0:6:25803854:25804126:1 gene:Solyc06g036232.1 transcript:Solyc06g036232.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLLQPARETYNLVGDIVLLSDGPIAYQGSRENILEFFEYICPERKGEADFLLEVSLIPNRGTFQVTSRKDQEQY >Solyc11g044660.1.1 pep chromosome:SL3.0:11:32640957:32641392:-1 gene:Solyc11g044660.1 transcript:Solyc11g044660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLRQEKESKKHLTTSCMLHLARRDIAQLEEDRNVPLKESIEMKMGYQECRGGRMGSLSDLVWIVHGRQLESAALPGFSHLRSLGKRIKLALANSLMHYIPSTL >Solyc04g039880.2.1 pep chromosome:SL3.0:4:12454855:12455793:-1 gene:Solyc04g039880.2 transcript:Solyc04g039880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTVKGDREGGKQLDISLLKLDINGFIAKQGHDEHKFIAPCVYYGPFGVQSYLDNYESKEESSSNDTNRIFLVFICVPVSPSNCR >Solyc06g064940.3.1 pep chromosome:SL3.0:6:40607549:40610129:-1 gene:Solyc06g064940.3 transcript:Solyc06g064940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETKKIAEETTPEVVVSDVTVRETPQPEPETLPESPEKDAAPVTGESKVEDEKEKELVGESNSFKEESNKVEELPNPEQKALAEFKQMVQEALNKHEFTAPPPPPPAKEEDEKKAAVPAEAPEAEPVTEAAAPATPDPVIETESEPVAEKKVETEKLEKTEEKVTPPETEATPAPAAETPSEPSETEKVEAVEEIKETIVEVPAAVAVMASTEEPPAAEAEEPKTEQTPPAAPEEVSIWGIPLLADERSDVILLKFLRARDFKVKEAFTMLKSVVAWRKEFKIDELLDEKELGQGLEKVVYNHGVDKEGHPVCYNAFGEFQDKELYQNTFADDKEKLTKFLRWRIQFMEKSIRNLDFSPDGINTFVQVLDLKNSPGLFFYKKELRQATNRALLLLQDNYPEFVAKQVFINVPWWYPAYYRMINASFTTRTKSKFVFAGPSRSADTLFKYIAPEQVPAQYGGLSKEGEEEFTTAEPATEEIIKPASKHTIEFPVTEKSTLVWEARVTGWDVSYGAEFVPSAEGGYTILIEKSRKVGANESVISTSYKASEAGKVVITIDNQTSKKKKLVYRSKNKISDC >Solyc07g040720.3.1 pep chromosome:SL3.0:7:49573122:49580506:1 gene:Solyc07g040720.3 transcript:Solyc07g040720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENNKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSSHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGFVLMYFLRGSLPWQGLKAGNKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIAAPPSRPLGAGAGTSSGMPPVIPKAERQAGEDEGRQSADPSRRRSPVPPLITSGSLSRQKSPIGNDSTSKEAMLSSSSFLGRPSGSLRQGLVSGSRETFTMGNDSDPTHSRTPEASPATMHKISGGHRSSLLGGSSDPRYASSSKNTSGIKNYESALKGIETLHFDDEERAH >Solyc08g083255.1.1 pep chromosome:SL3.0:8:65884688:65891866:1 gene:Solyc08g083255.1 transcript:Solyc08g083255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSQYNFANFSNPDYAKTGNTSLKFQLINQRADFSFALFTGGLSNPKLVGVSNYISFANPKAPLYPRLALGKSWNEMTLTWTSGYNLLEAVPFIEWGRKGDPQHRSPAGTLTFDRNTMCGSPARTVGWRDPGFIHTSFMKDLWPSTLYTYKMGHMLSNGSYVWSKMYSFRSSPYPGQDSLQRVIIFGDMGKAERDGSNEYSNYQPGSLNTTDQLINDLKNIDIVFHIGDITYANGYISQWDQFTAQVEPVASTVPYMIASGNHERDWPGTGSFYDVMDSGGECGVLAQTMFYVPADNRANFWYSTDYGMFHFCIADSEHDWREGSEQYRFIEHCLASVDRQKQPWLIFAAHRVLGYSSDKWYGLEGSFEEPMGRESLQKLWQKYKVDIAFYGHVHNYERTCPIYQNQCVNSERSHYSGIVNGTIHVVVGGGGSHLSEFTPINTTWSLHRDYDWGFVKLTAFNHSSLLFEYKKSRDGKVYDSFTISRDYKDVLACVHDGCEPTTFAS >Solyc05g052245.1.1 pep chromosome:SL3.0:5:63367671:63370425:1 gene:Solyc05g052245.1 transcript:Solyc05g052245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4C1Q6] MAVYYSLSTFSIFHLLLIFTSLCFNESFGDNGGWQSAHATLYGGGDASGTMGGACGYGNLNSQGYGTNTAALSTSLFNNGLTCGACYKLKCNNNDDKLCLPGTTITVTATNFCPPNPSLSNNNGGWCNPPLQHFDLAQPAFLKIAQYKAGIVPISFQRVPCMKKGGIRFTINGHSYYNLILVTNVGGAGDVHSVSIKGSKNGWQLMSRNWGQNWQNNAYLNGQSLSFQVTTSDGRTITSNNAAPSNWQFGQTFEGAQF >Solyc11g071220.2.1 pep chromosome:SL3.0:11:55038630:55042901:-1 gene:Solyc11g071220.2 transcript:Solyc11g071220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETKSSVVKVKANSNNQVGSSSKPKIDSSVIKKKVIQISAKPSADSKGKSAINVTKTEVKGKTTSTSSKTVTKTQTKTRVKKVYSLAGQKFDVPEEREPLRLFYESLSKQIPSSEMAEFWLMEHGLLSPERSKKAFEKKQRKQKQIRMGTPIKSPPPRPFISKAESSKKPQQASKNGDIKAKKRLKSDSSDDDDDEFILSPKRRKG >Solyc01g108660.3.1 pep chromosome:SL3.0:1:95783287:95790479:-1 gene:Solyc01g108660.3 transcript:Solyc01g108660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAALNSNLFNGGCLWKYQDELKVSKAGKLRIRVTSMASSSPAQSLQFADGKANKLDKLRVGVLGASGYTGSEIIRLLRNHPYFQITLMTADRKAGQSIESVFPHLVTQDLPNLVAVKDADFSAVDAVFCCLPHGTTQEIIKSLPTNLKVVDLSAVGIQLQDIAEYEEWYGQPHKATALQKEAVYGLTEISRREIQSARLVANPGCYPTSVQLPLIPLIKSNFIEVRNIIIDSKSGVSGAGRGAKEANLYTEIAEGIHSYVPEIEQGLSEAANSKVTVSFTPHLMPMSRGMQSTIYVEMAPGMTTQDLKDHLGNYYEHEEFVVLLKNKEVPHTRHVRGSNYCLMNVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGIPENLGLGCLPLFP >Solyc04g050960.3.1 pep chromosome:SL3.0:4:49055016:49063086:1 gene:Solyc04g050960.3 transcript:Solyc04g050960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRKKVFLTYKRKRLPGSDLFLENGTHNTPTECMKSKAVAPLLEEEEKYDNPSFKDGKKDFEDNSEENQSSKGSKGPLSEGEPRNSQKRLCSCIISGSICDSKCTQKFSLSLLTGLNSSREQDLVSPSSGGDERGCDLKDSDSSDLVKLSLEEVATPKDISLIPSVNAVSTSKLSSSLITFQRRAKRDKDAGRAYAKGNLEAEDVACLSVENTACLVAPHDSDKSVAKSCSVDLSADFKHPETTNGGENYQCACAGSPAQMKILLDVNEQPILVKEAQPIDEVADPNSGVGFSGLDDGVASDTFKKSSFHSPKDPSFDGLSRTEVPISSPLEVASNTGSQALDISIPCDSDDTMDCNRSEQASDERLIPTALEVQQNPPCFLNGNNSTVLHRVPSDKSLELLDIKPEKITPIHAELPEAGCLSEKAMADSGESSSSKNDLLQLLSEDRTYNFFPLASLQENESAHVNSKEGKASSLEEKRHCAFTVAESSDFLGLSLPSQALASRSLQLADIWNQPSESIQGAASQVSVDASILHRHQMILDNILNRGRSQNGNKRRFAEKLGTPNMWSEEELDSLWIGVRRHGRGNWDVMLRDPRLRFFSWRTPTDLAEQWMEEQSKLLHGKSISPVRQLHKADVSSHGMDDVKLSLGHAHSQSGDNIRSQIPFHFPDVQNTSQKLLHLATTNVGTLDSLCLRGNRKRAKFNQSENSAGSGVECSFSSRIMSRVSEVGNLPHWLKEVVAIPPRPPGFAPDSSWFIHPWIGPPFSEPKRAHCESRNRLSDLYTTPKTELNNGNADCAHLPIREGKQHCKSEADKKVELIVINSDASSEETISDDCNVRH >Solyc03g111460.2.1 pep chromosome:SL3.0:3:63545534:63546304:-1 gene:Solyc03g111460.2 transcript:Solyc03g111460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHSDPPIVNANDYLLQQQLRLFWAAQLQEIIQIRDFRGHSLPISRIKKIMKSDKEVRMISAESPILLAKACELFIQELTHRSWLKAQECQRQTLKKIDLFTVLKETELFDFLVDVISMDEPEEEAPTYVPGMLGNIPNRISYYYSPMGPPAPPMAPLAPSVRPPAPSMGPPAPSMPTSPRGIMGRRAMPWVAPSMHVPPPLYPRKFGWYAAGGNPYATGGSSGQGSGDPQR >Solyc10g050405.1.1 pep chromosome:SL3.0:10:49603981:49606881:-1 gene:Solyc10g050405.1 transcript:Solyc10g050405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNKVIKQKNCLKWTANLHAKFMKSLEQLGEGRCYPKEIVAVMDVPGLTRMQVASHLQKCRFNNWRSPEERKSNWRLSGQESSSESQQKSSNRKYGTMPRFQNNIPNQIQKGPEFPFSTPNTSIGESSTQEKLYPPQCQEIQTTSTMMSDVDSENVTSNKPGIITSNFQQHIAEQNVLSKQYNRDK >Solyc09g011760.3.1 pep chromosome:SL3.0:9:5048914:5055993:-1 gene:Solyc09g011760.3 transcript:Solyc09g011760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small zinc finger-like protein [Source:UniProtKB/TrEMBL;Acc:Q9XGY1] MAGVPSNLEREQIFSMAEKEMEYRVEMFNKLTHTCFKKCVENKYKDSELNMGENSCIDRCVSKYWQVTNLVGTLLGNTRPM >Solyc12g094720.2.1 pep chromosome:SL3.0:12:65836449:65840414:1 gene:Solyc12g094720.2 transcript:Solyc12g094720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSSSSSSSSSSSSPCLNQYQYQAILRLPRVPLISSHLLKVPKKNRNSLIFCCNNTVPDSRTGEQVKGECLTKRRELLLQAGSVAFSLSAFTSIALAEKDVPEEFRVYSDDVNKFKIMIPSDWQIGAGEGDGVRSLLAFYPPEASNSNVSIVITSLGADFTKLESFGKVDAFAENLVSGFDRSWQRPPGVKAKLIDSKASKGLYYIEYTLQNPGESLRHLFSVLGIANNGIYNRLYTLTGQFVDEEAEKYGAKIQKAVSSFRLI >Solyc11g027887.1.1 pep chromosome:SL3.0:11:19301166:19317626:-1 gene:Solyc11g027887.1 transcript:Solyc11g027887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNINIKEKSLTRFKSHISPEHLPVQYGGLSNNNFDEDNKEFTDKDPATLITLKPRYKQPIEIVVNHNERCIIRWELRVVGWEVNYCVEYVRRDECAYNLIVHKAKKIFSSDEPLVICSGFYTGGDCEAPRSSVLYLIKPFS >Solyc10g055090.1.1.1 pep chromosome:SL3.0:10:56294295:56294477:1 gene:Solyc10g055090.1 transcript:Solyc10g055090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4D1A2] MTAKYPTSFGSVTMIDDTLTVGPDSNSTIVGRAQGIYGSANQDKGALLMILNFVFTTGKV >Solyc10g046840.1.1.1 pep chromosome:SL3.0:10:37479163:37479585:1 gene:Solyc10g046840.1 transcript:Solyc10g046840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNCYSNLGQLSIILSFIIFQFQVGSWCFNGSSVCRSSTILMDPLKLYDPIVGEHFNIFAASPKITDRVVTVNHGYSWKQTSKGRRRFHQIINENDWKLQDIVNRIDTKESGTLSGTFTYSVICLPELLLRHTADCHASI >Solyc01g100670.1.1.1 pep chromosome:SL3.0:1:90532558:90533052:1 gene:Solyc01g100670.1 transcript:Solyc01g100670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKYSGKQTVVGLDNEWKPNFSRYTNNKLATLQLCIDNTCLIVQLFYLDEIPQTLKKFLANPNFTFVGVEVGEDILKLKNEYGLVCYNQADIRDVAKKKWPCRYSRPGLKDLAYDICSLNMPKPKHVSMSNWEATELSVSQVEYACIDAYASFKIGQKLLMEN >Solyc10g006550.2.1 pep chromosome:SL3.0:10:1105615:1108501:-1 gene:Solyc10g006550.2 transcript:Solyc10g006550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLCATIPPFLIGLREATKMADDTSVRTLHLKVEKRKTEIRFEYSRNDNIGSSKPFGRGYGYTLRPTHFRPSKPNNISCLIATNPARPSRTTVSPPFLGSTGILSLIANATPKSIYPYDNRHDCSASWFSEVNRLTSGSTVPSCPRFKHSAHHTPKLVVDQGELSAFFIARNNTSIHCITSIFSQHLCEFTVGFMFGCVYK >Solyc05g026090.1.1 pep chromosome:SL3.0:5:39743475:39745126:1 gene:Solyc05g026090.1 transcript:Solyc05g026090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPYARRWTRGIDIDTESHHVLIPIRNQLDSMTEDQTPYNEILHTPPHCCMVDEPLWMACVTMFCLEIVEVHSPDRVMRQFGHSHHVPVIPSWGSNHHVHDQRRRLGPEVLEMMDKYFRDWGNRHQSLAVEVNDGTSGARYRLWYMRHGRLLIVAERHNLSFTW >Solyc01g060140.3.1 pep chromosome:SL3.0:1:69615939:69649355:-1 gene:Solyc01g060140.3 transcript:Solyc01g060140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNRAGQLTGKEIHGFRTLQDLDIPSILEEAKMRWLRPNEIHAILCNYKYFNIFVKPVNLPTSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNDERIHVYYAHGEDLPTFVRRCYWLLDKSLEHIVLVHYRETQETRGAPETSVAKSSPATPVNSSSSSDPSDPSGWILSEECNSVDEQAYGASQHANLEPNRDMTAKTHEQRLLEINTLDWDELLAPNDPNKLMATQEVGGRASVGQQSQCEVNGYSLNDGSSSMARAPIASLESFVGQVAGSDAVNFNPLNDMSFRSGDGQMTSNFQKKESGVMTVGAGDSFDSLNKDGLQTQDSFGRWINYFISDSSGSADELMTPESSVTIDQSYVMQQTFNITEISPSWALSTEETKILVVGHFPGRQSPLAKSNLFCVCADVCFTAEFVQSGVYRCVISPQAPGLVNLYLSLDGNTPISQVMTFEFRAPSAHKWTDPLEDQSNWDEFRVQMRLAHLLFSTSKSLSIFSSKVHQNSLKDAKKFVRKCAYITNNWAYLIKSIEGRKVPSMHAKDCLFELSLQTKFHEWLLERVIEGCKTSERDEQGQGVIHLCAILGYTWAIYPFTWSGLSVDYRDKHGWTALHWAAHYGREKMVATLLSAGANPNLVTDPNSENPDGYTAADLASKNGFDGLGAYLAEKALVAHFEAMTLAGNVSGSLQTTTEPINPENFTEEELYLKDTLAAYRTAADAAARIQAAFREQSFKLQTKAVESVNQETEARNIIAAMKIQHAFRNYESRKKLAAAARIQYRFRTWKMRKDFLAMRRHAIKIQAVFRGYKERKQYRKIVWSVGVLEKAVLRWRLKRKGFRGLQVQSSESVDIKPDGEVEDFFRASRKQAEERVERSVVRVQAMFRSKRAQEEYSRMKMAHNNASLEYKRLINPDN >Solyc03g006670.3.1 pep chromosome:SL3.0:3:1249831:1251726:1 gene:Solyc03g006670.3 transcript:Solyc03g006670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDLENPLTAFDGDDDDDNDVSALFAAESDHTPSFKSTDVHSSIRNHCFTLISQAQFSCDIDRFAAYLAVNYIDRFLSKQLILENKPWIIRILVTASLSLAAKMRNINNLPISHIIIPVSNNSSLDQSEHRDNEGLIFNLQSVHRMENLILTTLNWRLRSITPFAFLQFFNSLFELSNNQSLSQSLEDRASDVIFISHYEIKLVEYKPSVLASSAFLCAAHELIPQQVPFFLDAINSKCGHIYKEEVLNCWNVMREVSVDEVVCSSSLTPNSVLDQESTSENADRNNSKKRRLNDFRNNQTFYISQVQHY >Solyc08g081210.3.1 pep chromosome:SL3.0:8:64390353:64399235:-1 gene:Solyc08g081210.3 transcript:Solyc08g081210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSWWGKSSSKDVRRKSTKESFIDIINRKLKIFTTEKSSGKSGSSRRRRKDTNSVKGSQSRVSRSPSPSTPDSRSQVFADRTSSQPLPLPEGHSSNVHLVDSDNSGSIILVTGEVSEPSLTLPLPMPRHLPHGPTAAGVDRDLPTASVSCDSSSDSDDLTDSRFLSPQTSDYENGSRTALNSPSSLKQKVQSPIASNASSGEMLKSATLLSDNQAIPTSPRQRLLRSHVPPGLQIPHHGASYSAPDSSMSSPSRSPMRVFGHETVMNPGFWLGKPHGEITFLGSGHCSSPGSGQNSGHNSIGGDMLAQPFWPHSRCSPECSPVPSPRMTSPGPGSRIHSGAVTPLHPRAGGTLAESSTASLDNGKQQSHRLPLPPISIPHSSTFSLSCSMTPAIPRSPGRTGNPPSPGPRWKKGRLIGSGTFGHVYLGFNSESGEMCAMKEVTLFSDDPKSRESAQQLGQEISLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKILQEYGQLGELAIQSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRVKLADFGMAKHITGHYCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVAAIFKIGNSKEVPAIPYHLSDKGKDFVRQCLQRNPLHRPTASQLLKHPFVKSTAPMERFIGIGHLKDPPCVGSEEVAVHHEPRSSIFFPGFSDVPVPRSCPVSPVGIESPVYHSQSPKHMSGRLSPSTISSPRAVSGSSTPLSGGGGAVPLSNPIMPTTSSSEDMGTSPKAQSCFYPDAYTSHGLKSDMSREAPPYGNGFFGENFGGHAQSGVNGQPYQGQSVLANRVAQQLLRDQVKLSPSFDLNPGSPVFSWDNGV >Solyc02g070720.1.1 pep chromosome:SL3.0:2:40935869:40937363:1 gene:Solyc02g070720.1 transcript:Solyc02g070720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLPVEPTQRVAFEDLQTMGNPYVHELPHRDVFAFLEKA >Solyc03g006690.1.1 pep chromosome:SL3.0:3:1258310:1259013:-1 gene:Solyc03g006690.1 transcript:Solyc03g006690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYFQFLPFLLVSFLIFIKTIAQQEFLNCIYSHTSYKNIKKHIHLPKSPTYSYLLEYGQKNPRWLNSSSSHPIFIITPINESDIGAIIFYFEIAKKGENLAFPGGLYPSVGSGGGIGTLMRKFEIPFPCRKGNLFNIQYMVNWYDNSENISNKKIKWDLDFGKNDDEYRYLNSRVWGEKYFDGNFERLAKVKSKVDPSNFFRFEQSIPPFSVST >Solyc01g095100.3.1 pep chromosome:SL3.0:1:86374134:86375837:1 gene:Solyc01g095100.3 transcript:Solyc01g095100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDWDLHAVVRGCAANPFVPRFDNPISDFEELHNLYKPFFPKAQQIPLSPQNNTNNIPISPLSVLGGLQDLSPQQTLKQQQHIHQLNSTRLTQPKQSPLSAYGSTTTSASHVQSPRPKRRKNQLKKVCQVPAEGLSSDMWSWRKYGQKPIKGSPYPRGYYRCSTSKGCLARKQVERNRSDPNMFIVTYTAEHNHPMPTHRNSLAGSTRQKPANSEAGTVSDSNKPTSSSPVSSPACHSPATEKQESSRDEREDIFEDDDDEFGSSNMGLDNMEPADDDFFEGLDELAAQTTGDCFSDNFPGSMQLPWMSNNATTTAAGGV >Solyc01g058480.1.1.1 pep chromosome:SL3.0:1:66717560:66717955:1 gene:Solyc01g058480.1 transcript:Solyc01g058480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEVVWEKSFNELVTIGYTDLPSYIGPHVVYDSCRSMGFESNINMNYNLTWVFSADANFSYLVRFHWCNFIVYSTKVNSLVFIIYINGKIAESGVYLVAMEHGENEIHLVKYYMTHVDVKKGDDLLLIAVH >Solyc08g067805.1.1 pep chromosome:SL3.0:8:56906232:56907518:1 gene:Solyc08g067805.1 transcript:Solyc08g067805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTMDQTMMSPTYVSIYFVNGYYHDYIPITTKGLELELVKILTAYTAIDLSSNKFEGHIPSILRDLIALRVLNLSHDRLQGHIPPSLGNLSVVESLDLSFIHLSGEIPQQLASLTSLEFLNLSHNYLEGCIPRGPQFATFQNNSYQGNARLRGFPVSRDCGYDWVLETNYTVSALEDQESNSEFLKDFWKAALMGYGSGLCIGLSIVYFMISTGNLKWLMSRMGRHWHHGFGYPYVHF >Solyc04g025450.2.1 pep chromosome:SL3.0:4:22831625:22834433:-1 gene:Solyc04g025450.2 transcript:Solyc04g025450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVPTRDLSGLRGGEVATLAPSVSAMQELHFEVLMVRAIFCTLNKRHDSAMVLILLMHSETEVIFVYQKLLEISQGALALLAGKKHNLPRLADLSN >Solyc09g072530.1.1.1 pep chromosome:SL3.0:9:65514689:65514853:-1 gene:Solyc09g072530.1 transcript:Solyc09g072530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPRYPSLRLSAPRYPLPPLEALGPKVPPSPPRVSRGSRVRGTPYPPSQGSRP >Solyc12g006180.2.1 pep chromosome:SL3.0:12:722434:728488:1 gene:Solyc12g006180.2 transcript:Solyc12g006180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVDLGKLFVGGISWDTDDERLKEYFSTYGEVVEAVIMKDRTTGRARGFGFVVFADPAAAERVVKEKHNIDGRMVEAKRAVPRDDQSTTSRSSPSIHGSPSPGPGRTRKIFVGGLASTVTETAFKQYFEQFGTITDAVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKSYHELNDKMVEVKRAVPKELSPGPSQSPLGRYSYGLNRMNNFLDGYAQGYSPNTVGGYGVGMDGRFSPITGGRSVFTPFGSGYGMGLNFEPGLSPGYGGSANVNSSLSYGRGLNPYYSSSRLGGGIGFDGGSGGGNTSFLNSANRNLWGDGGLHHGTTSTSSSNFLVSGSGGNIGGGSFSNSGVWGSSISSQGGGNVSSKNGNLGYVNGDSIYGMAGGYGRNVTKRGAAMPSGSRGSYDGGPLADLYGSGLGYDDHTWRPSNSEQDATGSLGYGLGNGPSDMSPQSTSGYVGSYGVGNRQPNRGMDG >Solyc11g010310.2.1 pep chromosome:SL3.0:11:3393255:3402308:1 gene:Solyc11g010310.2 transcript:Solyc11g010310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRGSYPVFQSGHGFHRNSPEFSKRRIPNFTIQLRATPVNWKLNWQHLNSLITKLPFTPENPSVVDSSYIVGTLSYVEWCQTLEVMVKLWELRLSGEHCFNPILKAKVELPSDKEELNERIEGVFLEKLNRLINGVLVQKWQKKLGFVIDEIGKISLLLKKPNRIGVYQELCKKKKGIEGERDLILSRIDEYKNGIKCIIDNLEDSKNYEDVKVFDFGEGIDWNRIHFIMMRECRRLDDGLPIYGFRQQILQQILSHQVTVLVGETGSGKSTQLVQFLADSGIAGDGSIVCTQPRKLAANSLASRVREESQGCYDDCSISCNPPHSSDQQLDSKVIFMTDHCLLQHYMGDKNLSNISCIIVDEAHERSLNTDLLLALIKKLLHQRFDLRLIIMSATVDANQLAGYFFGCGTFHVAGRTFPVDIKYVPCEDNAHYAVGAIASYVHDVIKMVTEIDRTEGVGAILAFLTSQSEVEWACEQFKAPLAIALPLHGKLSYDDQNRVFLSYPGKRKVIFTTNLAETSLTIPGVKYVVDSGMVKESRFEPGSGMNVLRICSVSQSSANQRAGRAGRTEPGKCFRLYSQSDFEDMPRHQEPEIRKVHLGVAVLRILALGIKNVQDFDFIDAPSPKAIEMATRNLVQLGAVTQRDDASYELTEGGLKLVKLGIEPRLGKMILSCFDQRLGKEGVVLAAVMANSSSIFCRVGSEGDKLKSDCHKVQFCHPSGDLFTLLSVYREWEIVPREKKNSWCWDNSINAKSMRRCHETVLEMEACLQNDLNMILASYWCWHPQVHNKCDEVLQSIILSSLAENVAVYSGYDQLGYEVALTGKCVQLHPSCSLLNFGQRPRWVVFGDVLASANEYLVCVTAFEFSSLVSLTPAPLFDFLKMDARKLEKKVLTGFGVLLLKRFCGKFNSSINNLVSRIRTSCKDERIGIQVNVDENEVLLYASSRDMECVTLQVNDALEYESKLLRNECLEKCLFNGGSASVALFGAGAVIKHLELEKRCLTVDIFPSNGNAIDDKELLMCLERATSGNICMVHKYYNMGQDKVENKWGTVKFLTPDAAEQATSLNKVEFNGGFLKMVPSRSINCSDQKMFRSVLKAKVSWPRRYSKGVGFLRCDPMDVPLILDDLSDLMIGGNVIRCEASDKNPNNIVIARLDKDMAETEILEVLRATTNRRVLDFFLVRGDSVEDPPIATCEEALRKEISPFMPKKVPFVNSVRVQVFQPKLTEYFAKATITFDGSLHLEAAKALEQIDGMVLPGCLPWQKIRCERLFHSSVSCPAAVYHVIRNQLDFLLASLRQRKVGKCELQRNDNGSYTVRISATATKVVADLRRPLEQLMKGKIVDHVDITPKVVRLLFSREGNNIMRTIQRETGTYIYFDKHSLLVSIFGSLDNVDRARQRFIDSLLALHENKQLEVHLRGGHLPHDLMKRVVQTFGPDLSALKEKVPGAEFSLNTKRHCIYMNGTKDMKQNVEDIISEIAQRSFPTQTTGDDADCPVCLCGLEDPYKLEACCHLFCRTCLLEQCESAIKSREGFPICCLHQGCAEPILLADLKSLLSIEKLEELFRASLGAFVAANGSTYRFCPSPDCPSVYRIADPDMVGAPFACGACYVETCTSCHMEYHPYLSCEMYQKVKNDPDCSLEEWSKGKENVKKCPVCRCTIEKVDGCNHIECKCGNHVCWVCLRFFDTSDNCYDHLRSVHRSIT >Solyc11g006550.2.1 pep chromosome:SL3.0:11:1191912:1201390:-1 gene:Solyc11g006550.2 transcript:Solyc11g006550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRGLKLEQRHGKSRVRVARVWRDRDGKHHFAEWSVNISLISDCLPAYVSGDNSDIVATDTMKNTVYAKAKECSEKLSAEDFAIVLAKHFTSFYQQVTAAIVNIVEKPWERISIKGQQHEHGFKLGSERHTTEVMVDKSGTLHLTSGIEGLSILKTTKSGFEGFIRDRYTMLPETRERMMATEVTASWRYSFESLSSLPLKPLYFTDRCIDVKEVLLNTFFGPSKEGVYSPSVQATLYEMAKAVLGRSPDISFIQLKMPNIHFLPVNLPSKDKPDIVKFADDVYLPTDEPHGTIQATLSRLTKEYYEITWASLRCMVEPEFAKRSVISHAEYLPHAKIIPSAAVDITDTYVLTKQGSRIAYDYLVVATGHTQSGFETRTEKISQYQAEYEKIKAADSILIVGGGPTGVELAAEIVADFPAKKVTLVHRGSRLLEFIGESASKKALNWLTSKKVEVILEQSVLVNSQSDGVYRTSGGETIVADCYFLCTGTLFGSVWLKQTILKDSLDSRGKVMVDSNLRIKARKNIFAIGDITDVPELKQGYLAQEHAKVVAKNITSLIKGVEDDHKLAVYKPATKALAIVSLGRKDAVAQFPCLSIAGRIPGMIKSGDLFVGKTRKGLGLQPSV >Solyc10g049860.1.1.1 pep chromosome:SL3.0:10:46891854:46892174:1 gene:Solyc10g049860.1 transcript:Solyc10g049860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCTSCGQVIVESRLVFMFRLIEWTPVLRNGQSQHMFCHNSSLRITSGNEMNIVCEVADKEEAVYQQNSSHQQITQYFQYYFIRTFQATYFNFWTWVQLYEYKFH >Solyc09g066330.2.1 pep chromosome:SL3.0:9:64999703:65000285:-1 gene:Solyc09g066330.2 transcript:Solyc09g066330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKSDIIIVAKIASTSFTNYINAKLRYIFIYICMYLIILMDFFKDDQPELASEFLKRAAKGGHIGAFYVIVNIFQNR >Solyc07g053560.3.1 pep chromosome:SL3.0:7:62102411:62107943:-1 gene:Solyc07g053560.3 transcript:Solyc07g053560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKALAKSKRAHSLHLNKKHNPHHASKGSSAVSGTSAGDKKVTVKQVKEKPKPKLPSNWDRYEEENSDSETATPAGASNASDVVEPRSKGADYAYLLSEAKDQLQFSSEDVSFGDDILDDFYQGLGALLSAKGQSKSSWIAEDNFAMEDKAPPPTKASFLSLDLQALSEQLERASLQERLFIEPDLLPLVLNDQESQSAAKEKHDSDLASSKSSTAEKDSNSLTSTNKSNENRHQDSHLGTTSNNSRHPTLADESSNPSTASKDEAGQNDTLMCVSKKPSAFKAAAAEAELDMLLDSVTEIEICESTNVIDQSIRPFPATQAGTPTPLSEVSTQPKRDHDQPKPAISDISLDDTLDDLLKETSIVTKVSSTAGHASSASQPVSKSKIIDDFDSWFDTL >Solyc01g095370.3.1.1 pep chromosome:SL3.0:1:86523933:86527154:1 gene:Solyc01g095370.3 transcript:Solyc01g095370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSWVSHCPDYVVPDMVEFDSFSELNDEENREASSVPVDLILPDDLLERILAYLPIASIFRASCVCKRWCEIVNSRRFLWNFSQVLSQKPWYFMFTSSEEPVGYAYDPSLRKWYSIDLPCIQTSNWFIASSCGLVCIMDNDSRSELYVCNPITKCSKNLQEPPGLKFSDYSALAICANMKTSCYSVAIVKSKQVPGNFYQWDLSIHIYDSGTMKWLTPLTEVLTGWRGGDESVICDGVLYFLIYATGGGGLESRHGLITYNLSSRSSHCSLIKTFIPVPCSLTCGRLMNLKEKLVMVGGIGKPDRPDIIKGIGIWALQGTEWQEIARMPHKYFQGFGEFDDVFASSGTDDLIYIQSYGAPALLVFDVNQKQWRWSQKCPVTKRFPLQLFTGFCFEPRLEMSP >Solyc09g004991.1.1 pep chromosome:SL3.0:9:1398:3218:1 gene:Solyc09g004991.1 transcript:Solyc09g004991.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKFCGCLSRLCYAASWPSQPIKTSKIPNFFVDVRQYLCYAARFPSRPIQLIFKVIRIPTSKMPKKFCGRLSRPWLCIQLAITASPTLFQDSDVKNPKKNCGCPSRPCLCSRLAFTASLTHFEGQMSPEANIPQFRRFSCAIANHFLAGWLSRPVQPILNVKRALKQAYPSFRRFSCAIANHFLASCPSRPIQLIFKVIRIPTSKMPKNFVDVRQDLVYAVSCPSRPVRPIFKGHPDCDVKNSKKNCRRSSRPWLCSQLAITSTLNHFQGQTSPEARIPLFRRFLCAIANNFLGYPDSDVKNAKNFVDVRQDLVYAAGWPSRPVRPILKVTPRDQHP >Solyc01g008960.3.1 pep chromosome:SL3.0:1:2910556:2919315:1 gene:Solyc01g008960.3 transcript:Solyc01g008960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGO4A [Source:UniProtKB/TrEMBL;Acc:K4AT92] MAEEETNGAAAEGLPPPPPVPPDFTPAKTEIEPVKKKILRVPMARRGVGNKGQKIQILTNHFKVNVNNVDGHFFHYSVALFYEDGRPVDGKGVGRKVLDTVHETYDTELAGKDFAYDGEKSLFTIGALPRNKMEFTVVLDDVISNRNNGNSSPGGHGSPNEADRKRLRRPYQSKTFKVEISFAAKIPMQAIANALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDVGAGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIIQPGPVVDFLIANQNAKDPFSLDWAKAKRVLKNLRVKTTPTNQEYKITGLSDRPCREQLFTLKQKGKDADGEVQTTEVTVFDYFVNHRNIELRYSADLPCINVGKPKRPTFFPIELCSLVSLQRYTKSLSTFQRSSLVEKSRQKPQERMQVLSNALKINQYDAEPLLRSCGISISNNFTQIEGRVLPPPKLKTGGDDFVPRNGRWNFNNKRLVDPTKIERWAVVNFSARCNVQGLVSDLIKCGKQKGIMVEDPFDVFEESPQVRRAPPLVRVEKMFEQVQSKLPGAPKFLLCLLPERKNCDVYGPWKRKNLAEYGIVTQCIAPTRVNDQYITNVLLKINAKLGGLNSMLTVEHSPAIPMVSKVPTIILGMDVSHGSPGQSDVPSIAAVVSSRQWPSISRYRASVRTQSPKVEMIDNLFKRTSDTEDDGIMREALLDFYVSSGKRKPEHIIIFRDGVSESQFSQVLNVELDQIIEACKFLDEKWSPKFVVIVAQKNHHTKFFQPNDPNNVPPGTIIDNKVCHPRNYDFYLCAHAGMIGTTRPTHYHVLYDELGFSADDLQELVHNLSYVYQRSTTAISVVAPICYAHLAATQMGQWMKFEDASETSSSHNGVTNAGPVSVPQLPKLEEKVSSSMFFC >Solyc01g108070.3.1 pep chromosome:SL3.0:1:95329061:95333719:1 gene:Solyc01g108070.3 transcript:Solyc01g108070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding APRIDPITTTAASAPPKKVLVPIGFGTEEMEAVILADVLRRAGAEVTVASVEKQLEVEAYGGTRLVADTFISACSTEIFDLVALPGGMPGSARLRDCEVLQKITSRQAEEKRLYGAICAAPAVALLPWGLLKRKQTTCHPAFIDKISSFRAVKTNTQVSGELTTSRGPGTSFEFAICLVEQLFGEPVAREIGELLLMNPAGDDPKRQEFNEVGWSLDRTPQVLIPIANGSEEIEVVTLIDILRRAKVNVVVASVEKSAQVLASSGTKIVADKLINATSDSIFDLIILPGGTAAAERLHKSKILKKLLKEQESAGRIFGAICSSPAVLQKQGLIKDKKATAHPAVLDKLKDGVNDAQVVIDGKLITSQGLATAIQFALAIVSKLFGHARARSVAEGLVYQYPRS >Solyc07g038175.1.1.1 pep chromosome:SL3.0:7:45652056:45652436:-1 gene:Solyc07g038175.1 transcript:Solyc07g038175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDFGNLRYFLGFEVLKSREGILLNQRKYALQLISNVGLSGAKHARTSLEINHNFTNMDYDKQISATDDPKFDEITTYQKLVGKMLYLIVKRPDICFGVQVLSQCMEQPKLSNSETTLRIIRYVKK >Solyc12g056060.2.1 pep chromosome:SL3.0:12:62970997:62975099:-1 gene:Solyc12g056060.2 transcript:Solyc12g056060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEMAKQRLKGSLKAFQIHMDPEKEAQMKGIKIEIENKVKRIVKLSKSMNKGSREGNTRRRAELIQLVDDLHKQYQSLYAKYENLKGEVKYNLCAKAGDDAASSSSSVSDSEAYHSPWQFAGEGTSYFSNTTNHENASLDLDSLPDSPTSSVQEPESRDFFKDLNNQGTENSMTEKLMNESAWLKEKLTEKEEDILSLTKKYEVHESERLAEIKTLEDQIEMMKIELETSCVQKKELGEKFTCKSNEFKQMEAAFQEKSDQFSSLLTRFEENQTNSKSKIDDLMAQAKSLQQELDSLHTERNEQLKSFNNQKREMELSLEKKCHEGTVEVKDLNEKIKFLQQELEATSHRKSEVEMSLKEKNEELSECHLQIENLKEKLTRASSIEKEILKAKEGLQLEVDSLCSQKSDLEKQIRDINQEAHRSQLEKEELTDKMKELETILLEKKHEMSTLQKKHEAYTNENSAMATQINTLQQQLRTEETEKVLLLSQLEKDKHNSYNSLTHMEKKNIDLTTKITDQAKSIRENEDIIKKLNEEHRQMKIRLEDSKSNLQSAEKKIEEMTEDLRKKFEDGLRILSRRIRVAEQMHLENKEWYQKTRDSYEKENNDLKEKNSRLQVGVRGIKDMTLTANDTLASLDTIALKFEECTGHFFNRMSKVSCELKFVKDWVMRKNKAIVHVQDDLDCLLEQLDIKEAEILVYREKVWKSDNKVRELEKMIKEKDDSMLALKEEKREAIRQLCIWIDYHRSRSVYYQKSLSVFGSRKNL >Solyc07g026725.1.1 pep chromosome:SL3.0:7:30859223:30859804:-1 gene:Solyc07g026725.1 transcript:Solyc07g026725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAALEMKDLGPAKQILAMKISRDRSVGTLNLSEELYIEKVLKRFRVNDAKPMTTPLANHFKLSKEQSPKTAEERKVTLQGFVDADLGGDVDSSRSTSGYVYTIVGTTVSWMSWL >Solyc12g035750.1.1 pep chromosome:SL3.0:12:44466060:44467951:-1 gene:Solyc12g035750.1 transcript:Solyc12g035750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAFPIYFATSPIACSYFVFPLISHQIWFFLIHSFYWKQRMKYNRFLHFRSTCFSFFLLLTPPWVVSNVLHFPYFMGTTSTYSLVIKLQPKIYDHITLTICNSFIPSVCSEILVIVIRLPELRDLSVETSMNNHHFFDGFSTSHSYFFHTSIYLVIVWGVDKLIPVDVYLPGCPPKQEAVIDAISKLLKKISRELYEYRIRSQQANWCFTNNHKFHVRRSIRMGDYDQRVHYQPPSTLDIPMERVFK >Solyc06g062370.3.1 pep chromosome:SL3.0:6:39452488:39453614:-1 gene:Solyc06g062370.3 transcript:Solyc06g062370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCFTLLLFFSSIVAVALASNVEEAVISQVVEIHRLRPQTGSAGYTVPQLDCLSWRLAIETNNLQNWRSVPKECTNYLGHYMMGKQYRHDCEYVAKQAIEYAKSLKLSGDGKDVWVFDIDETTLSNSPYYARSDVAFGAKPYNATKFDAWIAEGKAPAIPSILKVYNTVLSLGIKPIFITGTKQNFKQVRIVNLKEAGYANWAALILKGANDTGSAVKFKSSKRTALVKAGYRIIGNIGDQWSDLIGENVGARTFKVPDPMYYIG >Solyc11g056380.1.1.1 pep chromosome:SL3.0:11:45638978:45639439:-1 gene:Solyc11g056380.1 transcript:Solyc11g056380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4D8U9] MCNVLPGDKSQTQESLKALIYGTDIYLSPMHASSEVAQKIFSSLRPYKLNIPEASRDRRLGTAIEVAPAIIQGPSTEMSRGVVKRAGDNNRIIVCTGGPNTNVPGLAPFSSVPSLSSRMLSVLIHILPSISKKNEKCYDILNDIRASTQQSLP >Solyc05g024230.2.1 pep chromosome:SL3.0:5:30890537:30897748:-1 gene:Solyc05g024230.2 transcript:Solyc05g024230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPFIPAVIVILYLFPLLRHSSGNENQLLQLQLWRRIPQRSTLAEVRSTVDKNDISMSENFCDLPPFESFFPNDLFQFENPVTISDLFFEPDTLPDCNNMLFGSSTTNCGFGSSSWPVEDFFQDFGDVFGSNPLSNYQMKVVKGDYGYVLEDVPHLTDYIPDLPMYIRGALGVSEDITNIEDFFEVVNLGPNLKSVHADAKEISLGNCTKNNQWTVMIMQIHEFNLLLL >Solyc05g055350.3.1 pep chromosome:SL3.0:5:65882348:65887023:-1 gene:Solyc05g055350.3 transcript:Solyc05g055350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISLATTIPKVPSFSTFYPSTLNQTQYNVQKPSHGISKQTHISLPAPCAFKSSSTGFLSAIERAIEEEEYRKARAEVIRKGLNVEGYSIEGHSIGGHETCVIVPQLKAAFDIGRCPSRAVHQNFLFITHAHLDHIGGLPMYIATRGLYGLKPPTVFVPPSIKEDVEKLLDVHRSMSQVELNLDLIALDVGETYEMRNDLVVRPVRTHHVIPSQGYVIYSVRKKLKKQYIHLEGKKIEKLKKSGVQITDSVLCPEVAFTGDTASDFYLDPRSADALRAKVLITEATFLDDNCSVEHAREHGHTHLYEIMEQAKWIRNKTLVLTHFSPRYSVEEIRQGISKLQPQISAKVVALTEGFKSMHL >Solyc10g006155.1.1 pep chromosome:SL3.0:10:884324:885705:-1 gene:Solyc10g006155.1 transcript:Solyc10g006155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAVKLTDMSAADVNLLELLSVMDSTSALVMIWQFSMKSRGDFEFDVSEGARDNSATGGRDGVLRRNDKSTKGPCRHCGVHNGGFIFGLWL >Solyc04g056680.2.1 pep chromosome:SL3.0:4:54602279:54609204:-1 gene:Solyc04g056680.2 transcript:Solyc04g056680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLPEGCVSKILSLTSPKDAARSSAVAKKFMSAAECDNVWEAFIPSDYLQAISRSGNLMGFPSKKQLYFSLCDTSILLDGGKLSLSVDKNTGGKCLMIAARKLGIIWGGTPAYWEWLSHPDARFSEVAKLKSISWLDIRGKIGTRMLSKRTSYCAYLVFKLEDRFYGLTNVKAVVRFADRESDHDVRERAKVVHFSGEGSGATLPLIRSDGWMELKMGNFFNDRGEDGAVEARLMQTRQTWKHGLIVQGIEFRPE >Solyc03g122010.1.1.1 pep chromosome:SL3.0:3:71495456:71495875:-1 gene:Solyc03g122010.1 transcript:Solyc03g122010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMLAYSSIGQIGYVIIGIIVGNSNDGYASMITYMLNYISMNLGTFACIVLFGLRTGTDNIRDYAGLYTKDPFLALSLALCLLSLGGLPPLAGFFRKLYLFRCGWQTGLYFLVLIGLLYFVMESCNSIKKNSVKFFLY >Solyc03g112220.1.1.1 pep chromosome:SL3.0:3:64174656:64174946:-1 gene:Solyc03g112220.1 transcript:Solyc03g112220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNQYWQSISNSDDEYLDHIKRMEKSPTMHPDIPLYPNVSSLFKNKLNNKGLVNRQKNQAAESQKKVQFADSNKNGKEKPTGFMLHKWRTYKVSA >Solyc03g043790.1.1.1 pep chromosome:SL3.0:3:7459068:7459502:1 gene:Solyc03g043790.1 transcript:Solyc03g043790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGKKIADGHKEMETIDLENYLFDVVVTDGCNQNLGGKRKGDDNQLKKNCFKKQKNAVDREDSPKWLPLHNEDFGVELTRPWGVLDSSLSEGDNASPLPEYDLFKGNKESRNNGSENSKITTYDTHYNGGSGWTSRRIMHILK >Solyc06g036305.1.1 pep chromosome:SL3.0:6:25898099:25906133:-1 gene:Solyc06g036305.1 transcript:Solyc06g036305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMALPNLGSFSNPTATASAAATPSASNPLSPSDDPSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKATKSAAPAVSLVPTSFQASLPLPEPGIVKRPDSSLLPSNAAPVVSVPSWTDNSAPPVSLSQMKKDKVRETGQPITNNRCCSSNGSTPRSKSTVKVMERTQVPSLRVLPDFAQVYNFIGSVFDPAVTGHLQKLKKMDRIDVETVLLLMRNLSINLTSPDFEHHRQLLSSYDIEMEKQCK >Solyc06g060017.1.1 pep chromosome:SL3.0:6:38072433:38078930:1 gene:Solyc06g060017.1 transcript:Solyc06g060017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELLSTKMVKTFVAIRKDEISSLASSIRSMRGSTINMTEKISLFSNYIICRSAFGKICKDRDEFITILKEILLLTGGFDVADLFPSWKLQDNRAHKKVDIVMEEILNEHIENKAAGKKGNGEFGDEDLVDVFLRVKENAELQFPITNDHIKAVIFVSLLRTLAMIIIFKNSDIMAKAQSEVRRIFKGKKKYDEEDFEELTYLKLVVKEALRVHLPAPLITPRKCREQTNINRYIIPIKTRVLVNAWALARDPQSWVTLKVLYQRDLRTRLLTSKEITLRRRMCPEMLFGLANVTHPLAQLLLHFKWDLPNGTNSKDLDMTETGGIATTKKKNLLLVATCHRNEEEF >Solyc06g064850.2.1 pep chromosome:SL3.0:6:40542894:40547307:-1 gene:Solyc06g064850.2 transcript:Solyc06g064850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNSVSDDWEFIGNETQTLLMIGCIGEGKSATGNSILGRNAFQLRSSSGAVTGTCEIQRTRLEDGQILDVIDTPGFCFTAESGIVGKEIGKCIDLADAGVHAVLFVLSVRTSFSKEEQAAIQYFKKLFGTKITDYMIVVFTGGDKLEDNDSLNDHLDHSCPEDLKEVLKMCGNRQVLFDNKTEDPAKKAKQFSELIFHVNMVVETTGGKPYTSNLFEEVKKMKLRNDSLEVSSMLGDLKEGVTELKEKLRRFSLVEQKRRITKMVESNMNKTMHSLEKQLEEERTARLEAEKEIRELKDSLEKAQRETEELTVKTRSYSCYKCRRHVSFHDDIISTNFQSKNSKAFLFAHVRNVVVGTYEQKRLTTGLHTIADIHCVDCNEVLGWKYERTVEPSQKYKEGKFVLELCKIVKDNW >Solyc02g077120.3.1 pep chromosome:SL3.0:2:42742705:42744109:-1 gene:Solyc02g077120.3 transcript:Solyc02g077120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEIGGNDFNYGFLGNSTKEEVESYVPAVVKTISSAIQELIELGASTLLVPGDLPIGCSTAYLTKFMHSDKGQYDPKTGCINWLNKFSQQYNELLQKELHLLRDLNPAATIIYADYYNAAMQFYASPKSHGFRKGALVACCGAGGPYNFKFSALCGDPSARDICSDTSVYASWDGMHFTEAAYKWIATGLLQGTFTFPPVPKICTNRFNPNVS >Solyc01g056220.2.1.1 pep chromosome:SL3.0:1:52554891:52555722:-1 gene:Solyc01g056220.2 transcript:Solyc01g056220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus F-box protein type-2 [Source:UniProtKB/TrEMBL;Acc:K4AVJ1] MSYGIMKKLHEDVVMQILLELSVKSLIRFKSISKILYTLIHSSIFINLHRNRRRNTKDELLILKRPIFLDENLYKNIMYFLSSNDNDDTLKHVSPEIDVPYFENDFCVQFQQLIGSSHGLIALTDYEEIIFLNPTTRKYRLLPHSLFRCPKDFVFVPRGVGFGYDSIEKDYKVVRFYKLSSEPYDRDLEARHSRVEVYDFRTHTWR >Solyc02g067600.1.1.1 pep chromosome:SL3.0:2:38312337:38312660:1 gene:Solyc02g067600.1 transcript:Solyc02g067600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILHKIEEKLHIHHKHGDDDIPAAQEIPVIKDESNVDKKEDDVVDDHKKRHLVKKVGKIAKKLLHGHNKTSKEEEEEEEEEAEAEEGEEVEAEEGGFEFELNFDF >Solyc08g061903.1.1 pep chromosome:SL3.0:8:49740728:49748567:-1 gene:Solyc08g061903.1 transcript:Solyc08g061903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNCAYLVVSLGSIQKSLIYIMHNRGHYQVHITHSGDQNITYSNLYDGDFPKRYTSYVTTRNTVKGTVVVVLHGESHRLRHCRKRGQKGNYDNKKSNLVRRYYKKTGHSIQNSVKSNVVTSIELSGDFFMTGAESKEHEKLLTQGPSMKSPMLLGES >Solyc04g007320.2.1 pep chromosome:SL3.0:4:1035127:1040604:1 gene:Solyc04g007320.2 transcript:Solyc04g007320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQKSSTTCLIPITPEIIRWSYDVFLSFRGKDVRKTFVDHLYVALQQKGINTFKDDDKLEKGDSISPGLARAIEESRIALIIFSKNYAESSWCLDEVVKIMECKKVKKQIVIPIFYDVDPSTVRKQKSSFEEAFNKYEDCIKVQKWRGALEEAANLSGWDLPNTSNAHEAIVIKQIVEDIMARLCGQRHTKNAENLVGIESRMHKVYKMLGMGSGGVRFVGIFGMSGVGKTTLARVIYENIRSHFEGSCFLHEVRDRSAKQGVEHLQAILLSEILLMKDVNINNLYEGVNMQIQRLQHKKVLLVLDDVDHVDQLDVLARKREWFGHGSRVIITTQDKHLLVEHEVEKIYRMTTLNEYESLQLFKLYAFKKNRLMDEFRDVSAQIIRHCDGLPLALKVLGSFLYGRDLDEWTSEVERLKQIPEGEIVKKLELSFNGLNRIEQKILLDIVCFFIGKKKESVTRILESFNFSPVIGIKVLMEKSLITVSQGRILVHQLIQEMCWYIIRQEASDDPTRYSRLWLPDHISNVLTGDLGSEKIEGISLNLAFAQEVNVSSAAFRQMSRLRFLSIQNKNVHRGPNFLPGELRWFNWHAYPSRSLPVSFQGEKLVGLKLKDSRIIQLWQGSKILGKLKYINLSESRKLVRTPDFSGIPNLERLVLERCVNLVEINFSVRDLRRLVLLNLKNCSNLKTLPKIIQLESLKVLILSGCLKLKKLSEIKEEMNRLSQVYLEGTGLRELPESIDNFSGVKLINLSNCKYLENLPSSIFKLKSLRTLDLSGCSRLEKLSDDLGLLDGLEELHCDDTAIRTMPSTISQLKNLKHLSLRGCKNALGLQVWSSLFLSRLFRKDHNSIGLVFPNLSGLCSLTKLDISDCNISDGGILSNLGFLPSLEEVNLGKNNFVGIPSASINGLTRLKVVELVGCKRLEIFPELPSSIEEVYADECTSLRSIGIDQLTKYPMLSRVSLTQCHQFVKNEPDVAIIDSLWNHMLKGLSMVDDEFSICIPGSEVPDWFMYKNLGPSLSVKLPKNWYTNKFMGFALCVVFDSFKEPSCMNNAYLQKIPGFLVMFKLVRHDGKTGVFFKSIGSVGSEECPDSGHTLLAYASFDNFWSMYEKHVCNPNDWIQIEVCETDANVAIKGWGMHLLYENDIINDELMIQNATSQNGKMGLFHVIFDGSKYVKRKRHGHKSFSRLPPDDEPAGYVNSFGETSNEDFDETLFGRGITGIVEAQQTVTISMPESTFNWMMRPSVLLSM >Solyc12g011460.1.1.1 pep chromosome:SL3.0:12:4274057:4274491:-1 gene:Solyc12g011460.1 transcript:Solyc12g011460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTGIHEQSDHPRQICSNRRRHHQSIYHLRYCHHHFGYNPCKVARLATLQLVASSSTTITVAVSVACLTTLQGNVAKMKMVVAEVVEIMAVLVKMVAAAAVATNMVRMVTLHMNALVVVVDRGFNHSYVFIFYGVIFFVFISS >Solyc06g053420.3.1 pep chromosome:SL3.0:6:36279736:36293400:1 gene:Solyc06g053420.3 transcript:Solyc06g053420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVEAALEFFLPSWWEVQVAVAAASFVILSCWFFNLGGGDIVDYRPRVDGSPTVRSEKVEDDPACRASMSQLKGDSQTTSAYLIKVELLAAKNLTAANLNGTSDPYAIISCGSQKRFSSMVPGSRNPMWGEEFNFSVDELPVEINVTIYDWDIIWKNAILGSVMIPVENEGQTDAEWHTLDSSSGQVLLITTSLLSINATFSLWWFWRGLNGYANARRRITLDKQGPTVVKHSYSCALERSFLYHGRIYVSTWHICFHSNVFSKQIKVIIPFAEIDENVYLGHLREISKNTFFQIRRSQHAFVNPAVTVILRMGAGGHGVPPLGNPDGRVRYKFASFWNRNHALRSLQRSADNCHDILEAEKKEMEQSALRAHSSSVKGCKKMEINQEESVTKIQKSQPFIKEEVLSSVDNDGLTCTAEQFFDLLLADGSNFTNEYRAAGKDFNLKIGQWNSADDYDGQVREITFRTKCNSPMCPPDTAVTEYQHVVLSLDKKTLVFETVQQAHDVPFGSCFEVHCRWFLETTSESFCSLDIKAGVHFKKWCIMQSKIKTGAINEYKKELATMLEVARSFVKPRVSVSEIENIIYRSQSLYDHITLSPPRRSNVRPCIIHES >Solyc01g020170.1.1 pep chromosome:SL3.0:1:27753036:27754991:1 gene:Solyc01g020170.1 transcript:Solyc01g020170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDGENNHDHLCDDIWSYLDWNDHQVESGETEGNKLLDPTGSDTCEPFTVINEVVEVSVNVAKKRSSANRKKNGKEIAEPNSGVYGAEVRKTSKHEVRKWTERERRKKMRTQFENLHALVPNLPAKADMSKIVYEAVNRIRKLKNTFKKLESQKLKSLEEYNIRLTGSQKVDNSWEKYVGDQGSTCNSIAIIPTNHGASPLIPTSFMTWSSPNVILNVSGEDAHISVCCPKKPRLFTTICYVLEKHKIDIVSSQISSDQFRSMFMIQAHAKDGSGVAQFSEAFTVEDMYKQAANEIMLMTTPK >Solyc03g033900.1.1.1 pep chromosome:SL3.0:3:5562946:5563497:-1 gene:Solyc03g033900.1 transcript:Solyc03g033900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKTAGRQKIPIEKIQDETKRKIAFSKRLPSLYKNASKIVRACNVDIGIVSSCPSGRTQYSYIHPTSAVVIDHFVNPTIELDLGTRLVAENARNIAIENNIRLNELEAREAAEKEKIRSLEQMNNAREKCWWESIDQIDAENLTTFETTLNFAEGILKDQLKKLEIGTSSSSEAPPENEDS >Solyc07g014650.3.1 pep chromosome:SL3.0:7:5030546:5038839:-1 gene:Solyc07g014650.3 transcript:Solyc07g014650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTITYQKYTLNIWDVGGQKTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCRYELHNLLKEERLSGASLLIFANKQDIQGALSPDEIAKVLNLEAMDNSRHWRIVGCSAYTGDGLLEGFDWLVQDVASRIYMLD >Solyc09g042675.1.1 pep chromosome:SL3.0:9:25008984:25011445:1 gene:Solyc09g042675.1 transcript:Solyc09g042675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVIPPLEKRGTDHYEKASTSKTDTSNNNAGTRRVKGNGYKKRPKVVGQGVFVADTGYTCINGTGMANKQAMTQRELHVQSVMGRIQTRSKAARIQTRA >Solyc01g112120.3.1 pep chromosome:SL3.0:1:98134316:98136844:-1 gene:Solyc01g112120.3 transcript:Solyc01g112120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKHSFLNELSSCSAALVPEGFYDRVEEGSIKLIKKADCFGFSKEGIVLDGQAETIKSDLVILATGFKGIDKLKHIFLSTKYQEFIAGSDDSATVPLYRECIHPRIPQVAIIGFSESLANLYTSEIRCRWLAELLDGKFRLPSVKMMEKDIAEWDKYKKSYSYSKYYRRSCVAALHVWHNDQLCKDMGWNPKRKKGFWAEWFQPYGPMDY >Solyc08g069065.1.1 pep chromosome:SL3.0:8:58209536:58213806:1 gene:Solyc08g069065.1 transcript:Solyc08g069065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLFIGALNRSLDDSIAKIQSELPSTKDPLIPRITEEVPSLRTDSGGSGTRKKTFMVIGINTAFSSRKRRDSIRETWMPQGTKLHRLEQEKGIIVRFMIGHSAISNSILDRAIDSEDAQHNDILRLDHVEGYHELTAKTKAFFSLAVAKWDAEFYVKIDDDVHVNLGTLAATLARHRLKPRVYIGCMKSGPVLYQKSVKYHEPEFWKFGETGNKYFRHATGQIYAISKELATYVANNRPILHKYANEDVSLGAWLIGLEVEHIDDRNLCCGTPPECEWKAQAGNVCVASFDWSCSGICKSVERIKQVHAKCSESPATLWGALI >Solyc07g053450.3.1 pep chromosome:SL3.0:7:62020835:62025926:-1 gene:Solyc07g053450.3 transcript:Solyc07g053450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPRAPNMTQNWPNFSPHQKIETITISHHHHQNNPSWVDEFLDFSSSKRGSHRRSISDSIAFLEAPMVEECRIIRLSSSGTRTEFDRFDDDQLMSMFNDEDTANNNERNSIPSSPSDHNSINYEEKKLILHVEEQQQQLKIEPEEVESSCKSDNEQNAAADHDDHVDNSSEKIIDPKRIKRILANRQSAQRSRVRKLQYISELERSVTTLQAEVSVLSPRVAFLDHQRLVLNVDNSVLKQRIAALAQDKIFKDAHQEALKREIERLREIYYQQNLKKMENDDDDNDHSIKIQQDVNGSEKKEQLVN >Solyc09g017990.2.1 pep chromosome:SL3.0:9:12232589:12268964:-1 gene:Solyc09g017990.2 transcript:Solyc09g017990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNISENLTSPNHQTINFDEIEGKNINFSSMKTEVEEEEKNEKYLGLFEVSPDQIESAQTIITSADSNSIGYIVSSDRSGYSCSDGDKWSPLKGESSSLIGAICSSELENFEKVVAEESKLIEYKPFKITGIGMRNLGNTCFLNVIVQSFMHTVVLLQLLRSIDHVSPCLNTKENIVKEAFGGRLVSKLRCCNCGYSSITREPLIDLSLEIEDVDSVSAAMESFTKIEKIDFSCERCKTQGTFEKQLLIDHSPNVVVLHLKRFKYNGLVVQKVEKHVSFSLELDMLLYSNDINNEQIEYDLYAVIVHSGPSISSGHYYNFTRCAPNEWYKFDDEKVYYVQEDLVLAEEAYILFYTKRGTAWFSDYIQSHRPFLCLVNPTTTNDSNEPTLMPEVNNVEDNDSHVLTDQVYCEDQLQDVKIKKDEKLKDALNCGSHGTSYEWVVNGYVHLMVEPELFMMGFEFILEELVEFWGYTLQGLRRSKRSNIQKSFDTKENIVKKAFGGCLVSKLRCCNCGYSSIKRKPLIDLSFEIEDVDSVSAAMGSFTKIEKIEFSCERCRTQGPFEKQLLVDHSPNDVVLLLKRFKYNGLVVQKVEKHVSFSLELDMLLYSNDINNVSIFIRLL >Solyc09g011190.1.1.1 pep chromosome:SL3.0:9:4543400:4543711:1 gene:Solyc09g011190.1 transcript:Solyc09g011190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFVYKAIMDYKNGGQIATGSPRWMNNDSPLLGSYMRLPGGSGRFENSDIQLFGSPDNEFSMKSSSASSSTVAAGKRSMVSGGSPTARCHWISTRAVNR >Solyc04g072610.3.1 pep chromosome:SL3.0:4:59661911:59663601:-1 gene:Solyc04g072610.3 transcript:Solyc04g072610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSLKEFNDFRCQHELRYLGKGDDIDLQALGSPNSRMLRLSALKDQDLTSF >Solyc01g017740.1.1.1 pep chromosome:SL3.0:1:25041798:25042091:-1 gene:Solyc01g017740.1 transcript:Solyc01g017740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTYQIGYWAVKIVTGVPDAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPQHPSMHSVLRLIVTLDGEDVVDCEPILGYLHRGMEKIAKAS >Solyc05g042122.1.1 pep chromosome:SL3.0:5:55835026:55836581:-1 gene:Solyc05g042122.1 transcript:Solyc05g042122.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIRKTIFRAMDKTVLNLGLLYSISSLINTDLVKFFYSLKGGSSGEEHSSMKASALGARQQSSNCECFPMARHRQPSHTHTPKIVMSDTGEAQQLVPITFGSFLPPNFHTIMEENDDSRAEDVIYWENATGKDTPKRRLQFYDAGTSMRPTPAVPEPARGNRSNGKTLNFVPPVIKEGILTVQIDEEDICLQVQEWETALIGYVIGHNPYELQMTAYVKKVWGFVELPQVLYHDDGYYVFKFHTIADKERVMQAGPYFYGNKPMILRNWQLDFEFNADMFHQIPIWVKFPRLPLGYWSVKALSKVASAIGVPLYTDGFTAKAEKISYARVLIEVDITKTLPDAVVVETPSGPWNQPIEYDWRPKFCNHCFKLGHMDTECWYKHCPSEGGGETEQVGAEKDHGKGRKKEGRRRRMITKWMPIHYHTNVKDSTAIIGSDAEVLQTPLEPDPGQRSGKQHVEETSLMQNIKNVLDAQMHDKRKSAGNYTPSLPT >Solyc05g054410.3.1 pep chromosome:SL3.0:5:65185980:65191388:-1 gene:Solyc05g054410.3 transcript:Solyc05g054410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKKKLDFGFNGFQVPVIPKAPRSVRRRRSCKKLDDDQICAFELLAAVAGKLLLESESSTSSNAAEGKNEIADGRDGIKSEQVEEGKAVKSECLDQGSCVESDYLPDTAVQDQNLKHGFDKPHHAENNYFLEHTSTVIGSDADLKLENCKEVNITDGKFHHKIEGGSSSLEDPCDSKIRTGTQKHLDDDSKQIEDVTVTNTCSVKNPIKECVNNSGLFNSDGSVQLPLYRDSVPSASFVKQRNSVKLGVRDDDENSFDCYRYSTKLRAFRTTSRLGYRRIRKMLKSRHWKVAPKLKEYERSYTNGGMESFYLSRKSVRARKRCQLEVPSKRRKLSNHGFAVAYYQEASSESVTNSPDKEIKRDISTSHAIPPRGTADPASVNNHHKKDPNVQYILSVKFSIKSFKVPELYIEVPETATVGSLKRTVMEAVTAILESGLRVGVVLQGKKVRDDNRTLEQAGISQNGNLDNLGFTLEPRFTQVSPSSSPNKLPASSTYVADQELTRRRPSPIMEFGIHNASADPLETDMCKYSENNHPSELSPTNPIDSSTDVSIPDSRALVIVPSVNAEALAMVPLNHKSKRSELSQRRIRRPFSVAEVEALVEAVEHLGTGRWRDVKMRAFDNADHRTYVDLKDKWKTLVHTASIAPQQRRGEPVPQELLDRVLAAHAYWSQQQGKHHAELLKTPDAKAQKVSA >Solyc08g061560.3.1 pep chromosome:SL3.0:8:49055867:49063722:-1 gene:Solyc08g061560.3 transcript:Solyc08g061560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLQRCNLLFEVLLILGFLIFFSFGSVVSDDGSALLEIKKSIRDVENVLYDWTDSPSSDYCAWRGVTCDNVTFNVVQLNLSSLNLDGELSPAIGQLKGLVSIDMRGNRLSGQIPDEIGDCSALKNLDLSFNELYGDIPFSISKLKQLEYLILKNNQLIGPIPSTLSQIPNLKVLDLAQNRLSGEIPRLIYWNEVLQYLGLRGNNLGGSLSPDMCQLTGLWYFDVRNNSLTGSIPQNIGNCTAFQVLDLSYNDLTGEIPFNIGFLQVATLSLQGNRLSGQIPSVIGLMQALAVLDLSCNMLSGTIPSILGNLTYTEKLYLHGNKLSGSIPPELGNMTKLHYLELNDNQLTGRIPPELGKLTELFDLNVANNHLDGPIPSNISSCTNLNSLNVHGNKLNGTIPPAFQKLESMTYLNLSSNNLKGPIPIELSRIGNVDTLDLSNNRISGPIPMSLGDLEHLLKLNLSKNEINGNLPAEFGNLRSIMEIDLSSNHLSGPLPQELGQLPNLYLLKVENNNLSGDVMSLASCLSLNILNVSYNNLGGNIPTGNNFSRFSPDSFIGNPDLCGYWLTSPCHASHPAERVSISKAAILGIALGGLVILLMILVAACRPQKPAPFMEGSIDKPVYYSSPKLVILHMNMALHVYEDIMRMTENLSEKYIIGCGASSTVYKCVLKNCKPVAIKKLYSHNPQYLKEFETELETVGSIKHRNLVCLQGYSLSPSGHLLFYDYMENGSLWDLLHGPTTKKKKLDWVTRLRIALGSAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEAHLTDFGIAKSLCISKTYTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNESNLHHLILTKAANDAVMETVDPEITCTCKDLADVKKVFQLALLCSKRQPAERPTMHEVARVLESLIPVAETKQPNPTPSLALLPSAKVPCYMDEYVNLKTPHLVNCSSMSTSDAQLFLKFGEVISQNSG >Solyc06g054440.3.1 pep chromosome:SL3.0:6:37322111:37333335:1 gene:Solyc06g054440.3 transcript:Solyc06g054440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRDWINQILPDELILDIFRHLESKSSRDACALVCKRWLRLERLSRLTLRIGASGCPDVFIKLLARRFVNVRNVFVDERLSISLPIPLGRRRGTDHSVISALKIHSLAETNGTEDNETESYCLSDAGLAAVAAGFSKLEKLSLIWCSNVTHVGLRSIAEKCIFLKSLDLQGCYVGDQGLAAVGEFSKQLEDLNLRFCEGLTDAGFIKLVDGSGKTLKSISLAACAKVTDTSLEAVGSHCRSLESLSLDSECIHDKGVLAVAQGCPQLKVLKLQCVNVTDGALQGVGTCCLSLELLALYSFQIFTDKSLCAIGKGCKRLKSLTLNDCTFLSDKGLEAVAVGCTGLTHLEVNGCHNIGTYGLESIARSCTHLSELALLYCQRIGNFALSEIGKGCKFLQALHLVDCASIGDEAICSIARGCCNLKRLHIRRCYEVGNKGIIAVGENCKFLTDLSLRFCDRVGDEALVAIGEGCSLHHLNVSGCHQIGDAGIIAIARGCPELSYLDVSVLQDLGDMAMVELGEGCPLLRDIVLSHCRQITDVGLSYIANRCTLLETSHMVYCPGITAAGVATMITSCTNIKKVLVEKWKVSPRTKRRAGSIISYLCVDL >Solyc10g083970.1.1.1 pep chromosome:SL3.0:10:63789458:63790630:-1 gene:Solyc10g083970.1 transcript:Solyc10g083970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine synthase [Source:UniProtKB/TrEMBL;Acc:K4D3I3] METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPESKVACETCTKTNMVMVFGEITTKAKVDYEKIVRDTCRGIGFTSPDVGLDADHCKVLVNIEQQSPDIAQGVHGHLTKKPEDIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNDNGAMVPIRVHTVLISTQHDETVTNDQIAKELKEHVIKPVIPAQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVAAGLARRCIVQVSYAIGVAEPLSVFVDTYKTGTIPDKDILVLIKENFDFRPGMMSINLDLKRGGNFRYQKTAAYGHFGRDDADFTWETVKALKPKA >Solyc01g008260.3.1 pep chromosome:SL3.0:1:2315052:2319157:1 gene:Solyc01g008260.3 transcript:Solyc01g008260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKDDEKHKVEEGEESPSHSQQTVSDDDEIDYSVKPEFYDPELDDKDEVWVQKKRGGRTSDAILNCPACFTTLCLDCQRHEKNVTQYRAMFVVNCKIKSEQVTAHLGSKRKRGKKGRGSSEAEAGSDIGKTYKRVCCSVCSTDVGVIDGEEVYHFFNVIPSES >Solyc03g117390.2.1 pep chromosome:SL3.0:3:68030400:68034772:1 gene:Solyc03g117390.2 transcript:Solyc03g117390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQFDTTKNRFVAAVDDFSIKFSDVDHPVSRLICTNSGDAILVLASNAINLLCFVQECLLCDINIWWKDLFVQHDDIQGITRLSSIYFYP >Solyc06g008040.3.1 pep chromosome:SL3.0:6:1886010:1903229:-1 gene:Solyc06g008040.3 transcript:Solyc06g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALELARAKDTKERMAGVEHLHQVLEASRKTLSPSEVTSLVDVCLDLLKDNNFRVTQGALQSLASAAVLSGEHLKLHFNALLPAVVERLGDAKQPVRDAARRLLLTLMEVSSPTIIVERAGCYAWMHKSFRVREEFARTVTSAIGLFASTELPLQRAILPSILQMLNDPNHGVREAALSCIEVMYSEVGPQFRDELQRHHLPSMLLKDINVRLEKIEPKSCSIDGNSNNYSTGEVRSASLSSKKSSPKAKRSTREVSLFGADGDITEKPVDPIKVYSEKELIREFENIGSTLVPEKDWSVRIAAMQRVEALVIGGAADYPCFRGLLKQLVGPLSTQLADRRSSIIKQACHLLNFLSKELLGDFEACAEMFIPVLFKLVVITVLVIAESADNCIKTMLRNCKVGRALPRIADSAKNDKNAVLRARCCEYALLILEHWPDASEVQRSAELYEDLIKCCVSDAMGEVRSTARTLYRMFARTWPERSRRLLSSLDPAIQRIINEEDGGIHKRHTSPSVRERSSHFSLASQTSTSHLPGYGTSAIVSMDRNANLSSGTSLSSGLLLPQAKPVGVERSLESVLHASKQKVFAIENLLKGLDVSEKSRSSSLDLGVDPPSSRDPPFPLAVPASTSLTNALVVDAPSAMTKGNNRNGGLVLSDIITQIQASKDSAKASYRSSVDRESFPALNSYTARRASEKLQDRGLVEETEPRDIRRFMNSRVDRQYLETSYKDAFRDSHINHVPNFQRPLLRKNTAGRTSASRRRSFDDSLLPLGDLSSYVDGPASLNDALSEGLNSTSDWKARVAAFSYLRSLLQQGPRGIQEITQSFEKVMRLFFQHLDDPHHKVAQAALSTLADLIPACRKPFESYVERILPHVFSRLIDPKELVRQPCSTTLEIVSKSYGIDSLLPALLRSLDEQRSPKAKLAVIEFAIGSFNKHPSNSEGAANIGILKLWLAKLTPLVHDKNTKLKDAAISCIISMYTHFDSIAVLNFILSLSVEEQNYLRRALKQRTPRIEVDLMNFVQSKKERLRSKSSYDPSDVIGTSSEEGYIGISKKSNVFGRYSAGAVDTDSIRKWNSLQDPTYMTRSIGQLSDGTQDLYHGVETGPNTDISVTKAKELKFGALTTSENDGLWTTLESKDNSSNMEHTSAPHLDVNGLNGLVDSDHLQIALDAGADNESSSDMGLNHIKLSDLQINPTLETGPSIPQILHLICNGDDGSPDANKRDALQQLVKASVANDQSIWSKYFNQILTAVLEVLDDSESWTRELALSLILEMLKNQKNAMEDSVEIIIEKLLHVTKDDVAKVANEAENCLSTILSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMSQLPSFLPSLFDAFGNQSADVRKTVVFCLVDIYIMLGKAFMPYLEGLNSTQLRLVTIYANRISQARTGAPVDASHS >Solyc12g042153.1.1 pep chromosome:SL3.0:12:58093302:58093851:1 gene:Solyc12g042153.1 transcript:Solyc12g042153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATPRLTRNKETVQNQQAQLTELQHAIHLEIEQLTDIRLSCSWQHRPYTHIRILSRKKTKKEDGARELPLQKQRTETSSSEEAARLQPTRNHTSASHVWTGTSHRLSMKWLLLVRTAMRNSLLVPLRNRETTNRGQK >Solyc08g068970.3.1 pep chromosome:SL3.0:8:58126725:58128206:-1 gene:Solyc08g068970.3 transcript:Solyc08g068970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQVDQNEVIVDDNDMMTNLIKKDDEIYSKSSSIGVLSHGDSGDDEDGEEAQSKGLVEEGSLNSLAALEAALPFKRGLSGFYEGKSKTFMNLGDVKNIEDVEKEESPLNKRRRLTMATTLYKWGSSSSSSMPLLTPNDGENTHNVEVEQEFKSSTSSTSFNSVDSTTTTTKPFIN >Solyc09g056360.3.1 pep chromosome:SL3.0:9:49074611:49076845:1 gene:Solyc09g056360.3 transcript:Solyc09g056360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561 and DOMON domain-containing protein [Source:UniProtKB/TrEMBL;Acc:K4CTD1] MSSPAVFVAVILVFASLFSPSLSQICSSQQFTNNKVYARCSDLPVLKSFLHWTYDAANSTLSVAFVAPPDKPDGWIAWAINPNAPGMVGAQTLFAFKNSKGEMVVKTYDISSYSSIMESSKLWFDVKETAAEFSGGMMRLFATLVLPEKGKTTLNHVWQVGPSVTDGFPAKHGFQPANLNSKGTFDLLSGESKSSASGDSRVTRKNIHGILNAISWGFLFPVGIIIARYMRTFKSADPAWFYLHISCQCSAYVIGVAGWATGLKLGSESKGITYDVHRNIGIALFCLATLQVFALLLRPKKDHKYRFYWNIYHHGVGYTMLILSLVNVFKGLDILDPATKWRSAYIGILVVLGVIALLLEVVTWIVVLKRKSNKTTKPYDG >Solyc12g038520.2.1.1 pep chromosome:SL3.0:12:51428292:51431494:-1 gene:Solyc12g038520.2 transcript:Solyc12g038520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWYKNVKVEHCSDYSPELTMPFTNKHSQPRPLFTSYHTDKHCPALHDEGITTITRSKNNESSNSYLNDIGGSDFVSRSLFHSTSIGSDVLNVMDSDSPFQGISNSGCALSLLSSQSQDSSNYSSVVPPSAHHLITPRSYNHYNMTQTSECFPEASPKGSTSTVSTIYNLSEVISAKGQLEHILRNNCGSLQGSDYVNTKNLLSCEDGTTIDLLQLSSQLHQVEHQRRSMK >Solyc05g010580.3.1 pep chromosome:SL3.0:5:4787434:4787908:1 gene:Solyc05g010580.3 transcript:Solyc05g010580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTSIMALFVIALMIVSCDVAIGITRCHTSADCPSYENGYPKCICIELICICRSLNTHSIDHEDSGSSGKY >Solyc10g078470.2.1 pep chromosome:SL3.0:10:60416845:60419182:-1 gene:Solyc10g078470.2 transcript:Solyc10g078470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSQQFKIQFFSHPNSHTKSIANGKRSKVPAFKQGLFNPTIGHNLCVKHKSLAVALDVDEPRSCNIEEKIEVVQEKSFWGAVGLIVGTAVGPGMLGLPAATVKSGPIPSTISLLLTWVYVISSIILVAELSFAAMEEDGVDEVSFTSLATKALGSKLGSFVALVYGSLTFALLVACVSGIGSIISQWFPKINHVLANGLFPSLVGIVLCLLPFHVIDVANRCLCITMFFSITTLVVIGIFVGRMSILDSFGFASWRFSSVLPAIPVAVLTMGFHVITPFICKIAGNTVHDARKAIMLGGTIPLVMVLSWNLIVLGLSSHNASSVSSDPISLLLSVNSSALPAVQGFAFSALATSLIGYAVSFPKQVVDTLDLIFSSSSSIPSPARGVVGKVGSATFKLRQNLGNEGKVSYSGTKNDNASENRVNSGFESLQSLVIPFVLALPVLIGSFFPSTFSRALDFAGIYANCFLFGILPPVMTYIYQSRRKLRLGVLPGGDGVLLLLLVIAVILAIWH >Solyc07g043600.3.1 pep chromosome:SL3.0:7:57675949:57683158:1 gene:Solyc07g043600.3 transcript:Solyc07g043600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTMMIFLKPFISPSSSSLWSKSNSGENSLKTNVKICKRAEVVCQGMLTPRKFMQKRRKVEVFKSAEDEADQKNWRKMMNEIEEAGSAVSVLRTQRDKNQALPKNLVLGSLVRFKQLKKWNLVSEILEWLRTQHWWDFNEMDFLMLITAYGKQGDFSKAERILGYMNKKGYPANVISHTALMEAYGKGGQYAKAEAIFRRMQTSGPEPSAITYQIILKIFVEELMQGDKFNEAQDVFEALMDMDAPPLKPDQKMFHMMIYMYKKAGKYEKARHLFSLMTERGIPQNTVTYNSLMSFETNYKEVANIYDQMQRAGLQPDVVSYALLISAYGKARREEEALAVFEEMLDAGVRPTQKSYNILLDAFAISGMVEQARTVFKSMRRDRCSPDLCSYTTMLSAYINASDMEGAEKFFRRIKQDGLEPNVVTYGALIKGYAKTNDLEKMMEKYEEMRVHGIKTNQTIFTTIMDAYGRNKDFGSAVAWFNEMASSGVSPDQKAKNILLSLAKTSEEQMEAKQITGCANELIVDSISREKLDQLIISGSAASQT >Solyc09g097930.3.1 pep chromosome:SL3.0:9:72406829:72408618:-1 gene:Solyc09g097930.3 transcript:Solyc09g097930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNNSGLLPDTPTQVGEVGELSEIFQWKGEVPRGLPDWAEKEKQHLGEELSDVLLYLVRLSDICGIDLGNAVLRKLELNAIKYPVSLCKGSSKKLTLLSKSTTTTTTTSSSENGVINDGE >Solyc12g057060.2.1 pep chromosome:SL3.0:12:64076194:64078103:-1 gene:Solyc12g057060.2 transcript:Solyc12g057060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DG37] MGSISTEINKPHAVCIPYPAQGHINPMLKLAKILHHKGFHITFVNTEFNHRRLLKSRGPHSLDGLSSFRFETIPDGLPTCDADATQDIPSLCKSTTETCLAPFRDLLAKLNGTSNTSNVPPVTCIVSDGVMSFTLAAAQEIGVPEVLFWTTSACGFLGYMHYSTVIEKGYAPLKDESYFTNGHVEKPLDFIPGMKDVRLRDLPSFLRTTNPEEYMIKFVLQETERAKNASAIILNTFETLESEVLESLQTLLPPVYPIGPLHLLVKHVDDENLKGLGSSLWKEEPECLQWLDSKEPNSVVYVNYGSITVMTPDQLIEFAWGLANSQHEFLWIIRPDIVSGYESILPPEFVEETKNRGMLASWCSQEEVLNHPAIGGFLTHSGWNSTLESITSGVPMLCWPFFAEQQTNCWFSETKWGIGMEIDNNVKRDEVESLVRELMVGEKGKEMKKKAMEWKKLAEISAQKSTGSSYGNIDKVINDVLLSSKH >Solyc05g056480.3.1 pep chromosome:SL3.0:5:66605073:66614528:1 gene:Solyc05g056480.3 transcript:Solyc05g056480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSKSRKLICLKPKIGFLSSVYHNHTLISPNLRTPFRILGLNQSHFAYFRFGSTKAQTQLNPMHSIHNDSQVLDFPGGEVKFTSHLNFIPETREERVHCYRVLDDDGYPITNDFAQIEKEVALKIYTDMVTLQTMDTIFYEAQRQGRISFYLTTVGEEAINIASAAALKTDDFIFPQYRESGVLLWRGFTIQEFANQLFGNKNDYGKGRQMPIHYGSNKHNYITVASTVATQLPHAVGAAYALKMDAKNACTIVYFGDGGSSTGDFHAALNFAAVLDAPVIFFCRNNGFAISTPVSDQFRSDGVVTKGQGYGIRSIRVDGNDALAVFTAVHEARKMAVNECKPILVEALTYRAGHHSTSDDSTKYRPAKEIEWWRRERDPVSRFKKWIEREGWLNPQVESDLRSNIRKQVLQAIQVAEKQEKPPIKDVFTDVYDVSPANLQEQEVSIRETVRKHPQDYPTDVPV >Solyc01g091460.3.1 pep chromosome:SL3.0:1:84943233:84957729:1 gene:Solyc01g091460.3 transcript:Solyc01g091460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEADSRLKQVLIPALEKIIKNGSWRKHSKLSHECKSVIDFLTNPSSTPPTSPSAEPDPDLAAHPGVLLDLSFNDSELILSPFINAAGSGHLKIAEAALDAVQKLIAHGYLRGEADPTGGPDAKFLSKLIESVCKCHDLGDDAVELLVIKTILSAVTSVSIRIHGDSLLQVVRTCYDIYLESKNVVNQTTAKASLVQMLVIVFRRMEADSSTVPLQPIVVAELMEPAEKADADGSMTLFVQGFITKVFQDIDGVFNAGTPRVGATTTGAHDGAFETTTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELVDGEGERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEAAADPQLMRGKIVALELLKILLENAGAIFRTSDRFLGAIKQYLCLSLLKNSASSLMIVFQLSCSIFISLVARFRAGLKAEIGVFFPMIVLRVLENVAQPNFQQKMIVLRFLERLCIDSQILVDIFLNYDCDVNSSNIFERMVNGLLKTAQGVPPGTTTTLLPPQESTMKLEAMRCLVAILKSLGDWMNKHLRIADPLSTKKYEASDSNSEPGILPITNGIEDEPTEVSDSHSESSSEVSDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGNSPEEIAAFLKDASGLNKTLIGDYLGERDDLPLKVMHAYVDSFDFQGKEFDEAIRAFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVILLNTDAHNPTIKTKMSADDFIRNNRGIDDGKDVPEEYLRSLFERISKNEIKMKDDNLALQQKQSLNSNRILGLDNILNIVVRKRGDESMETSDDLVRHMQEQFKEKARKSESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQADDGVVIALCLEGFRCAIHVTAAMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIITIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFALPQNEFDKSKQAKSLILPVLKKKGPGKIQSAASAMRRGSYDSAGIGGSASAGITSEQMNNLVSNLNMLEQVGEMNRIFIRSQKLNSEAIVDFVKALCKVSMEELRSTSDPRVFSLTKIVEIAHYNMNRIRFVWTKIWQVLGEFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSSAVEIRELIIRCVSQMVLSRVNHVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIMEKIVRDYFPYITETETTTFTDCVNCLVAFTNSRFNKDISLSAIAFLRLCAAKLAEGDLGSSRNKDRETTVKVSPSSPHKGKDHNIENGELTDKEDHLYFWFPLLAGLSELSFDPRPEIRKSALQVLFDTLRNYGHHFSLSLWERVFESVLFPIFDYVRHTIDPSGENSPTHGIDAEEGEPDQDSWLYETCTLALQLVVDLFVKFYDTVNPLLKKVLFLLVNFVKRPHQSLAGIGIAAFVRLMSNAGSLFSEDKWLEVVLSIKEAANATIPDFSFLLNENNNYWSQEEDMTGNGNAETTGTDTPDEDLDNLRRHRLYDAIADVKCRAAVQLLLIQAVMEIYNMYRPQLSSKNIIVLFDAMHGVASHAHKINSDTTLRSKLLEFSSMTQMQDPPLLRLENEAYQICLSFLQNLVLDKPTGFEDSDVETYLVNLCSEVLHFYIEIAHSGQMSESSLGAQLRWLIPLGSGRRRELAARAPLIIATLQAICSLGDASFEKNLSGFFPLLSSLISCEHGSNEIQLALSDMLSSSVGPVLLRSC >Solyc09g010380.3.1 pep chromosome:SL3.0:9:3766847:3770919:1 gene:Solyc09g010380.3 transcript:Solyc09g010380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPPIETQVKSSVSHKFSIPPLNERILSSMTRRSVAAHPWHDLEIGPNAPQIFNVVIEISKGSKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCDDSDPLDVLVIMQEPILPGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDINELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPSDKAFEAVQHSQDLYADYIVESLRR >Solyc03g062670.1.1.1 pep chromosome:SL3.0:3:34143961:34144809:1 gene:Solyc03g062670.1 transcript:Solyc03g062670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQMGIRFHPTDTELINYLKRFFKGELSLNQQCPIQFADIYGDQPPWEIFGANSKEKFHYFITPLKKRKIKDKRFCRTCVKGTWKGQTAEDLIRRNNMGPVVGFKRNFRFETSECGQNKTWLMIEYRVADSFFKENNHIVKEDFVVCRIKKKKDVDHHVMDAEDEGVAGVIDPMLLLEPNHNNDYSTTELDQVRVCEAITLNDDVQNSNTMENRETSLEVEESDRVDGIRGDEDMCRIFEDILDVDIPNVIDDIKSDEDMYRMFEDIVIGIPDEWLEDSLV >Solyc10g006900.3.1 pep chromosome:SL3.0:10:1328487:1332266:1 gene:Solyc10g006900.3 transcript:Solyc10g006900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-protochlorophyllide oxidoreductase [Source:UniProtKB/TrEMBL;Acc:K4CXM0] MALQASSLLPSTFSKGKASATLKNSSIFGASLSDYTKSDFGSSSFRVKNQRRSSNGGVVRATMVASPGVTTNSPSGKKTLRKGCVIVTGASSGLGLATAKALSETGKWHVIMACRDFLKAEKAAKSVGMSKENYTIMHLDLASLDSVRQFVDNFRRSGRPLDVLVANAAVYQPTAKEPSFTAEGFELSVGTNHLGHFLLSRLLLDDLKQSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGMAGGLNGINSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRYHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGYVSETESGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSEEASDAEKARKVWEVSEKLVGLA >Solyc08g054573.1.1 pep chromosome:SL3.0:8:10130013:10130531:-1 gene:Solyc08g054573.1 transcript:Solyc08g054573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDAVSVNDVEALYILYKRVSRSIIDDMQEEFVHVLFSCSHRQNHFSLLLQLFDVFDVKRNGIIEF >Solyc11g042424.1.1 pep chromosome:SL3.0:11:37296734:37297544:-1 gene:Solyc11g042424.1 transcript:Solyc11g042424.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTHPFYLCSLKMVNDSLKNPKTLSKLATTPVVGIWGYCDEPNCIAYDSSGFKRELYLRKYYSIMDAYYFTFSLEKFRSFTIVGTFLHQNPNVEDTIPSTALLANSNMKV >Solyc03g115240.3.1 pep chromosome:SL3.0:3:66503811:66510179:-1 gene:Solyc03g115240.3 transcript:Solyc03g115240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESQTIRAGVLLNRKKEKVSRKYMPTLRRERQLSPGFLEDGPEEEEDTDYYDSRRSAARRRFDEDLEMEAQAEKRIINAKKEPKQNLREPASSHKRKGIESDEESPPRKIPAAHRRMAIVYDSDED >Solyc08g014425.1.1 pep chromosome:SL3.0:8:4466869:4472864:1 gene:Solyc08g014425.1 transcript:Solyc08g014425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKSQKTWRSSNGFIYNIPIWYQIPATMRAKVPIGEEAMTVPMSNRAIMPYKEESDNTIQQLTGINQDQDRLWWLVHVLNHIDNQIEVWPSAFETYNRRSRPIQIEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREGLAFNPEYQHM >Solyc11g043090.2.1 pep chromosome:SL3.0:11:33861100:33862984:1 gene:Solyc11g043090.2 transcript:Solyc11g043090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGAFISLSLNVLFDRLAPHVVLSNAENKQTSNQYVSHLLDKFRHAVDDAKNLMEQKIGDLDLKEQFGLTKLETRTPSTYLIDDSDIFGSSFVGIDGVGKTTLAKAVYNDEKESLKAKKFLVVLDDVWNDNYNEWDDLRNFFVQGDLGSKIIVTTHKENVALMMGGGAINVGTLSSEVSWALFKRHSIENGDLEEHPECEKIGRKIAHKCKGLPLALKTLAEVTEEFYGSSTSKKSFNSLEKLEFEDMPKWKQCHVLGNGELLALEKLSIQNCPKLIWKLLENLTSLTELIISRCRVLNLDMSQLEGIKHIV >Solyc10g081360.2.1 pep chromosome:SL3.0:10:62582415:62586758:-1 gene:Solyc10g081360.2 transcript:Solyc10g081360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQPEVLWAQRSEKVYLTISLPDAKDVSLKCDADGVFNFSAIGVNGDSFSVTLQLYGNVIPERCKTNIGSRIILCSIQKEQRGWWPRILKSEEKPAPYLKVDWNKWCDEDDEEYSDSDDGGVAYTGDDDESSDDGGMLYLPDLEKARGN >Solyc06g073880.3.1 pep chromosome:SL3.0:6:45758410:45762303:1 gene:Solyc06g073880.3 transcript:Solyc06g073880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGKMSEDILTSAAAFVEGGIQDACDDACSICLEAFCDSDPSTVTGCKHEFHLQCILEWCQRSSQCPMCWQPLSLKDPNSQELLDAVEHERNIRMNPPRNTTIFHHPTLGDFELQHLPSSATDSELEERIIQHLAAAAAMGRTRHLARREGPRGRSSAQGRPQFLVFSTHPNAPPLAGASSSTQRSGGEPTPEDLVSGQDSAIVSGQPVTQPSSFQADQVPASGSGSNAAVNQPGTSLINRNPPQASSSNQDRVGPSDFQSFSDSIKSRFSAMSMRYKESLTKSSRGWKEKLFSRNSSTPDHCAESRNEVSAAVATVSNLMEHLETTDSRASSAESNISEDTLPVGHAEQHAPVIDNTHSLNEDNRQAPCAASSGSS >Solyc12g095920.2.1 pep chromosome:SL3.0:12:65999753:66004479:-1 gene:Solyc12g095920.2 transcript:Solyc12g095920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVEGQGSGPPAASGYTLQPTRLASEDILFCVDVDNESLVEMKVAAAGGRPYTRLDSIKQAILLFVNAKLTINPDHRFAFAALGKSASWVCKEFTSDIDSVVSACRGIAVDSPCGHADLTQLFRVAAHEAKKTRAQNRILRVVLLYCRSSIPPQHQWPATQKLFTLDVVYLHDKPGPDNCPQKVYDALVEALEHVSEYEGYIFESGQGLTRVLFRHMCTLLSHPQQRCVQDDLDIPKSLTKKSATSDSAPTDENAVVVSSQ >Solyc10g008515.1.1 pep chromosome:SL3.0:10:2617773:2622800:1 gene:Solyc10g008515.1 transcript:Solyc10g008515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPPYAFYQLIQQFLQFIQIWYSQTTYLILVVKEVLSQFQAAVAEITSDSDCIQALEFKAFPVQQVKTHCCLELGVDPWCTYEDWSNVTNLFVSKSFLSYLDLYGFVPSSLCPHPRDSGVCGGGWVGGVRVR >Solyc09g042330.1.1.1 pep chromosome:SL3.0:9:23345477:23345647:-1 gene:Solyc09g042330.1 transcript:Solyc09g042330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYGYIYLPPQSFIVAFDDYLLLSVPSVVFSECYTFFFNQSFVCFIVVVLKRFYFF >Solyc06g084470.3.1 pep chromosome:SL3.0:6:49609033:49611191:-1 gene:Solyc06g084470.3 transcript:Solyc06g084470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPLLTVSGCYCLGLSQLHVNVQLQPITRREIVHPSIHQCILSVDRIRARLPLLNAQTNGDYDSEQLIEDLRVPQQWLQPSKALEESEWLQTALNKWLDDEYCPEETNIEISRIAANSFYRSLLENKSDIGEILLTMAGDLESISYQESFHGAFSSANAAVNLIIQRLEQY >Solyc07g018405.1.1 pep chromosome:SL3.0:7:10575767:10581565:-1 gene:Solyc07g018405.1 transcript:Solyc07g018405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFSKKIVVPKSHLRALFLAKKFIDNDLAVSLVVLYFINDFLFSYEDNEYQIINRDFHLMESEKFNSYPWGLDIYKKLSDSVRHELKSTHKYYRIGDLPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKFENIVASEDEVSKFRLPETRDYHAEILKLEPKGPSHALLFEKSRNNLRSMLARHFSGGLDFNGDEDVAGIAIEKVLSEVVADINVQEAADVNTVGAKPDDALNVINLAFELGICTVDERLWFFNWHILDNNGVMRTKGKYETNSNVRFTTTDCVLKTKITNSFFKLCDAHEDKKNFKVLDSDDIARYINGHRLLASTSRDKVNFVLIPLNIKENRHWIFGVFDIGQRSLEVYDSFPARGGVNLEELQDCKNFGMSCL >Solyc06g053990.1.1.1 pep chromosome:SL3.0:6:36956556:36956756:1 gene:Solyc06g053990.1 transcript:Solyc06g053990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNKVIAVLFIVMVTMFASSNVNVVEAQQELAYKECYENGMKLGATEESVKRECRNIVRDHDIKT >Solyc08g080580.3.1 pep chromosome:SL3.0:8:63954989:63962328:-1 gene:Solyc08g080580.3 transcript:Solyc08g080580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQVKEEAPTLDIGGFISCSSLSDSSYEASTPRCSSEPGSSCRRSSGPTKRSSQAGWTEEEDNLLTEVVERFKGRNWKRIAECLNGRTDVQCLHRWQKVLNPELVKGPWTKEEDDLIIELVEKHGCKKWSSIANSLPGRIGKQCRERWHNHLDPTITKDAWTEQEEMVLCHYHQLYGNKWAKIAKFLPGRTDNAIKNHWNSTLKKRLNLNLLSRLVPDIKSEGSPDFSTHKKNMEIKKHPTQAHNAETVFLSEQTGVGNAADACPTDLRIGSANSPQNGLHKGTSSLGTCKSSEQKERDLIKQFGGIQFVKAEILPIGETDKQCQSTLSLTKISYPLLDSSLDARLDPSHNTGWSSSQVEAVRPTSFGSMYESPKRSRYDAANDPDHDFLSLSLAAFTEVSYGNKKNRTYDTQYSLALNQPGRLCYEPPKVKDLMISSMDEKLSKDNFIGQHHGHPLCSTPPSLKLTVSANGSSPESVLRNSAMSYTKTPSIIRKKNSRIAEASGHSSCTGSTTPMHFLGSVPDREDSSNLKDRISGCKRSVSGKSLGRRLEYAFDVEWDSSRSCTPVSAVPPCGLTLGANTMLTP >Solyc02g067780.3.1 pep chromosome:SL3.0:2:38461296:38465704:1 gene:Solyc02g067780.3 transcript:Solyc02g067780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVMVIVLCLIFSIVAVAIANPRTELVYKFCGVEQADNVSQFNQNYANAIVAMEPEMKSNKFSIHGEGLAPNRIFVLAQCMDDLSEEDCQICFSAIKTQLPGCFPHISGRVFFDGCFMRFENYSFFYESSSPHDVKRCSDAVNLKNDQFRDVATKVVKDVVTMAPVHGGYAEGRRKTYGLSVYGMAICWNTLDEKACSDCLTNASTAVLDCLPSIEARSLSVGCYFRYSEFDLPMVRISLILKFAGAIFMYLVFILVAVGVCIIAVLVGYIVGTTLHEKTVKHQTKHNGDSSDLESSVMKRSLHFKYSTLEKSTDNFSEERKIGQGGFGEVFKGTLPDGREIAIKRMFLTTEIRNEEISNEIDIIGQAQHQHLVRFLGCCFTADDSFLVYEYLENKSLDLILFGKKDPKKKKELDWKKRLKIVEGTAEGLEYLHNDCQVRIIHRDIKPSNILLDSKYRPKIADFGLARVNIREKGSAPLVIAGTFGYMAPEYLAQGQLSDKVDVYSFGVLILEIVSGQEFNKVPADDTLDTLVTIAWKHFKEKRAYRIIDPSMEIEDVNEVLRVVQIALLCTQESPIMRPDMSTIIKLLTQKNIEVPVPSKPPFIDDSEQHGSIHQHHPSASSVDSCRYYDTHQDNGSFHRYRHHPSASIDSYYDTESVLG >Solyc08g059650.1.1.1 pep chromosome:SL3.0:8:44498126:44498281:1 gene:Solyc08g059650.1 transcript:Solyc08g059650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWNTLSKAESIKVSHTHFISNEIKSCDIGYMILFLSENYITLKRRVSAF >Solyc09g059916.1.1 pep chromosome:SL3.0:9:57136544:57140344:1 gene:Solyc09g059916.1 transcript:Solyc09g059916.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFGTLGRGLLIRPGDLELWGFSDSDWATDKNDRKSTSGFLTFLGPNLISWCTKKQPKVSWSSTEAEYRALALLTAETMDPPSVSPYVHGEILIAELEEQLLNRDAMMKLLKDNLLKSQTRIKIQVKSHRRDVTSQVGDFVFLPYEMELHASSKELTISPAKILANQWVKKACSSSLELLIHWVDRPLEEASW >Solyc06g083120.1.1.1 pep chromosome:SL3.0:6:48713321:48713578:-1 gene:Solyc06g083120.1 transcript:Solyc06g083120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLWSNTTVVRRWCRRRRYIRRRKGSSIRLGKRRGFGLGCRPAVVYWRYMAGHFQVLKKLVIQMGSIEAYYLSLPFFRSQIFPLC >Solyc01g014145.1.1 pep chromosome:SL3.0:1:11649519:11652872:-1 gene:Solyc01g014145.1 transcript:Solyc01g014145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTAYQLIIDESPPSSSSSITLVRARSSFWARYVYDGALGSVGRTPKYKDATVLDELRPEFESIHGIFLNIEVTPDLDIMLEVVLREETRLGTQEAMEFLLKRFCIKDLGELKYFLGIEFSRSKKGIFMSQRKYAIDILQDSGILGARPEILIYLTATRPEIVYSVRTLSQFMHEPRKPHWNAYIGVVVKPQEGLSQDTVFFMEIHLSNGIKETISCF >Solyc11g071830.2.1 pep chromosome:SL3.0:11:55476188:55479614:-1 gene:Solyc11g071830.2 transcript:Solyc11g071830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRGGKKKSDNTKFYEILGVSKNASEDEIKKAYRKAAMKNHPDKGGDPEKFKELAQAYEVLSDSQKREIYDQYGEEALKEGMGGGGGTHDPFDLFNSFFSGSPFGGGGRRGQRERRGDDVVHPLKVSLEDLYNGMTKKLSLSRNVICSKCSGKGSKSGESMKCSGCEGTGMKVSIRQLGPGMIQQMQQPCNKCKGTGETIDDKDRCPQCKGKKVVPEKKVIEVHVEKGMQNGQKITFPGEADEAPDTVTGDVVFVLQQKDHPKFKRKGDDLFVDHTLTLTEALCGFQFILAHLDGRRLLVKSNPGEVVKPDQFKAINDEGMPVYQRPFMKGKLYIHFIVEFPDSLSLPQVQLLEAMLPSRPTSQYSDMELDECEETTLHDVNMEEEMRRKQAAQQEAYDEDEEMSGGGGQRVQCAQQ >Solyc01g067270.3.1.1 pep chromosome:SL3.0:1:75475382:75475546:1 gene:Solyc01g067270.3 transcript:Solyc01g067270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHYLSNFPQIFQVFLNFDTYMFPTSQFFHNVCVGAKDTKLFYSLCALG >Solyc03g096530.1.1.1 pep chromosome:SL3.0:3:60133623:60134075:1 gene:Solyc03g096530.1 transcript:Solyc03g096530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDLDQREMIASLRREKDYLLMRIDMLEATHKFVCEARKLEIWFLKHKLDEIDNTVKFYSGVLGVLKRENIDLKLKLEEENQLIKAYVLLNTMGIELLKKKNELVKIQIEELEAKVAELRINGRSRTMRFLSLIDENKQVSAESVVNLD >Solyc08g065850.1.1.1 pep chromosome:SL3.0:8:54255705:54255899:-1 gene:Solyc08g065850.1 transcript:Solyc08g065850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKATSSVLAVIVVVCFLIGTTIAADAPAPAPASSAGSMSPSFAAGCAVTVFAFLFGSALRI >Solyc03g005223.1.1 pep chromosome:SL3.0:3:125363:126164:-1 gene:Solyc03g005223.1 transcript:Solyc03g005223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIFVDVRLDLGYAASWPSRFHFNNDDKTSILLDGLRQMEVSPEFLEEPMTSPEGGRKRIQSNTCMSNCTTHDKSLFHYWSSNLFEISGDGLS >Solyc01g110250.1.1.1 pep chromosome:SL3.0:1:96899833:96900090:-1 gene:Solyc01g110250.1 transcript:Solyc01g110250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVESSPEKMDEVEASPVEMEAVEAAHTEKEGVAVAEWKQGYIRVHAGSTHEREKFEGTKRNLFFEKSRILQWKTGRTTYLVFF >Solyc02g086225.1.1 pep chromosome:SL3.0:2:49591299:49595235:-1 gene:Solyc02g086225.1 transcript:Solyc02g086225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINFATETNDSFGDSFPDADGSSIKFIFSAYKAQLLYLHGKNPTGAAQSSIPSDNILQPACCRRNADNSGDDATNICPGMCIFQDIDQGKMDFHFV >Solyc07g017773.1.1 pep chromosome:SL3.0:7:7975027:7977009:-1 gene:Solyc07g017773.1 transcript:Solyc07g017773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNERSTRHKAKTNETSNKKHKSDLIVQIKTKRETLGFAGGSLTLLKIGVSLSITHLKRTSAEESLTEFAMNLRIEALFQPDVNHDQRHNKSSATVQTNIRHQHGIF >Solyc10g050310.1.1 pep chromosome:SL3.0:10:48878250:48879025:-1 gene:Solyc10g050310.1 transcript:Solyc10g050310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTYIIVPTIDDYCMSLGAATTLCGAVIGSMVVAQASIGLTVSALGMACCLVVAYLLQTNFKFLNISFNQETLLGWIMAPAWFVYMLCLCTTFREPPMLELEDVLLPKSNSEKIENDLLHKGITQTFLLCAEETKQDEDDDQDCDNSEKLQRRSKNTLLPSSLRISFLTRRNHSVLGNSF >Solyc01g100160.3.1 pep chromosome:SL3.0:1:90110484:90111594:-1 gene:Solyc01g100160.3 transcript:Solyc01g100160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDADSWSARLSSASRRYQSAFQSRSGMKWENLEAEMLMGFEELDVDDDIREEFPCCFCSEYFDIVGLCCHIDDEHPVEAKNGVCPVCAMRVGVDMVAHITLQHGNIFKISFIQYLNIIFCIHY >Solyc10g054390.2.1 pep chromosome:SL3.0:10:55218192:55219018:1 gene:Solyc10g054390.2 transcript:Solyc10g054390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQKHCWMVTMALTRLLRLRKRSGLRSFVYLAENNVMFEGILLKPTNATPEQIADYTFTILQRRIPPAFLSGGQSEVEATFNLNAMNKSPNPWHVSFSCARALQNTFLKTWGGLPESVKAAQDALLISVKSESLAQLGKYTGEGDSDEAKQGMSSEGMCTKDK >Solyc08g036600.2.1 pep chromosome:SL3.0:8:10907721:10909631:1 gene:Solyc08g036600.2 transcript:Solyc08g036600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIPQPGEVTICEINRDLITADELSDDAAKETYGKVLGIIFSPVPFQSENLLSTLSSEQGADQRKSGLLWAALQAVSTSLLRHCFHPIDVKLLQDVDFHVLDWHQHKHILAFISGQHQVRIRDYEDSEGGSPCVLINDSQKDVRSLSWRPNGGRALAVACKGGICIWAASFLGNTASVRSGVTLDAHLIPVELSDIQSLTGSRGIDKIAWDSSGERLALSYRDGNDLYRGLIAIYDVRRSPLISASLVGFIRGPGNDTKPITFSFHDKFKQGPLLSVCWSSGYCCTYPLIFRSNVLP >Solyc04g078820.3.1 pep chromosome:SL3.0:4:63573807:63578059:1 gene:Solyc04g078820.3 transcript:Solyc04g078820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSIPPVLTTPRDDAMHLYKAFKGVIILPSGELLDLCFAGFGCDKAAVINILSHRDATQLALIQQEYRTMYSEDLNKRLVKELSGKLEKAILLWMYDPAGRDAILVRKALSADAIDLRAATEVICSRTPSQIVHFKQLYHSMNGIYLEHDIELHASGDHKKLLLAYVSTMRYEGPEVDRALVDHDAKALYKAGEKKLGTDEKTFIRIFSERSRAHLAAVSSAYHSMYSRKLKKAVKSETSGLFEFALLTILQCAENPAIYFAKELHKAMKGLGTNDTTLIRIIVTRTEIDMQYIKAEYQKKYKKSLNDAVHSETSGDYRTFLLSLLGPGH >Solyc10g051380.2.1 pep chromosome:SL3.0:10:51957804:51959110:1 gene:Solyc10g051380.2 transcript:Solyc10g051380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAEVEYRCFVGGLAWATNDRTLGEAFSQYGNVVDSKIINDRETGRSRGFGFVTFTDEKSMRNAIEAMNGQNLDGRNITPSVVAIIFQLSSLCKEE >Solyc08g063130.3.1 pep chromosome:SL3.0:8:52833839:52838613:1 gene:Solyc08g063130.3 transcript:Solyc08g063130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINEDIVIVGAGIGGLATSLGLHRLGVRSIVLESSDSLRTSGFALALWTNAWRALDALGVGDSLRQRSLHFTRFQAFSANSGLPTAEISLEADNKPIDYDSRCIKRQEIVETLEKELPQGTIKYSCRVISIQQSGLYKLVHLANKTIIRTKVLIGCDGVNSMVAKWMGLPKPIDANRSAIRGYLEYPKAHGFEPKFCAYFGGGVRIGFLPCDHKSLYWFCTFTPSDVDYDEKIEGSPTKMKQFVLSLANNVSKEAYNILERTSLDSLYCAKLKLRTPWNILIKENIVKNNTCLVGDALHPMTPDIGQGGCSTLEDSVVLARCISMAIFAKNLENDDDVQLCKRIEVGLEKYAKERKWRIFNLITTSYLVGLAQESNGKVISYLREKFLAQFTIETMLKMGDFDCGKLLS >Solyc06g062770.3.1 pep chromosome:SL3.0:6:39710451:39711980:1 gene:Solyc06g062770.3 transcript:Solyc06g062770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFRGNETPSSTADVKDVKAPNLIERAKEEIEAMIHHDKKPHHHKETHGASDIDANTPVDEVKGPNVFERVKEEIEAVVQSIHPKK >Solyc08g041685.1.1 pep chromosome:SL3.0:8:26395253:26395738:1 gene:Solyc08g041685.1 transcript:Solyc08g041685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQKADYEKSKAYYSFFFTKEQGLLGACLDKFLMEQNLKLTPTYGELFNDPTKYRRLIGRLVYLVLTRTDIVYSVHILSQYMQPQKPE >Solyc12g099830.2.1 pep chromosome:SL3.0:12:67795354:67801931:-1 gene:Solyc12g099830.2 transcript:Solyc12g099830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESSKLASCCWTGESGPIHEAQNPDEEKNEVSDSPAFCEFTFEQLRIATSAFAVENIVSEHGEKAPNVVYKGKLENQRPVAVKRFNRSAWPDSRQFLEEARAVGQLRNNRLANLLGCCCEGDERLLVAEFMPKETLAKHLFHWDTQPMKWAMRLRVALYIAQALEYCTGKGRALYHDLNAYRILFGEDGDPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVIFSFGTLLLDLLSGKHIPPSHALDLIKDRNLEMLTDSCLEGQFSTDDGTELVRIASRCLQYEPRERPNLKSLVSALYPLQKEAEVPSLVLMGISSDGETMPLSPLGEACLKTDLTAIHEILEALGYKDDGGAATELSFQMWTDQMLETLNSKKKGDVAFKNKDFSAAIECYTQFIDVGTMASPTVFVRRCLSYLMNDMPREALNDTVQAQVISPVWHIASYLQAASLFTLGRENEAQIALREAAILEEEKNASA >Solyc06g009050.3.1 pep chromosome:SL3.0:6:2978198:2981630:1 gene:Solyc06g009050.3 transcript:Solyc06g009050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKSVMVVGLEDNQHSFYALEWTLDHFFTNYNSNSPFKLVILHAKPTPASVIGLAVGPGSVEAFSAIESNLKKIAARVVEKAKEICKEKSVNDVVVEIVEGDARHVLCEAVEKLHASVLVVGCHGYGVIKRTVLGSVSDYCAHHAHCSVMIVKKPKTKA >Solyc03g095310.3.1 pep chromosome:SL3.0:3:57757807:57763917:-1 gene:Solyc03g095310.3 transcript:Solyc03g095310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTTPFLIISLVILLVVFPMRFLFIILIIGVFYMLFNTLWLKSSERLRWKLKKQGIYGPKPSFLYGNVAEMQKIQASSPNNNNVYAECVAHDYTSSLFPYFEQWRKIYGPVFTYSTGNKLHLYVNQPELVKEMNQSNSLDLGKPSYVTKRLAPMLGNGILRSNGHIWAMQRKIVAPEFFMDKVKGMVSLMLQSAELLTMKWDERIEGEGGKMAEISVVEDLRSLSADVISRACFGSCYFKGKNIFSKLRTLQKVISNTSILFGSPALRFLPNRQQKEIEKLEKEIESLIWEAVKERERDQCLETQDLLHSILEGAINDGNVGENSSRKFIVDNCKNIYFAGHESTAVAASWCLMLLALHPEWQSRIREEMTQIGVLDADSVSKMKMVTMVIQEVMRLYPPAAFVSREALQDTQIGHIVVPKGVCLWTLIPTLHRDPDIWGRDANEFKPERFQNGVSGACKLPQVYIPFGLGPRLCLGRNFAMVQLKVVISLIISKFRFSLSPKYKHSPAYRMIVEPGQGVHILVERLKQC >Solyc11g071420.2.1 pep chromosome:SL3.0:11:55194907:55200605:1 gene:Solyc11g071420.2 transcript:Solyc11g071420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGTQLVVRVLIFSLWLLVLSNSQLLDMFSSIKKYYEDKKVLLFLSVVHCRNYCCTNILVCKLLIEFKQVNQSYYILCFALQISEMEVGLAVGGAFLSSGLQVIFDRLAPKGDLLKMFQKHKKDVRLLKKLRMTLLGLQAVLSDAENKQASNQFVREWLNELRDAVDGAENLIEQVNYEALRLEAEGKHQNLGETSNQQVSELNLCLSDDFFLNIKNKLEYTIETLKDLQEQIGDLGLKEHFGSTKQETRTPSTSLVDDSDIFGRQNDIEELIDRLLSEDASGKKLTVVPIVGMDYQFCKDQIIHLWIANGLVQQLLSGNQYFLELRSRSLFERVPESSEWNSEKFLMHDLVNDLSQIASSNLCIRLEEKKGLHMLEQCRHMSCLIGEDGDFEKLKSLFKSEQLRTLLPINIQPYYNNNNLSKRVQLNILPRLRSLRVLSLSHYSIKELSNDLFIKLKLLRFLDISHTKFKRLPDSICVLYNLETLLLSSCADIEELPLQMEKLINLHYLDISNTPRLKMPLHLSKLKSLQVLVGAKFLLGGSRMEDLGEVHNLYGSLSVLELQHVVDIREVLKAKMREKNHVDKLSLEWSGSSSADNAQTERDILEELRPHKNIKEVEIIGYRGTNFPDWVADPLFVKLVKLSLRNCKNCYSLPALGQLPCLKFLWIRGMHGITEVTEEFYGSLSPFNSLVELRFEDMPEWKQWHLPGRGEFPTLEKLLVKNCPELSLETPIQLSSLKRFNVIGCPKVGVVFDEGMKQIEEFYIRDCNSLPFSILPSSLKVVECDCIDDISAELLPTARNLSIRNCHNLTRLSIPTATESLYIQKCEKLSMACGGTQMTYLCIRDCKKLNWLPELLPSLKTLELINCPEIESFPRGRLQQLVIWNCKKLVNRRKEWRLQTLPCLRELLISHDGSDEDIEHWELPCSITRLEVSNLKTLSSQHLKSLTSLQYLCIEGNLPQIQSMLEQGHFSHLTSLQTLLIMNFRNLQSLPQSALPSSLSQLTIYDCSNLQSLPLKGMPSSLSKLSISKCPLLTPLLEFEKGEYWTEIAQIPRLFNKCKLFFPLFTFPLRSQFLFIYTYVRLFYGFDVQIALVIMKFPSNYIKENVDGINIDIDHRRELRPEV >Solyc07g020860.3.1 pep chromosome:SL3.0:7:14286090:14291641:1 gene:Solyc07g020860.3 transcript:Solyc07g020860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin peroxidase 1 [Source:UniProtKB/TrEMBL;Acc:Q7Y240] MAPIAVGDVIPDGTVSYFDEQDQMQTVSVYSLAKGKKVIIFAVPGAFTPTCSMKHVPGFIEKAAELKSKGVDEILCISVNDPFVMKAWAKTYPENKHVKFLADGAGKYTHALGLELDLSDKGLGVRSRRYALLVDDLEVKVANIESGGEFTVSGADEIVNAL >Solyc09g009370.3.1 pep chromosome:SL3.0:9:2726099:2803842:1 gene:Solyc09g009370.3 transcript:Solyc09g009370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEAHVLHLLRRYLGEYVHGLSAEALRISVWQGDVVLKDLKLKAEALNSLKLPVTVKAGFVGTITLKVPWKGLGKEPVIVLIDRVFILAHPVVDGRSLKEEDREKLFEAKLQRIEEAESATLEALSRSKLGSPPTGNSWLGSLIGTIIGNLKISISNVHVRYEDSVSNPGHPFSCGVTLAKLAAVTMDEQGNETFDTSGALDKLRKLVQLERLAMYHDSNSKPWKLDKKWEDLTPKEWIEIFEDGINEPSNSSRNLSGWAEDRNYLVSPINGVLKYHRLGNQERNDPNVPFEMASLIVSDVSLTVNEVQYHDWIRLVEVITRYKTYIEVSHLRPVVPVSEDASSWWRYAARAELQQGQMCYRFSWDQIQALCRLRRRYVQLYSDSLQQLPNVNRSEIRNIEKDLDPKVILLWRFLAHAKVESLKSKEAAEQRMLRKRSWFSFTWSTDTADVSAGDTSKEANTMEDQLTREEWQAINKLLSYQPDEELALQHGKENVIHYLLNVSISRAAARIIDIDQIEIVGGRFENLYVSTKLKNRNSHCDLTLKFYGLYAPEGSLAQSVVSEQKVNALEASFIQAPSGENVDWSLSARISTCDVTVFRETYDRFLEFMKRSNAVSPTVALETATALQKNIEKMTRRAQEQFQMVLKKQSRFALDIDLDAPKVRVPIRPHGSFRCDSHLLLDLGHFTLNTKGDGLLGDQNQSLYSRFYISGRDIAASFTDCGSDSWECSLSCEPSVCHNLEDAKNLCSLVDRCGMAVIVDQIKVPHPGHPTMRVSVQVPNFGLHFSPARYRRLMELLDILYRTIAETEQPAIENLPPEYAPWYPPDLATEARILVWKLSNLFCHFESYSMAGKQVHEIPPANIGGTFSCISISSRGMDLQKVLESTNTMIIEFRDEEMKATWLRELTKATYRASAPPPMDILEELGDGVMEGADSRAINARTAELVVNGTLIEMKLSLYVKAVDDMAERLDETLLLDVLAAGGKVRVLHSEGDLAVKMKLHSLKIKDELQGSLCPGPQYLACSVLMDHGSSSCTDPLEPDGKEPPLTVIDEDDIFKDALPDFLSLTDSIEATTPEKELSRGRSLASDIFYEALGSDDSDFVSLTFTTRHPDSPDYDGIDTQMSISMSKLEFFCNRPTLVALIDFGFDLSSGNNTVPSKDLPKDPNESSVIKEKTEELGQTHVKGLLGHGKTRVVFVLNMNVNSVTVFLNKEDGSQLAMFVQESFLLDIKVHPSSTSIEGTLGNFRLCDLTLGSDQRWGWLCDIRNQGAESLIQFVFKSHSTEDDDYEGYDYSLRGRLSAVRIVFLYRFVQEITAYFMELATPHTEEAIKLVDKVGGIEWLIQKYEVDGASAIKLDLSLDTPLIIVPRNSRSEDFMQLDLGHLRVQNEFCWFGFPEKDPSAVHLDILDAEILGINMAVGINGRIGKPMIREGRDIHVYVRRSLRDVFRKVPTFVLEVKVGLLHGMMTDKEYNVILDCFYMNFSESPTLPPSFRSSTSASKDTIKMLADKVNVNSQILLSRTVTIMAVEVGYALLELWNDAHEGSCLAHVALEDLWVSYRMTSLSEADLYITIPKFSILDIRPDTKVEMRLMLGSCIDAHRQNSSEIGVDFPTSTMVVMDCRWRLASQSFVLRIQQPRILVVPDFLLSVCEFFVPSLGAMTGREEIMDPKNDPISKSNSIILSTPLYEQKEDLVLLSPNRQLVADAVGIDEYTYDGCGKTIHLTDKVEVKGLHSSGIQHIIIIGRGKRLRFVNVKIENGLLLRRYTYLSNESSYSVSQEDGVDVRISDSNSDDDESMKSMEALLYNSDASDFDPNGSYKVQSYSFEAQVVSPEFTFFDSSKSSLDDFAHAEKLLRAKMDLNFMYAAKENDTWIRGLVKDLTVEAGSGLIILDPVDISGGYTSVKDKTNISLLSTDICAHLSLGVVSLLLNLQNQATAALHFGSADPLLPCTQFDRIWVCRREHGRLNNLTFWRPRAPSNYVILGDCVTSRPNPPSQAVIAVSNMYGRVRKPLDFRMIGLFSDIQGSEMAQDVDDCSLWLPIAPPGYVAMGCVAHTGRQPPPNHIVHCIRSDLVTSTKLLECIFSVAANTAFTSGYSIWRLDNALGSFYAHPTSSHPQKSCCFDLNNLLLWSSSWYTSSLKVPTVDLTSESEHLHHQTSKQSATSSGWDIIRSISKATSCYISTPNFERIWWDRGNDLRPAVSIWRPIRRPGYAVLGDCITEGLEPPPLGIMFKADNPELSAKAVQFTKVAHIAGKGLEEAFFWYPVAPPGYAALGCVVTRSNEAPDLDNFCCPRMDLVSQANVLEMPISRSSGSRASQCWSIWKVDNQACTFLARSDLKKPSSRLAFTLGDSVKPKTRDNITADMKIRCFSVTLLDSLCGMVTPLFDATITNIKLATHGRLEAMNAVLISSMAASTFNTQLEAWEPLVEPFDGIFKFETYETNLHPPSRVGTRVRVAATSILNINLSSANLDVLGQSVESWRKQRELEKKAIKMKEARRGDAHQDNTSFVALDDDDFRMVVVENKLGCDMYLKKVEKNSDAFELLPPDNSVSVWIPPTRYSDRLNVANESREPRRYAAVQIVEAKGLPVNDDGNSHNFFCALRLVVENQDSNQQKLFPQSARTKCVKPLITRKDNVDEATAKWSELFIFEVPMKGRAKLEVEVTNLSAKAGKGEVVGASSFSVGHGPSILKKVASLRMLHQVSDVENIGCYPLRKRGQLSSNDTNSCGCLFVSTTYFEKKMALNYENDGGEKTGASDIGFWVGLTPKGPWESIRSFLPLSVVTKTLGDDYVALEVVTKNGKKHVIFRALATVSNDSDITLDISSCHESMIHTQDLSSEGRNYSIFVEEIFENQRNHPVSGVKDPGRWSTRDFSYSSNDFFEPTLPPGWKWISSWTVDKSQFVDVDGWAYGPDFQTLRWPPNSPKCSTKSAHNIVRRRRWTRTRQQVKESGANNTDNIVTCPGSSAILPWACISKGSNHCLQVRPCLGYSQTPYSWGRPIAVGSAFALGKDQTSIESSTLSRQNTVRHGNKIPISALKLNQLEKMDLLLCCPGGSGKQLWLCVGTDASVLHTELNAPVYDWKLSISSPLKLENRLPCGADFTIWEKLKDGNTVERHRGFMASREIVHIYSADVRNPIYLMLFVQGGWVMEKDSVLILDLTNNNHASSFSMVHQQRKRRLRVSVERDMGGTTAAPKTIRFFVPYWISNDSFLYLAYQVVEIEPLESSDVDSLSLSRAVKSAKLALKNPPTSVSRQIGARKNIQVLEAIEDSTPTPSMLSPQHYVGRGGVMLFSSRNDAYLSSRVGIAVALQNSENFSSGISLLELEKKQRVDVKAFGVDGFYYKLSVVLRMTSDRTKVVHFQPHSLFINRVGCSVCLCQCDSQSVEWIHPTDPPKHFSWQSNKVELLKLRLDGYDWSSPFSIDNEGVMCICLKNQTSHNPMHLKVEVRSGTKSSRYEIILRPNSFTSPYRVENRSLFFPIRFRQVDGANDSWKFLPPNASASFSWEDLGRRRLLEVVIDGSDPAASLTYNIDEIFDHHPIHVSGGPKKALHVIIQKEEKVNVVKISDWMPENETYSILNRSLSLLPSSGSSSVSEQTLSNLESEFHVIVEVAELGLSVIDHTPEEILYLSVQSLVLSYSTGLGFGVSRLKVRMRGIQVDNQLPLTPTPVLFRPQRVGQENDYVLKFSLTQQSNGSLDLCAYPYIGFQGPENSAFLIKIHEPIIWRLHGMIQQTNLTRLYDTETTSVSVDPIIQIGVLNISEVRLKVSMIMSPTQRPVGVLGFWASLMTALGNTENMTVRINQRFVENICTRHSVMIGTAIANVKKDLLSQPLQLLSGLDILGNASSALGHMSKGVAALSMDKKFIQSRQKQESKGVEDFGDVIREGGGAFAKGLFRGVTGILTKPLEGAKASGVEGFVQGVGKGLIGAAAQPVSGVLDLLSKTTEGANAMRMKIASAIASEDQLLRRRLPRVISGDNLVRPYDEYKSQGQAILQLAESGSFFGQVDLFRVRAKFALTDAYENHFLLPKGRIILVTHRRVILLQQPSNLIAQKKFNPARDPCAVLWDVLLEDLVTMELTHGKKDLPNGPPSRLIMYLQSRTIEAKDQVRVIKCHRDSNQAFEVYSSIEQARSVYGPSQSKALVKTKVTRPYSPFADVVSSEGICSWSPQQMPTSTFGSSEQ >Solyc03g121660.3.1 pep chromosome:SL3.0:3:71243143:71248221:-1 gene:Solyc03g121660.3 transcript:Solyc03g121660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMKDLMNQQAQVPGVVMEENMSNLTSASGEASVSSSNRNDNNNNNNNNIYPHHQYNFAPPNQQTQPAQQIKKKRNQPGNPDPEAEVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEIRKKVYVCPETSCVHHDPARALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTKEYRCDCGTLFSRRDSFITHRAFCDALAEESARAITANPILPSQAGSSTSQMNFQFQPFNHEIPVTFSLKKEQQTGFNLRPEIPPWLLVGGGGGPGPGPGPPQPQPIDLSSSIFQSSRFSDQDYTQSHQHHQQGFMNPNPSLSGPTSGAMASPHISATALLQKAAQMGATMSNKASTVSAVSSGPGPAMLMRPHQIHVSATATAAESVSNATDFGLNLSSREDLPTGFFNSLASYGNKAANPSAVITPVTIPLSTAPHPTPSTLQDMMNSFSSVNPTGFEGSNFEDPFSILNPKNNNGSGNDDMTKDFLGLRPLSHSDIFNIAGLVNSTPNETQSQNHKTWQS >Solyc07g045590.1.1.1 pep chromosome:SL3.0:7:58869065:58869469:-1 gene:Solyc07g045590.1 transcript:Solyc07g045590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFPFPPPPSSDQSYIIVILVFSTFGCILLGLAILAFCTYFLKKKKKSIMLVEEKEVKHIDDHVKIKEAIVEGPHGKLETIVLSVEEDLHEQDDIIRTKKELEEVHHHNFIHANNKSSEITPFALEAAHRQT >Solyc10g017710.1.1.1 pep chromosome:SL3.0:10:5838453:5839940:-1 gene:Solyc10g017710.1 transcript:Solyc10g017710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKIPFGPKMEIASDIGGIATQTTKAINTSSSSSLCRPYKPKSTFSELLLQEKINSRNPFTPRMEIGSSSKQPDDNGGINTSSSSSLRLPHKPKFTFSELLCKEKISSRRTFTRRMEIGSSSTTVDAKIGSSRSIVAEIGSDRSNISMDFAATLNQPRLEQTITDPDTKDTYFLNLEIGSFSNGNCPVYRAVFSKYTDQVGKALPYDHVTLKIINMNLHENEFNLIRSQSHTRIALFENPHIIRSRKTFITTNLLCVCLPYMSEGSLRSILSTRPEKKLPENLIPVVLKQVLIGLRDELHVFHKPTPHNSLNAGDIFVNIHYYTKALSIKLAFETSVYDSEPPDCNRVEDSSFLNSKSISIWGAAPEVFGSENEDNRGAKSDIWLLGITALELVYGNLPVKNRTDFDYIINKLREKKKFPKSLEKMIIKRDRKLKKVMDFAKRNKRVFSREFEEMVLSCLKENPDERPTAKELLKIPFFSDIERFKQFVLNSNN >Solyc05g008090.3.1 pep chromosome:SL3.0:5:2513104:2518449:1 gene:Solyc05g008090.3 transcript:Solyc05g008090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDIEEPFSHSFQADSWQSGSISFGRFENESLCWERRSSFTHNRYLEEVEKYSKPGSVTEKKAYFEARLRKKALLRQSSSEWQNGTESQASENDGNENTSYEGDFERVNEIGHSAHFVENHDRSASLLENEKYQASETDVIENEGYAGDFEHVNEVDHSARFDETTDRSIHLLKNGKYQAENTGYDGDFERVNEVGHSTRFEESFDGSHNGDIEVTECDGVDGIILHSGPQTELAATNSSDVLQSVPEHMEAEANFHLNAGNSAFDDDPKTEIEVKGKLEGQESSMEFSSNSVDLSSNAHTTEKDGSVSSEPQRSSSSKVRTASQSRDTKARMLPQVSVASGKGTISKQAYKDMPSKPNRRHSDVSFTRRGEKPRLDAPGALLRSTARMQKPEVRSGSKANVINQQKSTEQQPKARKAAASRASSTEKVSHRVHQSVNRDKQSVNSCKPDMKQNGSGFLFKSEERAKKRKEFLMKLEEKMHAVEAEKHQLQARTQVKKEAELKQLRRNLNFKATPMPAFYHEPGRRSDGNKELASKTKSSKSQSRPSTSGARVTTVTENTVPCSTSEHLIMADSPQVSEVTNHPSAELSDSSVPSSVRTNKTSWQTRSNRTVAPKREQEKKQVLNSPRPRTADTIKGNKGFKAEEKTKVVARRSIGNNAMRKDIRSIDLSRSTRVGRLAVGVAS >Solyc02g070300.3.1 pep chromosome:SL3.0:2:40650568:40659737:1 gene:Solyc02g070300.3 transcript:Solyc02g070300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNSSRDDYKFLQIVDARAALGQKVNLIGVVVETGLPKKSRGTGSDLKLPSGAVHSIAGPKDVFCSIRIIDESYPSPGIAVNFFAETMDKLPEVLTVGDILQISQVVMKTHGPDIYALFNKKFSSFAIFDGKNDSNILPYQCSSKYHAREQDKKFILGLMKWLVDHKIDTDLTDLHSLKEIREGERFNLICKIVHVCEVEKNKWMLLVWDGMDTPPVTIKTKLEEEMENPLPLQPVAFTLQRDILCTLPPLGTVLWVAVDRCDEKLGFNFLKSNRWVKLINIRCELHAALWRAVLMPFTKVCYLSDEDDIVLQRMRQYDERRKSKLGWMPSSSFPWPSDITETDYPNVPFVSLMRALANPKVIGKFHCVVRVVAAFPWLAEHFRSPSGVYRIRLTLEDPTTRIHAYLYKEDAEKFFDGYPSVYTLTKKRNLLLGTSEGDDDSEMNDHFRNPPWIRCCLMSYHIDDNDVWGSRNFRIFATTLKA >Solyc02g086680.3.1 pep chromosome:SL3.0:2:49965252:49969233:-1 gene:Solyc02g086680.3 transcript:Solyc02g086680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAASWASSSSFTRFLRPLLSRNSSPSPISYSLHRYKSANCLFFSASSNKPPKLAVYAQARRVLSSKTKGDEIATPADLSFVVPLKIVEYPDPILRAKNKRIDNFDANLKKLVDEMFDIMYKTDGIGLSAPQVGMNVQLMVFNAAGERGEGEEIVLVNPRVSRYSRRIIPYEEGCLSFPMIHGDVKRPESVKVDAQDINGTRFEISLSALPARVFQHEFDHLQVSNVQGVLFFDKMTDEVLDTIREKLVALEKKYEDRTGLPTPESINTRKIKKAAVGFGKS >Solyc02g085620.3.1 pep chromosome:SL3.0:2:49080779:49087312:-1 gene:Solyc02g085620.3 transcript:Solyc02g085620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKDFINLERSLSNPFGNFSDGSAIDDFSAQNSVSIDKKLLIDLQHLSIGHVISEGPYSVVYEGLYKSMPVAIKIIQPDMSANVSPERKVKFHREVTLLSKVKHDNIVKFVGASMEPTLMLVTELMKGGTLQKFLWSTRPQCPDLKLSLSFALGISRAMEYLHAIGIIHRDLKPSNLLLTEDKTTIKLADFGLAREDAEAEMTTEAGTYRWMAPEMFSMDPIRVGVKKYYNHKVDVYSFSMILWELLTNSTPFKGRSNIMVAYATATKMRPSLENIPSEIEPLVSSCWAEDPAERPEFEQISDFLANILGNVCGSPSCSPNLFDTEHPTGNELVNSPVTNCLMEKDAENSKKKHRSSCCCFMSAYDNSL >Solyc09g058998.1.1 pep chromosome:SL3.0:9:53231052:53231435:-1 gene:Solyc09g058998.1 transcript:Solyc09g058998.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREEQIFFGHVLSNRYTLCYLLFKWYIFQLKLGWKVMHVVQYDSCGGGAAALKLNIIMLRCKS >Solyc06g063280.1.1.1 pep chromosome:SL3.0:6:40099958:40100743:1 gene:Solyc06g063280.1 transcript:Solyc06g063280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSSKLCELCNDQAALFCPSDSAFLCFHCDAKVHQANFLVARHLRLTLCSHCNSLTKKRFSPCSPPPPALCPSCSRNSSGDSDLRSVSTTSSSSSSTCVSSTQSSAITQKINIISSNRKQFPDSDSNGEVNSGRCNLVRSRSVKLRDPRAATCVFMHWCTKLQMNREERVVQTACSVLGICFSRFRGLPLRVALAACFWFGLKTTEDKSKTSQSLKKLEEISGVPAKIILATELKLRKIMKTNHGQPQAMEESWAESSP >Solyc02g032240.1.1 pep chromosome:SL3.0:2:28526151:28527536:-1 gene:Solyc02g032240.1 transcript:Solyc02g032240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQRSSDIVLQNSAQDVEDGTNQIKSVVYGKRVLVVLDDIDEVDQLSAIVVMRDCFCSGSKIIVTTRHIELLRACEIELIDDVQKLNKDEYVELFSWHAFGQGHPVEHYTKFFTMIIEYCIGCPLALQVFGSSLSGKSLDVWESTLRKLGLIPNSQVSKKFQIRFAFIQDDHDKSLFPDIACC >Solyc06g008920.3.1 pep chromosome:SL3.0:6:2845693:2852153:-1 gene:Solyc06g008920.3 transcript:Solyc06g008920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTIRCSANYVSLSPISFLLRSGVVYSNRISIVYGDVKFTWAETRRRCLQLASAFTHLGISRGDVVAVLAPNIPAIYELHFAVPMAGAVLSTLNIRHDSAMISVLLKHSEAKVILVDYQFLDVANGALHILSKENIKLPHLILIPDGDKRPSNSPIMEYEPFLAMGRPNFEVVWPNDEWDPIALNYTSGTTSSPKGVVYSHRGAYLNSLSAVLLNEMPSMPVYLWTVPMFHCNGWCLTWAVAAQGGTNICLRNVTAEGIFTSIDRHQVSHMGGAPTVLNMIIHAPPSIRRPLPRKVAVMTGGAPPPPQVLFMMDELGFNVTHSYGLTETYGPGTVCAWKPEWSSLSRDEQAKIKARQGLHHIGMEEVDVKDPASMKSVLPDAKTMGEVMFRGNVVMNGYLKNAKATEEAFKGGWFRTGDLAVKHPDGYIELKDRAKDIIISGGENISTIEVESVLFSHPSILDAAVVGRPDDYWGETPCAFVKLKDGNNATMDEIIKYCRDRLPHYMAPKTVIFDDLPKTSTGKTQKFVLRQRAKAMGTPAFRNGRDCGSSAADRIHVAMTLDANYLRGTMAAVLSILQHSTCPEDVMFHFLCVRHEPVVFSSIKSTFPYLNFKLYKFDVHRVRGLISKSIRQALDQPLNYARIYLPDLIPIDVKRVIYLDSDIVIVDDIVKLWQVDLGDKVLAAPEYCQANFTTYFTDTFWDDSELAKTFHGRKPCYFNTGVMIVDVDKWRCGNYTQKVEDWMVIQKQKRIYHLGSLPPFLLTLAGDITPVDHRWNQHGLGGDNIEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCTVDHLWAPYDLYRSSRHSFDE >Solyc08g074830.3.1 pep chromosome:SL3.0:8:59081856:59084834:1 gene:Solyc08g074830.3 transcript:Solyc08g074830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQQNEIYLKVNCEFNWKEKVNRLIFPQAQILNTMRNASTSGVDTISNLPCNVLDVILGCLPWKDAVKTSILSKDWKYKWVTRQELDFNDEFYKSFKQDEEAKRIIYQVLLVHKGPILKFRLRNFTSCPDIDHWMHFLSKKNVQEFTLIVRIGNKYHSPHHLFKFQQLRYLELQDCLFQPPLGFKGFEKLIKLDLMHVTFDSSILTNLISKSPLLEWLRLRSITNFDILEIDAANLKFLEFIGKTKSISFKNAPMLEKVTVGFLGRRLLTDISPVCSNFPKFFHYMPSLLELDICGTTLEYLIKGGLPENPPTALNNIRTLTISSMSLRYTEVVSSAVYLITSCPKLQDLTIEFYPVGDIVEPAVQLLRPQSSSYGAMKLLQKVQVNMFTGLEMEMEFMKFILASAPVLEEVSIWNFTRFLFRLCKQMIDEMKEFRRASANVEFKFEEIDMEGGYEHEEVMEVA >Solyc07g063770.3.1 pep chromosome:SL3.0:7:66259425:66263241:1 gene:Solyc07g063770.3 transcript:Solyc07g063770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4CH60] MDIAIFQFILLLLYFVFLHYVSGQGDTMTTTQFIKHGDTIVSSRGTFELGFFSRGETSTNHYVGIWYKKTSATTPVWVANRLAPITNKYGVLKVFQPGLIVLVDDTNAIVWSTNSSKSVQNPVAQLLDTGNFVVRDANDPNPENFLWQSFDYPSDTLLEGMKLGTDLVTGLERYLTSWRSSDDPAPGDYTYHCDPAGYPQNLMRKRGNVTFRAGPWNGIRWSGAPNLVNNSIISFGVVINSREIYYKYEMVNKSVISTFVLEPYGKAMRIIWIGKARGWVNYHSAAVDDCDTYKLCGAYGTCNILSDPFCQCLDKFEPKHPDDWERSDWSSGCVRKIPLNCTGDGFIKYSGVKLPDTRNSWFNETMTLHECRVVCLRNCSCTAYTNLDIRNGGSGCLIWIDELIDIRQLSQSGQDIYIRMSASEIGSAGSTRKITVILAIALPLLVALILLALGLGLILCKRKRRENPVLTTTGILGGHSNKNDNSNQIHQENFELPLFDLLTLTNATNNFSLANKIGEGGFGQVYKGVLEGGQEVAVKRLSETSEQGLHEFKNEVKCIAKLQHRNLVKLLGCCIQGEEKMLVYEYLPNKSLELYIFDEERRALLDWPKRFNIINGIARGLMYLHQDSRLRIIHRDLKASNVLLDIEMNPKISDFGMARSFRGDETGANTRRVVGTYGYMSPEYAVEGIFSVKSDVFSFGVLVLEIVSGKKNRRFVHPDHHLNLLGHAWMLHNEERMLELVDPYLVDSYYISEVLRSVHVGLLCVQQNPEDRPNMSTVIMMLSNEGILPLPKHPGFFTERKVKDIDQFSWSTQTPSSINEITITQLNAR >Solyc01g088700.3.1 pep chromosome:SL3.0:1:83319374:83327434:-1 gene:Solyc01g088700.3 transcript:Solyc01g088700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHNQSRADTRESSQYRRTARSGSFNQHRGGRGSGGGGGGAAPPPVSSTSNPSLTSNRSFNKKYYNAQGGQPRVSGVGAGSDSHLNGAHQQQPSHGVSDVSVAIAHTPLPSATVKPTDASTQKVTRAVPRAPTSNVVPPISESSAPVTPAKNPGDASKSFPLQFGSISPGVMNVLQIPARTSSAPPNLDEQKRAQARGDTSRAIPSLPNPSTSKQPMPRKDAGPLNQSNHSEAYGVANKPKRDVHILAPPPVTQTQKPSTHPMPGMHMQIPFHQPPQVPVQFGGPGPQIPSHSMSATSLPMPMHLPIVNPPMQQPMFVSNLQPHPMQSQGMMHQGQGLNFSSGIGAQLPQQLGNMGMNMPSQFSPQQAGKFLGQRKSVKITHPETHEELRLEERSHPNMPPQSQPIASYSPSHPNYYPNSYNSNSVYFQAPSSIPLSNTQSSQPPRPFNQVTVKPAAGGIHSGKEQLPSVSSSFGKDPVRLSKPCGGDSAHSQKDANTSHQSSTTQSRTGDGSKSSSRPVENIQSTKGADSISGKSSAAGILSLTSQAPIESSTSLIRDSSVDAASETLGGPDSTEDQKKKQVTRGQLTVQDKALGKCTSVSIQTPQYPLTTLVEVNTAASVSTAVNTRESLSLSESAELRSHITGNCGKEDLSEPLDSRNQDAGKPVLKTGDRNEVALSKAGKQDENNSLKPPSESLPVESPEVSGLTEGGSPKRAAYANIENGRPEIGVEHMNESVACSTGVDSIADSFTSSTSNQDSTNTEACTSAIGLSAQDDQESDIADPEEAPVTKSVDASQEFASDLLKSSDEATSKSEDENTETSNAGLVSKSSSGVKEKSLVDSNVPKVTMSRGKKKKKDLYKKADAAGATSDLYMAYKGPEKKDELSQSIETIELTSKDDSMPPSANVHQEDLRSTKKVGEVKAEPDDWEDAADVSTPKLEIAPEHRKVDGEDGDGVTTKKYSRDFLLKFAEQCIDIPEGFNVAPDVADILINFNASAMREPFPSPGRGTDRPSSGHRERRGSGVGDGDKWNKMPGPPMPGRDFQPDIGFGGNGVGFRPGPGGNSGVLRHPRGAMPIQYAMGQYAVGQYAMGQLATGGILSGPMQSMGPPQGGGLRNGVDADRWQRGTAFQKGLMPSPHTPAQNMHKAEVKYEVGKVTDEEQAKQRQLKAILNKLTPQNFDKLFQQVKEVNIDNYVTLKGVISQIFDKALMEPTFCEMYANFCQHLAAELPDLSVDNEKITFKRLLLNKCQEEFERGEREELEANVTNEEGEVKLSAEEREEKRVKARRRMLGNIRLIGELYKKKMLTERIMHECIKKLLGDYQNPDEENVEALCKLMSTIGEMIDHAKAKEHMDAYFDMLEKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERHAQATRLARTPSLGGSSRRGQPMDFVPRGGGMLSSPGSQMGGFRPMSPQVRGYGMQDVRVDERHSFENRTLSLPLTQRPLGDDPITLGPQGGLAKGMSSRGQPAGPSIDNMSSFGDSRRMVHAQSGYGSLSERPLYGLREELAPKYMPERLSSQHDQSSAPERNVTYGNKERGFDTSRPPSPLVRSGGATSTQNVAPDRIWPEERLLEMSMAAIKEFYSAKDEKEVALCVKDLNSPGFYPSMISLWVTDSFERKDMERDLLAKLIIGLTLSRDVAISQDQLIKGYENVLTSLEDAVNDAPRAAEFLGRIFGKVISENVIPFNEIGSLIYKGGEEEGRLVEIGLAAEVLGSTLEMIKVEQGDSAVSEICRSSTMRLENFRPQGSKKQWKLDKFI >Solyc06g068440.3.1 pep chromosome:SL3.0:6:42520666:42523303:1 gene:Solyc06g068440.3 transcript:Solyc06g068440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:Q4U1I5] MPSVSGRVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDPKNCHLRELEGAKERLTLCRGDLLDYQSLREAINGCDGVFHTASPVTDDPEQMVEPAVIGTKNVITAAAEANVRRVVFTSSIGAVYMDPSRDPEKVVDETCWSDPDFCKNTKNWYCYGKMVAEQAAWDEAREKGVDLVAINPVLVLGPLLQNTVNASVLHILKYLTGSAKTYANSVQAYVHVKDVALAHILLYETPSASGRYLCAESVLHRGDIVEILAKFFPEYPIPTKCSDVTKPRVKPYKFSNQKLKDLGMEFTPVKQCLYETVKSLQEKGHLPIPTQKDEIIRIQT >Solyc04g014610.3.1 pep chromosome:SL3.0:4:4874594:4878542:-1 gene:Solyc04g014610.3 transcript:Solyc04g014610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTNSQRQEERTGKYGTPRVEYLQQLVTQFQNASSEETKEKIVANLANFAYDPYNFTFLRQVKKLLNECFEYCNHRIFLVSCLNISCDVKQLNVIELFLDCLTEPNEKLVEFGIGGICNACADPANAALVTQNDGIPLIVQCLSSPVRSTVNYALGALYYLCNASNKEKILKPEVIDAIKSYAAASEVSTSFSNLAQAFLDKHVPQAY >Solyc06g031665.1.1 pep chromosome:SL3.0:6:20583958:20584324:-1 gene:Solyc06g031665.1 transcript:Solyc06g031665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RLSVDYGMKSKLGFTIYPSPQVSIVVVYPYNSVLYTNSLLEHTNVATFWIMKPFMTSAVISSLAASLLSMVL >Solyc12g005410.2.1 pep chromosome:SL3.0:12:246623:250169:-1 gene:Solyc12g005410.2 transcript:Solyc12g005410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQALVSAPTSCYINCTRRRRFIHNNQYDTSKDYPFSSSPTKSLCFSSTHLPFQSQNLVLYRKPISLISRGQLLCSNSSTAITADGDDNKNKSFGDWIQSVGETISVLFPLWVALGCLIGLLKPSSYNWVKPQWTVMGITLTMLGMGMTLTFDDLRGALAMPKELFCGFILQYSVMPLSGYFVSKLLNLPSHYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTLSAVVMTPFLTEKLAGQFVAVDAAGLFMSTLQVVLLPVLAGAFLNQYFKGLVKIVSPLMPPIAVATVAVLCGNAIAQSSSAILMSGQQVVIAAALLHASGFFFGYVLARMLGVDMSSSRTISIEVGMQNSVLGVVLATQHFGNPLTAVPCAVSSVCHSIFGSALAGIWRRSIPDKVQD >Solyc08g081890.3.1 pep chromosome:SL3.0:8:64926934:64933232:-1 gene:Solyc08g081890.3 transcript:Solyc08g081890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLWLVFCGKYECSQKAGESCSSTFLDTFSCTSHILIIFANILLLMILVFLFSTKFSSRKSVASSEFQGNSILSGCSYIFNGSLALAYLSFGTWKVLQKLIAQQTVLPLHQWLVPLSQGLTWLLLSLLSIYKKQYTSSPGKLCVFLASLLAAFLCISSVWQVIIENVVYTKSVLDMLPLLGVVLVTVSASKGQRDISTCETLLGEEADNACGKVESNEKTTPFAKAGIFSRMSFCWLNDLLKKGKEKTLNDEDIPELRPEDQVGTLYSLFKEQVNKRKQNISNARPSVFSAIVCCQWKAIVVSGLFALIKTVTVSIGPLFLYAFIELAKGNGAFKYEGYVLAGGILIAKCIESLAERQWFFRTRLIGLQVKSLLTAAIYNKQLRLSNTAKNTHSPGEIINYATVDTFKVGEFPYWCHQIWTTGVQVCIALVIMYYAVGLATIPALLLVVASVLGNSPVAKSQHKYLTELMIAQDRMLRAITEALTSMKVLKLYAWEKHFKNAIEKLREDEYRWLSAVQMQKGYYLVLFWSTPIIVSAVTFCSCYLLKVPLNTTNVFTFLATLRIVQEPVRSVPDILGVFIEAKVSLSRIVEFLEAPELQNRRTEQKYQGKQLEHSIIIKSKGISWDASSHNPAVKSVNLHVKQGQKLAICGEVGSGKSTLLAAILGEVPYVDGLVQVHGTVAYVSQNAWIQTGTIRENILFGSTVDRIKYQEVLERCSLVKDLDMFPFGDQTIIGERGVNLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAYTSTCLFNEYVMGALSGKTVLLVTHQVDFLPTFDSILLMSEGNIIQSASFDQLLLSCEEFQNLIHAHDEAIKSESNRGCSPQQRTKSSVENIHPLCAEEQLITPVGEQLIKQEERETGYTGLKPYKQYLGESNGLFYFLLVIFSHLLYMVGQLGQNLLLAADLQSSRTSKLSLILIYSSIGFGMSVTLLFRSYVVINLGLKSSKSIFAKLLTSIFRAPMSFYDSTPLGRILSRLSSDLSVLDLDLSFRFSQAASSTLTTYFSLGILAALTWPILIIIIPMIYMTVILQRFYFASAKELMRIDGTTKSAVASHLAEAIAGAMTIRAFEEEDRFCTEYLQLVDRNAIAFFHSFSATEWLIQRLEILCAIVLSSSALAMVLLPFEASDSDIGMALSYALSLNVFLVASVQTQCMLENAIISVERLEQYMHIPSEHTEFLQDNRPDPSWPSIGKVEIVDLKVRYQPTAPLVLQGISCTIEGGYKVGIVGRTGSGKTTLISALFRLVEPTEGMIIIDGINISTIGIHDLRSSLSIIPQDPTLFSGTVRYNLDPLSEHTDQEIWEVLRKCQLQDVVQQKEGRLYSSVSQDGSNWSMGQRQLFCLGRALLKRRKILVLDEATASIDNTTDSIIQKTIRTEFEDCTVITVAHRIPTVMDCTMVLAISDGKLVEYDKPMKLMNKESSLFGQLVDEYWSHSQHVDIHMSNQYGTH >Solyc11g032010.1.1 pep chromosome:SL3.0:11:24449092:24451568:1 gene:Solyc11g032010.1 transcript:Solyc11g032010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRNDTFVNSAVKARNFISIFKVGVAEMKVAIEKTGGLVVLAESFGHPVFKDSFKQIFEDGEQSLGQSFKLSKEQIGGLKQLFKIIDTDSSGTITYEKLKDGLKRVESDLVESDIKALMKAALANFNLLAAFSYFDKDGSGYITTDELQQACVEFGLGDVKLEPNIAHIPHDISHKIERSMRGNLNFNLADALGASDSAKKE >Solyc01g017960.1.1 pep chromosome:SL3.0:1:26480848:26483407:1 gene:Solyc01g017960.1 transcript:Solyc01g017960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIDVDIDIYVEIHVKVYININVDVGDYIDVNVHIDIHVYIHVDININIFVHINIHIYMDIGVDTDAHVYIHIKVYIHIVVDSDVYVNMNTDIHFHIIIDVHVHVDHYIEIDVHIDVNPDVHDDNDIHIHIDVNVYVDVDADINVDVDIHVLFDVHVDIDVNSNVENLIKVHIHIDVNNYVHIDVDVHINVHIHFHVDYDIDIHEYIEIHINVCVDAFVYVEIDVHIYINVYINIHINLDVDNDVDDYVDTQVHVKIYFDIYVQIEVDAEIQFHVEVHSGVYIEADVHLGFHGHVFIHIEVDNDVYIYVDVYVYFDVDVHINFLVDIHIHIDVHVHIDIYIDVHLNIHVDIHVDVHDHVYIYVDVYVDVHVDVHIDIHVDIEVHAYVEDNIYVDIHVHVHVYILIHFHIYIHIYNDIDVSIDVLVDVYIVVHLDVYVDVDIDVAAHIPIDIHFHVYIDIYIHIDVHIDIYIDVDVDVYIDVNIYIEVHIDVQVEIDSHVQVDVYVDIYIKIDVNVDVDVDIHIYIDIFFDIHVNMDVYSNVDVHIEVHIHVDVNIDVHIDVDVKIYVNIQDDVYVKILVDIHIDVHVDVYVDVDIYIDVDDEVYIYVDIEIDFDIDVYVQVHIAVHIYVNIGVDIQVDV >Solyc01g102670.3.1 pep chromosome:SL3.0:1:91284392:91290059:-1 gene:Solyc01g102670.3 transcript:Solyc01g102670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHRQEIDRHRSVVVELPLEDGNGYCASFDLEKAVCSHGLFMMAPNRWDTLSKTLERPLRLSENINDDDHEQSVLVQITQPSDYPHSLLLRVLDTDSLSTIHQRSLLGQVRRMVRLSVEENKRVKLFQEICGEAKERGFGRVFRSPTLFEDMVKCMLLCNCQWSRTLSMAEALCELQLELNCPSSAASFPDPDNQNQLKGVTSKSEHFTPRTPAGKELRKRAGAYGCSRNLLERLNEVEEIVDIDKPGVTVTPAFSVGEEVLQKSNLCQDTTEVWEVSVSAPLNPDPSEDRKLSSFNQLGNFPSPKQLASLDESFLAKRCGLGYRAGRIIKLAKGIVEGSIQLNELEEACSNPSLSNYDKMAEQLREIDGFGPFTCANVLMCLGYYHVIPTDSETIRHLKQVHARTSTIQNVQRDVENIYGKYAPFQFLAYWSEVWHFYEERFGKLSEMPHSEYKLITAANMRPKRNGKCKKLKIASTEKLGV >Solyc12g037990.1.1 pep chromosome:SL3.0:12:49245627:49245923:-1 gene:Solyc12g037990.1 transcript:Solyc12g037990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAVCAVGGDSVLDNSFARERVSSIACCICATQLSRPALQVKISNCAWNVPTKGPNSVVKTMCWVPRAPLPFIQCFD >Solyc04g071950.2.1 pep chromosome:SL3.0:4:59045320:59047448:1 gene:Solyc04g071950.2 transcript:Solyc04g071950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNSSGITTENDVDLTSNEVNSPNLAVVDNMRLRNTPNRILHVARPMINQPSQFNLIQRQNLSVAEIAQGRTNLGTQPRQNPPQIPSLYDESYAARGLPRDPILRAILANPDFFIIPKPNQSKR >Solyc08g077500.3.1 pep chromosome:SL3.0:8:61569073:61618672:1 gene:Solyc08g077500.3 transcript:Solyc08g077500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDDSGSEAFVTGNALDFIQKSVELERLAVYFDSDINPWHIDKPWTDLLPQEWVKIFRYGTAHGKPADHIKEHSYILQPVTGNAKFSKQRPNPSRDNSDPLQKAVVALDDVTLCLSKNGYRDLLKLAENFAAFNQRLNYAHLRPHVPVKSDPRSWWKYAYQVLSVQIKKASGKLSWEQVLRYTRLRKKYISLYASLLKSEPDRMVIDDNKDLEELDRTLDAEIILQWRMLAHKFVEKSVESDSYLKKQKSKKSWWSFGWSSQSADDESEQAVFTEDDWERLNNIIGYKEGEEEPLLATHDRRDVPHTTLEVHMKHNASKLSDTNNCLADLSCDNLDCYIKLYSEAKVFDVKLGSYQLWSPNGLLAESATVNDSLVAAFCYKPFDSNIDWSLAAKASPCYVTYLKDSIDQIINFFESNAAVSQTIALETAAAVQMTIDEVKRTAQEQVNRALKDQSRFFLDLKIAAPKITIPTDFCPDSTHSTKLLLDLGNLVISTKDDSEIVSPEEMNMYVQFDMVLSDVSAFLVDGDYYWSQTPTNGVGPSRSTFVTFLPVIDKCAVVLKLQQIRLENPAFPSTRLAVRLPSLGFHFSPARYHRLMQVAKIFEAEEINDSDVYRPWTQSDFEGWLCLLNWKGVGGREAIWKRRYLCIVGSFLYILENPGSRSYKQYISLRGKQLYQVPPNDVGNEQYVLAVYSAERSNNIVEDANALILRCDSEDLKKTWQSHLQGAIYRASGSAPITGLSESSSESEDYEADHAGNDVIDLSQMESLFLTGVLDELKMSFNYSHEHDQSFTKALLAKERGLFEFRATGGRVELSIRGNDIFIGTLLKALEIEDLVCRTGISGSCYLARSFIRNITAPPLLNDVETQCNESSQYEGEEEFYEASENLNDLVDSSYSSGNSLPSEKTMSKAPSFNRFAGLLPIDFNDSGTNPVIMNDTLDSFVTAQVAIYDRKSPRYTSTDTKVVVTLATLSFFCRRPTILAVMEFVNAINVGEDIPESFSDTSSSAITQHDNPKENVVDSQLFETIDVPAVKGLLGKGKSRIIFGVTLNMARAQILLMKEGGSKLATLSQDNFLTDIKVFPSSFTIKASLGNLRISDDSLSSSHLYFWACDMRNPGGSSFVELEFCSFNVDDEDYMGYDYSLIGQLSEVRIVYLNRFIQEIVSYFMGLVPNSSNDVVRITDQVTNSEKWFTRGEVEGSPAFKLDLSLRKPIILMPRRTDSLDYLKLDVVHITVQNKFQWICGSKSDMNAVHREILTISVEDINLNVGAGSELGESIIQDVNGVSIVILRSLRDLLHQIPSVEVAIKIEELKAALSSKEYEIIAECAQENLSETPNVVPPLIDDSSSPSADKPQHLSVRNSDVVKSEAEDKDKDKWIVTKVSIAINLVELGLHYGLTRDASLATMQVSGLWLLYKSNTVGEGFLSSTLEDFTVMDNREGIAQELRLAIRKPETIGYNPSQLVSDAGAYAGTTFNTISDEDMKLVPAMVILDARFNENLTSFSLFIQRPQLLVALDFLLAVVEFFVPNVRSMLANDDDGSSHTVDAVILNDSVFNQPSPELSLSPQRPLVADDERYDLFIYDGKGGILFLQDRKGKNLSSPSEEAIIYVGSGKKLQFRNVKIKNGKYLDSCILLGSNSSYSASEDDEVLLDEASCVGPLEDDSGETVDAVPSQNPNVSRSTELIFELKAIGPELTFYNTSRSVGESAALSNKLLHTQLDAFCRIVLKGDTFDVNANVLGLTMESNGVRIVEPFDTSVKFSNASGKSNIQLAVSDIFMNFSFSILRLFLAVEDDILAFLRTTSKKMTVVCSEFDKVGSIKSPCNQIYAFWRARAPPGYGTIGDYLTPIDKPPTKGVIALNTSFVRVKRPESFMLIWPSSAYKDGELGSTTFLSKEDGTCSIWFPIAPKGYVAVGCVVSPGSMEPPISSAWCILASLVSPCDLRDCVNIGMMNRSSKLAFWRVDNSVGTFLPSEPTTLKLCGRAYDLRHIFFGLPRDFSETSKSSETGVSSGQNHAVQSERSSTVNSRRRLEANATFRLIWWNQGSGSRKKLSIWRPIIPQGMVYFGDIAVQGYESPNTCIVLQASDELYKAPSDFTLVGQIKKHRSVDSISFWMPQPPPGFVSLGCIACKGAPNQSDFGSLRCIRSDMVASDQFSEQSIWDTSDAKFTREPFSLWVIGDELGPFIVRSGFKKPPKRLALKLADRDMANGLEDMVVDAEIRTFSAALFDDYGGLMVPLCNVSFSGITFNLHQRTDYLNSSVTFSLAARSYNDKYDSWEPLLEPVDGSLRYQYDVHAPGAASQIRLASTRDLNLNISVSNANTIFQAYASWNNLSHDAVSPIGGSRSIIDVHHRRNYFIIPQNNLGQDIFIRATEIRGLPSIIKMPSGDSKPIKVPVAKNMLDSHLKGSLFKKGNIMVTIIIAAAEGNGCGDSKSLSNDKKTQFQQVEGLSSHEYAVEVRLAPDQSHPCPSLSIQQSARTRGNSSYGSIASDIISVKWNEVFFFKVDSPDFCNLELVVMDMGRGDTVGYSLAPLNHISTPQENPASYNSSLEFNWLELSSSGSTMITSEGKEMKSSGRIKLAAYLSPQLEVGKSEKSYNTKARPGFIQISPTREGPWTTVRLNYAAPAACWRLGNTVVASEVSIADGNRYVKIRSLVLVRNYTEFTLDLQLKLNASNEKRRHDNDETQEVYGDEVVTDEFFETQKYNPDIGWFDANEGTNEVELPSGWEWVDEWHVDKKSVNTADGWVYAPDFNSLKWPESSNPLKSVNYAKQRRWLRNRKGKSTDLKAQIYVGPIRPGEVVPLPLSVLTHSGLYILQVRPSYLEKTEEYSWSSVMDVSGNTRDLEIPSENAGISVSNLSESEELLYCPAVSGTSSNSNRGMWFCLSIQATEITKDMHSDPIQDWTLVIRPPLAITNYLPLTAEYSVLEMQASGHFLTCVRGIFTPGESVKVYSANIRNPLYFSLLPQRGWLPLHEAILISHPKMAPAKTINLRSSISGRIVQVIAEHTHTHERPLQAKITKVYAPFWLSVARCPPITFRLIDLSGRKTKKKIALPLLSKRNNDLFLEEISEEEIYEGNTIASFINFKLLGLSASINLSGEKSFGPVKDLSPLGDMDGSLDFWAYNTDGNCMRLFVSSKPCPYQTVPTKVITVRPFVTFTNRLGQDIFLKLSSEDEPKVLRASDVRASFVYRDTGGPDELQVQLDDTNWSFPVQIVKEDTVPLVLRRNDGTRRLLKMEVRGFEEGSRFIVVFRLGSTRGPIRIENRTKRKVIRLRQSGFCNDAWIQLLPLSTTNFSWENPYGQKLIDAEIYSGNSSRVWKFDLEKSGFCSESDGLGLLFRVIDMADVRVARFIDEGAALLSSKEGSMSLAEVGNLGSSHIQNQMQENGSHLQVTVELGAIGVSIVDHRPREVLYLYLDRVFISYSTGYDGGTTSKFKLILGYLQLDNQLPLTLMPVLLAPEQNIDMHHPVLKMTFTVRNENIDGVQVYPYVHVRVTDKYWRLNIHEPIIWAFIDFYNNLQLDRLPNSSSVSQVDPEIRVDLIDISELRLKLSLESAPAQRPDGVLGVWGPVLSAVGNAFKLQIHLRKVIRRDRFMRKSSVISAVGNRIFRDLIHNPLHLIFSVDVLGMTSSTLASLSKGFAQLSTDGQFLQLRSKQIWSRRITGVGEGIRQGTEALAQGVAFGVSGVVTRPVESARQHGLLGFAHGLGRAVVGFVAQPVSGALDFFSLTVDGIGASCSRCIEILSNKTTFHRIRNPRAIHADNILRDYSEREAQGQVILHLAEESRHFGCTELFKEPSKFALSDYYENHFMVPYSRIVLVTNKRVMLLQCLSADKMDKKPCKIMWDVPWEELMALELAKAGYPRPSHLIIHVKKFRRSQKFVRVIKCNTEDEIEVPQAVRICSVVRKIWKAYQTDVACLQLKVPSSQRHVSFASNDNDGRDSFSQKKPIIESRGLASWGAISDRRKFVQHAVTFSKVWSSERELKGRCTLCRKNVSEDDGICSIWRPSGLPHGYISIGDITHVGSHPPNVSAVYRYSDKLFALPVGYDLVWRNCLDDFTNPISIWHPRAPEGFVSPGCVAVPDFTEPEPNAAYCVAETLIEETLFEEQKIWEAPDSYPWACHIYQVHSDALHFVALRQPREESDWKPMRVIDDPQFHIEP >Solyc02g079095.1.1 pep chromosome:SL3.0:2:44269620:44270351:1 gene:Solyc02g079095.1 transcript:Solyc02g079095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGWVNKVLMACLIPDVNDVYFVEGKLQLSVLPQGCGHQLKKIIVCGFEGTQSGLEVLFLRDLLLISANIEMMVIKWKSGLRNLIRDASDEFVTKTLSNARKRSKKAVGNDIISTVNGKRLKFARKSHSLNWKG >Solyc03g079920.3.1 pep chromosome:SL3.0:3:53271616:53281121:1 gene:Solyc03g079920.3 transcript:Solyc03g079920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTDSIKTLLATTGGDTVKLFDLTLEPRDPCILSYTPSPGFQVNSVKWNHTNLVVASAGDDKKISLWRKNGQSLGTVPLAGTDSADNIEESISTVNFSSKASRYICSGGSGQVIRIWDLQRKRCIKWLKGHTNTISGAMYNCKDEHLASISLNGNLILHNLASGAKAAELKDPNAQVLRVLDYSKISRHLLVTAGDDGSIHLWDTTGRSPKVSWLKQHSAPTSGISFSPTNDKIVASVGMDKKLYTFDSGSRRPTFCIPYEAPFSSLAFTDDGFTLAAGTSSGRVVFYDVRGKPQPLTVLRAYGNSEAVTSLCWQRAKPVIVNENNCTTEMALLGSAVEDSILMPDPLPAMMSSSLATSMTTSGSRTTVRSGSVDSFSFPAGITGSTSGTTGLSPSEETPIRSSLWKGGSLARLHAPRNFKDDMEVFSPLVEVQPITPSLDKLWDDQEGFKKDFDKKSSLLFPSSLRFPLPVEGGNENRPIFDWKSSSLPKQQEDASFAQLSSTPTSSRGDDSSSITPPEAWGGERLSDRLSHLRQSGNMPSRFAISTSGPLAPGSMLSGLQDNFPATQSIGSLTSSTLSLANLRIKENPNEETILGSSEHASSTSFSVGTKGITGQGTLDTLGSTVSLPRRFSSYAERISTTPSFSDGTLSVGSPKTKKTGAETREELLNSLLSRSDTSSATAAGAFQAINGEIKQSQKSTLPELQQGSSFTLQLFQRTQEETLSSLQKSIHEDMRNLHLDILRQFHMQEMETSSAMKLILENQAELMKEVQLLRRETQQLRQLL >Solyc01g007860.3.1 pep chromosome:SL3.0:1:1953788:1957531:-1 gene:Solyc01g007860.3 transcript:Solyc01g007860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNSVHEGRIYQLKLFCDKDYPEKPPSVRFHSRVNMTCVNHETGVVEPKKFALLANWQREYTMEDILTQLKKEMASPHNRKLVQPPEGTCF >Solyc12g019470.2.1 pep chromosome:SL3.0:12:10403030:10411831:-1 gene:Solyc12g019470.2 transcript:Solyc12g019470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNQAYKAMQRARLGSSSGGPDEVDDGMVDGSFHTPEWHAARLASLKTSHTVTWEEFKQKQKEEEVRKGELEADKDKMMREYRAQLDAERASKLSHGRNHSSSKHSRKKDKKDKDARKQRSKKRKRSRRYSDSSSSSSSSESSSSDDEDRESRKSRSKSKRRKKEKKHQLRSRLKQSTSDDEADGPLPLSRFFGSIKS >Solyc10g076305.1.1 pep chromosome:SL3.0:10:59330446:59331778:-1 gene:Solyc10g076305.1 transcript:Solyc10g076305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYVEGVGRQFCECNTFFYINGTTLRFTIREFAIISGLNCSDNGANFYFDTDQSNRIIDEYFPGNSPVTKARLAEAFKAKAFNELAKSINNKIKLCGQYYRIQGFPLPMQVWFYECCSYADDKIDVKVSSHIPRIINWVTKNDHPRFDYFMKIIFNDADNSEKKKQSVTVISINKSSTNAIKTYTQRSMARKAKRSQSININSVAKHSDAGQGRVR >Solyc01g006530.2.1 pep chromosome:SL3.0:1:1099091:1106640:1 gene:Solyc01g006530.2 transcript:Solyc01g006530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLICSNFKQFIFSLAMSNFVNYFLVIFLLFTLSSHNLAQNNGRIATSSSISATNDNTPWLSPSGDFAFGFKKIEKNKNEFLLCIWYAKIQEKTIVWYANISDLVPQGSRLNLDSQRGLILNDPQGNTLWRSDLVLGNIDYGLMNDNGNFVVMGSDSSDPLWESFRNPTNTLLPNQTLERGGFLVSQKSQAKFTQGRFYLRMLDNGNLVLVTQSVPSNTDYDDEYYNTQTFDSTNAINSGDKLVFGDNGVLYVLKRNNETQILTPRSSPSALDNYHRVTLNFDGVLSHYYHSRTSNDSGWNVLWSQPDNICIEIRGNNGPGSCGYNNVCTLGTNDRPVCNCPKGYSLVDPNDAYGDCKPDFSISCDEVGRGSPDDIYNLTTIRDIDWPLSDFQQISPSTEQDCKNACLNDCFCAVAIYRSNSCWKKKLPLSNGRRDTSLNVKAFIKLRKDGVLSPRSPPSPGLPIPESDQKQSWRIWTILASSLLGSSVFINVLLIGVFCWGFFHIYKKKVNGFHPTSHVTDSVCHSFTYKELVVATKEFNEELGRGAFGIVYKGVMSIGSRNVVAIKKLDRVAREAEKDFMTEVNVISQTHHKNLVRLIGYCNEGAHRLLVYEYMSNGTLASFIFGDLKPTWSQRTSIAMGIARGLAYLHEECSTQIIHCDIKPQNILLDDYHVARISDFGLSKLLMINQSRTDTNIRGTRGYVAPEWFRHSPVTVKVDVYSFGILLLEIITCRKCLENEESFGLEAILVDWVLDCFQQGHLEALVRSDIEALNDKKQLERFVMVGIWCIQEDPLTRPTMRKVSQMLEGSVEVTMPPCSFQILHFLKPKMIIGSSLIAGDDKTSPWISPNEDFAFGFQQLGDENQFIVSIWYNKIPEKTIIWYANGDNPCPKGSRIELLADRGLVLTSPQQDEASISDPLIGIVAYGTMKDTGNFVLVNRHSDSLWQSFNQTKDTILPTQEFREGFKISSRRSETSFSKGRFLLRMFQNGNIGIASLNLPSEYINENFYLIRSIDQLNATNYVMKFNESGSILLLVNNSQEFVLSQGEIGSSARFYHRATLNYDGVFALYQRLKDSKNDVWSTVWSVPDNICYSFPSEKGSGVCGYNRICRLSIDKRPDCQCPRAFTLVDPEDDYRGCIPDYVQDCGNNQEDAGSQVEMETITNIDWPTSDYELLQPLDEEKCKNACLNDCMCAVSIIRENSCWKKKLPLSNGRVDNRVNSKAFIKRKKGTFRENTNSSKPKNKKQETIILIVSVFLGSSVFVNCLLLGVLSLGFLLVYRNKRLTFDRNGSSMDQTLRYFSYKDLSKATEGFKEERGRGAFGIVYKGVVDIGKPIAVAVKKLDRIVQDGDKEFKTEVNVIGQTHHKNLVRLIGFCDEGPHRLLVYEFLNNGSLASFLFGDLKLTWNQRTQVALGIARGLLYLHDECITQIIHCDIKPQNILLDDQYEPRISDFGLSKLLRMDQSETQTAIRGTKGYVAPEWFRNMPITLKVDVYSFGVLLLEIICCRRNVDYEVGEDKAILTYWAYDCYQQGTMYQLVENDFDAMSDMKKLEKFLMVAIWCIQEDPSLRPTMKKVVLMLEEIVEVPSPPCPNPYRSEHSLVDAI >Solyc09g007580.2.1 pep chromosome:SL3.0:9:1172017:1177636:1 gene:Solyc09g007580.2 transcript:Solyc09g007580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDRTWNSSFWSQEEDKIFENILGIHFNDNNLLRKMEEALPGKTVDEIKDHYNILLEDIDAIDFGGAPLPNYAEIQSNVNQNINADVQWRRGAAWTEEEHRSFLRGLDIYGKGDWRSISRHCVITRTAMQVASHAQKYFKRVEANKKGNRRRRAKPSVLDITGVDTELGGTSEVPITADMIDPACEGSQAVPNTSTESMCYPESTNAEQMTTVVGGENAFVNVDASSGTSGHSISRVGSELEALLSQPMDEDNDFNLIFDVGMEPTSDAGIAPTAQTGMSGYAAAGEASAQLPPFSPSSYFGDGEWRSLGPRH >Solyc02g088390.3.1 pep chromosome:SL3.0:2:51122717:51125123:-1 gene:Solyc02g088390.3 transcript:Solyc02g088390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRRRSFGWPTMAVVLTCALLVMLPQVYSIRYIVGSRFGWTNSVNYTNWAKDKHFYNGDWLFFVYDRNQMNVLELNKTDYESCNTDHPLHNWTTGAGRDVVPLNVTKTYYFASGKGYCYGGMKVAIHVEKAPPPPKAAPVRSSSTNLLNSFKAQIMIPALFATAAVWDAFLLLW >Solyc07g008440.3.1 pep chromosome:SL3.0:7:3314951:3318245:-1 gene:Solyc07g008440.3 transcript:Solyc07g008440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQENSSRTKKFLLAINCILLAIGNCGGPLITRLYFLKGGERIWLSSWLETVGWPIVLIPLSFSYFNRRREFRGKMILSTSTDNDNDNNAKFILMTPRIFVASIGIGILTGFDNYLYAYGVAKLPVSTSALLIASQLAFTAGFAFLLVKQKFTSYSINSIFLLTLGAVVLALHANGDRPNGESRKEYILGFIMTLGAAALYGLILPLFELLYKKAKQGITYTLVMEIQAVYCIFSTVVCTIGMIINKDFQAMSREAKSFELGEARYYIVIIWSAILWQCFFLGAIGVIYFSSSLVSGILITVLLPVTEILGVVFYGEKFTPEKGVSLVLSIWGFISYLYGDIKASKKKKENQSKEQEMIEKINCTP >Solyc04g011330.1.1.1 pep chromosome:SL3.0:4:3722558:3722710:-1 gene:Solyc04g011330.1 transcript:Solyc04g011330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRMHRQGLDGRPRKHSAFLTLESGSPKKWCAIAYENRRNEAYACFGFF >Solyc04g017620.3.1 pep chromosome:SL3.0:4:7433779:7585331:1 gene:Solyc04g017620.3 transcript:Solyc04g017620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEEEEDGISIRKFFSLYNGMILNKRIPKARRKRCMESMGWLIMVGEEEGEISMLHPFSGVEIELPHQNTTVEYNRYRTGLKMYFFYKAVLSSSPSHTSDYILVVIEGAFKFLSFWRPGDIRWTRLKFQGTDRVGTAFYDLIYFNGQIYALDYSGILIVFDVADAVGTQPTKYHIVAHMPLPPQLRREQLYIVESLGSLFVILRDGVHLRLPKDDRDRIPLKLIPDDDDDDDDYTYGTTNFRVFQVDSAAGIMTETRELGDTTFFLGANSSLSVQACQFPGIKPNHIYFTDDCFLTYLAYEEGGGLDMGVFNLADGSIQPHYNGVSLSRFCPPTWVTPTLY >Solyc10g081760.2.1.1 pep chromosome:SL3.0:10:62893460:62895991:1 gene:Solyc10g081760.2 transcript:Solyc10g081760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKQELIDLTDDLAEPQITTNTTMHYMVPVNNNGFDDIQESPMKKIKLENPVFIAPLSICPYYSNELVVASPVSVTSCKQFWKAGDYEGKREGNGVFFSGAYDQTVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNAMDEVCNGATYVSVDVMDNKKDKGSKMLLVEDNGGGMTPDRMRQCMSLGYSAKSKLANTIGQYGNGFKTSSMRLGGDVIVFSRGRDRATNMLTQSVGMLSYTFLRSTGKEDIVVPMIDFVKRGGTWEMLVRSSADVWKRNSETIVQWSPYESANDLFQQFEFLKGDQGTRIIIYNLWEDEEGGTELDFDTDPHDIQIRGVSRDEKKIEMAKEYPNSRHFLTYQHSLRSYASILYLRLAPGFRIILRGKDVEHHNLVNDMMLSEEITYRPQSVGYESFVATGTIGFVKDAKHHIDIQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTIVLARLEARLQFIQKKYWSSNCHFIGYAKRRNVKNTAVSPDQEQPNAGDSTCHDDGSNLKFSRVERERGPVHSSIKDSPVHETSQVYVGSRKVTQPVQLSVQANQNPFISNVSRRMCHDDTSKSKPTVGEHEKTVPVHSSVQERNQTMSGDQTQQVCRLNRQPDETQENASVPERKSGYPFISNSGYTTCHYDTSKSKSTEHENTVPVHSSVQERNQTMVGDQTQQASTSNRQTLGNSVVKMEDTMPDDLRVESSSTKNSLQQVLHRLQQERARSESLARMLLEEKARAAKEEQSIRQLERARTETLARMLIEERARAAKEEQSLRSKLKDASVITQDLLNKVRLLESSRMIVSCNSER >Solyc02g083300.1.1.1 pep chromosome:SL3.0:2:47308217:47308420:-1 gene:Solyc02g083300.1 transcript:Solyc02g083300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRIMELNAVALSLCFGSRSFKCTLNTPPLLSFLLKFTPRHSHSSTQNIQSFLPLLVFPFFFRPFK >Solyc10g006690.3.1 pep chromosome:SL3.0:10:1159344:1163557:-1 gene:Solyc10g006690.3 transcript:Solyc10g006690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLWLISCCACLYCLLGRICAVSHCISRSQTLIDGESLASEEGTFELGFFSPGSSTHRYLGIWYKNIPIITENPIKDRTGVFTINSTGSIVVTSRTSGDIWSTNSFKDAKSPVLQLLDSGNLILRDEKGTNSDFYLWKSFDYPSDTLLPGMKLGWDLRTGLNRQLSLWRNSDDPSPGELRNGIELHEFLQAVIWKATKKYIRSGPWNGERFSGAPELRVDPVFNYSFISNKDEMYYTYQLKNKSVISRLVLNDISSSRQQYVWVEADQAWKLYASVPCDYCDIYDLCGANGVCVISDSPVCQCLEGFETKSPDSWNLMDWSEECILSKPFSCQTETAKYHQSWVTGTMNLQECREACFKNCSCMAYSNSDVRGQGSRCVLWFHDLLDIRQVPNGGQDLYIRIEASKQGACFDKVFFPTDNFSLNNKLGEGGFGPVYKHRNLVKLVGSCIDDEEKMLIYEYMANRSLDSFVFERTRRKQLGWSKFFQIIDGIARGRLYLHQDSRLRIMHIVLKASNVLLDAELNLKISDFGLGRTFQGDQCGDNTDRAFRFAIVGNLIGKRNRCFRHPHHHHGLIEYVRFEEVAARRMWMQGTPLALVDSSLEDTHDLMFEISRCIHVSLLCVQQIPEDRPNMSVVVLMLNGESILPQPRQPGFLKLLLSGLILTLALQMKSHCPRSGLSCGLKVGTPFPGSDSDYQR >Solyc07g043570.3.1 pep chromosome:SL3.0:7:57611813:57618426:1 gene:Solyc07g043570.3 transcript:Solyc07g043570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHLSIGCLCCLSPMRGQKQKIRGVAAESSVKLNSEEEDKVKLGGSDLKVTKLGIGAWSWGDTSYWNNFEWDDKKLKAAKTAFDASIDSGITFIDTAEVYGSRFSFGAINSETLLGRFIKERKEKDPEVEVAVATKFAALPWRLGRQSVLAALKDSLARMELSSVDLYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSEKRLRDAYEQLKRRGIPLASNQVNYSLIYRLPEQNGVKAACDELGVTLIAYSPIAQGALTGKYTPENPPTGPRGQIYTPEVLTKLQPLINRIKEIGDSYNKTSTQVVLNWLIAQENVVPIPGAKNAEQAKEFGGALGWRLTQQEIDQLRSLASELKPVTGFPVEKL >Solyc01g056297.1.1.1 pep chromosome:SL3.0:1:53081080:53081409:1 gene:Solyc01g056297.1 transcript:Solyc01g056297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYKTLNTWTEKDHFLMPYIYHILDRLVVNGWYFFFDGHSGYNQISIAPEDQEKTTFTCLYGTFMFEWMPFKLCNAPGTFHHCMILILSDIMKDTIEVFMDDFSVVGDSF >Solyc02g005330.1.1.1 pep chromosome:SL3.0:2:8087332:8087892:-1 gene:Solyc02g005330.1 transcript:Solyc02g005330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVLSCSSHFILLAGHCNAAPVLDPYISKVTTTDQHSKKRTATSLDASNCRYPLRSKKSKDVKIEKEMWKVFGSVTCSLEFHHVMTDAILLLFILLAGHCTEALVALDPSTSKVIPLISIVKREQLPVWMHQIVGIVPIKQLKEVKIEKGDVRGIWFSNMFFVLNYVMMDDIILHPPLPTSSFFA >Solyc12g035900.2.1.1 pep chromosome:SL3.0:12:44541171:44541550:-1 gene:Solyc12g035900.2 transcript:Solyc12g035900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVVSDYDHEPIRILTLTCRWFLTIGILTKVSRHIMNRVGVQVVSVSHRKCFFYALGISHISYSFSNSYPFLILGPRFLILSLFHVVSQEYFQYTLYF >Solyc09g061340.1.1.1 pep chromosome:SL3.0:9:58956108:58958207:-1 gene:Solyc09g061340.1 transcript:Solyc09g061340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKHAWLIKLGLDTCPLYATNLIAHYVFSAIPNSLTIAHKVFDQVPHKDTTLWTSLISSYARSNQPHKALHLFSLMLHQSQSNPDTAAHPNHFVFSTVARAIASSRQNSKLGQNVHAHVIKSGYLPGNIVVETAFLDLYSKCGVVECARMVFDEMCRRNLVTWNAVISGYVQNGMGCEGLELFYRMKCKEFYMPDEYTVATVLSGCCYLQELFLGMQVHGYAIVTGFELSCRNSIANMYFYCSRVELAEKVFVGTERDVVSRLVKIRGYVFNHMYADAVRYILSMENAVEILVMDQTIFVPLLSACAKMRLLNAGKQVHGLFITLVDSCKTVHLLEESRGIIGSALIDMYSKCSDIGKAQKVFESWLPERDVPLWNSLLSGYINNGLIEDAKALFEHMPEKTIVSWTSMMTGYVQKGLPREGLNLLAKMYFGEERDRLEGNCLTFVVALEACSHLTDLDKGKQIHAKIIRELPDADGNVAVGTALVDMYSKSGHLSYTLRLFDVMEEKNVVSWTSAIMGFAVHGFAFQALELFQRMVNMGINPNEVTFTAVLTACRHCGLVDEGMQYFTQMRKQFGLTPDEEHYTCLIDLLGRNGRLEEAWHLVEGMEENHLSDECSTGTIWAALLGACQLYENVEIGKKVAEKLTEKEIEISNASIALSNIYAAAGMWNEVYRVRESWRKKGHADGEPGLSRICTQPH >Solyc07g045190.2.1 pep chromosome:SL3.0:7:58446507:58449513:1 gene:Solyc07g045190.2 transcript:Solyc07g045190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSQYPTPADAGVLCVILVNTAISISIVKEMVRSILHVIGIHIASWDDYSIEGSLDSFECRRSPSESYMEEFRSHTPAIRYDSICISNHAEKECSVCLTDFEPDAEINHLSCGHVFHKHCLEKWLKYWNVTCPLCRNYMMSQEGEEDTCPISQFREFRADGLAISSQASTPVVPASERTRDPCKVVRPCIGAKTRLEPDRCPGEGIICHESPKVHISCPSINQPLRDKDEEKKELENL >Solyc06g075980.3.1 pep chromosome:SL3.0:6:47315263:47320738:-1 gene:Solyc06g075980.3 transcript:Solyc06g075980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSFNPTPRTKSMVTTAITTKNESKQHIVSSGSNSFANVRLFDLIKYGKQRRGSGNQLVFFLVKVAALEAVRRISRSKCPFVWSGLQALQVVCYPPLKWMQKWNPFRVLVECMQTLSRPLLVLSIATAFSDHSEFGNDTSDSTQGSPVSSDSRADSDSQLVVSSEQSIPTERVGNEVYQSLSSTSTASWLHLLYRDLEKQGIILPERIDEEEIHQFFRAADGDFTRLLSSLKKTIRWRETYRILSRQELEVWSNMVFWHGYDVQNRPCLIVRLGLACISLPSCDRPSFAQAVVSQVEHGVLHLVDPQNSQITVLVDCEGLSPLRLPMQMLRSCSTLLQDHFPNRLGCLFIIRLPPIVRVVAQTFVQVFKPVTRQKLRFEGEMYQKVLSECLQTLPSYLGGQCTCSKCASFGMSKTHNTLMNDDHQETAVSEIINNPLDLAPLHSGEHIEIPTNYNCDRVLRKAVVGILLFWIIIVLVAGIFDPENRPILPP >Solyc07g021555.1.1 pep chromosome:SL3.0:7:19645683:19645999:1 gene:Solyc07g021555.1 transcript:Solyc07g021555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNVAHLGGGRAEDSVRDKIWRILVVGNAFSLKTPSTFFTWMNNTFHPNVDKFVVVYFDDIVIYSNTLEEHIEHLRIVFQFLRENHLYVKWEMCEFTQH >Solyc05g013190.3.1 pep chromosome:SL3.0:5:6269123:6272999:-1 gene:Solyc05g013190.3 transcript:Solyc05g013190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTVAKLRSSSNFINSLRRFSHAISIPPPLDSTIYLQTPSSPSLVLPEHDDDNNNNNIGFMVPNSPSLKGSMELMAVPKKKVSPHKRGIRNGPKALKPVPVIMRCKVCGRVKLPHFFCCSGIKPGDENSSSS >Solyc07g053010.2.1.1 pep chromosome:SL3.0:7:61553376:61558510:-1 gene:Solyc07g053010.2 transcript:Solyc07g053010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAIMEAVVELIVKDLSKEVNVHAQYAIQFESEFEVMKKELNLMRSYLTEANRLKGNSKLVKTTLSELQELIYEADNVVTDCQIREDYLSMKGNPSCLLPSPKEISFRYITGKKLTGLNKKIVKMHKKLRTFVGPITEQTRGDENISRRRIRWTSHIFDQSEIVGLSEDTRKIKEWIFSHSDSSHRVGIVGMGGLGKTTIAQKVYHDRQVNVRFQKKVWVSVSQTYDELLIMKGILKQLNGDDSGTDKGDLLNRILEALSHKSYLIVLDDVWSIDDGWWDRISRGLPKFVEHNCCIIITSRNDDVVKRMGATESRIHRPRLLDDEESWSLFCKFAFLSTKGKCNAQLEEVGREIVRKCHGLPLAIKTTGGMLSSKPHSLGEWTRICDNFREKLVSDSESNISVMASLQLSYDELPAHLKQCMLCFSIYPDDHEIEAEQLVRWWVGEGLVRGDGTETATDVAFGHLSELVSRCLVEAVQRRSFDGKVYSCKMHDMVRDMTILVAEDEKFCCFNRGRHIATVNSRHLGVTRETTFQSLYGNTKLRALLLTTTNYIGFNRKIALAEIKTLRVLDLSCVKLDKICLVDLWQWITSLKRLAYLNLRNVANLDEIPNSVRKLWGLQILVLRECKDLKKLPTSITTLPRLAILDVGSCPSLSCLPQGLSRLANLEELYGFKLPSPATTEACRLSEVVALTQLRVLHLDITEESMIDDKELAALKQLELLRVLSINAGDCENKDIIRKLDNLSPPTRVEELYLRHYLKETTPAWINPISLPQLQYLCIEDSRVFNRMSENFWGDNEDKWNVEGLCLKFLPRLEETWETFQNAMPSLKYLEVSHCNSLKNFRCNVEGLGYWTKPDEEEENKEDQDVISCHEGGNEGEFDDMH >Solyc02g079070.3.1 pep chromosome:SL3.0:2:44254958:44257977:1 gene:Solyc02g079070.3 transcript:Solyc02g079070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTYQMKNLSTLELMLEELQQEDEETNDLPPPLPVRPVIKARLPKGRRKLAFGKEKSNLEDIRVQENVFVDQWSTSAAERDSVAMTDKICLMVDQREGAELSGVLQIQRCFRGYQARQYYHELKTGALNHSPSILAVVRGEITRKCYQDLTRRLTAVIIIQKHIKKYLHKRTERQRTAALCLQSVIRGCLTRKQFNLSGDGKRSCVQNIREKNDLDNKEPETKVPRSVLLDLQRHILKTEAALERKKEENAALRLHIQHYEIKWNQYESKMKAMEKMWQDQLTSIQISLAAEREKHGDEKTKGKLRLLILQDQDENVHNGFPSALNHPDEVHDTQPQPSGRLNPKNKCNNHHVMDMVNHYQNFVVHDQCNTGEEASALRPNDELQKLKIRFEAWKKDYKNKLREAKATMKQLGHSERGKGSKIWCGR >Solyc10g074820.1.1.1 pep chromosome:SL3.0:10:58589207:58590157:1 gene:Solyc10g074820.1 transcript:Solyc10g074820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRLLGSLTMLHLIMWLFWLPRLGSSQLTEISTGAARALDALLQDYAYRAFDSPRIRTGVIYDGNVPSNLTGIKVSGLRLRSGSLRNRGFAMYKEFDIPVGVVEQPFVERLVLVYQNLGNFSSTYYNLSGYMYLAPVLGLLAYDASNLAATNLPELDINASGQPISIRFSDVKPLPVGSSPKCVSIDLQGSLNFSNVLSDNTCTTFQQGHFSIVTESIAPAPSPPTETPTIHHNGKKNKSKVWIIVGSIVGGLLLMFLLGLLIVCASKYKQKKKMQQMERATEVGESLQMTKVGSTKAPAATVTRTQPTLETEYRP >Solyc04g071420.3.1 pep chromosome:SL3.0:4:58484583:58489278:1 gene:Solyc04g071420.3 transcript:Solyc04g071420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQHSLRLQLLAPPSQEKCLRSFPSVFGIFPQRKNRLRSFNLCSLKLNNFGPSVPNFWRSQLTVQGLRLKGSKASKLEELLKFGNGEEDDGDESESDSDGGHKRDDYFHMDEDERREWRRKIRDVIKMSPDVEEEVDPVERRQKMQKLLADYPLVVDEEDPDWPEDADGRGFNLDQFFNKISIKNVKKDDDENDDDNELVWQDDDYIRPVKDLTTAEWEETVYKDISPLIVLVHNRYKRPKENEMARDELEKAIHIIWNCRLPSPRCVAIDAVVEVDLVSALKVSVFPELIFTKAGKILYREKVSRTADELSKMMAFFYYGAAKPPCLSSIENSQELIPTI >Solyc03g116225.1.1 pep chromosome:SL3.0:3:67202555:67203310:1 gene:Solyc03g116225.1 transcript:Solyc03g116225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRISILALLALFLLEAVLANEVFDVPMNETIGVESINASVGGYPRCGAQGDGGNCPSGMCCSVWGWCGKTYGYCAPQNCQKQCPAPYPEGRCGWQADGKSCPNGKCCSYGECGLQKNGERCTKPGECCSIWGLCGATYKYCDPQHCQKQCSAPFPPGRCGWQADGRPCPTGQCCSFSGWCGTTSAHCTYPQCVSQCNDPRFPSSLNNRIQSFML >Solyc05g015330.1.1.1 pep chromosome:SL3.0:5:10362589:10363023:-1 gene:Solyc05g015330.1 transcript:Solyc05g015330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVLDTMPGEDEEGTSTVAGETHQLQIPISRVKKIMKLDQDINKINSEALYLIASSTELFLELLAEKSAQVALEKKRKTIKLEHLRVAVKRHKPTSDFLLDSLPMPSQPLDRSPKVQSRPRSSTDNPLPSGTRRIEAFFQKCT >Solyc04g009190.3.1 pep chromosome:SL3.0:4:2692620:2695348:1 gene:Solyc04g009190.3 transcript:Solyc04g009190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNPQLFPNGMPIPFLNELFVLSRHGVEFEVDKIPGVGKTRTRGTIYLSNIRMVFVAKMPVDFIAFDMPLLFVSDEIFTQPVFHCNNISGFVDPVVPDNENSALYSTHTFKILFKDGGCGTFVPLFFNLIASVRRAQQQSGVQPRADPLQAAQTPVDEMIRHAYVDPNDPTKIFLQQPTPESQLRRRSYQSQPA >Solyc12g017900.2.1 pep chromosome:SL3.0:12:7751493:7751769:-1 gene:Solyc12g017900.2 transcript:Solyc12g017900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRKVRFLFPENPGMDPAGVAYIMGHSFVKAKRSTFFMKKLVIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Solyc04g005510.3.1 pep chromosome:SL3.0:4:342523:345010:1 gene:Solyc04g005510.3 transcript:Solyc04g005510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 5A [Source:UniProtKB/TrEMBL;Acc:K4BNF7] MSDEEHQFESKADAGASKTYPQQAGTIRKNGYIVIKARPCKVVEVSTSKTGKHGHAKCHFVAIDIFTGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTDNGNTKDDLRLPTDENLLSLIKDGFAEGKDLVVSVMSAMGEEQINALKDIGPK >Solyc08g078780.2.1 pep chromosome:SL3.0:8:62621300:62624891:1 gene:Solyc08g078780.2 transcript:Solyc08g078780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIGCTIDGNLDDSKFSDPIPWIGVYVAAASAVCALAMAIDVLHGLRRRKLWFPCNFFSLNATTLTLLAVATKLSVDLNTSMPRQQDQLTKLSSAALICTVTANFLPSLGLMDNTDLLMNIMALGIFVITAIVNIGIQLATGVIYVFSKEHIAIMFLMLILLLLLISSALTIPTTKCYLDLKYNKRYKLANKECNISYTCKTQKLKDELMRLWTMAYTSNPLFVAGRLATCTASGGFCLLSTVIYAQAMLRSYFLHSSFSFCRGESEYKWSTTLILVTHTVAIGVGTIAPAFRWFIAINFHCPVKTNNACKLMLFKVENYWINILLKWKECPLDFRICGRHGRKFAHKTKNKLLDFCIWMQILMVSLSKMVRIISTFSVSWLLISCQKVTGMLKCKNVVSSHDIESQASLMSDLSHYVLHLEGEEVLIDLMMQSNCDVVDKWIGMGKKDQPKHLIQFLEKVKSSPGFRGVHEFDHAKIPSLDSEEPPNCWALPIVTLTSIAIALPDIDFHLIKELIRSVYEGLMYIKLVEENLDSRKDLVYIRKAAELVWVEVDLCYKWLDVDLRKAATEGQNPKGVLEGLAEKAKQRFVEFRKNDPNACLKDSPSKWPTNMLATNCMYRVCQTLLQSSDSKAFENSKTMFDRLSTMIADITSACLTNLDRVISMQCHHGTIEERAAGVRSAILLLGKAESFLHILRSQPLPSSAPDQLGNIDHWRSHSKEELIRCVNEGLMYIKVMENNLDARKDLASIRRAAELVWLDVDLCYKWLDVDLRKTTTAGKNPKDMLEGLSEKAKQRCIEFRKKDLTVCPKGSPSKWPINMLAANCVLSAILLLGKAENILEILRSQALSIPDQLAKIDHRCTLSKEGDLLSCSSSSTSNSTPTSQISFGLYLAVD >Solyc05g024180.3.1 pep chromosome:SL3.0:5:30604883:30607362:-1 gene:Solyc05g024180.3 transcript:Solyc05g024180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIFADIIKGSLSSLLNAHKTVLLKIVMRFRIETNNSRRSFHPWKKESIDDVVIPIESYHMHDPFGTQIKHETELQQM >Solyc08g082250.3.1 pep chromosome:SL3.0:8:65214410:65219638:1 gene:Solyc08g082250.3 transcript:Solyc08g082250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:Q9ZSP9] MKGFVGSLVFVILLVVPVALAGHNYGEALSKSFLFYEAQRSGYLPRNQRVQWRGNSGLNDGKASGIDLVGGYYDAGDNVKFGLPMAFTVTMLSWSILEYGRQMAASGELSHAMDAVKWGTDYLLKAHPEPYVLYGEVGDGNTDHYCWQRPEDMTTSRAAYRIDPNHPGSDLAGETAAAMAAASIVFRRYNPGYSNELLNHAHQLFEFADKYRGKYDSSITVAQKYYRSVSGYADELLWGAAWLYKASNNQFYLNYLGRNGDALGGTGWSMTEFGWDVKYAGVQTLVAQFLMSGKAGHNAPVFEKYQQKAENFMCSMLGKGNRNTQKTPGGLIYRQRWNNMQFVTSAAFLATTYSDYLASAGKYLKCSSGFVSPNELLSFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRASSIVSFKVNPSFVSCRGGYATWYSRKASDPNLLTGALVGGPDAYDNFADQRDNYEQTEPATYNNAPLIGVLARLHAGHSGYNQLLPVVPDPKPTPKPAPRTKVTPAPRPRVLPVPANAHVTIQQRATSSWALNGKTYYRYSAVVTNKSGKTVKNLKLSIVKLYGPLWGLTKYGNSFIFPAWLNSLPAGKSLEFVYIHTASPAIVSVSSYTLV >Solyc07g049720.3.1 pep chromosome:SL3.0:7:60189168:60200061:-1 gene:Solyc07g049720.3 transcript:Solyc07g049720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEAFAKTNLMEDMDDDDDMPLVFKRSSTTSKQNQSNSSSQKQDGRSGRQVPDIRSPNGQSSSTHKVKTVTSSKASPAVSPLTSPKASPLSSRTSPAPNSRPSSSAGNQAKNVNQQSNVTPKESKQAVEPKSEPNDEAEDSDDDKLLSSRVPSGLSKSTSVHAKKVLCTSTSVQKSRPPKKEDSDDETPLASRFPMKSNAGASTSKFSSSEEVKPKIRQNGLPSATVLSKRPPGEVKSAAQASVKKPRLSDASTPVSSKQPPFKTEKKTEDDDDDVPISQRIKKAVDSASASASKVSYVKKATKVVSSSMKKTKKKLKKPKYSKSSKLQPSSGDGQKWTTLEHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMYAVMLDTEYMTKEQFKENFMNDWRKILGKNHVIQKLEECDFTPIYEWHQSEKEKKKQMSSEEKKALREEKLKQEEKYMWAIVDGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKRRIRPNDITINIGKGVPVPECPIPGQRWKEVRHDNTVTWLAFWNDPINPREFKYVFLAASSSLKGQSDKEKYEKARRLKDYIEGIRSAYTKDFASKDPVKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVENVEPVPPNILKFDFLGKDSIRYQNEVAVYEPVFKAIQQFRSGKKGSDDLFDKLDTSKLNAHLKELMPGLTAKVFRTYNASFTLEQQLTKLTQGGELADKIAVYNVANKEVAIICNHQRTVSKSHSVQISRLNNKIDELKAILEEFKVDLARAKKGKPPVKGADGKAKRNLTPQALQIKIDQTNVKIDNIERQIDTKEELKTVALGTSKINYLDPRITVAWCKRHEVPIEKMFNKSLLAKFAWAMDVEPSFTF >Solyc06g051740.1.1.1 pep chromosome:SL3.0:6:35381870:35382061:-1 gene:Solyc06g051740.1 transcript:Solyc06g051740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLTSCNINPVVTYRINQRRAANIGGSCALAISAWFILLSPQKLLFFSNLPPIKPGSSLILV >Solyc09g011270.3.1 pep chromosome:SL3.0:9:4603122:4605314:1 gene:Solyc09g011270.3 transcript:Solyc09g011270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIHKNPIQHLLHPNHSLTLFDSNTKYVCDGCKTLGIGKRFNCSECDFDLHEYCGTCPMNLSSFLHPYHSLKLVTRMPHGNRQLDRICNVCCDSIEGLFYRCKTCEFDVHPLCTLLPQTLRHVLHEEHPLRLLSSSESRTCVICKGACNAFSWRYRCALCDFDIHMECMLVQCKKQKTWLGISNEIHLLNKRNRMACLKDLHQMELKEEEKKK >Solyc02g085690.3.1 pep chromosome:SL3.0:2:49144768:49149893:-1 gene:Solyc02g085690.3 transcript:Solyc02g085690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMIKLFKVKEKQREDTENGNARGPYNKQTAGELRLRKDITELNLPRSCTISFPDGKDKLMSFEIKIQPGGGYYAGGKFLFSFQVPSIYPHEPPKVKCKTKVYHPNIDLEGNVCLNVLREDWKPVLNINTIIYGLYLLFEEPNHEDPLNLEAASVLRDNPQLFKSNVKKAMLGGTVANKLSGRSFHGNHHINVINFSPQAKCENESC >Solyc01g100190.3.1 pep chromosome:SL3.0:1:90125999:90146169:1 gene:Solyc01g100190.3 transcript:Solyc01g100190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREIVTIQVGSYANYIGSHFWNFQDELLGLAESPESDEVFKNHSLDMDVLYRTGETQQGLLTYTPRVVSVNFQGSLGSVSSRGSLYDQIPAKNMDVMTWTGRVITHESEPLRRNLFLQSLSEDGQESVGKANDLDNVNNNPPAEIQDKDIVECLESDVQYWTDFSKVHYHPQSLYELSGLWADIQEFDNYGLGKEAFCGHQHGEEIDDRLRFFVEDCDHIQGIQCIVDDSGGFSGVSAMFLESIADEYPNVPVLLYNARNPSLHMDSKGRKQAISHNLHDAVSFSRLSELCKLIIPVGLPSLSGSRASQFLRIKDEKPYHSSAVYASAMHSFSLPFRMKQSGPSAESIYTSGALDMYGTVQILAGQMRQNMVTILDVAMPAPSLSDRAQQQSFLGNLQPLIPDIAEDVEDFHAVETMNIHGAVTSGNQRASIDEVKDAVESAYNNSVTRPKFSHLSASTCPLPIPLPFPMIFRDMVGQHGELLETPISGSSSRGSIEVHSIPMATRLRSSTAVLPFLERKLGNLRRFGIERGAIGAPLLQSWGFGKEEVEDMGEVLSKMVTTLKPYPQYSSESD >Solyc03g117130.3.1 pep chromosome:SL3.0:3:67861837:67862812:1 gene:Solyc03g117130.3 transcript:Solyc03g117130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPQQKYRGVRQRHWGSWVSEIRHPSLKTRIWLGTYETSEDAARAYDEAARLMCGSTARTNFPYNATESSRFLSSALIAKLQRCNMSSLTATSRRPGKTRLEDKKENEISTLVRDTGDGEERQSESASQQYMKALEDEHIEQMIEELLDYGSIEMCSVRNE >Solyc04g017680.3.1 pep chromosome:SL3.0:4:7755021:7758341:1 gene:Solyc04g017680.3 transcript:Solyc04g017680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGWERHLQCILRQAGKRYQQNLTVPFNSLFHSKATPVLGGVPYLPRLQNTPSPCISRPLYQYLQHLGLSSSRTLLADDATPVSSPLTPMLPSSTGSTEAEKAISKPSKVQAILKGIKQSPKKVNLVAALVRGMRVEDALLQLQVTVKRAAKTVYQVIHSARANAVHNYGFDPDRLLVAEAFLGKGLFKKRLSYHAKGKCGMMVRPECRLTVVLREITPAEEAEIAKLRVHNFKKLTKRERRLVPHKLIETTPIWNRKSKARSSGTGTVAE >Solyc07g008820.3.1 pep chromosome:SL3.0:7:3778569:3785920:1 gene:Solyc07g008820.3 transcript:Solyc07g008820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGNLGRFLGGQFSFFLSALVFTTIFLWSWEKNPLLTNLLSAQDQFIMQPSEISVDESAVSLRSNKQTVDEHSNSQIAEGRIEENRLEVSDSFVKNVTVSPSSSRKDDKNIMTSQLERRACNFARGRWIAERRRPLYSGFKCKQWLSDMWACRLTLRTDFSYEGYRWQPENCEMPDFNGSEFLKRMQDKTIAFIGDSLGRQQFQSLMCMATGGEDMPEVENVGWKYGLVKPRGAIRPDGWAYRFPNTNTTILYYWSASLCGIEPFNVTDPATKSAMNLDQPPAFLRKYLDQFDVVVLNTGHHWNRGKINANRWVMHVNGKPVVDRKLAQIGNAKNFTVYSIARWLDSQIASRPQLKGFFRTISPRHFSNGDWNTGGRCDNTIPLTKGNEVQQEESSDPVIGDAVKGTKVKLLDITALSELRDEGHISHYSLKASEGINDCLHWCLPGIPDTWNELLYAQL >Solyc08g065470.3.1 pep chromosome:SL3.0:8:53647011:53649793:1 gene:Solyc08g065470.3 transcript:Solyc08g065470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLPIVKAKAVEASKFLTKNGCAYYKQMLEQNKQYIQEPPTVEKCQQLAKQVFYTRLASIPGRYQAFWKELGDVKNLWKHRQELKIEDAGIAALFGLECFAWFCAGEIVGRGFTITGYYV >Solyc02g078120.2.1.1 pep chromosome:SL3.0:2:43461045:43466022:-1 gene:Solyc02g078120.2 transcript:Solyc02g078120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit D [Source:UniProtKB/TrEMBL;Acc:K4B937] MAGFDLGSVPFNSDGWGPPDSSVSVPNQISNAPFAPFSRSDKLGRIADWTRSLSNRPGSNSKQNPTDSAFDFSGDDSFATLNADEDSSFRLVDTAAKSHHHGQHRPKFNPRWRFNPHNNRSQLPQRRDEEVEAKKREQEKERARRDRLYNLNRSGTNAGQRRESAVFKSSVDIQPEWNMLDQIPFSTFSKLSFSVPEPEDLLICGGLEFYDRSYDRITPKNERRLERFKNRNFFKITTTDDPVIRRLANEDKATVFATDTILSTLMCAPRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPDVKDDINSAYSLSVEAAYINQNFSQQVLIRDGKKLSYDEPNPFATEGEEVASVGYRYRRWKLDDDTYLVARCEVQSVAEVNNQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLANADMMKLGYVSRVHPRDHFNHVILAVVGYKPKDFATQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPTKPQVRIYEVPPDAFENDYVEEPLPEDEQVQPPTEDAQGAAEANGAANEVEEKETSTEAA >Solyc07g032290.2.1 pep chromosome:SL3.0:7:37120438:37134605:1 gene:Solyc07g032290.2 transcript:Solyc07g032290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLPITTIKSGQILSIISILLTCVYVIYYINLVAGHSFEAIEKGRVDEVIFTSLDTNALGSKNGSFVKLAYGSITVSFLVACVSGIVIDANNMCLCITMLFSVTTLAVIEICVGRMSILDSFEYASSSFPSVLPPIPLDNHVVVLTMSLHVVTPLISCAYNEAQGFAFSAPTTNLRRYDKRPKLITI >Solyc01g057430.3.1 pep chromosome:SL3.0:1:60888551:60891338:-1 gene:Solyc01g057430.3 transcript:Solyc01g057430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKVGRVHGDRDGYDDPATVSDIGSIEGRSYLFIGHPLCNENPLWVTLN >Solyc02g072290.1.1.1 pep chromosome:SL3.0:2:42139752:42141944:1 gene:Solyc02g072290.1 transcript:Solyc02g072290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLISLIFFWVFLFHSSKTFGDHFTNRSDLHTYIVQLEFPDDIVLSDTNDLHLWHQSFLPTTSANSHLSSRIIYSYRHVFHGFAAMLSSDEVKEIELKPGFVSARPQRIVELDTTHTPSFLGLHQNVGLWNTSNSGEGVIIGLLDSGITPKHPSFSDNEMPPPPAKWKGKCEFNSKACNNKLIGARNFVTTSASPLDVEGHGTHTSSTAAGNFVNGANLLGNANGTAVGIAPRAHLAMYRVCDDGVCPESYILAGLESAVEDGVDVLSISLGGTPVPFYEDSLAIGAFSAIQKGIFVSCSAGNKGPEVGTLKNGAPWILTVAAATTDRKIRAVAVLGNGAKYVGESAYQPTNLSGKWLPLVNANGCESLSTIDVKGKIVLCDTSGDLSRIEKGEAVKNAGGAAMILMNEKKRGYTTIADVHVLPATHVSYFNGLKIINYIKSTPSPVATISFKGTELGSKHVPTVASFSSRGPFLPSPGILKPDISGPGVNILAAWPTSLGEMITSTFNIISGTSMACPHLAGVAALLKSAHPDWSPAAIKSAIMTTADIINLKKHPIEDERLNRANLFTIGSGNVNPSRASDPGLIYDIHPEDYIPYLCGLKYTDQQVSSIVRRKVHCTSSIAEAELNYPSFSISSKSRAQTYTRTVTNVGEANSTYTVEVYGLNGVKVAVNPTTLKFSGLNQKASYNVTVKHLKHRSHSQGYITWSSSRYSVTSPIQIFPHSILKM >Solyc11g006220.2.1 pep chromosome:SL3.0:11:959170:972515:-1 gene:Solyc11g006220.2 transcript:Solyc11g006220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTAVNIIVGSHVWVEDPEVAWIDGEVKKITNGEAEIERTDGKKVVRKLSTIYPKDVEAPAAGVDDMTKLSYLHEPGVLQNLKTRYELNEIYTYTGNILIAINPFQKLAHLYDSHMMQQYKGAPFGELNPHVFAIADVAYRAMILEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRAATEGRTVEQQVLGSNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPQEEIEKYKLEHPKSFRYLNQSKCYELVGVSDAEEYLATRRAMDVVGISKKEQEAIFRVVASILHLGNIDFAKGKEVDSSVIKEEKAKLHLKTAAELLMCDPVALEDALCKRVMITPEEVIKRSLDPRSAEISRDGLAKTVYSRLFDWLVDKINVSIGQDPLSKCLIGVLDIYGFESFKHNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYKREAIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFSNKLYQTFKVHKRFVKPKLSRTDFIISHYAGEVQYQSDQFLDKNKDYIVPEHQDLLCASKCSFVAGLFPSLGEETSKSTKFSSIGSRFKLQLQQLMETLNATEPHYIRCVKPNNLLKPAIFENENIMRQLRCGGVLEAIRISCAGFPTRRPFFEFIHRFGLLAPEVLEGSYEEKNACKKILEKWGLKGFQIGKTKVFLRAGQMAELDARRAEVLNNAAKVLQRRIRTHIARNQFVALRKAAISLQSLCRGKLACKIYVQIKRQAAAIKIQKNSRRHNARKAYRKLQVSVLMVQTVLRAMDARKRFRFRKQTEAAIVIQAQWRCHKAYVYYRRLKRGVLVAQCKWRGKSAKKELRMLKMAARDTGALKEAKDKLEKQLEELTWRLQLEKRLRTDLEEAKAHEIAKSQEALEAMQKKVDEANALVVKEREAAKKAIEEAPPVIQETPVYVEDTKKVESLMEENNSLKVSLEQEKQNCDDWKQKYTEAQESGDESRRKLEETEKKVLQLQESLKGLEEKMTNLESENKVFRQQAVSMAPNKFLSGRSRSIIQRTESGRNFEDTRMHVDLHSPSLNQRDLPEADDKPQKSLNEKQQENQELLIRCIAQNLGFAGNRPIAACIIYKCLLQWRSFEVERTTVFDRIIQTIGHAIEKTQDNNDVLAYWLSNASTLLLLLQRTLKASAAAAMTPLRRRSSSASLFGRMSQSFRGNPQGVNLSFATGGVETLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGSSRSVANTAAQQALIAHWQGIVKSLGIFLDTLKANHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCFTATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHQLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVSMTEDSNQAVSNSFLLDDDSSIPFSVDDISKSMEQIDIADIESPLPLRENSGFSFLLPRTN >Solyc05g015210.3.1 pep chromosome:SL3.0:5:10037999:10073488:1 gene:Solyc05g015210.3 transcript:Solyc05g015210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKSKSKSHSRNVLQLFAGLNPSIPHLPPIRSIAITTNSDSQTLVFVGTVSGDVISLSLNPNSGLSLFLRVNIIGKPVTSIHVISHIKKLIVLSDGFIYLLDLNSLEPVRKLSLLKNVNFVSKRFFSSLNNGKEDVCFFAVAVGKKLLLVELVLSGSPVILKEVQGDFTDGIMCLSWVDDSVFVGTRTAYYLYSYASGQCGVIFSLPDPSVLPRMKLLAKECKVMLMVDNVGVIVDSEGQPVCGSLVFSEAPETMGEIGAYVVVVRSGKLELYHKKSGNYVQRVQIVGEVGSPCVVADEEDGRGKLVLVATDSKVMCYRKVPSEEQIKDLLRKKNFREAISLVEELQNEGEMTRETLSFVHAQVGFLLLFDLRFEEAIDHFLLSETMEPSELFPFIMRDPNRWSLLVPRNRYWGLHPPPSLLEKVVDDGLTGIQRAIFLKKAGVETAVDDEFLQNPPSRADLLESAIKNMTRFLEASRHKDLAPSVCEGVDTLLMYLYRALNRVDDMERLASSDNSCIVECYDTSILVERIAIKLKWTVQEELELLLSESGHLRVLAFLYASKGMSSKSLSIWRVLARNYSSSYLNDSHGANHLQDTINSISSDQETAVMEASKILESSSDQELVLQHLGWIADINQLLAVQVLVSEKRTDLLPPDEVIAAIDPRKVDILLRYLQWLIEDQDSGDTRFHTTYALLLSKSALDASEKEHVTHNLEGVNHKEINISDRWNNSIFHTHVRERLQFFLQSSDLYDPEEVLDLVEGSELWLEKAILYRKLGQETLVLQILALKLEDCEAAEQYCAEIGRPDAYMQLLEMYLEPMNGKEPMFKAAVRLLHNHGEMLDPLQVLERLSPDMPLQLASETILRMLRARLHHHRQGQIVHNLSRALDIDASLARFEERSRHVLINDESVCDSCHARLGTKLFAMYPDDTIVCYKCFRRQGESTSVSGRDFKKDTLYKPGRRGFEFAVAHGVRLWNSASSFELLKSAVELQCSNYATLYSGEDGETVFV >Solyc04g019310.2.1 pep chromosome:SL3.0:4:11575327:11576915:1 gene:Solyc04g019310.2 transcript:Solyc04g019310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEYEMLDITIPRQQTISRRRQIPKVPLFMRIDNQKSDDYDPKVVSLGPYHHGKLEVNFVEEFKPKALEMFIHGSNKKQEFFLDEILREIDDFKSCYLEEFVSKYEDYEFARMMLLDACFILNDIEISTRCTQNFSSKQRNTIKHLGIAIYLVPFRVLKLLVKLRYGDDQGGGYHSFEEKQEDIKMKENAETDPPHLLEVFRRVLVNGSEDESNVTQQPRSYDFIFDYFRDCWRKNHVPHKSRLLTNVFRSVMDLKSKGIHFRPSGIDSLKAYELCPNGPNDRAVVSYINFMKSLVISPDDVKELREEKIIFNTLGSDEEVVQVYKGLKTYGADDPSIFKNVKRNIQEHYNSKGKTWIAELIDTYFNSPWSLTALIVTVFLTFLTIAQTYYGSPFYHS >Solyc04g072300.1.1.1 pep chromosome:SL3.0:4:59423956:59424438:1 gene:Solyc04g072300.1 transcript:Solyc04g072300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENITNTPKLHVRNLVRRSSRHSTKYHGVRRRPWGRYAAEIRNPNTKQRHWLGTFDTAEEAALAYDISSINFCGIENARTNFVYPFSSFPSPYNNSPAPPSPPPQPLPPPPPSTPELEVVENKCMKIEMNDDINDDDESLVIASILQSFRYTNTLDKLSL >Solyc03g025940.2.1 pep chromosome:SL3.0:3:3400523:3401822:1 gene:Solyc03g025940.2 transcript:Solyc03g025940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSFQKQEKIEAVVMEERNSPVRRWEDLNIDMLVNIFQSFDLFQLISVIPQVCPAWQLACSDQRLWKTLDLSVMQSNFIKTQAPPYVFVDTPSREKLTRILKICLNLSRGNLLTLIFHYNLYVDNNQLTYTAKRCPRLKRLVMPAWEKLEKRTICSAFQEWKDLESLTMPSLEEPAYVIEKIGRSCKKISELKIMDPCDVLLASALVAFLPNLKVLSVRCTELPKYALVILLEGLKKLKVLNISHCIITEDLPPPAPMKILTELDESILKKASRLDKFLTCMSGSCIMCQRTRNDEGSMRWYKYADLWKVDEVTSLAI >Solyc08g061776.1.1 pep chromosome:SL3.0:8:49577319:49577572:1 gene:Solyc08g061776.1 transcript:Solyc08g061776.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKYLREETNIERDDESKQMILQASISSIKRNTLIEKMWSVHHISSLDVFKEYREEDLNEAWANTVLQPS >Solyc02g084500.2.1 pep chromosome:SL3.0:2:48226805:48232881:-1 gene:Solyc02g084500.2 transcript:Solyc02g084500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRPRRRCEGTAMGSIVLDLRPGVGIGPFTLGMPICEAFAQIEQQPNIYDVVHVKYYDEEPLKLDIVISFPDHGFHLRFDSWSQRLRLIEIFDVKRLQMRYATSVIGGPSTLATFVAVYSLFGPTYPGTYDKDRGVYTLFYPGLSFAFPIPSQYTDCCRDGEAELPLEFPDGTTPVTCRVSIFDSSAGSKVGVGSMMDKACTPPLLAGSLYMEEVHVKLQWLLLLMT >Solyc12g098730.2.1 pep chromosome:SL3.0:12:67123023:67124216:1 gene:Solyc12g098730.2 transcript:Solyc12g098730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWREIQGSRNWENLVNPLDSLLQEEIIRYGEFVVACYNAFDLDPNSKRYLNCKYGKNSMLSKVGLGKSGYEITKYIYATTNINVLSIGQNSSPSSSGRWIGYVAVSNDEETKRLGRRDVLITFRGTVTSPEWVANLMSTLSPARLDPSNLRPEVKVEAGFLSLYTSKEGERFGLRSCREQLLSEIGRVTNMYKNEEMSITIGGHSMGSALALLLAYDIAELGLNKHATTTRRPRVGNSGFKERCEELGVKVLRIVNVNDPITKLPGVLLNENFRVFGGRYEVPWSYSCYTHIGVEILLDFFNMQNPSCVHDLGTYLNLIKSRRHHHHKSLQVQRGEHEDIFFNIAKEFFLLMLYYGEFLPLIIW >Solyc07g062560.3.1 pep chromosome:SL3.0:7:65375817:65376681:1 gene:Solyc07g062560.3 transcript:Solyc07g062560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIILLSTLCVLAVANFAVADVETFNVEGKVFCDTCRLGFVTSLSNTLEGATVRMTCKDMETHNETFTTEGKTDGIGKYTLKVEGDHENDICEVTLVNSPKDDCKEVVPDMVNNRVICSKNVGMHNAVRFVNPLFYQKDKPIDGCAKLVEEMELVDLLILENDKEEN >Solyc03g118160.2.1 pep chromosome:SL3.0:3:68592023:68598192:1 gene:Solyc03g118160.2 transcript:Solyc03g118160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDAFSASLFKWDPRGAMPPPSRLLEPVAPPQPPPSLPPPPPPQPLPTSSYSIRSTRELGGLEELFQAYGIRYYTAAKIAELGFTVNTLLDMKDEELDDMMNSLSQIFRWDLLVGERYGIKAAIRAEWRRLEEEEARRRGHILSDGGTNVLDALSQEGLSEEPVQQQHEREAAGSGGGGTWEVAAGGGGRMKQRRRKKAGRERRGEEDEETEELGEEDEENMNQGGGGGGISERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQTIAKERGEKCPTKRTSLIGSKSNHYLKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEDASNALRRAFKERGENVGAWRQACYKPLVAIAARQGWDIDAIFNAHPRLAIWYVPTKLRQLCHSERSNAAAAASSSVSGGVADHLPHF >Solyc09g057830.1.1.1 pep chromosome:SL3.0:9:51319058:51319303:-1 gene:Solyc09g057830.1 transcript:Solyc09g057830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLESIYDLKFSYTSHFRPGQGFHSVVRQIKQEWGTSRRFLEFDITKCFHTIDRHRLIPIFEEKIDDPKFFYPNNKVFFAG >Solyc09g065085.1.1 pep chromosome:SL3.0:9:63155342:63163288:1 gene:Solyc09g065085.1 transcript:Solyc09g065085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALLITSARISLIFIKLRSTRDQIQVHVGNVHGLPIHHTGSGYQEASSFRWTVPSCHLVIPSRHLLHVGIFISIIDDIVIKGNHTSFLDSIISKIGYFLGVQVSTGPDVQTFSNHYDSEYKAHKEDNPLFSDATMYSHVVGALQYVTLTHDRKSTGGYAIYLGVALVPWSSKKQHTVSRSFTESEYKFLADASAELTWVQSLLSELGVCLPKAPILWCDNIGA >Solyc04g078390.2.1 pep chromosome:SL3.0:4:63217023:63217673:1 gene:Solyc04g078390.2 transcript:Solyc04g078390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQFDAGETDWANFTGGDHVKKMKKEMLELEEERKLPMKRQFEANCAAKVSGDLKKMKQNLLYEVLKHVDGRTLATAACVSKQWNQTALDERLWELICTRHSCNQQQQLRAVVLALGGFRRLYSLYLWPLSKPSPSPSASSSNPPASAWPCLPPAPTRPLKYAAANTRWGKDEVNLSLSLLSIRYYEKMNFKANK >Solyc06g060805.1.1 pep chromosome:SL3.0:6:38948234:38952649:1 gene:Solyc06g060805.1 transcript:Solyc06g060805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGSSRIMSDMVPTAMFTSNKGHMRSDCNKLLKCDFCHKTGHLKSNCYKLIGYPADYKGKRDTIVAGNSIYNAGHVYQQYQCDKTESIQSSYNPQMPQMIHNPHMQSSYSNQMQVQSPYISSSQNNESHQHMPMPLFTPLQHQKLLKMLDQTKLDDISGTANMIGNHLSSNASPKWIIDTGASHHIVRDHTCLYNSVMVENAGQVQLPTGTSAKTQHIHPSDMFDYDVLVPDSTSSATCQDSVSSSQNCEDCVNDLSQVSCDITPSPVRKSSRNSRPPVWHKDYVVKAGSKKCNYSLASVLDYEGLSPTYQRFIQSNRDYSLFTKRKENKLVVVLVYVDDLLITRSDSNMIHETKAALQHAFKIKDLGELRYFLGLEFARSDNGILIHQRKYTLELLADMGLSSAKPVSTPMEMNLKFTSTEYDDHMNSSHNDTLLEDPTSYQRLIGRLLYLTTTRPDISFAVQCLSQFMHAPKVSHMNSALRLVRYLKTKPGLGILMSSTGGDSLQVFCDADWGSCINSRRSITGDLIKYGESLISWRSKKQFVHLSIISPMLTMKALQNKNGKEIFSSYEMILLRATMYGSLYYIPKPRSRLNVE >Solyc07g053255.1.1 pep chromosome:SL3.0:7:61860141:61861415:1 gene:Solyc07g053255.1 transcript:Solyc07g053255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIWINVYFDLPFEWTSTYLNIAGSCNGILCLVDDNRSYPNHFYLWNPSIRKFVNLPTPMYTFETCSICAHVQGFGFDCVTNDYKVESLCLVDSNYNQSKPIEIWMMREYGAADTW >Solyc11g020347.1.1 pep chromosome:SL3.0:11:10960731:10961738:-1 gene:Solyc11g020347.1 transcript:Solyc11g020347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMITGNDIRLIQETKQTLQDTFKMKDLGDLKYFLGIEFTLEIISEVGLSAPKPATTPLDSFVKLTTKKYDEVNNIGHDDKLLEDPNIYKRLIGKLFYLTVTRPDIAYATQTLSQFLQQPKQSHLNETLKVVRYIKGEAGWGILLSSKSSKHLNVYCDLDLATYPQTRRSVPGVLINLENSLISGKTKKQGTVSRSSTEAEYRSMANFVAEVVWIVSLFKELGEEIETSVIVHSDSKSTIQIATNPLNHERTKHIELDCHFIREKIQKGVIETRHLSTKEQIADLLTKGLGRSKYEYLLSMFGVINLFIPSNLRGSIKEGIT >Solyc09g091080.3.1 pep chromosome:SL3.0:9:70888405:70907717:1 gene:Solyc09g091080.3 transcript:Solyc09g091080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFSKPKLSRTDFTVCHYAGDVKYQTEFFLDKNKDYVVPEHQATLIASKCPFVSGLFPPLPEDSSKQTKFSSIGARFKQQLQSLLETLNATEPHYVRCVKPNNLLKPEIFENQNVLQQLRCGGVLEAIRISCAGFPTRKPFDEFLSRFKVLAPEVLNGRIDEVAACERLLEKSGLKGYQVGKTKVFLRAGQMPELDTRRNEVLGKSAIIIQGKVRSYYARKKFLLLRASAIQVQAVCRGQTERALYECMRREAACLRIQKDARKYIARRSYGFLCVSAVSIQAGLRGMASRNELQFRKRKKAAVFIQSDWRRCVKRRHYRRMKKAAVVLQCSWRAKLARKELRKLRMAAKETGALQDAKSKLEKEVEELTQRLQVEKRMRDKLEEAKIQETMKLQSALEEMRLQLQETKELLKKEREAGTKVVDQGSVIQEAKVIDQGVVTEERAASTKIVEQGSVVKEVQVIDQVQVNKLTAETEKLKVLVNSLEKEIDEKEKKYEETVRVSSERLKQVLEAESKINQLKDAMQSSKELLMKDPEAATKLLEEVEFQVADQDVSGKISAENEQLKVLVSSLEKKIDETEKKYEETSKISEERLKQALDAEAKIIELKLNMQRLEEKLSDIEDQQILRQQALNLPTGRTSSRFATSENGHHEPLAAVPSRRFGTDSMRRSNAGATKWFGTESMRRSMADRQRESVDILIKCVSQDLGFSEGKPVAAFTIYKCLLNWNSFEAEKTNVFDRLIQMIGSAIEDETNNNHMAYWLSNSATLLFLLQHTLKTTDSAPSRPPQPTSFFGRMAQSFRSSSVNLAIGGLDTVRQVEAKQPALLFKLQLSAYVEKIYGIVRDNWKRDLSSLLTSCIQASQASKGGSLQSPRKSVDGSSPPTPWDGVIESLNGLLSTLKENCVSFIVHPVFVQRILNQIFSYINVQLFNSLLLQRECCTFSSGEYVKAGLQEIELWCGNMKEEYVGSSLDELKHARQAVGFLILSSQQLYRICTLYWDEDFNTQGVSPEVISSFKDQEKEDAKNADNANDADNNFILDDNSSIPISVEEINSSLKDVDFTGVKPANELLENAAFQFLRE >Solyc09g064350.1.1 pep chromosome:SL3.0:9:61737879:61738441:-1 gene:Solyc09g064350.1 transcript:Solyc09g064350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSNCNSSSSSNLRIIVYNNPSEAQLSELGIKSWPKWACSPGKYKLKFDAEETSYLLRGKVKVYPKNTIEMSPVEFGAGDLVIIPKGLCCTWDITLPVDKYYKFHPS >Solyc02g081810.3.1 pep chromosome:SL3.0:2:46172475:46174238:1 gene:Solyc02g081810.3 transcript:Solyc02g081810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVELSRSEKKKKKSKSGKNDDETQTLSTPIDGDFLIKPQSYTPTIDTSEWPILLKNYDRLNVRTGHYTPLPSGFSPLKRPLAEYIRYGILNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCVARLHSDVPDVAKVARALEALTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDAERHLVVFWISCEAGTYVRTLCVHLGLLLGVGGHMQELRRVRSGILGEKNNMVTMHDVMDAQWMYDNYRDETYLRRVIMPLEVVLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEVGEEVVLMTTKGEAIALGIAEMTTAVMGTCDHGVVAKIKRVVMDRDTYPRKWGLGPRASMKKKLVAEGKLDKHGKPNDKTPAEWTRNVEKKKKKHKEDDEDANKRKLDDLDVSPAPSALKKPKVEVVEEALDKSELKKEKKKKKKKEADEAASPDVESVKKEKKKKDKENNDVASSDEEKSEKKKKKKKKDKESENGDVGSDDEGSKSKKKDKKKKKNKDDAQEE >Solyc03g059397.1.1 pep chromosome:SL3.0:3:31713404:31714018:1 gene:Solyc03g059397.1 transcript:Solyc03g059397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRLSAAFEMKDLAPARQILGIKISRDRSIVTLNLSQEFYIEKVLRRFRVNDAKPRLTPLENHLKLSKEQSPKTAEERKVTLHGFVNADLCGDFDSSKSTFGYIYMIGGTAVSWMSRLQKCVSLLYSKAEYLAIA >Solyc03g116030.3.1 pep chromosome:SL3.0:3:67039480:67045759:1 gene:Solyc03g116030.3 transcript:Solyc03g116030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLALCVDRLITPESLPSMQGSEDAGSSEGDSCSHTVGQSACGTANKKDEEPEDGGEDEPLLQTVECRICQEEDSPKNLEIPCSCNGSLKYAHRKCVQRWCNEKGDIICEICHQPYQPGYTAPPPPSLSEDIAIDMSGGWTVAGTQLDLHDPRLLAMAAAERHLLEADYDEYADSSASGAAFCRSAALILMALLLLRHAVTIGNGDGDDDDVSAFFSLFLLRAAGFLLPCYIMAWAISIMQRRRQRQEAAALAAAEVAFMLQAGQHRGLHVTIAPGPAQAAEPSAAPANPTTHVATPTGQVTTPPPELV >Solyc04g081350.3.1 pep chromosome:SL3.0:4:65447858:65454975:-1 gene:Solyc04g081350.3 transcript:Solyc04g081350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTAGENVDLNLSLATPLHLRSSPSPTPTAIAVTPYRSHPRILSNLCSESLTGNPQASATFGFNPQNNEIEKSKEYNCGSGVSQRSLASNYSPVEQAPSARTKQPSKRKSSRDTIEATKGINADLLDNLNLGVTYRYDNSLGLLTKKFIGLLQEADDGTLDLNHSAEVLEVAKRRIYDITNVLEGIGLIEKTTKSRIRWKGFQSTKSQGLDNQVSTLKGQIGYLSAEDHRLDSCIREKLEQIRNLESDMNCQKSLFLTEEDIRNLPYFRDKTLIAIKAPYASSIEVPDPCEDVDLERQYKLILRSTTGPIDLFLLSKQGRQHEDITIKHDKSMDSVSAAKKMDDAYPSPAHPCSLDSTDSKLSGVHKIVPSHNSIDDDYWLRSEEAVSATALWGIE >Solyc02g068110.3.1 pep chromosome:SL3.0:2:38720816:38721182:1 gene:Solyc02g068110.3 transcript:Solyc02g068110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHSVNRPPTPDTRDEQEKEPTLKEIINIKLIESGEKERLMELLRERLVESGWKDEMKALCR >Solyc06g068170.2.1 pep chromosome:SL3.0:6:42358972:42362869:-1 gene:Solyc06g068170.2 transcript:Solyc06g068170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIIACFQLQNRSSRVWTPFPLSKRLVRSFGYRKLPEQLLNISVLKLDGSSFVIHVARNATVADLKQAVEEAFNFSREDEGKILWSLVWSHFCLCYGSQKLVNDKTFINCFGMKDGDQLQFAQHVTLEYRPAKQRLDNQCDQSKQCSILDAHEVNIEDNGNIDHQEKSKKVGDFQDEDAIPKPEFKRSHFLRRWLSQSTSWIPKKKALA >Solyc02g085935.1.1 pep chromosome:SL3.0:2:49348531:49351289:-1 gene:Solyc02g085935.1 transcript:Solyc02g085935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVPVDYILLGEVPVKIKSRFQMNANIRKFRNLSTALDHSFKSRWLLHHYCLLHHGPDTMEELLDRHVVKKEKNLDDDENELLNRQRLTSSRREALSLYRDVIRATRFFMWANSQGIPWRDVLRENVRKEFEEAQFERDPEVITRLLIGGRDALEAALDKLAEKQKQEIEKQRGNSNAP >Solyc09g074573.1.1.1 pep chromosome:SL3.0:9:66821631:66821636:-1 gene:Solyc09g074573.1 transcript:Solyc09g074573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding L >Solyc09g010470.3.1 pep chromosome:SL3.0:9:3830700:3838217:1 gene:Solyc09g010470.3 transcript:Solyc09g010470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMDEEAPLVVEISKNENSIVNHERDVYILSSAFLLIFLAYGAAQNLESTINTEGNLGTISLGILYLSFMFCSIFASLVVRKLGSKNALILGTTGYWLFVAANLMPNWYTMVPASLYLGFAASIIWVGQGTYLTSAARSHANDHILNEAVIIGKFNGVFWGMFASHQLVGNLITLALMRDEEGGSTSGTTVLFLVFVCTITLGAVLMCFLSKRAGKEESRQQDSSASSVSSVATLLKSIITLLQDIRMLLIIPLIAYSGLQQAFVWAEFTKYIVQPTMGESGVGGAMAVYGVFDALCSLVAGHFTFGLSSISMIVSGGALVQGAVLVWILLAHSVTVGALGILYPLLVAALWGIGDGVLNTQLSALLGILFKDDLEGAFAQLKLWQSFAIAIVFFLAPYISLQTMLVIMFVALCFSALGFLFLTLKVERAFSY >Solyc08g074897.1.1 pep chromosome:SL3.0:8:59173654:59175374:1 gene:Solyc08g074897.1 transcript:Solyc08g074897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSELLDYKLKLALKDAAKSSILSKDWRNKWVTRAQLDFLSELFTSFNNNQVVKIVILRVHQGPILKFTAPRLFLYPPNLTCYLDIYYWMFMSITLLFKYSQATNIIYLLIFFTFHKLRYLELDMCFFRPPPNFKELTKPVLASKWPLIESLRLTRCTEFDILEIDAANLKCFGFFGTLKSICLKNAPILRSVIVWLNSVIPFTCHPPEELELGGSSIEYLAMGGIPENLPIALNNKYNVDEVSSTGYVITSCAKLQEVTIECGVVGIAVEPVIQLLQAKSFSCGAVKLLQNVEMHYFIGFEMEIEFVKSILASAPVLKEIFNG >Solyc07g007090.1.1 pep chromosome:SL3.0:7:1852939:1854046:-1 gene:Solyc07g007090.1 transcript:Solyc07g007090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVLGCDISPFCLCNAQLQFYAKKYTRSLGELHFAKIYQLLAKVGD >Solyc11g072930.2.1 pep chromosome:SL3.0:11:56374498:56381703:1 gene:Solyc11g072930.2 transcript:Solyc11g072930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYFLLLVLVLSVFSVSADVFVSLDCGSSEAYTDHETSIDWLGDVDYVANGESHVVPSNNSISHVMDTLRVFTSRTKNCFLIDEIEKGGKVLIRASFHYGNYDGKSNPPSFALQFDGNHWASVSTMSDQLVYYETIYVVKGDYLTVCLAQTEENQFPFISALEVRGLDSTMYSHVDDNHALFLRRRVAFGSNKTIRNTDDPYDRIWAPGSGSSIETLTSDASTIDTSHGDQPPQQVLQNAISTNNSLSIISWNMNFLPIDNVIYMNMYFSEVTQLDDNQTRSFRIFQDTESISEPILPPYEDFIQMYVSNVTVSPSTVFSVVRTTNSTLPPLINALEIFTTSNALSDGTNTQDVETLISLQKEFEVLQGWIGDPCLPSPFTYDWINCSSSDPPRITALYLSKFNLSGSLPDFSSMDALETIDLSNNNLDGPIPDFFGTLPNLKELNLANNKFSGPVPASLSNKNGLTLDTSGNSDLCSSSEESCQNNDSSSPGNDQPTTGSTNNNKKKKKKKNNLPIILGTTISAFLLLWAIVGIFAILHYKNKRAATSLINPGQASGGSTPFVDRVQMSEKIEKNPEVTAHDHENSTNV >Solyc03g062880.2.1 pep chromosome:SL3.0:3:34606980:34617986:-1 gene:Solyc03g062880.2 transcript:Solyc03g062880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFYSSCNDITIFTSKFNSFSSSFTRVKVRI >Solyc03g114600.3.1 pep chromosome:SL3.0:3:66021037:66025841:-1 gene:Solyc03g114600.3 transcript:Solyc03g114600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLDHIAASVDWEKESLPEYQDLIFLLFFALFFPVLRFILDRFVFEALAKRMIFGKKTVVNINGREERKKINKFKESAWKFVYFLSTELLALSVTCNEPWFTDSRYFWAGPGDVVWPNLKMKLKLKLLYMYAGGFYFYSIFATLYWETRRYDFAAQIIHHVTTVSLIVLSYVYGFARIGSVVLALHDGSDVFMEIAKMSKYSGFDLIADIFFSLFALVFTSLRIICYPFWIIRSTCYELLYVLDIQKERTTGIILYFVFNALLICLLVLHLFWFKIILRMVKNQILSRGHITDDVREDSESDDDHKD >Solyc09g007200.3.1 pep chromosome:SL3.0:9:817263:827197:1 gene:Solyc09g007200.3 transcript:Solyc09g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREALVILPSSSITLPPNSSPTPPPHHRHYRRTTAKPKRKPTHSPSHFTSSITTPQSPLLSSLRWDSASASGSCNGLKYYAELASKLAQDGRFDDSLMIAESVVVSGVNAEEFTALLNVKLVSGGIVRLLEERKVGSVVELLNGAQQLGIDPSKLLDEDSINALSRECRRTMQCSEIEEVVSLMETLRGCGMPIKDLVKPSEILRLCVSQRKPNAAVRENCDYLPLPYCASSIMTLCRYAHIFPHVDIMFCTIILEFGKKGDLASALTVFEASKQNQDTPNLYIYRTAIDVCGLCGDYLKSRSIYEGLIASKFTPNIYVFNSLMNVNACDLSYTLDIYKQMQKLGVPADLTSYNILLKSCCLATRVDLAKEIYGELKHLEMAGALKLDVFTYSTLIKVFADAKMWQMALEIKKDMLSAGVTPNIVTWSSLISACANAGVVDQAIQLFEEMLQAGCEPNSQCYNILLHACVEACQYDRAFRLFRSWKENALQKDKCEDYGGKTDNNIDLSPTLVVSASIPTRTSASSHRHISTRVPFIPTTSTYNILMKACGSDYYRAKALMEEMKEVGLSPNHITWTILIDICGGSGNVEGALQILRVMREAGIQPDVVTYTTIIKVCVENKDFKSAFSLFAAMKRYQIKPNMVTYNTLLRARSRYGSLQEVQQCLAIYQDMRKAGYKPNDYYLKQLIEQWCEGVIQNANQRKYNFSTRNRTDLGPQSMILEKVAEHLQKDSANSISINLRGLTKVEARIVVLAVLRMIREKYTAGDSIKDDVQIFLGVKEVGIRAVKQESVVKEAIIQLLQHDLGLEVISAASTIGNGINHPDNKHSNMEENAERVILRPSVYSPTRKPVVLQKMRITKESLQSWLTRRLDASVVQ >Solyc03g082500.3.1 pep chromosome:SL3.0:3:53851863:53860735:1 gene:Solyc03g082500.3 transcript:Solyc03g082500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCCSKGVSGENGGSVVAIGDGNSAVSTNNRPKPPPSPVRQSVGNGMSYTNNSTPAHSFTASPFQSPYPAGIAPSPSPVGTPRRKFKWPFPPPSPAKPILSAIFKRQGGTSVKPKEGPIPEDEGGEGERQLDKSFGYPKNLTSKYELGKEVGRGHFGHTCWAKGKKGELKNQPVAVKIISKAKCNVHGMFCEKSAHCRIQAVDGMNVVWQMTTAISIEDVRREVKILKALSGHQNLVKFYDAFEDANNVYIVMELCEGGELLDRILSRGGRYTEEDAKSIVVQILNVVAFCHLQGVVHRDLKPENFLFAKKDEDSPMKVIDFGLSDFIKPDQRLNDIVGSAYYVAPEVLHRSYSIEADMWSIGVITYILLCGSRPFWARTESGIFRSVLRADPNFEDSPWPAVSAEARDFVKRLLNKDHRKRMTASQALTHPWLRTENPFVPLDILIFKLVKSYIRTSPLKRAALKALSKALTEEELIYLKAQFNLLEPKAGFVSLDNFRMVGGLTALMKQTTDAMREARVLDIINLLEPLSYKQMDFEEFCAAAISTYQLEALENWEHIASAAFNYFEQEGNRVISVEELAQEMNLGPTAYAFLKDWIRPSDRKLSFLGYTKFLHGVTMRSSSTRHHR >Solyc08g006025.1.1 pep chromosome:SL3.0:8:786222:786636:1 gene:Solyc08g006025.1 transcript:Solyc08g006025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKEWYFFSLRDRKYPTGVRTNRATNRRAPRGEKSNWVMHEYRIRSKSSYKTNKLHDQINMRVFCLSLIHSFLCGMIDDCLLD >Solyc03g112810.3.1 pep chromosome:SL3.0:3:64611269:64616840:1 gene:Solyc03g112810.3 transcript:Solyc03g112810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEITEEETRSQKMAGTVNWGTATVIGVFAGLFYGGSKEAAASVSKDAEVMLKLGSTADKREQYRLMRDAMEKRFIRVTRGSIVGGIRLGMFTAAFYGLQNLLAEKRGVHDVYNVVGAGSATAATFGLIMPGSLQWRARNVMLGSALGAAVCFPLGWLHLKLVEKANEGTGTTDGNEVKGGVGAAIERLEGHLNKGDVKST >Solyc11g030940.2.1 pep chromosome:SL3.0:11:23595250:23597305:-1 gene:Solyc11g030940.2 transcript:Solyc11g030940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVIGDDVDEIERLKQRLLVKVILPLDYKDGGKPKPTAKKLLDRSSTLFICPPAAEGVWNNELTIIQCCILGRLRNKKRSIKRKIYSRENINSYIQSQTTRNLSLFYGYLTITEMHRGRQQTLYIPFLLNTETRSDLIPVHLNFCETIRKARQPISHRRVCVNNLMVKITHFKLSHGDIISFQENDVKTIGEEIKGSFYIEISFELKSLFLQQVSEKVCLGSSFAEHNIMKRNFYHFKSLLVYNSLLHSNSTYCSAPPPHQFTKKMKIKRIELPTHYSEIGHIPHDKILKDPNLLLRIGKGCAIRIEDSLGRFIDRSLPI >Solyc02g021368.1.1 pep chromosome:SL3.0:2:23060512:23061253:-1 gene:Solyc02g021368.1 transcript:Solyc02g021368.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YQDVNLISFFGSICLPKRLTFCFCLGCVIIHFPKSAFIHFFLPRRPQRLNRHKKSKLVDRVSSFKRRYRKRTKQSERLGVGKKVESIRLDDREKQNEIRIFPKKKQGYGYHHRSPSIKKNLSKSLHRAFKHSKYTGIENNVAFWIEIDVSFIKTNLLKFFFPKKSRYDRLTSHLLKRTLPVVHPSLNYLVMRYLLNTKKKIHFDPIIVLNNFMVPGVTEPSKMEGANAHGKA >Solyc03g025730.3.1 pep chromosome:SL3.0:3:3164485:3166464:-1 gene:Solyc03g025730.3 transcript:Solyc03g025730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:K4BF46] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYKGESDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVMDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDASAEEEGYDYEDEEGQEA >Solyc06g048370.2.1 pep chromosome:SL3.0:6:30991469:30992476:-1 gene:Solyc06g048370.2 transcript:Solyc06g048370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLVVGEKDDEGTIKDLRIGAEKSDVQDQNLVPRNSVQHLAVFDDYSSPNQQSCNSADHVEFEEKLVVDTNKSNDAEFAQKINKIKEKGVVAENSSMRQGDKHTLEKLHDAIQKPKSDVQLENLAIDGQNLMDKK >Solyc03g033580.3.1 pep chromosome:SL3.0:3:5159458:5167634:1 gene:Solyc03g033580.3 transcript:Solyc03g033580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEDIDLSDLKYQLSQTHVSWKQEMEESQSQVDALQAKLLDVKTCIQGSEEDTKKELNVLWSRVRTAATLMMYLKTKARVMSVPDLASTSCGIKELYGVGLIDKNGVPLSSWSRDIDLSSFDDADDAALIRLTSTQDSFDEQDGSYRSELLRSAQLVTYVMENLVKRVIMAESETALEKEKVTIGQEEIQRRALQIENMSTKLEEMERFAFGTNCILNEMRQRVEDLVEETSRQRQRAAENEQELCCVKRDFESLKSYVSGLISVRETLLSSEKQFQTIEKLFERLAAKTTQLESEKMQKEVQVQKLIEENVKLTTLLDKKEAQLLAMNEQCKVMALSASNI >Solyc02g065685.1.1 pep chromosome:SL3.0:2:37417303:37424335:1 gene:Solyc02g065685.1 transcript:Solyc02g065685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQDHLAESLTDLFTNVSTMIRGELQELINFGRFVIPTIGILRTIIAERSYAALFIISQETNNVLELVEKMNTRVAEEYKGFGDVASGLTIFVEQLKCKSGRFDEYVQQIDTIEQQVTEFEAVISMLDKYVSLLESKVQSAYQIPPPFLRLLCAWLASTICAILTFQDVLCTQDRALNHNGYLLQPTTGHIFAYIDQTAAYHGNNPQHHLRCPRNVKKVIWGCQQAIVESSATIAVLRSQYIQTTGLLSQPFPLVLTHFLRIAR >Solyc01g079160.3.1 pep chromosome:SL3.0:1:78113445:78116971:-1 gene:Solyc01g079160.3 transcript:Solyc01g079160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFNFGDSNSDTGGYPAAHGIRFGYPDGRAFFHQPSDRLCDGRLILDFLCENLNMSYLTPYLESVRPNFKNGVNFAIGGATILPKNVLFSLSTQVLQFVRFLQLQSKVSEELVDKVDLEKAIYMVDIGQNDLAGAFTYLSQAYQVIEKIPSFISEIQDAILGIYKHGGKNFWIHNTGPLGCLPQKVATRNVSNLNDIDDHGCVKSMNEAAQAFNNQLRALCEQLRLQMKDTTIVYVDMYAIKYDLIANSSTYGIQNPLMVCCGYGGPPYNYNPNITCRQSGCTLCEESGAYVSWDGVHYTEFANSIFASKILSTNYSTPPLDLHHFCT >Solyc02g072560.1.1.1 pep chromosome:SL3.0:2:42301405:42301782:-1 gene:Solyc02g072560.1 transcript:Solyc02g072560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDSNSSDRKEEEYAECAWKSLQHEELTELEHAVGHVNNNEKDEEKLRQLIEKIIKHFQEHSEKRLHLARKDVSPFFAPASCSSSESSVLWIAGCRPSSFIRLIMPSPNLNLTPTKLIRVLRGS >Solyc05g013110.1.1.1 pep chromosome:SL3.0:5:6189072:6189332:-1 gene:Solyc05g013110.1 transcript:Solyc05g013110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIFNLLRYVLFSYLSVQLLYLPDSPFIYGLPDAIEGHPPISSLIHATTMVAARIFLIARLLPLFIVIPYIMYLISILELITVLS >Solyc09g074850.3.1 pep chromosome:SL3.0:9:67094050:67096395:1 gene:Solyc09g074850.3 transcript:Solyc09g074850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVISCLIEKDLDFEFVFVDMAKEEHKRHPFLSLNPFAQVPAFEDGDLKLFESRAITQYIAQVYASNGIQLILQDPMKMAIMSVWMEVEGQKFEPPASKLTWELVIKPMIGLGSTDDVIVKESEEQLSKVLDIYETRLTESKYLGGDSFTLVDLHHIPNIYHLMNTKAKALFDSRPRVSVWCADILARPAWVKGLEKMQK >Solyc11g069500.2.1 pep chromosome:SL3.0:11:54399220:54403845:-1 gene:Solyc11g069500.2 transcript:Solyc11g069500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4DAA6] MKEVLEKCVDSQLWHACAGGMVQIPPVNSKVYYFPQGHAEHTLMNVDFSALPRSPALILCRVAAVKFLADPETDEVYAKIRVVPVGNKGNDFDDDDDILGSNESGTAEKPNSFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTADPPVQTVTAKDVHGESWKFRHIYRGTPRRHLLTTGWSSFVNQKKLVAGDSIVFLRAENGELCVGIRRAKRGGIGGPEAPSGWNSGAGNYGGFSAFLREEMSKNGNLTSPTRSLRGKGRVRPESVVEAAYLASSGQPFEVVYYPRANTPEFCVRASSVNAAMRIQWCSGMRFKMAFETEDSSRISWFMGTISSIQLADPIRWPNSPWRLLQVAWDEPDLLQNVKHVSPWLVELVSNMPVIHLSPFSPPRKKLRLPPDFSLDSQFQLPSFSGNPLRSSSPFCCLSDNITAGIQGARHAQFGVPLLDLHLSNKLPSGLLPPSFQRVAANSQLPNVINKCQNDRNDNISCLLTMGTSSKTLDKNDSVNTPRFLLFGQPILTEQQISNGCSVSAPQVVQTGKDLGRIQPINEKHPSEQKGSIQDNLSSATFFWNRGYHAAELGVLNTGHCKVFLESEDVGRTLDLSVMGSYEELYKRLANMFGLERPDMLTRVLYHDATGAVKHTGDEPFSDFVKSAKRLTILMNSSSNIKRKWLTGLATAERGLDSSNQAGPLSIFA >Solyc06g083520.3.1 pep chromosome:SL3.0:6:48958465:48963865:1 gene:Solyc06g083520.3 transcript:Solyc06g083520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKQKHKIIRKVKEHHKKKAKEAKKLGINKKTKVEKDPGIPNDWPFKEQELKALEARRALEELEQKKAARKERAKKRKLGVVEDDGGNELADMASGEQNLMEGKGNNDSTNFVKIRENSERAFYKELVKVIDASDVILEVLDARDPLGTRCLDMEKMVMRSGPGKHLVLLLNKIDLVPREAAEKWLKYLREELPAVAFKCSTQEQKSNLGWKSSSKAGKTTNLLQTSDCLGAENLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGATPGLTRSMQEVQLDKNVKLLDCPGIVMLRSSTENDAAIALRNCKRIEKLDDPVGPVKEILKLCPSSMLVTIYKVPSFDSVDDFLQKVATVRGRLKKGGIVDTDAAARIVLHDWNEGKIPYYTMPPSRNEGEHSEVKIVSELGKEFNVDEVYGSESSIIGSLKSVDDFLPVEVPSNRPVNFDETILEDNLEQPVTRSDNAMDNLVNNEGDVAMDAGEDDAGRTSGKSASSRQNEKLYSEEGMLNTKLRKAEKKRRKKDRASTTSDMMDSDYDFKVDYFKKESAMDDAEDDMPVNESKKNRFELPSGNELDNE >Solyc07g043020.1.1.1 pep chromosome:SL3.0:7:56678735:56679031:1 gene:Solyc07g043020.1 transcript:Solyc07g043020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCTSMIDVENELDIPSYLIFTSGVGFLGFLLYLSVWHDQFEREFNRSDSDLNIAANASRNLKSLTYFCICQEGYDSFRNHGIMFKETKGILLENLLR >Solyc10g044440.1.1 pep chromosome:SL3.0:10:26330493:26332538:-1 gene:Solyc10g044440.1 transcript:Solyc10g044440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENTSSPTQKGGKETKATSKQSSRSNHANSPSKAVDNSATNSEAVPIDYAANAVQGGRQPSRGNKNDENNSVVNSKFTYHSQISNNLNVVKVCDEIPIRKERNTNKNAVKNENSDHISMNRDTNANQRRQDYAKDYTSKANNNTTDHCYDNDNPSPKTPLVVADVVTGGRIEVMEKPTNLKEGDSKGRELFQYLSTTNLYDQQINKPGTTRKQQKQTHSKNGGQIINDKK >Solyc03g043620.1.1.1 pep chromosome:SL3.0:3:7123460:7123801:1 gene:Solyc03g043620.1 transcript:Solyc03g043620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLTHMETAFSMISKHFCLPGFPARTTRASFPACGSPVLFEALPVTQHGRRRRNCTLSCSEHCPSEWAAPTKQSFLEEAGLVPFRRPRLRALYAQSFRRTLAPPVLPRLLARS >Solyc01g111910.3.1 pep chromosome:SL3.0:1:97981818:97992534:-1 gene:Solyc01g111910.3 transcript:Solyc01g111910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVDSKKRTTQKIFGTVINEDDASHLVGGIVEKGFSEQPLKPPTTWSSAPRPTVLPFPVARHRAHGPHWTPKVGIVRGYNNHDKEEDFTGMDQIGVFAKPMERKENKGLDFSRWREIVASDNSSVPSKREESARKLMSTSKERKDVAEISRNKSNLDERTPDKYGKGAVLSVEDVAKSQDISMEDEYMVQEQEEDMSMNIEKGGMEQSAYHSVLQEQRCGNGITEQEEEIIEDMHPTLQVKSQKHNIYANKTDATFDSQEVERRQNASSLESQIDAENKAQLARMSAEEIAEAQSELMAKFSPAMLAALKRKGQEKLKRGKSSKSGSHHSGEKGNLLDQMNNATSQGTLKNVKVDTPNLSASTSVWDDWSKRVESVRELRFSLDGNIVKSEFDVSKSGNTSSYAEQNLSERDYLRTEGDPGAAGYTIKEAVALARSLVPGQRTFAFHLIASVLDRAMHNIQQNQLGCLLRSEDRDGLTDWEAIWAFTLGPEPELALLLRMYLDDNHSSVVLACARAIQCALTFEINEEFFEIVERIPTLQREAPTAPVFRSRPEIEDGFLHGCFWKYNAKPSNILPFARDYLDNDENEHTIQDDVVVAGQDIVAGLIRMGILQRIQYLLETEPSTALEECLISILIAIARHSPTCAAAIMNCQQLVETIINRFTSKEQMEISTSKIKSVTLLKLLARFDKKNCLEFVKTGIVQKMTWHLYRYTSFVHWVKSGKEARMFSSALLVEQLRLWKVCVQHGYCVSFFDDLFPALCIWLNVPAFRKLIENSVLSEYTAIAKEAYLVLGALTRRLPTFYSHMQHLDRGTTKEAESWCWAQVGPMIDSALESIRIKEIPLLSHLFEGENDEKLNGDMQDSAVPPLLWLISSIMDMLSAVLEAVIPEDNAELCHGTLPWLPDFVPKIGLAILKNGLMSFSSISSTSHDDASGSSSFLERLCYLRKTNQQETSIASNSCLQGLLRVAWCVDKLILLANNEPRNSLPYQGSTREEKALAAGILHSSLPELRGLMTSVMESNSSEWRHMQSIETFGRGGPAPGIGVGWGAPGGGFWSKNILSAQVAARLFIYLLDVLPIESVEDQFTAEGMNSIIQKINSVMGACLLLGPMDSSAVDKLLDFLFQVPTLKYIDFSIRHFLNLNQGFQSFKLVYQEEDYLLLSDVLASHFKKKWLCVKQKRKSAAGNEQAFHKNSKRRSVLLDTIPEENSESNPASQEPKCLVAEWAHQRLPLPLHWFLSPLSVLCSTSHESLDFLKVAKGGLFFLLGIELMSTSLPAELQTPVRNVPIVWKLHALSATLLSGMSIFEEDNSRDLYKALQDIYGQLLDREEKVNAKSLKFKTDIHENYSTFIDNLVEQFAAVSYGDMIFGRQVGVYLHQFVEAPVRLAAWNALSNACALELLPPLEKCIAATNGYFEPVEDDERMLEAYCKSWVSGALDKAARRGSASFTLALHHLSSFIFQSCSGNMIPLRNKLVKSLLRDYSRKKQHEVLFINLLEYQRPDTRPEPFHKGCMPLQSCNVVNRLQILKEACEGNSSLLNEVEKLNSVITRKQHVES >Solyc09g015890.3.1.1 pep chromosome:SL3.0:9:11307733:11308421:1 gene:Solyc09g015890.3 transcript:Solyc09g015890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGKVQVISKQTTSWWFSKGFRKRRNCSCQKGRATILYGKDLPHLDQAKNDESSLFRVRLYRTRTIDLIDCGQVRALYCLLEEIDWTLYRTLLSLPLELDSLKLK >Solyc02g076945.1.1 pep chromosome:SL3.0:2:42616829:42628381:1 gene:Solyc02g076945.1 transcript:Solyc02g076945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGYLGPSPLLKPSSLYTFPFTFSPFSGSPRSLSFSFMASNCSSARHCSCSSTSSSTTHQPSILVFSGGTAFNGVVEELKNLTTRVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSGQSTSEARAVRILLGHRLPLDARRAKSEWYEIVEGSHELWQDVSKPYRETIRAFLAYFQDQILRRSDEHFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSEIPAESFVLPVISTNERLTLGCELSDGTVIRGQNEISHPTHGSMQPIDKDASSAPALPSRIKRIFYMSSEGSNLLHEVFPTVNPTVLEQLRSVDCIVFGMGSLFTSICPSLVLLGVGETISSQSCPKVLLLNGTHDRETCGLSASCFVTAITDALNRTYGDPHNCLKNPPNKYINTLLVPKNGLIPMDKESLASQGIFNVVTVDSFPDPKLGVLFDPKSLIQALSNLLTDA >Solyc08g006254.1.1 pep chromosome:SL3.0:8:936872:937253:1 gene:Solyc08g006254.1 transcript:Solyc08g006254.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRMHRQGLDRRPRKNLTFLTSKYGSPLKWCAIAHENRRNEAYARFGARLTLQMGRTSRDGQPQGLDGRPRKNLAFLTSESGSTKKWCAIAHENRRNEAYARFGARLTLQMGRTSRGGQPYA >Solyc12g068080.2.1.1 pep chromosome:SL3.0:12:29145856:29145939:1 gene:Solyc12g068080.2 transcript:Solyc12g068080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYARLRLPKRSRDSCLNVEIRGLYPIHA >Solyc07g008250.3.1 pep chromosome:SL3.0:7:2976097:2979715:-1 gene:Solyc07g008250.3 transcript:Solyc07g008250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative EIN3-binding F-box protein 2 [Source:UniProtKB/TrEMBL;Acc:K4CBU4] MSTLVNYSGDNEFYSGGSLCSADLGLILALGHADIYCPVSKRARISGPFIVEERTKNPSIELLPNECLFEIFRRLEGGRERSAAACVSKRWLMLLSNMRSSDIRHTNLSAANGASDDTKMASSDEDQVECDGYLTRCLEGKKATDIRLSAMAVGTSSRGGLGKLSVRGSNPVRGITNVGLTAIAHGCPSLRVLSLWDVQDVGDEGLMEIARGCHSLEKLDLTKCPSVSNKGLVAIAENCPSLTSLTIESCKHIGNEGLQAIGRCCTKLESLTIKDCPLVGDQAVVSLLSSDNTRLRKVKLHSLNITDFSLAIIGHYGKAITDLNLCKLEHVSPRGFWVMGAAHGLQSLASLTVTSCIGLADESLEEVGKGCTNMKSMCFRECKHVTDSGLVAFAQAAGSLEHLLLEQCDKITQTGILNAVSICSKLKSLSLVKCLGVRDLPPQASSLSPCDSLRSLSIRSCPGFGSTSLAMVGKLCPQLHHLDLSGLTRITDAGLLPLLESSKAGLVKVNLTHCMNVTDEVVLSLARLHGETLELLNLDGCRKVTDASLVAIADNCLLLNDLDVSKCSITDSGVAALSQGVQMNLQVLSLSGCSMVTNKSFSSLRTLGESLIGLNLQHCSSIGSSRVEALVEDLWRCDILS >Solyc06g030520.1.1.1 pep chromosome:SL3.0:6:19261400:19261744:-1 gene:Solyc06g030520.1 transcript:Solyc06g030520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVFCMIDNYGSHVDSYWDRKPLPWIKRITYRDVVAENMSMTCHLDGIYRDPFIGIFMSNVTIGSANIPTKIHGLTLILKELAVLINRHLVSCELIRAQRKVVCIIFLSKVYP >Solyc02g082315.1.1 pep chromosome:SL3.0:2:46572070:46580455:1 gene:Solyc02g082315.1 transcript:Solyc02g082315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEGAGIWCPVFNSGDREEDNDGFEAIFEAMNITNVVGGDFVMSILLALPVKSLLRFQSVCWSWHDLILSRRFINIHHHRTNRSSSLICFHSDDSGRTTYNSVSV >Solyc01g098630.3.1 pep chromosome:SL3.0:1:88961192:88969809:-1 gene:Solyc01g098630.3 transcript:Solyc01g098630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGRLQTLRNIYRTVEIRDFSTLLASSRSNSKSISNVPHITLRCISSCMHKDHGGIPWTSGDKIMLRSTTTQEPISYGYMRSVTTKAKAPAQARQMGAVKVSMLSPGIIYEPYVPREKIPFWKRYFTRNGWKRTKEDLTSELKSAYAIAKLRKAGYSKQKFYTEAVSIYKEINTQMANGGKGTLRKLVTEHMYSALKNEIKQRESVWPTVYWELIEPIVKVRTLRARLIGVDRNDLSKVFIQLTLEFLAKQKFEAYDGKGAVVSGDRNKEVLVRDIWVFEKSLFHPGAYWRLCGRIKV >Solyc03g083620.1.1.1 pep chromosome:SL3.0:3:55008015:55008380:-1 gene:Solyc03g083620.1 transcript:Solyc03g083620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIQTFQLIHRSAKPIYTITRFLNAILDSCILSRLQSLEFQHLADESFCRSGFIKAHGQSRFHQLQLIVFNGLCVTGKGSNAYIGKSSGFTRAFYETVGGCGVSRRAGEVGKREEKCCEK >Solyc02g079830.1.1.1 pep chromosome:SL3.0:2:44800296:44801498:1 gene:Solyc02g079830.1 transcript:Solyc02g079830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVYRRLHGLFTGKRKVSVNLKTEQPQTTSTKPTSKLSEKHKLQSIIDNFKKSSESPEFRNRCANYTAAIRRLEFNSSAIEDIFEHQKQYPEISNEFFVCRLILLYGTVKMHEHARKLFDEMPNLKCQRTVFSFNALLEAYSRAEKYDIISDLFRELPEELSIEPDVVSYNTLIKALWKAGSLDSAPSVMDEMEKHGIIPDLVTFNTLSNAYYKSKRFSEAEDLWVLMQKKNVDADLGSYTIRLQGLVANNQVNEAIELFEEMGKKDIIPKAFSYNIMIKMYVDDRNWEEAERWYVKMVENGCYPDYATFEKLISFACDKDNLDFALELCKKAIASKVAIHNATMQRVVNVLAEHSKLEDAQELVNLAKSCKLYHCRPRSRYKLSLPSSLIVAHFML >Solyc02g091525.1.1 pep chromosome:SL3.0:2:53434891:53441230:1 gene:Solyc02g091525.1 transcript:Solyc02g091525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSDHTILIKKMLTYSEFVFLMAMIRFKVENIDGVRGNMTSYKSRIGFCRMHTLFSVPNWKLSIPCTSWKIFCAPENSLVVSEKIEDAGLSDPEQVPEQPLRTEELKLLLADAERSKLLKKLSEANRYNRLLKRELQAKEDAMVNFKSELSVTELEIQALARLAEEIAKSAIPAGSRKIKGRYIQSHLFSRLEAISEKLKEQIKGVEAVQAKEVPLSWVGVAESVQVMGSFDGWSQGEHLSPEYTGSYMNFSATLFLRPGRYEIKFLVDDEWKLSPELPTTGEGLTKNNLLLISGLLSSVAHEVGLLKTSNSLDLLLTQSVEEGLNGSCHQILDALSSFSLLWLCLKVKGTRLLIMVNVLGSAGPLRFVVNENDMVAKVVDTALKQYSREGRLPLLSSNVNDFLLYSATAGMDALGASDLIGSLGVRNFILCKKQKQAMMTEGRVHGNGKRGWKAWLTKSFSFKIHSH >Solyc12g036653.1.1.1 pep chromosome:SL3.0:12:47366181:47366342:-1 gene:Solyc12g036653.1 transcript:Solyc12g036653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFILKTREEYLDRIMFTFSVFTSPKVSDIVVEPYNATLAVHQLVKNVDSW >Solyc10g050080.2.1 pep chromosome:SL3.0:10:47633961:47635619:1 gene:Solyc10g050080.2 transcript:Solyc10g050080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRNLLQMTTTIAIEDVRREVKILRALTGHRNLVQFYDAYEDEENVYIVMELCKGGELLDWILARGGKYSEDDAKAVMVQLLSVVSYCHLQQVVHRDLKGQLNQMKMLTDVCVSDRPLKKLVMICMFCYGFQNFLFVSKDENSPLKDIDFILSDYVKPYERLNDIVGSELCVTVYAYSDS >Solyc09g082990.3.1 pep chromosome:SL3.0:9:69110733:69116279:-1 gene:Solyc09g082990.3 transcript:Solyc09g082990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 3',5'-epimerase [Source:UniProtKB/TrEMBL;Acc:C6K2L0] MGTSVETTYGEYTYENLEREPYWPSEKLRVSITGAGGFIASHIARRLKTEGHYIIASDWKKNEHMSEDMFCHEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMMEASRINSVKRFFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKALTSTDKFEMWGDGKQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFDGKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPNMKLKDGLRITYFWIKEQIEKEKVKGADVSTYGSSKVVGTQAPVELGSLRAADGKE >Solyc09g072720.2.1 pep chromosome:SL3.0:9:65759490:65763573:1 gene:Solyc09g072720.2 transcript:Solyc09g072720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVTVASSTSRFRFRDIPADDDSTDAHSVSDFSSVSSDFDADNTELQSMTAKGITHLCSELLELKRESEEDFQRIIFTNYSVFIGVYQKQPLYLLLPDLTFVRFHCPSLLDECDFMSSLGRIFEGTKDLESDLLQLKYQVAKQKGLIKDLTNDVFLKFLEEEKTESNLEDSLSDYTSLSSVIDAHTNEVFEIIDDLLSEHRLDEAISFLEKEADFFKDDQLAENPLTDELMCYNSKISEKTCMIADQLTVIAKNPRVSAPELQKALVGLRRIGENDLATELLLKYYQSRIADGTHDMFFAKVYTHELYLREVSKLLFSMISQAAKSFTLLHGENSSYESELHRWVMEQTATFSVCFKNYVISMVEPSTGVSTAVEAIQSTMAYCSLLEAQGIKLQSSLIEHIRPCIHEVLQIHVQHLKKVIGIFTSCETWVLGRYLVSGILSPDSYPTNTGQPLSDYCLLTNSGRKFITLNQAILEEVSPLIQLHVEGLLLRGLLDLFTEYTSILESALTNQTEIEEVDSRINIAESVEQQVSLISNLSKLSQFFSSMIRRAFCDTYHLEFEIDNCELFINDNFSRLRSQFCKQLILKSWPLDSEHSCSTDLQPSISYQELYFALRNLKEHADDTLIEMKWLKDVFIELMEMMLDKNMDKEETFLILNLKQLTLDIHFLLEMAKWGGYLSDTIMNSYFDSESRIKSAFLSAGIDLERYMIYVGWATKTAIETLQKLHELDKAETLSSEFINNIEDETNNSTESRGSIDDIKSEDVTTCIKMFEIDVVPKEDNASVEEENEK >Solyc05g016348.1.1 pep chromosome:SL3.0:5:16000018:16005577:1 gene:Solyc05g016348.1 transcript:Solyc05g016348.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALRVVRYIKGTPGMGLMMPAGTTNQLMAYCDSDWGACLETRRSVTGYLVKLGGVVISWKSKKQETVSRSSAEAEFRSMAACIAELTWLDVKPIVFSYNGTETGQFIAVSKNVNMRKKGGNTHHSPKPKLKLESSLK >Solyc10g050640.1.1.1 pep chromosome:SL3.0:10:50174706:50174927:1 gene:Solyc10g050640.1 transcript:Solyc10g050640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMDEAGNSQAVAFPDENEDADVDPATSKSQPPPSSSSLEAEMVAVKRFVETDSASPNTTFSFPGHSRTPSRD >Solyc07g055625.1.1 pep chromosome:SL3.0:7:63722588:63723162:1 gene:Solyc07g055625.1 transcript:Solyc07g055625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVSTLPSQNMENIKRLERLLYLYSNSIHFTVLFMNGLFRMYVLGVEIPEWFTYKNSGTGSISVALPKNWFTPTFRGFAVCAVFDMINPFILRKFKWDGPMNFHTDKFLRNSQGPEEVKTVGLGDTFPAHLPVHPYWTSKDVNYNNYIQLEVGFHDEVHKDVVVK >Solyc02g063250.3.1 pep chromosome:SL3.0:2:35850081:35852822:1 gene:Solyc02g063250.3 transcript:Solyc02g063250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYKLLRRSKSHDSDDSDSENTVNNNSNIPAEFLCQAIFAGDGFYGVEAAYGRFEGVVRTATGYYGGTMRKPSYKDVSEGKTGHTEAVKVTYDNRFVSYKSLCDYFWETHDPTNKNSLNFGSNTHLRSAIFCSTEEERKQAQQSKIRRQMKLNRRILTKINLLSKQITSNCEFFVAENQHQKYYLQKHYRLCESLSLRSTEQFVESYLACKLNGVLALDGEVILEKLPQLTRTCLLPKQCKSTCDEIIQDLKTTSAHGSTIT >Solyc04g053130.3.1 pep chromosome:SL3.0:4:51662144:51664415:-1 gene:Solyc04g053130.3 transcript:Solyc04g053130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAGPVFCELKPQTQKAEPAGFIPVQKLRVSEPGQEIGKIMLQPRLTTLRSFGSDPVGVIKTKNGAFNGEDSEVSPFFATLSEYIESSKKSHDFEIISGRLAMIVFAATVSMEFVTGNSVFRKTDFQGIAEATGVCVGAVACAALFAWSSSSRTRVTRIFTLGCNTFIDSLIDQIVDGLFYENENIDWTDDDI >Solyc08g005930.1.1.1 pep chromosome:SL3.0:8:703075:704235:-1 gene:Solyc08g005930.1 transcript:Solyc08g005930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSTTACAAVNRRKILHHRRHHQSLIPGLPDDVAQICLNQVHPSTLFSVCHSWRKLIYSPSFPPFLSLYALLLSTNQVEFACFDPISSRWHLLPPPPPDPPLRILVKHPSFISRNLPIQSVTVSGNLILLAATADQFLPAISRPLIFNPTVKKWTHGPRLNAPRRWCAAGALGNSVYVASGVGSHYNLDVARSVIKWDLDNSSGPEFDNRAHYSARYRNERKMRWKWEEMSGLRDGKFSREAIEAIGWKGKLCMVNVKGDAAKQGIIYNVETDTWHDMPEGMLSGWRGPVAAMAEEILYTVDESKGVLRRYDEERDVWVEILEYDMLKGAEHVVADGGRVCVVGGGGGSGIVVVDVAVETPRLVMVETPVGFQVLNIHVLPRMSH >Solyc12g099210.2.1 pep chromosome:SL3.0:12:67417711:67418889:1 gene:Solyc12g099210.2 transcript:Solyc12g099210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIFLMMFFTLVLVTNGINNLVETTCKNTPNYDLCVKTFSLDKRSEKAGDIKTLALIMVDAIKSKANQAFSIISKLRHSNPPQAWIHPLKECAFSYKVILTASIPEAIEALTKGNPKFAEDAMVGTSGDAQECENNFKSKSLQLTKLNIDVHNLSDINRAIIRNLL >Solyc03g046570.3.1 pep chromosome:SL3.0:3:13194244:13197484:1 gene:Solyc03g046570.3 transcript:Solyc03g046570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIPVCPSCCTVKRSTPGPVEGSVEHSQIIRNGSTHEDDIVINPTLLASVQSFVEPNLTAAALYRATHDSHMAADEAIAFNMPLQPNLFENASVEPSPDAEHPSQTQSLCWPDKRDTIESEVLSYGRNDQEEVKFDGEAVGRSHAYSQRLLNIINQTLASVGVDPSLADVRVQLDISKKTSSGATTTRLSSGENYGGAPKRLRTEGSM >Solyc03g117020.3.1 pep chromosome:SL3.0:3:67771912:67776438:1 gene:Solyc03g117020.3 transcript:Solyc03g117020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFCRTTIVFQSEVARSPKVHVEKTDLLRLLLQRGIWNVKSLLHPKPKPKSPQRPSNIIGDWKVGNCLKFHIRIGTSSELHRASAKSFIVAPSGLQQHQTLTRRKSAPPSTLNQAGASGSKRIVLKESSSESDLDLPFWQRTWFIVLLLLMAISFFGLALFLFLTLDSDYISTTPVSAASEGVQITYGSAIKLMHEKTKFRLHSHDVPYGSGSGQQSVTGFPGVDDANSYWAVRSTDSSKQGDPIKSGSIIRLQHIKTRRWLHSHLHASPISGNMEVSCFGDDKESDTGDYWRLEIEGSGKTWRQDQRIRLQHVDTGGYLHSHDKKYTRIAGGQQEVCGVKEKRPDNVWLAAEGVYFPVTEKSK >Solyc12g017400.2.1 pep chromosome:SL3.0:12:6650310:6652178:-1 gene:Solyc12g017400.2 transcript:Solyc12g017400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGSTNGHLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKGMINFFLFVHRLDENAEICRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIYLSNSKRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDNNAEPLQEEGWVVCRVFKKKNYARGFQHDIGEQDHEPQLSFTDPMKGGTSKQNIQQQLQTASSCYDNYQSSFDGSMHLPQLLSPDLTLPCPSLVPPPLSNHNLLRLTSTSAPNFNFPQQHDKFSGDWSFLDKLLASSNQAVVIQSQALTTDLVNPTNSQKYPIFNHHAFDHDIMKFSK >Solyc01g107560.3.1.1 pep chromosome:SL3.0:1:94960936:94962399:-1 gene:Solyc01g107560.3 transcript:Solyc01g107560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B2X2] MGSQGGKAPIHVFMVSFPGQGHVNPLIRLGKRLAAKGIFVTLSTAESHGFQMKKVNKNLSDEPTPYGSGTIRFKFIDDGWDYSKPEGNDLGLYIRHLESVGKQLLPQMIEEEEKQGRPVSCLINNAFIPWVSDIAETLGIPSAVLWVQSAASFSCYYHYMHQLATFPTESNPQLQLQLPGMPLLKHDEIPSFLHPSSPYTMLKKAILGQFEKLSNPFCILMDTFHELELDLVDQLSKICPIKTVGPLFMYPKLISPNGKDIRGDYFTSDSGIIQWLDSKSASSVVYISFGSIVMLKQEQIDELAYGLLNSGVNFLWVVKEPSVGTGYLPVKLPDGFLDKAGDRAKIVQWCPQEQVLAHPSLSCFLTHCGWNSTMEAISIGTPIIAFPQWGDQVLDAKYLVDVFKVGIQLCRGEDENRIIPREEVEKCVREATSGAKAAEMKENALKWKKVAEDAVADGGSSQQNLQAFIDDIVTKCTAEKFKKASLQ >Solyc11g030905.1.1 pep chromosome:SL3.0:11:23588763:23589394:-1 gene:Solyc11g030905.1 transcript:Solyc11g030905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRSHLSMNGEFDQERPLVQLRSQKKSNSLYVDSKLATDRWPLSIIYTFMFCVWGSTLESSIVNRHPLGYYGSWSLFALSHNYMVWLAAMYAYPTTTTPFADYALLGDDILITDTDVSRQYRILLDRLAKSIISENRTLEFAKRFWIKDIGLTSSRTTVGLCILATKY >Solyc07g052940.3.1 pep chromosome:SL3.0:7:61453989:61466837:-1 gene:Solyc07g052940.3 transcript:Solyc07g052940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGGVACVPVQHIMEPFSVCAPKTNSSTFSTSSLNSTTATSVVKEIESNGDAAKDEVEEGELGTLPVDNGQLVQEKSFSRKYEIKSEIEKGEITPDVKRGEFLKGRWRKGEWEKANYISDKSDRKGEFDKNDTGYEPGEFVPDRWRKGEGSARDDFNYSRTRRYDFAKDKGWKGDLDWTPPLVKDKGWRDDREWTPPSVKDKGWRNDREWTPPLVKDKGWRNDLEWTPPSAKDKGWRNDREWTPPSAKDKGWRNDHEWTPPSSGKHSGQKDGGRSGGIQHVKRLSRYEPSIPERNPRISSKIVGEEGPSKSELRNGNNPARDYFSGNRLKRHGTDSDKNDRKFRGEYDDFSSSKSRKLSDDGSRAVYTVDHSLRRSTEKLHKNAPSNRNIPPDRYSSRHYETSKVPYDRLNSSPRHLERSPRDRARHLDNWDRSPARREKSPYDRGRHFDHSRSPYDRSRHYDHRSRSPSYSEWSPQDQGRHHHRRDRTPNFMEPSPRDRSRTTYHRDTGRKSGPSDKKDSHFEGKKHEGKFNNQKDVSMKDAKDSEVRSCPENSNCSIVKSGNHPVNNDGLPQCPAVNALEPSEENGAVEEAASMEEDMDICNTPPHVTTVAEGAIGKWYYVDQFGVEQGPSRLCKLKSLVEEGYIVADHFVKHADSERWVTVENAVSPMATVNFPSVVSDVVTQMVSPPEASGNVLEDKCDLAQLNDQVAVDTFPPPSEIVPCHGDNLTAAEPSSEHHIDERVGALLEGFSVTPGRELEIIGGEHWNQSSDELSLSSEVQKESTEPRTSDKETDFFCSDPAELFSGLWSCKGGDWKRIDEATQDRLWKKKLVLNDGYPLCLMSKSGIEDPRWPQKDELYNPSHSRKLDLPSWAFTPDEWNDSNVVGRPNQSKPPVLRGTKGMMLPVIRINACVVKEHGSFVSEPHTKVRGKDRHPQRSSRPYVVTGDTKRSSEEAVLVDQGVIPENSSAFRRVDRIWVPVASSSKTSDLSKMCQTPNETLGASESELENSLLSAPSGAPCTFHGMHPQFIGHTQGKLHELVMKSYKSRELAAAINEVLDPWINARQPKKESNPDFRASKKARCHGSEEEYEMEEDISVFQNDECQFDDLCSDETFNRETITTYGIKNGSWDLLNDRVLGRVFHFLKADVKSLVYASLTCKHWRSIVKIYKGISPQVDLLSVASSCTDSMMQTIMSGYNKEKITSLVLRDCTSITPRMLEDVLFSFSCLSYIDIRGCSQLDDLAVKFPNINWIRSRSSNLKVKSLKNFSDRTASSYRTYNSQENQMDDSIGLRDYLESSDKREFANQLFRRSLYKRSKAFDARKSSSMLSRDAQLRHLAMRKSRNCFKRMKEFLASSLREIMKENTFEFFVPKVGEIEEKIRSGFYASRGLKSAKEDISRMCRDALKDEMMKTSKDESPPGFSSSTTKYKKNPARMSEKKYFNRSNGSSYVNGVSDYGEFASDREIKRRLSKLRLKSLDSGSETSDDLSGSSGDTSSDNESTASETESDMDLRSECGAAESKDYFTPDDGFDSFADDREWGARMTKASLVPPVTRKYEVIDHYVIVADEKEVKRKMLVSLPEDYAGKLSVQKNGTEESDMEIPEVKDYKPRKTLGEEVIEQEVYGIDPYTHNLLLDSMPDESDWSLLDKHLFIEDVLLRTLNKQVRRFTGSHTPMIYSLKPVFEEILETADKDQDKRTIRLCQFMLNAIDTRPEDNYVAYRKGLGVVCNKEGGFSEEDFVVEFLGEVYPAWKWFEKQDGIRSLQRNNNDPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCRPNCEAKVTAVDGQYQIGIYSTRPIAYGEEVTFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFLKVLQEYHGLLNRHQLMLEACELNSVSEEDYIDLGKAGLGSCLLAGLPHWLIAYSARLVRFINFERTKLPDEILKHNLEEKKKYFSDVCLEVEKNESEIQAEGVYNQRLQNLALTLDKVRYVMRCVFGDPEKAPPPLERLNPEEAVSFIWRGEGSLVEELLQCMAPHLEDSMLNDLKAKIRAHDPSRSDDLETGLRKSLIWLRDEVRDLPCTYKSRHDAAADLIHLYAYTKCFFRIREYKTVTSPPVYISPLDLGPKYTDKLGPGTHEYRKTYGENYCLGQLFYWYNQANADPENCLFKASRGCLSLPEAGSFYAKVQKPSRQRVYGPRTVKFMLSRMEKQPQRAWPKDRIWSFKNSPNVFGSPMLDGILNKSPLEREMVHWLKHRPAIFQAKWDR >Solyc09g089700.3.1 pep chromosome:SL3.0:9:69923451:69924838:1 gene:Solyc09g089700.3 transcript:Solyc09g089700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRTNDYQATIQKSYDKMSELKAFDDTKAGVKGLVDAGISKAQIFILPPKNRPESSNTCETQFSFPVIDLEGMNEDPIKHKEIVEKVRNASETWGFFQVVNHGIPKSVLEGMLQGTREFFEQDIEVKKQYYTRDMTRKVVHSSNFDLYSPSVTAANWRDSVLFSMAPNPPSPQELPKPCREILMDYSNHVMKLGKSLFELLSEGLELTMGTSQHSDNDFITVLLQDHIGGLQVLHQNQWVDVPPTPGALVVNIGDLLQASIPFLFKSYITLIRMNITPYLLISNDNYVSVEHRVLSNKVGPRISVACFFSTGPLPSSKLYGPISDLLSEDNPPKYHATTVKDFSDYFRQKGLDGTSVLLHFKF >Solyc04g054650.2.1 pep chromosome:SL3.0:4:52859074:52861456:1 gene:Solyc04g054650.2 transcript:Solyc04g054650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRDPTDNKLIEQELEISKQYGKQTGARDQFLKQKEKIQWIQEGDQNTKFYHNYIKARRNTNRIFGVKDKHGERQEGMEEISEAFLEYYSELLGQSNKERKHACREIIIKGSLVNKLPGPDEYWSQFFKDNWEIVGKDVVKGVMEFFRTKKMLTGMNDTTITLIVMLNRWGLQTNIMLQYYIQDNFKNTEDSAASNNIEQSKWFCGGKGDYQSALLMLRYMKSFSGASELTNNAIKSNIFSANMEQQELNDLCEVSGYAKRKLPFRYLGVPVSAKRLSPEDCEVLVERMASRVRTWVSRNLSYAGGVQFVNSVLMHMHSYWATIFMLPKKVLKDITTICRNYIWSGKATTNRPPLPKREAGLCQMEPSSNCNIFLNVAEKEDNLWGRLTPMKESLFPPITIQFKKGLSQKFRQSSGTGIDISMFGEAELCNADIYELAVKAEALEDGNITQAVFEKEKGEFKIRVVKQVNGMRYELQEIYGIGNSVDKDFDGNDNGKECVVCLSEARDTTVLPCRHMCICSGSAQVLRFQTNRCPICRQPVERFLEIKVSEASEE >Solyc07g021370.1.1.1 pep chromosome:SL3.0:7:17487354:17487509:-1 gene:Solyc07g021370.1 transcript:Solyc07g021370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRINFSPNTRIILNEVVDANATQGTEPYKQSRTYKMPHFTCLILLYTEQN >Solyc01g009470.2.1 pep chromosome:SL3.0:1:3610467:3633992:-1 gene:Solyc01g009470.2 transcript:Solyc01g009470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKVHETRSQAHSTSEERKVMRKQQAESNSQETASHKKAKTDDEEEGVVHEDGIRAEFEKFCKTITEHLSVKQMREILEANGQYSKGEDDAVVPRCQDVMFYGPLDNCPICGGKLECSGDGYHCVGDYSEWSSCIYSTKEPPRREESLKLPESVESTPISDLIKKRGNPNKSRPRKEISSPKKPFDGMVVSLAGRLTRKHQYWKSKIEKFGGEVNNSITGVACVVVSPAERDRGGSSKVAEALEKGIPVVREAWLSDSIEKEEAQSLDAYDIASDIAVEGKGIPLDKMDPSAEALETVTAELKVYGKRGVHKDSKMQDEGARILEKDGLLYNCALSICNQKKKLNDFCIMQLVMSSENRLHMYLRRGRNGDSLRADDKLEEWENVDYAIKEFARLFEEMTGNEFEHWEREKKIHKKHHKFYPIDIDDGIEVRHGALGLRQLGSAAAHSKLDSVVANFMKVLCSQEIYRYALMELVHDSPEIPIGMLTNFHLRRCEEILLHFVEKIKSMKETGPEAEGVWHEFSQMWFTLMPSTRPFTFRDYTDLAEHVNVIFSKSFTALYTKVFLTCCLKIIKAASAYETIRDINFASRIIEDMSGSTLDDPLFECYKKLRCSVSPLEKESDDYKMIVNYLEKTYEPVQVGDMSYGISVENIFAVEASACPSLDEIKGLPNKVLLWCGTRSSNLLRHLQKGFLPSVCSLPVSGYMFGRAIVCTDAAAEAARYGFTAVDRPESFLVLAVASLGDEIKEFPSPPEDTRALEEKKIGVKGLGRKKTNEKEHFVWKDDIKVPCGKLIPSEHKDSVLEYNEYAVYDPQQLNPNPSNNGKRSRQSLYMLYFRLCLFSHGLGKYVFASVRGMQRDRFVDVHSSLYVVTSSPMDLKEEEMKVIAAYLLAVLGGNASPSAKDLKNILGCVGAEADDDRIQLLLSQVDGKDITELIAAGRENEAAGQKHQQLPDRRILSLFPAVVFSIDFSSLLCSLFRRVASRREHTQQLHRAPSRLLLPSPPFSGKNLVNSSDNKSARPSCLLLREKPGNTNYQMAKSSTIKGSTVEECQDMIRRSLRTPMVKFLKEHLEKSGCRIGDNFIRAVHCNKMASGFYVRGLGIRAGHLSGDCHYKRELLRGFLKIRGHEQECVKRRVMKSLSINPNCSETTSKETMEAVWDICYNDTMPFDRAP >Solyc07g032796.1.1 pep chromosome:SL3.0:7:44062083:44062318:1 gene:Solyc07g032796.1 transcript:Solyc07g032796.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTHEGDRATIIPWTCELLSQNKSRVWSEECQREFKGLKAAVMEEPVLTLLDFSKTSKIHTYV >Solyc02g092510.3.1 pep chromosome:SL3.0:2:54215501:54219921:1 gene:Solyc02g092510.3 transcript:Solyc02g092510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuosine salvage protein [Source:UniProtKB/TrEMBL;Acc:K4BD47] MEEVRSTTAWVATHSSHVTVDFTGIEKVAENMKNSLTKVEWDFEGIHYFDNGPLTVQYLLVLDTLNFCFWPDEEMSYDHLASGLKVTLESDKSAFDADRLQKYTGPQLRKMLNWSRPLPLEDERVRLLHEVGLELERSFEGKASKLVESCDNSAAKLVALMTRHFPGFRDHTVYKGHQIFLYKRAQIFAADLWGAFKGQGYGDFEDISSITIFADYIVPAVLQQLGVLRYRSSLDNIIKKNTEIVSGSEEEVELRACSVYAVEKIKELISKKTGKQVLSVELDLWLWAFGIQCPSLQHHRTLSIYY >Solyc12g013595.1.1 pep chromosome:SL3.0:12:4437450:4438287:-1 gene:Solyc12g013595.1 transcript:Solyc12g013595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISRENGVVHLSQKRYIRKVLERFNMHMSKPVSTLLASHFKLSELQMPQSMDEVEHMSKVPYTSAVGSIMYAMILTMQGILIEGGPQLDTSLLSLAVPLVENRLYSRLSFVYYRGRIYGSNGGSERSYLVERFGGRIDFTKGAIKVEKVMTDDTATDMLTKIVPLAKFAHCKDLARVCIN >Solyc02g081140.3.1 pep chromosome:SL3.0:2:45759864:45763399:-1 gene:Solyc02g081140.3 transcript:Solyc02g081140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRDTKKPSRQTSGNRAGGIRTLSDLNRPSGHDSDSDSDGPQEYYTGGEKSGMLVQDPSKHNDVDSIFDRARQVGATQGPLESVVPSSSSTSFTGSARTLAGETVPTSAPQPPESIVHNIVFWRNGFTVNDGPLRRLDDPENASFLESITKSECPRELAPADTRSQVHCNLIRRDENCPEPEKRQVSFQGVGRTLGSSATQATSEPSASAPTSRVPAASTSVLDESLPSTSLQIRLADGSRMVAHFNFHQTVGDIRAFIDASRPSDTRAYQLQTVGFPPKMLSDPTQTIEQAGLANSVVIQKF >Solyc09g092030.3.1 pep chromosome:SL3.0:9:71677341:71684228:-1 gene:Solyc09g092030.3 transcript:Solyc09g092030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKKVASSGEIQNKKEKNLKSIQWEDYEQELVRLCSLTSALNEAKEKKELVQQKLQSFIQGVVSSPLLSNHFVRVNSEKWNPLVSGWRYGSQVEAESLSRLNELDEMKEKLDSRKLVMGNMSMHSKVVKEKVKKQEEQLSNDIRSLLVAGTSLSAASKRLQDESRSLAGERGYGQLRTLQRVFRTRQQYMVSQVHMLYPVRVAIGQAPEQELEFFNNSSSSGDSAGSKPLDHGSLTIAGLHLTVFTKMSFFTDKKEVQRSATALGYVAHVVTLIASYLQVPLRYPLRFGGSRSYIRDYAPSVEPSSSDLTSSSAVSTNSRPVEFPLFLEGQDSTRAAYAVFLLNKDIEQLLNYIGGRSTGPRHVLANLKELLRIILSPEYIDTSL >Solyc10g036660.1.1.1 pep chromosome:SL3.0:10:16656525:16656749:1 gene:Solyc10g036660.1 transcript:Solyc10g036660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSREIISRFPSISLLEFALFIATFKSEYLELLDELEDDSCCIVLVSCMRTCLCLFGRLEADYGGSRALSVWLN >Solyc07g021100.1.1.1 pep chromosome:SL3.0:7:15881864:15882256:-1 gene:Solyc07g021100.1 transcript:Solyc07g021100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITPLYCCTGQGNSAMVLAVTDCHKIMLTDVKGMLALYNPELQTTQRTTIVGTMYSFDYENYEESLVLLDKAELLPLVDLASEESTNDGYDDDDDDDDDNQVLELLRNHVMHERVTTLLGAQNSNEQIL >Solyc07g009080.3.1 pep chromosome:SL3.0:7:4037471:4038069:-1 gene:Solyc07g009080.3 transcript:Solyc07g009080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTTFLALLFCLFLVAATGNKICLEIIKYKWQKENTVGKRVTSGMDFATTLTNVVIIASTTMELNMVCVRSINHGVTNITGQNMLATVIHLATTKNLSVSHGFGLTM >Solyc06g075630.3.1 pep chromosome:SL3.0:6:47149344:47150698:-1 gene:Solyc06g075630.3 transcript:Solyc06g075630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNSSSFQIKAVISYLLILALTITLATAGRILDEEVATPTVALENPDPTDQAPVSGATAAGAAAGATGATPATGAAVAGGAGAGVGTVAGGAGAGAYDDHTFSFFLHDILGGSNPSAIAVTGVVTNPAVSGQVPFAKPNGAVLAVDNGVPTNNANSGIISNNNIPFLTGLSGTTSNFINQNNNGIIGGGNGLPAINLQQLGSGINFQKLMFGTLTVFDDELTEGHELNSGLIGKAQGFYVASSEDGLSQTMAFTVMFKSGSYADSLSFFGVHRMGVSESHLAVMAGTGKYVNAKGFATVKTFPATNQLQETDGVETVLHITVYLLDEKML >Solyc06g064690.1.1 pep chromosome:SL3.0:6:40441974:40442663:1 gene:Solyc06g064690.1 transcript:Solyc06g064690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLTRGTKKRDVISIYGMPGLGKTTLTRKVYNNPYIVNYFDVKTWCAVSQAYNRKKLLAEIFNQTTRREMDEDDDIADLLLTTQIEHVAKHLQHHGDPYSLIFLTSTQSWKLLENKLSRRERCPLDLLEAGLRVAQHCKGLPLMVVLVAGFIAKMERELEVANDLSSLVLGEQSMKVIQSSYEHLEDH >Solyc09g056435.1.1 pep chromosome:SL3.0:9:49388264:49389530:1 gene:Solyc09g056435.1 transcript:Solyc09g056435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGWQYTTNLKSHYNSRVWLVWKPDVYKVACISSSGHVITYEVCYVPLQLKYMMSVVYAYNTREERKELWEALVHHSLGCVKPWMILGDFNSVLKMDDKLDCNLKCSSSRRARRHGLDWEMTTRNISTQFLSIEGCNMQEPNSKMIRVTGILILEVKTTLLHIDSNKSSGLDGYESGFFKAV >Solyc04g051700.3.1 pep chromosome:SL3.0:4:50959263:50966983:1 gene:Solyc04g051700.3 transcript:Solyc04g051700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFWRERERETKEQNGGPPCGQVRVLVVGDSGVGKTSLVHLILNGSSIVRPPQTIGCTVGVKHTTYGNSGSSSTSIKGDAERDFFIELWDISGHDRYKDCRSLFYSQINGVIFVYDLSQRRTKTSLQKWAVEIATAGTFSAPLASGGPGGLPVPYIVIGNKADIATNEGVRGSSGNLVDMARLWVEKQGLLPSSEEIPLTESFPGGGGLIAAAKGARYDKEAVMKFFRMLIRRRYFSDDLPGNHWSSPVQKPLHGSSEISNDDDHLYKSKSSSYIGDSYKYNTLPPLPAQRNLTPPPTLYPQQPMSTPDNYSIPRFASTQDMSSARYKRSDINV >Solyc08g067940.3.1 pep chromosome:SL3.0:8:57065540:57067468:1 gene:Solyc08g067940.3 transcript:Solyc08g067940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERITSIFVSFLIVLFVVGNEMYIVNGDSPYACWGGCYNKCILLTMKSRVPSGNDPCYVKCLSKCIPTSSSEYKNYCTIGCSLELCVAFRFDAGEDLDACYGNCGRICRV >Solyc04g077180.2.1 pep chromosome:SL3.0:4:62184414:62191366:-1 gene:Solyc04g077180.2 transcript:Solyc04g077180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMDKGLNFSDEDGVGKRKSEMGIENKESKKSEMGIGKRNKKTKRKRFSIFPKFSCMRLDDEVPVVETPADEGGFDVEASQKGPGHAPNHLVVMVNGIIGSVDDWKYAAEQFVKAYPHDIIVYRSQSNYSKLTFDGVDVMGIRLAEEVQSVVKRTPHLQKISFVGHSLGGLVCRYAIAKLYEQRSARITRGQGMECSLNGSTDACAEEVSKKIAGLEPVNFITFATPHLGCRGHKQAPAFCGLYSLEKVAASSSWLLGRSGRHLFLKDHDEGKPLLLRMASDSEDLPFISALQSFKRRAAYANTNLVGWSTSSLRRRSELPKRRNMKKVDKYPHIVNIEEATSVSSQEETPTMANINGHKSQEMEEAMIRGLTKLSWERVDVSFKGSIQKFLAHTAIQACAVL >Solyc05g014658.1.1 pep chromosome:SL3.0:5:8659447:8660280:-1 gene:Solyc05g014658.1 transcript:Solyc05g014658.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKPPAVAPEAWTFEQEACRRALVEMIILDELPFSFVEKEGLKKFMSKFQPLFHIPSRNITRDCYERINLKQSLKEIQPRICVTIDTWTSVQRINFIPITSHKGEHLAESISNCLLDRKLDNVFTVTVDNVSSNDVTVLELSKKLDMWGTNMMEGKHLQYEMTRNFLKCVEMQKIECDYMLPLDVPTSWNSTYLMLDTTEKF >Solyc03g115470.3.1 pep chromosome:SL3.0:3:66648461:66653155:1 gene:Solyc03g115470.3 transcript:Solyc03g115470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLKKSPLHRNARNHSVNPGSGGYSSSNPFDSDNESDNKETIKPARTPLHRNARNHSVNPGFGGYPSSNPFDSDNKETIKPVRRTSSEPSPVTPHLSTNTFDDDDDIKQTHSSAYSVTSTERNKYKNEFRDSGGFENQTVQELENYAVHKAEETTKSVNNCLRIAEDIRQDATKTVITLHQQGEQITRTHLTAADIDHDLSRSEKLLGTLGGFFSKSWKPKKTRSITGPVITRDDPVQRRGNHLEQREKLGLNSAPKERSSSRTLPQEPTNALQKVEVEHAKQDDALSDLSNLLGELKHMAIDMGSEIERQNRSLDHFQDDVDELNFRVKGANQRGRRLLGK >Solyc10g007360.3.1 pep chromosome:SL3.0:10:1735299:1750098:-1 gene:Solyc10g007360.3 transcript:Solyc10g007360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSCGQIINCKEAKNQVLFSVPMIVVNGCFYFISLVSVMFAGHLGKLELASSNLANSWAMVTGFSFMVGLSGALETLCGQGYGAKMFRMLGIHLQTSSIISFFFSIVVSVIWWYSDRILILLHQEPAIAHEAGVFLKFLIPGLFAYAFLQNIVRFLQAQSIILPLACIAVAALVLHIGIAYALVHWTHLGFKGAALAVSISFWITFIALSLYVLFSKKFSHIRPEGLSSEPLQHLLSNLKLALPSAGMVCLEYWAFEILVLLAGLMPNAETTTSVVAMCVNTETIAYMISYGLSAAASTRVSNEIGAGNIRKAKQAMAVTLKLAIVAALIVDLALGFGHNAWAGLFSDEAEIIRKFASMTPLLLVSFLFDFIQGILSGVARGCGWQRFAMCINLASFYFIGMTIGAILAFKFNKGYKGLWVGLICGLACQSTSLLLLTFFIKWGKEDQNNPMNKSCGQIINCKEAKNQVLFSVPMIVVNGCFYFISLVSVMFAGHLGKLELASSNLANSWAMVTGFSFMVGLSGALETLCGQGYGAKMFRMLGIHLQTSSIISFFFSIVVSVIWWYSDRILILLHQEPAIAHEAGVFLKFLIPGLFAYAFLQNIVRFLQAQSIILPLACIAVAALVLHIGIAYALVHWTHLGFKGAALAVSISFWITFIALSLYVLFSKKFSHIRPEGLSSEPLQHLLSNLKLALPSAGMYWAFEILVLLAGLMPNAETTTSVVAMCVNTETIAYMISYGLSAAASTRVSNEIGAGNIRKAKQAMAVTLKLAIVAALIVDLALGFGHNAWAGLFSEEAEIIRKFASMTPLLLVSFLFDFIQGILSGVARGCGWQRFAMCINLASFYFVGMTIGAILAFKFNKGYKGLWVGLICGLACQSTSLLLLTFFIKWGKEDQLIDVEEAKNQILFSLPMIVVNSCFYFINLVSVMFAGHLGKLELAASNLANSWAMVTGFSFMVGLSGALETLCGQGYGAKMYRMLGIHLQASCIISFFFSVVISVIWWYSDMILILLHQDPDIAREAGIFLRFLIPGLFAYSFLQNILRFLQSQSIIMPLAFCSVGSLVIHIGISYALVHWTGLAFKGASLAASISIWIALLTLGLYVLLSKRFNHIWRDGLSFESFHHILTNLKLALPSAAMVCLEYWAFELLVLLAGLMPNSGTTTSVVAMCVNTQNIAYMISYGLSAAASTRVANELGAGNPDKAKHAMFVTLKLSVLLAIAVDLALFLGHDVWASLFSDSAEIVNKFASMTPLLLISFVFDFIQGILSGVTRGCGWQHLAMCINLATFYFIGMPIAGLLAFMFNLHSQGLWLGLICGLAFQSSGLLLLTLMTRWGEVQVSTNSNRENVLLA >Solyc01g102800.3.1 pep chromosome:SL3.0:1:91377318:91384276:1 gene:Solyc01g102800.3 transcript:Solyc01g102800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEERRMVTVGGKGSSLSSSSVFDIANGLSRLSIDSSALSKVSSSSNAKTSKTNAPTVSASFSILSNLTSDEARASLVVLLNKLLLSSSTSAAVNQLSDIILKDVLSSSNVQISFDNTIDGVSPGDFSVAAIAGISAILDHRSSALSVITDAIAALSCEALGADISAFNLNDSGDGSSAKDVVAVASDLKILLNGSKFVNREGDEPAVSGVPVVHGKFREISRLLHSSTRVQLNSATVSNSGSSGTASSMCTTLFSLAVALKDLGNISYNRAKRIVDSRITGDDEFPAMLIKECPRPDQLKALFASLVSAHSDEEYVKFSHDVSSLLVMVEKIISWEALAAFLSLEGRDFIPSGDTSVGEDSAKTAAKKGAKKKKILGKGTTALVQFLKDRLLSMPIQTEASQTVMALENLVRNFLSLLDPKDLGFDTLLKKVKDIVESNESRRLPKLPKGTRDFAKEQMAIRERAFSIITEVFKRHGAAALDTPAFEMRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNGLTSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQFEKMMPDFEVIKILTELLDELDIGEYEVKLNHRKLLDGMLAICGVPQEKFRTICSSIDKLDKQSFEQIKKEMVDEKGLSNEISDRIGTFVKWRGPPVELLSKLKQERSFLENNESSLALDELEIMFKALEKSKCIDRVVFDLSLARGLDYYTGVIFEAVFKGAAQVGSIAAGGRYDNLIGMFGTRQVPSVGISLGIERVFAIMEQLQKDKNQEIRATETQVLVSILGDDSALAAELAGELWNAKVKAEFMIHKKVMKHIDRARDSRIPWMVLVGERELSEGVVKLKDVVAAIDYEIPRGKLVDDLCKRLGM >Solyc03g096433.1.1 pep chromosome:SL3.0:3:59962226:59963969:1 gene:Solyc03g096433.1 transcript:Solyc03g096433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLGVVMDLQRLSSTDYIILEEIMCQQFLVVLICLFMAIHSHSLTRQYRNVHEIRYHMSVRLPKVISHLNCIINDSDIVCIDKLRMDRNAFHNLVLLTKDVGGLTNGKYMSRSEKLAMFLNILAHHEKNRSIKVDYIRSGWSVSQAFNECLRAILKLTPLFLVNPKPILENEIEDRWKWFKGCLGALDGTYIHIRVPSVYKPRYRTRKGDIATNVLGVCDRNLNFTYVLPGWEGLAADGRVLRDAVVRCNGLKIPEGIPCNYYLCDGGYTNGKGFLSPYRGYRYWLRDWARDVIERAFGLLKGRWGILRSSLWYSVKVHNRIISACCLIHNYIRREMDVDLLDMDMEEQVENQPEHIDLVESSEEWTTWRDELAQSMWNARSNL >Solyc02g093520.3.1 pep chromosome:SL3.0:2:54998948:55003768:1 gene:Solyc02g093520.3 transcript:Solyc02g093520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-finger protein [Source:UniProtKB/TrEMBL;Acc:K4BDE8] MGGKSSREDSWRQASSTRSSSWNQYDYPQTAYPQDSYSYSQQTAVPSYAPPPPSQQNYPPQHQHNYSSQPQQNYASHEHESRTHTHAPRPRLDRRYSRIADNYNSLDEVTEALARAGLESSNLIVGIDFTKSNEWTGKRSFSNRSLHHIGQNLNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFFPNERFCNGFEEVLSRYREIVPQLKLAGPTSFAPVIEMAMTIVEQSGGQYHVLLIIADGQVTRSVDTGRGQLSPQEQKTVDAIVQASKFPLSIILVGVGDGPWDMMKEFDDNIPARDFDNFQFVNFTDIMAKNVPQSRKETEFALSALMEIPSQYKATMELNLLGGQSGKSVNRVALPPPMYGASSVGGSKTSHAPSFQQPASSYYGYGSPADAEPHFQPTSSSYYDSARPVDNAPSAPSSPYTAPSSTYDNQVCPICLTNPKDMAFGCGHQTCCECGRALENCPICRSSIQTRIKLY >Solyc05g051590.3.1 pep chromosome:SL3.0:5:62795184:62811711:-1 gene:Solyc05g051590.3 transcript:Solyc05g051590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATESKKVNSENPAAAKTGGKVWFYSLLLTLQYGAQPLISKRFVRREVIVTSSVLTCEAVKVICALVLMAKEGTLKKIYREWTLFGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKLFFTALFTYIILRQKQSIQQIGALFLLIMAAVLLSVGEGSSKASSSSNPDEILFYGIVPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIIGSLCLISSTSKSPDGEAIRQHGFFYGWTALTLIPVILNAVGGILVGLVTSYAGGVRKGFVIVSALLVTALLQFIFDGKLPSPYCLVALPLVMISISTYQKYPYRVKKKQM >Solyc10g050225.1.1 pep chromosome:SL3.0:10:48084590:48089083:-1 gene:Solyc10g050225.1 transcript:Solyc10g050225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEELTGSTAGRVQGDFVNGNSVVIDHNHPLYFSSSDVPGALSVGIQLTGMENYTLWSRAMKITLLGRNKVGFIDGSVLRTDFDGDLKKIWDRCNVIILSWLTCNVSKDLLSGFSYSSSANQVWLDLKERFDKARGQILMMSCLPNVNQAYSLIIQDERQKGIAGSVHEEMESLALYTARHFRSPQPNTGQAFCRRNFHSLFCDFRNMKEHTRVEFNKLNKCDHCNATEHVKADCFQLIGYPEYFKGKKKDQMAWKAHTIMGEKSNRDMSLMGDKITHDQLLHIKNKSSPSRLNQILNKSAHMAGKNSYLKWIIDTGATDHMIHDHNKLHSESKVGSIGRVQLPTGDSTMVSHMGRDSELTPCVVLRSAVTTNVTECTGPFLCTNDEYIGESTTDVGVFSEDPVLPYTKGILKDNFKIKELGDLRYFLGIEFARNDTGILMHQRKYCFELISDMGLSSSRPVGASFEINHKLTTAEFDLQFPSKKNGNDKLLDDPGVYQKLVGRLFYLTMTRPDIAFAVLLLSQFMHSPKTSHMEAAMRVVRYVKHSLGLGILMSSNATNQLTSYCDANWAACPNNRRSIT >Solyc05g044490.2.1 pep chromosome:SL3.0:5:57473246:57477680:1 gene:Solyc05g044490.2 transcript:Solyc05g044490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGFPRKLGLPTGGGLIQANSITALHVNLELGTGNVSKLTLMKLNDMLIVKMDQAETLTLELTFISVFSHLFCAVLDDEMICLSYEVHNLVQLLFHQKGDVMLAKLMNHSVPSLLNNIESYIKSHDFSESSATKTEDHLVEHLDAVLVYLQYLPKCCAELIFPIMTQYELLQNVYGNLRDFHELKVNGCVEYDTIEHVLRQFQIMVQSVGFFCLILLRCQLDERDENDEILVISQVESMLANLLVDIIPVELEVMHICSTNLKASKSEKVGCFIKQLQKASPHILREYLIHLQENMANIATDSTSTPLTREVSICVSNLDKNLRNKENMIDTRYARLDSLENIELLKKDLKHVFLKPPADSSQLYFPMSDGPLFMNLLLRNLNDLLNSNTYSVDLIKEEIMLVKEGLEFVRSFFGIVEQEFDKDLRTRVIGLAYEAEHAINSIIVRDHGLLHLIFLLPDTIEKIKLIKEEVPARISKSKGLTVGNTPSKPVEIKKSSTTDQIIVGFEEETEWIIRKLTSGPTEVDVISIVGMPGLGKTTLAYRVFNNKSVVDHFDVRAWCTVDQEHNDKKLLQKIFNQVVGLKQTLNEDDIDEDIADKLRKELFGKRYLIFLDDLWDIETWNELTRPFPQIQRRSRIILTSRKREVALQGKHHSDPLYLRLLTPEESWDLLVKRVFGEERCPDELLVVGEEIARKCAGLPLLLDLIGGVIARQEKKKALWLEVLNNLNSLILKDEEEVMKVIQLSYDHLPNHLKPCLLYLASYPKDNYIKISELKHLWSSEGLVEHIEMKSVDELLEVYVDELISSSLVVVFYGIHDRNPIFRIHDLVHDFCLIKSRNEHLFDVIKSNTPSSSSDLMPRAMTIHYDQHLHSDENFVLFNPEKQNPYVKHLLSLKVYKAGDIESWRPNHLRHLRLLKSLEIRQILLMDPLLNEIGMLVHLRYLNIWTKVEALPPSFSNLLNLETLMVYNSASNMVLSPSIWSLAKLRHVHMFSFSVFESHADEQTVLEEDSKLENLRILSGLKISDSQDTGGIFKRFPNIRSLRFAIKTSQYCPAEKICFPRLDVLSEREQVDANFHGEGWRDKYQCDLYYFPLSLKILKMTRFDLSSNSLSEIARLPNLENLSLQEVIIEGGKEWNMEDVTFEKLKILTLYHVSFSEWQVREESFPMLEELQIEWCYELMEIPDSFWDIASLKSIKVLGQRQLKDSALKIKEYVAEMTGDDKLVVM >Solyc03g019760.3.1 pep chromosome:SL3.0:3:62864461:62868804:1 gene:Solyc03g019760.3 transcript:Solyc03g019760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSSSSTTSANDVMMEIEANKPQGNGIVVGGLSPLSETLWKEKRNTEFIGDVSARLAWKDLTVMVTLNNGETQNVLEGLTGYAEPGTFTALMGPSGSGKSTLLDALSGRLASNAFLSGRVLLNGRKANLSFGTAAYVTQDDTLIGTLTVRETISYSARLRLPDRMPWLEKRTLIESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAYEARFTLPSSTSLFFLRGHLIKLEQYGEDQCDSCARMGPNKLRNRLFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFESNEDPLDKTTTAEAIRILVDYYRRSQYSYSANERVEEMSKVKGTVLDSGGSQASFFMQAYTLTKRSFVNMSRDFGYYWLRLVIYLVVTICIGTIYLNVGTGYSSILARGACASFVFGFVTFMSIGGFPSFVEDMKVFQRERMNGHYGVFAFVVSNTLSAMPFLILITFLSGTVCYFMVRLHPGFTHYLFFVICLYASVTVVESLMMVIASVVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPFWRYPMSYLSFHFWALQGQYQNDLMGLEFDNSSPDLPKIPGEFILEQIFQIDLNRSKWIDVSVIFVMIITYRIIFFIMIKINEDVTPWIRGYIARRKMQQKNGNQKQTIAPYGLTQSPSLRAYVGNNGPNSNR >Solyc01g068390.3.1 pep chromosome:SL3.0:1:77389952:77416163:-1 gene:Solyc01g068390.3 transcript:Solyc01g068390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSCAVCAETLEWVAYGACGHKDVCSTCVARLRFICDDRRCCICKTEADVVFVTKALGDYTNMIGDFSVFPFEPKQGKSGSYWYHEDTQAFFDDFDHYKMILAMCRLSCSVCDKMEGPDVDGVKRRARFKNIDQLKGHLFHKHKLHMCSLCLEGRKIFICEQKLYTRAQLNQHIHTGDSEVDGTESERGGFMGHPLCEFCRTPFYGDNELYSHMSTEHYTCHMCQRQHPGQYEYYKNYDDLEIHFRRDHFLCEDGSCLAKKFIVFQSEAELKRHNTLEHGGRMSRSQRSAALQASLNSIPTSFRYRRSSEQVNRRGRGQSFRRDNAESELSMAIQASLETANADGRLHDTSGSRRVVPDQTVTDDADLLVQPFDSLTTDSEPASRYLQAVSQISRNSQLEESSFPPLAAPPVNSQPRPQSDAPMNTMASHLRRKQNKSTKLPNSSPAWPATTGHSPPVIGHQPAWPVISSASGSSSNSRHSKAVVNKPSAPVITRGQAWPAVNSAFGSASGSTQVKTLTAADGPPSSSHLNSVASRSSLAHESSSSSVGSSRSWAHSNRISHSSSAPNLVQSGSFDSSTTDFPPVSAAQSGKLPASGQQAVTNVEDVQTANKSLVERMHIALDFDQDKFTAFKDISAEYRQGLIDAETYLAYAAQFGLSHLVLELARLCPDAERQKALIDTYNANLGGTVPIQNRQSGINRLKDGRSSKNGKGKSIDAGSVTSKDIVADNILSTVRKLQSSHKIPEDDVEVLSRDGYRSAKGKSKLTPNESEEELNSRGKPLKLDARQNDLSAKDESNHRPGNNDGKGKQRKKTSKFHRVRLGDGSVETLLNLNSSNPDLDPNPDQKETSDDQGNPESLPVRGVWRNGGGQKLVAMTSKASNSTLSDESFVTVHISGVLVPSKGDWVGMISPSYSDSSSCPLNALQYQQTGDLSELPLLCHYPVKAQYLSKDPGYLNCKKKECKKHVKGICEVRTCSASLSFHVVNFRTDIEFVLFAGGFATPCILKRSNNNLTFTNPKQPLYGHLSSIDSTATSMRVTWVSGDETPQQLQYGYGKSQTSQVSTFTQKDMCSSILKSPAKDFGWHDPGFIHSAVMTGLNPSTTNYYTYGSDSSGWSERITFKTPPAGGTNEVRFLAYGDMGKAPRDPSAEHYIQPGSLSVVKAMVDEVSSGNVDSIFHIGDISYATGFLVEWDYFLHLITPIASRISYMTAIGNHERDYIGTGSVYGTPDSGGECGVPYETYFQMPTQAKDKPWYSIEQGSVHFTVISTEHDWSQNSEQYEWMKNDMASVDRTRTPWLIFMGHRPMYSSVTGGILQNVDDDFVEAVEPLLLANKVDLALFGHVHNYERTCAVYQKECKAMPTKDASGIDTYDNSNYSAPVHAVIGMAGFNLDQFPSQADEWSLVRKVEFGYVRVHATRNSLTTERTGESEKDDNGAGIFCRVAHLVLPYLEPAGLASVSATCNVLHVVSKAITSTRISDASRNLENYPIPFFNSVDSELYANFIYSPVQTLPTFPTIPWGGGSGRVKPDPFLVRVEGAYGCDCESCDLDSGSNCACVDFSELPTRECGPSCGCGLECGNRLTQKGISVKLKVVKDRRKGWSLCAAEFIPKGKFICEYTGELLTTEEARNRQWLYDKRTKSGHFSPALLVVKEHLPSGNACMRINIDATRIGNIARFINHSCDGGNLSTLIVRNSGALLPRVCFFSSRVILENEELAFSYGDTTVNSTGSQCFCSSACCSGILPAEHT >Solyc12g088170.2.1 pep chromosome:SL3.0:12:64603248:64605324:1 gene:Solyc12g088170.2 transcript:Solyc12g088170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anthocyanin acyltransferase [Source:UniProtKB/TrEMBL;Acc:B5M6H1] MSQITTQNLNGTCIQIEILNEKLIKPSLPTPNHLNSYKLSFFDQIAPNFAVPLLYFYPPVPPENSHLQRVEEVHKQLQNSLSEVLTKFYPLAGRLSEDGTSIECHDQGVIYLEAKVNCQLNEFLDKAYKDSDLVKIFVPPIRIRLAELPNRPMMAIQATMFEHGGLALAVQIVHTTGDGFSGCAITDEWAKVSRMEKGNVRNLQFRSDLVEVFPPRDNILEMIKKGRPRGYEMKIATRIFMFDEIAISKLKENVNKFMSYSSRVEVVTALIWRSLMRVVRLRHGHNRPSMLQFAINLRGRGSPRVVGEDQNFFGNFYLDIPIKYVSSRSNQDPELHEIVTLIRNAKNKILSEIANASSEEIFSILIESLNQIREGYNDDEIDLYPTSSLCKFPLNESDFGWAKPIWVSRVNVPFQMFFLMDSKNGIEARVCLNEEDMMKLEKDVDIVEFSYVPK >Solyc07g008850.3.1 pep chromosome:SL3.0:7:3825467:3832463:-1 gene:Solyc07g008850.3 transcript:Solyc07g008850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRAFNINTARNSSSLVSWIPTGKIQQTVANSVQLTGIGLHSGKLSTVTILPELAGVGRYFKFRSNVIPASTDYVKESALCTTLCKDGRSINTVEHLLSALEATGVDNCSMQIETSDTHDSSVEVPILDGSAREWVDAIEEAGLKAALDRSGNSCEKLAPVLREPVTVWKNDSFIAAFPYSEVKITYGIDFPRAPEIGCQWFSSTCLDKDFFAKELASARTFCIYEQVEQLRKSGVIKGGSAKNAIVCSESRGWLNPPLRFSDEPCRHKVLDLIGDLSLLAHGGNQGLPVAHIIVYKGGHALHSNFAHRLSGIN >Solyc01g095110.3.1.1 pep chromosome:SL3.0:1:86378389:86380674:-1 gene:Solyc01g095110.3 transcript:Solyc01g095110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAAARKFLLHLPALPKTGSISGLRCFSGEIDDTQKPLPQPPISFAVPEIVSLLKTTDHNDWISNSELVEYLHTPPSPTSLLKITRQLGSTEKALQFFEFFKTHSSSSSSNPSSLSFTFQAILEQAMREEKSDVPRKLFQLFSFAKDRKIPLSINAGTLLMRCFGRAKMLEESISVYHELDSDSRNTNVVNLLLDCLFRGRNIDDGFKVLDEMLKRDSDFPPNNSTVDIVLSAMWKSNWVGRRMSVEEIYGLLVRFFEHGVFLDDVWFTKLITKFCRSGKCDKAWDLLHDMMKLGGQVKASSFNALLCGLGREHDFQKMNLLMNEMKEKEVNPDVVTFGIFINYLCKCYRVDEALQVFEKMGGSGTDGVLVKPDLVLYNTLIDGLCKVGKQEEGLKLMEKMRLENVCVPNTITYNCLIDGYCKAGEIERSLELFDQMKKDRVVPNVITMNTLLHGMCKFGRVSSAMRFFAEMQERGLKGNAITYTILITTFCSVNNIDRAMSLFNEMSEDGCLPDARAYYSLIMGLCQARRTDEASCIASKAKEARVDLDIICYNSLIGALCRKNKIEEAQKMLKDMEEAGIKPDCYTYNTLISYFSEKGQFTAASRIMKRMIDDGYLPDVVTYGALIHAYCVAGNLDEAMTIFQNMSSAINVPPNTVIYNILVDTLCKSDKLEAAISLLGDMKDKGVRPNTKTFNALFKGLRERNWVEKALEIMDQMTENACNPDYITMEVLTPWLSDIGETEKLRSFVEGYKVSTSTA >Solyc03g093490.3.1 pep chromosome:SL3.0:3:56260851:56269716:1 gene:Solyc03g093490.3 transcript:Solyc03g093490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTEAYKQTGSYAFSPNARFLAVAVDYRLVIRDVLSLKVVQLFSCLDKITYIEWAPDSEYILCGLYKKPMIQAWSLTQPEWTCKIDEGPAGVAYARWSPDSRHILTTSDFQLRLTVWSLVNTACIHVQWPKHGSKGVSFTKDGKFAAICTRRDCKDYVNLLSCHTWEIMGVFAVDTLDLADVQWSADDSAIVIWDSPLEYKVLIYSPDGRCLAKYQAYESGLGVKSVSWSPCSQFLAVGSYDQMLRVLNHLTWKVFAEFVHPSAVRGPCCAAIFKEVDEELDMSELSLGDDFVQYSSDNASEAHIQVRYNVLEVPINLPSQKPPADKPNPKQGISLMSWSSDSQYICTRNDSMPTVLWIWDINHLELAAILVQKDPIRAAAWDPTFPRLVLCTGSSHIYMWTPSGAYCINVPLPQFAVIDLKWNSDGSCLFLKDKESFCCASPEILQESSDYSSDD >Solyc08g007080.3.1 pep chromosome:SL3.0:8:1636652:1642794:-1 gene:Solyc08g007080.3 transcript:Solyc08g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRRGKRSEAFWPSIVMKKWLNIQPKLNDFSEDEVDTETESEDDVCSLKDERVHEDHWHRSPGKPTDCQPQTSGKISTKYSSRHRRGKSETLRVQYINTKEVRVTIGTWNVAGRLPDEDLEIDEWLCMNEPADIYILGFQEVVPLNAGNVLGAENRRPIPKWETIIRRTLNRKTVEPEAKLKSYSAPPSPVLRTSPAADIIAGVVTTTTALDIMAEVSMDTTCFTEDNMINLRRNLQLKRIYGIDCDSRLDWPERSLDATPQVLSSTFKLRRVSSSRALAFCNYDVGLDGSRLKRGHQSTEDLGSLWTNQQEEPEVLDALSDGSDQLFDEENDLFEEFTGFKDETSLLKHAVYSHPRYIRIVSKQMVGIYVSIWVRRRLRRHINNLQVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDRADQRRNSDVNEIMRRTHFSSVFDTDADQPQTIPSHDQIFWFGDLNYRINMLDAEVRKLVTRKQWDELLNNDELIKELRNGHVFAGWREGAINFAPTYKYEINTDRYVGEIPRDGEKKRSPAWCDRILWSGKGIKQLFYKRAELRLSDHKPVSSMFSVDVEIFDHRKLQRVLNVNTAAVHPEILLDIEE >Solyc06g073480.3.1 pep chromosome:SL3.0:6:45430926:45432815:1 gene:Solyc06g073480.3 transcript:Solyc06g073480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKSKESPSRKLMHTDVQLHVYGVPFSLNRGLLAARSSKLAALLKENDEDDISHLLGDIPTDSETFELVARFCHGFDIILSPDNIIKVLCLAHYLGMSEIHSTNNLTKKAGLYFQNNVLSSWNKTIKALKSAEIILQQAADLSLVDACAEFIIAKVLHNPSLLGEPMRNITTADDDSENDENVYKSNVKRRLFVHDWKSEDLTLLSIALYEPIIRAMVHREVPLEYVASSLFQYLSKWVFLDTKREDDDPSTYTRNSQREIIEAVERLLPQKRGLIPSSLLSKMLQSAIILDAHTECKNGLETRIGKQLDQATVKDLLIPAQGYAKEEQYDTVSVKRILKNFYSNYESTEKSGLVVVAELVDDFLAEVSSDIDLKLNTFLSLAELSQAATAGTNRNSDGIYRAIDIYLDRHRYLTDWEREEVCRVLDCSKMSPEACEHAATNEKLPVRVTVQILFSVQLKLKDNVTKRIKRGPDNRLLKLEEDEEDAKGTSNSEEEMMKAEMEMMGNKVLELEKECHMMRREIQRGSSQHKIQKEKTSMWKEMKRKIGCMTSSHETNCHVKKKKVHPR >Solyc01g094150.3.1 pep chromosome:SL3.0:1:85572849:85583132:1 gene:Solyc01g094150.3 transcript:Solyc01g094150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLKDLAETLSSIFSDTPSSPSSLNHPQENSGDRTMDGVATGNERTAYKLKGYFELAKEEIAKAVRAEEWGLADEAIAHYHNSQKILAEGISTPVPSYIASSEHEKVKSYRQKISKWQSQVSDRLQTLSRRAGGTPSVKQSEPQSQRVAVSQSSSSARKGASLSAPSSGKVSSATRVPSNRISSHKPSQESATADGSDPKLVEMINSVIVDRSPSVKWEDIAGLEKAKQALLEMVILPTKRKDLFTGLRKPARGLLLFGPPGTGKTMLAKAVASESQATFFNVSASSLTSKWVGEGEKLVKTLFMVAISRQPSVIFMDEIDSIMSTRTTNENEASRRLKSEFLVQFDGVTSNSEGLVIVIGATNKPQELDDAVLRRLVKRIYIPLPDASVRRQLLKHRLKGKAFSLPGGDLERLVRDTEGYSGSDLQALCEEAAMMPIRELGANILSVQANQVRGLRYGDFQKAMTVIRPSLQKSKWEELEKWNQEFGAN >Solyc05g045710.2.1 pep chromosome:SL3.0:5:58404538:58405192:-1 gene:Solyc05g045710.2 transcript:Solyc05g045710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTYESSQEELTNDKWAWRKYGQKYIKGSPFPRNYYKCDTSKHCEAKKQIEKSSKDENIFLVSCFGEHNHDPPMSRRYLASCNNNSKFKLLKGINISPKESMFNASSSSSKRVKHSTDVASPIIGTKPPLKIGSKNKMVVAVVHNIRDGEEKVDMNEDIIMGIDQL >Solyc02g088790.3.1 pep chromosome:SL3.0:2:51401944:51405258:-1 gene:Solyc02g088790.3 transcript:Solyc02g088790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNHHICLKDTNTTLLNKGITRQQVFFFIAIIPPKVGLKMAFVNKIGNILRHGVGKNANLELSASNGSLFQTIRSMSSSKLFVGGLSYGTDESSLKETFSQYGEVIEARVILDRETGRSRGFGFISFPSSEEATSAMQAMDGQDLHGRRIKVNYATEKRRDGFGGGYGGEGGNFAGGGGYAASNYGGGGGGFSGGYNSPGGGGYNSAGGGGYGSSSGYNYGGGEGRNFAGSGGYQTNNYGGGFSSGHSSAGGYGSYGGGSSSYGNNSSPVEGGNYGSSTPNINYSGQGSSFSGGYGGGNSGNDFGGAPSNNNNSFANTGFGGRSEASYNGSQEQVSADQGIQSVNEHLGEETSEGNYRDDDDEPKDYANTRS >Solyc12g038400.2.1 pep chromosome:SL3.0:12:50692494:50711298:1 gene:Solyc12g038400.2 transcript:Solyc12g038400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQNYRTLDSVTVADVEALGIPTELAGKLHEELTRIVRNYGSVTPQTWHHISKELLTPNLPFSFHQMMYYGCYKDFGPDPPAWLPDPKTARLTNIGQLLERRGMEFLGSKYDDPISSFSDFQRFSVSDQEVFWKTILEEMNISFSVPPECILRESPSHPGGQWLPGARANPAKNCLSLRKRTLSDVAIIWRSEGNDEAPVEKMTCQELRESVWEVAYALESLGLEKGSAIAIDMPMDVNSVVIYLAIVLAGYVVVSIADSFAPSEISTRLILSKAKAIFTQDFIPRGEKKIPLYSRVVEAHSPMAIVIPNRVSSLSIELRDGDISWPDFLDRVKDSKGLEFVAVEQPIDAFTNILFSSGTTGDPKAIPWTLLTPFKAAADGWCHMDIKNGDVVAWPTNLGWMMGPWLVYAALLNGASIALYNGSPLGSGFAKFVQDAKVTMLGVIPSIVRTWKAKNSPDGYDWSTIRCFGSTGEASSVDEYLWLMGRAEYKPIMEYCGGTEIGGSFVSGSMLQPQSLAAFSTAVMGCSLHILGDDGFPIPSDVPGNGELALGPLMFGASSTLLNADHNEIYFKGMPVLNGKVLRRHGDVFERTSKGYYHAHGRADDTMNLGGIKVSSLEIERICNVVDENILETAAVGVPPAAGGPEKLVIAVVFKDSDNLEQKLVNLLISFNTALQRKLNPLFKVSSIVPLPSLPRTATNKVMRRVLRQQFSQADQGSRL >Solyc01g080350.3.1 pep chromosome:SL3.0:1:79418802:79426729:-1 gene:Solyc01g080350.3 transcript:Solyc01g080350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSFFKASRPKTPSELARTVKDSFNALDSITVAEVKALEKAMEEVEKNIVAMKVMLAGDGEVEPNPDQVAQLTLEVCNEDVIALFIHKLLILGWEARKNLVHCWSIMLKQKVDSTYCCVQYMENHLELLDFLVVCYDNKEIAMHCGGMLRECIKLPSLAKYILESPSFGLFFKFVELPNFDVASDAFSTFKDLLTKHESAVSQYLTNNYSEFFEQYEKLLTSPNYVTRRQSLKLLSEFLLEPPNSLIMKRYIAEVGHLKVMMNLLKDSSKNIQISAFHIFKVFVANPNKPREIKLILAKNHEGLLALLNNLGKGGEDDQFEEEKELIMKEIEKVSRLES >Solyc05g043236.1.1 pep chromosome:SL3.0:5:56643082:56644670:-1 gene:Solyc05g043236.1 transcript:Solyc05g043236.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQFTIVSSLQMDNRDSQYFSYYSSSFPFHKQFLGEEANSENFRECIRQDLYESDNFRMYIYKVQKCSKYYCHDWISCPFTHQGEKAHRRDPRKYNYFPISCPSYKFASCIKGDHCELCHGVFEYWLHPANYRTILCQDGTSCNRPICFFAHTLKELRPEREYNWCYVYRYPLYIQSYPDIMIENGPNSNWMIIPCNPHLQPPPLDQCYGTTTFGLGNYSNTQQIPLKNIPTFELFPPPPPSAPSSTQSHSKFDYKFHNESNFSLFSSNHTKLIEAMKNLELGSTSHAKMNKIHDDNGKRIVDFSQFHGSLTHKYGDDNGVW >Solyc07g049410.3.1 pep chromosome:SL3.0:7:59801256:59808820:-1 gene:Solyc07g049410.3 transcript:Solyc07g049410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGICGHYHKYEEGEPCGVCGHRMPTVSDMGSTIQVSAFPSEILPEFLFLGSYDNASRAELLKSQGISRVLNTVPACQNLYKNSFTYHCLGDEQDLQFDDAIQFLEQCERDRARVLVHCMSGKSRSPAIVIAYLMKSRGWKLAQSYQWVKDRRPYVDLNQGVYHQLEAYEQKMFGSLENQPAFGMPVFSSPVLPSLSFNYPKPSDSVQIPAFNFSGATSIFARPDIGIPPQEFTFGAAHTSDIHLSSNQNPNSNMD >Solyc02g072305.1.1 pep chromosome:SL3.0:2:42159759:42161064:1 gene:Solyc02g072305.1 transcript:Solyc02g072305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIETENRSRKGRRNKKPETHRHPYLSGASPDLVNRDPSDTPSRAEESSMTATVYPGRKGQ >Solyc01g016417.1.1 pep chromosome:SL3.0:1:19556336:19557131:-1 gene:Solyc01g016417.1 transcript:Solyc01g016417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFEWQKSLVIFQNVNLESYSNIGILKIFKKMSKTNAKNRKKLMNPHTTGKKSFALVRNKLEKDKETVSSKDIFVGTRTRKPGRSYKASNEDTTSKIAEMEQIEKQISINGEYVDAFSSVMGPEHPGRLRLYGAGVTKTTLKKKLAIGNQL >Solyc01g014090.1.1 pep chromosome:SL3.0:1:11215849:11216850:1 gene:Solyc01g014090.1 transcript:Solyc01g014090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYSLRQKKRLDFTLKVVSGDMRFIVEDPLTQYLIVKIYDDEKFQSAELIRCAHICLNKLEHGNVKDIWLMLVNDLEIQRDQKNRCQVHLELLYCPNCMNDGDRLHEMLMLKVWNHDTFGKDFMGKCILTLTRVIMEGEYKDSYE >Solyc01g009210.2.1 pep chromosome:SL3.0:1:3174124:3178116:1 gene:Solyc01g009210.2 transcript:Solyc01g009210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTERATRIIFITFLVFFMFAAKQLTFPIVSSSVHSLLLQQETPSEISATCSTSQLAFVH >Solyc04g049140.3.1 pep chromosome:SL3.0:4:39758723:39778894:-1 gene:Solyc04g049140.3 transcript:Solyc04g049140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIENKVKFDSLEETEDDIQVKFETLEETVESMEETVELKLESLEDLKVVEEEGMVFTEVVKPKKKRGRPPNKNKGKLKLEEDTGKETCIKKNTNLGRKKNHVQKKNHVNEKEDEIDSMREEEKIDFDRVSATHRPVRSSRKKAIEKITEFSLQMNEWDEEDLSAHKKRRGQGRKSGVKTEEGNADSGQKIASKKRGIMSLKVNGGDSNSKEEEGNGSKKHRAEEQDKVERSESARQSKDNASNPRARKRKDENGNEILSNMCHQCQRNDKGRVVRCTSCKTKRYCIPCITTWYPGMPEEAFAESCPVCRQNCNCKACLRLDGPIRALKDSQCQISEEEKFEHSKFILQILLPFLRRFNAEQVMEKEIEAKTRGPSVSELVLKKAKCQKNERMYCNNCKTSIFDFHRNCSSCSYDLCLTCCRELRDGHLKGGDEEVIVEFVDKGVDYMHGDVRPGSSSDTRTSRRSKSSKKMVENDSVDDARLAFEMEPGDNGGHLQDNSGGPAGEWKSNEDGSIPCPPQKFGGCGKGNLDLKCLLNKTEGLSELLARAEDIAKRFELEYMPEISQGPCCCRNSVNEDDIQKSKMCKTVSRDGCDDNYLYCPAAKDLQQEDLKHFQCHWLKGEPVIVRNVLETASGLSWEPMVMWRACRQIKNLNHPLLLDVVAINCLDWCEVEVNIHQFFKGYLEGRTDSAGWPQILKLKDWPPSDLFDERLPRHGAEFVRCLPFQEYTNPQNGFLNLAVKLPPNSLKPDMGPKTYIAYGVRQELGRGDSVTKLHCDMSDAVNVLTHTQAINLTPEQLSVMEKMKKKHAEQDKTELQMAEDEKKCKNEASSELIDDYCVHSDRSSRRDEEKTEHSEVQSLSCEPDCGNPSIIPSASCVEPEGDTDVDLVINGAINSTSYSEASGGIRIDNDKNDECKDDPVFGKNEVFEDMEGGALWDIFRRQDVAKLEEYLLKHFKEFRHIYCCPVPQVIHPIHDQTFYLTEDHKRKLKEEYGVEPWTFVQKLGDAIFIPAGCPHQVRNLKSCIKVALDFVSPENLHECIRLTEEFRTLPQNHRAKEDKLEVKKMSICAVRDAVIELEKLSKESTGNNEKKRHTIMDDDREHSGDD >Solyc11g068870.2.1 pep chromosome:SL3.0:11:53744410:53748745:1 gene:Solyc11g068870.2 transcript:Solyc11g068870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSGYGLQLRVPPSQQKKKQPARPPPPKALGFGDGDDDDVEKEISRHASKNKALKDIEEQHKKALEEDPSVFDYDGVYDDMKVKAVQPRAQDREERKPKYIQMLMEKAKQREREHEVIYERKIAKERSKDDHLFADKDKFVTAAYKRKLQEQAKWLEEERLRELREERDDVTKKTDISDFYFSLQKNVAFGGEGKSKKAVNHHEPEAVQTEENPSSSADVHSHMSREIKTQDHEPSVSPPCKERTDGAADMKPPSAGLSEKRAEKLTSDNVPTTTTEPAASDQPKVDHHKRSLDAVAAAKERFLARKKAKEQ >Solyc12g010050.2.1 pep chromosome:SL3.0:12:3196241:3207124:1 gene:Solyc12g010050.2 transcript:Solyc12g010050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGASRVRSMNVADSEARPVLGLAGNKAQRSPGSRKSISKPTRKIVKSKEELEMDDKNGHQPSPSLLPFDVPSILRRQESLYSNFSLSASCSSDASTDSYHSSASTGRIYRMNSTSSRRKQLASKSKRIVSDDISDSSIDGSQSKKRCAWVTPNTDPSYANFHDEEWGVPVHDDKKLFELLVLCGALAELTWPSILCKRHIFREVFADFDPIVVAKLNEKKTLAPGGTACSLLSELKLRGIIENARQMLKVIDEFGSFDKYIWSFVNHKPIVSGFRYPRQVPVKTAKADLISKDLIRRGFRGVGPTVVYSFMQVAGITNDHLISCFRFPDCVESAEGKEKDSNNDETESAQANKANETEICRSIDDLSFSSE >Solyc10g077130.1.1.1 pep chromosome:SL3.0:10:60101374:60101991:1 gene:Solyc10g077130.1 transcript:Solyc10g077130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDNLMTMEPWAFRSAFADSWFSDVYTRETETLTKVLQKSAFPEPEMNPVQTSTGTNSGGSENETTGVSNRRSNKVRVNGKITKRKSRALRRNTTTYITADVDNFREMVQQVTGVRFGGDGQLPVAHVLKPEPQRVVNRLQPGCFLPTLDTSAFLLEQSAEPMPAQPQTAADVVVDGGSCGFDCSSFSAFPTLESFMENSNVV >Solyc02g094270.2.1 pep chromosome:SL3.0:2:55484973:55487767:-1 gene:Solyc02g094270.2 transcript:Solyc02g094270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDINDVVVGSVSERMQNDHRNLLSVKNKKIKKPRFAFQTKSQVDILDDGYRWRKYGQKAVKNNNYPRSYYRCTHEGCNVKKQVQRLSKDETVVVTTYEGMHTHPIQKPNDNFEQILHQMHIFPNPPCHLIN >Solyc10g006790.3.1 pep chromosome:SL3.0:10:1224708:1230652:1 gene:Solyc10g006790.3 transcript:Solyc10g006790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVYQSALYRRRPISISYQWPHQGAEFW >Solyc03g094110.3.1 pep chromosome:SL3.0:3:57288674:57293419:-1 gene:Solyc03g094110.3 transcript:Solyc03g094110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLHQNSFSTKLFSRSFLRPCKSIIPTTTMFTNTKNKVIIDHSHHILYIILLFGLLLISIFLTSTSNTPSFFSLQLSLFNPSKQINERVYYKDDLERALVGASTENKTVIITIVNKAYIEGDKAMLDLFLDGFWHGEGTRELVNHLLIVAMDQTSYERCKFLHHHCYKLETDGVDFGGEKLFMSQDFIKMMWRRTLFLSDVLKRGFNFIFTDTDVLWLRNPFPNLYSNQTIDLQISIDKFNGSQWSEENPINTGFYMIKSNTKTIALLDAWYAGKDKAIGWKEQDVLIKLMKDGLFKHLNLSVRFLDTLHFSGFCDDSKDVNVVATVHANCCRSISAKLADLILVLHDWRRFKNANKTSTLYGWTQHVNCWDSWKN >Solyc05g008340.3.1 pep chromosome:SL3.0:5:2699385:2703384:-1 gene:Solyc05g008340.3 transcript:Solyc05g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEKKWLYALFCAAFVSLLIFLSSISGFSSSYYAFSLQRRFATPVNHGRGHPPAFAYYISGGGGDSDRIFRLLLAVYHPRNRYLLHIGTDGSDEERWKLGMLVKSVPVIQAFGNVDVVGKPDPVTYMGSTNIAAMLRAVSILLKVDDGWDWFVNLSASDYPLITQDDLSHVLSSVSRDVNFIDHTSDLGWKEGQRVKPIVVDPGLYLARKTQIFYATEKRPMPEAFKVFTGSPWVVLSRPFLEFCVFGWDNLPRTLLMYFTNSVLSQEVYFHSVVCNSPGFKNTTVNSDMRYMVWDNPPKMEPLFLNTSDYDLMAQSGAAFARQFNRDEAVLDMIDQNILKRSPNRVTPGAWCIGRKNWWMDPCSRWGDVNVLKPGPQVKYLGDSVQRLLQDLSSESNQCR >Solyc08g069020.1.1.1 pep chromosome:SL3.0:8:58169411:58170673:-1 gene:Solyc08g069020.1 transcript:Solyc08g069020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAREPAPAGKEKRGTSPIHHQRSTTNTSKTTSTVRKQTDPTLTTSSLNKNVPSYLKPTITQAKKSASSGDLHNTHSTKTSNLARRRSFDNNPPLHSSSPKTRISPSPKERIPRSSSSLSTKTSTSQKPLLDRLSKTTTSKDAIGKQRSAGLNSRPVTKRKTTTTTTRKHDSASGSTTKASVTANSPDIAQNVISHEGEQSEPEDHQELTTHETEKETTISDNEMIADSDAGEHENDNENENDSATEDHIEELSNENVNSAELKDINAPDDSATLVENPETKIEEPEEINNEPNEPDLSEKEKEETVINATEENQESKEEDEETNQEKETPIVEETESLSSKQVEVGVEETTEAEPVKNTNVASSKSLHHVVQGKNNESVVSNNVIEETATKLREQRKNKVRALAGAFETVISRQDHK >Solyc02g070780.3.1 pep chromosome:SL3.0:2:40955075:40961681:-1 gene:Solyc02g070780.3 transcript:Solyc02g070780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKAILPANLNPSFPAKIRTLTPKIEGKSPILTFIIHHSLLHFSLSLTQRLTLTQSAMDLSDEVRATHKRTFLKFFEQTELDTELKKEFESMINNNRRRVIMDLADFYNDREGSDLARRLLQNPSEYMQPLIDALTDMIRTHNPKYLKEGEQVLVGFTGPFVSRRVTPRELLSRFIGSMVCVEGIVTKCSLVRPKVVKSVHFCPTTEKFTAREYRDITSNVGLPTGSVYPTRDDNGNLLVTEYGLCTYKDHQTLSMQEVPENSAPGQLPRTVDIVVEDDLVDSCKPGDRVAVVGVYKALPSKSQGSMNGVFRTILIGNNVSLLNKSANAPNYTEKVLKDIKNISVKDDAFDLLANSLAPSIYGHLWIKKAVVLLMLGGIEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGSYDRSLTPTKNIGLPDSLLSRFDLLFIVLDQMDPGVDRQISEHVLRMHRYRSPIDGGSSTLDNDSRYEEQNDKDEMPVYVKYNRMLHGRNSTRSKKDTLTIQFLKTYIHYAKNRIHPELTDEASDNIATAYAELRSASSNAKTGGGTLPITARTLETIIRLSTAHAKLKLRRQVLKSDVEAALQVLHFAIYHQELTEMEEREQERAKELGKKRSTDHDGGNNASARKRRAEHDADDESADHEAGGVGEAMETDDTPAAEISTSPERLQAFSAALGRHRNLQHVEQIPVADVENVVNNGATVPYSKAEIEKLLKMMEEKGQLWVHSDTNVVYFM >Solyc11g056260.1.1.1 pep chromosome:SL3.0:11:45591152:45591394:1 gene:Solyc11g056260.1 transcript:Solyc11g056260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLAVAQPSIEATSADSDSTRPWIKALTHSRRRCATGLTPFLPAWGVAMDAKMKTPQRQLGGTRDSWIVRLSLTSHSLP >Solyc07g056703.1.1 pep chromosome:SL3.0:7:64663183:64672815:-1 gene:Solyc07g056703.1 transcript:Solyc07g056703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSNNNNRPNGIYISSQGYKVAIAQISYNPHNKFAGAGMITALYNPQVNGQQHSGSRLKIHKGSDILQVGWRVDPTLYGDIKTRLFIHFQAGKIHCFNTLCPGFVQVNHDIPLDTPFMNISHRGGEQWGITVHIDRDLSGNWWLLMTPNFTRVGFWPQSLFTDLKSFATNVDWGGVVYSPPGVPEPPMGSSQFPIENTLYDAYCTDVTIVNEKGKTIEVDSTITHTDYPYKVEFKELSHGAKDKYFVIYGGPGLWATCAAIRLARWAACFGPPGLLPVFPECCKLGPAPTGWCELGWKSGRISHYVS >Solyc08g005590.3.1 pep chromosome:SL3.0:8:442018:444501:1 gene:Solyc08g005590.3 transcript:Solyc08g005590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSRVFGNYCRTLMAAAKNSAGKTPPATTTTAAGKGRSKGILKPQPISPALQKFVGTSEISRTDAVKKIWDYIKTNNLQNPANKKEINCDDMLKTIFAGKDKVGFLEIAKLLSNHFQKAS >Solyc09g009800.3.1 pep chromosome:SL3.0:9:3266457:3287360:-1 gene:Solyc09g009800.3 transcript:Solyc09g009800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLVNSALEEICSNGDSGLYLSKLWPKLQPSISSQGLKLCPNVKKVLWFNLIEIPGLKFDSNGVVYTPTDSCIRSFEQSERLDLKIIAPEHMCDAFIGVYDIDASDAKLSDREWRVLRYLATVRGKGVAQNELGKDFKIKGNDMFYIVRKLEKRGLIVRQPTILRIRDTGPVSTNMLYLSRYAKNLGSQQRLEITKGVNSLEDSEITDGEDENSVGVAEEALDVDLCVKDFLPELEAVCDKLENAEGKVLAMADIKPELGYQGTRGHRRWRYILKKLKEAHLVKEDEVIMDGKEVKCLHLLKGFSPKHFETMMKKGKGGNISDLLSELPIEHQIYDMVDAEGYRGLPFNQVCKRLGLSNKQHYNRLFDIVHRFGIHMEPELMNKAKVYRLWTPGNHNPGASPITLNKPVEDPSEISGCSPLGTHREIQENSALARQDVDASVPEGNGGANSQSVSTGTSPEVSDGLVLDEKNGSVPVCLSSSLDSTIKVSSTTSDAELQIVSAAASYVAPEDALALAVPTPPRRRSYPRYPCLTLEATSAKREQRILKFLQEEKFLVKSELYRRLQDLEKEKTTETDRKTLDRCLNKLLQGGHCKLIVAYVPVLTNCNHSRKIQVVLHPSVSSVSAEQIHERFRSFETYIRTQASSQLKKGEPFPQMNDVTRTHQSTKLNQAERAEAMRTNGYVLAKMVRTKIFHIYLWEYVNSLPGREDVLSSFKDGHDLKNPHSTSKLIDLNAAIKAMPLELFLQVVGSTQKFEDTIEKCKKGFRLSDLPLLEYKHLMDIRATGRLSSLIDILRRLKLIRLVCGGHPENTADLPHTTLTHTLELKPYIEEPVCLVGSSHSIHCPDLRPQIRHDFVLSSKKAVEEYWNTLEYCYSASDRKAALHAFPGCSVNEVFHFRSWASIRVMTADQRAELLKRVINDGPQRKLSFKECEEIAKDLNLTLEQVLRVYHDKRQRRLTSFDRASDAGKGEIQPHQGTPTFSPKKRKRPVTRKSSKPTEAGTEFGQPQPLSQIFNEEQSSFPSTSCAQTCSLEGYHLRDDVVAAEESELPEDDGVGRAFLDKIALSRAKPTRKGRFWWTDDVDRQLVIEYARHRASLGAKFNRVDWGKLHNLPAPPDACRRRMALLRTNRQFRKSITRLCNVLSQRYVDYLEKSKDKQLNHEGHQATQCCCLKNTSNFLAQDPWDNFDDADIKLALEDALRYKKISKSETFKDVHPFFDNNSDVNTDEKDVSCGPQSVLPVSCGQYVDNFSENTEDSGTPISSNRIAQKYVNLTIGGIPVSKRLYESAAVANAAELFKLIFLCSSKSPLVPTLLAETLRRYSEHDLFAAFNYLREKKVLIGGHSNCPFVLSQTFLNCIEFSPFPSDTGKRAAKFASWLCEREKELIAEGVDLPTDLQCGDVYHLLALLSSGELSIAPCLPDEGVGEVEDSRTSKRKNDDSEFSDSDRYKKLKTSMASDSELCSRRAKGFPGIRLCLRHATLPRIKIMDLLKDSDNYTCAQSVKDHQATDIGSVSFDSDDQVNELHDSGVPYTAVSPTESPWQAMTTYAQRVCFFGSCVEQNSLVYPEMFRSVYSAIQVAGDQGLCMKDISRILKMQDKKLSEAVIEVLEAFGRVVKVNAYDSIRVVDSLYRSKYFLIPVAAIHEDATSSPCEDSKAKTDEESATHNGENHKDVELQKEIRGNSDKVHKVTILNLPKAVVEPSREKQTINEAKGCRPTEASSPTKNHPEEPYDLRSTGLHLCKPILPWLNGDGTTNERVYKGLVRRVLGIVMQNPGIKEGDIICHMHVLNPQSCRSLLNMMVLDNVIFSRKIPQANPSGAPTILSSLIGSHFKKPKLVSREHFFANPSSTHLL >Solyc02g065140.1.1.1 pep chromosome:SL3.0:2:36862068:36862220:1 gene:Solyc02g065140.1 transcript:Solyc02g065140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLSTCSSLNVNICLASSSSIKVKLGQGVFSSVKINLGPDNYSSQNGRK >Solyc01g006400.3.1 pep chromosome:SL3.0:1:1020863:1022356:-1 gene:Solyc01g006400.3 transcript:Solyc01g006400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTTSIDECCPTFQSILGTSCPCYKYAEDLDNQVLITLEAYCDVSTPCRSPPPKPSCPASDQEKVKTCMFNTTSIDECCPTFNSILGTSCPCYKYAEDLDNQVLITLESYCDVNNPCNGAQVIKLSKDDE >Solyc10g084220.2.1 pep chromosome:SL3.0:10:63977189:63982461:1 gene:Solyc10g084220.2 transcript:Solyc10g084220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDATPFFRGQKTPLSKVQIFLPPSREEIVTTMTVRAKPVGGLAIATIVKNNGHHRDVEEEGESRLEPNVGLEFDSAEDAQEFYNLYATKIGFRIRIGQLYRSRIDGSVVSRRFVCSKEGFQTTSRTGCPAFIRVQRLDSGKWVLANIKKEHNHDLEHPGEICPSRIQRKIVTPTPTPKSKSASIVVSTRTGLRSLDEDGPSGILDFKRLKREKINGETRSEPYKGLEFVSAAEAYEFYHTHAANTGFKVRIGQLFRSKNDGSITSRRFVCSKEGHQHPSRVGCGAFMRIQRQESGRWLVDRFQNEHNHELGAPIDASERVSSKGFKEELSHGLENMDLVESNGGFSLVTRSRESKIGSDWYNELFDYFQARQADDMGFFYAVEMHKGRAMSVLWADSRSRFSCTQFGDAIVFDTTYRRGSYSVPLASFIGVNHHRQPVLLGCALIAEESEESFTWLFQAWLRAMSGRRPISIVADQDWVIQHSIAQVFPGTHHRFSAWQVVAKEQENIGALLSMNPEFKYEYETSIFQSQTANEFEAAWNVLINKYNLRENTWLKDMYRMRKSWVPLYIKGTFFAGIPTDGSLKSYFGTLLTSQAPLSEFLIRYEKSLEQRREEERKEDFNSFNLQAVLHTKDPIEDQCRRLYTITMFKVFQKELLECYSYVGIKINVEGAISRYLVQKCGNGDERHTVAFNASNLNISCSCKMFEFEGVLCRHALKVFQIMNIRELPSRYILHRWTKDAKYGILRDVDSGGASQDHKALMLWSLREEAKNYIEAGTASLERYKLAFEIMQEGRRNLCCFLLLLFHCYELNCISSDEFQDLYMFGSFQKKEKEKMLIYFHCLLLTKLRKCYIALHFISVVIDHCISQLLVSFINSYFLKH >Solyc12g077463.1.1 pep chromosome:SL3.0:12:20705381:20707144:1 gene:Solyc12g077463.1 transcript:Solyc12g077463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKQQNIDEKYLFQVACYLTNPPSSSLTSISVGVTIPVSRGGTMLKIAQGGYPRIAPPRYWDDGMSTLAVLAADSSSTGK >Solyc02g077890.3.1 pep chromosome:SL3.0:2:43284239:43293217:-1 gene:Solyc02g077890.3 transcript:Solyc02g077890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKRPYETSPTPPPPDEKDDDSLKGNQNHDEDADDSGGEDSDGTTCSSGGEKDDIYMMLLAPNFMTSSSFERFITVRLSEIRKESWICLKRMSQQDTSSVTPPYQIQHFKKPTKMHASYGRPEQLWNVCIDFAENALTSLCEWDLRSNKCLLIQLSMSTIFRNNECPACRTHCASRRSLRDDPNYDALIAFLYPDIDKFEEEEFAFHEEDKALNKQIQASIAQTSQRQSEALGRKRSARAAAFTRRSQGNYRNLRGRRNYQGAEHHISDEEEDGNHDVGKDSSSADERSIEVKPKRQKKRAGRPSQASAASEENDAETNQESFGACSGLIRCSEILAWGKGGMRSNNRHGGLGGGIGKVSRNSRVSKLIASLSRSDEYEGKVQQTNTSSYWMFSFLSPSLDARLMLVSFSEEDIPSLQRPYLSCQPTMEVKHLRQYVAQQTSIEVGEIDIVLIKEKNPTDNPSSSDIMAISKPIVGDPSKAEIQTVEEHQTLGEIQETFGLNQRNLILAYQRKAKNGTDHDEVKGDNVILAIQNII >Solyc04g016280.3.1 pep chromosome:SL3.0:4:7067806:7072520:-1 gene:Solyc04g016280.3 transcript:Solyc04g016280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQSEKQALFITSLIIFWYSSNIGVLLLNKLLLSNYGFSFPIFLTMCHMSACAVLSYVSIVFLKIVPFQRIKSRSQFLRISTLSLVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTALFAYIITMKREAWLTYGCLVPVVAGVVIASGGEPNFHLYGFIMCIGATAARAFKSVLQGVLLSSEGEKLNSMNLLLYMSPIAVLVLMPAAIIMEPNVMAVTATLATEHRYLGLLILVNSAMAYGANLLNFLVTKHTSALTLQVLGNAKGAVAVVISILLFRNPVTFTGIAGYTLTVMGVVAYGEAKRRHK >Solyc01g097860.3.1 pep chromosome:SL3.0:1:88430747:88438597:-1 gene:Solyc01g097860.3 transcript:Solyc01g097860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAPFLLESNLKWNPLFYTPNPIQCTRLIHYQKLTPSKFSKTSKLTVKCFSKNHKNVCFNDGNGLEVKNKENPFEIVVKSVMKALKALQKPAVAAVLVGLLLMYDPNSALAASGGRIGGKSFSSSRSSAPSRGYSMRTAEPSFSYSAPYYAPSPFGFSGGGVYVGPAVGFGSSAFLVMMGFAAFIMVSGFLSDRSEGSVLTATGKTSVLKLQCTYSLLCPAIAYDNFGTIDSVCITLVGLLGLGRSLQKDLNRIAEVADTSTPEGLSFVLTETTLALLRHPGYCISAYSSVDVKRSMEEGENRFNQLSIEERGKFDEETLVNVNNIRRKSSTSQRATGFSNEYIVPGTFLTDVHHYHPLLILCGHSLWGYHLCDVWLLTHSAKVTILVAAEGAYKLPTINGSGDLKEALQKIASIPSSRTLAVEILWTPQNENDTLSERQLLEDYPLLRPL >Solyc03g116220.1.1.1 pep chromosome:SL3.0:3:67202473:67202634:-1 gene:Solyc03g116220.1 transcript:Solyc03g116220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSNTSLANTASRRNKASRAKMLILISFILFVIHVGLLKCSSIIYTKNNHYI >Solyc07g019620.2.1 pep chromosome:SL3.0:7:12342465:12342829:1 gene:Solyc07g019620.2 transcript:Solyc07g019620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEHTDDYIIKYHAKGLESDPIEIDFTPPFRRIDMVEELEKIANLNILKDLSSDDTNKYLIDACAKFEIRCALSLTTTRLLD >Solyc11g045125.1.1 pep chromosome:SL3.0:11:31984738:31990850:-1 gene:Solyc11g045125.1 transcript:Solyc11g045125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELKYFLGIELVRSAKGILMHQRKYILELISKVGMTTTRPAGTPIGINVKLTPKLYDDHLSNQQEVLEDPLVDQTMYQKLIGKLLYLNMTRPDISFSTQTLSQFLHQPKKSHIDAALRVIKYLKRQPRQGLLLSSDSNELVSAYCDDAWASCSITRKSVTGYMVKIGKSLVSWKAKKQTTVSRSSVEAEYRSLASRVSELVCLLGMLKEFPLRFDSCRFSLSFREHPN >Solyc05g026325.1.1 pep chromosome:SL3.0:5:40882562:40913681:1 gene:Solyc05g026325.1 transcript:Solyc05g026325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPKKIVSIGSSAPIIIDDEANSVSAIFNDNKELVNSVARLTDHAQFFFKNHQNFKESGMWVRFMVFKDGMWVDFEKNSMDVMVSDFVSGEAIIEMEME >Solyc04g005300.3.1 pep chromosome:SL3.0:4:215432:223255:-1 gene:Solyc04g005300.3 transcript:Solyc04g005300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMWITALKMIRFQAIFSKNVREKLFTHTAQVVALLVWEGLGLGGGPPVGNWSSTSANNKVLLGIGGGHYVPRHTDVIKKDGCWVGQLLSGYSLPMEDPGPSKGKVNAHDIAGTWKHSIRAAYDATKAGFPGGEILAHLDQKSFKSWQKNAIIEFLGAQNIKIGKPGF >Solyc01g099360.3.1 pep chromosome:SL3.0:1:89522024:89533821:1 gene:Solyc01g099360.3 transcript:Solyc01g099360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVMSNRFEANRSNDSVEETQVRVSSRNPSDDSEQARVSMDGKDSRVSNSQTEDSRVLESETEGNQTRVNEIKDEEGGSSVKSGRMKLEQKGKTALVSSKTDARKGKLEPYVSEYDLMLSKFDEFAGNVKCWSVGYGFEMGDMVWGKVKSHPWWPGHIFSEAFATPSVRRSKREGHILVAFYGDSSYGWFDPDELVHFEPTYAEKSMQTNVKNFIKAVEEGVDEVSRRSALGLVCYCRKTYRLRAVSINGFFAVDFSDLERNCTYSASQIKKARESFKPKETRGYVNKLALKPRRKVHADLNLVKKKATALAYRKAVFEEDDPTYAEAFGVVYSKQAQEVAQPFRQPSSRAPLSGRLVHAETLGKVKGPAKSNKMKDQVEKDRYLFKRRDEPVNLKVHQVGPAQAGSSDQSAHLDSSSFAGKDVSPSAADASGSTLIESFKQPSSQVANVEELHVERQAEDGGTDVVRPSDKVKVRKRSGGEASGGSSPSTERKKKKKKVVLGMKTESNHRDAPAAAVSSDNQVMEKVARESIQVPSVSKEELQMDIQQKGDPADSSVPDRVVTDDKVGIRSDNVDIRQLLSDLHAISLDPLYGAQSRNINTIREVFLKFRSLVYRKSVESESSTPISKLPVAAPISDTGPSNNVKQTSNLKPQKNPARPHDPSTKGGRKRGTSDRQEELAAKKKKKINDLRTLAAQRKPSSKTSEVKPGESKEIPAKKLVSTPVKSSKPDSVKRDPAEKVPDPTMLIMKFPSNGALPSISELKARFARFGALDHSATRVFWKSSTCRLVYLYRNHAVQAFRFASASTNLFGNTNVRCSIREVTAEAQDPETTKNDSGGTSAPKDGSADSRSSGKAGQLKSCLKKPPGEEGPTTDGGNGSNRGTPRVKFMLGAEDNINRDRGEQMNDIKNVNNTSSIADGSASSTSNINNYTSQLSMLSLPSTAHYVNAPNDIHLALQAPLRNAPNYNNQVSSATEANFSQQMLALLTKCSDIVTDLTNLLGYFPYNGLQ >Solyc08g077900.3.1 pep chromosome:SL3.0:8:61925910:61929099:1 gene:Solyc08g077900.3 transcript:Solyc08g077900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNNSHCIIFLLVTIIIYPSICYGQEANSLYSRATYYGSPDCYGTPSGACGFGEYGRKIYDGKVSGVSRLYRNGTGCGACYQVRCKVPGHCTDEGTKIVVTDHGEGDHTDFILSVRAYSDMATSGMANHLLAYGVVDVEYRRVPCTYYGYNLMIKVHEHSRFCNYLAIVPIYQSGAFDIEAVEVWQADCKEWKGMRKAYGAVWDMPNPPKGSLTFRVQVSVNGEATKWVQLADVLPDQWKAGIAYDTYLMLD >Solyc02g050335.1.1.1 pep chromosome:SL3.0:2:5687169:5687663:-1 gene:Solyc02g050335.1 transcript:Solyc02g050335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGLRFSLEDLIDMSNSAKCVNGRFHKVRLNHTPSGQIYSNLVSRTDEENAPFKMLDVEKSIRDETNLAAFFACWLCKFVLPNKKVNHVRTSVFKVASLMAHGKKFSLAVIVLESIYRSLREINTSSNLSVENIIFSTHYAYGWIGDKLRTHHRANHSHSSIPL >Solyc05g013830.1.1 pep chromosome:SL3.0:5:7207650:7208426:-1 gene:Solyc05g013830.1 transcript:Solyc05g013830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHLEQIECPICKETVRGYQELMIHVNSHSDTEMEENVVNGSNNLGEPLSRSSESFQHELLLLPTLMEPPPSFSYNTRFIRGNDDDKGVHINQQLLSLSLYDTSPIRVSNEDGDVNTKQQLLSSSPSSSYHLLSVNDNNIRVEKNKLQQMIQSTRKTDAGDLSSVVIGPKETPPKFEGPYKKEVDFPILDKSDPLELEFKPRLICDNESSEVDLSLKL >Solyc05g005380.2.1 pep chromosome:SL3.0:5:298372:299390:1 gene:Solyc05g005380.2 transcript:Solyc05g005380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMGMPAHLNQAITECITREQDQFMPIANVVRIMRRILPSHAKISDGSKQTIQECVSEFISFTTLEANDRCQSEQRKTVTPEDILWAMSKVGFDDYIEPLTLYLNQYREFDGGESESLRGETLLVKQQMAHDHGYFVSPPPMDNNDMQGDASNGSTSQCAVASVDSDIESPAEEVKKDSSIKWTNRVATSLIYITLYYTAQLLAR >Solyc08g066290.3.1 pep chromosome:SL3.0:8:54851331:54855618:1 gene:Solyc08g066290.3 transcript:Solyc08g066290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHGSIRSDRNIAPLPSGGMTGNLLKKKQLNRSFSSRNKSRELGEGINIPTICQHKEDFSSHCSQSI >Solyc03g114720.3.1 pep chromosome:SL3.0:3:66108773:66115562:1 gene:Solyc03g114720.3 transcript:Solyc03g114720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQPRPFGTEGRKTTHDFLSLYSPVQQDPRPPQGGYLKTHDFLQPLEQAEKTLREEETNVEVATVEKPPPPVAATPSGEHILPGGIGTFSISYLHQRIPKPEASLFSVAQASSTDRNDENSNCSSFTGSGFTLWDESAVKKGKTGKENSGGDRHVLREGGVNTGGVQPTTSLEWQSQSSSNHKHNTTALSSLSSAHQSSPLKSQSFLHMITSAKSAQDDDDDDEDFVIKKEPQSHLRGSLSVKVDGKGNDQKPSTPRSKHSATEQRRRSKINDRFQMLRGIIPNSDQKRDKASFLLEVIEYIHFLQEKVHKYEESYQGWDNEPPKLPLSKCHRTTHGVSNLPQRIINASSASLTYAGKFDESIMGISSANPINVQKLEPNISSTGLKDKGQQPGLTNKPTTVPMHPNTFSFSGTSSTAALYSSKLIADTDKLESKSHSQFSLSRSHMTDYAIPNANPERLELPIESGTISISSAYSQGLLNTLTQALHSSGVDLTQANISVQIDLGKRANGRVNSSASTVKGDNVSTSNQPIPKSRVTTTREEPDHAFKRRKTS >Solyc11g050824.1.1 pep chromosome:SL3.0:11:16695643:16696342:1 gene:Solyc11g050824.1 transcript:Solyc11g050824.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHHQYHLPILKQMMLYNFLGQMMTLKTHQNQLTTRERKRLNLI >Solyc03g058935.1.1 pep chromosome:SL3.0:3:29116119:29118387:-1 gene:Solyc03g058935.1 transcript:Solyc03g058935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGRGFIKEKEEESKHVSSDAPESMIQFVEFKTEKHVPEYLRMLIDEWELCLPTVFDMELAKYIHDTNIVMTTNPPGVIDFPKVASTVITLLNYYRNNSFLVWVILTCLILLNWNLYNFAYNW >Solyc09g010360.3.1 pep chromosome:SL3.0:9:3740237:3743623:-1 gene:Solyc09g010360.3 transcript:Solyc09g010360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4CR63] MEAVRELYNRAKPFLAVIFLQFGLAGMDILTKVALNEGMSNYVFVVYRHAVATLVIAPFAIILDKKVRPKMTPSIFAKLVLLSLLEPVIDQNLYSIGLKYTTATFAAAMCNILPAITFIMAWIFRLEKVKLTSIRSQAKIVGTVATVAGAMIMTLVRGPIVELFWTTGNAGHNSQSGGLNLSHAIKGSIMITIGCFSWAAFMILQAITLRTYPAELSLTAWICLLGTTEGAIVAMVMERGKPAVWAINWDSKFLAAVYSGIFCSGLAYYIQGVIMKDRGPVFVTAFNPLSMVIVAVLSTIILREQLNLGRVLGAVVIVVGLYIVLWGKSKDHKSPSIDEQAIPTHETKIDKEPFSQTVTHINSSKGTTATEDEGI >Solyc07g052870.2.1 pep chromosome:SL3.0:7:61427209:61428691:1 gene:Solyc07g052870.2 transcript:Solyc07g052870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VSCRLFAVGFDWAIAFAETYGRREQVAVEEVVKEDIVEGDQKDFRSKYPRLAASFESMAGMYPNGTSFLKEKMSLIATDKAKELEEKWKKLEDDEAALMVQRLEFIAEHYKLVVDAMKGSSLNVKCISCK >Solyc02g089685.1.1 pep chromosome:SL3.0:2:52074615:52075202:1 gene:Solyc02g089685.1 transcript:Solyc02g089685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIFLPHTPKGTTYGSSLPKSAHYLQEWNISGKTGTHIDGITRHGYVTEDIFCMVELGSSGFTVVRYGTYGRFVLRFYSFEFQFWIFQECTNYRILVPIQLRFILFVLLKLAFSIFN >Solyc11g071770.1.1.1 pep chromosome:SL3.0:11:55440315:55443389:-1 gene:Solyc11g071770.1 transcript:Solyc11g071770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFEGEKIRNICILAHVDHGKTTLADHLIASSGGGVLHPKQAGKLRFMDYLDEEQRRAITMKSSSIGLKYKEHSINLIDSPGHMDFCSEVSTAARLSDGALVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLIVELRLTPLEAYTRLQRIVHEVNSIVSAYKSEKYLSDVDSLLSAPAGLVEDENPDLELLEEDEEDTFQPQKGNVAFVCALDGWGFSISDFAEFYASKLGASSAAMQKALWGPRYYNAKTKMIVGKKGISSGSKARPMFVQFVLEPLWQVYQAAVEEDGDRGMLEKVIKSFNLSIPPRELQNKDPKFVLQSVMSRWLPLSDTILSMAVKHMPDPVSAQSFRISRLLPKRTLLDMGANPDVLSEAELVRKSVESCDSSPDAPCVVFVSKMFAIPSKMLPRGEIMDDSGNGDSDECFLAFARIFSGVLHAGQKVFVLTALYDPLKEESMQKHVQEAELQSLYLMMGQGLKPVASAKAGNVIAIRGLAQHILKSATLSSTLNCWPLSSMTFQVSPMLKVAIEPSDPADMGALIKGLRLLNRADPFVEVSISARGEHVLAAAGEVHLERCIKDLKERFAKINLEVSAPLVSFKETIEGDSANPLENLKLLSRSSDYLEKETPNGRCVVRVRVMKLPTALTKLLDESSELLEDIIGGKSLQACRSSETLRGNVVEDENPIEAFKKRLIDAVESDFSTGFADTEKDRIDKCKKTWQKFLKRIWALGPRQVGPNILLTPDVKGKSADVSILIKGSPYVSKKLGFTDDNDDSSASPESSTSLDPTLLREAENLESSILSGFQLATASGPLCDEPMWGLAFVIEASISPLATQPNDSETGPIPQPEQYGLLPGQVMTVVKDACRAAVLQSKPRLVEAMYFCELNTPHDQLGNTYTVLNRRRAHVVNEEMQEGSSLFTVHAYVPVAESFGFADELRRKTSGAASALLVLSHWEALPEDPFFVPRTEEEKEEFGDGASVPQSIARKLMDSVRRKKGLPVEEKVVQFATKQRTLARKV >Solyc01g006690.3.1 pep chromosome:SL3.0:1:1266897:1270429:-1 gene:Solyc01g006690.3 transcript:Solyc01g006690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASATTSSSTNKIQEYDEVTSYKNKNCEVFVNRQEIKDAIAKAIELRALHAALLQQQQGNSPMKKFPSSASPTLSLHSHHFSSQDYPIFTPSYEDEPLPGYKQLHLDHNPNYAEVWDEYGVVNGNNESVLSDYRKANSTFKNGFTNSLINLEPHMCPADDQRSVTSSCTDHVTTRKASPIARYIKSRRNSLGDLNSISSCNKCKPATISTEVDGGGSSTSKSGKNSNVIVPLTDSHVSVQSQPKSKGGMNLSWLFPKLKKKNKVEISPNRTDQSDEVLKDHHIGMVSIETLKKELMEANESRDAALIEVSGMKSSLGELKQKLEYLETYCEELKKALRQAIQATESPVSSNKLRTFPNGKSIDGDGENVIPVNDEVMLEGFLQMVSESRLSVKQFSKTLIGQIEATDNLLTDNLNLLLQPYKLSLNSKYSKAVLYHIESIINQSLFQDFENCVFQKNGSAKHLDPQQDRHAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIIATLNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFNPSLGILRVEENRTFDMHYMEDVFADRQRSQGPSKVKVMVMPGFYVHDRVLRCKVICRYKNVS >Solyc08g076330.2.1.1 pep chromosome:SL3.0:8:60414236:60414436:-1 gene:Solyc08g076330.2 transcript:Solyc08g076330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYIVMEFQSLDTGFKFYLDYAHRNSFSVRKNRISRSRKDKSIIGQEFVCSKEGFRLKKCNQACNCD >Solyc01g112200.3.1.1 pep chromosome:SL3.0:1:98171242:98173533:-1 gene:Solyc01g112200.3 transcript:Solyc01g112200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRWNSNWCSRLRELLFHGKSQDVLLYYRELNKTRVELMDHSVFPILFKACLNLSATHGHGNSIHASLVKQGFLAFTSVGNSMMDFYAKSGDLGSALVVFNCMDNKDSVSWNVIIHGHLLLLASPRGLCLFTQAWAAGFEPNISTFVLVIQACRNLAAFEAGRTIHASTIRAGYSSITSIHNSLLSFYAQFEMHLAHNLFDEMTERDVISWSVMIATYAQSEDESVLALEFFQRMIDFGEPPDGQSVVSVLKACTKLKAIRLGESIHGFVISRGMGYDLFVHNSLIDLYSKCNDIDSSLRVFGGIPEKNVVSWNSLLSGLVQNQMHPEALTLFDSMHKAGIESDEVTLVNLLQLCKFFLDPYQCKLIHSRILRQGFELNELVTNSLIDAYASCNLITYAWSQFNNMITRDAVTWSTMIAGFTHCGMPDEAIAVFREMSHTSERPNAVTMLNLLEACSLSADVKRSRWAHGIAIRGGLESNVVVGTAILDMYSKCGSIGSSRKVFDRIPYKNVVTWSAIIAAYGMIGLPNEALALFHEMKVCGLRPNQVTALSLLSACSHGGLVEEGVSLFEELIWDHEVELVIEHYSCLVDLLARAGKVDSAMNLIGKLGVGVKPGASAWGALLSACRNYENYEFGAIALPQVVELEASSSAGYLLASNMYASGCSWVDATKMRMLAKEGGVKVIAGYSLVYVNGKACRFLAGENHHRLSDELQFAIQQLHSSMKMDINFCGVASCNLVIGTSLFYSLSAN >Solyc11g013220.2.1 pep chromosome:SL3.0:11:6128039:6132071:1 gene:Solyc11g013220.2 transcript:Solyc11g013220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSRGNPSIKHTKTSKKKEEKPHSWAVVRSLFTCKHLQVITRPDHSQQQVILKEEKNSKVQHEGENNKCKKLKCSGSICSNTKVMHRSEPSPSPPKGRKLNGTSSFSSASSSSLLSTTSPATSSSIRGMPFRKLSGCYECRMVVDPIARDPSLRSNICGECGHIFMKLENLELHQAVRHAVSELGPEDTSRNIVEIIFQSSWLNKKTPVCKIDRILKIHNTPTTISRFEEYREAIKVKATNLVKKHPRCIADGNELLRFHCTTFMCSLGLNGSSNLCTSIPNCNVCNLIKNGFKLSTTTQHGTKKGILTTATSGKAHDNARVVEEEMVEEEEKRAMLVCRVIAGRVKKNLDGNNNNNNNSNNLEEYDSIGGAAGLYSNLDELYVFNPKAILPCFVVIYKSF >Solyc12g038410.2.1 pep chromosome:SL3.0:12:50987992:50990246:1 gene:Solyc12g038410.2 transcript:Solyc12g038410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRCSNCFITLLNFITLVAAAMLILMSMSFGNNTNQTTCQKVVQQPMMILGVVLLIISLMGIVGAACHVSFLLWIYLFMLFCIITGMIIYSLFNLFFTLSHLENKGHEKSDHEKSDWEDKFQEYSQWMKNVVPDEKEWDKIKSCMIDAKMCKYIPADRTEDYYKTKLLKIQSGCCIPPAYCHFQFQNASTWIVPKGGAVDGDADCKAWSNEPNLMCYNCNACKKSTFDSIKESSAHTSLISLIIFIILSIIYSIGCCALTNNSYRERAYGYNGYRPYGPGPYGYP >Solyc10g080550.2.1 pep chromosome:SL3.0:10:61920378:61923030:-1 gene:Solyc10g080550.2 transcript:Solyc10g080550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAKYEAINGGTLFTDMKTQFSLLKTKRTVGFAYGFLFIFIVVTTFLAFTPSQNSSSPWFSNIFSLTKQEDVVTTTSYRSQFSSVFSNLFPKPSFEQPHLDKKGLIVEKSENYTQNDDKGGVLENPFNSSSVLSDKVGILMGNESVNQSFDDKDGIFKANQSSTEGSKSVAAVNQTKSRDFDDKVGILKGNESKKTAPKLSSKGKSANVEKKRDVDLVKSLLNCDFFDGNWVKDESYPLYKPGSCSLIDEQFNCFLNDRLDNGYLKMKWKPNACSLPRLNATHMLELLRGKRLVFVGDSLNRNMWESLICILRNSVKNKKKVYEESGRHHFRTEAFYSFIFEEYKCRVEFFVSPFLVQQWEVADKKGGKKETLRLDLIGESADKYKDADVLVFNTGHWWTHEKTSLGKDYYQEGNHVYNELNVLEAFRRALTTWGRWIDAHINPKKTFVLFRGYSASHFSGGQWNSGGACDSETEPIKNDTYLTPYPSKMKVLEDVFKGMKTQVSYLNITRMTDYRKDGHPSIYRKPNLTLKERRSQWRYQDCSHWCLPGVPDAWNELLYAELLVRLHQKHQSKKS >Solyc10g050773.1.1 pep chromosome:SL3.0:10:50570283:50572552:1 gene:Solyc10g050773.1 transcript:Solyc10g050773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGKSCPCHIPCIMIDKKLSTQNSGSVVLGEKDEDSENLDYYGVLADAIELQFVMDKRWIKSLVFFVDDTCNKDWHVVRKIQSHDSYKIVEQMDDDIVELGSSKGKEHEQGLKISTVSTSQGIRRTHKNFMTFEKENVQISTSSPTSTNQFLSLKIFSRFVLFDMFGFDVNVGKDGSTLRYGSRRSSLPTVELVENFCGPQTRSHVFGFGGGVNAKDLKGGTSSKSELLYVLRSTRKDIKSLNGENNSLNDRLSTHRR >Solyc04g082360.2.1.1 pep chromosome:SL3.0:4:66138319:66144352:1 gene:Solyc04g082360.2 transcript:Solyc04g082360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLHPFSRVQIQLPPPTGLRDEESKRPYVTINHVVLSASPSVTTDYVLIICYGRPTYRLALWRPGDLCWTKIPTLEETYAYYHMIQYFNGQLYINKGRWLVSAIDIPEPSNPQPIVKQREVVQMVGLSNHTNQSAHFLIEVSGELLFVQQLMIVKDVANVSKTYKFRLFKIDAIKGEAEEIKSLGDKAIYVGCNASIAIDCSKFVGVKPNHIYFTYDWTRVYTEGDDSREIGAYNVEDETIEPLNQGISGFNIVSPAIWVTPSF >Solyc09g020120.1.1.1 pep chromosome:SL3.0:9:18469667:18470104:1 gene:Solyc09g020120.1 transcript:Solyc09g020120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTTYNVEEHRWLNNLYNIRHMWSRAFNNDVFSAGLKATSRSESTDNVLNGVGYSSTYLYIFVTNYKKNIVTKWQMNEEHEYFNCKQGKLTLAVKYSPILAQASTIYTHKIYNIFEKEFLKGARACFIETQICYDDEVSKSKNA >Solyc02g086400.2.1 pep chromosome:SL3.0:2:49687055:49693841:-1 gene:Solyc02g086400.2 transcript:Solyc02g086400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAVKRGVSRFSRLKVILPVRAIAVSTFITFFSLKLLLENLDKNIVIEVSSSSVAIPFPAPLCRYTCELRDIPELHWISFHAAKETDWTVHCQERDWIFAEYFEVLLKSVIPESGFLSQIESSSRSLAYRTVGVNWKFRSLRQPLQSNVLPTEDNLLDSSFLLPDQQSTVPFRKCLELDDSSNVMHKRRKQFVQSCSLHKRLEMDHQKNLKNYLIPRAMISSSVDADSYKWIDARNRVYKKSFSCPAILPQVSLQEKQQEIKILRQEEVYDVPLMPETRTVRRLHHSAEAICSELPQQSSHQCDQSSTPSCGDSVFSPVRICTNKKSRFLGFLDKMEGPPSIDYKWYWNRESTIDKTCLDGAKVLQLVDKKFRFDHVHRVQKRIWVVACVLDQEELSIRIGINLLTWSLFLALFAGRHLKNPHCLENENPQTLRTPLHLMLYLQRMIYWITNSVLQLDPVINQTPVRFWIRGVLNNCSWQINRGYLILGSLLNFIRFKCDACEKESIYVELFFAQKPDTIILWISLNRLLKNMVLACHLLVETVSSAPYPEFAIVKSNSEHEDLILIHKLTTSVTLLADQLLTYILKPFTTLYCLFSSTFIFLPLNDICLSTCGKQLLTSTSSQVLSGQKWTTTYLDFEQDSVRLYKPIQVPCHVNVFATDSLLMQMDHKQYPVSESGLEKTRFAGISYFLIYESGATLMLSPPSPPLHMINKKNRELKPKVI >Solyc12g049390.2.1 pep chromosome:SL3.0:12:61885498:61892798:1 gene:Solyc12g049390.2 transcript:Solyc12g049390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFGVSASAIVVLCLCLIHSIQASQHDYSADKFVSKGNVFVVHGGSEGIYSSNPNINQSSTASADGQSFIRFEKIIFRRPEEFSNFSSGSIHAVVFEVDDRETIGGSAYGGQRAVCCTADLAKLGVCTQGEIIHRPSTNNPGWPKVFGASFGIDELEAKLQPRTIHITKTGMYNVYFMHCDHNLKEVVVEGKTIWKNPTGYLPGRMAPLMNFYGYMSLMFVLLGIFWFSQYARFWREVLALQNCITLVITLGMFEMALWYFDYAEFNETGVRPTGITVWAVTFGAVKRTVARLIILMVSMGYGVVRPTLGGLTSKVLLLGGTFFLASEVLELVENVGTVSDFSGKARLFFVLPVAILDAFFILWIFTSLSATLNKLQARRLLAKLDIYRRFTNALAVAVIVSVGWVCYELYFKSSDVYNERWQKAWIIPAFWQVLSFSLLCVICALWAPSQNSMRYAYSDDSEEFDKDITLTLIKPSPLPSKDVRIPFEVRSTPGGDGTSNGGDVEEDKTE >Solyc02g068860.1.1 pep chromosome:SL3.0:2:39322312:39323050:1 gene:Solyc02g068860.1 transcript:Solyc02g068860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTASICLGWFLEYVNLEILIRSTTVWDASMVLIKYLDAGTINITARGYYFLSILYNHGNFS >Solyc09g089610.3.1 pep chromosome:SL3.0:9:69831494:69835890:1 gene:Solyc09g089610.3 transcript:Solyc09g089610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:UniProtKB/TrEMBL;Acc:Q5QG21] MMKKVVSWLLFLSIVASLWVVDGYIECPCDDSDAFFSMETMLFVQKAGDLGIAVAYFSIPIEIIYFVSCSSFPFKWVLFQFGAFIVLCGLTHFLTFLTHFGKYTFHLILALIVCKLLTALVSMLTAITLMNLIPLLLKAKAREFMLRRKNRELDREVEKIKQLEELGLHVRMLTNEIRKSIDRHTILYTTLVGLSKLLSLQNCVIWMPNENRTEMKLTHDTTRENVSSVYNVPIPISDREVKEIKGSDDVKILGADSRLAAASSRGSCEPESVAAIRIPMLTVSNFRGETREIVSQCYAILVLVQPCGHGRFWLNQEVEIVRAAADQVAVALSHAAVVEESEYIKDRLMEQNQALQKAREEALRASQARSSFQTVMSHRLRRPMHSILGLLSMLQEQKLRDEQQLLVHSIIKSSNVVSTLMDDVIVTSTKENVKFPLEMKHFQLHSLIREAACTAKSLCMYKGYNITIEVEKSFPNKVMGDERRFFQVLLHIIGNLLNGIHGGHLTFKVLSASENDVSWKTPRSNSSNDIVYIKFEICTKFNRSQSEITPAPPTYDTEEIEESLSFAVCRKLVHLMQGDIFIIRNLADFDQGMAVIVGFQRQPLIPLGMSEYVESSNPTYPHPVLRGVEVLLADYDDSNRAVTKKMLEKLGCIVTLVSSGYECLGAVGPVVSSLQIILLDLHLPDLDGFEVTMRLRKHRRQTWPLIIGLAAITDEDIRKCLKIGMNGIICKPLLLSGLADELQKVLLHANRGMP >Solyc01g015250.1.1.1 pep chromosome:SL3.0:1:18153281:18153661:-1 gene:Solyc01g015250.1 transcript:Solyc01g015250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQLDCTRIKTKPAQNSDNRGSVESLDRSIIHECYVALSPSEQGQPDIAGRIEKQTDLLYKCTGEEDVNTEKRNTSSFWCILTEKASFGDIVAALVNSPSHEARPDIGGVAQARCRCFWTRFVFC >Solyc03g061590.3.1 pep chromosome:SL3.0:3:32668903:32669544:1 gene:Solyc03g061590.3 transcript:Solyc03g061590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLLTESVQNSLGHFMYHNAIFMCERLCAEFPSETNTQLLAGCYLHNQQAYAAYHLLKGTSMAQSRYLFALSCFHMGLLTEAETALCPPNEPTAEVDS >Solyc06g059900.3.1.1 pep chromosome:SL3.0:6:37950788:37952222:-1 gene:Solyc06g059900.3 transcript:Solyc06g059900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PRVFQKPLHPRVPPPRSLLPLSPSRVDERIRNGNLPPCPRRTATQHARRRELVLGDVGSSHVVCRGREFDLDPPHMPSIRWRFWHQKGIFPQIRRGFQISNLKWVEFVLPASNRSPSVFYINPHLSSKRGWIFWLGKIFQEEITFWFFLSVVEEQKEIQGELFSKSLVCSVVSEKKRRNENFLWLFWFCFRRVQIPKVRILGIGNLGWNFFYLRWLLRKNVEINTGS >Solyc10g037870.1.1.1 pep chromosome:SL3.0:10:17889204:17889353:-1 gene:Solyc10g037870.1 transcript:Solyc10g037870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSKGDTFTLHSLICRHAKVSLMPNKQVANEVLSTRKLEHQPEMIYYS >Solyc06g048720.1.1.1 pep chromosome:SL3.0:6:31633582:31633926:1 gene:Solyc06g048720.1 transcript:Solyc06g048720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFSTSILIFVFLSAAFCSVPATPSPAKILNGVVSNAITKLMKWVWSLKANTKTVISGRPMMKFESGYSVETVFDGSKLGIEPYSVEIMSGWWLDQPMDTLDMLTENCGRQE >Solyc02g091733.1.1 pep chromosome:SL3.0:2:53615156:53615579:1 gene:Solyc02g091733.1 transcript:Solyc02g091733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQYTTGGVGEIVKLRFLITARYNPNRYQDEDDTSDMEANFDDILREEKRSASNLTLSTLSSRGRHKYELNPRDKSSNSRLLQV >Solyc06g030637.1.1 pep chromosome:SL3.0:6:20352110:20357250:1 gene:Solyc06g030637.1 transcript:Solyc06g030637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKPNPPSCLGDTTTKRKNRTVSQRTTSSSSGVSKNSSSGVSKEATSKKFCYKTLGYPPDSKSNRKVQGSESGEYGYTSVPQAYFSYALNTNISEWGRKVDESQIDNGGNYTNTSATQHAVSNLDMMSKGSMKQLDTPSGNTTQVTLVGNYDFSANKVVTNIFCLPAFQHNLLHNSMYEFPPLVWNIGRSMT >Solyc04g082820.3.1 pep chromosome:SL3.0:4:66433610:66434401:-1 gene:Solyc04g082820.3 transcript:Solyc04g082820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGGLSTTGTLAGASSSSSKGSRSNTALAKEAAIKTTGPDAIKGPTTDPPTTCPCPPDKNIEIPLEAGGAGGGSTVPERLSISKRPWSVTTLAAG >Solyc08g076850.3.1 pep chromosome:SL3.0:8:60867619:60869733:1 gene:Solyc08g076850.3 transcript:Solyc08g076850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNNVIGCINFVAMLLSIPVIGAGIWLAMEPDNSCVKILQWPVIILGVLILVVALAGFIGGFWRIPALLIFYLIAMLILIILLACLVVFIYMVTIRGSGHMEPSRTYLEYHLEDYSGWLRRRVQSSFKWDRIRTCLSSTSMCAQLNQSYKMAQDFFNAPLSPLQSGCCKPPTQCGYTFVNPTYWISPINNAADMDCLNWNNDQTQLCYSCDSCKAGLLANLKKEWRRADIILLITLVGLIWVYLIGCCAFRNAKTEEIFRKYKQGYADN >Solyc01g006993.1.1 pep chromosome:SL3.0:1:1558632:1559680:-1 gene:Solyc01g006993.1 transcript:Solyc01g006993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIWKSNVELVSTCPRCGSTNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPIGGGCRKSRRGKSSSSTIHQHGLISRNLGHGVSLNHTNIDHHNQSNSTSSLDHHHGPTIDLALVYSNFLNSSGNSKPSQSENHQNLELSDDLLLPNQEGVLVAPSFEFSSMIDHMEFMTSDLGRESRFIGDGVYFSGIHDEKQNIINHSDIHDDRYRNMNNVNIINHDQLGNNYMELPPLIFPNDILNTSHKSSANDGNTY >Solyc12g070130.2.1 pep chromosome:SL3.0:12:29627652:29628909:-1 gene:Solyc12g070130.2 transcript:Solyc12g070130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASSEYGAFCSGNGYFSEPHVIDAMMYEDSLSWWANHGVSAPLLQQLAYKLLIQPVSSSCCERNWNTYSLIHNIKRNKLATSRAEDLVFVHYNLRLLSRKKDKYINGPSKYWDVGGDRFDIDETTNDLTELSIDDPQIDGVIFEEEFEDLEEVEEDVEEIANLIK >Solyc09g091290.1.1.1 pep chromosome:SL3.0:9:71060335:71060781:1 gene:Solyc09g091290.1 transcript:Solyc09g091290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSFVNIFFLLVLLIAPLDLSFAKKCVFSQKYEVHVINKLPANSPKLRLHCASKDTEIGDQILPINGDLNWSFCESFLDTTLYFCHFWWGPKDKSFDVFDDPTYCVKNGKNPNVLKYCKWEVREDGFYLEQYNARTSTYYMEKLEQW >Solyc06g069460.2.1 pep chromosome:SL3.0:6:43325639:43327494:-1 gene:Solyc06g069460.2 transcript:Solyc06g069460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRKQETGSGVDTNNSKTTSTTTATTIPSSSSSRQSSSWGGGFKNPRIVRVSRSFGGKDRHSKVCTVKGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVVDWLIDATKDEIDKLPPLQIPPPSLSHFFSTKDHHNLDFVDTGLFGKDRWIATTNDQESSNHLIFQSSNLGMLNTFSNSWEPNSNLSLGPFGNYQDQYQQQQNMPLIPSSSHHQQQQLYFCPTSSSTTTLSSLVPPYNSHFHPNSIAPTLQLTTSPVKSSFSLQDHHQHNHKEVVRTMMIRSSFRS >Solyc06g032745.1.1 pep chromosome:SL3.0:6:22778149:22778434:-1 gene:Solyc06g032745.1 transcript:Solyc06g032745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIDYRQLNRVTVQKKYSLPQIYDHFCQLQCTSDFLRLSKYKYQVMSFGFTNASATFLSLMNGGVHAILD >Solyc10g005320.3.1 pep chromosome:SL3.0:10:233035:235325:1 gene:Solyc10g005320.3 transcript:Solyc10g005320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:K4CX65] MACNINVESILGQGIFATTSSKKLQAFPSHHTYKANVISCVAIGPTPIPQLPWKLVFHEKERQSLLSNEKFGIYGGKFVPETLISPLTKLDYEFNSALRDPQFQMNLQVALKDYVGRETPLYFAQRLTDYYKSLNKGIGPDIYLKREDLNHGGAHKINNAIAQAMLAKRMGCKNVVASTGAGQHGVATAAACAKLSLECTIFMGSLDMERQPSNVLLMNHLGAKVKCVEGSFKDAMSEGIRNWVNNLETSYFLAGAAIGPHPCPTMVREFQSIIGKETRKQAMDKWGGKPHVLVACVGSGSNALGLFHEFIQDVDVRLIGVEAGGIGLDSGKHSATMARGEVGVYHGAMSYLLQDEEGQIIGPHSIGVGLEYPGVSPELSYLKDIGRAEFSTVTDEEAIKAYKRLCILEGIFPALESCHALAFLDKLCSTLKDGEKVIVNLSGRGDKDAEAVFNHTPKHK >Solyc08g077310.3.1 pep chromosome:SL3.0:8:61328186:61340653:1 gene:Solyc08g077310.3 transcript:Solyc08g077310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPGRYGPHQGWENNSALEGYRGVHEPDFRAGGSFDDRRFLDDRFSRDGVYPRGAYHRDILDGEHYPHPPAAVGHWPQTRRRSYEEVYPVERDSRRHEKPPARYVGREHDDPYDDYDYKHRMAHPNREDSRERDYEYSRYSYDSDYERGSRRDDNWRRRESHERERDKESSRERDPSPYRRHERSRSRSRGHDDRLRSRSPRSRSHSRSHREDSYDDGRYDRSERRRDRDDKRYHDNYSVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVDAAQAMMDKLGDEGLVVDGRKLFFEYSSKPTGGPGGPGGLDNASRSNHGHHRSMTVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPPADMASSNSSSLGRRGEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFYSVEEASKALEATNGTTLEKNGQLLRVAYAKSILGPGSGTSQASSLAAAAIEAATFSQQYDAVGWAPKEYNPDDKLSTGGQEHSGEVAGQNSAPQSGFVWDEASGYYYDATSGFYYDGNTGLYYDGNNGIWYTYDQKTQQYLPCTNQNENKPAAGQTETAKSSDGSNTKKVIISAPASTIAGEKAASLPDAIQAAASAAIAAEKKEKEKAKEIKLASKSSILANKKKMSNVLSMWKQRSHEGQAPRVALEDSQTVGEDRSNSVGPVVKTKLKAEPLTTRENPTACSGLVGSSNFQSVSSETQDRPRSLTNSSGGTLKGVIRGSGLGVVKSGTLYTGSSGSASTSHTMPPTSGPTSLINADASAAPFRTDASALGSYTPPVPAGSKRRFSEMPSQPPPSIKEQSQSTTAYRDRAAERRSLYGSSSAFGDDASEHGDSNRDSTFRRGVFDPTPFPPGVGGGRSAEANSQSFEVITADRAIDESNVGNRMLRNMGWQEGLGLGKDGSGMVEPVQAQSTGHRAGLGSQQSKKVDPNLEAQSGDSYKTLIQKKAIARFREMS >Solyc10g084370.2.1 pep chromosome:SL3.0:10:64063778:64068289:-1 gene:Solyc10g084370.2 transcript:Solyc10g084370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSENCTSMANNSTPTDASSKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGSIAHVPPPRPKRKAAHPYPQKAPKNGWFGLFKVCFMSYLVYLILYSSSLFSWNYFRVVLVPLQASMGYPSSMNSLPPGYPTWDDASVLINSPSGGVMPSQDEYHLQRIQADNGSKGATLISNCSMSGIRSSNRTAPSSELPDQNKLGSVPHGIPDFAEVYSFIGSVFDPDTRGHVQKLKEMDPINFETVLMLMRNLTMNLSSPDFEPIKNVLSTYDLSSKVVGLPTAYLSVRLSNRTSSHSLRADNSSSLERESLRNVPTDKSIFLWSLVMEDVSFRS >Solyc06g063040.1.1.1 pep chromosome:SL3.0:6:39916177:39917208:-1 gene:Solyc06g063040.1 transcript:Solyc06g063040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVSNKQVILKHFVTSDLKESDMEFKNTTIKLNVPEGCNAMVLRNLFLSCDPYMRLRMKKFEGSYIESFTPGSPITGYGVAKVLESGDSNFQKGDLVLGRTGWEEYSIVTATPTLFKIHDKDVPLSYYTGILGMPGLTAYAGFYEVCSPKKGETVFVSAASGAVGQLVGQFAKMLGCYVVGSAGSKEKVDRLKSTFGFDEAFNYKEEQDLDAALKKYLPDGIDIYFENVGGKMLDAVLLNMKIHGRIAVCGMISQYNLEQTEGVHNLFCLISKRIRMEGFLVHDYYHLYPKYLDMIIPQIKAGKVVYVEDIAEGLESAPSALVGLFSGRNIGKQVVMVSRE >Solyc08g016586.1.1 pep chromosome:SL3.0:8:7998869:8000921:-1 gene:Solyc08g016586.1 transcript:Solyc08g016586.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLPNISMYTYQYTKKVVRYICKNREKRTIHMQCYTQINTLNEHQNKLPPNQYNRICGSSCFAMLTAMRRCHMQAQLFRCIMLRELEGSSVNAILFYINGTTLRFTIREFAIISGLNCSDNAADFYFDTDQPNRIIDEYFSGNSPVTKARLAEAFKAKVWGDNQENAYKFGILYYIHEFIMSAEPTTTTIDRLDFDLVETGRFMDYPWGWKASNELAKSINNKIKPCGQYYRIQGFPLPMQVWFYECCSYIDDKIAVKVSSHIPRIINWVTKNDHLRFDYFMKTIFNDADNPIKFRNIEPTAMEIKILKLPPSTEQSISQGLQNDHNKVTDPDDDFQNPPSITSRKGKEKVIECSSPIRKKKKQSVTVISINKSSTKAIKTYTRRSMARKATPSQSININSVAKHSDAGTSHNNEHVEQKSVQERTQMGQIKKSTSITISRDEFKAFKKSVKDEFADLRKMLEDKFKTVLEAMNSKVNVVDDDQQSPIGGIHHQPTYTPHEPQSQSANLTEQEFILILK >Solyc08g075990.3.1 pep chromosome:SL3.0:8:60178107:60182644:-1 gene:Solyc08g075990.3 transcript:Solyc08g075990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLSTFPTALTAAVSVPSSHTPQDFSWRKFKLKRNVKISRNFEFAISCSGDKAASIGFDVSFPKDYTELLQQAKEATELALKDNRQLMEIEFPTAGLGSVPGDGEGGIEMTGSIQLIREFCDLLVIPEKATKTRIFFPEANEVKFARQSIFGGASFKLDYLTKPSFFEDFGFTEKVKMADRVKPEDELFVVAYPYFNVNEMLVVEELYKAAVSNTSRKLIIFNGELDRIRSGYYPPFFYPKLAALSKTLFPEMETVYYIHNFKGRYGGVLFRCYPGPWKVFRRVGSSYICLHQQESMPSLKEVALDILPSA >Solyc01g011096.1.1 pep chromosome:SL3.0:1:7794318:7801032:-1 gene:Solyc01g011096.1 transcript:Solyc01g011096.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDKDKFTNGSSLTTTSQAHIKLLTTTFSALSGNNAMSSSFIDYFPHNFSKSRRSSKDLSQSHKQCTHAMSFPQENIAYVFPSISLNYAGDASMNLTPTNYLEDMGFVDGAAKW >Solyc12g042570.2.1 pep chromosome:SL3.0:12:58857029:58871589:1 gene:Solyc12g042570.2 transcript:Solyc12g042570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYLSSPKTEKVSEDGENDRLRYGLSSMQGWRATMEDAHAVHPNLDSSTSFFGVYDGHGGDEVSKFCAKFLHQEVLNHEAYSAGDIDTSTRHAFLRMDEMMCGQTGQRELASLMAEKDQAKNMIEGLISPPKYGESKGQTDGGSSEEGANSDYRGPSAGSTACIAIIQNVQLLVANAGDSRCVLSRKGQAYDMSSDHKPDLQAEKERIRNAGGYVRCGRVNGTLNMSRAIGDMELKQNKSLPAEKQIVTANPDICTVELCNDDDFLVLACDGIWDCMSSQEVVDFVGKQLKHENRLSAVCETVMDKCLAPATGGEGCDNMTMILVQFKKPFKISTSNEEQPLASNQNSVCNGSTATSNDMP >Solyc03g078490.3.1 pep chromosome:SL3.0:3:52440729:52442885:1 gene:Solyc03g078490.3 transcript:Solyc03g078490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BHS7] MEKKGHAVCVPFPAQGHVIPFMKLAKLLHSRGFYVTFVNTEYNHRRLIRSQGPDFVKGFQDFQFETIPEGLPPSDRDATQDPASLCDSIRKNCSTPFRELLSKLISSPKVPPISCVISDGVMSFANKAAHEFGILDVQFWTASACGYMGYLQLDELTKRGIIPFKDYSFMEDGTLDRVVDGIPGMRNIRFKDLPSFIMTTDPHDILLNYLRDEAQNCLKSSAMIINTFTELERQVLEAIEARHPNIYVTGPLSLMEKTIPENELSSFRPSLWKEEFHCLEWLNKQEPSSVVFVNYGCVTLMSDNHLKEFAWGLANSKHPFLWIVRPDIVMGNSAVLPDEFLGEIKDRGLLATWCPQDQILSHPSIGVFLTHCGWNSTMESLSSGVPLICWPFFAEQQTNCRYACVEWGIGVEVNKDVKRQEIEAIIKDMLEGEKGKELKDKALEWKKKAAEATNIGGPSWKHFDTFLERLLLNRE >Solyc08g059800.3.1 pep chromosome:SL3.0:8:45571719:45582639:1 gene:Solyc08g059800.3 transcript:Solyc08g059800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIDNNISVSVNGSSCGNGIHQLPEVVAAKLDDVPNGGFSVQGLERSFQSAVMVNDSETVGSTVHEVTHESTTTIENNPCASSEGHEAKESRESKNSKQSKAPGKGKNTVSIGVKKTKDASTGSVVLNGSLASQQRSKQTSSLGVKSKSFNDRKTADNNLKPPVARINVSHAKQSGQPDATSPSPNADSLREKTNPISLKKAAPNNADGNAESPLSPAADAKSRKVGALPTYNMSFKCDERAEKRREFYSKLEEKIHAKEVEKSNLQAKTKETQEAEIKMLRKSLKFKATPMPSFYQEPPPPQVELKKIPTTRAKSPKLGRRKSSPTKEADHTSMHTSRLSLDKNVSQNPAKGHPPENVKKPTRRSLPKLPSQKINLLSNTKKPSPIKTSISQETNEAASNNMSAVASQPNNVSEQTNEIVTFVQKHDATSVVETSQSKTFVEAQSETNVVQPPIAVEK >Solyc04g078230.1.1 pep chromosome:SL3.0:4:63107262:63107810:-1 gene:Solyc04g078230.1 transcript:Solyc04g078230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVFAKGFYGTSRADSIGLVNAGQYLQERYGGGVCPLLYIWGIGLLAAGQSSTMTGTYAGQFIMGGFLNLRMKKCISSVSEDTLDVLNEWLNVLQGMQIPFAIIPLLTLVSNEKIMGAFKIGKLIEVRDQYLYILIYNRNCFVNAPFSTMMILPMHSTVTC >Solyc07g049500.3.1 pep chromosome:SL3.0:7:59897676:59909831:-1 gene:Solyc07g049500.3 transcript:Solyc07g049500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGO6 [Source:UniProtKB/TrEMBL;Acc:K4CF53] MENSEGRESCFSPVEEPPPVIMSNAEPERVDQPKRTIMMRAGDGTSGREISLLANHLKVSIKCPDEIFYHYSVSITSDEKRAVNSKVIRRKIVDKLHETYSSEFAGKKFAYDGEKNLYTVGPLPRNRLEFTVVVEESSARQASESPSDNGSLNHSIKRFKHSLHSKAFLVEIDYAAKIPLRSVDLALQGADPENVQDALRVLDIILRQKAANRGCLLVRQSFFHDDSRNFTDVGGGVMSCRGLHSSFRPTDGGLTLNMDVSTTMILSPGPVIDFLLANQNVKEPRYIDWARAKRMLKNMRVKAKHDNREFKIIGLTDKPCNQQLFSMKVKNGGSPDDGGETLDITVYQYFTKHRNIELSSSVYMPCLDVGKPKRPNYLPLELCYLVSLQRYTKALSSVQRASLVEKSRQKPRERIKVITDAVRDYSYDDDPLLVACGISIEKQLIQMNGRVLEAPKLKVGNGEEVTPCDGRWNFKNKHLFNPARIERWAVVNFSANCDTSHLSRELISCGRSKGIHFERPHTLIEEDPQYRRAGPVVRVEQMFEEIIARLPGHPDFLLCVLPERKNSELYGPWKKKSLTDLGIVTQCISPLKITDRYLTNVLLKINAKLGGTNSLLAMEHTSHLPLIKDTPTMILGMDVSHGSRVQSDIPSIAAVVGSLYWPLISKYKAVVRSQSPKLEIVESLYKPLPNGDDEGIMRELLLDFYRTSNRHKPAQIIVFRDGVSESQFSQVLNLELDQMIKAYKHLGEGGNPKFTLIVAQKNHHTKLFQANAVDNVPPGTVVDTNIVHPRNNDFFMCAHAGMIGTTKPAHYHVLLDEIGFAPDVLQNLIHSLSYVYQRSTSATSIVAPVRYAHLAAQQFGQFDKYEDHSETLSEQGSVKSIGTTPVTQLPRLHKNVSDSMFFC >Solyc11g008220.2.1 pep chromosome:SL3.0:11:2458040:2459522:-1 gene:Solyc11g008220.2 transcript:Solyc11g008220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKDHDYRIFVGGLAWDVTDRQLEGAFGRYGKIIDCQLMDLRCFRWNCMRKDFCSLECEVAGHKYLIPSAA >Solyc08g066860.2.1 pep chromosome:SL3.0:8:55800561:55803434:-1 gene:Solyc08g066860.2 transcript:Solyc08g066860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIMEKVSSCGVKCKSNRLEGIDEDGATNWLPGACPPPETPTESMEFLARSWSLSAKELSKALSHTKQTSYVDEKMSFSSLQGQHGKTSIMEEPQEQPLPNSDSPPVSPRGSDDTKELFLLHQALNSDFLSSHHLLKAGLYRTVMRGKTMGRWLKDQKEKKKQELRTHSAQVHAAVSVAGVAAAVAALATLSVTSPEQSITKHNTSSKMSTAIASAAALVASHCIEIAEDMGAEQDQILSVVNSAINARTSGDIMTLTAGAATALRGAATLRARLQKGNGAAAIALADEGVEGNKEATVTAALSFVAKGGELLKRTRKGDLHWKQVSFTINSDWQVIAKMKSKHMGGTFTKKKECVVSGVCCDVPAWLGRDEEHDEQKCYFGIQTADRMIEFECRNKGEKQMWVDGIQQILCCRMTMT >Solyc10g008390.3.1 pep chromosome:SL3.0:10:2514289:2518792:1 gene:Solyc10g008390.3 transcript:Solyc10g008390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTILDSVAISSIFSSNLRRSLRPSPPFSAAWDRRAPLKFTGFSISYNPFDNQVSLTSSSRICRRTNSVSGEASDVVFDVPSVTEETWDSLVLNATEPVVVEFWAPWCGPCRMFHPVISDLAKQYTGRVKCFKLNTDDSPSVASRYGIRSIPTIMIFVNGEKKDAIIGAVPKTSLTASIDKFL >Solyc04g006980.1.1.1 pep chromosome:SL3.0:4:687303:688430:-1 gene:Solyc04g006980.1 transcript:Solyc04g006980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSSNNHDTFSYTSKTYLERSFTYDHQNPSSSSRQEDNPFFLNFPSPFLDHNESPLSQILPQDHHVKEGNLTHLSSETSKEEMSIEAKPSSKKRSLSTTPRKRTGKKDRHSKICTAQGVRDRRVRLSLHIARKFFDLQDMLGFDKASKTIEWLFSKSNNAIKDLSENTPQKEYSDGNKIVINSNNSSSYEGKSDSFMSECEENSINELGKDKEKIMQNNPHKRESREKARARARERTKEKMMIKGLEKGNPSNMFDQLGSSRSNSGFLDQDSNNNSYNTSVNQEKGSPHEANSQSLEHHFPIQNYLGGASNSSTIDVGNCFMSFHGNWEINYAPMKSTNYSTTFAGNPSSIYLAQQYQTLDQEKNLSSKHHRLE >Solyc07g017920.1.1 pep chromosome:SL3.0:7:8566387:8568676:1 gene:Solyc07g017920.1 transcript:Solyc07g017920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNWCLLVALVLCLLKIHSSPNCETSKWIVSGETRWYKGANVNCLCGATNCSLFLGAMSRRFRYNHVLEYGDDRYIVDELPVYDSTDFESTLVISGTGEGNENAEILNDGEGSMFKLEPTDRVTKKMSQHKPKLKCEQCPDAVREEMKKYVDVKKKQNCQALLQANVTNTGDDKDEDREKGEATE >Solyc02g093390.3.1 pep chromosome:SL3.0:2:54909812:54912619:1 gene:Solyc02g093390.3 transcript:Solyc02g093390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNTAISKTKKPSSFLDKMKARLSGGHFRMLNEKLYTCSYVYLLRKLLQFFRWIICSNIDAIALLFLLSRGDEALNYFKENPELFNVYHAGYQEQMLHWPEKPVNIITKWLKDHSPSLIVADFGCGDARLARSVKNKVWSLDLVAHDPSVIACDMSNTPLESSSVDVAIFCLSLMGTDYPSFLQEARRVLKPRGWLLIAEVKSRLDPTTGGADPSNFLKAICDLGFTIESKDFSNKMFVLFYLKKKEKQNSVDKEINWPELKACIYKRR >Solyc06g081990.2.1.1 pep chromosome:SL3.0:6:47996058:47996174:-1 gene:Solyc06g081990.2 transcript:Solyc06g081990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RDNIRRTGQCPLCVNGTHFMSLAKYCSSIKRTRIVIKK >Solyc01g100470.2.1 pep chromosome:SL3.0:1:90361673:90362649:-1 gene:Solyc01g100470.2 transcript:Solyc01g100470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSLCEEHLTSNHSYSYFEAKTWQGKVHLVQSFLKKRETTPAPTPLVTYACFAALTEPTDYLNQKALEGLRASEIITRATKDIDSSNNTTIQSTTALQKPNSLNQKAPDRLEGKRDNHVCYQEGY >Solyc09g055260.3.1 pep chromosome:SL3.0:9:38591081:38607522:-1 gene:Solyc09g055260.3 transcript:Solyc09g055260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPKGDGARSKSRPSSSSLAASLLPQGSTPVGFGGYMGCSRVDSTEDSPPFLDIDSEVAQHLKRLSRKDPTTKLKALTSLSQLFQQKTAKEIIPITPQWAFEYKKLLLDYNREVRRATHVTMTNLVGVVGRDIAPYLKSLMGPWWFSQFDSAYEVSQAAKRSFQAAFPAQDKRLDVLILYTSEIFRYIEENLKLTPQSMSDKNVASDELEEMHKQVVSSSLLALATLLDIVVTAQSERPVSEAESKRASKAKSIAISCAENLLTTHKLFLEFLKSQSSAIRSATYSVMRSLIKNIPHAIKKTDIIDLADAILGAFRETDPSCHSSMWDVILLFSRKFPESWSSLKIKKSALSRFWHFLRNGCFGSQQVSYPALVLFLDVVPAQAVEAQKFLLEVLQNLWAGRSLSYSSHLDRLALFEAMKECFLFSLKNTDRYSDAADPYRFQQTLADQILLKLLWHEYLFSVSSNNQERVFSSMDFSSGGIQPSHQASRQLNVKVTEGYAQDLGKCIVEILTDIFLLEPDLLLLFCSTFQETCLGVFQETDSSIENGEGVTEFLSVVNQQAVRKGETWPLVYLVGPTLSKSFPLIRTLVSYSLVMFSFDSVFDSPNAVRFMVAAVSIFSPRKIIQEIFCIEPEGNQFLHVFKETFIPWCLQANSPTTSVRLDLLLSLLDDEYLAEQWASIIMHATNLEELKSVNGIVSSDCLSLLAMLIEKAITRTSNRSTVQVPYAAHWHHHLLDSAAVFVVQAFPPFGSSNVSYMRAVLGGIAGDDETNFLSRSTLVLVFEEILKKLTVFMMDSPFIWVKVMCSVIPVRDNNTELGFEPSMDVNEMADFALQVLDGGFSALKCLHHEVELLSGILAAIFVIKWECSMATVFNNKLGEESTEKIKSRFASCELVHALHRKICNQFLFSINTDSRNILESILVQTVRSAVLKDENMDTVEVTSLCSHWVLELLECLCQDQFEVQKLLDRFLSQDDSWPVWVAPDIKVGKGAALVKTESASIDNPKGTRFVALIDRLIPKMGFDKIIAGAVSNTSSSLTEDHINQPTTTLQCHYSRAWLAAEILCTWKWNGGNALCSFLPYLCEYFNSECYTPEDELLGSIVTILLDGALIHGGVAELSLSNLSPVTHVENIREPFMRAVISLVSKLFEDDVWGKDKAVFLFNQLLNKLHIDETINRNCLRILPSVMDVIVRPLSVSFGQGAAKSQSASSDCCEVQQAIINWLQRTQSFPPLNAWQTTEDMEDWFHLVISCYPVRQIEGAKGLRPERYVSSTERTLLFELYQKQRKNSALSVTNKLPVVQILLSKMILVAVAYCWEDFSEDDWEFVLYRFRWWIEAAVVVMEEVAENVNGVITDGSSCELLQVMLKRINDTVSVDSSPILLGSNALIGFSSFCNISGIEAKEPVDVSNPLKIDRWEMAKHRIIEAVLRLFFSTAATQALASSYCSEASFIVASSILDHSQFWDLVASLVVESSSTAREKAVKSVEIWGLSKGPVSSLYAMLFSAKTLPSLRCAAYIILSTEPVSDLSLYTVEKTCSSGGDASNNQDTDGSAEESLNLREEVSSILEKLPYDALQMDLLAFERIKVFLAWSLLLSHVVSLPSSSPLRERMVQYIQEFATSTVLDCLFQHIRLEFCVPSSLKKKDSELPASVSEAAKCATRAITSTSVLFCLESLWPVGPEKVASLAGAIFGLMLCVLPAYVRGWFSDIRDRSTSSAIEFFTRAYCSPPLIMNELSQIKKANFADDNFSVTVSKSASEVVATYTKDETGMDLVIRLPGSYPLRPVDVDCTKSLGISEVKQRKWLMSMMSFLRNQNGALAEAICIWKRNFDKEFEGVEECPICYSVIHTSNHSLPRLACKTCKHKFHSACLYKWFSTSHKSTCPLCQSPF >Solyc06g084030.3.1 pep chromosome:SL3.0:6:49333281:49337639:-1 gene:Solyc06g084030.3 transcript:Solyc06g084030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHTTLYPKFHCTHKVNSSVSESTTPKDVPFHSQMQNELKKRVKDPLCSSNKFCFCCTRRHFMAALGAAALLPIHPSHASDVSSPANPMAMLNRLHPPRPDWYEEFYATAMNTSMKSYEAEIEGYKSELFANLRGQAKQILEIGIGTGPNLKYYASEGGTSVYGVDPNRKMEKYAQAAAETAGLPAANFKFLHAVSESLPLRDASVDAVIGTLVLCSVSDVNLTLQEVRRVLKPGGIYLFVEHVAAADGTALRFVQGLLDPLQQAVADGCHFTRKTGKNISEAGFSNVDSRQVVLSTASLINPHIIGIARN >Solyc02g081690.1.1.1 pep chromosome:SL3.0:2:46106945:46108390:1 gene:Solyc02g081690.1 transcript:Solyc02g081690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKVVFISTPALGNIVPIIEFAKVFTQKNKNISATILLINIPQRPLVQSYIDSLTTAATGNIHFLSLPVADPPSLDQFDATIGFLSLLIQNHTLQVKNALIDLISDSDSGQVVGFFIDMFCTSFIDVAKELNIPCYLYFASPATFLSFMLHLPILDAQVSTNIEYKDSANDFNILGFSNPVPIKCFPSFMLKRHIDGYSWFLHHAKRYKETKGIIVNTFQELEPYCLNSLVHTTTSHVVPPIYPIGPVVDHNGPAQWHQDSWGHENVMKWLDNQEPSSVIFLSFGSMGSLKDSQVMEIAKGLEKAGHPFLWAIREAPKDKRELPNDYTNLEEILPNGFLESTKGRGLVCGLVPQVTILAHKAIGGFVSHCGWNSILESLYYGVPIGTWPIYAEQHLNAFELVKELDLAVEITMDYRDGTTLVSSEEVAKGVKKLMDGDGDGEVRQKFKKMSEKCKDVWKENGSSSNFLGHLIDELMAVI >Solyc06g042933.1.1 pep chromosome:SL3.0:6:30819647:30829511:1 gene:Solyc06g042933.1 transcript:Solyc06g042933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNVEALKEKLSELQKILSEAKERRSSYEPQVENINIVEEADAYKEYLVGAMERVQRSKLSQAFTKSTPIPLSRDEETVVLDDREYYMHVIEQQEQEVTEQQKLEVTNSFNQEIENVVPRIVSDIVDHVVEFALPGPTKMSTRNTQTPTWFASFYFMGSNKIVMKKIEDPVSRQQFYSKCKDSIVKKSNELGLLCDTNSHC >Solyc06g066810.3.1 pep chromosome:SL3.0:6:42105717:42112331:-1 gene:Solyc06g066810.3 transcript:Solyc06g066810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Katanin p60 ATPase-containing subunit A1 [Source:UniProtKB/TrEMBL;Acc:K4C7V5] MVGASLAGLQDHLKLAREYAVEGLYDTSVIFFDGAIAQINKHVNTLDDPLIRSKWMNVKKAISEETEVVKQLDAEKRSFKEVPMGRRPNSPPISTKSSFVFQPLDEYPTSSGAPMDDPDVWRPPSRDTTRRPGRAGQGGMRKSPQDGAWARASTTRTGTTIRGGRTSGSTKAGTGVRSSTTGKKAPGKSAKSDSTDGEPEEGKSKKGQYEGPDADLAAMLERDVLDNSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARSYAPSTIFIDEIDSLCNARGGSGEHESSRRVKSELLVQVDGVSNSATNEDGTRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPNFESRKELIKINLKSIEVAADVDIDEVARKTEGYSGDDLTNVCRDASMNGMRRKIAGKTREEIKNMAKDDIAKDPVAMCDFVEAISKVQPSVSAADIEKHEKWMAEFGSA >Solyc10g076690.2.1 pep chromosome:SL3.0:10:59730546:59736940:-1 gene:Solyc10g076690.2 transcript:Solyc10g076690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSISSNPKTVEEIFKDYSSRRSGIVRALTHDVDEFYNLCDPEKENLCLYGHPNETWEVNLPAEEVPPELPEPALGINFARDGMNRRDWLSLVAVHSDCWLLSVAFFFGTRLNQNERKRLFSMINELPTAFEIVAERKHVKEKPTADSGSKSRGSTKKSSDSQAKSTPKLADESYPEEEEHGETLCGSCGGNYSADEFWIGCDICERWFHGKCVKITPAKAESIKQYKCPSCSLKRSRVQ >Solyc12g009000.1.1.1 pep chromosome:SL3.0:12:2301110:2302564:1 gene:Solyc12g009000.1 transcript:Solyc12g009000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITSFSSSFPSQEDFNSLNFFQELDFLSPSSDHHHHQSMSKKIKINDFDHNPVEEILNKFLGVENETHPESKSVENHTLFDYKNQKFDFDHGVVSGTKRVRENANENEDGLVEVISGSGSGSGYSQQPLQQRRLWVKNRSNAWWEQCNRPDFPEEEFKKAFHMGKATFDFICSEIESVVTKKDTMLRMAIPVRQRVAVCIWRLATGEPLREVSRRFGLGISTCHKLVLEVCTAIRGVLMAKFIQWPDELKMEEIKHEFEILSGIENVGGSMYTTHVPIIAPKESVASYFNKRHTERNQKTSYSVTVQGVVDPKGIFTDVCIGWPGSMTDDQVLEKSILYERANRGNLNGTYIVGSSGFPLTDWILVPFTHQNVTWTQHAFNEKVNDVQRVAKEAFMRLKARWSCLKKRTEVKLQDLPVVLGACCTLHNICEIRGEELRQELRFDLVDDEMIPEVVVRSMNAMKVRDQIAHKLLHHNHSGTSFL >Solyc10g019220.1.1.1 pep chromosome:SL3.0:10:12539146:12539463:1 gene:Solyc10g019220.1 transcript:Solyc10g019220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4CZ40] MYEEIKKKPKVQLKRLVEFLECPNSTEEENCGVVDEILKMCNFENLKNLEVNTNGQFSTGETYNLFFRKGEIGDWKNYFTRKKSDKLNHTIEEKFQGSGLKFSYV >Solyc10g045150.1.1 pep chromosome:SL3.0:10:31676877:31677352:-1 gene:Solyc10g045150.1 transcript:Solyc10g045150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSIPDCPDHLSKLIKNKLQSIANDPQYPASSPCASPYAELYGNWSLFLLRENWSLSCCGTPSACEGYVEATGRSCATGVVDDEACPLVDFSGFLERLIFGMTAYS >Solyc12g035897.1.1 pep chromosome:SL3.0:12:44532876:44533059:-1 gene:Solyc12g035897.1 transcript:Solyc12g035897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGCRTYKKEMVFLARCTLVYLRH >Solyc01g109110.3.1 pep chromosome:SL3.0:1:96057922:96063695:-1 gene:Solyc01g109110.3 transcript:Solyc01g109110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILKSLFPVSSSKKEDNHEEFDVEYSFAEEYSGPPVGYDIPQVVPVDVHRIPTASVVATAAMFSRNLSLPVIEPIVKSDSKLIKNQKSKETDLESEYVENDHAYRRSDGIESSGTLGFSDSHDNSRELSGSSDVEDLVDECKEEVRFVSHPNSVALESEESILSSPDVALEVLSCEEAEDYADEIAGNQGCRNVVTFCETQSSDVSTDSDEEEPGMFPEKPIVSSDSKKCFRCHKGKRFTEREVCIACGAKYCIDCVLRAMGAMPEGRKCITCIGYRINESKRNSLGKCSAMLKRLLSKWQIDEIMELEKLCQANQLPPHLVSVNGKRLSLRELFDLQSCAYPPKKLRPGKYWYDKVSGLWGKEGHKPCQIISPQLAVGDTIKKDASKGNTNIMINNREITQLELYMLKLAGINCEGNVCFWLSADGSCQEEGMNNVVGKIWDKTTHKMLCHALRLPIPPVSANSSGEEVGSGLEAGDPCSTVSKKLNKLLLAGCDQSGTSTLFKQAKIAYHVPFSEEEHQNITYTIQRNLYRYIAILLEGRERFEEEYRVEMRKKRLDEPGPSALPDLIEEEIEGENVYSISTRLKNFSDWLLQAMMLGNLEVIFPAATREYSAVVEELWKHKAFQATYQRRNELEMLPRVANYFLDHAVEISKVDYNPSDMDKLYAEGITSSNGVASMEFSFPNPTQDSYMETVDQHSSSMKYQLIRVHASCIGKNCKWLEMFEDVDLVIFCVSLTEYSEYLEDYNGFCTNKMMESKRLFENIVSHPAFAPKHCLLLLNKFDILEEIIEEFPLSECEWFQDFNPVISRHPNSKTNNNNPSLAQRAYHYVAVKFKRLYNSITKRKLFVSQLTALEAESVDGALKYTREILKWDEERRKVMRDWTTESPEASTTLEASTTT >Solyc07g009435.1.1 pep chromosome:SL3.0:7:4489600:4491997:1 gene:Solyc07g009435.1 transcript:Solyc07g009435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKISSTKLACIVAYLLVACFFQLLMANEEVKLNDELNVIQLPEANSEALDGVNQVFVTRYDRQHVVGPNKIGVCIDPPPCGSDSECANSPCGHRCLRDGWGLRFCRF >Solyc04g054445.1.1 pep chromosome:SL3.0:4:52473003:52475567:-1 gene:Solyc04g054445.1 transcript:Solyc04g054445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLFIFFSLVCFVLLCQSVSSSFVHHLCSPSEASALLQFNQFFEISPPGRCYKASFPKTISWNESTDCCTWEGVTCDMLTGYVIGLDLSCSFLRGTIHPNSSLFQLYHLQSLNLARNDFNWSSFPNDIGRLRNLKYLNLRECDFSGSIPDSIGNLTQMRQLDFGDNHFTGHIPSTISKLKQLILLDLWSNSLGGEIPDIFSNFQVLADLVLSNNRFTGSFPPSILSLTCLQRLDLSSNSLSGPLPSRHLEQLHFYNLNSLDLKFNFLQGPLPSSICKMSSLSFLDLSHNYFTDSVPHCLGNMDSLFVLDLRSNSFRGSLPTLCSRTTSHLRTIVLNGNQFEGPVPMSLLNCNSLEILDVGNNSINGTFPTWLGSLQQLQVLILKSNKFYGPISACQTEFCFPMLRILDVSRNEFNGSLLPQVFRNFRAMIKLDDTNKGTIKYMEPHLDVNIRYIDSVKLVIKGQDIDLERITTIMTVIDLSSNHFDGVIPKALKDLSSLWLLNLSHNDLRGDIPTELGQMNTLEALDLSWNWLTGKIPRELTRLEFLAVFNLSQNVLVGPIPQGSQFNTFSNDSYGGNLDLCGPPLSKKCGMSDASHVPQPLESEEEDDESYFASGFTWESVVIGYSCGLVVGTVMFKSSKPKWFLEGIIPLKNRRRKKRGLR >Solyc06g074995.1.1 pep chromosome:SL3.0:6:46635281:46641252:-1 gene:Solyc06g074995.1 transcript:Solyc06g074995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVEGSPGSSMHGVTGREPVLAFSVASPMVPTDTSAKFSVPNVTIENHQSLVQIANGLLDNR >Solyc05g050990.1.1.1 pep chromosome:SL3.0:5:62050801:62052108:1 gene:Solyc05g050990.1 transcript:Solyc05g050990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMKHIDNTPSTPGKFKIEKSPYHRLRLQFSLAKIIFWSLVFVGLIFVFFYRSPSSSSHVSSDLFRRSLRTSSYGGPAWEKKIKASTKPRSSNGLCVLVTGAAGFVGTHVSAALKRRGDGVLGLDNFNDYYDPSLKRARQELLERSGVYIVEADINDATLLKKLFEIVAFTHVMHLAAQAGVRYAMENPSSYVHSNIAGLVNMLEVCKSVNPQPSIVWASSSSVYGLNTKVPFSEWDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTRDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLGALDTAKKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVSILEKLLKVKAKRLIMKLPRNGDVQFTHANISLAQKEFGYKPTTDLQTGLKKFVRWYLSYYGNGKKSAQ >Solyc07g014595.1.1 pep chromosome:SL3.0:7:4946836:4957055:-1 gene:Solyc07g014595.1 transcript:Solyc07g014595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEQDRISSDESMKDQPQGTSSYKSRNGPGPQNSPSSGSHERNNSDACQICGKNNHTALKCFYRCDYSYQIGDELPQTLAATNLQNTDILYVESGESSHMTHNSDILANLKHYNGPDKIIIGNQSKLDVTHVGNTSRSGSNPSHVSELVLQLGKEFAMKNLGNLHFFLGVEVKYFDGCIHLSQSKYAAELVDKTKMTFAKAITIHLAQKHGLHEALGSLIEASFYKRIVGSLQYLTLTRSNITRVVNLSSQFIQNPNSAHLRGVKRILRYIKGTLYFGLRLISQSLCRLYGYSDADWGGCTTTRRSSTGYNIYLGANCISWTSKK >Solyc12g014350.2.1 pep chromosome:SL3.0:12:5158145:5161283:-1 gene:Solyc12g014350.2 transcript:Solyc12g014350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLFMSIADTSLFINCGGPRTSFEGNNYEADTTNRGPSYFSSPSDRWAFSSSGVYVGLQAASYIASNTFSLDVSGPDFYNTARLAPNSLKYYGLCLQGGSYRVRLHFAEIMFSNDSTYSSLGRRIFDVAIQGRVVLRDFNIMEEANGVGKVITKDFPDVTVSSTLEIHLYWTGKGTNAIPDRGVYGPLISAITVTPNFRVDTGSGLPVGAVIGIVLASIVVLLLVLFALWKKGIFGGKNNQEELELRALDLQTGHFRLRQIKAATNNFDPANKIGEGGFGPVYKGVLADGAIIAVKQLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCIEGNQLLVIYEYMENNCLARALFGRDDQRLNIDWATRKRICSGIAKGLAYLHEESRLKIVHRDIKCTNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTVGYMAPEYAMRGYLTDKADVYSFGVVLLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPRLGTNYSKKEAMRMINVSLLCTNPSPTLRPSMSSVVSMLEGKLPVQAPIIKRTTSDDEMRFKSFEKLSHDSQTTQVSTYSQDSQGQNMNAPWSDSSVSVSVPGKDENVTSTSRLLPDLYNVNLD >Solyc05g052530.2.1 pep chromosome:SL3.0:5:63608077:63613975:-1 gene:Solyc05g052530.2 transcript:Solyc05g052530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTNNFMLGFCLMILFVNAASIDYGEALTKSLLYFEAQRSGKLPHNQRVQWRGDSALQDGKDDGIDLTGGYYDAGDNVKFGFPMAFTTTLLAWSAIEFESQLNSKTELKNTLNAIKWGTDYFIKAHKESHILYGEIGEGTSDHKCWERPEDMTTPRSVYKIDEQHPGADLAGETAAALTAAAVAFKKSDSSYSNHLLTHAKELFDFAIKFPGVYSNSIPEARIFYGSSGYEDELLWAAAWLYKATKEQTYLDYINEKSTSCGGTRLNLSWDDKYIGAQVLIAKDLLENKFAGNESTSLNEYKKYAEEFICNCIQKGNNNIKTTNGGLLWWGYWNNLQFVTTTTFVITAYADTLSATKNSLQCSSGNVEPSELISFVKFQVDYILGENPQKMSYMVGFGTHYPQKVHHRGASIVSIKKDKKTVGCQEGFDQWFKRNAPNPNDLVGAIVGGPDNSDQYNDSRDNFKQGEAATANSAALVGVLARLAS >Solyc08g065840.3.1 pep chromosome:SL3.0:8:54246931:54248548:1 gene:Solyc08g065840.3 transcript:Solyc08g065840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKSNGLRSMASPEKVGGGAHDKHNHHKTVRNDYANNNNHHGGAGSSESAQDSKEDGNEAIPMVWPSKFVIGLTNKEKEEDFMAIKGSKLPQRPKKRAKTVQRTLNLISPGDWLCDLSLERYEVREKKVSKKRPRGLKAMGNMESDSE >Solyc06g060380.3.1 pep chromosome:SL3.0:6:38490558:38530779:1 gene:Solyc06g060380.3 transcript:Solyc06g060380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSEKEAVKVISRIGKQLGAFKTCPNKDTLVNLLKQATRAFEGLKQSSSLKSVIKPLSSSLVKHNLLVHKDKDIRLLVGICFCEIVRVLAPNPEFTDAVSRDIFGLLINIFSELEDTMNPYFSMRVQLLETVAKLRFCLLMLDIGCEELVKKMFKNFFAFLREHHPPSMVSAAVSIMTQILEEKMQDKEKTSSELLIFEKEESEPLLDVILQNLLKETKGASRASHQLAVSVIQNCSEKIEDTVSRFLRSCILNRDAVQSEIKEYYHEIIYEIFQCSPQILFSVIPSLIHELLTDQVDVRIKALGLMKKVFSLPGNHFARDYHQLFVEFLNRTCDKSAEVRLITLSCAKAFYMTNPSGKESLEVLSALQGRLLDSDDRVRSEAVTVACDLARYKLKSVPLELITCVAERLRDKKVSVRKKALKKLLELYQEYCTQCATAIMDFSNHFEQIPCKILMLCCDRDCKEFKPQQMEIVLTDTLFPASLSIEDKIRHWVFMFSLFTPCHLKVLNAILSQKLRLRNEMQVYLTLLNKYKEEVSEEVEKKLKMSIVKMSASFEDTAKAEDCFRKLDTVKDSQIFDLLEKLLSEQSTEDAQTTRDNLLRKTGNKSLHTEFLQLLSMKCSFSLFGLEHVRCIFDRLSGDRFRNKHLEDSSVQLLLTILSAFPSLLSGLETEFENLLLEEVIPFNEQLIRFLAKEGSHMSINLGDIYPFLEKVCLDGSRAQSKLAVSAIAALVGPSELSIFLDLCKTLVDSLHLGKQLPTVLQSLGCLAQHSVLAFQEHEEVVTRYIIEEIFQLTDLAMLEDMDLSEKTSDCSGSCQLKIFGLKTLVRSFLPHGSATVSRPINFLLDIILEMLQKGDHYDGINSSDSDKAHIRLAAAKSVLQLSRRWDSLISPQIFRCTVLTAKDNSPLVQRLFIKKVQKLLKEHKIPCRYACAFPFAATDSSEDLQQISLKYMEEFVHVYGSAARINRMSTMPGHVTAFPVYMVVFLIHVLAHDPNFPTADHHDANSYAQFFSPLVFSLRALVDFNYSDGTVDLISKASSYLRSIFHAIKKAEDAVDAQITPNLHTLSDIGISLLDAISNRGVSHSHISGLILLPSSLYKMGQEHNSQGKSDLLIRYQLDENFIRSLLDISKKKAQTAGIISTQYQKSQDGMKRSGNSGGSMLEMQLSKKGPLPLSMLKKNCGYSYSDKEEISEANQELTTRERQKTSKPFSASVSFELHKEFSMDDEHEDDAHGAIEADITTEQQPHCSRTLRLRPSSDQKNEKSRSLKENDTISRCKTIMRKPSKSVKGNSSDICISKGSKNDAEKLINQHKELCSPEDKCYSGSTEVFDSSNNSLKITCDSREAEVLSLDGEIWGTSSNHSLLDQGSCGLQSLCRPRKNIDMIESVSQQEDDLSEDKAKIGSKRTALAELKNQESVLVDSSASEVIDVNEDFELEEALSDKGLTVSSVPEKGRCLFTTRDFSPGEVIISEEPYVSVPNKSAKCEWCFTSSNLKRCSACQVVNYCGNTCQKSDWKLHRVECQVLSKVDKERVKSITPSIRLMVKLYLRRKLQDEKVKLITTLSLPGHSNNSHGQLQSGGILGFSYPCFVSIDMTGIDEKQLVLYAQMANLVNLILQCPKINVKEIAENFSKFSCNAHTICDAELKPLGTGLYPVVSIINHSCLPNSVLIFEGRMAVVRALHHIPKGTEVSISYIEMAGTTATRQKALKEQYLFSCTCIRCIKLGQNDDIQESAVLEGYRCKDKRCTGFMLRDSDIDGAARLEGGTKVLQINYSSLSEWLGETEEAYRSLAKAAEVLRITHGTYTTFMKELFVKLEEARAELSYKISSKEE >Solyc06g073245.1.1 pep chromosome:SL3.0:6:45277008:45277784:-1 gene:Solyc06g073245.1 transcript:Solyc06g073245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFPAIFFKTRCIFNLFNPILLSLLPKKLISFLPPSWFHQKRIHSRSPAPPQQSPVSVSDAVESHQKRMDSDELRRIFQIFDRNGDGRITKNELNSSLENMGIFIPDPELIQMIEKIDVNGDGCVDIDEFGSLYQTIMDERDEEEDMREAFNVFDQNGDGFICVEELKSVLASLGLKQGRTVEDCKQMINKVDIDGDGMVNYDEFKQMMRGGGDM >Solyc07g052025.1.1 pep chromosome:SL3.0:7:60696163:60698854:1 gene:Solyc07g052025.1 transcript:Solyc07g052025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATYYFLALFLLAITFVIYAWRLLEWVWFRPKKLEKCLRKQGLKGNSYKLIFGDIKEQCKSKPFNVSDDDLTPRILPYFVQTIKKYGKNYFIWIGPKPLVIVGEPKVIKDVFNKHALYQKPKYPPQTKLLANGILSYEEDKWAKHRKILNPAFHMEKIKDMLHAIHLSCSEMLSQWEEAVSMKESLTSDVISRTAFGSNYEEGRKIFELQKEQAKHVIEVSRTLYIPGWRFLPTKRNRRMKEIEREVQATIREIIDKRVKGMKAGEANTDDLLGILLEPNFKEIEQHGNKNFGMTIKDVIEECKLFFFAGQETTSVWLVWTMILLSRHPNWQLRAREEVLQVFGDGMPEFDGLNRLKVVSYFSLFTFLIIFHIFATHGVTFI >Solyc11g062230.1.1 pep chromosome:SL3.0:11:49439890:49440503:-1 gene:Solyc11g062230.1 transcript:Solyc11g062230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQRPIEEGMKELLLKDIPSCALSH >Solyc03g120970.3.1 pep chromosome:SL3.0:3:70675271:70678744:-1 gene:Solyc03g120970.3 transcript:Solyc03g120970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSPETMPDSSFIDFRAPPPSPIASGRRSCVRNDEVLTEFLQNSLRVPDLVLPDRVFPRQKSIHNPSKLDFQSLASLDSDAIAKILDSVATIGCFEVVNHGISGDLIKLVLSAGDGIFGISPERKEKLTRSSEKAYGFEEFHGEEERETSEEFVWCRGDQNFNKEMEGIWPLGFSNFSEKMEKLLDGMEDISGRILQFLQQNTPKKLINENIDDENGDLPATSICYLHKHKGSLKGDEEYMMNTLKYDVIRMLIRGSEFPHALCLHVSNGCSEFHVYSKKGWVSFQPGKDSLIVTIGDLLQRWSGGQYKHVIGRAVYQGKTEDCVSMALLISPPKIVEDNSTKHEHEQEKTISIGQQIIIAIASILIYNFFIYIYNKL >Solyc07g018250.1.1.1 pep chromosome:SL3.0:7:9887288:9888118:1 gene:Solyc07g018250.1 transcript:Solyc07g018250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALNSNIHYWLVDHPKISQFEWKQGHTFGSSISFLIISVSVYLYLTLLSLRFSKFIPTLTTTTIRNITAIHSLILCLLSLLMVIASILSVLHQMPPHDWKWIVCFPGANHTLPRGPVFFWVYICYLSKILEFIDTLLIILSSSRSRRLSFLHVYHHTVVPILGYIGLQFAQSMSSVAVIINASIHVIMYAYYFLSAIGKRPWWKKMVTNCQIFQFMTGFIISAMMLYYHFTTEFGCTGVGVWCIGIAFNASLLVLFLDFHSKNYIKKGQQLDKHK >Solyc08g044400.2.1 pep chromosome:SL3.0:8:20758045:20790431:-1 gene:Solyc08g044400.2 transcript:Solyc08g044400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPAGRLRDTSVVVVTLESSEVYIIVSLSSRTDTQVIYVDPTTGSLRYNAKTGYDIFNSQNEALDYVTNGSKWLCKSITYARAVLGYASLGSYGLLLVATKLSVSIPNLPGGGCIYTVTETQWIKISLQNPQPLGKGETKNVQEVMELDIDGKHYFCESRDITRPFPSRMPLLNPDDEFVWNKWFSMPFKKIGLPEHCVVLLQGFAESRSFGSLGQQEGVVALTARRSRLHPGTRYLARGLNSCYSTGNEVECEQLVWVPKRAVQSVPFNTYIWRRGTIPMWWGAELKLTAAEAEIYVANRDPYKGSAQYYQRLTKRYDARNLDIAASGNQRKSAFVPIICVNLLRNGEGKSESILVHHFEESLNYIRSIGKLPHTRVHLINYDWHASVKLKGEQQTIEGLWYLLKAPTVAISITEGDYLPSLQRIKDCKGEVIYSDDIDGAFCLRSHQNGVIRYNCADSLDRTNAASFFGALQVFMEQCRRLGISLDSDLAYGYQSYNNNGGYTAPLPPGWEKRTDAVTGKTYFIDHNTRTTTWNHPCPDKPWKRFDMTFDDFKRSTILSPVSQLADLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSAAQNMKITLQRRYKNAVVDSSRQKQLEIFLGLRLFKHFPSIPIQPLYVASRPTGCFLKPIVNMFPISDGGASLLSFKRKTMTWVTPQATDVLELFIYLGEPCHVCQLLLTIAHGSDDSTFPSTVDVRTGRYLDGLKLVLEGASIPQCANGTNILIPLSGPISAEDMAITGAGARLHAQDASTLPLMYDFEELEGEVDFLTRVVALTFYPTADGGGPITLGEIEILGVCLPWRYILKHEGSGTGFSKQAETHHDVTNPFLTEPGENPFASSLTTGTQTNSSADLWVDLLTGESRISDSNRQPVAETVFHGGDDLLDFLDDAFVQQPKEANIFFNSTSKGLTDNNTQRYLDCFKLLVGPKMERKISYMEAMKLEIERFRLNLSAAERDRALLSIGVDPASINPNLLLDNSRMGGFCRVANVLALLGQASLEDKITASVGLEIADDSAVDFWNIAGIGERCIGGACQVHYEDGPVLNMPSVSSTSAAAQTSFVCSECERKVCKVCCAGKGALLLAMFNSKEVPSYNGVSSQGGAIYVNSVDLSSNHSMTLDGVICRACCIDVVLEALMLDYIRVLVGQRRKARADSSAQKAVDHVLKFTLGDCQSTPTAYPELLNGEESLAEFPFASFLHPVETAPGSAPFMSLLAPLNSGAQDSFWRAPLSASSVDFVIVLGDLSDVSGVVLLVSPCGYSMADTPVVQIWASSKIHKEERSCVGKWDMRSMITSSSELCGQEKSSEVPRHVKFSFRNPVRCRIIWITLRLQKVGSSSVNFGKDFSHLSVEENPFAEPVRRASFGGPVESDPCLHAKRILVVGSPLRKDVGAPSQGSDQINTSNLLDKGPPLNRFKVPIEVERLTENDLVLEQFLPPVSPMLAGFRLDGFSAIKPRVTHSPPSQVNPWDVSSCILEDRFISPAVLYIQVSAFQEPHNMVIIAEYRLPEVKAGTAMYYDFPRQVSTRRISFRLLGDVGAFTDDPSEQDDSDSRVRIVAAGLSLANRIKLYYYADPYELGKWASLSAV >Solyc01g111235.1.1.1 pep chromosome:SL3.0:1:97467378:97467938:1 gene:Solyc01g111235.1 transcript:Solyc01g111235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLVIGLISLLILLTIATADKSPKAVEKWFKNLPYAKTKTTKFHFYFHEIFGGDNQTAPIIAQANTTAQSPTFFGMLRMFDNPLTVGPDPNSKQVGRAQGIHGSPSLSELALFFDFNFVFTDGPYNGTTLSVMGRVAESQEYRELSIIGGSGIFRLANGVATAKTYFSNDSLVIVEYNLIIIHY >Solyc08g076685.1.1 pep chromosome:SL3.0:8:60734661:60740297:-1 gene:Solyc08g076685.1 transcript:Solyc08g076685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKHATEEAQHLKKLIQEYTASFIKIGDKGKKNYTKLPKINTELRCLLRVFLTSIGEDAPSILWQNNTLTMCSLKSTFPRFSFANEHKVEKKIINCICRILELTFFQLRKQRIHSSLANMSDTKHKMNALLNAQTSAAKKEAKNLRSRIAYQQMPPEKKTALLA >Solyc12g035470.2.1 pep chromosome:SL3.0:12:40583122:40585506:1 gene:Solyc12g035470.2 transcript:Solyc12g035470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDGYYYTSKKSDDICPDVCGQQDSPTTLSMSRLRCMLRGLDLKALIFLVVFVPMFIVGAYLHGQKITYFLRPLWQSPPKPFIEITHYYHENVPMEDICKLHGWGIREYPRRVFDAVLFSNEVDMLKIRWKELYPYITQIVLLESNSTFTGLAKLHNFALNRDQFKFIEPRLAYGNIAGRDKKGENPFVEEAYQRVALDQLLKLAGIEDDDLLIMSDVDEIPSARTINLLRWCDDIPHVLHLHLKNYLYSFEFQIKHRSWRASVHRYQSGKTRYAHYRQSDYILADAGWHCSFCFRHISDFIFKMKAYSHSDRVRFSHYLNPTRIQDVICKGADLYDMLPEEYTFKDIIGNMGPIPHSYSAIDLPSYLFENPDKYKYLLPGNCKRENG >Solyc02g086210.3.1 pep chromosome:SL3.0:2:49573308:49584387:-1 gene:Solyc02g086210.3 transcript:Solyc02g086210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSDLHTTVILFVILCYLDMPISLGQDDEQYRSCGEPFRCGSMDIVYPFWGGSKPEYCGHPSFEIKCESNIPKITIESTRYQVIDINTPNRIVTLARDDLLSNICLANPKNASFDLNTFSYVSSDLNITLYLGCTVRPGSQLPASSPNRFNCNSNSSIFGIYTLINVPFDLSLVTCQKEIIARVNQTNAVALASPTASVVFLRNAIAGGFSVNWTATTDSKCQQCEVSGGRCGSNPDSGDFTCHCENGTPSNDCNNVHRDLPGSVKIPTAAIVAAVCIAVLAIISVPIIYCLRSRGLSRKQLICWKTESQNHKIEEFMRNYGSHAPKMYSYSDLKKITASFSNKIGKGGFGQVYKGKLPDGRAVAVKVLTETNGDGEDYINEVASISRTSHVNIVGLLGFCYQRNRRALIYEYVSNGSLDKFLNSGPSSTTCSLKWTTLYSIAVGTARGLEYLHRGCNTRIVHFDIKPHNILLDQDFCPKISDFGLSRLCEKKESILSMLGARGTAGYIAPEVFSRAFGHVSHKSDVYSYGMLVLEMVGVRNNVNMSQTSEVYFPNWIYEHLELGKDLSLQGIMNEEDEEVARKMILVGLWCIQTKPSDRPAIEKAVEMLEGSLQSLQVPPKPVLNSPTRSIPESLTSTSTMTSERRFISGANIAST >Solyc01g098440.2.1 pep chromosome:SL3.0:1:88833953:88839905:-1 gene:Solyc01g098440.2 transcript:Solyc01g098440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNIPQHLLKVRSVEIVYYGFFFFYVLPLMDDVLVVDLRMFEMEREKHDDGSPGGVMEVGIRNTENGSISPTDKPSGSRTRLSNPRTSSRWHKFFKMWKRSSVKRLPSFPPLAVPTMSTRKSRSARENVAAGIYHFTSSWKNFSLSELKSATNNFSKDNLIGKGGYAEVYKGCLPDGQLIAVKCLNKGTEAQQEQSFLCEIGTIAHVDHPNTARMVGYGVEGGTYLVLQLSSQGSLGSFLRGSREKLDWAARYKIIFGIANGLMYLHENCQRRIIHRDIKADNILLTEDFVPQICDFGLAKWLPKEWTHHNVGKFEGTFGSVVLKSYIKSIENFSDIFIYLARRSNAFFLDIVSYFAPEYFMHGTVDEKTDVFSFGVLLLEIITGRQALDDSQQSLVIWAKPLLHKHNVKELIDPVLGDNYNPKEMNRVIITAGLCVEQNPLMRPRMNQAIVLMKTEDDCYKHNFQRKRTYSEELLDAAEYNSTKCLNDFKQLNLRSSVSDNNMKTSAA >Solyc11g064805.1.1 pep chromosome:SL3.0:11:50341000:50341443:-1 gene:Solyc11g064805.1 transcript:Solyc11g064805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSNVYVRPGPVEYDALKIQVHHRFEEIWNWRFKEILDVGCVSYDSELISALIEKWRPETHTFHMRTGEATITLQDVEILFGMVIDGSPIILNGADALGITCRQENDI >Solyc05g053610.3.1 pep chromosome:SL3.0:5:64508971:64531700:-1 gene:Solyc05g053610.3 transcript:Solyc05g053610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVNLNSMRGSSMRGSMRGSLRASTSNSIWRNNGVDAFSRSTRDEDDEEALKWAALEKLPTFDRLRKGLLFGSQGAANEIDVNDLGYQERKNLLERLVKVADEDNEKFLMKLKNRIDRVGIDMPSIEVRYEHLNIEADAYAGSRALPTFINFMTNFVETLLNSLHILPSKKRQITILKDVSGMIKPCRMTLLLGPPSSGKTTLLLALAGKLDPALRVTGNVTYNGHELHEFVPQRTAVYISQHDLHIGEMTVRETLEFSARCQGVGSRFEMLAELSRREKAANIKPDPDIDIYMKAAATEGQEANVVTDYVLKILGLDICADTMVGDEMIRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTFSIVNSLRQSVQLLKGTAVISLLQPAPETYNLFDDIILLSDGYIVYQGPREAVLDFFESMGFKCPERKGAADFLQEVTSKKDQQQYWAKRNEPYRFITSKEFSEAYQSFHVGRKLSDELATPYDKTKSHPAALSTKKYGIGTKQLLKVCAEREFLLMKRNSFVYIFKLTQLAIMALITMSVFFRTKLPRDDMDDGGIYAGALFFVVVMIMFNGMAEIALTIFKLPVYFKQRDLLFFPSWAYALPTWILKIPITFVECGMWTFLTYYVMGFDPNVSRLFKQFLLLVLVHQMASALFRFIGAVGRTMGVASTFGAFALLLQFALGGFVLAREDVKKWWIWGYWTSPLMYSVNSILVNEFDGKNWKHIAPNGTEPLGAAVVRSRGFFPDAYWYWIGCGALFGFTMIFNFFYSIALAYLDPFGKPQAMISEDGEDAVELTERSETEGQDKKKGMVLPFEPHSITFDNIVYSVDMPQEMKEQGSAEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQETFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPQDVDENKRKMFVDEVMELVELAPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIKYFESMPGVGKIKEAYNPATWMLEVTASSQEMMLGVDFADLYKNSDLYRRNKALIAELSTPRPATKDLHFETQFSQPFWTQCMACLWKQHWSYWRNPAYTAVRFIFTTFIALVFGTMFWDLGTKVSRSQDLINAMGSMYAATLFLGVQNSSSVQPVVAVERTVFYREKAAGMYSAIPYAFGQVVIEIPYVFVQSAFYGVIVYAMIGFEWTAVKFLWYFFFMYCTLLYFTFYGMMTVAVTPNQNVASIVAAFFYAVWNLFSGFIVPRPRIPIWWRWYYWACPVAWTLYGLVASQFGDIQTPLTDDENVEQYLRRYFGFKHDFLGVVAAVIVALPVMASMRGNSSNSIFSRSGRDEDDEEALKWAALEKLPTFDRMRKGLLFGKEGETISEVDTNDIGHQERKNLLDRLVKVADEDNEKFLLKLKDRIETVGIDLPSIEVRYEHLNIAADAYVGSRALPTFINFMTNSVETFLNTIHILPSRKRQITILNDVSGMIKPSRLTLLLGPPSSGKTTLLLALAGKLDPTLKVKGNVTYNGHELHEFVPQKTAVYISQHDLHIGEMTVRETLEFSARCQGVGPRYEMLAELSRREKAANIKPDRDIDIYMKASVAKGQEANIVTDYVLKILGLDICADTMVGDEMLRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTFSIVNSLRQSVQLLKGTAVISLLQPAPETYNLFDDIILLSDAQIVYQGPREDVLDFFESMGFKCPERKGVADFLQEVTSKKDQQQYWAKKDEPYRFITSKEFAEAYQSFHVGKKLADELKTPYDKTKSHPAALSTKKYGIGMKQLLKVCADREFLLMKRNSFVFIFKFFQLMVMAFIMMSIFFRTEMPRNNMDDGGMYAGALFFVVVVIMFNGMAEINLTILKLPVYFKQRDLLFYPSWAYALPTWILKIPITIVEVAIWTFLTYYVMGFDPNVSRLFKQFLLLVLVHQMASGLFRFIGAAGRTMGVATTFGAFALVLQFALSGFVLSRNDVKKWWIWGYWISPLMYSVNSILVNEFDGKKWDRIAPNGAESLGHAVLRSRGFFPDPYWYWIGVGALIGYIIIFNLGYSIGLAYLNPFGKPQAILSEDNETEQLIEGSETEGQDKKRGMVLPFEPHSITFDNIVYSVDMPQEIKDQGSTEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGDIKISGYPKKQATFARISGYCEQNDIHSPYITVYESLVYSAWLRLPQDVDKNKRKMFVEEVMELVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQEIYVGPLGRYSCHLIKYFESLPGVSKIKEAYNPATWMLEVTAASQEMMLGVDFTDLYKKSDLYKRNKALIAELSTPRPGTTDLHFETQFSQSFWTQCMACLWKQHLSYWRNPSYTAVRFIFTVILALVFGTLFWDLGSRLSRSQDLFNAMGSMYAATLFLGVQNSSSAQPVVAVERTVFYRERAAGMYSALPYAFGQVIVEIPYVFLQAVFYGIIVYAMIGFEWTVAKFFWYLFIMYFTLLYFTFYGMLTVAVSPNQNVASIIAAFFYALWNLFSGFIVPRPRIPIWWRWYYWLCPVAWTLYGLVASQFGDLQTMLSDDENVEQFLGRYFGFEHDFLGVVAAVIVVWPAVFAFLASMRADSSRSVFSRSARDEDDEEALKWAALEKLPTFDRMRKGLLFGKEGESATEVDTNDIGHQERKNLLDRLVKVADEDNEKFLLKLKDRIQTVGIDLPSIEVRYEHLNIVADAYVGSRALPTFINFMTNFVETFLNTIHILPSRKRQITILKDVSGMIKPSRMTLLLGPPSSGKTTLLLALAGKLDPTLKVTGKVTYNGHELHEFVPQKTAVYISQYDLHIGEMTVRETLEFSARCQGVGPRYEMLAELSRREKAANIKPDHDIDIYMKASVTKGQEANIVTDYVLKILGLDVCADTMVGDEMLRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTFSIVNSLRQLVQLLKGTAVISLLQPAPETYNLFDDIILLSDACIVYQGPREDVLDFFESMGFKCPERKGVADFLQEVTSKKDQQQYWAKKDKPYRFITSKEFAEAYQSFHVGKELADELTTPYDKTKSHPAALSTQKYGIGTKELLNVCAEREFLLMKRNSFVYIFKLFQLMVMAFIMMTVFFRTEMPRDDMDDGGMYAGALFFVVVVIMFNGMAEINLTILKLPVYFKQRDLLFYPSWAYALPTWILKIPITFIEVGLWTFLTYYVMGFDPNVSRLFKQFLLLVLVHQMASGLFRFIGAAGRTMGVATTFGAFALVLQFALSGFVLSRNDVKKWWIWGYWISPLMYSVNSILVNEFDGKKWDHIVPNGAEPLGHAVVRSRGFFPDAYWYWIGVGALIGYIIIFNLCYSIGLAYLNREYL >Solyc04g014310.1.1.1 pep chromosome:SL3.0:4:4601462:4601665:1 gene:Solyc04g014310.1 transcript:Solyc04g014310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSEGIGGRVIFGTEGNIGKDGNGVAVGNGGNAAGKLGILGNCGSVGLGNEGIAGKLGSCSRLRAP >Solyc01g098770.1.1.1 pep chromosome:SL3.0:1:89084002:89085285:-1 gene:Solyc01g098770.1 transcript:Solyc01g098770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILNFLLTFLAVSNLVYLSQSQVTRAPFKPDGLALQVFKDSATGLHITNITKGTPQQSIPLLIDLNGNFLWLNCEKNYLSSTYLAPFCQSTQCSRFGSHSCNKCFSTTPRPGCHNNTCAVTTTNPLTSQTATSEVAQDSLSIQSITQSGSNYGPLVTIRHFLFACSPSSLSQDPFPNNVQGVAGLAHGSVSLPIQFASHFGFHRQFSLCLPSSSQKNGAIFFGTSGLNGTQTGNLTYTPIIIGSQGEYFIPVRSIRVNNKPVPLNRSSLISTRTRNFGGAMISTTAPYTALEHNIFTTFTQFFANQSSGISQVNPISPFGLCFNSNVSSITNVPNIDFVMQNKNVTWTIVGTNSVVEARPGVSCLAFVDGGQNPKAPIVIGVHQLEDNFVEFDLVRSRLGVSSSLLSRNTSCSNFNFTSKSSFD >Solyc11g017476.1.1 pep chromosome:SL3.0:11:8522636:8525446:1 gene:Solyc11g017476.1 transcript:Solyc11g017476.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPEGSCAGEMTTNLSGLLESYHDVFWKPTGLPPFRAQDHSIHLVPGAQPVNVKPYRYPHFQKQVMEQMVKDMLKDGVIQPSTSPFSSPVLLVCKKDRTWRFCVDYRALNAITIRDRFHIPTIDELFDELHGGKFFLKLDLLSGYHQIKVKLEDVAKTSFPTMNEIFRPHMRRFVVVFFDDILVYSASWSDHLEYLAAWSPPRSVKEVRSFLGLAGYYRRFIHHYASIAGLLTDLLRKDSFKWTEIEQHAFNTLKSRLISTPVLALPNFSQEFLVETDASGRGIGAILSQQSHPIAFFSQNLSSRMQKALTYHREMFAITQAASKWRQYLLGRQFTILTDQQSLQAVLMTISVNSLAIEVDLKQLNQTNPELVPIQQALDLGNKKFDDHQCKEGILFYKRRIVIPSDSPLRHKLLLELHATAIGGHVGVFEDIAMDFITCLQSFNGKTTIMTVVDRLTKYVHFIPLPSIFSTRTVAEAFVVDIIRLHDPPRSIQYLRCYVAEVPSKWVAMLPWVEFWYNTSYQTSADMTPFQALYGREPATVARYILGGSASELVDSYLLQWDEVLHILKNTLLKAQNRMKRLADKSRTDTFLEVGDWVYVKLKPFRQNTLRLQRDHKLGRYYFEPYQVLKRIGPVAYRLELPESTKIHFVFHISMLKRCVGTPDQQEGSNVVNETSVDWDATQDEHMGLPRKTARK >Solyc04g008550.3.1 pep chromosome:SL3.0:4:2167065:2171069:-1 gene:Solyc04g008550.3 transcript:Solyc04g008550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPTPPQKLQIQPPPSSTPAVAPSAEEEALKRNTDCVYFLASPLTCKKLFLFCPQGSECEYRHSDVARLNPRDCYYWLNGSCLNPKCAFRHPPLDGLLGAQVTTPKGSSAPPVASVAPTPNVPYGSSKQGVPCIFFQQGFCLKGDRCPFFHAPLFGSNKAPPHPVSTASASTEKTNFKAFGGLEKCVQEKTFFQTNIWKSGELSVQAQPVEKLKTPLPKNNAVFNGNVLPSTPVIDVAHNRHKPKSVPPPNGNPVGRSNRVQQSARFDDHSNFQNKDDEISRELSPGFDVLVDDELRGTDFYHGEGRYGRNGGRNEYDIVRSADYTSVADVDQDMYHDGYGHDSHDRLPGRSGRVQHRTSSERVQGESAHLERRRYGRAHSPEDVRESDLRHRLSKHNRVNGLRSVISQDYASEKHVEDRGYRSSRRDRPYVPSHDSSLASRLRGRIKLPSRSPSPTNGTDMRLDRARDRGRLSSERPQLFSQQGRLRDRIKGRVQEDLNDSGRNNSGPRIRRDVSENDSNFSGPRSLAELKGRKTAETNEQNIDEQQALGKRKFQKRDDYQQTGGDNISFDGPMPLQEILKRKRNSKTGMTSDKSEDHQHERNDHALPVVTSSISRNNTDFEQKEESDPPSGVLADHKSPAHHVANELEAEEGMIMEEADDQDPEAEGHDQRDGDYDYEQVDGEDYNLDEGENIDAGEEYLDEDDDEDEFAKKMGVVFS >Solyc01g056330.2.1.1 pep chromosome:SL3.0:1:53384431:53384799:-1 gene:Solyc01g056330.2 transcript:Solyc01g056330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLSDNGSDCTNINPFSAIYSYSKAKIQQSLNQPQGTIHTLLNRNKECQSLIILSAANCSRMEPFKDVKYHSVIKESIKKDPLIPIRNSLGPLGTCLPIENFYSSYHLMTHNHILVTKYLEPD >Solyc01g106205.1.1 pep chromosome:SL3.0:1:94039634:94041467:1 gene:Solyc01g106205.1 transcript:Solyc01g106205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVAPRRTGRLIRGIHDCVGNILCKSHTITGPIAPPRKNQFMELIHQIVDNYLHTYRGTGDYSGCLKDMKKLLHDGLVVSIPSKLSSKFITAVFSNFSAEMINDYVQAWGLQISIINKKLGKNSIEVTSVQNMLVVLNVSVNVYALSPAVMMLIGSVKIKAIALAKRSPHHGIWTWLFKKMQRIREIASVTRSNALNHHEVLYEYPPFPCMKLGIFHIFPYILQVDNKDNP >Solyc02g094180.3.1 pep chromosome:SL3.0:2:55425108:55430771:1 gene:Solyc02g094180.3 transcript:Solyc02g094180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BDL3] MERLRGLYVLILAIILILGGAEGELVENFYGFTCPNVEFIVQQAVSLKFSQTFVTIPATLRLFFHDCFVEGCDASVMIASPNGDAEKDSKDNLSLAGDGFDTVVKAKQAVEAQCPGVVSCADILAIATRDVVVLAGGPSYNVELGRRDGLISQASRVAGKLPEPDFNLIQLNTMFARHNLSQFDMIALSGAHTLGFSHCDRFANRLYSFTPSNPVDPSLDPEYAKELKDMCPQNVDPTIAINMDPATPRTFDNEYYKNLVKGKGLFTSDQVLFTDESSQGTVSDFANNAFDFNGAFVTAMRKLGRVGVKTGGQGEIRLDCTRFNS >Solyc09g091020.3.1 pep chromosome:SL3.0:9:70843944:70851615:-1 gene:Solyc09g091020.3 transcript:Solyc09g091020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLWPENSSSDSSTSNPIHVPPPPPPPGWNSPALPIEQNPNCKNRCGNVFQLLTKREVSPRAKRSSKKLWDENSKYCAHSYEKLKSQVARDPRRGLISWVEAESLRHFSAKYCPLLPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQSGKCLKVLSGHRRTPWVVRFHPLYSEIVASGSLDHEVRLWDAKTAECIGSRDFYRPIASIAFHAQGEVLAVASGHKLYMWHYNRRGEASSPAIILKTRRSLRAVHFHPHGAPYLLTAEVNDLDSSDPLMTFTTSLGNLRYPPPTVYLTDAHSTYRSASANELPIMSLPFMIWPSIARGDPRMPLQQSNADMGSDSTQNRADTSASVRLLTYSTPSGQYELLLSPVEPTLSPAQEAQTSSSVRDTENASNPVGDPMETDAPTEERNNQFFPFSDPAYWDLPFLQGWLIGQSQAGRQAIHSEHSGATNIVSAYGEVEHRPAVPSIISNGNHPRSGRSGSRHRSSRSRVIPVAGSGDSAVPINIAHNESDSQALMSRFQSEIATSLTAAASSELPCTVKLRVWPYDIKVPCAPLDAEKCRLIIPHAVLCSEMGAHFSPCGRFLAACVACISHGMEADPGFHGQFRHDAATSPTRHPIAAHPVMYELRIYSLEEANFGRVLASRLIRAAHCLTSIQFSPTSEHLLLAYGRRHGSLLKSIVIDGDTTLPVYTILEVYRVSDMELVRVLPSAEDEVNVACFHPLVGGGLVYGTKEGKLRILQFDKSNGLDSTVSCSPDEDMLEVPTYALEG >Solyc10g076670.2.1 pep chromosome:SL3.0:10:59725334:59728419:1 gene:Solyc10g076670.2 transcript:Solyc10g076670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLQSWPEPIIRVHELSESGIKKIPENFVKLPSERPSSITTLSSSSHVENIPLIDLENLNSSDESIRDETMELISKACREWGFFQVVNHGVSHELMANARSVWREFFHLPMDEKQKFANSPITYEGYGSRLGVVKGAKLDWCDYFFLHYLPEKLKDENKWPSLPISCRHIIGEYGEKVMKLCERLTKILSINLGLNEDYLHTKFGGDNERGACLRVLSNAIYKSIEHRVIVNSAKERVSLAFFYNPGGDILIKPADELVTEERPALYLPMTFNEYRAFIRTKGPCGKSQVESLKSPR >Solyc05g012270.3.1 pep chromosome:SL3.0:5:5557568:5563928:1 gene:Solyc05g012270.3 transcript:Solyc05g012270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Argininosuccinate synthase [Source:UniProtKB/TrEMBL;Acc:K4BXV0] MAQVQAISSCSSVNLLFRGSVKSSHRIQDKFWCSKKLGSLQELGVKASEFNGVAIAHSSSSFASPCATHAIQAVLGNDKATTSSTVILEKPLRKKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGIKELEGLEAKAKASGACQLVVKDLQEEFVKDYIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAREVGADAVSHGCTGKGNDQVRFELTFFALNPELSVVAPWREWEITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPMKDMYMMSVDPQDAPDQPEYVNIGIVAGIPVSVNGKELSPATLLSELNEIGGRHGIGRIDMVENRLVGMKSRGVYETPGGTILFSAVQELESLTLDRETIQVKDSLALKYAELVYAGRWFDPLRESMDAFMENITKTTTGSVTLKLYKGSVSVTGRQSPHSLYRQDISSFESGDIYNQADAAGFIRLYGLPMRVRAMLEKGL >Solyc06g069635.1.1 pep chromosome:SL3.0:6:43469144:43481167:-1 gene:Solyc06g069635.1 transcript:Solyc06g069635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTNYLPGHYNPTDNVVSLTGNSQSIPHSDIACNSSRGFHLAFPPLMDESQDLVNEKEILRQTMLKHDATFRYQVSELHRLYGRQRELMDEMKKRELVEDHMHLQASKANTSVSLFRSDISEKTFRRSVNLTSIEPYAPSKEMFQDPFNSGADKTVQPGGDCLSGQNILNECKPSSLKNDTSRKRILDLELPAEAINNECREQFEEENPAKKKNNLISELQPQCSSKVNLVASGNSSSSPSSSRGTFLLFDLNEPVQPFESECPNLAFESNNIHEEIRDRDLDLSGMARADFSTLNKEGRDESNLKSFDEVSSVGRALIPSNQPTSLPLENVDKIYAETTTDKSLAISSWREFKQIPVAVQALSCFNSDASFSKSTESSVRNSNLTATKLNVDLGPVSTPTSGSLTSCFMQHDASDSTGEHSAAVKENKFSDYTSSGNGMDLNLTPSTSLSDCQSAPSVDISQLNFPEGRNVEIQKNSEVPDSNMVPNSTAEYGKCTRDNHLVGSTIDSKLSTANSCINLNSCIKEDLLSSSPSEATKSTAERDLKGPVSPENKECSPPRGDSQDISIRTSIDLSRGGHDDPIKEPDTVAADTLVYISSSVVHRFSKNAIGEPSESSSNCLRKLAEVATSLESIQENEVEESMEVHFKRDVLRSERTASSRMKLNLRKGHIDNSRSYLKVAKKKGKGIVCNQTRRGQARRTKEQKNLQTDETNVASKSGAWKKTPSRTSSRGRRRSSDEERTMCSLLLQHTLDNKHGITGRFLKGWGMTKKRQSTRRAKSCVSPLFLAA >Solyc08g080650.2.1 pep chromosome:SL3.0:8:64002840:64005894:1 gene:Solyc08g080650.2 transcript:Solyc08g080650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLTSSFVLFFLLCVTYTYAATIEVRNNCPYTVWAASTPIGGGRRLNRGQTWVINAPRGTKMARIWGRTGCNFNAAGRGTCQTGDCGGVLQCTGWGKPPNTLAEYALDQFSNLDFWDISLVDGFNIPMTFAPTKPSGGKCHAIHCTANINGECPRALKVPGGCNNPCTTFGGQQYCCTQGPCGPTELSKFFKKRCPDAYSYPQDDPTSTFTCPGGSTNYRVVFCPNGVADPNFPLEMPASTDEVANSTNMAYLRSSFVFFLLAFVTYTYAATFEVRNNCPYTVWAASTPIGGGRRLDRGQTWVINAPRGTKMARIWGRTNCNFDGAGRGSCQTGDCGGVLQCTGWGKPPNTLAEYALDQFSNLDFWDISLVDGFNIPMTFAPTNPSGGKCHAIHCTANINGECPGSLRVPGGCNNPCTTFGGQQYCCTQGPCGPTDLSRFFKQRCPDAYSYPQDDPTSTFTCPSGSTNYRVVFCPNGVTSPNFPLEMPSSDEEAK >Solyc02g089940.3.1 pep chromosome:SL3.0:2:52263144:52268124:1 gene:Solyc02g089940.3 transcript:Solyc02g089940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIATQPITLRPCHKYPVIQQVVENLNSMSQDYHHHSLFSFPNGFERSQAEQQQHQHQQAQQIRRDKLRVQGFEPFEDETSGLPTVYETAGMLSEMFNFPPGNAATNAAELLETQFNPNFRQPNPRIHAAAAMGNEWFGNHRQGMVVGGGASSQPLGYAKNHTDSMQLFLMNPQPRSPSPSPPNSTSSTLHMLLPNPSSTPTLQGFPNPAEGSFGQFMTWGNGGASAATATHHLNAQNEIGGVNVVESQGLSLSLSSSLQHKAEELQMSGEAGGMLFFNQGGSSTSGQYRYKNMNMGGSGISPNIHQVHVGYGSSLGVVNVLRNSKYAKAAQELLEEFCSVGRGKLKKNNNKAAANNPSGGANNEASSKDVPTLSAADRIEHQRRKVDRRYNHYCEQMQMVVNSFDLVMGFGAAVPYTALAQKAMSRHFRCLKDAIGAQLKQSCELLGEKDAGTSGLTKGETPRLKMLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQEAKDEDENSQSQNTINSFSENQATFTTNIHDPDACRRGNFSGDDGTTTHDHMGSTMIRFGTTAGDVSLTLGLRHAGNLPENTHFFG >Solyc11g013740.2.1 pep chromosome:SL3.0:11:7060529:7062296:-1 gene:Solyc11g013740.2 transcript:Solyc11g013740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFDEVALDYLSLVTEMTRHIHVRAAGGKDFYLDWVEDNRKITPRDYLQLALRPVQGGEKDVAAKNEESVFSQYQFHMLHYLFAHTQNFTLFTPSNDGT >Solyc12g005610.2.1 pep chromosome:SL3.0:12:323979:329904:1 gene:Solyc12g005610.2 transcript:Solyc12g005610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVAILSLLLVVVIPYGFSCVQTCEIHCIEGEKNALIKFIQGFKNSSQNMLWLDENCCQWKGVKCDNKTGHVITLDLQNQFLQGEFGISLLDLPHLVHLDMSQIDFQGAHIPDFISSFKNLEYLNLSKTNFRGEIPENLGNLSRLQFLDLSGYYSLSVSSLKWIQPLFSMKTLDLSGVNMRSAENWLHDINMLSSLSELRLSACQLTTFPELLPINVSFTSLRVLDLSLNYFDTSIPSWLFHTCQDLVYLNLSRSQLDGLIPNDFGNMSSLRVLDLSKNSLRGNLSHSCEKMSSLSFLDLSRNSFTVTFAYKGSSVFAVASSYSTVVNLTPDSKGVLAGFDPPRPQSEINSSYCNIKEMTVRNLKKKEKKLIDFLYFKYTIMTPIYRDHVISI >Solyc04g079330.2.1 pep chromosome:SL3.0:4:63930539:63934319:1 gene:Solyc04g079330.2 transcript:Solyc04g079330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4BV17] MVKTPYFHLFFLTLLALSNLVHCYKPKSLKPISHYFKINIEKTTSSRSVSQRTSPVHQNSLKESNKIEKLPGEPEDVDFNQYSGYVTVDSSAGRALFYYFTESPTHSASKPLVLWLNGGPGCSSLGGGAFGELGPFRVNKDGTLQRNQFSWISEANIIFLESPAGVGFSYTNTSSDFNFSGDRTTAHDSYTFLVNWLERFPEYKPNDFYLIGESYAGHYIPQLAQLILLHNSNSDETISTINLKGIAIGNAYVDFEANMKGTTEYYWSHALISEELYNKIILTCNFSTPSSVSKKCNAYLDQIDEEIGNIFIYNVYAPLCTNRSPSSTSTSDVDPCLPSYIQSYFNIPEVQKAMHANVTNLPYPWESCNNTLNLNWKDRPLSVLPLLHQLMKSGLRIWLYSGDMDVVVPVTDTRYAIKKLNLSVKTSWYPWYLQGEVGGYVEEYENLTFVTIRGAGHFVPTYQPNRALAFFSQFLSGKPPPKK >Solyc02g064590.2.1 pep chromosome:SL3.0:2:36265581:36285748:1 gene:Solyc02g064590.2 transcript:Solyc02g064590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPSACAMQWSIELEKGLRSKKPGKSIEAILDIGPRLEWWSRESNLHAAEYKIFGLIPGEDKLFANAILLRLADAFKSGDEHMKICIVKIFLSELKQRRQLRSQGRKDEGILSKDKVDSYRELLTRIKIVFDSGNVEERALALVLFGCWAHIAKDSADVRYLILSSLCSMHILEAKASLFAAGCFSELADDFAYVFLEMLGGLLVSSETSRAIRLAGGRAFAKMWCPILLADIAHKTGVKLILESSEEEFSLVMLVSLSEIASKWTPLIPRQVELLSSFLTKDRGLRLQVMALKCLRFILAKGMYHFPANSNVTLKLFGVINQLDFPPALHFDALRALCKILPPNLDTIPCTEILTIFSKFLQVVEVKLQSPVISERVFAIHVLACIFDKLLGILKDAAGGIGSIVSSRMLTFTLDRISQLIKLEVDNPHPDKGTEQEVKSLLFILVDLVGRHQDLCGIVLDKICIVIEHLVDVLNEITSMTNSVSKDHHITELDKENHTSTASRVLIYLSQILITCFEKLDISTGGATEVFNRMEHLVEHVHQCSLLPVYVHLIYDFLLHFHAAYQCKWLEIGEDLGSNRNFRPSRYNSLSHDGPLSQHEILIIDRVKQILVKKDYWLSYKLAKYAACNGAWLVAAYIFGELIPMVQSDLCCFWLKSLSHLSELERKFQLFGLTLSGNAAGEIMTADQIENVIGAANKLCSLEESFDASVSGLAFSFQRWFITLRSKVVRTVADVLKLLSMNLLSQDATSTKQIEARILVWHSNSSKGLSSLLQLLAHASSQFMMLVKEFDLLAASFIVMDRKSMKIVSDLGLSCSLLAFSTGLTLRLASFRGKQNCSTYGLETTDEQFHAQLVHDLLRRLGFTDIETSKNLRHLLDFHRSSRSCSTQEFRNEVSTTSVEARDIAKLCKYSVQRLLSLQAILVHENNGISQIPRDALPLLFNIIFSWIQIPFRTPKHFFQLRPPISAELFITNEDGKRIDDISVFSGFQLPLTLCIQLRNISQDQLSQVSKLYCILHSRTSFQVFSANRDKKVSESICQAWKSDHMVGLNDKLLHFTTGTTERDGLRAMENAGGSSAVDKFVCFDPNEKGQGFATCLLNVSAFPVGSYQIKWHSCCIDKNGAYWSLMPLNTNQFFTVQESFNSGQSVRVP >Solyc03g034335.1.1 pep chromosome:SL3.0:3:6162464:6168942:1 gene:Solyc03g034335.1 transcript:Solyc03g034335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHKKGPTTYYVTNCIKTLFSNFFLSPFHILMSSPTFNTPFFSYILMKSRFFVLDRSKIGQTMNESSTSLRITRGRVFWKIKTSSTRTNHERVEIKEKKRPYDSSTSYKKMPKPEELKLYKEQERGNMLTLNQRIMILKLSDLMNFIIMRMVVRYICKNREKRTIHMQCYTQINTLNELQNKLPPNQYNRICASSCFTQLTPMRRCHVQAQLFRCIMLRKLEGSSVNAILFYINGTTLRFTIREFVIISGLNCSDNAADFYFDTDQPNRIIDEYFPGNSPVTKARLAEAFKAKVWGDNQEDAYKFGILYYIHEFIMSAEPTTTTIDRLNFDLVETGRFMDYSWGRKAFNELDKSINNKIKSCGQYYRIQGFPLPMQVWFYECCSYVEDKIVVKVSSHIPRIINWVTKNNHPRFDYFMKIIFNDADNPISSTKAIKTYTRRSMARKATPSQSININSVAKHSDVATSHNNEHVEQKSVQDRTQMGQIKKSTSITISRDEFEAFKKSVKDEFADLRKMLEDKFKTVLEAMNSKVNVVVMINNQPSEIFITSPHTLHINSKVNLQLGDNLHELNQNSPLLDQVILGDNLNDVSGTASQDQLVLYANVDAQQNTQRETESSSNSWVIYNIYNAASHERIAEAEESIIVAAPIQMVYMPDSNQETVITESQDELPDHLLPSVNTLQNIVLQKQVEAEVTPMPAVRHRHPGPFNISPYMTSFGSDAGSSSRQPVVFYMKHPFVSLSDKEESDLFSNFWIWLKRTYSSSITKKGRLHWILIVISFNDRCIKVYDSIKNSLHHSIVVNHIKKYAQLIPMYLVKSDFYLKKGVDIASHHRYQGHTIYDSFEIVYVEDLPQQPAASLDCGVYVASYAEFLSERKDIPADLNPEEIRLRYGALFDSEAPLKFVRIRTENNSSERITIH >Solyc03g078817.1.1 pep chromosome:SL3.0:3:52956379:52961412:1 gene:Solyc03g078817.1 transcript:Solyc03g078817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTHIFSEQNKVVDLLSIEGLRNNNCGIPTFLVVPPLYAKDKYTDSYFFLLLNVDDMLIAGSSMREIKNLKRRLSAIFEMKDLGPAKQIFGMKISRDRSVGTLNLSQELYIEKLLSRFRVNDAKPRTTLLANHFKLSKEQSPKTAEERDHMALVPYASTVGSLMYAMVCTRPDIAHAVGVVSSTSLCFGKGKVTLQVFVDADLGGDVDSSKSTSAEYVAIAEVGKNMIWLTDYLEELG >Solyc11g064940.1.1.1 pep chromosome:SL3.0:11:50549238:50549432:-1 gene:Solyc11g064940.1 transcript:Solyc11g064940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNKKGQKQRVPGGFQAERLTEMLEERHGGLFLLYHVHDDSSGVLSAAAVVKETLKGPKSLNRG >Solyc11g069370.2.1 pep chromosome:SL3.0:11:54253877:54270515:1 gene:Solyc11g069370.2 transcript:Solyc11g069370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEHKEKIMAEVVDNDEKIGVCENDFVISTPKIERSSTITEETTHAKHWRKPNLSLDIPSRTLDASPQELVQIKRPFTPTPKRVNFLLTPSTCDSRITTSSPGPSPCRGKSTIRNLFPKLSLKSRMNSDTEKVTVPDTGPAAAVVPQQEKVSISRSWSLTKMFTPRIKRTSSLPVTPISHSNPESISGSINNSLTLGTKETHVCISRSMSLPVINKEKEGSNRRVEFFFRVIPSTPQVKDVDSSSVPATSPTKVPEDNEQGGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECALKWFSIKGNKTCDVCRQEVKNLPVTLLRMQSVRNVNAGSNRFRHMELNGHRVWQELPILVIVSMLAYFCFLEQLLVGKMGTGAIAISLPFSCVLGLLASMTSSTMVKRRFVWVYASVQFALVVLFAHIFYSLVRVQPVLSILLSTFAGFGVAMSASSLLVEFFRWKRRRAALLEQQQNADMVLPPGGWPQMNQPATTSRVEKIGFLNLWARSRKGLSAVSVKKMGWSLENPDGDVETKKATVSPAAEDYGQEAIEAIRAGKVIAVPTDTLYGFACDACSAEAVNRIYEIKGRKHTSPLAICVGDVHDIKHYAVTDHLPLGLLDCLLPGPVTLVLRRGESSILEKSLNPGLESIGVRVPDCNFIRVIARGSRSALALTSANLSGQPSSIDIKDFENLWEHCAYVYDGGILPAGRAGSTVVDLTKLGKYKILRPGRKRPLLSLKDTHYWKMELEISKPLLKLKLFSQTSLVPEFPNGTPLPLAAGFVGQAAAREAAGLVVDMIRQKKMAGRALLLAGPPGTGKTALALGISQELGSKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVTELSPEEGESLTGGYGKSISHVIIGLKTVKGTKQLKLDPTIYDALIKEKVAVGDVIYIESNSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVHKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNRYVDEGVAELVPGVLFIDEVHMLDMECFSYLNRALECSLSPIVIFATNRGICTVRGTDMTSPHGIPLDLLDRLLIVRTETYGPAEMIQILAIRAQVEGLEIDEESLAYLGEIGQQASLRHAVQLLSPASVVAKMNGRDKICKVDLDEVNSLYLDAKSSARLLQEQQDRYIS >Solyc05g046280.2.1 pep chromosome:SL3.0:5:59317587:59320395:1 gene:Solyc05g046280.2 transcript:Solyc05g046280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSESSIKQLNSLLSNFTHSHQFSDALSLFNQIHSSLHLRPDHYTLSTTLTASANILNTTFGNQLHGFAIKTHLKQYPYVSNSLLSFYAKSKDLGSVKRVFKEIESPDVYSWTTLLSASTKLGEVGYACKVFDEMPHRNLAVWNAMITGCAESGYHGIALDFFQRMHFLGVRYDNYAFASILSLCDMELLDFGRQVHSMVIKTGFLVRASVINALVTMYFNCKNDFDAFGVFEEAEDEVLDTVTYNAMIAGLVSMERAEEALIMFKDMCKFSLRPTKLTFVTIMSSCTCTRIASQLHAQVARIGLENYTSIANATITMYASCGNLNEALLVFERLRVKDNVSWNAMITSYAQNCLDSAAISAYIQMQKEGLEPDEFTIGSILASSESLVIVEIILGVVLKKALIFKTEVSNALLSAFCKHGEMKQAYQVFHDMFPRNMISWNTLISGCHLNGLPMGCLHLFSEIVSECLMPNPFTLSIILSVCASISALQQGKEIHTFILKSGFISEISLGNALITLYAKCGLLHWSLKVFQIMTQKDIVSWNSIITAYAQHGKGKEAVHCFEMMQELGGVIPDNTTFNAVLSACSHSGLINKGIEVFTSMVHSYGIEPTADHFSCIVDLLGRAGYLDEAEKLVKDRHVDVDSTVWWTLFSSCAAYGNVKLGRIAAGFLLETEKNNPSVYVLLSNIYASAENWEGSANVRKLMNKCGVLKQPGSILTCLVSKFNLTYQKEIVHGGRKVLCLKNLDYNMTNLWVEPKLVILLLYGICFLFFFIFINHTGGYSVDVTIKALGR >Solyc12g009743.1.1 pep chromosome:SL3.0:12:2969172:2969847:1 gene:Solyc12g009743.1 transcript:Solyc12g009743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGQLITLEALDLSWNRLTGKIPQELTRMNFLSVLNLSQNHLIGPIPQGLQFNTFGNDSYGGNLDLCGPPLSKQCGTSDPSHAPQPLEEEEKDEISMAIQVMLVRFCFKLT >Solyc10g047450.1.1.1 pep chromosome:SL3.0:10:40740046:40740207:1 gene:Solyc10g047450.1 transcript:Solyc10g047450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRGFLFVQMVLRAVNEHEEITDTFFYLLSCSVGSVAQDLWWFTEILSMTYV >Solyc01g110680.3.1 pep chromosome:SL3.0:1:97212766:97215231:-1 gene:Solyc01g110680.3 transcript:Solyc01g110680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNSAGTENFNNQYIVLSVTSGVLHFFGDSLVPDTINKNFPEGAKVLQQVDNKFIPIVASTALDITDQKSSATRDIPKGHFAVYVGEMQKKRFVIPISFLSEPLFQDLLSQSEEEFGFDHPMGGVTIPCCEDLFIDLTSRLRK >Solyc12g017670.2.1 pep chromosome:SL3.0:12:7175361:7177289:1 gene:Solyc12g017670.2 transcript:Solyc12g017670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSIPKIHFDLVESGRYHEYPWGKDVFYKLLKSVTKKMDKKKKYYRIDGMPLAMQIWIYECCSAIDSNIAVKKSNRIPRIINWMTRNSRIHYEFLMEGIDKDDDEDDDFTSKPPSNKPHNKEKGKQKAYVLRSTLIKKSNLYAGSRLKDKRPTVLNGCRKAKSTTLNSDSNPLEDNVLLQELHNCPDDSANRTPLRSSKEPQDTKADEIGLLRQDLASFKNYVNNEFKELQLFIMGNFRQVMDALNRSCLESGAPRQEDSTESPSHVPNWSNNNPMKDDNQISNVMDKPHCDANEVRTPRFVLQEYVKINVKEYLQSVQIHIQDPLTNHDTQQPQSQIELIDALLPNIDAINPKKNDVVHSKVVVHPESVVYDTTPVPVKRIRHPDRLTGDHNVIQNDGIQQPQSQFELLDALLPDIDTIYPKKNVVVHSEVVVRSEGGVYDNTRVPIQSIIHSDRLICSPYSTNIGSSSCK >Solyc10g081560.2.1 pep chromosome:SL3.0:10:62728093:62730961:-1 gene:Solyc10g081560.2 transcript:Solyc10g081560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IININKQEMAELREKWSLEGTTALVTGGSKGIGYAIVEELANFGARVYTCSRNENELQKCLDIWRKKGLKVEGSVCDLLSHTEREKLMKNIEDVFDGKLNILVNNAGVVIYKEAKDFTKEDYNIIMGTNFEAGYHLSQIAYPLLKSSQNGNIIFVSSIAGFSALPSLSLYSASKGAINQMTKNLACEWAKDNIRVNSVAPAVILTPLIETAIKKNPQQKEEIDSFVVKTPLGRAGKPEEVSAVVAFLCFPAASYITGQIIWADGGFTANGGF >Solyc01g098590.3.1 pep chromosome:SL3.0:1:88948288:88949616:1 gene:Solyc01g098590.3 transcript:Solyc01g098590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVKIQSNGNDNDTRFPKRIILVRHGECSANADINVYGTTPNHKIELTEKGIEQAKQTGTRIKKLISENDKNWKVFFYVSPAERTRRTLREMGGSFPKRRVMGVKEEYRLRELNFGNYHDPASIGKIKKERVTYGRFYYRVPGGETGAEVYDRISSYIECLRRDIEMKKFCDEETNIIIVTHGLSSRIFLMKWFDWTIEQFEDLNRMKTSEFQVLQLGHGGEYSLAFHHDDKKLGEWGLSPDMIEDQKCKAYGPNGVKWQGTCDSYPFMDCFAQDSEDENTII >Solyc03g118920.3.1 pep chromosome:SL3.0:3:69170664:69181246:1 gene:Solyc03g118920.3 transcript:Solyc03g118920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTNLIQNCLKVRLKANQFLSTMSTSLQFCPNRFHFETNPLLYRSSQSVIPQKRCLHGSVGFFCSAKGSSSSSSSVVLRRKRHGSSSSSSYPSPYFYQQNLGYGRFAYDECESESESDRETQSSKQLGESTLHNIEEWRWKLSMLMRKKDDQEVVSTDKKDRRDFEHISAMATRMGLHCRQYEKTIVCSKVPLPNYRPDLDVKRPQREVVLHYGLQSRVGDLLEAHLSKKSVNKGNLTHNAFLRGSNDNSSPNDKELHENEKPFARNVVAERILRRRSLEMRSKQEDWQGSPEGQKMLELRRNLPAYKEREALLRAISENQVVVVSGETGCGKTTQLPQYILEAEIEAARGATCNIICTQPRRISAMSVAERVAAERGENLGESVGYKVRLEGMRGRDTRLLFCTTGILLRRLLVDRKLEGVTHVIVDEIHERGMNEDFLLIVLKDLLPRRPELKLILMSATLNAELFSSYYGGAPMIHIPGFTYPVRSHFLENILEMTRYRLTPYNQIDNYGQDKMWKMQKQTIRKRKTQIASAVEESLESADFGQYNPLTRDSLSCWNPDSIGFNLIEHVLCHICRNERPGAVLVFMTGWDDINTVKDQLQAHPLLGDPSRVLLLACHGSMASAEQKLIFDKPEDGIRKIVLATNMAETSITINDVVFVVDCGKAKETSYDAINNTPCLLPSWISKASARQRKGRAGRVQPGECYHLYPRCVYEAFADYQLPELLRTPLQSLCLQIKSLQLGSISDFLSKAIQSPEPLSVQNAIEYLKTIGALDEDENLTVLGHNLSMLPVEPKLGKMIILGVVFNCLDPVLTVVAGLSARDPFLMPFDKKDLAESAKAQFSARDFSDHLALVRAYDGWKDAERQQSGYDYCWRNFLSAQTLKAMDSLRKQFLYLLKDIGLVDSIQSCNAWSNNEHLVRAIVCGGLFPGICSVVNKEKSISLKTMEDGGVLLYSNSVNAQEPQIPYPWLVFNEKVKVNAVFLRDSTAVSDSVVLLFGGSISGKALDGHLMMLGGYLEFFMNPSLANTYISLKRELNELVHKKLSDRNFDVGSHGELLEAVKLLVSEDQCEGKFVYGRKPSPKKSAKELQKNVISKKGSGGENPKSHLQTLLARAGHQSPSYKITQLKNNKFRATVIFNGLNFSGQPSSSKKDAEKDAAAEALQWFTGETQSSSKAVEHMSALLKKSKSKNQLHSTKWR >Solyc10g018280.1.1.1 pep chromosome:SL3.0:10:7308849:7309064:1 gene:Solyc10g018280.1 transcript:Solyc10g018280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVWSLKANTKTVISVRPMMKFENGYSVETVFDGSKLGIEPYSVEIMSGWWLDQPMDTLDMLTENCGRQE >Solyc03g116770.3.1 pep chromosome:SL3.0:3:67539444:67540689:1 gene:Solyc03g116770.3 transcript:Solyc03g116770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRPSSLPVLKQLKGTHHVDVNSNKGKSILEDEDEYDEIIDFERISTPVICKTVQNWEATSRGEEFSCEKQGLWRREQTNRATRLEKQLKARWAVDGLIEEELNRFRAQYKRSIVPSKLKDVVQLITPKWTPSPELATLTWLGDWRPSTILDLLQYLAHSSGLSKSLPDSVAIEVALPQLINELRIEEAVIDEEMAEIQSNCIFYLPFGPKKEANKGKPAMACVESEFKKIHRVITKAQNLRLKAMEMAVKKVLSRTDAAEFLVAFAGIQDLVHQWAMQYKLQKGPVSISTKALKSGGSPLK >Solyc11g010270.2.1 pep chromosome:SL3.0:11:3354630:3357745:-1 gene:Solyc11g010270.2 transcript:Solyc11g010270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLGSSDSLGALMSMCPSTTDDQNHGNSHVYSTRDFQSMLELGLDEEGCVEESGQKKRRLSVEQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYNVLKANFDSLKHNYESLKHDNEALAKEIRELKFKVYGGENGESRGAVVVSVKEEAMESDNDDKMIEQNNPNDLLEEDDEDQDDDVEINATIASTIFADFNKDGSSDSDNSSAILNEDNSPNAAAISSSGAFLISNDGGVGCSSPNSLNFTFKFTESSPKSILGDSQKANCFTYQPPSTTTTTQYVKMEEHNFFNGEESCSTLFSDEQAPTLQWYCSEDWNFKDSKSSFFDHE >Solyc06g082830.3.1 pep chromosome:SL3.0:6:48533611:48550039:-1 gene:Solyc06g082830.3 transcript:Solyc06g082830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLDMLTRDYGFRPGGKSAPMRSDGGDRRSSSVRSSSSSPLYDDPDGLLYKDVFGGPPKYTKSSNNNSKSSSMNDINYDSIFNTGNDSNSYNNSKTSSVPVYDKPMKDEHFGDLLWNLKSNEKVTGPKSSTSSSAKEFDDLLAGFGSSTSTSNMRSFTESSHYSKPTGNSNQSTIALDDPFAGLGPTSMSPLSNSGEFMDPLEQIGQLGKSGNAHSEASSVSGGVLDDLDPLNGFSKSVPPLYSARTNRGTGGSPQRVGSGRSDTQASSSRENIGKSSSRSSDSRSQKKVPADGFQDSPLFDIPSADPQRSFGPAGSPPSYPSGNIHETHFGSDTSPRSEDQMLPSDDVWLSVSEIPLFTQPTRAPPPSRPPPPIPRRNFKSESSSFASNARKKGDRHSSSPSYNQYSQSPKVVRPAAKSPPTSQLDELDEFAMGKSPHSVDGSAEVSGEDMNANSVAAASAAAMKEAMDRAQAKFRHAKEVRERESAKAAKSKEAVNLDRDEQAMHETQEKELKENKERLEREPAVEKAGAEARERAERAAVQRAQAEARERAAAEAKERAEKVAAEAREKEAREKASAAKAEAEVRRRAERAAVERAAAEARERAAADARERAAAAARMNQQKNDDDLESFFNMGSRANSTPKTRTSSVNETSFASQFQNKAGAGGPKPTFSSTTTSSNRTKASSTTSFADDLSSIFGAATSSGDFQEVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQMEQQERHRISESLDIEIKRWAAGKEGNLRALLSTMQYVLWPECGWQPVSLTDLITGASVKKVYRKATLCIHPDKVQQKGATLQQKYVAEKVFDMLKEDPSSPNSQHSQFFPWYLLFKPWVKAEEISPESSQEISGGGAWLLLNTKREEIRCRNILLQTHQPPTTPSPSSVMPLPISGIVHSAGENLEFTDRLERASSYASDGEKNQMRAAKSVSDAGVNRVNDYPPTKLIPLQGCCTSEAFQLLNDRWAAALNAHNNLSEDSRERPVMYTKRSPWGHPFLPHQLMSQAGAESSTGQKDFLSKLQMAMLNTHVNFDA >Solyc04g014830.2.1 pep chromosome:SL3.0:4:5064808:5070491:-1 gene:Solyc04g014830.2 transcript:Solyc04g014830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNFAGSNICFGSVLEISVLAQMQASQGPQRSCSVHKLYNKPMQQVQQNYTPCRASDNSNYNDGSNSQAQVSLTTENEKFFTVDTFPATDCAIYDGDPSVSVSSNRSPFSSQCSQSNMFEQRRSYEKTAGSPVSLCSGVDDSNGKKHELRELNNKLLRPESDIDDSCSCSLNGVVSKHFSLTRRNQVLDVASRLDLKELLVACAEAVDEADTSTAEVLMDALEKRVSVYGEPMQRLSAYMLEGLRARLLSSGSNIYKKLKCNEPTSSELLSYMQVLYHITPYFKFAYMSANVVISEAMKNENRIHIIDFQIAQGSQWVFLIHYLARRPGGPPFLRITGIDDSQSAHARGGGLQLVGERLASIAKSCGVPFEFHTAALSGCMVKLENLRVRHGESLAVNFPYMLHHMPDESVSTMNHRDRLLRLVKSLSPKIVALVEQEMNTNTAPFLPRFRETLDYHKAIFESVDVTRPRNDMQRIRSEEHCIARDVVNLIACEGADRVERHEVFGKWRSRLLMAGFTPCPLSPSVAEAIKVMLKEYSSNYKLAESQGALYIGWNNRALATSSAWQLPHSLPLGS >Solyc03g113440.3.1 pep chromosome:SL3.0:3:65071028:65074258:-1 gene:Solyc03g113440.3 transcript:Solyc03g113440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIINASHSSSEEEVEQNIAPKCFTRKKGGWTAIAFILGNESFEKLASVSLISNMTTYLRTKYNMGGVFLVNVVSIWSGCSNITPIAGAFLADAHLGRFLTLLFGSLASFMGMGMVTLTAGIDELRPPHCQGNARCEDPQKWQLGYLFVGLGFMAIGSGGIRACNIAFGADQFDTNTEKGRSQLKSFFNWWYFSFTIALIIALTVVIYIQTNISWFIGFLIPTCCLALSIMIFLMGRNSYIRLKPQGCVFIDMAKVINAACRKRHIHDLPTASSLYDPETRESENELSVLRHTDRFKFLDKAAVIVDPNSELNTEGVAKDSWRLCNVEQVERLKCVVGILPVWVAGITCFITMEQMNTFGVLQVIQSNTKVGNFMIPPGWMGLASMIALAIWIFIYECVYVPNASKISKKEARLSLQIRIKIGIIMAILCLLVAALVETRRRDLALKQGTFLSPLGIAYFLPQFILSGLTEAFAAVSVMEFLNNQVPETMRSVAGAIFFLSLSIASYLNTLIVNLVEILSRLHGGKRWLGGHDLNENKLERFYLLIAGLGILNFIYFHFFASRYIQSYEESKRRKTVLEDRVDAGYVDLPEKKP >Solyc07g016185.1.1 pep chromosome:SL3.0:7:6416885:6418338:-1 gene:Solyc07g016185.1 transcript:Solyc07g016185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASVSETSTLQSSTVPVTRKSSRTTKPPIWMHDYVSTSKGSANCCYPVSDVGIVMSQRKYALELISDMGLSGTKPVNTPLETN >Solyc08g069060.3.1 pep chromosome:SL3.0:8:58208646:58209330:1 gene:Solyc08g069060.3 transcript:Solyc08g069060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRNRAKISTKWIAILCIFSFALGMLFSNRVWTPPESDGRNIFGRRQTQERVLPSVSDDCETKNSQKEEMMGEFNKAQVAIQ >Solyc08g065680.2.1 pep chromosome:SL3.0:8:53988986:53989974:1 gene:Solyc08g065680.2 transcript:Solyc08g065680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIDRDIKSLENLDCSFSGTTAVVAIRQDDDLVIANLGDSRAVLGRKTEEGVIEAVQLTTNLKPNVPGLAMTRSFGDFMLKYFGIISEPDVSYHHITPNDQFVVLATDGVWNVLSNNQVVSIVRATNNAAAATETVVQVSLDAWKQRFPNSKRDDSTVICLYLQQGASLKNGT >Solyc03g096600.1.1.1 pep chromosome:SL3.0:3:60178492:60178935:1 gene:Solyc03g096600.1 transcript:Solyc03g096600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEELDQKKTIASLRHEKDYLLMKIDTLEATHKLVFEARKLEIWFQKQKLDEVDNTVKFYSGVLGEMERENIDLKVNLEEENQLIRAYALLNRMEIELLKKKNKLVKIKIEELEAKVAERRINGRSRIMRFLKVLLARTNKLAHNLL >Solyc10g081085.1.1 pep chromosome:SL3.0:10:62375675:62377929:1 gene:Solyc10g081085.1 transcript:Solyc10g081085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLIFTATTPSVLLSSQSSSTSQASAFPASLSSRFCNNHFTLTPKSYANGYIQAPFIFQRRGALIATAAADIDSVGSDNPEPSPEKKEESVPAMYDRLEVLAERWLQLDGLHSLLFLSL >Solyc12g017820.2.1 pep chromosome:SL3.0:12:7439372:7445279:1 gene:Solyc12g017820.2 transcript:Solyc12g017820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRERVRRVIQPPAQENIDKLEKVVKEGNYYGAQQMYKSTSARYASAERYSDALNVLQSGACLQLDKAQITCGAELSLLFAETLAKSKVPYDEDTLDRVRKIFRKFPRHSVPQHLELADDDDLQKLSEAIAAAKTRVEGCSSFLKAAIKWSAEFGAHRYGSPELHDMLADYMYSESPEVDIGKVSFHFVRGKNPKKFASALVNFMGKCYPGEDDLAIVRAVLMYLSLGNLRDANKLMDEVKMEVELKHLHFPSSELTQYVNYLLLTLQRDALPLFNMLRQTYKSSIDRESMFNELLDDIAEKFYGVRRRNPLEGIGDFFKMMGGD >Solyc03g033750.1.1.1 pep chromosome:SL3.0:3:5408442:5408867:1 gene:Solyc03g033750.1 transcript:Solyc03g033750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNSIATVITIATGLVVFAKTINDNRNLFSELKRVLSTKVIMVVNESDELYEAAEIYLSNIMSPKRCKIRVSTTENEKNPIKITLEHNEKFRDYYDGHKFKWIWLQSEVKSFNLVFREEDYDYVLQTYLPHIREYQKHI >Solyc07g065270.1.1.1 pep chromosome:SL3.0:7:67228410:67230026:-1 gene:Solyc07g065270.1 transcript:Solyc07g065270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPSQNPEEINEFNKFYNQPIEYQESYFLPSVNNPNNNQSFYADVSALKPAQHCYVESSAGNSSELVSDSPPIVNFNPMMNQGSDSCRSDMHHSPDDTFQSSGNSSCYTSDVTDLKHKLRELETAMLGPDSESLESFNNTIPVTAANQVPSESDKLVGMMEMMPSGDLKEVLIACAKAIAENNLITAEWLMSELRTVVSVCGSPIQRLGAYMLEGLVARLASSGSSIYKALRCKEPTSVELFSYMHLLYEICPYFKFGYLSANGAIVDAMKDENSIHIIDFQIAQGSQWITLIHALAARPGGPPRIRITGIDDSTSAYARGGGIEIVGRRLSSIAASCNVPFEFHPVSASCPDIEIEHLKVLPGEPLAVNFALVLHHMPDESVGTQNHRDRLLRMVKSLSPKIVTLVEQESNTNTAQFFPRFLETLNYYLSVFESIDVALPRDHKERINVEQHCLAREIVNILACEGAERVERHELLERWRSRFAVAGFKPYPLSSSVNATIKTLLENYYQSYTLNERNGALYLGWMNRDLVASCAWK >Solyc09g065497.1.1 pep chromosome:SL3.0:9:63854242:63856393:-1 gene:Solyc09g065497.1 transcript:Solyc09g065497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVPSLMKIVVLQLPPKGVGDSNEGVQLEPNDDIDEQALSGQNSDDDFVNEPPPLLKLTGQQNNDNAGQGPQYFTNSDNINNNNAGQGPQYFTSPVVSENHNQGLFDDEKVECDESSNDGSKEVFQFVVHSCMIAYYAPFDHKYYKNSCPSFHIAHCTTYHEAFLEAYQVYSNPFDQIMD >Solyc03g110983.1.1 pep chromosome:SL3.0:3:63166361:63168732:-1 gene:Solyc03g110983.1 transcript:Solyc03g110983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSFVITRGFIVTILKQAKGLTAIKLLSFCVIIPFVLLLPLRSISYSPAYSSHRQLHRDHQRPLSSSCFVIFNGKSLSSSSNPNFRAIPRLNALTLSRLIVNAPSFLLRKLVASSLLGGSLFWSAI >Solyc01g090730.3.1 pep chromosome:SL3.0:1:84243485:84247359:1 gene:Solyc01g090730.3 transcript:Solyc01g090730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYEWENQSSFMFTSDQNTQEENDQNNNQFLDPQAHFPHITSYQHPQQQQQQQLQNPHFPQFQATPNNTHLISSMYDPRAYGVPYTQTHDTSMLSLQPTGGFMVVPKSEPQFGGGIHDFSSSSSRIGLNLGGRTYFASSSEDDFVNRLYRRTRAVDAGSVNSPKCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHVLSEFDNGKRSCRKRLADHNRRRRKNIQQENNKKQPQPSSKSPAESGAQSSTVTVAISPPRIPVDGFRQRTYQQVTTSSSFPMGD >Solyc03g033860.1.1.1 pep chromosome:SL3.0:3:5490870:5491634:-1 gene:Solyc03g033860.1 transcript:Solyc03g033860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVCDFVGGVKVEDNAVIVKLWSLKCVAKVFRVVEFCFVVFLLLWISSRLPFAVRISGEYFRQIVGLILSPVFIFILCNFIVLILLLKSGLHSGNSSIFRNVAGAEVLYDSFLKNTEFSAVFSSGNSSLVPEIEVRGIVYEDKQTIFEENTVTNQESFGSEELETVINKALTKPKVPRRTQSEKLNEEKVEEISVKFRRSETEKCRKVTNPGDSAHEVDELSNKEFQKAIENFIAKQTKFHQQEKLAIVLHSQA >Solyc11g022380.2.1 pep chromosome:SL3.0:11:13708176:13732631:1 gene:Solyc11g022380.2 transcript:Solyc11g022380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPENMKSNSSKLPQKIHPSNDPSEFNPLFYSLEKFRLYETRARFYLIGSDRNKQFFRVLKIDRMEPSDLNISEDPVVYPPQEVKSLLQRIAEGNRATGGLNFVAKVYGIAVTKRRQIGSICGHAIYSIDESQIITIPHVSVQTDVAHSKTELRYKKLLSSVDLAKDFFYSYTYPIMRSLQNNVLSMGEDGMPYDNIFVWNSYLTHTIRSRCKNTMWTIALVHGHFKQTRLSIFGKDFSVSLISRRSRHFAGTRYLKRGVNDHGRVANDVETEQIVLDEEAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYEATRLHFEDLARRYGNPIIILNLIKTVEKRPREMMLRREFANAVGYLNQILSEENHLKFIHWDFHKFAKSKSANVLAVLGGVASEALDLTGFYYGGKPVVVKRRTTQLSRTSTARDSSLRDLRANSGELSRIGSNNEILNSLIKQEREADNSQQIGKDNDDNAAPKFQSGVLRTNCIDCLDRTNVAQYAYGLEALGRQLHAMGLTDRPKVDADSSIAAALMDMYQGMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFLKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKPALWELDSDYYLHVSGIGDDLIPDYGLSFVAIAVGQRTTLAPVPACREDFLRMKLTSFDKLLERTCCHIKNVRLCSETDQKSGNSGVAPDAAEIQLRSPNWLFGQRKYDDSNSASKLSSHAVPNGVSNGENEADGLGDLTWISGVPDLNQEDIFERYLTMTATNEANGWYGGTLLGDQDESSEIYRHYAELIQGPAMEPFEHDSENEKYYTELLLKGSAEAMDDVAIEAEMESAFKAYDQIGADLGIFPKSCKALATDPSQLTRWLVGEDKLPNV >Solyc11g012180.2.1 pep chromosome:SL3.0:11:5102367:5106446:-1 gene:Solyc11g012180.2 transcript:Solyc11g012180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:K4D623] MQSLRSSILKYVQVKVPKQVLSSQVEGRSALNILNLQIRTCSNSGSTDQDQIKEQVLNLVKKFDKIDATKVTESADFQKDLSLDSLDRVELVMAFEQEFSIEIPDEEADKLKCCADVAQYIISGAEKKAQESS >Solyc08g079250.3.1 pep chromosome:SL3.0:8:62980471:62981308:1 gene:Solyc08g079250.3 transcript:Solyc08g079250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSKFSWLITVAISAALLSATITDAQTTSCASKLVPCAPFLNSTSKPLASCCDPLREAVTKDLQCICKLFENPTLLPSLGINVTQVIALLKNCNIPGNVNTCKAGDPSSPSPSKGTAPGKCD >Solyc04g080350.3.1 pep chromosome:SL3.0:4:64647144:64651171:1 gene:Solyc04g080350.3 transcript:Solyc04g080350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEDSILNFSDEDESFEDDEDVKMNDIEEGELVEKISKTGLEETGDVCASSENPLPGKKNRRRRKNKGKNKSKRVSSGPITDINRFVLDVGRRLKERKSYLIWNAVGCLGLSALSDLVKEVDAIQTCGGQKTADGRRFRTGGGILWSILKVRDPNAYKEIMKKGKEFEKQFRQANLKQEPLQNKEASLERSSQTIGDEITASCSDVLLQQEPVEQSNSGAKRASVHDRIRMPVTYDDLFDEATDEGKDSKDPLALIMPLEKSSYDVVEGGYPKGI >Solyc07g045560.1.1.1 pep chromosome:SL3.0:7:58818230:58818451:-1 gene:Solyc07g045560.1 transcript:Solyc07g045560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSEQVGGGRGGGRRRLRGGGNNGVWPEPFLEALATQMAINTTSSINRLAAAQALSNLFQVHTPLKLLYTLS >Solyc07g041880.1.1.1 pep chromosome:SL3.0:7:54578003:54578230:-1 gene:Solyc07g041880.1 transcript:Solyc07g041880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDFHMFTGSLFLYRYQDGGPLFDQLMDLLQYHQENIHFLSEEVWVDKESSDYFFCRNKVPAMFHCKESKAATRL >Solyc06g063050.3.1 pep chromosome:SL3.0:6:39921168:39925439:-1 gene:Solyc06g063050.3 transcript:Solyc06g063050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYPLSSHMSNDGVDSLILPSAEQFQRVRQSKGNLELNNELKIKANELEKLFAEHQLRTPPAAGNYQSNYFTSSKISRHGHMQSCPSDNAGGDFLDDQVFDNDSMNIDKNSYDHELIGFAGSPGKFYDMYMKKRDAKLRDEWNTKGAEKEAKLRAMEDCLERSTAQMKSIERRRSFSSSSSFSREQQQFQQSDDREDMSEFMNQKRNDVLRSSSETSLEEVSKHTTTPRKKQNLPIKPSSKPRNIVASVPRSPMKVSSSPSSRRKSQAESPLARSVPNLSYMRKENAEPYSPAGKTTPRAPYPRSKLLHSQSLRKSSAMNSEGVILATLKFDKDKTEQSPKCVMSAPRKSVKDKMRQSLNDKFSNILDTKTSLKKEKDADFSSRGGLTEAKGSNIASTFTHNDDEDVDDMELDSEDSTGRDVDEFESMISAVEENFDIGAPSPSHETEKNYSGPENRRLLRSLSQVCYASEAGYSPSSVPSNFLNSPVSQTHEVSDVDAFDSPMGSPTSWDSHFLSSTQADGATRTRKKWGTTNQNPINIIKSSQSLSRKDKARGFKRLLKFGRKNPDADSLVKDWIAATTSKEDNCAINGHDGRHRSNDSFYEDVLLNERVQSLHSSKIILFFVVIQKQGKGLEAKISPSKDKGSSDI >Solyc12g014590.2.1 pep chromosome:SL3.0:12:5578867:5581973:-1 gene:Solyc12g014590.2 transcript:Solyc12g014590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPRSIVKKFLARPQHEGFGAIVRRSIGRFELRYFDPFLVLDEFSVSAPAGFPDHPHRGFETVTYMLQGAVTHEDFEGHKGTIKAGDLQWMTAGRGIVHSEMPAAEGTQKGLQLWINLSSKHKMIQPRYQEIQSESIAEVTKDGIKVRIIAGESLGAKSAIYTRTPTMYLDFTLKQGSHLQQPIPKSYNAFVYVLEGEGIFGPNEESSSIGPHNLLLLSGFGDGLDAWNKSTRPLRFILIGGEPLGEPVAQLGPFVMNTQQEIDQTVEDFDNYTNGFEKAKHWRSQARIGLDY >Solyc01g068450.3.1 pep chromosome:SL3.0:1:77508983:77514313:1 gene:Solyc01g068450.3 transcript:Solyc01g068450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYFCASNLFSSFFCFWLMIFELTWFSYLHLFHLIVLGLKMESSIKDELYSDIFDMSNLQSSLRPTTNLGNDDPHESEWCDVWSDNGDSCDDSTDKPDKTSEMDREWQRRHDRFHTVGYREGLMAGKEASVQEGFNVGFTDSVYVGYNWGLVRGITSALASLPNGLIERMVDTKEIQNKFQQLHDSVQSRSTVEMLKVFYDDLTRKSEESAKNDNSSTCLADSRDLSSNDSLLENYQKELQSLINESGLKLHLDTKE >Solyc12g044810.2.1 pep chromosome:SL3.0:12:61093787:61094353:-1 gene:Solyc12g044810.2 transcript:Solyc12g044810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVASVLRIYRPNAPLVLKGITCTFREETRIEVVGRTGSGKTTLISALFRMVEPYIGQDLRSKLSIIPQEPTLFKGSVRTNLDPLGLYSDDEIWKVNSATHQHKTRQISYNILNNTYGLQALEKCQLKATISTLPNLLDSSGE >Solyc02g092050.3.1 pep chromosome:SL3.0:2:53895704:53902352:-1 gene:Solyc02g092050.3 transcript:Solyc02g092050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLGNDDNSSNNNNNNWLGFSLSPHINSLEGPLTDHHHSTQPGSSSDVQSSVPIRFSPTHLNYPAMYYEGDNATLFSSLSAMPLKSDGSLCIMKGFNRSQQPQGMISSTPKLEDFFGDAAMGSHHYEGCNRGGMAHSLHNIYYNQHQDNETSNSQDFLNHIQENTRHQQQQQNYPDFSVFRGHEVYHSTEQGKTECSNIQVPTLTGDEMSGMNNWVSRNYQNQTGHALEQNMIGCMADNGAESGSVGAMTYGDFKSLSLSMSPGSQSSCVTGTQTQQISPTLTDCIAIETKKRGSEKANNQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEDKAARSYDLAALKYWGPSTHINFPLENYQQELEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFDISRYDVERIMASNTLLAGDLARRKKETETSKEICNQNLIANASHQGEVNIQNKEENGNAVEWTMSLYQSSSPGMDTNSMSSTMLQGQMDESARINTHLSNDSSLVTSLGSSREVSPAKNNGHLMHNFAMPQSAPKLIPSPATNITSWISSAQLRPNVPVFAAWTDA >Solyc08g077010.1.1.1 pep chromosome:SL3.0:8:61082413:61083279:1 gene:Solyc08g077010.1 transcript:Solyc08g077010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLDLSSASRYVHQLHHPEFNLQIQSEHDENTKNNPFSDDHHDNNPFDLVGGNSSGPGDLVGRRPRGRPPGSKNKPKPPVIITRESANTLRAHILEIGNGCDVFECISSYARRRQRGICILSGSGIVTNVSIRQPASSGNNNNNNNSSSSVVTLNGRFEILSLSGSFLPPPAPPGATSLTIFLGGGQGQVVGGSVVGELIAAGPVIVIASSFTNVAYERLPLEEDGNTQGSDGGDGVLNNNNPFPDPSNPGLPFFNLPLNMPNCLNPGSASVDGWVGNPGLRPPFGV >Solyc12g035227.1.1 pep chromosome:SL3.0:12:39483830:39488226:-1 gene:Solyc12g035227.1 transcript:Solyc12g035227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLVDSYKEPLTMLAGRGQNYKGKKPLLYTPCEICGFRNNLTVDCYRLVGYPSDFKSKRKPPQTGSNTSSSHHNGASAGRSENSSHTSTGTSNFRSYANNAAADKQDKSHNNLSTQECQANLIELISDCGLSGSKPAATPLEPNKKFTSVDYDEFTGNISNPLFKDVTAYQRLVGRLLYLTTTRPNICFAVQVLSQFMQGPKISHWESGMRLVRYIKGCRGQGILLSSEPSTQLEGFCDSDWASCPNTRRSVTGYTIKLGNSLISWKSKKQHTVSISSAEAEYRSMAAAVSEIIWLVGILKELNVNIETPVKYLMTMLDITKVEHVFRKQNRVADMLSKEGLRNEVFGRPIIFLNVPSWEQNEFNADVIGTNYVRNSKELFCIIQGRDACGLAY >Solyc04g007750.3.1 pep chromosome:SL3.0:4:1429230:1430194:1 gene:Solyc04g007750.3 transcript:Solyc04g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLISSVEVKCGGHSIHDIFHAKTHHISNISPSKVKNFEIHEGETIEVGAIVEWTYNDDGKDKTAKQVIEAVDHETKTITWKIIGGDLLELYDSFTIITSCDHHWTTWTFLYEKKTEDTPEPLVLLAYVLHVTKEIENHLLNQ >Solyc05g009410.2.1.1 pep chromosome:SL3.0:5:3566228:3567073:1 gene:Solyc05g009410.2 transcript:Solyc05g009410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSGKSKLSIDLATRGFNSEIINSDKIQVSKGLDITTNKISINEQSGVVHHLLGEFSGPELFSPSDFRHTADNRITDIINRRRLPLIVGGSNSFIYALLSNQFNPGVDVFDEINPVQCISKELRYHCCFILVDVLTPVLNRYLFQRVDEMMNSGMYEELEEFFAKNGFSDRNTGIRKAIGVPEMEGYFRNLKNCTTVQEKCRLYEAALREIKENTKELAEKQIRKIQRLRESGWDLQKVDATEALRAKMTPGNSKIPATEIWERQVVLPSMKIVKQFLL >Solyc08g006250.1.1.1 pep chromosome:SL3.0:8:927763:928230:1 gene:Solyc08g006250.1 transcript:Solyc08g006250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGAMNMHGDMAPPVPHAAVNNHNMMMHMTFFWGKNAEILFSGWPGYDNIGMYVFALIVVFLLAFFVELLSHSNYIKESANHVTAGLIQTALYGVRIGLAYLVMLSVMSFNGGIFLAAISGHTLGFLVFGSRVFKKSPLTAYAKASDLPSMPCNC >Solyc04g082290.3.1 pep chromosome:SL3.0:4:66087791:66097539:-1 gene:Solyc04g082290.3 transcript:Solyc04g082290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSRGFTAPIGAAKLPTVEVFPTLPNGFVEFRRKKRSSTGGFFSIQCCCSDSVMPIRGGSGSGNGVDKGDDGRFDSKKITANYMRTQASSPMPFASPQSRFVSKPEKFFSRCTPRSSGPKSRDSPPKRDTGIANEKDWGISMLNDNVNETGVNEDGSTWYRESGEDLGDNGYRCRWTRMGGQSSDGTLEWKETWWEKSDWTGYKELGVEKSGKNVEGDAWWETWREVLHQDEWSNLARIERSAQKQAKSGTENAGWYENWWEKYDAKGWTEKGAHKYGRLNEQSWWEKWGEHYDGRGSVLKWYIPICCVSNLASDSGPLDIVELWWRHFGNYWTDKWAETELGTKWGDKWEEKFFAGIGSRQGETWHVSPVGDRWSRTWGEEHFGNGKVHKYGKSTTGESWDIVVDEGTYYEAEPHYGWADVVGNSSQLLSIQPRDRPPDRSEPLFPPGIFNRSVTKEELNRSRIAVCIVGGARRFELTGPSIIQKILKEYPNSDLYLHSPLDSNAYKLSLLKTAPRISAIKIFKPKTIKETEFQVRVLSARGSPNGIQGLLQYFNLVEGCLTMIQAYQHKNNFTYDWIIRTRVDGYWNSPLSSEDFIPGTYLVPSGSSYGGLNDRFGLGDYNTSVVALSRLSLIPELDAARYHLLNSEGAFKAQLTIHRVPYISKRLPFCVVSDRSYDFPPPRFGVPVAALSSPGPLSGAKCRPCTPPCTHHCVGLTMNKLYRGWSWTDWTNNTLQLCDAHAEWESGWEKIFDQVAGNKLAAARQRVENLKMEQCVKDFAELMKKTAYWEAPPVYKTLDFL >Solyc04g015520.3.1 pep chromosome:SL3.0:4:5728929:5736257:1 gene:Solyc04g015520.3 transcript:Solyc04g015520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDQRALVLSSNNNNRDNNHEDQDQNQNLRDDDIRDIHAMTPPQPPVPPIMTNINRGRRREAWETSSHRSSSLSSELGGVPSENFTTMSREFNALVLAGSSTNNNNNDNNNNNNDTSHEVEGTINNNLGRIFEEETIVEENNSLAIVADNTNNNNYNNNNNVYLNPSTPSPNNNLSGISQQGEVIVHRVKKEEVESKISAWQTAKIAKINNRFKCEDAVINGWENEEVQKATSWMKKVERKLEEKRAKALEKMQNDIANARRKAEERKASAEAKRGTKVARVVELANLMRAVGRAPTKRSFF >Solyc04g079740.3.1 pep chromosome:SL3.0:4:64185217:64190765:1 gene:Solyc04g079740.3 transcript:Solyc04g079740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQSACSLLQHEASIPNFSQFQFHNISAVRKNHGRRSYVRLDKRTPLVQNDVKFSPSTKRGLIVYASNSSSPGKLNYDGGESRDVSRITSNGSEPFRGKSGSVSFQGITHQMVEESKLVSAPFEEKRGSFLWVLAPIALISSLVLPRFFIVVALDDLIKNATLAEIVSSLFSEVMFYIGLATYLRVTDSVQKPYLQFSAKRWSLITGLKGYITSAFFVMGFKIFAPLFALYVTWPSLGFPGLVAVAPLLVGCLVQYLFERFLDRHGSSSWPLVPIIFEIYRIYQLTRSVHFVEKLMFSMSGTPVTPELFDRSGALVAMIVTFQVLGVMCLWSLLTFLQRLFPSRPVSENY >Solyc02g030380.2.1 pep chromosome:SL3.0:2:26101125:26102540:1 gene:Solyc02g030380.2 transcript:Solyc02g030380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLEKFISGEDPLNKLINHASKHLYDFIICKKKQKPSAKITIKAINILFFLSSIWILSTALSTTPLNQPLTDKSTIISFDLGILFNKVTVQTVVWVANRETPVNGTSSTLNLTRQWILTLVNGSGRVILSSNSSRHVKKPIAQLLDSGNLVVRDDSIEDYLWQSFDYPTDTALPRMKLGIDLKIGFRGFLRSWKSRNDPLRGRGFSKSPSQLPSPDYIYTYVSDPEKVSFVYQLTEGLSLVCATVATLLSADVWINFTPKDPTKWARGNWSKGCVRKTLFYFQNEVKFLKY >Solyc02g078520.3.1 pep chromosome:SL3.0:2:43744349:43757966:-1 gene:Solyc02g078520.3 transcript:Solyc02g078520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNQQPPVAKDTEVEKEEESSSVITNSLEPSTNKVHEKPDIIIEDDDDDHPAAIVAMVTEKEVSSVDDDPMDEDTVNPAVVFCIRLKQPRSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHIVIPERPTECTVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGSANLVRDASCWQRDYEWHQDIAVVTKWLSGVSPYRWLSTRTGGSAKSTFEEKFLSQQPQSPGRFLVFALYFEYMFHQMSSYLTGVVNPSDETYCIDELSSSFGRRFTKSKHNKFLTYPRSVQLHWSQWPPNQNGAPSKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVAPGPGNGFQATPKTSVSNGVPASLNPPSWDGYAPLAAYLLSSQEYLLQEAKQGKKLTEQHYSDMVTLHCSPVSNFSAYVSPEAAAQSTATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVEDVVLHQIFGNPTSSFGGQAPKQTVWVSKVIKCIPAGNDFKRPQAVGAGPVPFGKNMADSGVEMGKRVSFDPFDLPSDVRTLARIVYSAHGGEIAVAFLRGGVHVFSGPSFTPVDNYHIDVGSAIASPAFSSTSCCSASVWHDTTRDCTILKIIRVLPPAVPSNQVKANSANWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVALNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALTNPSALVPEPWQASSETLFGIDPEAMAVEPSLVPSIQAYVDAILDLASHFITRLRRYASFCRTLASHAVTAGTGGSRSMVTSPTQSASSPATSQGAQGGTASSAGSTQMQAWVQGAIAKISSTADSVPSSAPNPITGPSTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLHFCFFFRGTQLPRFMGAAQRNADSSMQKPQSGIPGKTEDSNSGAKPTLGGQVGTGAKGSEEGPSKRSRIGSGNAGQGYTYEEVNFINY >Solyc01g044560.2.1.1 pep chromosome:SL3.0:1:44586759:44587166:1 gene:Solyc01g044560.2 transcript:Solyc01g044560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ERSIGVGRHFDVSTITLLLQDYIGGLDVKKYETNVWIHIPLVKGALVINIGDTLQIMRNDKYKSVEHCVIANGSNNRVSVPIFLHPNTTRVIGPLKKVLRNREKPIYKQILYADYTSIFFNKGHDEKDIIELAMI >Solyc03g007590.3.1 pep chromosome:SL3.0:3:2133452:2142680:-1 gene:Solyc03g007590.3 transcript:Solyc03g007590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPPAGLAIQGLLRPSFLEEHTTIQKQISEDTLSDADLNRIEKDDEHKENGGVQINGHKHESGSSLENPSWEELEKDEILGNGTSFYKLEMIRIQLISSNGNQIFVELDDFRRARSDAIVHSAAKIISRLKAAGEKTMQALRSLCWRCKGIQVEEVSLIGVDSLGFDLRVCSGTQVQTLRFSFRKRASSEYSAERQLNDLLFPRIHHKSRQKKESQQAES >Solyc03g044430.3.1 pep chromosome:SL3.0:3:9231056:9241548:1 gene:Solyc03g044430.3 transcript:Solyc03g044430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVVANGKKKLEQRGPKIGVISGPTNPMVTPLLTDLYQFTMAYAYWKAGKHNERAVFDLYFRKNPFGGEYTIFAGLEECIKLIAHYKLTEEEIAFIRKSLPPSCEDAFYNYLRGIDCSDVEIFSIPEGSVVFPKVPLMRIEGSIAVVQLLETALVNLINYASLVTTNAARHRFVAGKSKLLLEFGLRRAQGPDGGISASKYCYMGGFDATSNVAAGKLFGIPLRGTHSHAFVSSFMSLDEITERSLKHLDSPKVCKDFVCVVKTWLTKLKRSRLLGGIFSETNQSELAAFALYGLAFPGGFLALVDTYDVMRSGVPNFCAVALALNDLGYRAVGIRLDSGDLAYQSCEARKFFQTIEEEFGVPGFGKMNITASNDLNEETLDALKKQGHEVDSFGIGTHLVTCYAQPALGVVFKLVEINNQPRIKLSEDVTKVSIPCKKRCFRLYGKEGYPLVDIMTGENEPPPKVGERILCRHPFSESKRAYVVPQRVEELLKCFWPGSSEKIREDLPPLKEIRERCIEQLEQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Solyc11g069270.2.1 pep chromosome:SL3.0:11:54193977:54201467:1 gene:Solyc11g069270.2 transcript:Solyc11g069270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:E3UVW7] MERRSGYCLSVIMLVFGVVFLHCLVMTSFAANVTYDHRALVVDGRRRVLISGSIHYPRSTPDMWPDLIQKSKDGGLDVIETYVFWNLHEPVRNQYDFEGRKDLINFVKLVEKAGLFVHIRIGPYVCAEWNYGGFPLWLHFIPGIEFRTDNEPFKAEMKRFTAKIVDMIKQENLYASQGGPVILSQIENEYGNGDIESRYGPRAKPYVNWAASMATSLNTGVPWVMCQQPDAPPSVINTCNGFYCDQFKQNSDKTPKMWTENWTGWFLSFGGPVPYRPVEDIAFAVARFFQRGGTFQNYYMYHGGTNFGRTSGGPFIATSYDYDAPLDEYGLINQPKWGHLKDLHKAIKLCEAAMVATEPNITSLGSNIEVSVYKTDSQCAAFLANTATQSDAAVSFNGNSYHLPPWSVSILPDCKNVAFSTAKINSASTISTFVTRSSEADASGGSLSGWTSVNEPVGISNENAFTRMGLLEQINTTADKSDYLWYSLSVNIKNDEPFLQDGSATVLHVKTLGHVLHAYINGKLSGSGKGNSRHSNFTIEVPVTLVPGENKIDLLSATVGLQNYGAFFDLKGAGITGPVQLKGFKNGSTTDLSSKQWTYQVGLKGEDLGLSNGGSTLWKSQTALPTNQPLIWYKASFDAPAGDTPLSMDFTGMGKGEAWVNGQSIGRFWPAYIAPNDGCTDPCNYRGGYNAEKCLKNCGKPSQLLYHVPRSWLKSSGNVLVLFEEMGGDPTKLSFATREIQSVCSRISDAHPLPIDMWASEDDARKKSGPTLSLECPHPNQVISSIKFASFGTPQGTCGSFIHGRCSSSNALSIVKKACIGSKSCSLGVSINAFGDPCKGVAKSLAVEASCT >Solyc12g014393.1.1 pep chromosome:SL3.0:12:5274754:5277106:1 gene:Solyc12g014393.1 transcript:Solyc12g014393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCGITWSSPLLLYPLVSNGQFNRIKGKACVNPYNPPFEELIKSLYCHPTWSAGVPPIKFLAPLLPRYNNLLSINLFLISSKMNKEPCLRELDVMRCEIKTDRTSNRLHNMVQHSLSIEDIKAIIEKCSHGQQPWDTMSHSKCPYTQTKKNLGHRFQILQTSIAGQEEYMQLCLGTEFERSDCSRKRLMTTALPWKNPEMGFC >Solyc05g050310.1.1.1 pep chromosome:SL3.0:5:61294412:61294591:-1 gene:Solyc05g050310.1 transcript:Solyc05g050310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENNGWEREVVITELTKGKGLMLQLQNHFNPMKQGVCQYLAAEILSSYRVTIWCLKDR >Solyc02g014360.3.1 pep chromosome:SL3.0:2:16305750:16308848:-1 gene:Solyc02g014360.3 transcript:Solyc02g014360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLNANALGWLIESALSTQSLLLGRAIHAHIIRTIEPPFPPFLSNHLINFYSKLDSLNSAQLLLSLTPPPFRSVVTWTALIAGSVQNGHFTSALLHFSDMRCQSVQPNDFTFPCLFKASAFLHYPLMGLQLHALALKGSFINDAFVGCSAFDMYCKTGLREYAQKVFDEMPHRNIATWNACISNSVLDGRPYDASLKFVELLRLGEEPPNSITFSVFLNACSDGLYLKLGQQLHGYVIRLGFGSDVSVLNGMVDFYGKCHQVKYSELVFNEINVCNGVSWSTMLAVYEQNDIWDKAFMLFLKARKEGIKPTEFMVSSVLSACAGTAVLELGRSIHGLAVKACIEHNVFVGSALVDMYGKCGSIENCESAFYEMPERNLITWNAVMGGYAHQGCADMALRLFEEMTSESHDVVPSYVTFICVLTACSRAGAVKIGMDIFESMRKKYGIEPGPEHYACVVDILGRAGLVERAYDFIKKMPVPPTVSVWGALLGACRVHGKPELGKVAADNLFRLDPLDSGNHVVLSNMFAAAGRWHEANLVRKEMKDVGITKGAGISWISAKNSIHVFQAKDTTHERYPEIQAMLAKLRRDMKAEGYIADTNSALYDLEEEEKESEVWHHSEKIALAFGLITIPPGVPIRITKNLRVCVDCHSAIKFISGITGREIVVRDNNRFHSFKDYQCSCRDYW >Solyc05g013770.3.1 pep chromosome:SL3.0:5:7076318:7076824:-1 gene:Solyc05g013770.3 transcript:Solyc05g013770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSTLKQELSQVKGFTELQGLREPLDNIWTHLKSDDDVGYEVEILNVAAAVVVEVLVLVSESYDQYYVVVVLNEVVAGNVSLTLASLDLENKNLQENVVHKVEIEKRKEDVVVHVVVQVEEHAVEENKGWFRVDGTL >Solyc03g078530.1.1.1 pep chromosome:SL3.0:3:52503427:52504662:1 gene:Solyc03g078530.1 transcript:Solyc03g078530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIDDIEFARQMLSLKENKYEENKSGGMKQVVKKSHKISTPSDLPDGILFHILVKIPPKDIHKYVMRVCKSWKEIVSESFFIEKNFMESKTELVIQSGLGRRMKTKLIEIRKEFECESREVGLSKLSKIHSSCDGFLLMSEPGNNGMLQIINPATKFCLTIPRCPSHCQHKACSAALVFDSSTKQYKVVHVVTDSYGFEIFNVSSDDDELHWERVSSPWEDLNDRPFNPINFHWKNPVSINGRILHWYVDSAEYFITMQVKEEKFSRTYLPERVKQINKTKNYALVELYEFLSFINCDSEKTMNVWVLEDFRRKVWFKKHTIVAELTNYICPYKSSRQDERRMPRFWNLVVVAGARNGEVLIIEHKKNSSVFIYDTKSKVMKPVSSNMKKLESFVPHKDGLFRIMKSIA >Solyc03g119350.2.1 pep chromosome:SL3.0:3:69471863:69475374:1 gene:Solyc03g119350.2 transcript:Solyc03g119350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNWELKHCCKHEQIVFLATLGVCAVVILALWRTVLLLPFKLVTVFIHEASHAIACKLTCGHVEGIQVHANEGGTTQTRGDIYDDLISRRVNSSDAEKFAEVCPCPCTGVGWGVIWGLISFLFLCGATYLSLVILS >Solyc01g105660.3.1 pep chromosome:SL3.0:1:93650321:93652035:1 gene:Solyc01g105660.3 transcript:Solyc01g105660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAPLVVKPSNVKELAESPDLRCIPYNYVYDSSNSSATNDIDDSDSIPIVDFSLLTSHDSHQRSTAIHHLTKACQGWGFFMVVNHGIPENLMKSVIDCTHEFFNLPEEEKHKFTGKHVLDPIRYGTSFNTSTENVFFWRDFLKVFVHPHFHSPTKPQTYSVKFNRGIMSEYSEKLREVTRKLLGGISESLGLEESYLEKDLELESGLQIFVGNYYPPCPQPELAMGMPPHSDQGLLTLLIHNQVGGLQVQHQGKWINVKALPNYSLLVNTGDHLEIFSNGKYKSNMHRAVVNNKVTRISVVVAHGPSLDTIVKPASPLMEKENSPSANYIPMKYKDYLEMQQSSRIYGKSCLEKVKIQRS >Solyc08g069090.1.1.1 pep chromosome:SL3.0:8:58230618:58231580:-1 gene:Solyc08g069090.1 transcript:Solyc08g069090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKKLKVLGAGSYGTVSLATPLPQYYSGTTIYGAVKSAELSHSSSLQREGEIFKVLDGSDYVVQCMGEDVSIENDKHTYNLMLEYAAGGTLHDIIHNPFNMIMGESEAAYYTFQILSGISHIHRKGYVHCDLKPANILVFPRPQFKVPHLKLTDFGLSLTSDESLTYRGERLKNSGYYSHRGTLAYAAPECIVCGIHSTAVDIWALGCIVVEMLTGEWLWPVDRNKDELMFMIAHHKPEIPKRLSEEAKDFLSKCFEKDNCLRYSADMLLHHPFINKSCYKNLMEHRLMYPSVSVGCGDWISSEHLFSTISPRDSNFRG >Solyc06g009890.2.1 pep chromosome:SL3.0:6:4222600:4233377:-1 gene:Solyc06g009890.2 transcript:Solyc06g009890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKIGLQRLGIQWKGPTTIKTGYARDLCVLCLL >Solyc03g013170.3.1 pep chromosome:SL3.0:3:48440113:48440462:-1 gene:Solyc03g013170.3 transcript:Solyc03g013170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEQHLLEMAPTRKTLMSPFFLSSASNWCDVVFPCLVSQIVHMFCLDSCLVWSTKCQYRS >Solyc01g096350.3.1 pep chromosome:SL3.0:1:87292304:87303437:-1 gene:Solyc01g096350.3 transcript:Solyc01g096350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQCYGKTVPTVRDADGPITDVIGDSDHPLQQTPVSSNNLPSVKNTPARSSANSPWPSPYPHGVVGVTPSPARSTPRRFFKRPFPPPSPAKHIKASLAKRFGHAKPPAEGPIPEDDTPEPEQSLDKNFGYNKNFGAKFELGKEIGRGHFGHTCHAVGKKGELKDLPVAVKIISKTKMTTAVSIEDVRREVKILRALSGHKHLVKFHDGCEDANNVYIAMELCEGGELLDRILSRGGKYSEDDAKLIIVQILNVVAFCHLQGVVHRDLKPENFLFTSRDEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILLCGSRPFWARTESGIFRSVLRSDPNFEDLPWPSVSPEAKDFVKRLLNKDYRKRMTAAQALTHPWLRSESHPIPLDIFVYKLVKSYLHATPLKRAALKALSKALTEDELVYLRAQFMLLEPSQDGRVSIENFRLALLGNATEAMRESRVHDILNAMTALSYKKLDFEEFCAAAISTYQLEALEEWEQIAAVAFQHFEQEGNRHVSVEELARELNVGPTAHSILRDWIRNDGKLNMLGYTKFLHGVTLRSTPVRRH >Solyc10g075105.1.1 pep chromosome:SL3.0:10:58882815:58886679:-1 gene:Solyc10g075105.1 transcript:Solyc10g075105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKYEVAKFNGDNGFSTWQRTMKDLLIQQSLHKALGESTIDEVAEHKEQPDEFVEQGEQLGQDKELIAKLKKDLSKSFDMKDLGPAQQILGMNIVRERIKRKLWLSQEKYIERVLERFNMKSAKPVSTPLASHLKLSKQMCPTTKEEKEGIAKVPYSSAVGSLMYVMVCTRPDIAHTVGVVSRFLENPGKEH >Solyc04g076250.3.1 pep chromosome:SL3.0:4:61271290:61283530:-1 gene:Solyc04g076250.3 transcript:Solyc04g076250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVELGSEKHKEEESQKIKRDKMTNTPKSSSKKSDSGPSSSKSPEKHKEEESRKKKRDKMPTTPKSSSKNSDSGNSSSKSPDKHKEEESRETKRDKMPDTPKSSSKESVRESGHSSSKSPEKHEEEESRKRKRDKMPNKPKSSSKESVAASAGKHTLSARQVKVMRELGLMAPSGSPFDKRKHVVAPPKLPIIPKDRVSTPKRLPSNPKERLPAIDRIVVCGLAAAGFVNRVMK >Solyc05g007330.2.1 pep chromosome:SL3.0:5:1965576:1966384:-1 gene:Solyc05g007330.2 transcript:Solyc05g007330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDVDVILETLKECGYSHDRFYIHCDAALCGLMTPFVNNVSFNCFLLLITLLKKGQKET >Solyc05g041870.1.1.1 pep chromosome:SL3.0:5:54643830:54644396:-1 gene:Solyc05g041870.1 transcript:Solyc05g041870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSTSKLLFFILASIFLVNSAQNCSNYTFPANRTFTTCKVLPVLEANLHWTYNSSSTKVSMAYRAKQDSKGWVAWAINPTRQGMVGSQALVAFHNSTDSMIAYTTQITSYSPSMQRANLSFQVSNISAEYLNNEMIIFAIIGPLGNETTVNHVWQGGLSVSNDIPQIHPFLSQHLQSFGQIDFQTV >Solyc10g018700.1.1 pep chromosome:SL3.0:10:9213511:9214421:1 gene:Solyc10g018700.1 transcript:Solyc10g018700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLFYTVVQSFLDCPSLSWCRRSFLFDLLVNFVM >Solyc01g021625.1.1 pep chromosome:SL3.0:1:32054802:32055457:1 gene:Solyc01g021625.1 transcript:Solyc01g021625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQDYKKTSSDHCVFVQKNSDNNFIILLLYVDDMLIVGKNTSKIDELKKKLCKSFSMKDLGDAKQILGMRITRLGDERKIYLSQKNTPHAGYMKLSKKMCTTTREEKENMDKVPYSFIVGNLILLTQWVLKADFLKIQEKSIEKLRSGYSNECLCFGPSNQILKGYTDFDMAGDLDNRKSTTGY >Solyc10g076630.1.1.1 pep chromosome:SL3.0:10:59677505:59677909:-1 gene:Solyc10g076630.1 transcript:Solyc10g076630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKERCCLRGLDHLHGPTFHSICGNFMIYKPSLTSDRLMFEHDESLRADLFPIHFPASYENGKLEHFIHRWMKNREHNNFWLIMFPEKRYFRERTSTTKVAVHANLFTDKSISAFLLSNKYMVVAIQLCGYRIV >Solyc11g065310.2.1 pep chromosome:SL3.0:11:50996651:50998202:-1 gene:Solyc11g065310.2 transcript:Solyc11g065310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGNSSFKYSDLAKLQKEHDEKMAAIKELKKKREEMKLQLSKNNISEEKKETFRKLTEKYQKMNDEYNSLLG >Solyc04g079170.3.1 pep chromosome:SL3.0:4:63825768:63826704:1 gene:Solyc04g079170.3 transcript:Solyc04g079170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSSNQEVSTNPSKRCKFFSTTLRDAFANCHIFKERHSSTQSLEEEDVIDDEEEVFVSIVISRYMESKCRKKAAIFSSEKFNWTLSTTAAGDLFISEKLMQQKEDSADEEDKEEREEFLSVGTRLSRCSSAISYEAFATAKTAFSRSSSLNRIEFQDIPRRSVIKEFSHCEGWPFGLSRKMLLLPPLPKSPADSWSWRKSARMINIH >Solyc08g082990.3.1 pep chromosome:SL3.0:8:65726755:65734985:1 gene:Solyc08g082990.3 transcript:Solyc08g082990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSACSWFEKVKLDEPEIVEVSPDERYIRYSEILGSGAFKTVYKAFDNIDGIEVAWNQICIDDALQSPEHLERTYSEVHLLKMLKNENVIKLYTSWVDDVNKSINMITELFSSGSLRHYRTKHKTVDTKAIKHWARQILQGLHYLHSHNPPVIHRDLKCDNIFINGNHGEVKLGDLGLATIMEQPTVRSVIGTPEFMAPELYDEEYNELVDIYSFGMCILELITCEYPYNECRNQAQIYKKVTSGIKPASLAKVADPHVKQFVEKCLAPVSVRLSAAELLEDPFLSSESLKTPACDHLQPTNFVPEAQSLPKSIFMDVDLAQKMHSGMSIESKTASPHFSNLEIVRRNGRNEFRLQGDKHDDRSIVFSLRIAELAGRIRHVHFVYCIDADTVMSIASEMAEELELLNDDVATIAELITDFIFKLSTIQKYSFGSLSAVNNLSDDSTLIIEDPIVCEGITSLNQGIASTSDVEYNNVLRSLGNESDNINVHTQSNSKGSLGDAVMSDCTTKTSGISSDSLLNVISNDFSSNSLINGANDKNQLHDLKSELDAIDMQYQQSCRELLRMREEAIENARKKWTVSNFDHGIASSSNVKVHKDDEDENSPVEQVALTVPTTDDPSLPVLTFRMWFLGTVSCVLLSFLNQFFWYRTEPLTITAISAQIAVVPLGQLMAAKITKRVFLQGSKWEFSLNPGPFNVKEHVLITIFANSGAGTVYAIHVVTAVKVFYKKDITFFVSLIVIITTQVLGFGWAGIFRKYLVEPAAMWWPSNLVQVSLFRALHEKEERCKGGLTRTQFFIVAFICSFAYYIFPGYLFSMLTSLSWICWIFPKSVFAQQLGSGLKGLGIGAIGLDWSSISSYLGSPLASPWFATANVAAGFIFVMYVLTPICYWLDIFKAKTFPIFSDEMFTSNGQVYNISSIIDSNFHLDVDAYERQGHLYLSTFFAVTYGVGFAALSATVMHVLLFHGREIWEQSKSSFKDQKMDIHTRLMSKYNQVPEWWFWCILVANITLTIFACEYYNEQLQLPWWGVILACVIAFFFTLPIGIITAITNQTPGLNVITEYIIGYLYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRIMFMAQVVGTLIAGFVYLGTAWWLMETIPDICDKTLSNTVWTCPSDHVFYDASVIWGLIAPRRIFGDLGTYGMVNWFFLFGAIAPVLVWLAARAFPKQEWIKLINMPVLIGATGMMPPATAVNYTTWIIVGFLSGFVVYRYRPDWWQRHNYVLSGALDAGLAFMAVLIYLCLGLENITINWWGNDLDGCPYAACPTARGIVIEGCPVVY >Solyc06g066820.3.1 pep chromosome:SL3.0:6:42128168:42136934:1 gene:Solyc06g066820.3 transcript:Solyc06g066820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHPPEGHACKKWGAFQIINHSISEKLLQDIEVAGKTLFSLPMQQKLKAARSPDGVTGYGAARISSFFSKLMWSEGFTIVGSPIEHARQLWPKDYNKFCEVIEEYEKEMEKLAGRLMWLILGSLGITKDDVKWAVGPKGETKEGCAALQLNSYPACPDPGRAMGLAAHTDSTILTILHQNNTSGLQVYQEGNGWVTVPPIPGALVVNIGDLLHILSNGSYPSVLHRAVVNRTRYRLSVAYLYGPPSGVRVSPLSKLVDHRHPPLYRAVTWSEYLGTKAKYFDKALSSVRLCVPLNGFTDAKDHKGVQVG >Solyc09g090553.1.1 pep chromosome:SL3.0:9:70517822:70523123:-1 gene:Solyc09g090553.1 transcript:Solyc09g090553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLRKSFDLYAILDSLVYSFAGVCFAVEFLMNGKGLVGVSGDGCENLLVGLSNGKNDVKCDLDENKLRGTALIHLLFIVHVIVVIVMSFGLFAFLNRDKRMRCGDTSGPLLAQVSIQKYSDLKIKGSYLSPLYFVAHLEKIPKETNPPFVLKSSIASPLSSGGGIGDQMQWGALAHAFDKGVVGSIDERSSKPLPCGILPKLSRICNVKDELRPGMLETESGLLLISSSKDLPLDKPCLLCHELQS >Solyc02g067230.3.1 pep chromosome:SL3.0:2:37980885:37984089:-1 gene:Solyc02g067230.3 transcript:Solyc02g067230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPAIKLFGRTIQLPDIPDSSGAQGDDSLPGDNNGEEDEEADKDDFGGNLDDDEEEMEILTGKELQDQNSEPTKTDSIKELPVDNDCSTRPSKSEEEQGEASNSQEKILKKPDKIIPCPRCNSMETKFCYFNNYNVNQPRHFCKSCQRYWTAGGTMRNVPVGAGRRKNKNSIPHYRQISVSETLSNAQTDYPNGIQQPILAFGSPTPLCESMASVLNIADKTMHNCSQNGFHKPQEPGVPVSYVVGDNGDDHSRRSSVTSANSEDEVNKTVPDLLKKNCHNFPPYMTYYPGAPWPYPCSPVPWNSAIPPPGYCPPGFPMPFYPAASYWGYTVAGSWNVPWMSPATVSLIQTPTTSGPISPALGKHSRDENIQKPLSSMEEPSNESNPEKCLWVPKTLRIDDPGEAAKSSIWATLGIKHDTVDSVGGSPFSAFQPKNDDNNRVSENSTVLQANPAALSRSVNFNESL >Solyc06g066090.3.1 pep chromosome:SL3.0:6:41541516:41553225:1 gene:Solyc06g066090.3 transcript:Solyc06g066090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSVFLSIGFAHRSSKFRSSNNHHNALRFYSNISPSPSKNHGLLAHYRSLVEQGKLQHDPYQEKVATELENLLGRLEQYEKDMEEYHEKLAQWEDNRESRRRKLLMEEAEAKQQGAFKPINKSRNIFQRWMSRKPEDVEEGVGKWVSYLNREKKLDSQVGRRPAAPPAPKGLYLYGNVGSGKTMIMDMFYKASEGIVKHRRRFHFHEAMLEINEHMHKIWKSQMEQKSLQSSISGWVMNLPFDSKVKEWVAAEERYKQEVHMKNILLDVADKFLVNQADRRRGASILCFDEIQTVDVFAIVALSGILSRLLSTGTVLVATSNRAPTDLNQDGMQKEIFQKLLKKLEEHCETVLIGSEVDYRRLIAQRSIDQVHYIWPLNSNIKREFEDIWNKISKQAGGSIISHTIPVMFGRTLEVPESCNGVARFNFEYLCGRPLGAADYIAVAKNYHTVFISDIPIMSMRIRDKARRFITLIDELYNHHCCLYCSAETSVEDLFQGTAEGTLFDLESFQFETEIEGTKLRRDVLAEGNVSSGGAPSGITTMLSGQEEMFAFRRAVSRLIEMQTPLYVEAVQHVHPYFDSLHNESENYSVN >Solyc01g086780.1.1.1 pep chromosome:SL3.0:1:81613076:81613588:1 gene:Solyc01g086780.1 transcript:Solyc01g086780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIERVFMSCYKIQEQPDNIFNLIEFALRVGAFKYQPQFEKRKAKIIKFLMNPSMTSTDDDDDEEEEEVMEDNVGDQDSVEGPETEEGDGLGTQQITAESNKPLLRKIRIVIRKSVKVDPAETQNNCNAKTESSTELKKTDLVQQRDKPQSHNTTTTTMPSRKRMNASTK >Solyc12g017760.1.1.1 pep chromosome:SL3.0:12:7366942:7367430:1 gene:Solyc12g017760.1 transcript:Solyc12g017760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLCGSAPAWKRGITLCEGGFGVVSLASTSNALFCGVTLPSLIALKSCDFNASHSLKEEVEILIMFKHSPYIVHCCGANISFDDNVNLYNLSLEYAFEGSLVDRLQNCNSLLEFEVKKHRKNVLLGLSCIHNNGIIYCDIKPGNILLVGMDKLPRLLISGSL >Solyc07g053900.2.1 pep chromosome:SL3.0:7:62431546:62432899:-1 gene:Solyc07g053900.2 transcript:Solyc07g053900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKNSDEPEGLYELTFGFTDEGIEFQSCENSLKSNISYNSEEILMEEEEEENVNDSEENKAFWASQEELLKTTLCRTTSFESKVRKATKEALKELKFTSFKCSCRKMVSDGCRKCMQREISDRLTNEGYNCFICKSKWKSTPEIPSGILLSDKMTLLSRSTEIQLKKTKSALTLICLLFFILKGEYTYIEVMTNASSKKGEMKVIIELNFRGEFEMARVNEGYNRLVEKLPEVYVGKIERLRNLIKILCCASKKCMKEKKMHMAPWRKHKYMQAKYLGSPEIKSETIFPVNYLRRLPRPSGSMLTFDLLDSLTPAIKVI >Solyc03g034330.1.1.1 pep chromosome:SL3.0:3:6155511:6155804:-1 gene:Solyc03g034330.1 transcript:Solyc03g034330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGSSIFAIFFIAMLVILLGELLVVESINCNAMELVPCAPAIIWGQLPPLDACCAKLKEQVPCLCIYFKDPMMKPYVDSPNAKKVFQACGVTTPKC >Solyc10g074730.2.1 pep chromosome:SL3.0:10:58417398:58426343:1 gene:Solyc10g074730.2 transcript:Solyc10g074730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKYEKLEKVGEGTYGKVYKAKDKATGQLVALKKTRLEMDEEGIPPTALREISLLQMLSHSLYIVRLLCVEHIDKNGKPILYLVFEYLDTDLKKFIDSHRKGPNARALPTALIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLVDKEKGILKIADLGLGRAFTVPMKSYTHEIVTLWYRAPEVLLGSTHYSTAVDMWSVGCIFAEMVRRQALFPGDSEFQQLLHIFRLLGTPTDKQWPGVSSLRDWHVYPQWEPQNLASAVPALGPDGVDLLTKMLKFDPSDRISAKAALDHPYFDSLDKVSVEVGVRVRADLVLGSGLELGSWAELVLGLGLEPKRPTITLNSITLEDLAVSVDAARFKVNFNVTMNGSVSIENPNRVSFQYEDINPYIEYGGQEIGDVHIPAGNIGARTTTTMNFTLMIMADKISSNPNLFSDVVEKGMLQLTLYVKLKGKVKLNVLFKIKFKVSSKCDLSLDIRNGTLANQSCHSKIKL >Solyc12g056677.1.1 pep chromosome:SL3.0:12:63724763:63728799:-1 gene:Solyc12g056677.1 transcript:Solyc12g056677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNASSPANMEIGARVVPTMGNNSSYKGISRRSDLYCDHCHLTGHTKAVCYKLIGYPPDYKFKKKIGSYLKDNDTGKGNPNAGSHTDSSDSGNQFGCPYANFAGSSHMSKGSTDAFALTAGMIPCTTITADDVKRIVDSGASCHMVSSVAILSHNTTVNKNGLGKVHLPTGNVVNEDELQASHIEDNNQLSFSVPAPSSTAYVLSLDVPSMGDVSASEPSELRLSGTKPVNTPLETNLKLTSVDCDDFITKEAGSTNEDTLLVDPTQYQRLVGKLLYLTMTRIDIAYVMQVLSQFMHSPKQSHMNVALKVVKYIKNAPGLGLLIPSDSSEKFVAYCDSDWGGCL >Solyc10g011780.1.1.1 pep chromosome:SL3.0:10:4007469:4007669:-1 gene:Solyc10g011780.1 transcript:Solyc10g011780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDCFQDDKADVILKYMPDEARLLKAYGELPENVRLNENVNVLDEEDDAPEDDYVEFEDEDIDRI >Solyc02g092220.1.1.1 pep chromosome:SL3.0:2:54009252:54009509:1 gene:Solyc02g092220.1 transcript:Solyc02g092220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEFGATEALPFYEGVHTLPRQAGFTSSSNKKVVTIYWTLCPALVLCVKKIVRRAILAVTKKAYKFRRVCDDRKVDKEMGVGEVK >Solyc04g076400.3.1 pep chromosome:SL3.0:4:61392901:61399163:1 gene:Solyc04g076400.3 transcript:Solyc04g076400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKGIGQWKFFSSAMESALSGLVLDPYKFSQLSMEDRRQLVHEISQCPEDAPKILSSLTRKELLEIICAEMGEERKYSGYTKFKMIDHLLKLVSCKSNTDTGPTFKRQRTQEYQCQPSVQNDEVNREMGSKTQVLLCHNLVCRASLERDDVFCKRCSCCICHQYDDNKDPSLWLTCDSDSQDETKPCGLSCHLKCALEHEQSGILKNCINPKLDGDFYCVSCGKINGIMRTLRKQLMTAKEARRVDVLCLRISLSHKILEKTEKYKGLLKVVELAAEMLKNEIGPLAQASEKMDRRIVNRLSCGTAVQNLCGSAVGTFDSMFQNQFSSHTKMEETPMSCRIHLEEQSPSKVTIVFEYDDCVLKELMGFKLWYRKSTTNKYPDEATFIALSPVKKFKLDGLDPLTQYFCKVSFFNKAATLGVQEVNWVTPPVQTSYKSGSDNATIDTTLMHAESMSSTDHKLTTYDPKPCSLNDIESQANASPVSPLPKMHIPLASPLSSAPATPCQTNGSKEVQLRGIGQVKVSDYEYSVGIIKKLEHEGLIETDFRVKFLTWFSLKATTQERKVVRVFIDTFVDDHSSLAEQLMDTFMDEICMEQKVDLHAIYSKFWH >Solyc12g096790.1.1.1 pep chromosome:SL3.0:12:66548133:66549479:-1 gene:Solyc12g096790.1 transcript:Solyc12g096790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQKENMQVEIISTKFIKPSSPTPNHLQIYKLCFFDQVTDETHLPLVLFYPPTNNINLSSHHEEQLEQSLSRILTHVYPISGRFNEDINSISCQDQGVKFIKAKMNSKLNEFLDKAHKDVNLSLLCWPQDSWNVDPSNLFAMPLVIIQITEFECGGLALSLSHVHMAMDGYSTFSFINEWSKVCRLEIPVEKIDFMSFDLANVFPTRDLSKLLLPRVPTEDRVESKLVAKRLYINEDSISRLREKVGGDLCKFKPSRVEMITALLLRALIRASEKKHGYLRRSLMNIPINLRTRLTCLPQVEKSFGNLGVDAPIKFIPGENKMELHEFVTLIHNTVKETIATCDKTSPEDIVFAVSNIYNKSFLAQDWGGSDEVDKYTSSSLCKFPIQEADFGWGKPCLMHFGSRHDQCCWLYDAECGNGICVQVDLKEDHMHLFECDNDIKYFFSF >Solyc02g030620.1.1 pep chromosome:SL3.0:2:26991991:26992991:1 gene:Solyc02g030620.1 transcript:Solyc02g030620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYWRQKNLLPPMETEMAWRRRMDAEMDWRRRMETEIECRRRMETEIECRRRMETEMEWRQISGPFSVTTAASMPPMETQTEWGEMSDPFSVNRTASLPPMEIGMGWKERRDLQTQTRGDTQQSRWDKLKNVIVVEENEGNGTSSLPSPGGSGSVGSFGSTGTSFETQQHLPNQIDGTFIEGASCSSNEIPPVSGTLEQMQHLVLAAIEATNEQSLDFSGKEGLRNFLLKMPGASTKGDGANGKKTEGFLYAYNRGGEVKMVCICHGYFLTPAEFIKHAGGGDVENPLRLINVDPN >Solyc07g008490.3.1 pep chromosome:SL3.0:7:3393095:3395866:-1 gene:Solyc07g008490.3 transcript:Solyc07g008490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNTSRSGLGDYPPRSGLGDYPPRSGLGDYPPRSGLGDYPPRSGLGDYPPPTKRDSLFPERESQLDSHYHSTGLSLYGQDFGLSGTRTSGVGRAGNSVITQISQTVQMQIPLTYAEDIIGIGGANIAYIRRTSGAVLTVQESRGLSDEITVEIKGMSSQVQTAQQLIQEFMSSHKDSLPSSYGQLDTGLRQSSYSNLGGGSYPSSSYGGHHYGGGYGSFGSGGGYSSYRS >Solyc06g053190.3.1 pep chromosome:SL3.0:6:36009290:36015055:-1 gene:Solyc06g053190.3 transcript:Solyc06g053190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKRSQTSSSSNSPSPSPSSNSSSTATATATSTAASSSSWIHLRSVLFVVASSSPAYCASSDRGHLKSPWSHRKRKHVLSPLRWRSFFAPDGKLRNGGVKFLKKVRSGGVDPSIRAEVWPFLLGVYELDSSKKERDAVRKKNRKEYVMLRRKCCQLLRHNSETFKMKVTGGIGNNGDSGNLMEGMDFPDYEDVSALESPSSGDRSPFVEDSDSHSNLMLDEFSSSKRAMEPNDVSDSESSDSDSSVDHDVNHSFLSIRSMYDTAELHSKVDSSPSETEVQKQPCNAEDFATWQRIIRVDAVRANEEWIAYSTPQAGVVSDGRARCSAEAVGLKDYDHLEPSRIFHAARLVAILEAYALYDPEIGYCQGMSDLLSPIITVMTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIISKIIKHKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTLCLWEVMWADQVAIRAGIGKSAWSRIRQRAPPTDDLLLYAIAASVLQRRKQITEKYSSMDEILRECNNMAGHLDVWKLLDDAHDLVVTLHDKI >Solyc10g008205.1.1.1 pep chromosome:SL3.0:10:2312116:2312478:-1 gene:Solyc10g008205.1 transcript:Solyc10g008205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEIPLPGAATSILDFTPQNVTCHDVINYFAYCQEFVDGNEDDPTPVCCNNLHIMNEKVGQEERGTRRYCYCIEVFCDTFSQPHPPYLASRIEDLDKKCHIHRSFPISEHMDCTKYVIS >Solyc04g008650.3.1 pep chromosome:SL3.0:4:2280049:2286513:1 gene:Solyc04g008650.3 transcript:Solyc04g008650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHLTMEMSISMEIKNPVLFLRYCSSHKFLFFIFSIFSIVFPLVRSDDAEALLALKSTIDPSNFLDWKKGTDFCQWQGVKECMKGRVTKLVVENLNLSGLLDQDALNQLDQLRVLSFKANSISGQIPSLAGLSNLKSLFLNNNNFSGEFPATITLLHRIKVVVLTGNKLSGDIPPSLTNLSRLYVLYLEDNHFTGEIPPLNQLSLRFFNVSNNQLSGEIPDTPALSRFNESSFTGNVKLCGVQLNNNCPSTSPSYPIIPENVSHHKKSKKLIIIIVPVVAGILILCVLMAIFIACVRKRRRNNQDGKSKGVEAGGGEGGEEEGTSRGGNGGGGGGRDGNNGGKDGAFSWDQGSGGLGTLVFCGPGDQQMNYTLEDLLKASAETLGRGIIGSTYKAVMESGYIVTVKRLRDSRFPRLEEFRRHVEIIGRLRHPNLVPLRAYFQAKEERLLVYDYFPNGSLFSLVHGTRANSGSKPLHWTSCLKIAEDVATGLLHIHQNPGLTHGNLKSSNVLLGADFESCLTDYGLMPFRNLDSPEESGASSLFYRAPECRDIRRPLTHQADVYSFGVLLLELLTGKTPFQDLVQEHGSDIPRWVRSVREEETESGDEPVSSNEASEEKLGALLNVAMACVSLVPENRPTMKDVLRMIRDARAEAQGSSNSSDHSPGRWSDTVQSLPRDEHLSI >Solyc10g006870.1.1.1 pep chromosome:SL3.0:10:1289278:1291800:-1 gene:Solyc10g006870.1 transcript:Solyc10g006870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLRTMSWMPLVLAVVVSIFLIQDSNAAFVPADNYLIACGSSQNVTYIGQTYVPDSLHSSAALENKEDSIAATSNSSAPFSIYQSARIFHTTAFYKFDIKQEGHHWIRLYFYPLPGHNLTSASMTVVTENFVLLNNFSFKSYNGTYLLKEYAINVNSDSLILALIPSNNSVAFINAIEVVSVPNELIPDQAVAVSPVAPFSGLSGLALETVYRLNMGGPHLTALNDTLGRTWENDVKYLHVNSSAVNVSVIPSSIKYPATMTPEIAPNWVYATAETMGDANVPNVNFNITWVFPVDPNFMYFIRVHFCDIVSESLNSLLFNLYVNDDAALLDLDLSNLAGNLDVPLYKDFVSNSSVNSSILTVSVGPDTSADWINAIMNGLEIMKISNEARSLSGVQSVETLFVLPHKKKKIGIILGSALGASAVVALIALCCCFFIARRSKISNQGHSWIPSLYGNSLTLTKTTASRGTASCISLASPNVGRFFSFQEIMDATNKFDESLLLGVGGFGRVYKGTMEDGTKLAVKRGNTGSEQGLAEFQTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDDNFLAKVADFGLSKAGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMIWQKKGMLDRIMDPNLKGQVNPASLKKFGETAEKCLAEYGVDRPSMGDVLWNLEYALQLEEASSALTEPDDNSTNHIPGIPLTPVEPFDNSVSNIQGIVNSGTDDDAGDAATSSVFSQLVNPRGR >Solyc03g112785.1.1 pep chromosome:SL3.0:3:64607181:64609320:1 gene:Solyc03g112785.1 transcript:Solyc03g112785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAARLNCERKKEKVDRYFAWYAKKMALQTGRNFEGYDVVCVLDCFMIILWWDELDLEVISTCYTNSVTLTGYVVLLLL >Solyc02g043880.1.1 pep chromosome:SL3.0:2:1125716:1127770:1 gene:Solyc02g043880.1 transcript:Solyc02g043880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIEEQVEMELLYPQFHVWLPCYWTLYQWYNFGEKEHFTNSTGLREYIEQWTFVLYATRIQYLMSIFYVHEVRNQMIADVAP >Solyc10g011820.3.1.1 pep chromosome:SL3.0:10:4054535:4055854:1 gene:Solyc10g011820.3 transcript:Solyc10g011820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKKYITAEELKKHNKREDVWISVQGKVYNVTDWIKQHPGGDIPILNLAGQEATDAFIAFHPGTAWKHLDNFFTGYYLQDYEVSEVSKDYRKLCVDFAKAGLFEKKGHGVMYSFCFIGFLLCLTFYGVLFSNSFLIHMLSGALLGLTWMQISYLGHDSGHYVIMTTKGFNKMIQIMSGNCLTGISIAWWKWTHNAHHVACNSLDYDPDLQHLPVFAVSSSLFKSLNSTFYGRELTFDSLAKFFVSYQHFTFYPIICVSRVNLFIQTLLLLFSKRKVPDRFLNILGIMVFWTWFPLLVLSLPNWTERVLFVLTSFAVTGIQHVQFCLNHFAADVYVGQPKGNDWFEKQTAGTIDIACSPQMDWFFGGLQFQLEHHLFPRLPRCHLRNISPIVQELCKKHNLSYRSLSFFEANRWTIRTLRTAAMQARGLIWDAVNTHG >Solyc09g074280.1.1.1 pep chromosome:SL3.0:9:66506120:66507502:1 gene:Solyc09g074280.1 transcript:Solyc09g074280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKPDLDELKLCFIKGQKCYATFKLTNLMHTMSVAVALSTTNPSLFSFSYPFTIIPPLSTASFTLFLTNSCDQPPVYTPLDIVIVKSSMLPTGKASEDDLRRLFSRSGRHIFKDAKIPISLVGPQVVEFLLSSKNLLDVSLLLPMALSSCHNCQLDSLLKSAAKNGNSHCISALIEAGADVNRRDSDGESVMSLAVKYGDVDSVHVLIESGFTIDNSVDRFLHYAAATDCVDLMEILCLGYADIDLNSIDSQGRTPLHIAAIHGHVEVIQFLVSVGSDTDRLDAQGWTPLHFAATQGYVEAVGVLLNHSNFAKYVVTKQGKTAYELAIDKGHSKLYDVLQLGDTLHKAARKGDVADIKKCITEGANVNGKDQNGWTPLHRAAFKGRVEVAKVLVNNGAKLDLVDYVGYTPLHLAIEAGQEDVAIYLIAQGAKANLKSFKAKEVVSCDFRHLHTYNFV >Solyc09g091550.3.1 pep chromosome:SL3.0:9:71263224:71280670:1 gene:Solyc09g091550.3 transcript:Solyc09g091550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVEVLRMNGGIGDTSYANNSLRKVIVMTKPIIEQAMSDLYCNLFPKNLCIADLGCSSGANSFLVVSELIKIIEKERKKHGFQSPEFHYLFNDLPSNDFNTIFQSLGEFEHDLRKQFGEGFGPCYFSGVAGSFYSRLFPSKSLHFVHSSYSVHWLSQVPNFIEKNKGNIYITSTSPQSYIKAYYKQFENDFSNFLKYRSEELMKGGKMVLTFLGRESEDLSIKEYCCYIWELLAMVLNELVFEGLIEEDKVDSFDVPNYTPSPREVKYIVEKEGSFTINRLETTRVNWNNSSYESNNGGYKMTRCMRAVAEPLLINQFGPKLMDLVFQKYEKIISDCMAKEKAEFVNVTRKAILMTKPIIEQAMSDLYCSLFPKKLCIADLGCSSGSNTLLVVSELVKIIEKERKKHGFQSPEFHYLFNDLPSNDFNTIFQSLGEFEHDLRKQFGEGFGPCYFSGVAGSFYSRLFPSKSLHFVHSSYSLHWLSQVPNLIEKNKGNIYMASTSPPSVIKAYYKQYEKDFSNFLKYRSEELIKGGKMVLTFLGRESEDPFSKECCYIWELLGMALNELVIEGLIEEEKVDLFNIPNYTASPAEVKYLVDKEGSFIINKLETTRKKVILMTKPIRDQAISDLYCNLFPETLYIADLGCSSGANTFLVVSELVKVIEKERKKHDLQSPEFYFHFNDLPGNDFNAIFRSLGEFEQNLKKQIGEELGPCS >Solyc06g066590.3.1 pep chromosome:SL3.0:6:41956218:41957295:-1 gene:Solyc06g066590.3 transcript:Solyc06g066590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVEANNEGETSQAESGTEPAASQPQQFQGVQSVYQSPSHLTIGAPWSTGLFDCHLDQTNAVMTAFLPCVTFGQIAEVLDAGQMTCPLGTFIYMLMMPAVCSQWIMGSKYRTQLRQRYNLVEAPYSDMISHMFCPCCSLCQEFRELRNRGLDPALGMSCHAWLQLIFYYLSRSSKNLN >Solyc01g111510.3.1 pep chromosome:SL3.0:1:97648115:97653258:-1 gene:Solyc01g111510.3 transcript:Solyc01g111510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPIVDTEYIKEIEKARRDLRALISNKSCAPIMLRLAWHDAGTYDAKSKTGGPNGSIRNEEEFTHGANNGLKIALDFCEAVKSKHPKITYADLYQLAGVVAVEVTGGPTIEFVPGRKDSSVSPKEGRLPDAKQGVPHLKDVFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTKEPLKFDNSYFVELLKGESEGLLKLPTDIALLDDPEFRHYVELYAKDEDAFFRDYAISHKKLSELGFTPSSGSKATVRDGTILAQSAVGVVVAAAVVALSYWYEVRKRMK >Solyc03g005670.3.1 pep chromosome:SL3.0:3:481174:483870:1 gene:Solyc03g005670.3 transcript:Solyc03g005670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVLRSAGERLQNLLSEETAGLDSSVREDLNSILKKLQSSYILLKEKKRENEIENKVRIIEKLNYQIEDEIESSKTWRFLSFRIPKIKSKMETILKQIDNIVINGVSTSLLPLRDQITNIVGLEEDIGRVCRSMLSDYSSFPSSSSSHIGVPVVIPIYGVVGSGKTTLARSVHENSAIVERFENRIWIDVPREDFDVGYILENIMESVNHGWMRCSSSKKQLQERVGRIFNSKRSLFVFDDVRYIQAWETLYGALPELYSGSYVLVTTRVEYVAKYISKKHSQPVHNMNSLNEDDSWRLFDMVLSHSHRASSSDREMVRCCYGLPGLIKHLASYKTSDQSFISEYYCLLYKQELPKELQPCFIYLGHFLENQLIDPEKLSHLWMIEGLLSTRQSVVKGHQSMTERYLKELERMGVVELQPEEVPTTNKLFKAYRFVQGMEKFCVSNCEEKCFLKIIDLRRENCSLSSSDRPRRLVIYLGNRTVEIPPKVSKTILSLRVVLLGNQHTPTEMLNLKEFKGLRVLDFDGIDFKTLKLLTGISNLELLRYLSLKGCILDALPSSISKLSYLRVFDLRVEKGASAIKIPNVLWELRRLKHLYLPLEFATQNGEKLRLNSLTQLETLVNFNTSLCRANDVSTLCKLHYLEAKVENNFPDFESITSYLTSNKCPLHCSIDIIDLNCSAPERSTVLEEFLGCEVLQTLKFKGFIGPLLPSPKISPNLTQLRLHKSCLKQDPMPILEKLPSLGILGLNDDAYMGKDMVCSASGFPQLKCLQLLNLSELQRIHVENTAMPILSNIEIDNCQKLDINSIQQFHAVLLEKKQTRI >Solyc04g009370.3.1 pep chromosome:SL3.0:4:2800859:2806740:-1 gene:Solyc04g009370.3 transcript:Solyc04g009370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDIFKIISFYLIYILYELKQINKLKRNNLISICGSKSNHNLKLFMPSTSSFWGLTTTNSAASTRMTSSLGTHPRICSLGGGPEHIVKERSCKISCFRYTDVESSWKELFPSKIQKFNSCRHLNTRKCWAVFSAATGDANDPDDSEDSKNPPENENESVNNEILRGNLERIVGRDDSAFSGIDLAALIRNKYGRSYDVQLIKKEFMGKNLLALNVMWKYREQRSFPLTEEEYLLRLDDVANTLKCWGAVSHIRNSLEKLKERPRIGKAVSIFIDMDESGGRAKEWIYK >Solyc02g084365.1.1 pep chromosome:SL3.0:2:48034902:48038390:1 gene:Solyc02g084365.1 transcript:Solyc02g084365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQPGHSQKDPSSPTKSQIPNLLIPHHPNELIIKSNKLGGIQAGEGWHVGSAEDAISGPTRQPSSMNTMSAMVGRSSGSASVILLLNITSLGPPFSPLPRPPLVLELALAGLCFFSPAAFSLSFLRHLKKL >Solyc04g009360.3.1 pep chromosome:SL3.0:4:2794146:2799977:-1 gene:Solyc04g009360.3 transcript:Solyc04g009360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLCKVYGGTSDEEDDNGGIHLQRPILPQPKRAKFENFHQVGNHVPLYKPNPSTNLPAQASLPGRYISKRERAAMDSVEKVPDLSTTVSPNSSPVLGSILDSVLPHNILSALRDQTKIYGNMIHTPERLSVVLDGHKRSVNAVQWSTSHAHLLVSAGMDQTVCIWNVWSRDQKKARVLNCHKAAVKDVKWSPYGLFVLSCGYDCTSRLIDVEKGTETQVFNEDQVVGVVKFHPDNYNLFLSGGSKGHLKIWDIRAGKVVHQYVRNPDPILDAEFTVDAKRIISSSDTSKSNISENSIMVWDVSREIPLSNQVYCEAYTCPSIRCHPSDPKFIAQSNGNYIAIFSTKPPFGLDKYRRYEGHSVSGFPIKCNFSLDGDKVISGSSDGYIYVYDSNTCKLIRKIKAYNEACIDVVFHPVMSNVVASCSWSGQVSVAVT >Solyc12g017575.1.1 pep chromosome:SL3.0:12:6968034:6970539:-1 gene:Solyc12g017575.1 transcript:Solyc12g017575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSRSGKGILVNQRKYALEIISQLGIGSAKPSWTPLETSIKITTHELDNLIGESDEELLENKEQPDIAFSVQTLSQFLQQPKKSHWEAALRVMKYVKREPGLGILLSSTRTNRLTVFCDADWASCPNTRRSVSGFLVKHGNSLISWKSKKQSVVSRSSAKAEYRSMANEVSEVVWITTLLKELGSELDEPYRKTVEDVFEIIFPSNNVKRYSGSIDCELYLAAYAEYLTDGQLIPLTNYDVNLHRSRYSALL >Solyc12g005110.2.1 pep chromosome:SL3.0:12:91289:95171:1 gene:Solyc12g005110.2 transcript:Solyc12g005110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEAIQGNSSYAAVTLPVKRGRGRPRKDSNLKRVRTAHFPPGIKQTKEIRPQQVDTVNVANDAMIGQAVTGVVETAFDAGYFLNIRIGDLNFRGVVFKPGHFDPVTEENDVAPHVPMIIRNEIHVPIRNQIQVHGHDRRPQLSLSAPTTAPPSGHLVGAHSAVVPAVLQPVNPTNGFPPTTQALPDSSQAAHMTVALKERSLQTVVPLATLPSDGSLIEMTNGVEASHKMSAGNLNAVVERDIGDMNEPPPIEPSDSMNSPLPISVSKPLMSYGIGRMTELLQAVQENLMENQALRGEELIRPINPKTDG >Solyc07g009020.2.1 pep chromosome:SL3.0:7:4011169:4011915:-1 gene:Solyc07g009020.2 transcript:Solyc07g009020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTTFLALLFCLFLVAATEIQMAEGKYCWKKSDKWNGPCQYSYKCSYHCKHYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc06g062460.3.1 pep chromosome:SL3.0:6:39524196:39526872:-1 gene:Solyc06g062460.3 transcript:Solyc06g062460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQEFGAAFDPIDILSSWNISQRQEAATRLAADSVAAKSGAGSIGDCSKRKIDSSSSNFPPGNNYLPYFGNNQVPVCSLMADLSSISLFSKTKSPNCLLSATNTSNTDTSGELDDVIFSDDSESLWNIHTSNVVSSGESAIDAYKSKPIDADDNNDIHYSVNQLHATVSCNNLIRSSEAKYYNMDKRSHDALLESDSSNRDYVIQLISENDQPKSKKSRSEYKLPSSSNINFQQASSSACSIVDRDSEAIAQMKEMIYRAAAFRPVSSVTEDVMVEKPKRKNVRISMDPQTAAARRRREKISERIRILQKLVPGGSNMDTASMLDEAANYLKFLRTQVNAFESFGFNIDPSITNNNFTTSLSSIPLINYPFPLQPHFPMQNLNPVHHPKC >Solyc01g079280.1.1.1 pep chromosome:SL3.0:1:78229398:78229562:1 gene:Solyc01g079280.1 transcript:Solyc01g079280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTFIRYPPYKPGRTPASIGPAFFSQVRADKKLKIRKRHISRLCVNLTTLFI >Solyc01g102520.3.1 pep chromosome:SL3.0:1:91186891:91191468:1 gene:Solyc01g102520.3 transcript:Solyc01g102520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4B1I0] MAEKSESSLEYTPTWVVAVVCFIIVLISLAAERGLHRLGKFFLQKNQDALFKALQKLKEELMLLGFISLLLTVSQGAISQICVPENISKVMLPCKLKEASTSKHLITGRHLLAGSSGEQHCNHHEGKVPLLSLEALHQLHIFIFVLAVTHVIFCATTMVLGGAKIQQWRHWENSIQKQSKPHHVHILHIQSFVDRAGKRWRKYALISWTVAFFKQFYGSVTKSDYIVLRTGFIKKHCPSNPTYNFHRYILRTLEHDFKKIVGISWYLWLFVVLFLLINIAGWHSYFWLSFLPLVLLLLVGTKLEHIITELAQEVSERSSVVDETTPIKPSDELFWFDSPNLVLYLIHFILFQNSFEIAFFVWIWCTYGFKSCIMEDLGFIIPRLIIGVIVQVLCSYSTLPLYALVTQMGTTYKRGIFGEQTEDSLRIWAGTSSHNKMVTETQESITVSNRPACNEIQDISSTVELSYPNKPHTTP >Solyc06g075660.3.1 pep chromosome:SL3.0:6:47160989:47162126:-1 gene:Solyc06g075660.3 transcript:Solyc06g075660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEEEMRKGPWTEQEDVQLVFYVKMFGDRRWDFLAKVSGLKVGLKRSGKSCRLRWVNYLNPSLKRGKMTPQEELLVLQLHSKYGNRWSKIAGKLPGRTDNEIKNYWRTHMRKQAQDEKKNTNKASISPSSSNCSSSSANSPVEDFRTERNELLQVAGENKIYEGDQNMIVYSMDEIWKEVESTEEIETKNDLPAMASNTWDYNYCPDSIWMSTHDHYYFDNHQDFSFFTG >Solyc03g112400.1.1.1 pep chromosome:SL3.0:3:64295332:64295541:1 gene:Solyc03g112400.1 transcript:Solyc03g112400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGNSKRVYDSDDELWTENRSKRLHDPLLMKNNNVLEPKKKTEEIKKKRPRKVTSTVSRPTLPKVCV >Solyc01g067250.3.1 pep chromosome:SL3.0:1:75448070:75450232:1 gene:Solyc01g067250.3 transcript:Solyc01g067250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFYAADCQYKILNKKPITKTISLTDVFEFNSKFTDKIRMIKIPLPPVDEKKKVIEDVDKER >Solyc01g096320.3.1 pep chromosome:SL3.0:1:87265672:87266918:-1 gene:Solyc01g096320.3 transcript:Solyc01g096320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDTGEFSPSSSTAAVSAECFSSSSCFSSLSSSKKKKIHSSNNARRFSDEQIKSLETMFETETKLEPRKKLQLARELGLQPRQVAIWFQNKRARWKSKQLERDYSILKSNYDNLASQYEALKKEKQSLLIQLQKLKEIESDNRCSVIKKNEMEGMPSLSFDLSSQHGTNGVMSDDDIDSSIRADYLGLDDDAEYHLLKIVETGDSSLTSPENWGCLDDDGILNHQPNSSSYDQWWDFWS >Solyc08g077940.2.1.1 pep chromosome:SL3.0:8:61950466:61957949:-1 gene:Solyc08g077940.2 transcript:Solyc08g077940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFSNDGLSDQCVKKRSSVNGYHLLDSGTMSKHKVRIVCGEQDLPPGCSRNAPKVDLNQNENAMVSISENMADTLVAHGDNGPNTGVEFCSVEVASARTTNVIENGLEEPTSHDKSLRFELSKDHKNSEMSLLKKAKVIGYDELGTEVDVARHFFLVENVIGMYKDHVLHPGSMTDRVIPVCDSKTLSLPQCQIKNGSVEDNISPLPKKKYCRRGVFAVRDFPPFCGRNAPKSTKLDLLGGNEASKRAILLNKGVTENEVIETSKNVMDTGTLSLGLTASREADSWSKTEVTGSKCSLIERATVRVEDPEDVQDNYVRRSQLERTVMLPETMTKKERDDTGKFLLKESIVYSRNEREKATTARHGFGSGDKITKPVVHGLMDERCSPWRQKKQTPRQIVQGLMAETNKDWRQKEQTRLDGLMSRNQVPKPSMYRQRMSVVVARKSIPKPKFPETLFGRSRSGFVGEAVPEYPSSPFSKNDGIRNLNCEAQPKDSPIGQKKCEFDETRPPFGPKSSSRCDARSKVLETLRLFQSHFRKILQGEESMSRSAGVNAKQKDKIRRIDLQAAKLVKDKGKQVNTGTQILGEVPGVEVGDAFQYRVELSLVGVHRLYQAGIDSMYIKGGLLVATSIVASGAYDDDLGDADELIYSGQGGNVVGKVKIPEDQKLVKGNLALKNSIRERNSVRVIRGSKEIRTPESGGRPNVVTTYVYDGLYTVENYWKEKGPHGKMVFMFKLVRIPGQPELTWKEVQSSKNSKARHGVCVPDITEGKESLPIAAVNTIDGEKPPPFKYIKNMMYPVGFRPAPPRGCDCIGRCSDAERCSCAVKNGGEIPYNRNGAIVEVKPLVYECGPHCKCPPSCYNRVSQHGIKIPLEIFKTDTRGWGVRALTSISSGTFICEYTGQLLEDTEAERRIGMDEYLFDIGQNYGGYTANSSGQANQNELVEEGGYTIDAARYGNVGRFINHSCSPNLYAQNVVYDHKDKRVPHIMLFAADNIPPLKELSYHYNYVVDQVYDSDGKIKVKRCFCGSSDCSGRMY >Solyc02g093255.1.1 pep chromosome:SL3.0:2:54810157:54812590:1 gene:Solyc02g093255.1 transcript:Solyc02g093255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFPVVMNPVGGMLNILTDTTAKVNYLAIIRKSHSSCQQRVTSKNPNFHGVFGIDEFEKHIQKLTFISRGGHKGIALQETLVSNFLVSTIFSIACHCLLSEDDGQGGRRKKKGLKEKIKEKFTGGKHKNEEPHHQAHGVGTRTTTTTTTTTEHEKKSMMEKIKEKLPGHHNHH >Solyc05g054670.3.1 pep chromosome:SL3.0:5:65382253:65388032:-1 gene:Solyc05g054670.3 transcript:Solyc05g054670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPIRGLKRKKKVEKDVDQYTPLHSSLSHVCPSDWWVDFSKRISGTSVEEGDSVTFESMFKLSRKTFNYICSLVKDAMMSKPMNITDLSGKFLSLDDRVAVALRRLSSGDSLSIVGESLGIHQSTVSQITWRFVEAMEERGLHHIHWPTNQDDMKDIKSKFENIRGLPNCCGAVDTTHIMFCLSTADPSSKVWSDLEKNHSMILQAIVDPDMRFLDVLAGWPGSLSDSLVLKSSRFFELAEEGKRLSGEKFNLSNDTELREYIVGDSGFPLLPWLLTPYTGRELTDHQADYNKRHFATRKVAQRALARLKDKWKIIQGVMWRPDKQKLPRIILVCCLLHNILIDLDDGVQDEKPVTHHHDPDYGQQVGDSTDKSASIQREKLALYLANKLPPNVP >Solyc06g035850.1.1.1 pep chromosome:SL3.0:6:25197010:25197306:-1 gene:Solyc06g035850.1 transcript:Solyc06g035850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPRGLEWSGGGRRQATVPKVGAGFGEGDLGARSLDARGGLVEENLKPRCPRSVVGGRKGTSGHKASRLGVGGLPQITEPQGRGLREHFGDRGLDVEG >Solyc02g090260.2.1 pep chromosome:SL3.0:2:52497606:52505258:1 gene:Solyc02g090260.2 transcript:Solyc02g090260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEHPRLCSLIDHYLRPYTETGSTSVTKETEKELLVALSQVYAEIKLWMKEYESDNSFDMEGNSVGGVRIIPESHAANHHCLTNVIQVLMVVVAMENPYMNHLVGNILVAVSDFLVESESCWGEYINLLYLCVEISIFNGLSSMGHKMEVKDLSGDPSPSSLMKLSLKSASWSTAAVIMRVLHNVLKHLNRDLIDQFFNIFLEATIYFISNMPWNLLSEVYHVQGDSNSDRLLQMQEEKPKSILIFQGYILRLLCSLVKSSWTDAAVITSAEHPFIFEIKNLLPRILSSCISNGQHSDNVAICQYLKYKMLILMIRLSNQIHWEHSIVISWLDLIHTYFQDVLSQPMEGQEFVLDKYLEGSPFGVMTFDMGNKWISSKHLQRLSIFLFLKCSSSLLSMKETTDQHYACKNLKSFSSFDMNPKCCSRRKALLELHEWLRELLPGDCFIDNDMYSEKCMDFVSSFLQLYMQEDDILFEMLLQMLCLPFYSEKFTNEVALSDDEVREFSLISHLFHPIHFFHLFLAGIHYDHQVLLDYLISKDTGASSAEYLLRCLRKVSDSWNIFIEFSWSRKCRSRKRKKFSADDHNSMGELTLVSSCISGDNLPPDSKRKKAYGCHNEDYVTQMSPFECARNCLFQLKASIESLYQKNLFPYNPLVLLRRLGSLDVLQSWVKRFLL >Solyc09g092380.3.1 pep chromosome:SL3.0:9:71971398:71973680:1 gene:Solyc09g092380.3 transcript:Solyc09g092380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:K4CWR4] MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMASRAEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEFAKNGTVPDPTSTDNVEFQLVLTIIKESLKTDPLRYTKMKERLVGVSEETTTGVKRLYQMQANGSLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVALVAGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVLPLEDVVSEVDIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETFPGVKRITIKPQTDRWVFPDTNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERSSGKYEKKVYVLPKHLDEKVAALHLGKLGAKLTKLTKDQADYISVPVEGPYKPAHYRY >Solyc10g061950.2.1 pep chromosome:SL3.0:10:23453781:23457509:-1 gene:Solyc10g061950.2 transcript:Solyc10g061950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMCRSEVLLSTLQKKNGNYVNEEGKILDDKISEHLLEDQEQGATLGVPLKILVHPMMPLEKCMELNILVVLGNTPHQRVEELQKHVKTLEEKLTGYEETKKKLEESKKRLAKKENHLETLHRFLQVEFGNELPSFNIDSP >Solyc09g009230.2.1.1 pep chromosome:SL3.0:9:2614560:2615897:-1 gene:Solyc09g009230.2 transcript:Solyc09g009230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEISIEDQHVVTINWEINKTQLELMQQKISQPPQLLNESAGRSSCCIFRVPQSFINVNGRSYEPQIVSIGPYHRGKDNVKMIEEHKWRFLGNLVKRTKEKGLSLEDYLKAIQPFEMRARECYSEAIVLNKDEFVEMLVLDGCFIIELFRKIGGVIPIEKDDPLISMSWIYPFFLRDLIRLENQIPFFILQFLFDFTNIISQSSNTPSLAKLALTFFNNTLQRPNEVLEKFSNLEGKHLLDFLRSSYIFLEPKNRRDHSPTNVIQCISKLRRAGIKLRPRKEETFLAIKFNNGFIEMPTITIDDFMSSFLINCVAYEQCHVDCSKHMTTYATFLDCLVNTQHDVEYLCDCNIIENYFGTDYEIANFINNLGKDVMFDIDECYLLELFIKVNDYYKSSWHVHWASFKYTYFSSPWSFISALAALVLLVLAILQTLYSILSYVHPIS >Solyc00g007130.3.1.1 pep chromosome:SL3.0:2:32652150:32653415:1 gene:Solyc00g007130.3 transcript:Solyc00g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGKNRASSSSHVLRIPREDTPLLANTQHLSSSSKTFANVFIAVVGAGVLGLPYSFKKTGWFMGSLMLLSVSFLTYYCMMLLIYSRRKLESHYKVIKISSFGDLGYAVCGSIGRCTVDAMIVLSQAGFCISYLIFIANTLEHLFNYSNPKILGLSPKKVYIWSCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVIVEDVLIFLKNRPVLEAFGGFSFFFYGLGVSIYAFEGVGMVLPLEAEMKDRDKFGKILGLSMVFITLMYASFGVLGYFAFGEEAKDIITTNLGRGLLSTVVQIGLCINLFLSFPLMMNPVYEVMERRFCEGRYSFWPRWIMVLAVTLVGLMVPNFADFLSLVGSSVCIVLGIVLPALFHLIVFNKELSWHGLAVDAALVLMGAVLAVYGTYASMSETFGVKA >Solyc11g044650.1.1 pep chromosome:SL3.0:11:32641773:32642769:-1 gene:Solyc11g044650.1 transcript:Solyc11g044650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRHHALYAQGDTRATMTETKGRDPAREDRCGNSVEIQCREGGPSRLLSSREFIHPLSAYGHLSLEHRFRFNLNRKIKLST >Solyc02g068660.2.1 pep chromosome:SL3.0:2:39179388:39182076:-1 gene:Solyc02g068660.2 transcript:Solyc02g068660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKTCKMNLEFVCLLLLLSWLKVLDHSIAATSLAKPGCEERCGNLTIPYPFGLSASCSLNSWYVIKCDRSSDPPKPFMNSFLQVELQSEVISVSIENQTITTSVSIVNFCNKSSEGSRIITTGTDLSGSPFYYAKDRNKLMLVGCGNGLVTQKQNVLSGCTSICSEGATLTGCYGINCCQSLFPFDLSSYKANFTNSGIQQEAYPRCSAVFLVDQTWVPDEVAQPFVFLGYAPVVWLWTLQAKELEAGLLCDKSEAVVKLEDGTSVANWQCRCGNGTQGNPYFSNGCQANQRCTNCTEIVIYMKQSPKIVLLSVCISIGVLLLLLGSYLLYKTVKKRENKRQRQRFFKRNGGLLLQQQLSSNNEGTIDKGKLFTARELEKATDHFNENRILGRGGQGTVYKGMLPDGKIVAVKKSKLVDENQLDQFINEVAVLSQINHRNVVKLLGCCLETEVPLLVYEFIINGTLYSLIHNENNEFPFTWSTRLRIATEVAGALAYLHSATSVPVYHRDIKSSNILLDEKYRAKVSDFGTSRSIAIDQTHLTTGVQGTFGYLDPEYFQSSQYTEKSDVYSFGVVLAELLTGKKAISTTTNQDRSLATNFLLATERNLLDTIIYAKISQEGKREDIMAAANIAYRCMNLNGKKRPTMKEVTTALEAIRSQMPAAAVTNFQLDKGDTAERTVISEVNYTWTNTSTTSSDVHPLLFETS >Solyc11g005580.1.1 pep chromosome:SL3.0:11:432332:435685:1 gene:Solyc11g005580.1 transcript:Solyc11g005580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVVRNLLFRSSAVPPPFKFHDLRLPGSFSFSTAASIRSKARKNKGKNLEKSSNISVINQSKLSQVKRRTRSEKELDEETFLKNYGNDTSAHVPVLLGEVLDVFTSVTLRSFLDCTLGAAGHSSAIIRAHPEMQVYVGLDVDPIAHQKAQSQLKSVIDRDSFDTASALKVYTFLKNFKDVKSVLGEVADDLLTGGVNGILMDLGMSSMQVNDAGRGFSMLKNGPLDMRMNPKATLKAEDILNSWPADEVGRVLREYGEENNWYSLQNRIVKARLHGGLHSTNELVDLIQNSTSRTKGRQGWIKTATRVFQALRIAVNDELITLEDSIRACFESLTSGGRLAIISFHSLEDRIVKQAFLNIMNCSEVDGGEVEDEEGKRLRELRKINLDTVKEEVWIKQVIQGQNGTILTKRPITPSEKEETLNPRSRSAKLRVIQKA >Solyc07g006855.1.1 pep chromosome:SL3.0:7:1726164:1729372:1 gene:Solyc07g006855.1 transcript:Solyc07g006855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVRVEFLLRLLAILLLVLTACLVGFDSQTKVVFLTVQIKANFKYLGALSVLVWIDAAAAIYNVLQLLRCFFIATSKVVVYIVFAANSAAIEASAIALIGIKSLQWMKICNRFTRFCIQIGAALILGYIAVLILFLVSSISAFQLFRLYSPKHFLKLKTKLIDDSYVVHQNVLQNDLQNN >Solyc04g071780.3.1 pep chromosome:SL3.0:4:58862146:58874921:1 gene:Solyc04g071780.3 transcript:Solyc04g071780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISWAFIVFGSWLFAFAFVLKILNHPAKRKLPPGPKPWPIIGNLNLLGSLPHVSLHHLSQKYGDLMLLKFGSKPVLVASSPEMAKEILKTHDAIFASRPELAAGKYTSYNYSDMTWAPYGAHWRQARRIYLTEIFSPKRLDSFEHIRVEEGRTLISRLFPLSGKPVLLKDHLPRFTLRTISRLVMSDKYYNDDQSIITLETLQQMLDEWFLLGGVINIGDWIPWLSWFDLQGYLKQMKTLGKNFTIFFNYVIEDHKATKREIEEDYVAKDMVDTLLHFADDPNLDVKLTSDRLMGLIHDLISGATDTSAATIEWAFQELLRSPNITEKAQQELDRAIGRERWVEEEDFSKLPYIDAIIKETFRLHPLCALLPPHYSIEDCNVAGYDIPKGTIVYVNAWSLGRNSKYWDRAQEFIPERFIENNIDIKGQNFALLPFGSGRRKCPGYSLGMKVVRTTMANLLHGFNWKLGGDMRPEDISMDEIYGLTTHPNKPEITTRSKAMANYWQFEPHWFTPTCVFSPSFTKIWRFNFGSKPVLVASSPEMAKEILKTHDTIFASRPSLAVGKHTSFNYSDMVWASYCAYWRQARKIYLTEIFSPKRLDYLEYVRIEERKTLISCLFAHSGKPIFLKDHLPRFTLRTINRLVMSDNYCSDQSNDSDTSIVTLERLQWMLDEWFILGGVINIGDWIPWLSWFDLQGYVRRMKALGKNMTEFYKYVLDDHNAKRIQKSGDFVPKDMVDALLHLANDPNREVKLTSDRMMGLIHNLVAGGTDTSAATIEWAFQELLRSPNIMEKAQQELDRAIGKEGWVEEEDFSKLPYIDAIIKETFRLHPLCALLAPHYSLEDCNVAGYDIPKGTTVYVNAWSLGRNPKYWDRPEEFIPERFIENGIDIKGQNFALLPFGSGRRRCPGYSLGMKVVRTTMANLLHGFNWKLAGDMKPEHISMDEIYGLTTHPKKPISLATASRKLASDDFLPVIFLNTDHIC >Solyc11g042883.1.1 pep chromosome:SL3.0:11:34310440:34310867:-1 gene:Solyc11g042883.1 transcript:Solyc11g042883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAHDVDPIKLVVYVKGKARLGSIVHKKTASALCLTTVKYEDKMKKSGGDIMGSKSHARTKAKERVLAKEAAHRLN >Solyc02g077960.2.1 pep chromosome:SL3.0:2:43355366:43356920:-1 gene:Solyc02g077960.2 transcript:Solyc02g077960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLFSIKEKVEKSEMEDQLGGRSSGENDRNQQQRRMKMPENNSASPAQPPPQKCPRCDSNNTKFCYYNNYSLTQPRYFCKTCRRYWTQGGTLRNVPVGGGCRKGKRTMKGGGSSSSAGESSSSRSHHQVLYPPQIPNLSAAAAAFFSGNNSRSQPPPLPSMSSLYTGAAGGGGFLSSLAAMQSMSQLSQGINNQSQLGVISGTNNNNNQFGNFNIPTPPPKVQIDQQMESTGFYQKPNLESSFFPSDQTLQFQPARPLGSWTQRFINNNNNNIWPNNSASNTSSGAASSSAANASLGPNDHQWPDLPGFGPSP >Solyc05g051050.3.1 pep chromosome:SL3.0:5:62082877:62087062:-1 gene:Solyc05g051050.3 transcript:Solyc05g051050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRSSISDPGVDISLKRLKNEQEVDHRVDIEFQMEERCTIASKDDVTSTSEGSDASTLTVDTRQEKSGKEELPNEMRIREKSSYDHEDDLKDMEPTVVSGNGTETGQIIVTTLSDRNGQKKQTLSYMAERVVGTGSFGVVFQAKCLETGESVAIKKVLQDRRYKNRELQIMRMLDHTNVVHLRHCFYSSTEKNEVYLNLVLEYVSETVYRVSRHYSRVNHHMPIIYVQLYMYQICRALNYMHNVIGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVHGEPNIAYICSRYYRAPELIFGATEYTTAIDMWSAGCVFGELLLGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFQRKIPREAVDLASRLLQYSPTLRCTALEACAHPFFDDLRKPNACLPNGRPLPLLFNFTPQELSGAPTELRQRLIPEHMRK >Solyc01g110280.3.1 pep chromosome:SL3.0:1:96908660:96915274:-1 gene:Solyc01g110280.3 transcript:Solyc01g110280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4B3P1] MNLETLTCKSHIIPIEIPKFNLNFHVDFSSTRRRTCRVKSLTRIHNHRKRIITACVNRSQEVPVNLENSRSNLNSCTNNEEQVEAVAIREEIPVVDSNAKGEFSGNESIWAQVVEIVKFSGPAVGLWLCGPLMSLIDTAVIGQGSSIELAALGPGTVFCDNTSYVFMFLSIATSNLVATALAKQDKDEVQHQISILLFIGLACGIVMLICTRLFGTWGITAFTGANNMEIINAANTYVQIRGLAWPAMLVGWVAQSASLGMKDSWGPLKALAVATAINGIGDIVLCRFFGYGIAGAAWATMVSQVVAAYMMIAALSKKGYNGFALSVPSFDEVLQIFTLAAPVFLTMMSKVAFYSLLVYYATSMGTNTAAAHQVMLQLFSIFAVWGEPLSQTAQSFMPELLYGVNRNLSKARMLLKSLLIIGASNGLILGSAGVSISWFFPQMFSSDALVIQEMHKVLLQLFLTLWVSPCVHSLEGTLLAGRDLKFISISMTTIFGFASLLVMLFSSKGFGLSGCWFALVAFQWTRFLVALRRLTLADGILYLEGSVHDELQKLKAT >Solyc03g019960.3.1 pep chromosome:SL3.0:3:62713183:62719866:-1 gene:Solyc03g019960.3 transcript:Solyc03g019960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTDAVIAEEGVVDVKNQTEKSSVLSPLPLQKRGDSGVATEFSSLTPARKNEQSHIAHEIDTAELPEKYRNLSELFDRILCSLRLLNLRKRAPTFQNVSSQVEVLTGRKFTHKHLAQIKYIVPEAIQIDKLLVHDAKTMCMKPDVNITLHFDVVEDHQEHSKFMTLNSLFASRLMNFFRKHAQDCDIPEAILPDPFNQRCLTSNADLPLDLSSSRESKSLSSSHLCPSFSPRFSRQTAFAKIDQFSVPLSTCSPCESECTLNRELGSKRTSPELSKSSINLNNVEECQPIPGCSLVENERTPQKILPESEIMLETPAQPTPKRSVPITEDKHKKMTDQESVVLNLTVKRSLDFSTLAGEEMSSDLISGSIEQHHDLNTEKKTMSKEDHVDADICPNEKKSCSFSKEEKIYQSHLTAVRQESFSLSDLVRLIHRIFQSVGCRSMTKVELVHKIIVHDFDIDENSMLMWKGR >Solyc01g057680.3.1 pep chromosome:SL3.0:1:63460068:63469115:1 gene:Solyc01g057680.3 transcript:Solyc01g057680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLDFLYLFTVTFASTEEAITILKWKATFKNQINSLLASWTQSSNTCGDWYGVMCLMVGCSEEHLVEYS >Solyc07g005310.2.1 pep chromosome:SL3.0:7:241724:247240:-1 gene:Solyc07g005310.2 transcript:Solyc07g005310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYALHESKLKDEGNMDEKQISGESGTRKQGPCSTYRGCDDIELIELIGFCSAQTQILDEAVMITNEVVQSWVEHWSCTYLGVVARKAFCFAAMAVGNDDFSNLSFPYELINRTFEIIRLISWLGITPDFVVIFAAMASLDLFLP >Solyc02g077500.2.1 pep chromosome:SL3.0:2:42977208:42978099:-1 gene:Solyc02g077500.2 transcript:Solyc02g077500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIPAFHTHCSLKLTLHPLELMDISGSLLEAFLTNRLPPWNHFLQKGLKHPHWNHQLH >Solyc12g094610.2.1 pep chromosome:SL3.0:12:65761268:65764819:1 gene:Solyc12g094610.2 transcript:Solyc12g094610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDRDHELEDGVTVEEEFGSSCQTSPQDKREVVRKLIGVIHNVGSYEEYRRSQREECHILSERLKLLLPLFEEIRDFEGQIPESGIECLMRLKKAFSSAKKLLKTCHCGSKIYLAIESEAVMGRFCSVYERLSQALENIPYDDLGISDEEKEQVELVRGQFRRAKKRNDSQDMELTMDLMVALSTNDDRNADGASIDRLGNKLGLRTLEDLKLETISVRRVVKERKGRHAEETQKIVGLLNKFRSFAGLEEIEPLMIKVHEKSTSLAIPNEFLCPITLETMTDPVTVSTGQTYERENIQHLLDSGCQTCPKTGEILEHLSLAPNFALKNLIQQWCEKNNFQLPRKQESPKPESPSDESDERVLSLIQDLSSSHLEVQRKAVKEIRMLSKENPENRTLIVNRGGIPPLVHLLSYPDSRIQEHAVTALLNLSIDETNKNLISKEEPILAIIEILQNGNVGAKENSAAALFSLSMLDENKEAIGSLNGIPPLIELLKNGTIRGKKDAITALFNLCLNEQNVRLANEAGIVASLFQLLEKKNSEMVDEALSLLLLLATHQDGRQEMGKLTFIETLVNLMRDGTPKNKECSVAVLHRLSIHNSNHLLAALQYGVYEYLVEIGESGTDRGQRKAKSILQHMSKTEQIPCL >Solyc08g076160.3.1 pep chromosome:SL3.0:8:60306335:60315771:1 gene:Solyc08g076160.3 transcript:Solyc08g076160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKDGEHWKEMVKRMLPQGGDGENIEYSIAMEYTGPLLSYEVPKVEPLDVNSSAIPIAEPLSESRRSLSNGVAPVIEPIPLPVSRIAGVTSSPSHSPRVSYSSESQVSVLQNPDSSSGSPSASPGSVLNHQGRRAPVVTFVDRSERVTGEIENQVFPEDVGVSKERKKNKKSRVCHRCGKGKWESKESCLVCDAKYCSNCVLRAMGSMPEGRKCVSCISQPIDESKRSKLGKNSRVLSRLLSPLEVKQIMKAERECAANQLRPEQLIVNGSPLKPDEMAELFGCLLPPRKLKPGRYWYDKESGLWGKEGEKPDRVVSSNLNFTGKLSAHASNGSTQVYINGREITRRELRVLKFAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKATTRFVCSLFSLPVPHGQPYGQRDEPSNYTTVPNYLEQKRIQKLLLLGLEGSGTSTIFKQAKFLYGNTFTSEEIQDIKLMIQSNIYKYLSILLDGRERFEEEALARINVEDCNTESPEDSKCIYTLNQRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRNELHFLPDVADYFLSKAVEVSSNEYAPSEQDILYAEGVTQGNGLAFMEFQLDDRSPMSETYGDNLEAPPPLTRYQLIRLNAKGMNEGCKWVEMFEDVRVVVFCVSLSDYDQMWVAPEENGSGALLQNKMMHNRELFETMIRHPCFKETPFVLVLNKYDLFEEKVNRVPLGACEWFTDFSPLRPHTNSQSLAHQAYYYVAMKFKGLYASLTSRKLFVWQARARERITVDEAFKYIREVAKWDEEKEETYNVGAEDSFYSTTDISSSPFFRQE >Solyc01g096205.1.1 pep chromosome:SL3.0:1:87177970:87180375:-1 gene:Solyc01g096205.1 transcript:Solyc01g096205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSASNKLVTRGRSEAVAALFIRNIFWHLSILMHPFARIFKNATLDIFPSKTPNGIISSIK >Solyc02g092550.3.1 pep chromosome:SL3.0:2:54241992:54243212:1 gene:Solyc02g092550.3 transcript:Solyc02g092550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRKGCSDNCILRPCLQWIETPEAQGHATVFVAKFFGRAGLMSFISAVPENQRPALFQSLLYEAAGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGALRPISEFLGASVEIDEVSDCTDVFKLQDPSLNMRPKMQKRRRSPEETSMLDLSLTPGFNQKVYNSHPLPENHRRPGTPSMNSEESGTTTCFESSAVIGDHQGKEPKLLSLFN >Solyc12g098195.1.1 pep chromosome:SL3.0:12:66794909:66795127:-1 gene:Solyc12g098195.1 transcript:Solyc12g098195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSRQTDYVAYLVFKVGIMFVKYENVTETEDQANPVKLLTSAKLRADGWMEVEMGQHKHY >Solyc10g081650.2.1 pep chromosome:SL3.0:10:62806929:62812723:-1 gene:Solyc10g081650.2 transcript:Solyc10g081650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRTISO description:Prolycopene isomerase, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q8S4R4] MCTLSFMYPNSLLDGTCKTVALGDSKPRYNKQRSSCFDPLIIGNCTDQQQLCGLSWGVDKAKGRRGGTVSNLKAVVDVDKRVESYGSSDVEGNESGSYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGFYERDGYKFDVGSSVMFGFSDKGNLNLITQALAAVGRKLEVIPDPTTVHFHLPNDLSVRIHREYDDFIEELVSKFPHEKEGIIKFYSECWKIFNSLNSLELKSLEEPIYLFGQFFKKPLECLTLAYYLPQNAGSIARKYIRDPGLLSFIDAECFIVSTVNALQTPMINASMVLCDRHFGGINYPVGGVGEIAKSLAKGLDDHGSQILYRANVTSIILDNGKAVGVKLSDGRKFYAKTIVSNATRWDTFGKLLKAENLPKEEENFQKAYVKAPSFLSIHMGVKADVLPPDTDCHHFVLEDDWTNLEKPYGSIFLSIPTVLDSSLAPEGHHILHIFTTSSIEDWEGLSPKDYEAKKEVVAERIISRLEKTLFPGLKSSILFKEVGTPKTHRRYLARDSGTYGPMPRGTPKGLLGMPFNTTAIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADLGFEKKSDVLDSALLRLLGWLRTLA >Solyc07g021610.1.1.1 pep chromosome:SL3.0:7:20304991:20305248:-1 gene:Solyc07g021610.1 transcript:Solyc07g021610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVASSEIDEETSSHPNEQASATTPTQAANQEKSSRRGRTTFPVGEGKENSGQIKVLQPLKDGSFQIRLASFSCSVHYFKLLML >Solyc11g012130.2.1 pep chromosome:SL3.0:11:5057163:5059616:1 gene:Solyc11g012130.2 transcript:Solyc11g012130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARIFLVVAIVFTAVATVVVAGAPVHHVVGEDRGWDPSTDITSWYSQRVFRVGDKIWFTYSTTQESLVELRNEEDFTSCDLSNPIKMYTDGLDTISLEGEGIRYFVSGNTESCKNGLKIPVKIQPKEQIMAQNNVGLMAVADGPTVPSASTNLNGLSYILAVGLSICLLGL >Solyc07g062060.3.1 pep chromosome:SL3.0:7:65021287:65024393:-1 gene:Solyc07g062060.3 transcript:Solyc07g062060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAFSYSRISFKHISMASRTIQISSFSSGLNFLSKPQLGLNGKPTFGGFKSKISVSIRAMGSSASSSKSDSVQGGSKMDYSSISDEEWKKKLTNEQFYITRQKGTERAFTGEYWNSKTPGTYHCICCDTPLFESSTKFDSGTGWPSYYQPIDNNVKSKMDLSIIFMPRQEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLKAK >Solyc08g078520.3.1 pep chromosome:SL3.0:8:62415248:62423441:1 gene:Solyc08g078520.3 transcript:Solyc08g078520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLVKDENQRRVGKKQNGSSWKCAYGYSQHRRCKSASEKIAALSKGGDRQSIKKELNEPPVLPPSTRSCKASPLHEFSKTISKEEVPEHRASLEKDIEQLQMRLQQEKSMRMVLERAMGRASSTLSPGHRHFAAQNIDAIETDEVSLYRSQIVYKVDNIEYCSSSAQFQHPTLTLLNLVKTKELIAEIELLEEEVANREQQVLSLYRSVFEECISRPSSEQSSVMTSPAHNKAESRKHPSIISSAFCSSKKFPLRTFQALAAINDLGKRNLLQSKSTHASFYNGKANVHIQKSSSEHTKEQGQVTSTEKSPLARTLKDHLYQCPSKLSEEMVRCMAAIYCWLRITESTSTEQKRSPLSSRSSTNVIIPQHDIKEERDWFCRSTIEISWIATDKNNVSRASYAISNYRVLVEQLERVNLSQMETNNKMAFWINLYNSLVMHAAYNVGGQVISANAIEQSIFGLRTPRIGRWLETILSTALWKRSGEERQLISSKFSLQHFQPLVCFALCTGAVSDPMLKVYTASNIQGELEAAKKEFLQANIIVKKSKRVSLPKVLEKYTKEASIPSDDLLGWVMENVEKKLRDSIQKCIDRRTNKKTSQIIDWLPYSSRFQYVISKDFTEKPWWA >Solyc03g111350.3.1 pep chromosome:SL3.0:3:63435953:63439618:-1 gene:Solyc03g111350.3 transcript:Solyc03g111350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VPAKISLNLCFWRRKNPFVFASTVRFRSKAMNSRELTLFSAAAVFGAFASAITIRLFFNPKNRSVTGPDSGVNGFHQRKSSSSRNPFDPSKRKGYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNYVILGIGYNGFPRGCSDDKLPWAKKSKNGNPLETKYPYVCHAEVNAILNTNHASAAGQRLYVTMFPCNECAKIIIQSGVSEVIYFVEKRLDGSDTAYVASHKLLSLAGIKVRRHQPQMKEISINFEEL >Solyc02g077645.1.1 pep chromosome:SL3.0:2:43108355:43111352:-1 gene:Solyc02g077645.1 transcript:Solyc02g077645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTDGRDILISISNGLKLGKQEWQQKVEKLSNALMLSFFVFTMASLTPCGSFSIGCFKYIGSTRSIVVVTT >Solyc05g014173.1.1 pep chromosome:SL3.0:5:7941651:7944670:-1 gene:Solyc05g014173.1 transcript:Solyc05g014173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFLIIAFVLVLLPFITPMEVEAGANGEKPFVVSRLLGGRVSDDIVHPCINNCSVACCNCNIEVNPPVCVANESKTAQTENIKITLKQSF >Solyc11g020270.1.1.1 pep chromosome:SL3.0:11:10696019:10696309:1 gene:Solyc11g020270.1 transcript:Solyc11g020270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFTGRSPTDEIFKDGLNLHDFVKRAIPEHVKDVSDPNLVYDEMGRLISNNKTIECLTLIFRVGIACSVESAKDRMDIANVVNELNVIKDAFLRN >Solyc03g019670.3.1 pep chromosome:SL3.0:3:62929086:62932303:1 gene:Solyc03g019670.3 transcript:Solyc03g019670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLTRKTPPFCYVFLIFLNLLWGFENTCGEQKQPIKTVVVLVLENRSFDHLLGWMKKSVNPRINGVTGKECNPLSTKAQHTQTICFTDDAQYVDPDPGHSFEDVEQQVFGSGSGSIPSMSGFVEQALTMSDKNLSRAVMRGFKPENVPIYETLVREFAVFDRWFCSIPGPTQPNRLFLYSATSHGSTSHVKKQLATGYPQKTIFDSLHENGLDFGIYYQNIPSTLFYRNLRMLKYMFKFHQYDLKFKKDAKNGNLPNLTVIEPRYFGIIGYPANDDHPSHDVADGQKLVKEIYETLRASPQWNETLFVITYDEHGGFYDHVKTPYGDVPNPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVITKPKGPTPNSEFEHSSIPATIKKIFNLSSNFLTHRDAWAGTFEHVVAQLSSPRTDCPEVLPDASPLRSTTTEADETRALSEFQSEVVQLAAVLNGDHFLSSFPHEMGKKMNVKEAHSYVGGAVSRFISASKEAIKLGADESTIVDMRSSLTTRTSVQH >Solyc02g093540.3.1 pep chromosome:SL3.0:2:55011033:55015111:-1 gene:Solyc02g093540.3 transcript:Solyc02g093540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPFVGEAFGFFTPHKSFSIGNFLQQRCSRYGKVFKSYIFGSPTIISCDLELNKFILQKEGKLFQSSYPKPIRDILGNHSLMIVTDVERHKKLRRIEVGLINKFSSTSNFLCEIDKLCVSLMESWRGNQLILFSKQAKQLLQDFLTFMEGFVSIPINLPWTPYAKAVKARRRISSTLKQILNERKNRKDVQGKGDPFDEILMKEDLISDVEKVSILLDLLLAGYETTSGLLSLLVYFLAQSPQALQTLKDEHLAIRRNKKEGEPLNWEDYKQMEFTTMVINETLRCGNLVKFVHREAIKDVKFKGYHIPAGWKVLPILSAVHLEPSLHENPSEFNPWRWTDPATSKNVVPFGGGSRLCPGSELGKLEASFFLHYLLLNYRSRKIVSAAAIHRQEVSASA >Solyc10g055680.1.1.1 pep chromosome:SL3.0:10:57141703:57142776:-1 gene:Solyc10g055680.1 transcript:Solyc10g055680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D1F8] MDALALFATVSLIAASLYWFICIHGSAEQKGKRAVELSGGSIAKEKVEDNYKQYWSFFRRPKEIETTENVPAFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSDRECTRIHEQRAVDLLGVKPGARILDAGCGVGGPMRAIAAHSGANIVGITINEYQVNRARLHNKKAGLDSLCEVVCGNFLEMPFDDNSFDGVYSIEATCHAPKLEDVYREIYRVLKPGSMYVSYEWVTTELFNSDDPEHVAIIHGIERGDALPGLRKHSDIYEVAKKVGFEVVDEKDLAKPPSNPWWTRLQMGKIAYWRNHIVVTVLSWLGIAPKGTVDVHKMLVETADYLAKGGDKGIFSPMHMILCRKPEKH >Solyc07g063360.1.1 pep chromosome:SL3.0:7:65980332:65981410:1 gene:Solyc07g063360.1 transcript:Solyc07g063360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSSLVFNLLQRQLVHQRQRISKNQMINKVIRSQLPCDVFINHRGNDTKRTIASLLYDHLTRLRITSFLDNKNMKPGDKLFDKIDSAIDECKIGVAVFSPRYCDSYFCLHELALFVESKKKLIPIFCDVKPSELRVSKSNNIQLESKQIERFNFALEEAKHTVGLDFDTNKGNWSDVVTKATDVVIESLIEIEEAQIIKNPKKLIIP >Solyc10g005420.1.1 pep chromosome:SL3.0:10:311107:312068:-1 gene:Solyc10g005420.1 transcript:Solyc10g005420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYSITEEIHQHELTQVSRWWRRLGLAEELKLLRDQPLKWYTWPMAVLEDPKKSQERIELAKCISFVYVIDDIFYVYGSVEE >Solyc12g017705.1.1 pep chromosome:SL3.0:12:7276068:7276889:1 gene:Solyc12g017705.1 transcript:Solyc12g017705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEDLRKQLKEILEAGHIRSSKTPYGAPVLFQKKKDGFISGYSTKAAPLTKLLKKNKPWVWSKRAREHSKVSRLR >Solyc04g051063.1.1 pep chromosome:SL3.0:4:49350690:49351357:-1 gene:Solyc04g051063.1 transcript:Solyc04g051063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRHGSQVPLVPIPKLKSWELALAPKRNHLESFLNGFYKKQLGRVLTIDEHVYQSGCSGKYGGKDHSSHCGRGGQIESNMKNTNKVAYEFAHMGNGGDPLEASLYVLRNDKLIDGNLIIQSVLASEKAMVFHVSAYKKNREAGLTGDLAPAIPLIHSRICRSPAMGQSILAS >Solyc01g095200.3.1 pep chromosome:SL3.0:1:86420731:86423960:1 gene:Solyc01g095200.3 transcript:Solyc01g095200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4AZQ9] MAEHVENSDPKGESLLEKIADKFHGDDSSSSSESDTETKKPAVKSEVEPETETLKNKVWRLFGREKPVHKVFGGGKPADVFLWRNKKISATVIGGATAIWVLFELLEYHLLTLICHILIITLAVLFLWSNATTFINKKPPHIPEVHLPEGPILQVASALRIEINRALDLLREIASGRELKKFLAVIAGLWILSIVGSWCNFLTLFYISFVLLHTVPVIYEKYEDKVDPLAEKAMHEIKKQYAVFDEKVLSKIPRGPLKDKKKD >Solyc11g072080.1.1 pep chromosome:SL3.0:11:55653492:55654797:1 gene:Solyc11g072080.1 transcript:Solyc11g072080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSCNSRHFSWLMKSCFPNNQHPPPHHPTPIGTTTILPTGTTIAELPDDLLLECLSRVTHSSLPSLPLVCCRWSLLLDSPTFHLLRQRNNLLRLTLFAVSVSDGALCTASYRLNNDCSWKICSFTPANDPVFEHGCFYSLFSHSRLSVIGRKIYVIGRTAMLRCDTWTGLVVPRQGPVFPRKKFAAAVVGGKIYVAGGCARAAAVEEYDPMTNTWSVVAKAPRKRYGCVGASVDGVFYVIGGLKLGGAAGNEMLVARGSRASDAAHVYASSMDLYDTVNGVWLKSRSVPGGGCVVAACATAGEIYVLSSHAVELSFWKFNGSRKSTGFGDWCRIKSPPLPAQVRLDSTVRFSCVGIGEKVVLVQVNGCIDDLSFADQPASVLSAKLAYYYLTNRILDQN >Solyc10g074740.1.1.1 pep chromosome:SL3.0:10:58435167:58435766:1 gene:Solyc10g074740.1 transcript:Solyc10g074740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQDESKDEFKQSLTRGKLKPSSSSSFRLRSPSLNSIRLRRIFDVFDRNHDCLISVEELSQALNLLGLDADLSEIESMVKSYIKPENTGLRFEDFEALHRSLNDVFFGSKCEDKLGLNSDPAQDELDLKEAFDVFDENGDGFISAKELQVVLEKLGLPEGSEIDRVEMMISSVDQDHDGRVDFFEFKDMMRTVIVPS >Solyc06g083270.3.1 pep chromosome:SL3.0:6:48800300:48804073:1 gene:Solyc06g083270.3 transcript:Solyc06g083270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKGYQSPAKKVLSWIRKKSKKVKIFLGLITSIILLVTLKLVVHDHNLFFVIAEAIHLIGLLVLIYKLTTLKTCSGLSLKTQVLTAIFLAVRLYCSFLMEADIHTVLDFITLVATVWVIYMMKFKLKASYMAELDNMPLYYPIVPAAVLAFFVHPTTSHILINRMLWAFCVYLESVSVLPQLRLMQNVQIIEPFSAHYVFALGVARFLGCAHWIIQVYDTAGAYIYLAGRGYLWIPTVFLAEMVQTFILADFCYYYVKSVMSGQLIVRLPTPV >Solyc10g084090.2.1 pep chromosome:SL3.0:10:63877580:63880637:1 gene:Solyc10g084090.2 transcript:Solyc10g084090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQKFYQPIKQRFPNRPIKEIAKLISLFPPSHSTCSNFFNFKKNPNLETHLAVVVDLLKSKEFAEAENILNAVVVTDGLRKPVSKIASLLSENYVKCKVIGKMFNMLFSVYVNNMRFSEALQVFDYMKKWRFRIDDRACMVYLLAMKRQRQYDSLFEFFHKMVKFNVKITVYSMTVVVDGLCKMGEVCEARKLVDEMASTGVKQSDYTYNILLQAYMKMQDFVAVKEILRKMEKDGFDLNLTSYTLLIKGYSTFGDLVEVERLFKEIEEKGIEPNVHLFTSMISGYSKLGNVMKAFSTFVEMVERGLIPDGHTYGALINGFCKAGLMQGAEVLLNEMQGKGISVDRVIFNTMMDGYCKQGNVDEALRLQTIMEGEGHQPDANAYNIIATGLRKLELYDEAKSLLLSMVDRGVAPDTISYTTLIDIYCKQGNFVEAKRALIEMETKGIKPNTATYTTLVDGYCKLGKIVDAKRILRVMETAGVKPNTTTNSVCALIDGYCKQGNFIEAKTVFSEIGTKGVKPNTVTYTALIDGYCKVRNPAKAEKVLVEMETKGVKPTTVTYTALIDGCCKVGNIAKAIKVLIEMETKGVKPNTVTYTALIDGCCKVGNIAKYTSLVHRECKSGKVDDALKLFNEMPTKGLVPNVVTYTAVISGLSKEGRSGEALRLYNQMIEAGVIPDAAAYSALRIGLGDLRNDDFWPIKRVYNQMIEAGLTLGVTYPALVDILSYYDLRRLELDDICEYSDDIRI >Solyc10g074680.2.1 pep chromosome:SL3.0:10:58293407:58298528:-1 gene:Solyc10g074680.2 transcript:Solyc10g074680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKALPVSGENCTGVNVVGDSSGEKTSKQLFHCENGKMVRKRAASDMEIQTGAGEEHRYLRRPAMIGGSHSQVGDSRVCGNSNFGHGMNSNLTTTMTMTTQVSNYSTMQMLPSSTNLCGVTSRGGPGIDTGFSNSTPNLTYTDAITSHHQPQGTQTQNNNSQSPSVCVFSGLPLFPPDRNRQNSGLLLQQPPAAAAAVVSSPLTTGRIDSMEDSTSATAWIDSIIKDLINSSAQVSVPQLIQNVREIIHPCNPYLASLLEYRLRSLTSNNNGGADQNDPMECWRRKESLPAQLAGLQQAQNNANLLQHNILSLPDSSNNQYLNWDIALPNSHNAPVAPSHNQHQQLGGNNPTATDLSFVTLSPQVQQQQQQQQQESPHSHSQQQAAVDLDQQQKQQQSSSSLSPTSVADNSAKTKTSTPAPPVPINTYREKKEEERQQKRDEEGLHLLTLLLQCAEAVSADNLEEANKMLLEVSELSTPFGTSAQRVAAYFSEAMSARLLNSCLGIYAALPMTSVPMLYTQKMASAFQVFNGISPFIKFSHFTANQAIQEAFEREDRVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSMDALEATGKRLSDFAERLGLPFEFLPVADKVGNLDPEKLNVSKREAVAVHWLQHSLYDVTGSDPNTLSLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGACYGEESEERHVVEQQLLSKEIRNVLAVGGPSRSGDAKFNNWREKLQQSGFRSLSLAGNAAAQATLLLGMFPSHGYTLVEDNGTLKLGWKDLCLFTASAWRPNSLHAAPGSRHFSRPNMD >Solyc11g044390.1.1.1 pep chromosome:SL3.0:11:33002740:33002976:1 gene:Solyc11g044390.1 transcript:Solyc11g044390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNPSGGKCHAVQCTININSECVGQRKVPGGCNNLCTIIQYGPNDLSGFFKQRCPDACSYPKDDPTSLFACPSDTTNY >Solyc06g084110.1.1.1 pep chromosome:SL3.0:6:49388240:49388437:-1 gene:Solyc06g084110.1 transcript:Solyc06g084110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYDEGGASAVFNSPECHRWDFSTAIKNGTDNCLIATHQGRRKYQEDRITCYPRVTVPVLGSFKL >Solyc06g084070.3.1 pep chromosome:SL3.0:6:49371552:49372429:1 gene:Solyc06g084070.3 transcript:Solyc06g084070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPV3] MEMAGTELRLGLPGTVPSSTSKISNKRCSSHRNNNDEPPQKAQVVGWPPVRSYRKNILEASYVKVSMDGAAYLRKIDLNTYKSYPQLLKALENMFKCSIDVYSETDGYNGCNYIPTYEDKDGDWMLAGDVPWDMFINSCKRLRIMKGSEAKGLASL >Solyc05g052960.3.1 pep chromosome:SL3.0:5:63981760:63987449:-1 gene:Solyc05g052960.3 transcript:Solyc05g052960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQISVDRAGKDSSSKSVNETVNGSHHFTIRGYSLAKGMGPGKYISSDIFTVGGYDWAIYFYPDGKNIEDSSMYVSVFIALASEGTDVRALFELTMLDQSGKVKHKVHSHFDRALESGPYTLKYRGSMWGYKRFFRRASLETSDYLKDDCLSMHCTVGVVRTRVEGPKNYSVTIPPSDMGQSLKYLLDAELGCDIVFRVGEEAFKGHKLILAARSPVFRAQFFGLIGNPKTDEVEIEDIEPSVFKVSYASVHLF >Solyc01g111380.3.1 pep chromosome:SL3.0:1:97562848:97564206:1 gene:Solyc01g111380.3 transcript:Solyc01g111380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAFKMATTGMWVTDECKNSYMDMKWKKNHRYIVYKIDEKSRLVTVDKVGGPAENYEDLAAALPKDDCRYAVFDFDFVTVDNCRKSKIFFIAWSPTESRIRAKILYATSKAGLRRVLDGVSYELQATDPTEMGMDVIKDRAK >Solyc04g081100.3.1 pep chromosome:SL3.0:4:65223796:65236597:-1 gene:Solyc04g081100.3 transcript:Solyc04g081100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEENVELRLERGTKKRIEMKFDSGDDEPIGSLLKLKSKKQSKKAKVDLGGSKDVVQKTAVKDEHLVGMDDTLASFRKKLRGPKKDSGSVSTIGKSSSSNASKLTVESPDGSVKAVAKIVENGLSDVECLSEGIIDKGFEKGNKRKGKRPKVSSELKKVEISEDMSLQNDKESGKSPPNCMDGILEDSLSAFLKKAQSGMFKKSHSSLQLKRGKESEVLCDVLNSCPTATEIFPSISKNMCQKLVEGMPESNENVHVALDRGSVDMHLSENKEFVQLIQLQSDSRPELLSSALNNVELLKSSIAIDDTSSIEGSQLDLPACFNKIAGVVDGEVKCHSKLSEEETATTYNIVRGNCKDLHDEDVLKNCSIYYEQSASKDGFSDRPMATGRDVLSAGIITPENVEMLEHPVIETKFNADMDVNAILSSRETHVDDQMCSSNRVDDSGSCRSVQLLNKLDHTSEGSTCNVFSRTLISSTFRLEGLTAAKEKTDMEGSGYAQVRLAPDFIAVEKCSSDFDDQQRISGDSVNEQACSPVSLPKEDGQVFAGGLSLVSIGRSQQVNASQMKQEDQIMENDDDLYDSSKQLTIDNAATSLRKCSLVFHQSELADENCEGAHHQSRVFVSGDDEADDTSSPSITPECDESVAEETEAKLAAEEKEQRIFSGQRASRKTKKRRHGDMAYEGDVDWDVLVHGQDLFSSHQDGEGRHAFKTREKLESSLTVMDTENGGIAAVSVGLKAREVGPVERIKFKELLKRRVGLLEFLECRNQILSLWNKDISRVLPLSECGVSETPLADESPRASLIRQIYSFLDQCGYINFGIASEKDKAENGAEHSLKILKEEKTIEKSGASVADRDDGVSFILGRSKSSEIIMPEKNDVLSDEGKKTEKCGADCQLIDRRAIELSTLAEPRECPIDDCRVNGYLDIQSPRQPFDLGLVAQVPSSEVKDSELQNIVDPGLLPPNNTEIDGRAADKHIVISEDSCGFTSDSLGCQSLNTCCDAKGKKEIIVVGAGPAGLTAARHLKRQGFHVTVLEARSRIGGRVFTDRSSLSVPVDLGASIITGIEADVATERRPDPSSLICAQLGLELTVLNSDCPLYDVATGQKVPVDLDEALEAEFNSLLDDMVLLVAQKGEHAMRMSLEDGLEYALKKRQKARFARNHMGNESQKLSVTAVESMALSDVGVPQNNNSKVEILSPPERRVMDWHFANLEYGCAALLKEVSLPYWNQDDTYGGFGGAHCMIKGGYSSVVEALGEELCVHLNHIVTDISYCKKDVLSNNDLFNKVKVSTTNGREFSGDAVLITVPLGCLKAETIKFSPPLPQWKDLSIQRLGFGVLNKVVLEFPEVFWDDSIDYFGATAEDTDERGRCFMFWNVKKTVGAPVLIALVVGKAAIDGQEMSSDDHVKHSLLVLRKLYGEKMVPDPVASVVTNWGKDPYSYGAYSYVAVGSSGEDYDILGRPVENCLFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILTTGTDYTAEVEAMEDAMRHSDVERSEIRDIIKRLEAVELSSVLCKESLDGVKIVTRENLLRDMFCKANTTAGRLHLAKELLKLPVEVLRSFAGTKEGLSTLNLWMLDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAVASQLVNVWIELFRKEKAANGGLKLLRQSTATDTSKSKHIAAPGKPPIRSHPSAVDSKRSSKVSSSAGNHLAVSVNNKKLNVRPATIGAIPVVEPSTSQASVGRQNDTSEETQNFPMSEEEKAAFAAAEAARLAALAAAEAYASSGAKCNMPLQLPKIPSFHKFARREQYANMDESDIRKNWPGGVVGRQDCLSEIDSRNCRVRDWSVDFSAAGVNLDSSKMSVDNRSQRSLSNDNASQLNYKEHSAECAPVDSSIFTKAWVDSSNSVGIKDYNAIEMWQCQAAAANSDFYDPVMHVTDEEDSNVSSKMDMRKHDVLVCESSASQITVNKEALDNQPRGAKRIKQAVVDYVASLLMPLYKARKLDRDGYKSIMKKTATKVMEHATDAKKAMHVYEFLDFKRKNKIRDFVDKLVERHIQMNPGAKS >Solyc07g054660.1.1 pep chromosome:SL3.0:7:63042535:63043253:-1 gene:Solyc07g054660.1 transcript:Solyc07g054660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASNGWLPLPPQKPVYFLRMRLFTPNKGKRPQLEKITEIPMVNSFAALDNKEEEQVEKDTKKAHDRRSCKSWVESSFGKLKPDTITYEVEVKMLGSMFCKWKRESN >Solyc07g008630.1.1.1 pep chromosome:SL3.0:7:3575035:3578100:-1 gene:Solyc07g008630.1 transcript:Solyc07g008630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIX2 description:Receptor-like protein EIX2 [Source:UniProtKB/Swiss-Prot;Acc:Q6JN46] MGKRTNPRHFLVTWSLLLLETAFGLTSREVNKTLCIEKERDALLEFKRGLNDDFGRLSTWGDEEECCNWKGIECDKRTGHVIVLDLHSEVTCPGHACFAPILTGKVSPSLLELEYLNFLDLSVNGFENSEIPRFIGSLKRLEYLNLSSSDFSGEIPAQFQNLTSLRILDLGNNNLIVKDLVWLSHLSSLEFLRLGGNDFQARNWFREITKVPSLKELDLSVCGLSKFVPSPADVANSSLISLSVLHLCCNEFSTSSEYSWLFNFSTSLTSIDLSHNQLSRQIDDRFGSLMYLEHLNLANNFGAEGGVPSSFGNLTRLHYLDMSNTQTYQWLPELFLRLSGSRKSLEVLGLNDNSLFGSIVNVTRFSSLKKLYLQKNMLNGFFMERVGQVSSLEYLDLSDNQMRGPLPDLALFPSLRELHLGSNQFQGRIPQGIGKLSQLRIFDVSSNRLEGLPESMGQLSNLERFDASYNVLKGTITESHFSNLSSLVDLDLSFNLLSLNTRFDWVPPFQLQFIRLPSCNMGPSFPKWLQTQNNYTLLDISLANISDMLPSWFSNLPPELKILNLSNNHISGRVSEFIVSKQDYMIIDLSSNNFSGHLPLVPANIQIFYLHKNHFSGSISSICRNTIGAATSIDLSRNQFSGEVPDCWMNMSNLAVLNLAYNNFSGKVPQSLGSLTNLEALYIRQNSFRGMLPSFSQCQLLQILDIGGNKLTGRIPAWIGTDLLQLRILSLRSNKFDGSIPSLICQLQFLQILDLSENGLSGKIPQCLNNFTILRQENGSGESMDFKVRYDYIPGSYLYIGDLLIQWKNQESEYKNALLYLKIIDLSSNKLVGGIPKEIAEMRGLRSLNLSRNDLNGTVVEGIGQMKLLESLDLSRNQLSGMIPQGLSNLTFLSVLDLSNNHLSGRIPSSTQLQSFDRSSYSGNAQLCGPPLEECPGYAPPIDRGSNTNPQEHDDDDEFSSLEFYVSMVLGFFVTFWGILGCLIVNRSWRNAYFTFLTDMKSWLHMTSRVCFARLKGKLRN >Solyc09g047840.3.1 pep chromosome:SL3.0:9:33705648:33711865:1 gene:Solyc09g047840.3 transcript:Solyc09g047840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISSSWDGTITSTQFHNAASTFSEIWNNFDLGFPHWSWINFPNKPGFAATKLQGYLSLENMILPITTEEECVLAGTEDLTCSNEDSFDDTAILVQKDSKERHHYDFHVIYSSSFRVPVLYFRAYCSDGEPLAIEDLEKDFPAYTAQELAVSKWTFITREEHPYLNRPWYTLHPCGTSEWMKLLFSNEPSVVNQGGVAIEKYLTSWFSVVSPVFGFKIPLKFSTFMNSGNVATVI >Solyc04g056700.1.1 pep chromosome:SL3.0:4:54613570:54614020:-1 gene:Solyc04g056700.1 transcript:Solyc04g056700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVVVTKNISLTHIIGFFFYGVWNLFSGFYVLRPVHAFVFAIKAFNFKMRQKDVTC >Solyc07g016065.1.1 pep chromosome:SL3.0:7:6220789:6221967:-1 gene:Solyc07g016065.1 transcript:Solyc07g016065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSICFMAFVVLAMMLFVSYEVQAQPMCKSTSQTFKGLCFTDSSCRKACLKEEFEGGHCSKLQRKCLCTKICVFDKISDEVKTNFGWGSKNS >Solyc07g041623.1.1 pep chromosome:SL3.0:7:53715137:53715740:1 gene:Solyc07g041623.1 transcript:Solyc07g041623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FDAFRILKNRTIVGIGHSIPSNFLDDNLFDEDMIEISSKLYGLIVTNGIVTYIACDSYSKISSQLREDIGQWLLKYRRPFLLVIREGQHKKNEGKFKIFGRIELKRMSVKQVILRDEFERCIQIVTGADDNGKY >Solyc08g074990.2.1 pep chromosome:SL3.0:8:59249848:59261655:1 gene:Solyc08g074990.2 transcript:Solyc08g074990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRIVLFSQYFKDEQVVIILLIFLVLLYCTSHVVGAKDDLYVNITILEILQRIIIRYGFVFCTVCLDGSPPAYHLHRGHGTGLGSWIIYLDGGGWCDSITACLNRSTTNLGSTKHMGKEGFFDGILHNTSIRNPEFHNWNRVRIKYCDGSSFTGDVEQVDPENKLYFRGARIFKAIMEDLWSKGLESAENVRIYCTFSAILSGISAGGLATILNCDKFKCLLPENARVGCVADAGFFIYGRTIDDTSYIQEMYHKIVNLHKSYKLSLFLFCFKGLLRIYHQPAHLKNILVPPHVDPQHVWEDCLNNTKTCTSSQHIAIQAFGVEFLKTFEGLPPCFTRGYFLTSCYSHGGILAPPYWFSSTSPRLLNKTIGETVADWYFERTRFQCIDPYPCVKVCKDLNNH >Solyc01g009165.1.1 pep chromosome:SL3.0:1:3122047:3125148:1 gene:Solyc01g009165.1 transcript:Solyc01g009165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQEQYYEILQMLRKGKSKEVDTMANVANAGVSGTSDTGASNHMVHNFGLMSQSANLDVKGGMRVNLPTGDQVSITHIDLQDSSCTESFELESDKTFIPGLNPTTSIHPQTFSNTTAPLVPLRRSSRQSKPPIWMDSYVTESAQSACLYPMSQSKEGILMNQRKYALGLVPELGLAGCKPYSTPLEFNHKLTSTVFDEVIEKNSNAEDLVLDEFGKYQRLIGKLLYLTMTRPDIAFVVQVLSQYMHSPNKIISYGSCTQSRSLCRTRRSVTGYMIKLGGALVSWKSKKQNTVSRSSAEAEFRSMATTVAEIVWLKGLFRE >Solyc06g072080.3.1 pep chromosome:SL3.0:6:44564738:44569699:-1 gene:Solyc06g072080.3 transcript:Solyc06g072080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRLINNFFPRFLSQCCNGKSR >Solyc03g096130.2.1 pep chromosome:SL3.0:3:59424648:59428566:1 gene:Solyc03g096130.2 transcript:Solyc03g096130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFVLTLEGNIYSCKHCGIHLAHSENIVSKSFQCSHGKAYLFRKVVNVTSCEIENRMMMTGMHTVADIFCVCCGSNETAHEMSQKYKEGKSVLERFKICGPDVSHYSASHDIHVAGSDVDDV >Solyc01g006660.2.1 pep chromosome:SL3.0:1:1250476:1264318:-1 gene:Solyc01g006660.2 transcript:Solyc01g006660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFFPIFKGPKKQKQKYLLYSSNLLEHNCISTMSTSAADAVAGEITGTDDGVLETPFLDSERWNILQSVTEEGGYAYATMTSLAAAGDIRAAEAAKEMAWEQLHSGPWHSVVPIWRDAYSMACMHLAKLHYANGEFNEAIKALDMGIIMGGMMLRDELNLAIRKASEKEKAKSDLGEKGESEIKFVSQEINVAEVQQSLPIKSLSCKLVGKRPALSLEAFLREHYLSGTPVVIRDSMDHWPAKSRWNDMNYLRRVAGFRTVPVEVGKNYLCPEWKQELITFSEFLERIRSNDTTSVETTYLAQHQLFDQIQELQQDIVIPDYCFTGGGEIRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYSASLSDELHPHSETMLSNSSQMVDTHLKSCLKVDLDNIDEKEFPNILDLEFQDCILEEGNQSNHHSSANSLVVFETCDDEFPKSGNLALLLKDAEITDSLPYNLALELLKASPSLESNFRFILTHLVNLKIGFFKKPPNCVVSDFFFGWSAKVTHEFGILHSIFVGAGGFGLACYYSMWINLPHKDVNNLEFILPDFQEAGKFHVTQLSPSLAIADGSDDYSVFQMKNLPLWENSDGVLVNTVEEFDNIGLMYFRRKLCIPVWAIGPILHQENVNPRKEPGISLVKCKEWLDNKDENSVLYICFGSQNTISASQMMQLAKGLDGVEVNFIWVIRPPLGFDVNADFRPEEWLPEGFIERNQEQRGLVVVDWAPQVEILAHESIGSFLSNCGWNSVLESLINGVPLIGWPMAADQFFNAKFLVEEIGVCVEMARGTTFDVSYGDVIEKIELVMGSESEKGRRLRGKACEVKEMIKDANRDEDDYKGSSIRAMDERKNAVVHSYKHSFSGFAARLSDDEAQSIAQHPGVVSVFPDPVFQLHTTRSWDFLRDQYNLLHNFPDSSHSNSTSKGADTIIGIFDTGIWPESESFNDKGIGPVPSRWKGTCTRGYDFKSSSCNRKLIGARFYDEPGESKTPFVGTPRDHDGHGTHVAAIAAGSSVAGASYYGIAGGTARGGSPGSRIAVYRTCTPYSGCSGSKIMKAFDDAIADGVDIINLSFGQPAGAEFEFSKNPIAIGAFHAIQKGIFVVASGGNDGPSPESVVNVAPWIFTVAATTIDRNIETHIPLGGNILIKGGGISFSDLKKSPVYPLADSVSVKIDSEFVYDGEASDCEPDKLDEHKVKGKIIICDHLDDYYSLEERLDEVKKKGGIGFILSLPDDELITAPKMGSFPGAVVTQGDGTKIRSYINSTMNPVATILPTVGVDNFKPAPVVAFFSSRGPNYNTRNLLKPDIAAPGTAILAAWTASNDTDVTRFGQQPPLFNIESGTSMSCAHVSAIVATLKSQNPSWSPSAIRSAIMTTAFQQSNTKSPIDLNGNAEFLATPYDFGAGVATLSGPLQPGLVYETEITDYLQFLCSQGYNTSTIKLILKKLPDNFSCHANSSDELMSNMNYPSIAVSLSKVRKTKKVIRTLTRIGDEESEYTATITTPDVLRVEVSPKKLKFTSDKKKLSYQVTFKAMSREKEFFGSITWSNGKYKVRSPFVASFW >Solyc02g063300.3.1 pep chromosome:SL3.0:2:35909842:35913073:1 gene:Solyc02g063300.3 transcript:Solyc02g063300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMAMSTQLCPNHVIPYANSSTSHLNRTTISIIDLGKRRLTRLSVQCSEKQTQNLRTCKNCKAQFNPLLNHPRACRYHTAHFGGETRRKFESVYSGGTMDTPDGGKVFQYWHCCGSEDPFDVGCTAAPHASYDD >Solyc03g119990.3.1 pep chromosome:SL3.0:3:69987582:69992272:1 gene:Solyc03g119990.3 transcript:Solyc03g119990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGKGGLEKLRRCLRTIYFMVVMLVSLLMLSAPVMVVIGDVLLPSVLISSFTCVRCYSFKEHLQRYDFRTSLMDIPLVSILRSLIISCVYSMCDGPALSHGPYLGTATFCSMISIVLLSVKASVFSGSYLEAEASSAISKQKLHLNKSWGMPVLFLSSIVFAVGHVVVAYRTSCRARRKLLFHRIDPEAVLPCKVVFSAYNKVPRSPTPSAGKVSSKCDIEMRRKLAGSARNDGEIPAKLLADVDSLFISCLGLTLHYKLRLPGSPCRSLSSTAHVNRSLNLTSNVDYCIRRSYSSQYPANCLSTPLLDGFQTSPILSEEIPSLNLDEVGDGDPVNSSGSPRPIQDLEGNGQFGIVLIHGFGGGVFSWRNVMGVLAQQVGCAVTAFDRPGWGLTSRPFRTDWEENHLPNPYTIDAQVDMLLSFCSEMGFTSVVLVGHDDGGLLALKAAQRVQSSTNFVNVKIKGIVLLGVSLSRELVPAFARVLLRTSLGKKHLVRPLLRTEITQVVNRRAWYDTTKLTTEVLSLYKAPLCVEGWDEALHEIGKQSYETVLSPERAAALLKAVESLPVLVIGGAEDAVVPLKSVQAMASKLVNSRLVAISGCGHLPHEECPKVLLAAMSPFINRILAEQLLHQ >Solyc05g014240.3.1 pep chromosome:SL3.0:5:7995512:8002239:-1 gene:Solyc05g014240.3 transcript:Solyc05g014240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLQSFVVLLCFTPAIVCQVTEFVSIDCGSASNYTDASTGLAWTSDAGMMGHGKPIVVVNANVNSQQYQRRRDFPADSNKYCYTLKTKERRRYLVRATFLYGSPAAEGTYPKFELYLDATKWGTITISESSRIYVNEMIIRAPSNSIDVCLCCATTESPFISTLELRPLNLSMYATDYEDNFYLKVAARVDFGAQSKEPIRYPDDPYDRIWDSDLKKRPNFLVDVAAGTERINTTKYIDTNTREYPPVKVMQTAVVGTKGMLSYRLNLDDFPANARAFAYFAEIEDLGMNETRKFKMERPYVPDYSNAVVNIAENANGSYTLYEPSYMNITLDFILSFSFVKTPDSTRGPLLSAMEICRYVQIATKTDEQDVSTLNAFRSMSLGSDWSDEDGDPCVPTQWEWVICSTTSPPRITKITLSGKNVTGEIPRELHHMEGLTELWLDGNSLTGPIPDLSNLVNLRIIHLENNKLTGPIPSYLGGLPSLLELDVQNNSLTGEIPSSLLTGKVTFKHEGNPNLRPESKHSTGYKVILGASIGGLVIILVLFAVSIFFLCHFRTKVSHQKGESMRTNAKPSTTYSMARGGSLMDEGVAYYIPLSEIEEATENFSKQIGKGSFGPVYYGRLRDGKEVAVKTMADSSSHGTKQFATEVALLSRIHHRNLVPLIGYYEDDHQRMLVYEYMHNGTLRDHITESTDKKHLDWLARLNIAEDAAKGYQKFFIVKKLEVCILLVSPESQNNILSFLVGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELISGRKPFSSEEYGADWSIVHWARSLIRKGDVISIMDPALVGHVKVESVWRIAEVAIQCVERHGTSRPRMHEILSAIQDAIKIEKGIDKLSSSGSSKAQSSRKTLLTSFLDVESPDISNSSLTPSAR >Solyc01g016450.1.1.1 pep chromosome:SL3.0:1:19725009:19725215:-1 gene:Solyc01g016450.1 transcript:Solyc01g016450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTEATLLTVGNYRSHRCYNCNNAFHITTTSSVSSSFRCPHCFHRHLLPNYTIASFIPFTQHLILTN >Solyc02g087480.3.1 pep chromosome:SL3.0:2:50551901:50556232:1 gene:Solyc02g087480.3 transcript:Solyc02g087480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASKPNGCVGIRGRRLVRRKRHRVSRKRNVSKTHSASQNLSKIEPSSSADHSYSNPAFQGNAESWFDPEMVVESDCEDDFHSVQDVFSQNGSFSTGVSPRFSDFVYHNGNAASDLSEKHEQPNGSEESSVVKEGVVKRYFSADSQLKSNSQTEMDDPSLNDKTPRSMDGGARVETGIFHNCGRQNPCLPCLACVASSDEKKKSLNPISPRSRKKSSLTQMLSFKWRETNSSSALLSPKTVLQRPIAGSQIPCSPLGRKMANCWSSVEPNTFKIRGKNFFRDKKKDFALNCAAFYPFGADLFLSPRKIDHIARFVELPAIDSSSEVPSILVVNLQIWHLKPAPEKMLAIPLYPPAIFQNEYDGEGMNFVLYFKLSENYSTEMPVQFQENLQRLIHDEIEKIKGFPRDTNAPFRERLKFLGRVVNTEDLQLSAAEKKLLNAYNEKPVLSRPQHEFYLGENYFEIDLDIHRFNYLARKGVESFKDRLKNCVLDFGLTIQGNKAEDLPENMLCCIRMNEIDYAKYHQLGF >Solyc08g007140.3.1 pep chromosome:SL3.0:8:1705476:1708247:1 gene:Solyc08g007140.3 transcript:Solyc08g007140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKYFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Solyc07g055383.1.1 pep chromosome:SL3.0:7:63570322:63571171:-1 gene:Solyc07g055383.1 transcript:Solyc07g055383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAQDSSVASTAKTMPPNVFLVSLCQDGNLVLTDSDGTPVWSTNTTGKSVSGLNMTETGNLVLFDKDNQTIWQSFNHPTDTLLPEQSLVSGRKITASVSSDNSSQDNPYFSFDGDTFTALHPTNSTAQFVKIEPNGHLKVYQWSVMDWNELDITAERVGNCGYPMVCGRYSICTNNGQCACPPQENFFRPSSERKPDLGCSQLTSIYCNSCSTIVS >Solyc06g035450.3.1 pep chromosome:SL3.0:6:24432462:24449926:1 gene:Solyc06g035450.3 transcript:Solyc06g035450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEMDDFSVECLDELQEFDWEYEYDAAFFYDFSIPESPFQAAQAQRWFETAGNYPPSPLVAKLNLVKETAAAECSLGPSRLREEQTAKSSSSNSSNIHTGSVVSSYKSKIEEPLSIDRMAYESPKAKINSETGSFKPRVSTLMNPTASHLAKLRSQKPPNKADERSSWNSSVSFNFATKRQKLESGYLRKVTIPKDPQLETMQRALRRRCKNDSESSENAKAKGQPSKPQPLNTKILKAPVLPPHPKSTLRSTEFHVFKLKTMERASRNSSANLSSSQNAESAVLSTSVDFKRPNTQNAVKQGNSVTSIKSKALRCNKIFPSSEDTRICENIGERSITSMDCNSIVDRTLPMHPPVELFNKPNQCAPQGAPHTKDWILVQHEKPPPLSLLLLRLTAEYTVFGRRAIPPTNKVIMPALVVTEETMASELSKKKMKKTPKTDIETPTDKKTKEKKSKKSKIESGSDSEDAKRSKKKEKKRKALDLDGEKSDTSSEICEPVDLKKNKKAKFDDEQVMVEKKVEDPNALSNFRISKPLKEALNSKGIEALFPIQAMTFDDILDGCDLVGRARTGQGKTLAFVLPILESLTNGPTKALRKTGYGKAPSVLVLLPTRELALQVFADFEVYGRAVGLTSCCLYGNSPMGAQQAQLKRGVDIVVGTPGRVKDHIERGNIDFGSLKFRVLDEVDEMLKIGFVDDVEFILGKVEDASLVQTVLFSATLPVWVKHIASKFLKPDKKTADLVGNEKMKASKNVRHIIIPCSSSARPQLIPDIIRCYGSGGRTIIFTETRALASELAGLLPGASALHGEIQQNQREATLKGFRSGKFMTLVATNVAARGLDIDDVQLIIQCEATSDVEAYIHRSGRTGRAGKSGVAVMLYDPRKSNISRIEKESGVTFEHLSAPQPADVAKAAGKEAADIIAGISDSVIPVFKAAAEELLNTSDLSPAELLAKALAKAAGYSEIKTRSLLTSMENCVTLLLECGRPIFSPSFVYSFLRRFLPEEKVESINGLTLTADGKGAVFDVAAEHLDEFLSGQKTAHGVNIEVVEALPPLQEREKPRGGRFGGGGRGGGFGNRRGGGGFSGGRGGRVSYCTQIVNNNVMGDERVGELHNDEPSEHELTDSDDMYDGDDYNVDNAPNASIEDQSINYHSTAIPYLDHTNENAEDFMYTRDDGSI >Solyc02g068630.1.1.1 pep chromosome:SL3.0:2:39158638:39160755:1 gene:Solyc02g068630.1 transcript:Solyc02g068630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTRLRLRRLSAISRFAYAGWGDFLAMEKRIYHLMQEYHEFPPQNMLAINSQLKELVKNGELENARQMFDKMTQRDEVSWTNMISGYVNNSSSLQALSLFLEMRRDPTIKMDPFALSLAVKACGLSVNLKCGELLHGYSMKANFVSSVFVGSSLVDMYMKAGKVIEGCGVFDEMPLRNVVSWTAVITGLVRAGYNEEGLVYFSEMWRDGVECDSYAYAIVLKACADIGCLNYGREMHTRIVKKGLDVSSYVANSLATMYNKCGKLNYGMCLFGRMKSRDVVSWTTVITTYVQIGQDQYGIQAFLRMKESNVTPNEYTFAAVVAACANLSKLDWGVQLHANVLRVGFADSLSVSNSIVTMYSKCGQLDSASLIFHEMSKRDIVSWSTIIAGYAQGGCGEEAFELLTWMRKEGPKPTEFALASVLSACGSTAILDKGKQLHAHVLIIGLDHTPLVLSALINMYSKCGSIAEAAKIYNSAQNNDVVSWTAMIHGYAEHGYSQDAISLFERICYAGLRPDSVTFVGVLIACSHAGLVDLAFHYFRLMKEEYKISYSKEHYGCMIDLLCRAGRITDAETMIKNMPFEKDDVAWSILLRGCRLHGDVECGSRAAEQILKLAPNCAVTHTALSNMYASKGKWGEVAELRKLMRLKGVMKEPGWSWIKVKDQVSAFVAGDKNHSQNEDIYYILDLISSKAESSLQNVTFLLE >Solyc12g035920.1.1 pep chromosome:SL3.0:12:44543912:44546065:-1 gene:Solyc12g035920.1 transcript:Solyc12g035920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIDNLRFHARSVKPPAFSNMKVPFEGGRRTMILSLLSCPAFVSGLMVVHTGRMDGVGISLLRLGLIKLCNLYSPTFLDTLEVDPCHKECHRLSAVL >Solyc09g055890.3.1 pep chromosome:SL3.0:9:46294428:46295341:1 gene:Solyc09g055890.3 transcript:Solyc09g055890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHTRQRSRMIDCLNNFQGSVKAQLSESTKHAESIKGEIIIQHTHAKSGLAKPICIQLYIFAITYNILASVPSSIWIGTGKGKLSQGVYLNHGKRIQNKINGITCIKYELTFEADRDFGFPGAFVIWNQHKDKFFLQSLSLQVEFKQIVHFECNSWIYSNHLMQKERIFFSNTVSKPFLCYLPSQTPNGLLQLRKQELDTLRGDGIEGRIREWHRAYGYDFYNDLGDPQRGEGPILGGSIHYPYPRRGKTGEPHIDSGKKFSPF >Solyc11g045350.2.1 pep chromosome:SL3.0:11:30977684:30984899:-1 gene:Solyc11g045350.2 transcript:Solyc11g045350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGVDFWASPKGQMEGVASFDASTRSSNVDSFNNVMEIMNLDAYAGWCTSPSAAEHMLASYAAFSPINHMSQSYAPFEGLSYTEQNSGAFPPMDANMVVSNHDGGEKMMFGQTDDQLHFMVDSVDGEDGLGAKRSRRSSQPSDGADIGNSMIPRSPSQPLAERMLRALAMFKESSAAGILAQVWIPMKNGDQYVLSTCEQPYLLDQVLSGYREVSRKFTFDTEIKPGAIPGLPGRVFSSRIPEWTSNVLYYKEAEYLRVQYAVNHEVRGSIALPVFEDDACETPCCAVLELVTMKEKRNFDLEMDHVCQALQAVNLRSTAPPRLHSQNLSNNQKDALAEITDVLRAVCHAHKLPLALTWIPCNVTEGEGDEPIRVRARGCNTSLNEKCVLCVEDTACYVSDKEMQGFVHACMEHFLEEGEGIVGKALQSNHPFFYPDVKEYHISEYPLVHHARKFGLNAAVAIRLRSTFTGNDDYILEFFLPTSMKGSTEQQLLLNNLSGTMQRICKSLRTVADVELVGQDTKFGLQDGSVPNLPPIALSRKNFQHSLDSNSNSVNEAPLGACDSKSAGTHADDSHEQTMTGSRRQIEKKRSTAEKHVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLESVQGVEGGLKFDPASGGLVPAGSITQDFDAQRSIFFPFKDVSVKNPTSVFQDTVSVPSSSGNDKENSMVKMEEDFFADGNQLSQSNHVNTSSFKEVTKSSIEVSGYCYESKLPLTDSGNASLGPFLSKGGCRRWGLNNDTLDNVDCQFTSQCSYSMAVGSDVDSKMKEDNEMDGDGGVIEHNQASSSAMTDSSNGSESMINGSSSSTHSRGAEKHSKIEVNCGDNGSTITVKATYKEDTIRFKFDLSAGCFQLYEDIAKRFKLHTETFQLKYLDEEEEWVMLVNDADLHECLEILDFSGGRTVKFLVRDTPCALGSSGSSNCFLASGS >Solyc11g062150.2.1 pep chromosome:SL3.0:11:49304613:49306806:1 gene:Solyc11g062150.2 transcript:Solyc11g062150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FEEDTRRNFVSHLYNSFELRGIRTFKDEERLEPGKSISDELLKAIQESKFAIVIFSKSYASSRWCLKELAHIIKCKKELEQIFIPVFYDVSVSHQHLPFVQRWRGAFAEAGKISGKLHQKNGLTWLQKVVIHKLLGEKSTLTSEHEGVNILNNILRWKKVLFIIDDLNHQEQLEFLVGGTKWFVMGSKIILTEDETLELLSRHAFREKSPKEDFMKFSRQVVNHAGGLPLALKILGSSFYGRDIKHSIYIIDRLKRIPHKDILGTLVLSFDGLGKDEKELFLDIVFLDIACLTRYDFHLCVELVLRDVGQDFLIDYLIEKSLLSINISNSMVMHNMIREMGENVIREEYDNSRIWLSEEVCDLFKENLVTITYLPSSLRLISWPRYPSISLPESFEPSQLLVLCLHRSWLVELWPTSKVYSLSQYLISLM >Solyc07g054860.1.1.1 pep chromosome:SL3.0:7:63170387:63171901:1 gene:Solyc07g054860.1 transcript:Solyc07g054860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLDSNNSSPTQTNVPKFNPLDPEEFRTQAHQMVDFIADYYKNIETYPVLSQVEPGYLRTQLPENAPYCPEPFEAIMKDVHNHIVPGMTHWLSPNFFAFFPATVSSAAFIGEMLCNCFNSVGFNWLASPAMTELEMIVMDWLANMLKLPKAFMFSGTGGGVLQSTTSEAILCTLIAARDRKLDNIGVDNIGKLVVYGSDQTHSTYTKACKVAGILPCNIRAVPTCIESDFALSPAVLRGIIEADVAARLVPLFLCATVGTTSTTAVDPLSQLGQLAEEFDIWLHVDAAYGGSACICPEFRRYLDGIERANSLSLSPHKWLLSYLDCCCMWVREPNVLVKALSTNPEYLRNKRSEYDSVVDYKDWQIGTGRKFKSLRLWLVMRSYGVANLQSHIRSDVRMAKMFEGFIRSDSRFEVVVPRRFSLVCFRFNPNKEHEPVYIEFLNKKLLDSVNSTGLVYMTHTIVGGIYMLRFAVGATLTEDRHVISAWKLIKESAEGLLRKSVF >Solyc02g076660.3.1 pep chromosome:SL3.0:2:42367861:42374100:-1 gene:Solyc02g076660.3 transcript:Solyc02g076660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQAMGGRWNTYGIKLSYLTLLIIILNIRGCCSLNSEGLALLRFRVKVDSDPYGILENWNSDHCDPCLWSGVQCMDGRVQILDLHGYSLKGKLAPELGNLTHLKSLVLSENRLFGAIPKEFGRLRMLEVLDMRDNNLSGRIPAVIGDLQSLRTLLICDNNFEGKIPLEIGRLHLLSDLQFDDYLNSGVVAGTGCINRKFGYCIWHGSLRPCKTIGSFVKPIKGMLAWYFSFFTLFPRFLDAQEDFCSDNLPSTARPHDIHAVEYQANVERRKLAEQSSNLAAAPANGGKPLGPVHPVASSRSSGSFRAVPSTEGAPPPPFTTPSRTPPEHHPPPNPGGHSNGAFKQPIASQSPPGGKSGSTWKYIGIGIGAFLVAIFVFLILICKSKAAQTIRPWKTGLSGQLQKAFITGVPKLNRTELENACEDFSNIVCHQDTFTVYKGTLSSGVEIAVVSTAINSLKDWSKRSELAFRKKIDSLSRINHKNFVNLIGYCEEDEPFTRMMVFEYATNGTLYEHLHDDELEPLDWAARVRAIMGTAYCLEYMHNLNPPLSHSDVNSQSIFFTDDYAAKITELAFWSDIMVKSKSSSSDLENSELPPLSDPETNVYNFGILLLEVISGKSPYTERDSLLSWAEQCINDRQNLKSLVDPKLKSFKNNELTVMCEVMRECVGEDSRKRPTIKEVIKKLREAIDISPDAAVPRLSPLWWAELEILSSEAA >Solyc05g018450.1.1.1 pep chromosome:SL3.0:5:21525372:21525530:1 gene:Solyc05g018450.1 transcript:Solyc05g018450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTHNNSYSHVLSTNCYIFFCIKYLFSCNVMRRCRYSIRTSCECYNSERH >Solyc05g015920.3.1 pep chromosome:SL3.0:5:12977446:12986115:-1 gene:Solyc05g015920.3 transcript:Solyc05g015920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNLDLPDDLLSSKTSDHSKGNDDNKLFMGQLDISKDQSMVDSSIPLSPQWLYVKPSDTKMEPRPPSSLSLGSSVDSSQKDAWRTDVPEDKKDWRKKTMETESSRRWREEERETGLLGRRERRKTDRRAEHDVNNRNSGVDTRRDNKWSSRWGPDDKEKENRTEKRIDVDKEDVHNDGQTFVANRTVSERESDSRDKWRPRYKMEGNSAAPSSYRAAPGFGQERGKVEGSNVGFNLGRGRSTGTIRRPSSGGAIGASPFENSVPGKSGISTGIFSYPRGKALDIYRRQKLGSSLCSMPENMEEAPPVTQVIAIEPLAFVVPDAEEEAVLNDIWKGKITGGGVSNNSFRKGQSMDNVTGDTEPNYTKIGAPSADVTEETVDGLLKTSIRVEEANTYSFVYENGVRVKFDGGDSHEGQKDNHSEAIAADGSLLTRKRADNSDCFKYISGSQFDISMQRLPDSGATKTPIFENNQHVAFDGSLKVSDDSNSAFVKSSSEIYWNNLLGRGIPPEELSLYYRDPQGEIQGPFLGADIISWYDQGFFGMDLLVRLEDAPEDSPFFELGDVMPHLKFEHEHFGNTNLPQAEPSAVLEGKLDSGLRSSASVSEMVGSAAFDGSCWQPSDFDGLGGHHIQSVPDHPARQFKPPYSQNEECNDFGAQDEEIVFPGRPGSSGSPIGKTSTGLTDPSNIHRATPSATCDGGVPNNEETLHPLGLLWSELEGTTGKSGPISDVPFRGTGQDQVLNPGAGRVGPFGAKMDSTSAAETWTDAYRRNAGSEPNLYQDAMDASRLLHQDHEMSRFELAEKMFSQQLQQQHPHNLMSHHNSNLNEALMERGANHNLMHQPQLASQAGQDLEHFMVLQLQQQRQLQLQQLQQQQQQQQQQQQQQQFHQQQMLMKEQQSHVRQLALEQLLQSQVRDQSHTQSRLDAIRHNSAQEQVLIKQQILSDLQQRPHLPPRHAESSIEHLIQAKFGQMPHQGPQNDLLELLSRAKHGQLHPLEQQVRQQEQAHERLRQRLEMEEDRQIGAVWPVDETAQYLRNPGVARRANSGFGPLDIYQQQQIPPPEEHVSVLERNLSMQDRLQRGLYDTGFMPLERTMSVPGGGPGVNLDAVNPLVHAPGLEMQDPNSRMHSAGHMPAFSTGIHLQSSHRPPFQFHAPNVDTIENYWSERNGQLPADWMDTRMQQLHLKGERQRRDFDVKRASEDQSMWMSAGANDDSSKRLLMELLQQKSGQQSTEQAEMTRGILFERGLHSGHFSVTNASNRSFNPLLDQDTSLNQAFTVGSYGSNSDLPPQRDHVNEIADSLDACERLPFKSHSGALAEAQPVFSSINDASKVHLEARESIVRQAGLTTVEGEMPTNLLSRHTPLGTGDCSVFKSSSRGSLDFYNDKSDRGDSAIEEIPKERMAVTSKRTDNILPKRPPVSRISSTQEGLSEINSDSLVRGKNPSDGMASEGGRKEAGGNAANQVLGSATSVNKDGRFRRTASCSDADVSETSFSDMLKSNVKKATAQEAHASEAMDATQYARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Solyc10g078700.2.1 pep chromosome:SL3.0:10:60559418:60566853:-1 gene:Solyc10g078700.2 transcript:Solyc10g078700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSVSSSGNSSSSDSLNGLKFGKKIYFGNAGVGVQVKNGCGSSPVNGDGNLPPAPATTKRGRGGLVQGGHPPRCQVEGCQADLSDAKAYYSRHKVCGMHSKSPTVVVAGLEQRFCQQCSRFHQLTEFDQGKRSCRRRLACHNERRRKPPSGSLFSTHYGNLSSSIFENNSSRSGSFLVDFSSHQNVNESSWPNTRASEQGWDHQSSGKFLQRPWLNNSENAASELVLQGSATRTSYHGVPSGDYFPGVSDSSGALSLLSNRSWGSRNRPPSLGVNSQVHIDGVHTIQPSGSHGAPTNHFSSPSLSFKGNEASSSSHEMPPDLGLGQMLQASDNPYC >Solyc01g013930.1.1.1 pep chromosome:SL3.0:1:10368957:10369154:1 gene:Solyc01g013930.1 transcript:Solyc01g013930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNHSKLKLCIPTGKILEGMTTKKCVQKFHSESLGKLVDSFVKCDVSIQIFKNYESQHEGFTQN >Solyc05g055960.1.1.1 pep chromosome:SL3.0:5:66228808:66229323:-1 gene:Solyc05g055960.1 transcript:Solyc05g055960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDEDDTRRVKEIMKAAQIHLVVATLLVTVTFAAGFTLPGGFENDHDSPHTGMAILVKKPAFCAFVVTDAIAFVGSAGAVFSYFVMAANHRPKTKEELRVLKNIYKVATILQFLAMSAVVIAFVTGLYATLSHSVSLATSVCAIGCLSFIIYVLVLLLIYKGLTGETTNQ >Solyc09g066290.3.1 pep chromosome:SL3.0:9:64968786:64978097:1 gene:Solyc09g066290.3 transcript:Solyc09g066290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKVSSFAVPHLQAYTKTSFSLISKDLISHFSSLPTTSTNPINGNQQISPQLSNTHLKSCSKTQFLHLSNFKIQQSQSFNTLVEQNPSQLSSRQRKIRERSQLEEAFESAKTMQEMLQVFQEMEVSFDERELGLPCLRIGLKLDQEGEDPEKALSFANRALNVLDRDDKLSLPLAMTLQLLGSTCYSLKRFNDSLGYLNRANRVLDKLVKDGSCSVEDVRPILHAVQLELCNTKTAMGRREEALANLRKSLELKEMTLERDSIELGKANRDVAEAYVAILHFKEALPFCLKALDIHKAQLGQNSVEVAHDRRLLGVIYTGLEEHEKALEQNQISQKVLKNWGLDTDLLRAEIDAANMQIALGRYDEAINTLKVIVQQTGRESEDRAMVFISMAKALCNQEKFTDAEKCLEIASGILGKKEKTSPVEVSEAYMEISMLYETMDKFEAAILLLKRTLAMLEKLPQEQHSVGSVSARVGWLLLLTGGVQHAIPFLEDAAERLKESFGSKHYAVGYVYNNLGAAYLELDRPQSAAQVFAYAKDIMDVSLGPHHTDTIEACQNLSKAYAAMGSYQLAINFQEKAIEAWEGHGPSAVDELKEAHRLLEQLKKKASGVSDRNMMKALPLASSSEQVVVSAIERQRNATKMLNQ >Solyc03g121780.1.1 pep chromosome:SL3.0:3:71325487:71327545:1 gene:Solyc03g121780.1 transcript:Solyc03g121780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITTENEEWELNPKKLRVKKLIGYGGFGSVYAGVYDGKKVAVKIFDLEDKKKNSSEGLMEEVFMQEVSIWSTLEHSNIAKFIGAIKKNDMSKIKMKCQKGSVNGCCIVVEYVSGGTLTSYLSKYTLKKKLPLDTILQLAIDVVKGLSYLHSEKIAHRDVKTENLLLDKTGRVKIIDFGISSHFFSLGLNYSPMMVGTSGTIGYMAPEVLSEVSYDHKCDVYSFGICLWEMYTCLDPYPEHISRSKISHQLYKDRRPEIPKCCPTALSDIMKRCWDVKPQNRPEMKEVLQMLEGIQTSTERRKQKHMFCIA >Solyc01g057117.1.1 pep chromosome:SL3.0:1:59021314:59031003:-1 gene:Solyc01g057117.1 transcript:Solyc01g057117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKNDESTSSVNNTSSLASLLMTRIVSNAKFAVEFFDGSGYFGMWQGEVLYVLQQGLDIAIEQKKPDGVGEEDWKIINHVACSTIRSYLAREQKYPYTKETSANKLWNLLEEKFLKKNSQNKLYMKRRLLRFTYVPGSTMNDHITSFNKLATDLSNMNMTFTDGVMALMLLSLLPDEFEHLEMTLLHGNDEVSLKEVCSALYSYEQRKREKQKGGEAEALVARGRSQNHMRTKKGRSKSRSRLSKDECAFYREKGHWKKDCPKLNSKAKPNNKKTVMDSIVADCDDFGALESKGFKVITDNGVMRICSGALVVMKAIQRNNNMYHYQGSTIIGTTATTSNNEKEAEMTKLWHMRLGHAGGKSLKTLSDQRLLKGVEFEGKIIFPTQGSNEETTENFPLEGEPVEEEIEDNSFIYLLLYVDDILVASNSQEEIERLKIQLRKEFEMKDLGESKKILGMEIKRDRHSKKLYLSQKEYLKRLSDAMSPNNEAEREYMSRVPYANGIGSLMYAMVCIRPDISHPVGVMLVWFSSRKIVNILLDIVTKIMQSTVALSTTEAEYMTITEAVMEAVCLQGLLRELAQFYALLEGMQY >Solyc11g028270.1.1 pep chromosome:SL3.0:11:20937774:20938382:-1 gene:Solyc11g028270.1 transcript:Solyc11g028270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMNYIRFIVNIISNILSFVLFASRMQRFCRIIKIKSVEEFHPYPYLAYPINCLMWIHYGMPFINPHNILVVTINIVGLFHAVVLYFHIVLLHWQKISASNKFYLFGEIMGLAIAEAGTMLDFHTYTSRTTVNKTKSAEFLPKKLSIVCFVNVIFWEFYALLKFDSYILTGNGVEHY >Solyc02g088167.1.1 pep chromosome:SL3.0:2:50961769:50965190:1 gene:Solyc02g088167.1 transcript:Solyc02g088167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSEAGDDSKDSKPKGNRGISFLDFIMRIVAILGTLGSAIAMGTTNETLPSFEQFIRFKAEYKDLPTFTFFVVANGIVSAYLAVSLVLSILHIVMSGARITRVVLIFFDTVMMAFLTSGASAAAAIVYLAHKGNARANWIAICQHYNSFCDRASGSLVGSFIGVLVFLLLIILSALALSRN >Solyc05g007650.1.1.1 pep chromosome:SL3.0:5:2156147:2156398:-1 gene:Solyc05g007650.1 transcript:Solyc05g007650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFISNKAFAFLLICIGLLVVCSHSQQQNELRLSTSRRMLKGLVTEEELNTGFKSAPVSKTFDPNGSSKRKVRKGSDPIHNRS >Solyc03g082455.1.1.1 pep chromosome:SL3.0:3:53818579:53818887:1 gene:Solyc03g082455.1 transcript:Solyc03g082455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYGQSLYFMHMHILKNNVDIPQVWVTTGLLTRLDRGMANTQENQMRESGNSPWRIINQNSVERRYARGERTNWQDYGKLQIDERHTSVTKTAALEHNTAHT >Solyc11g067220.2.1 pep chromosome:SL3.0:11:53263652:53264352:1 gene:Solyc11g067220.2 transcript:Solyc11g067220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPLVAYRESKYVIRMWNCSESRKDHSVTPFDNG >Solyc01g005030.3.1 pep chromosome:SL3.0:1:46062:61589:1 gene:Solyc01g005030.3 transcript:Solyc01g005030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDMKGSPLAASFDYELYEGDPDHLRTVVAAPAPAGPYIDPASIKLKHRIGRGYFGDVWSATHHQSATEYDEHHEVAVKRLHPINEDQVKAFLSKFEDLWVKLKSKQIQGVCWLHGITVISGKICIVMRSYEGSVGDKMARLKRGKLQLPDVLRYGIELGKVIQELHSMNVLVLNLKPTNFLLNEHDEVFLGDFGIPYLLLGVQPPDSDLALRRGTPNYMAPEQWEPEVRGPITCETDAWGFGCSVIEMLTGVQPWFGKSVYDIYRLVVINQEKPQLPGGLPTAIENVLNGCFEYDLRNRPLMVDILQAFESSKNAVYSEGEGSDIGGTLSEKSKTCGFTTWFLSKDHLQVGDTIRSRKMFNSRNSQDLAVLEGSVVGLEKDTDRDGFVLVRVPNLRSPLRVNASTIERVTCGLATGDWVRLVNESKNHFSVGILHSVQRDGSISVGILGLETLWTGHSSEVEKVDPYFLGQFVQLKSNVETPRFEWPKKWGGGWATGRISQILPNGCLVVQFPGRLVFGDEPNTFLADPDEVMEVSFDTCPGIIKKYQHLEDFHWCIRPLSIAFSLLTAAKLGVSVGKCINAKLKKDHDHRTKDGEVGGKPAWLRPNILFKEDHVKLEENKEDVGEVLNGDRLMSGPYALDFLVDKDSEILCRKKLTKDEVAQFRRAVDKDYYFEMYYDELPIWGLIGRVENREVTEDTKYYSYFLYKHIHFDIHYNRDRVIEITARMDPHSVLDLTEDREVDAEFTYTAKWKGTDILFENRMDKFLQTTLPHIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEEAVNDQEETGWKYIHGDVFRFPKHKSLFAAALGCGAQLFTLTIFIFLMALVGVFYPYNRGALFTALLVIYALTSGVGGYTSTSFYCQLEGTNWVKNLIWTGCLFFGPLALTFCFLNSVAVSYSSSAALPFNTIMLIVLMLTLVTSPLLVLGGIAGKNSRTNFQAPCRTTKYPREIPVLPWYCSTIPQMAMTGFLPFSAIYVELYYIFESVWGQKIYTIYSMLFIVFVLLLIVTVFVTVASTYFQLAAEDHKWWWRSFLCGGSTGLFIYTYCLYYYARSGMSGFMQTSCFFGYMACICYGFFLMFGTIGFRASLLFVRHIYRSIKSYYTIAKKQLIDHHIFHLVQLIADQIMFGLMASAAGASLAATVELSKAKFVDDSTTEKMHSYFGLMYVPNAFFVVGLVASLISTILSSNKSE >Solyc12g017920.2.1 pep chromosome:SL3.0:12:7784655:7801778:-1 gene:Solyc12g017920.2 transcript:Solyc12g017920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVYLYDQYDEKLENKLKCILHYFERIGSLIPAGYISFERKVLSLEHGTFAFPYPKENFWSQSSISLCPFKISSSGLIEDQSSEAIEVDFANKYLGGGALSRGCIQEEIRFMINPELIAGILFLPCMADNEAIEIVGTERFSNYTGYASSFRFNGDHVDKKEIDVYGRRKSRIIAIDALSSPGKRQYRVECLVREINKALCGFLDHLKCHQYQKFFQDTGSQHDPNVKGSSGHSEVYHLSQGNPSTSSQTIEGTLANQLIRNHEAHYCSHLDYQQEIGVVTGNWGCGSFGGDPQLKAMLQWLAASQALRPFIMYYTFDLEALQMLGQVAQWISSQGWTVGELWDMLMDYSVQRLRGETGAGFFGWLLPLLNSHDNILLNNSHNV >Solyc06g071380.3.1 pep chromosome:SL3.0:6:44063375:44066474:1 gene:Solyc06g071380.3 transcript:Solyc06g071380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPGPHMMYTLGSGLGLMSVSNGRFSPHHCVTYSINAFFGPDIGSFSEWLTSTLGLGSALGYAIEPWIHDPFYHILILGIPMSMLYSALSKFLLKKGLLDSISGVPLTRKQCLFLVAAGSLSHFFLDHLFEENGKSTMYTWILSTGWWEGRAPINLDAVVVVCILCSCLIADFIYINRVKPLKLLKLRVINSVKLILVIASLYCLWCATQIYLVQPRRPAVGEEADLGVLVFMTIYFFLPHWLCIQSMNSRDPQDLLPL >Solyc02g089090.3.1 pep chromosome:SL3.0:2:51627651:51630499:1 gene:Solyc02g089090.3 transcript:Solyc02g089090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MRLK5 [Source:UniProtKB/TrEMBL;Acc:K4BC63] MATITLLFFLFLQLITATIATTSPPYNATVFVLLNCGAQSAITDDTGRRWDTDTHFPNFLPSDFSSISTTATALEQDPSVNRIPYTTGIRIMRSQFTYTFRVTPGTIFLRLYFYPANYSGFNKADSFFSVTANNLTLLSNFSAFFTVSASSTKAVQKEYVINVDETQMLKLTFSPSPNSYAFVNGIEILSMPTDLYIHGDVKLTGNTIPYNINNSTALETLYRLNVGGNLVESTEDTGMYRVWDSDNAFVVGLGYQTPHFPDANITYTSETPNYTAPTIVYTTSRIMDNYSSGLYWEFPLNSGFLYLFRLHFCEIQPEVKEINDRSFSISIGNQTAQREADVIQWSEGWRIPVYKDYVVRNLDGAQNLTLNLSPNPDSAYQNAILNGLEIFKLNDSNGNLSVPNPEVFFPNNSPPNNNKKKKSSHIIAVITAVAVISGIALFSILCFLIFRRWRRGKDLHTSVTKSSWIPLSITSDSTQRTGGSRSSSLPSDLCRHFLLEEIKTATGNFDEKFVIGYGGFGNVYKGYIDNGATIVAVKRLNPSSKQGVREFETEIHMLSKLRHVHLVSLIGYCDDKNEMILVYDYMANGTLRDHLYKTDNAPLPWKKRLEICIGAAKGLHYLHTGKKDIIIHRDVKSTNILLDDKWVAKVSDFGLSKIGPLSGSGKTHVSTVVKGSFGYLDPEYYKRQQLTEKSDVYSFGVVLFEVLCARPALIPNMPKGQVNLADWACRSCKKGNLQQIIDPNLEGQIAPECLNKFAEAAYNCLKDQGVQRPSMNDVVWNLEFILKLQEAADNRGHKMELNSYPTSPSFPLIMNDHTNISTDEGFEEFSGSNEVGEKYTSSASSMTTTSDDKLKSETIFSEILNPSGR >Solyc07g017906.1.1 pep chromosome:SL3.0:7:8460397:8463471:-1 gene:Solyc07g017906.1 transcript:Solyc07g017906.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHIGGKPIREIIYQQAQIEEIIIVEPYLPCLEIVEKCCGPQNRNHVFFFGGGAKVKDMRGGTSSKAELLSELRSTQQKNKYLNDEDKSLHDRLTRLEDWIKEIINMKEFFAAQQSHNLHMTSPVSTE >Solyc06g076610.3.1 pep chromosome:SL3.0:6:47722705:47726070:-1 gene:Solyc06g076610.3 transcript:Solyc06g076610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFDTVNTDIEANGSSNSNANLARQRRRQRRRRRRRRMPSMAGSSETTTDGSFRFSDSDSDQSWHSPLGSVAGGSYRYEECGFSMRSEGNRVHSEHSKRGSCPLPDEEIDLESGELELKVHKDEKTCRICHLSLLKCGGIGDDDQLLEASGGMAIELGCSCKGDLAAAHKHCAETWFKIKGNTICEICGAVAVNIIGGQASEPSNATIAAVGVSSAPVVLSETRRFWHGRRIMNFLLACMIFAFVISWLFHFKIFP >Solyc07g041790.1.1.1 pep chromosome:SL3.0:7:54272237:54272458:1 gene:Solyc07g041790.1 transcript:Solyc07g041790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMETIEKVIVNLGASDIDSRLEELLIDGILYAFQEQIMNDDANVMLNEFGIVVNALGQRVKPYLPHICGTIK >Solyc04g076190.1.1.1 pep chromosome:SL3.0:4:61211482:61212789:-1 gene:Solyc04g076190.1 transcript:Solyc04g076190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAIATFLFITLLSTTKTLAFDSCPSNEQLSVIPIYGKCSPFNTPKPTSWENTLINMASKDPQRLSYLSSLVAQKPNSAPIASGQQVFNIGNYVVRAKIGTPGQLMFMVLDTSSDTAWVPCSGCTGCTSSVFTPNISSTYGSMGCSVPECTQVKGQSCPAAGAPGACFFNQSYGGASSFYATLSRDSLRLGADVIPNYSFGCISAVSGSSIPPQGLLGLGRGSMSLLSQSMSLYSGVFSYCLPSFKSYYFSGSLKLGPLGQPKNIRTTPLLKNPHRPSLYYVNLTGISVGRVLVPIAPELLAFDPNTGAGTIIDSGTVITRFVQPIYNAIRDEFRNQVKGPFTSLGAFDTCFASTNEAVAPAIILHFTGMDLVLPMENSLIHSSASPLACLAMAAAPTNVNSVLNVIANLQQQNLRILFDTANSRLGIARELCN >Solyc09g066440.3.1 pep chromosome:SL3.0:9:65085655:65094957:-1 gene:Solyc09g066440.3 transcript:Solyc09g066440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGRKIGSGSFGELYLGVNLQNGEEVAIKLESVKTKHPQLHYESKIYMLLSGGTGIPNLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGASSRGRNIGGSAPLNAVPSAERPGRTSVGQDIRDRFSGAVGAFSRRNASSSGRHGEHSRHKTSDDIPSSKDVQADSDRGRTSRNCSSSRRAAISSSRPSSSGEPTESRSSSRLVSSSGRLSATQRIHSGAEPKPSLFSRTSVTKGSRDDPLRSFELLSIRK >Solyc10g017930.1.1.1 pep chromosome:SL3.0:10:6151440:6151631:1 gene:Solyc10g017930.1 transcript:Solyc10g017930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFLYIYRDRGHNSYGYSKSCLGCFNGSLYFFPFTRSVGKKWTLGVLIIEIRKQTVSISIAF >Solyc11g013110.2.1 pep chromosome:SL3.0:11:5961588:5966416:1 gene:Solyc11g013110.2 transcript:Solyc11g013110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTIQGQSSPTSLTMEVARVQAISSITKCMDTIPSEYIRSENEQPAATTLQGVVLEVPVIDLSKVDDDEEKLVKEMVEASKEWGIFQVINHGIPDEVIENLQRVGKEFFEEVAQEEKELIAKKEGAKSLEGYGTSLQKEIEGKKGWVDHLFHKIWPPPAINYHYWPKNPPSYREANEEYAKCLRKVANSIFRSLSLGLGLEGHEMMEAAGGEDIVYMLKINYYPPCPRPDLALGVVAHTDMSHITLLVPNEVQGLQVFKDGHWYDVNYIPNAIIVHIGDQVEILSNGKYKSVYHRTTVNKHKTRMSWPVFLEPSSEHEVGPIPKLINEANPPKFKTKKYKDYVYCKLNKLPQ >Solyc09g011100.1.1.1 pep chromosome:SL3.0:9:4428253:4428702:1 gene:Solyc09g011100.1 transcript:Solyc09g011100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFKIKFSFCSFIFLLNFILSSCKSPFTSIYTVYIIDALPNNSKPLTVHCQSKNNDLGYKNLYSGGEYEFSFKENSFGGTLFFCHFWWNGKNILFDVFNSKIVIDCGEVSHSSNDRNHECYWKVQEDGFYFAPHKNPPSLYEKKHDWL >Solyc07g009110.2.1 pep chromosome:SL3.0:7:4067955:4071057:1 gene:Solyc07g009110.2 transcript:Solyc07g009110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRNKQENDIHETTNGVVCNEKYKLKRKRGKKLIEEKKSESSATKVVIQQKTRIVWTDDLHSKFQEAVNKLNDGRCFPSDILEAMNVPGLTLYQIASHLQKYRNNTWKAPRKRKSTCHSSSQLGTSSGSSNLRIFETISHLKRNVTNLQQQETQRAPKTPFLPNNNIFSRGESSSLQKVYHPQLQVDPQYFNPFDTPLLSSSENNNVVGLQQHEKPLSESWGLQGSNIGTAEYTPGLKFNEINHAQNNYALDVEKYSTMFDTNITNPTINELVAANIDFQQYIGELQGSNIESADYTPGLKFNNGIPHAQNDYALDVASYATLPNTNIANPTIDGLSVANIGFEQHIDEQNMVQPLNNVVTTSHMSGTQESEPSEMMNCDEFFDFDNMDFFFQNDEPPSFDLSNELDSAFDQAYSDDMATSSAQFPDITSFLDDSST >Solyc09g092710.3.1 pep chromosome:SL3.0:9:72139167:72140011:1 gene:Solyc09g092710.3 transcript:Solyc09g092710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFSPLNYHNLNIVSYLYQVRGKMGLKAFIILSLVLAIFAIVTSHVAARELAESFTTTMENTKENEVNDAKYPGGGYGGYPGRGRGGYGGGYCSYGCCERNYYGNGCNRCCYSKSEAMNKVTQAKPHN >Solyc04g024953.1.1.1 pep chromosome:SL3.0:4:27311923:27311970:1 gene:Solyc04g024953.1 transcript:Solyc04g024953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSHLHMHLIAWLYPS >Solyc09g009640.3.1 pep chromosome:SL3.0:9:3049892:3053137:1 gene:Solyc09g009640.3 transcript:Solyc09g009640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRKETVLDLAKFVDKGVQVKLTGGRQVVGTLKGYDQLLNLVLDEAVEHLRDADDPLKTTDQKRSLGLIVCRGTAVMLVAPTDGTDEISNPFVQPDGA >Solyc02g065180.3.1 pep chromosome:SL3.0:2:36907701:36914771:1 gene:Solyc02g065180.3 transcript:Solyc02g065180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEVCQLKELDVEHFEIREVLRCILHTIMFHRALGLLRPKDVDLELFDITYTQCGDVEVEKKIEEKITLFIDRVEKHPNRKNQICLSFCEVKNKQASWFTTKVERFYWEHWYINLNVAHHPKGHPGKSHLSKVVDPGESASEERSARRTVLESSLREVMFQIIKFVNEKKDHIPAISNLECVTFPYEITISSSSDSAFGMDVIRRMLQTGHPTMLS >Solyc02g080880.3.1 pep chromosome:SL3.0:2:45530981:45536952:-1 gene:Solyc02g080880.3 transcript:Solyc02g080880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKAFLVAFFLSTLLFPLAFSTSNDGLVRIGLKKIKYDQNNRLAARLESKEAEALRASIRKYNFRGDLGVSEDTDIVALKNYMDAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSAKCYFSVPCLFHSKYKSSQSSTYKKNGTSAAIHYGTGAISGFFSQDNVKVGDLVVKNQEFIEATREPSVTFLVAKFDGILGLGFREISVGNAVPVWYNMVKQGLVKEPVFSFWLNRNKEEEKGGEIVFGGVDPKHFRGKHTYVPVTQKGYWQVGYLYILGKLLLRLFATAFLFLEYNWQFDMGDVLIDGQATGYCDGGCSAIADSGTSLLAGPTTVITMINHAIGASGVVSQQCKTVVQQYGQTIMDMLLTEANPKKICSQVGLCTFDGTRGVSMGIESVVDEKAGKSAGLHDAMCSACEMAVVWMQNQLRQNQTQDRILNYVNELCERLPSPMGQSAVDCGKLSGMPSVSFTIGGKKFDLAPEEYILKVGEGRAAQCISGFIALDIPPPRGPLWILGDVFMGRYHTVFDSGKLRVGFAEAA >Solyc10g008260.2.1 pep chromosome:SL3.0:10:2364947:2366231:-1 gene:Solyc10g008260.2 transcript:Solyc10g008260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRMKSKLPPAGPKIERKYVEKNRRNYMKKLYNQLHSLIPTSKETIMTVPDQIDAAVNYIENLKMNLEMNNKYLEELKMGLKKAQSFNPTNEPGPITKSQPQIEFHEMGPNMVVVLITSLNNIATFNNIIRLCYVEGVEVVSTRFELNGNSTLQISHETTKINRSSAMELIGTNLCDKMKELIYGPSYMESQLHLWDYKIEFDTLEYYLLPTRSKNPNMYSYMQN >Solyc03g116247.1.1 pep chromosome:SL3.0:3:67230047:67237208:-1 gene:Solyc03g116247.1 transcript:Solyc03g116247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRKHSPFVMECLKEPYDDTQLRWNVADLLTRVASKFSVNGNLSGRKREIKFQPSFVYFPIGHNNITRYFSAPATETEKAKQDTLFKTILKEAVTFHFWNGLTSAMVPEAGSLAHRLINYNCLRCSDTL >Solyc08g007130.3.1 pep chromosome:SL3.0:8:1700734:1704382:1 gene:Solyc08g007130.3 transcript:Solyc08g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YKYTSKTFINPYLFYFFQTSIYNKNTHTKKMALTPCSSTSFINKKERKNYRTHDDISSMICFAKINPPSYNLKAKSYNQEVQFLNPNMEEKEKFHMLTSGTHSNNSSSTKVPVFVMLPLDTISIGGNMNKPRAMNASLMALKGAGVEGVMVDCWWGLVEKDGPLKYNWEGYDELVKMVQANGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDIVYTDRSGRRNPEYISLGCDQLPVLRGRTPIQVYTDYMRSFREKFKNYLGDVIVEIQVGMGPCGELRYPSYPESNGTWRFPGIGEFQCYDKYMKASLAASAHAMGKESWGRGGPHDSGQYNQFPEETGFFKKDGTWNSEYGQFFLEWYSRKLLEHGDNILASAEGIFKGTGCKLSGKVAGIHWHYNTRSHAAELTAGYYNTRNKDGYLPIARMFAKRGVVFNFTCMEMRDGEQPHSANCSPEGLVRQVKNATRNVGVELAGENALERYDGGGYAQVLSTSRADSGNGLSAFTYLRLNKRLFEPENWRNLVEFVKNMSEGGSTRLPECDSSRTDLYVRFVKQTHAKRTTEVAVV >Solyc10g079070.2.1 pep chromosome:SL3.0:10:60830256:60836044:1 gene:Solyc10g079070.2 transcript:Solyc10g079070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLIECIEAGEARSENCGARMGEIKDGFHQFGEEILSVTSEGGSSFTALLGLPPNQAVELLVQSPETDKIASDKLAISEPHYRYPPPPPIFPSDIALIDRASKFSVFAAAGNSPESNSTLSNSGSKSLFVKQEPLDSECNHNSSPATSNPLVHQKSTKRKEREKKVKETSKKGKKSANDTSEDGGEKLPYVHVRARRGQATDSHSLAERARREKINARMKLLQELVPGCNKISGTAMVLDEIINHVQSLQRQVEFLSMRLAAVNPRVDFNLESLFAAERSGSHVESNLQDMVVPPIWAEGQSSGNRNQYQHLWLIEGFHQPAWGRLEDNSSFVTPENSLLTYDSSANSASLHPNQLKMEL >Solyc06g006000.3.1 pep chromosome:SL3.0:6:1007090:1010286:-1 gene:Solyc06g006000.3 transcript:Solyc06g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVNGNIRPNRSDVHLSKEEETKIEEETREYFDGIAPKRHTKPQRSDYSSTYVDHINLYPSSHDTIPENLEFQRLENDPQKLVYNGSQVTEEFIETEYYKDLNCIDKQHHTTGTGFIKVENNENTFNIGADYTTDPSHVYKGNPATNDWIPSAVDEVNFISGKPHRSDN >Solyc11g013030.2.1 pep chromosome:SL3.0:11:5889282:5898239:-1 gene:Solyc11g013030.2 transcript:Solyc11g013030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DIS3-like exonuclease 2 [Source:UniProtKB/TrEMBL;Acc:K4D6A7] MGVLVAESVENQNQTGVVVDKKKNRRSRRSKQNSPPTASSSLTGCLGESNFKGGVPILDHDGSKEFSLPRASNIAFTSLPTLRLNEQSAETGSLPVQLTFSPGVGGQVYSGSCPDYIAYGDQSITSYPQRKYFSSHWPAEAVHKALERGRVFKALFRVNAHNRLEAYCKVDGVRTDVLISGAAAQNRAVEGDTVAVEVDPPSLWTRMKGYTVSVESSALVDDGMLESVNSDFVRESCKGKNKVDTDYEFSSSGNCSSPLKNVLGYRSGQSFGDISHPEEKVPAENDYVNRHNMTALKPSMVGCYSEINDAMHATERLSAAVDSFPSKRPTGRVVAILEGSPRRDTIVGFLNVKKWMWSREANKKDLKKNKYLSTALNCQYLLLTPNDPRFPKMMVPFKSLPDIILERLEAGDVAVEMDLVAARIADWAEENYIPEAHVTDIFGRGGELEPQLAATLYENAIDSSEFCQQTLSCLPSIPWEIPKEELKSRRDIRKLCVFTIDPATATDLDDALSVERLPDGTSRVGVHIADVSYFVQPDSALDENAQARSTSVYLLQSKLPMLPPLLSENLGSLNPGVDRLAFSIFWDINQSGEFIQRWIGRTVIQSCCKLSYDHAQDIIDGLLDDPSSYKGEHSWPVLHGLFKWSDIVTSVKNLYEISIILKKKRFEDGALSLESPKIVFLFDEDGIPYDSVLSGRKESNMLVEEFMLLANRTAAEVITRAYPSSALLRRHPEPNPRKLREFESFCSKHGLRLDTTSSGQIHNSLECIRRELADDSVLTDILMCYAARPMQLATYFCSGDVEDENDRGHYALAVPLYTHFTSPLRRYPDILVHRMLAAAVEAEEVYLKLKLLQNPDRGEMRRQRCLTDVYFNKDAIESPEAQEALSAAASKHKAPSAETLAYIASHCNERKLACRHVKDAMEKLYMWVLLKRKEILFSEARVMGLGPRFMSIYIHKLATEQRIYYDEVEGLTVEWLEATSTLVLSPSTNKRFNRRGSPGKCRSLEEVALILSPCELNQELDLCGPNDQEGSGVLQIGNASKSCLPGIPKIEPAVFPVTLRLLSTITVALHAIGGGYGPLDIGARLFISSYFK >Solyc09g059300.1.1.1 pep chromosome:SL3.0:9:54433284:54433508:-1 gene:Solyc09g059300.1 transcript:Solyc09g059300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQNKPKKKTIPQFPASIYSSLPRIRTIYVLSMSEKTRRASGDNHGVVLLNFLFVFVFRLKFVHSPAHTLYPL >Solyc11g045100.2.1 pep chromosome:SL3.0:11:32003504:32011115:-1 gene:Solyc11g045100.2 transcript:Solyc11g045100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYFQWMKTLRTQMSDVEDEAVKITAEEQMQITTLQNLENEINSVKCQTSQLGEEIARILEKKGQICSMILEKQRKIASLGADSSTLNQTSELLQQERNNMSAKLLQKSDYYAKTVEEVTAQLGEQQGWIKDCKQNLWVGDNGQVMDKVSEKTGEIEENQDKLVEILNAKTKLNQMSELKSKLVTENSQVRRSIELVKSKTNDFKAQLGDMDSKSLQEEYQALLSDKAGEAEYLHSLQLQIAKLMIISHSIKCSCGNEFKIDMNLCG >Solyc04g056453.1.1 pep chromosome:SL3.0:4:54163119:54163535:-1 gene:Solyc04g056453.1 transcript:Solyc04g056453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSSSKPVGTPIELIQKFMTAEFDLHFPNESDDKLLSQFMHSPKASYMEAAMEVVRYVKQAPGLGILMAANTTDQLIAYCDRLHGYIWRFFNLMEVKKTGYDFKKFS >Solyc03g043850.3.1 pep chromosome:SL3.0:3:7540157:7542563:1 gene:Solyc03g043850.3 transcript:Solyc03g043850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALIKSAFRASAFKRNFSSSAHNDEAREAAKWEKITYAGIAACAILTIFNLSKGHPHYEEPPPYPYLHIRNKEFPWGPDGLFERKHH >Solyc02g084070.1.1 pep chromosome:SL3.0:2:47819240:47822365:-1 gene:Solyc02g084070.1 transcript:Solyc02g084070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFWRFSSIDVQLNMSHVKLKNFCLARHFRWVMRFWEMFHLLVFLLCLLGKLVLAFVYHEDVEVLSFLEVQLNLFSLELMKLLLVTAPQVSPSFDKYQLKNEAFERNVGLLRGGCLPSLEIGNNTHWRPNRHRKILKVKVL >Solyc04g025910.1.1.1 pep chromosome:SL3.0:4:20367112:20367333:-1 gene:Solyc04g025910.1 transcript:Solyc04g025910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETSCGSYSVSFYVIVNNLVSLSFLLIFALVFSTPLQCLSIKLQHWELISMCYDGIVRVILWYFVSKNEIPN >Solyc01g006760.3.1 pep chromosome:SL3.0:1:1348229:1350019:-1 gene:Solyc01g006760.3 transcript:Solyc01g006760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSLGAGIFAVFAVSGGVVLLASKVHKHLLSDFMKKIEFEISLEKDQQKKKVRFSNEVIKLGPQLEKVEDHNNPKKRNNPKDFESMPLNWQVLYKGILQHKSLKHYN >Solyc01g110735.1.1 pep chromosome:SL3.0:1:97246800:97257165:-1 gene:Solyc01g110735.1 transcript:Solyc01g110735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRMIKKSSATRDIPKGHFAVYVGEMQKKRFVIPISFLSEPLFQDLLSQSEEEFGFDHPMGGVTIPCSEDLFINLTSILRKWSVRYLVRTLGSAAIQEHEIFLIFDFLSRIAFIQVDTKFASGEPIDFKDMLYVKRAGHHLPLICCTISTYFFAGIVTKSHRRPNRYCKVLKFPFSTRYLLLLTLGPELLRIWCLTRWTDAEKRMICFTCNWANWHGRYRGLDPWDVYSSGPSEFYYDRYDLTHMLSHDEENLKIICHHELCSLLGYMGTRTNGLMLEIENLELQGDYIILQKLSAGSFHSLIPSMCSIFHPKCGKDVFSNERPSVKLKFVNIWNRILQIQVDCTSTRDGELKEDSGTKWRDFRPKTTVEYLDYMDKMIEPLPFGECATLGRALVNQRAAPRDGMGTRINGFMLEIKSSRTAAANKSIKTFRYVPLMPETRAVRRLHHFAEAICLEFPQQSYHQFGKSSTPSCGDGVFSDERSCYQRSWKG >Solyc01g096910.3.1 pep chromosome:SL3.0:1:87783364:87793983:-1 gene:Solyc01g096910.3 transcript:Solyc01g096910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNWLPAAQLTGSGRPVLQPGEVECSLLSSVDLFSEETPSFPNLKAGVVILTTHRLIWVQESTTSPTAIFIPLSAVTHIFSLKRSIKSMFASPRFRFQASLGEKGTKGVVVITLILRGKSDIESFVEKFWEAWRKKAWEDGKKSGQEASGSGLGSGHGSGVDGGLAVRMPVVGVAGILRKEQEIWENTDKSLQEAFQDLNALMNKAKEMVTLAERMRAKLLSGSTSQATGTNDEENGTKEEMQELLLSVGIVSPVTKESAGALYHQQLSRQLADFAKIPLERAGGMINLIDIYCLFNRARGTELISPDDLLRACSLWEKFDVPVMLRTFDSGVMVIQSKSHSDFEVFTRIRSMVTTPDALRAGVTASDAAMTLGIAPAMAKEHLLAAEGKGLLCRDVSPDGFRFFVNLLQEVDADDIFLVKDYGIYQTWVKVISDAR >Solyc01g016680.2.1 pep chromosome:SL3.0:1:21182566:21183579:1 gene:Solyc01g016680.2 transcript:Solyc01g016680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSIENFEATIHQTSSYEKMSKLNALNDTQHDLEGLVDAGITKIAQIIPWIQVKHTSFFVCLTDLESIDKIETIKHKEMWTNFLKTVNHDIPIFFLDEMLQGDQKIYKKDINFNKQYYIRDIVKKKIVHARTFNLEILMDYSKHLMKFGGCFLRLLSECLCLDPFPHPKLTIDTNKHSDYEFLTLPLQDHTIGLQVLHQNQWVDVPPTRGALGVKIGYLLRASFIFIF >Solyc02g033040.2.1 pep chromosome:SL3.0:2:30184331:30185770:1 gene:Solyc02g033040.2 transcript:Solyc02g033040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISLPKYNLKDCSASSLLYDSAAEALDLEYPTKEIRRSVEVVGSVHGLICLVIAKKYFLIWNPSIRKFKKLPECRDEFCFGHHFLYGFGYDEVHGDYKVKMYSLNSDSWTSLEDFDSGVLGTESGVFVNGKLHWANSAYRRSGWDIISVDLADSTRGEVEQPYYAEGDFGLTLGVLGSDLSVFCNYRRIQAEVWVLKECGVKESWIKMFTIDIPCDPRMSYKFFNSFACQIKVKFCFILAQLS >Solyc12g042860.2.1 pep chromosome:SL3.0:12:59254837:59261362:1 gene:Solyc12g042860.2 transcript:Solyc12g042860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSSSKPVGAPIELNKKLTTTEFDLHFSPADKHDKLLKDPGVYQKLIGRLLYLTITRPDIAFSVQLLSQFMHSPKTSHMDATMRVVRYIKQSPGLGIFMTSAVDNQLKAYCDADWASCPNNRKSITGYIVTYGESLISWKSKKQSTISRSSAEEEYRSLASTVAEIVWLVGIFRELGMQVELLESKQFFSLLLSIIDLPYILLLFSIVDQLIYLTWSSFEWHQETRGFVGCLLEIEGGFGWHFYQNGRWFGVMKKMAWWCAFGMKKWVNFRGCRKREHRQCLDRSLFGEKSGKGGDGGLGLLLSGKFGYGAVWSEKRDCDGCFWQVFGLLFGVNFLEGSSTPEEISSLGLSNINYIPPNQSVSSIPDNDDVHSEEVSGFEDFTTKPPDILLKRTSRGVNDTGGVSSPQMMDDSVAKENVATQFNSSNPDEAIVSHKHMDCATVDDAAENSDVAGK >Solyc08g074500.3.1 pep chromosome:SL3.0:8:58720880:58751964:-1 gene:Solyc08g074500.3 transcript:Solyc08g074500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQQSSRLNRLLTLLDTGSTQATRLTAARQIGEIAKSHPQDLNSLLSKVSQYLRSKKWDTRVAAAHAIGSIAENVKHTTLAEHCSSVEVKMSEEGISGNVEELVAWPNCYPKIGGTSFRSFDLNKVLEFGALLASAGQEYDIPMDNSKNSRERLARQKQNLRRRLGLDVCEQFMDVNEMIRDEDLIMQRANSPGNGVAAQYYSSRPVGNIRQYVANMVPSVRSRRPSARELNLLKRKAKISSKDQTKGWNKDGDTEAPQAQDIISPRGMCPDMSSSNKLLGENISDEDGLEYDGDKIWPFQSFVEQLILDMFDPLWEVRHGSVMAMREILTHQGANAGVIIPDLSCDSALNIKIKERVNENTVKRERPIDLNMQVPPDELESVSKKLKVEPEDAAFLPMDTMVCTSTDGDPGGVSVKVEDVGLSLAVDQTNGEVSSGSVKFETQSHLSGGILGNDMSDEKRVGVDKTPMEKMGVLENLPENCELMNLVRLARHSWLKNCEFLQDCAIRFLCVLSLERFGDYVSDQVVAPVRETCAQALGAVLKYMHPTLVHETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLPELLGCVLPACKAGLEDPDDDVRAVAADALLPTAGSVVALNGQLLHSIIMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEQMIPKTFGEKKKFDLNEIDRQDYLGEGTWSSENPYMLSTLAPRLWPFMRHSITSVRYSAIRTLERLLEAEYKRSIAESSSSFWPSFILGDTLRIVFQNLLLESNEEIVQCSGRVWRILLQCPVEDLEDASKAYFPSWLELATTPYGSSLDTAKMFWPVALPRKSHFKAAAKMRAVKPENDSLKSICSDSGEGTTVLEKSGEASTSSGKIMVGADVDMSVTYTRVVTATVLGILASRLREGYLQFFVDPLWKALTSLSGVQRQVASMVLISWFKELKTRNISDMDGVIAGISSKFRSWLLDLLACTNPAFPTKDSLLPYIELSRTYDKMRNEARQLYHATDLSEMLKDLLSSTPVDLDNLSADDAITFASKLQFSSINTTGEEPVERNSLDELETFKQRLLTTSGYLKCVQNNLHVTVSSLLAAAVVWMNELPVKLNPIILPLMASIKREQEEILQSKAAEALAELIYRCMGRKPGPNDKLIKNLCCLTCMDPCETPQAGILNSIEIIEEQDLLSSGSSSHRHKSKVHMLSPGEDRSKVEGFISRRGSELALKFLCEKLGGSLFEKLPKLWDCVVEVLKPCSLEGMTAEDERLLSQAIELVKDPQNLINNIQVVRSIAPMLDETLRPKLLTLLPCIFRCVRYSHIAVRLAASRCITTMAKSMTLDVMGSVIENVVPMLGDITSVHSKQGAGMLVSLLVQGLGIELVPYAPLLVVPLLRCMSDSDHSVRQSVTHSFATLVPLLPLARGVSPPVGLSEHLSRSQEDVKFLEQLIDNSHIDDYKLSTELKVTLRRYQQEGINWLAFLKRFNLHGILCDDMGLGKTLQASAIVASDLAEHIALNSSQDLPPSLIICPSTLVGHWVYEIEKFIDGSLLTTLQYVGSAQERSSLRSQFNQHNVIVTSYDVIRKDVDHLRQLFWNYCILDEGHIIKNSKSKITVAVKQLKAQHRLILSGTPIQNNVLDLWSLFDFLMPGFLGTERQFHASYGKPLLAARDPKCAAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSHVRQEISSMVKHNESDESQKKDLPKASSHVFQALQYLLKLCSHPLLVFGERVSESLSSVVSELFSPGSDIVSELHQLQHSPKLVALQEILSECGIGVDSGSEGTICVGQHRVLIFAQHKALLDIIERDLFQNHMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDVLLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDHQAMDRAHRLGQRKVVNVHRLIMRGTLEEKVMSLQRFKVSVANAVINSENASLKTMNTDQLLDLFTSAESKKGASRSKRTDEKSDVDSILPRSGKGLKAILGGLEELWDQSQYTEEYNLGQFLAKLNG >Solyc04g028440.1.1.1 pep chromosome:SL3.0:4:16085007:16085207:1 gene:Solyc04g028440.1 transcript:Solyc04g028440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLFYHTNRPLIHLPSLFQGDPPHQRLLHCSNWRNNTSLLLHWGDPCPLRLLAKIDQTTATRLLF >Solyc03g020090.1.1.1 pep chromosome:SL3.0:3:62621790:62622083:-1 gene:Solyc03g020090.1 transcript:Solyc03g020090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERKNLYWIGLLPIPMNSVGPRNDTVEESVGSSNINRLIVSLLYLPKGKKISESCFLNPKESTWVLPITKKCSMPESNWDSRWWRNWIGRGFLVVR >Solyc12g033080.1.1.1 pep chromosome:SL3.0:12:37832754:37833296:-1 gene:Solyc12g033080.1 transcript:Solyc12g033080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIREFLLFVLKDTLGEMFSCGANNLITIFVAQECFSLCSYLLSGYTKKDVRSNEVTMKYLLMGGASTSILVHGFSWLYCSSGGEIELQEIVNGLINTQMYNSPRISIALIFIIVGIGFKLSPYPSHQWAPDVYEGVQFIREIPTSLSISQMFGFFKNPWTCRREMLSPVRPRQNFYLFN >Solyc02g078890.1.1.1 pep chromosome:SL3.0:2:44083892:44084086:1 gene:Solyc02g078890.1 transcript:Solyc02g078890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGARKETMMMNGGSISRREEYGRPIPKRGQVKMTIVLGLAHSLTSIFSTGDRSESGRRTTHLP >Solyc12g035310.2.1 pep chromosome:SL3.0:12:39752528:39753550:-1 gene:Solyc12g035310.2 transcript:Solyc12g035310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYPSRYASYGCGYGTGGAGGSCGGGIMGAYGHGGGYGGYGGAVSGAGYEYGPSTCYEFVPSVGYGGLGGLYGSRHAMVAAAATTPVAVDMYLQNCMAPARLNVGGNAEIVGQQSTS >Solyc12g042385.1.1 pep chromosome:SL3.0:12:58527932:58528483:1 gene:Solyc12g042385.1 transcript:Solyc12g042385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFDMSDMGLLHYFLGLEVHQAKDGIFLSQRKYAKDFLNKFGLNNCKLASTPMNVGENCILRMEQRRTMLEFSKAWLEQKEFCVMSLEVWTMGFGINKFPTSDYVDSRIVTIVGSSDDRRSIPAHSFTLGSGVINLELKEASYNNLIHV >Solyc09g056090.1.1 pep chromosome:SL3.0:9:47315798:47316678:-1 gene:Solyc09g056090.1 transcript:Solyc09g056090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLTTAGKNPSLSISMFAKLASFLAFMKLTLTDISSIFVDGRILVFSVGGSLPLPSKQVGLRLKRITLGLMSSWNHGKNLRKRAREWISLNQKQDMCCLLLKYLPGGTKLVHRIIPHEWSPICINWMK >Solyc05g054200.3.1 pep chromosome:SL3.0:5:65001041:65010075:1 gene:Solyc05g054200.3 transcript:Solyc05g054200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLEGIEMYGMGNWAEVGEHVGTKTKEACIDHFKDAYLKSPYFPLPDMTHVMGKNRMELLAMAKGNFTDKKGLSSLGDVAPKDESFSPSRIKVEDTHKIGPSGRLTSVSNAGITGIKKPSSKTLIKDQNEPVKFEDNSGRNFGGKKPKSLKDDGSSLMKLSGYIPKRQEFDPEYDNDAEQLLADMEFKETETEEERELKLRVLRIYSKRLDERKRRKVFILERNLLQPSEFEKNLSPEEKGICRCYDAIMRFLSKEEHEELLKAVVSEHRYLKRIQELKEAKAAGCRSSAEVDRYLERKRKKEVEEGVPRKGSSQIGPMSQESLNIPASSESLGIHSNRKPCSQAILSSDTNAGVPAFSAGELLSEPEKQLCQEIRLSPHHYLRMQEVLTIQIYSGNITRKSDAYPLFQIEATKVDRVYDMLLKKGVAPL >Solyc09g082520.3.1 pep chromosome:SL3.0:9:68669510:68672211:-1 gene:Solyc09g082520.3 transcript:Solyc09g082520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S3a [Source:UniProtKB/TrEMBL;Acc:K4CVM9] MAVGKNKRISKGKKGGKKKAADPYAKKDWYDIKAPSVFGVRNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQNDEDHSFRKIRLRAEDVQGRNVLTNFHGMDFTTDKLRSLVKKWQSLIEAHVDVKTTDSYTLRMFCIGFTKKRPNQQKRTCYAQSSQIRQIRRKMREIMVNQAQSCDLKDLALKFIPESIGREIEKATSSIYPLQNVFIRKVKILKAPKFDLGRLMEVHGDYSEDVGVKVDRPAEDIATEPTEVVGA >Solyc03g071610.1.1.1 pep chromosome:SL3.0:3:20395313:20395531:-1 gene:Solyc03g071610.1 transcript:Solyc03g071610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDVSHQDQMSFIIPSVDISTTPINVTEYFLEFLKVDDTSGKRLFEVIFDEIKCIGLDIDNLRGQGYDNELI >Solyc02g062650.3.1 pep chromosome:SL3.0:2:34935369:34938249:-1 gene:Solyc02g062650.3 transcript:Solyc02g062650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4B6F3] MYIHVNCCRHGLKQYRNGWADGPAYITQCPIKTGNSYVYDFNVTGQRGTLWWHAHILWLRATVYGAIVILPSQGTPFPFPQPDREEVIVLGEWWNADVEQVENQGNALGIPPNMSDAHTINGKPGPLFPCSEKYTFAMEVEKGKTYLLRIVNAALNDELFFTIANHTLTVVEIDAVYTKPFSTEAILIAPGQTTNVLVRANQVPGRYFMAARPFMDAPVPVDNKTATAIFQYKGIPETVLPKLPNLPAPNDTEFALSYDKKLRSLNSQKYPANVPLKVDRNLFYTIGLGINACPTCINGTRFSASLNNISFVMPKTALLQAHYFNIKGVYTTDFPDKPPTPFNYTGAPLTANLRTTQGTRLGKIAFNSTVELVIQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPKKDPAKYNLIDPPERNTVGVPTGGWTAIRFRADNPGVWFCHCHLELHTGWGLKTAFVVEDGPGSHHNVLPPPKDLPQC >Solyc02g032120.3.1 pep chromosome:SL3.0:2:28074276:28086328:1 gene:Solyc02g032120.3 transcript:Solyc02g032120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRASDKVWCYYCDREFDDEKILVQHQKAKHFKCNHCHRKLSTASGMAIHVLQVHKETVTKVPNAKEGRESTDIEIYGMEGIPPDALAAHYGEEEDEAVAKTAKVDILSSQYVGGVLPGSLAAGYPPRATFGTVPPLYNPAVPMRPAGWPVPPRPLPWYPQYPAVMFPPTAPMGLPQQPLFPVQNVRPPVQATAPPILQPSLPVAPPGLPVSTPPVPVSQPLFPVVPNNNFIQSLPMLTASVPLSAPAEVNNSIAPKMGNCSPSSIGYQVPAPALVNLHSYASGPNTGGPSIGPPPVITNKAPAFVEVYLVWDDDAMSMEERRMSLPKYQVHDETSQMTSIDAAIDRRISESRLAGSMAF >Solyc11g017035.1.1 pep chromosome:SL3.0:11:7814605:7818723:1 gene:Solyc11g017035.1 transcript:Solyc11g017035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPSLFSQNSSSSYDQMEYPSIREGIINFRSDEIKSVLNTYITKSTTATGSLLFVPFEEHIVPIVNIQQQSLGQVFHEQAQLLISNETQKFCVLVRSDYKQVFPRIWTQRTFYCTTCRRPTQLTPRCQFEVTIKDDTGSTTAMISDKIGEELLSLTVAEIHDIQTTVVTLSVQHKLLGKTFTVQIKKLFAKNKDASSAKLFIMSITEKNIASNLPLPINAPTTPESS >Solyc09g082420.1.1 pep chromosome:SL3.0:9:68590984:68592124:-1 gene:Solyc09g082420.1 transcript:Solyc09g082420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEYQQMIPIPTRKTSLIPCNSWIGLAASIKGLYGQLLHYPTNLSIKKWDSLRIGASDEDIPLDTFIDPAKAEASIWLIEEMHRKTTSPYFIMADQDLGVILRKAKMYQEYMQMVPIPARKASLIPCNSWIGLAASIKGLYGQLCTT >Solyc11g039760.1.1 pep chromosome:SL3.0:11:42989843:42990846:1 gene:Solyc11g039760.1 transcript:Solyc11g039760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGTIPTVHAVGLGDIVHLLLMCVDLPPHYMKPFKLCDLVVGEHFSIFAASLKTTDRVVTKELDLPVRDDVPLINFIRRLDPQKGLDLIVEEMPWMIVQSETSR >Solyc10g079260.2.1 pep chromosome:SL3.0:10:60954012:60959355:1 gene:Solyc10g079260.2 transcript:Solyc10g079260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAISQLSCFSSINRRLVQFHSRPLQPCSTFSLKVISMSNDVHGTDESSLKSRTTLSYATDSSQSLNGTSSNSYSAPEEYVSEKEINESVQENSSSQPKKAAKIHDFCLGIPFGGFVFTGGFIGFIFSRNPATLSSGVLFGGALLALSTISMKVWREGKTSFPFILGQAVLAATLLWKNMQTFSLTGKLFPTGFFAAISAAMFCFYSYVILSGGNPPPKKLKASTSGAY >Solyc02g077040.3.1 pep chromosome:SL3.0:2:42680237:42687280:1 gene:Solyc02g077040.3 transcript:Solyc02g077040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFFLKNITVVLLLFSILSLYPFIVTSRNLKELSMLERHENWMVHHGRVYKDDIEKEHRFKTFKENVEFIESFNKNGTQRYKLAINKYADLTTEEFTTSFMGLDTSLLSQQESTATTTSFKYDSVTEVPNSMDWRKRGSVTGVKDQGVCGCCWAFSAAAAIEGAYQIANNELISLSEQQLLDCSTQNKGCEGGLMTVAYDFLLQNNGGGITTETNYPYEEAQNVCKTEQPAAVTINGYEVVPSDESSLLKAVVNQPISVGIAANDEFHMYGSGIYDGSCNSRLNHAVTVIGYGTSEEDGTKYWIVKNSWGSDWGEEGYMRIARDVGVDGGHCGIAKFQIFTMALKFCRLSLVALLLVVATLSFRATSARDLQVYSMLERHEKWMSHHGRVYKDDVEKAERLKIFKENVEFIEAFNNDAAHSYQLGVNKFADLTNEEFQSMVGRYNLSFLPKTSNLQSFSYQSENSSPDSWNWREQGAVTPVKDQGKCGSCWAFSAVAAVEGLNQLKTGNLISLSEQQLLDCESRNNNGCGGGVRNEAFLYIAENGGLTTESNYPYTGIPGSCNSKMAESTAVTISSYKTVDPSESALLQAVLIQPVSAGVNIGSDKFRFYKGGIFSGECGESSHHAVTVVGYGTSEDGSSNYWLVKNSWGENWGESGYMRMARDVVDGGVCGLATRASFPTA >Solyc03g114230.2.1 pep chromosome:SL3.0:3:65703385:65704377:-1 gene:Solyc03g114230.2 transcript:Solyc03g114230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTSPEKLDRKTQEKNRRIQMKYLSSKLFSLIPPHHHQYSAKDMVTQQDQIDQAITYIEKLKERVDVLMRRKDKIIAQGTSDDSKKFMPSTSCSNIKLPMIEVRELGSTIEVILVSCLQKKFTMQEVIIILEEEGVQVVTANFSTIGDKVYYTIHAQVKITRLGVDASRVYLRLQNLIC >Solyc09g092120.3.1 pep chromosome:SL3.0:9:71738491:71743733:-1 gene:Solyc09g092120.3 transcript:Solyc09g092120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGIFFWGPVTSKEWCEPNYVQSSYIAEFFNTISNIPCILLALIGLVNALRQRFEKRFSVLHMSNIILALGSMTYHATLRQMQQQGDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFLYGALFAIVHSQLRFGIGFKVHYALLCLLCAPRAYKYYIHTEDTLAKRLAKLYVATLLVGAACWLCDRLFCKQIQGWYINPQGHAVWHVLMGFNSYFANAFLMYCRAQQREWNPKIKHLFGFFPYVKIEKPKTQ >Solyc09g090900.3.1 pep chromosome:SL3.0:9:70783641:70788642:1 gene:Solyc09g090900.3 transcript:Solyc09g090900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSTSSTYCLSKNVVFLSDFSPLNQFPTQSPRRRFSKKIVSMITQPSSRMPATTGSVDLMVKSWMTMTEKLLARTSEKLHVVPGENLWVNVDVLMTNDITGPGAIGVFKREFGENAKVWDREKVVVIPDHYIFTADERANRNVDTLRDFCNEQNIKYYYDIKDLGNFQANPDYKGVCHIALAQEGHCRPGEVLVGTDSHTCTAGAFGQFASGIGNTDAGFVLGTGKILLKVPPTIRFVLDGEIPNYILAKDLILQVIGEISVAGATYKTMEFVGTAVESLTMEERMTLCNMVIEAGGKNGVIPADKTTYDYLKDKTTVHYEPVYSDEQARFLAKYHFDISKLEPLVAKPHSPGNRALARECEDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVKVPTFLVPATQKVWMDLYTIPVPESGGKTCSQIFEDAGCDTPASPSCGACMGGPRDTHARLNEPQVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGHVTDPRDFLQ >Solyc07g008590.1.1.1 pep chromosome:SL3.0:7:3549739:3552876:1 gene:Solyc07g008590.1 transcript:Solyc07g008590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKEHYKPIHFLLICLIMHQTFAFGSISRGKILCIRKEREALLEFKRGLIDEYNLLSSWRNEECCAWRGVECSNTTGRILVLNLRIGTIESNPDGPDKDLILTGSITSSLVKLEYLKYLDLSSNNFGGDGPIPKFIGYLKRLEYLNLTSTYRVFTGLIPLQLQNLTSLRTLDLRGNYLTVNSLEWLSHLVHLEYLDLSLSNVQAKNWLQQISKLPNLRELHLFGCQLPKIIPSSLILANISSSRLSILDISSNRYSSPAINSWLFNFTSLTSLDLSSNDLGKMASGFGYLKSLEHLNLFGNSIQGGIPRSLRNLSRLRSLDASNNNLLSQPFSELLDNLAGSNQSLEYLSFEGNALTGSLINLTRFSSLKELKLRENSLDGIFHESFRQISSLEYLDLSSNQMTGSLPDLEFFPSLTELNLRSNHFYGMIPQGLGKLSELKILDVSFNRLQGLPDSMGKLSKLKILDVSSNRLKGLPESLGQLFDLESFDASNNLLEGTISESHLSNLCNLKSVKLSSNSLTWNVSVDWIPCFQLQVISLSSCNLGPYFPKWLQTQNSYSFLDISLASISDTMPSWFTKLPPMLYFLNLSYNQISGKIQDLSANNIGSIVIDLGYNNFSGPLPTFPHLVSELRVDNNKFSGSLNSICKIRSPVTLDLSNNLLSGEIPDCWALMSVLTVLNVANNHISGSIPYSLCSSTSLSSLYVRNNNLSGHFPVPLKNCQGLKVLDLGRNRLSGKIPEWIGTKLAGLGILSLRFNEFSGSIPPSICQLQSIQILDLSGNHLSGRIPKCFSNFTTLRLLQDGSSVNYDFNPTAGRGILVYKGNAFVQWKNKESEYSNTLWLLKTVDLSSNELIGDIPNDFSRMNALLSLNLSRNNLTGNIIEGIGLMNMLEVLDLSVNHLSGNIPIGLANLTFLSVLDLSKNNLSGRIPSSTQLQGFDPSTYGGNIQLCGPPLPACPTFAPSNPHVVFDRTSQENDDDDEFPSKEFYISMALGFIVAFWGILGSLYFNDSWRNAYFKWLNGCQNWLYLSSAICFARLKAKLRA >Solyc06g073270.3.1 pep chromosome:SL3.0:6:45286436:45288553:-1 gene:Solyc06g073270.3 transcript:Solyc06g073270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKPAGEDYAHPQICFFHVLFKAAALAFYILSALFVDSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEIDDNGESVWRFECLDQESMARMNKKDSWLFWWTLYLTAVAWFFLAIFSLIRFQADYLLVVGVCLTLSVANIVGFTRCRKDAKKQLQAFATQTLTSRFSSTLQSAFSVV >Solyc04g054840.1.1.1 pep chromosome:SL3.0:4:53241673:53243184:-1 gene:Solyc04g054840.1 transcript:Solyc04g054840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFEEIIEPFSPINSELVEENKEIDDEEINYDDLKRRMWKDRKRMQIFKANKRDMMINTSATCSEELSLDQDEDEEEEEDEESQAKKEQSRRKKMSRAQDSVLKYMVKIMEICKGQGFVYGIVPEKGKPVTGSSDSLREWWKDKVRFEKNAPNAIAAFLPKLVEENVLVPNSCMDLLNDLQDTTLGSLLSSLMRHCIPPQRRFPLDKGLAPPWWPTGTELWWGDQGFSQEEGPPPYKKPRDLKKAWKVSVLAGIIKHMSVNFDKMRRLVKQSKSLQNKMTAKETATWSRVVNQEEVLIKMTEKALKISTSKEEDQENVEGIKEDLALRRNEKRKGVFESDIDTEDMLYQNLNCAQSELGVGFPYKNSRMDNETTCSHHGKQTMNEQQSVNDESLNMFMNNFTSLIGTQPILHKEIMVGDDHHSEHDGMNMDIKRSVDNYHIAQYANRGSIEENFEVFWGDNNVLEQHHYDSIMNLNDTPKENEHYQSPLSVWDLAYEDPSEL >Solyc10g009170.2.1 pep chromosome:SL3.0:10:3154970:3174562:1 gene:Solyc10g009170.2 transcript:Solyc10g009170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASIMAVSSTKKKSVFVDRATCGVKVKQIAFMGIICTIMFLIVYRTTNYQYRQTEMESKSDPFYSSKDSDVDITSFNSLPRGIIHASSDLELKPLWSTSNSKSKDSVSSSRNLLAMAVGIKQKKNVDTLVQKFLSENFTIILFHYDGHVDGWWDLKWSKEAVHIVANNQTKWWFAKRFLHPAAVSIYDYIFLWDEDLGVKNFHPGRYLKIVKSEGLEISQPALDRNSTEIHHRLTIRSKRKRFHRFVEGMAPVFSISAWVCAWHLIQNDLVHGWGMDMKFGYCAQGDRNKKVGVVDSEYIVHQGIQTLGGPSLKKPSNLEESTKVCDILLLLCLSSLRNFRRLTSADCLLLFDFFSLGLQRHVVDVRSEIRRQSMYELQIFKERWERAVEEDKNWVVDQVKAIRRRRKRRRKQIPKSQVKLRLQVLNTCVHLRIGKKLTRTWLGKSSIEKTEKLNCLADAVGESDGGGKSKGQSKFSGGAFYTTSSVPPATNSRLSPLPHEPADFYDRDVPVDIPLDSSTDLKKKEKELQAKENELRRREQELRRKEEAAARAGIVIEVKNWPPFFPIIHHDIANEIPVHLQRLQYVALTTFLGICACLLWNIIATTTAWIKEGDVKIWFLSIIYFISGVPGAYVLWYRPLYRAFRNEGAMKFAWFFLFYLLHIAFCIFAAVAPPVVFRGKSLTGILPAVDLIGKQVLVGIFYFIGFGLFCLESVLSIWVIQQVYMYFRGSGQAAEMKREAARGALRAAI >Solyc07g053370.1.1.1 pep chromosome:SL3.0:7:61952737:61953000:-1 gene:Solyc07g053370.1 transcript:Solyc07g053370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSSKMFIILFMIIFLFCHFISLEGRILDDLQVVQNKYDSHFVLSKAGFSPREIEEYTRRSLKGGSDRVVPGGPDSQHHSSPPTN >Solyc06g065310.3.1 pep chromosome:SL3.0:6:40842837:40847506:-1 gene:Solyc06g065310.3 transcript:Solyc06g065310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRGLLPLMNLVRLNGVPILQQLQLEERLLRTSSHNWCIVNDGTNEPTIVMGISGKPAELLEIGSVLQDKIPVVKRFSGGGTVIVDHQTVFITFICNTDALPSVQPYPRPIMSWSGQLYSKVFQGVGDFSLRENDYVFGNRKFGGNAQSITKGRWVHHTSFLWDYEMMNMGYLKLPKRAPDYRQARDHSDFICRMKDYISRQEFINRTISALGSQFSITPPELESSDCPDDTKFVPSTRLLGKQELEECFESESGNVILQSL >Solyc04g079560.3.1 pep chromosome:SL3.0:4:64069041:64074243:1 gene:Solyc04g079560.3 transcript:Solyc04g079560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERPETELISIPATPRASTPEILTPSGQRSPRGGGGHTSTGASKDAKSWTPTSFISPRFLSPIGTPMKRVLVNMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGIGFQALVLPVAFSFLGWSWGIISLTIAYFWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGVWLALFPTVYLSAGTATALILVGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLVGAVTAITYATMAWVLSVSQPRPPSISYEPISLPSYSASLFSVLNAMGIIAFTFRGHNLVLEIQSTMPSTFKHPAHVPMWKGAKVAYFFIALCLFPIAIGGFWAYGSLMPSGGMLSALYAFHIHDIPRGLLAMTFLLVVFNCLSSFQIYSMPAFDSFEAGYTSRTNKPCSIWVRSGFRIFFGFVSFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPTKYSFNWYFNWILGWLGVAFSLAFSIGGIWSMVTNGLKLRFFKPN >Solyc08g007865.1.1 pep chromosome:SL3.0:8:2389569:2390668:-1 gene:Solyc08g007865.1 transcript:Solyc08g007865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGIYIIGTLLVIVILHYWRNPKCNGKLPPGSMGWPLLGETIPFFAPNTSFDISPFVKERIRYGPIFRTSLVGRLVIVSTDPDFNYFIFQQEGKLFQSWYPYTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKICWSRQTSVEMKEASAKLISQMITRLLYNWKKTYFDYENSTENVSENFVAFIKGLISFPLYFPGTAYYKCLQVFRL >Solyc12g006360.2.1 pep chromosome:SL3.0:12:879014:887040:1 gene:Solyc12g006360.2 transcript:Solyc12g006360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQHPLLPSILHSTVKLSDMSSGEIEEFLGHKNVSFKVYLKLFAWESRLLWLLSGAVITVLIFNYMLGFVTLVFVGHLGSLELAGASIASVGIQGLAYGVMLGMASAVETVCGQAYGAKRYEVMGVICQRAILLHLGAAFILSFPYWFSGPLLKAIGQSETISEQGEIFARGLILQLYGLAISCPMQRFLQAQNIVNPLAYIAVSVFIVHVVITWLVVDVLEYGLFGAAMAQSFSWWLLVIAQGVYVVFSPLCKETWTGFSMNAFNSIWPYFKLTVASAVMLCLEIWYFQGLVLVSGLLPYATISLDSISMLTTFSDNNSMNYWNWDMQFMLGLAAAASVRVSNELGAGHPRVAKMSIIVVNMTSIMFSTIICIIVLIFRVGLSKLFTSDSEVIEAVSHLTPLLAISVWLNGIQPILSGVAVGSGWQAVVAYVNLATYYCIGLPIGCILGFKTSLQAAGIWWGMIVGVVLQTVSLFILTARTNWNTEVAKAADRLKEAGNREDEDPVDRP >Solyc05g045800.1.1 pep chromosome:SL3.0:5:58434541:58435657:-1 gene:Solyc05g045800.1 transcript:Solyc05g045800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDDNRDIGVVARSFNINKPNNVIAPKLGLNASHFWNYFDRPLAPCMNSFTGSSEIVSLDLPITLQERSYDQVIITNQNSNQQLYLHSITPFQFIQQITVPQSRTTVECAPPTTTQSQEELTNDKWAWRKYGQKYIKGFTFPRNYYKCSTSKQCEAKKQIEKSSKDENIFLVSCSGEHNHDPPMSRRYLVSCNNNSKLKLPKGINISPKESIFNASSSSSKRVKHSTDVASPIIGTKPPLEIGSKKNGCCGLA >Solyc04g076540.3.1 pep chromosome:SL3.0:4:61512357:61529793:-1 gene:Solyc04g076540.3 transcript:Solyc04g076540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGEFNLNMSDEYRECLKESLFGVETNMGSLIYIAKVSVDWGKKDMDAPEDGLKYKTVLSVDVTGMGVHLTFRRIGSLMSTALSFKHLLKSLSGSGKKPHNRVTKSSRPSGKGIQLIKFNLEKCSFNVCGEVGLENSVVPDPKRANYGSQGGRIVVSVSVDGTPRTATITPTTPVELKKLKYSLSLDIFHLTLSMNKEKQSTQMELERARSIYQEHLEDSNLPGERVTLLDMQNAKFVRRSGGLKEVAVCSLFSATDISVRWEPDVHIALVELGLHLKLLLHNQKLQELAKGDLKVNGQVNETSMESVPLEKSKKRESIFAIDVEMLNISAEVGDGVEMTVQVQSIFSENAPTSKHEIGTTWDWVIQALDVHICMPYRLELRAIDDSVEEMLRALKLVTAAKTKLLFPNKEEKSKAKETSSSKIGRVRFCIKKLTADIEEQPIQGWLDEHYQLLKKEACEVAVRLNFIDKLISKGGKSRGVAERKDSFEDGKVHFNGEEIDVEDTSAVQKLQEEIYKQSFRSYYQACQTLVQSQGSGACSEGFQGGFKPSTARSSLFSVSATELDVSLTRIEGGDSGMIEILQKLDPVCRAHSVPFSRLYGSNINLQTGSLVVRIRNYTYPLLAATSGRCEGRVILAQQATCFQPQIHQNVYIGRWRKVRLLRSASGTTPPMKTYSDLPLHFQKAEISYGVGFEPALADISYAFTVAMRRANLSIRNPSPDPPPLKKEKSLPWWDEMRNYIHGNTSLYFSESQWNILASTDPYEKSDKLQIRSGYMELQQSDGRVYCFAKDFKILLSSLESLLKNSNLKCPSGFSSTFIEAPAFSLEVIMEWECDSGNPLNHYLFAFPSEGVPREKVYDPFRSTSLSLRWNLLLRPSLPMHDNQSNLCSVGDQSVLDAAGCGAMKPDSLSVFPTLKLGPHDLAWVLKFWSLNYYPPHKLRSFSRWPRFGIPRFPRSGNLSLDKVMTEFMFRVDATPACVKHMPLDDDDPAKGLTFSMNKLKYELYYGRGKQKYTFESKRDTLDLVYQGLDLHMPKAFINRDDNSSVAKVVNMTRKTSQSASTERSSNDSSSERQRDDGFLLSSDYFTIRRQAPKADPDRLLAWQEAGRRNLEMTYVRSEFENGSESDDHTRSDPSDDDGYNVVIADNCQRIFVYGLKLLWTLENRDAVWSWVGGISKAFESPKPSPSRQYAQRKLLEDSEVIDRTELPQDDNQKSPVSHGASSSSPQHVRPSKAQVEAPSSSEVKVETLPSTSFAKLADIEDNEGEGTRHFMVNVIEPQFNLHSEDANGRFLLAAVSGRVLARSFHSVLSIGYEVIKQALGGGNVPIRESQPEMTWNRMEYSVMLEHVQAHVAPTDVDPGAGLQWLPKIRRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTADLKVKPLKELSFNSHNITATMTSRQFQVMLDVLTNLLFARLPKPRKVSLSYPAGDDEDVEEEADEVVPDGVEEVELARVNLEQKERVQKLIQDDIRKLSLYNDASGDRNSVKEDDLWIITGGRSILVQKLKKELVNAQKSRKAASASLRMALQKAAQLRLMEKEKNKSPSCAMRISLQINKVVWSMLVDGKSFAEAEINDMIYDFDRDYKDVGVAKFTTKYFVVRNCLPNAKSDMLLSAWNAPAEWGKKVMLRVDAKQGAPKDGNYPLELFQVEIYPLKIHLTETMYRMMWEYFFPEEEQDSQRRQEVWKFSTTAGSRRTRKGASIQEAPMSSTHLTKDPQVSTKSSNSALPVTSANQLSSSADFSQMSKLQNLKANIVCGSTPELRRTSSFDRILEEKVAESVADELMLQMHSSSATSSTSGPFAGIEQPDEGNRNRSKESKLIKSGRSSHEEKKVGKAQDEKKSRPRRMREFHNIKISQVELLVTYEGLRFAVSDLRLLMDTFHRVEFTGTWRRLFSRVKKHIIWGVLKSVTGMQGKKFKDKAHSHKETCAPGVPDIDLNLSDSDGGSAGKSEQNPLSWPKRPAEGAGDGFVTSIKGLFNSQRRKAKAFVLRTMRGEAENEITGDWSESEGDFSPFARQLTITKAKKLIRRHTKKFRSRAPKGLSSQQRESLPSSPRETTPFESDSSSESSPYEDFHE >Solyc03g112070.3.1 pep chromosome:SL3.0:3:64080220:64082761:-1 gene:Solyc03g112070.3 transcript:Solyc03g112070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNTFHLSSPQLHDQRGDEQCMSHPGGGKKQQKKQQILSRSTPQHSEHSNPLFSTPAPHQEQPHQAAKPNRRKTL >Solyc05g005120.3.1.1 pep chromosome:SL3.0:5:111607:116777:-1 gene:Solyc05g005120.3 transcript:Solyc05g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEGRHRRPKQRRLRRRTEISREDSMGCLPEELILAILVRVPVKYLLQFKCVSKNWFNLISSPEFVKTHLDFSANDYTRHMSLLQYNALSTEIKYCYVTCLFHEPVIEALDLYCPMKNTPQSVQISGSVNGLVCLSDGFGRLVLWNPSIRKFKNVFGFLPTKMGSAWFKSGFGYDEVHDDYKVVGIFSNMVKAYFEAVIYSLKRDSCTTLEDFKPGVTYCGDAKFVHRKLHWITYRRRGWGISCIDLVEEKWGKLELPSCKEEQELKLGVLQGDLSFLSSNDERNHSDVWVMKEYGVKASWTKLYTIRYPENYKLVTPLFTYSKGKILLAFKSSLAIYDPKNDSITYPTVTNVELTDSITHATYNIDVTSYYSIVEAEICIESLVCPDLPNKY >Solyc02g091880.3.1 pep chromosome:SL3.0:2:53747891:53751067:1 gene:Solyc02g091880.3 transcript:Solyc02g091880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMFRSSIRSALRGRAPRVTPAPKRTFSSHASVEEEAREASKWEKITYVAIISSTILAVVNLSKGHPHSEEPPAYPYLHIRNKEFPWGNYMTRHFLIFSLNNFMFMDSCLEVQMGFLSPSTIETARFLCEGILNNSYPSASIIVTNVVLKAVEFFMRCLPLDLFSISIAFTLAYLHLQYTLASYKVFFKEIRIER >Solyc05g008650.1.1 pep chromosome:SL3.0:5:2952665:2954185:-1 gene:Solyc05g008650.1 transcript:Solyc05g008650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADKESESKVPTTLRIETISQDFSLLITLLSSWRRECADNIIELEHPLSYVMDLSDEISVNSASVFSGWMDKDLLREVTCLFHQVRGMIKIMKIEFSLIHLHNSISNNSMVYWKDADNKSSSHGLFELSVKVKLIKAEINLRKLMKNQLIQVDLVKDKIENLVGDLEFLGNLLLDFPEQYDEHERRNDLISRVNAVAGETDSIVESLCGKRSDEEVTAKINIQLSDVLQKIKLIKILDKEICPKFPKLSKTNVPKTDGLGFLDILNGYFVETLESNSDETFLLKHDIEIVQREVAFLREFHEKFKEQQNEYEELRSLWVQIVGVAYEVEYVVDSYVSDGGGTICYRMLSDVLEEICRIKENVTKFSEYGYECTTHFVGDIVSYNSMSQQTEKPKVNEVVVGFEDVLGKLKGRVIGGTSNLDVISIVGMPGLGKTTVAKKLYLRVQSYQSL >Solyc01g104400.3.1 pep chromosome:SL3.0:1:92669228:92671766:-1 gene:Solyc01g104400.3 transcript:Solyc01g104400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMNMKMVFVLCIVVLIQAEITHAAVYNVGGTGGWTFNTVGWPKGKRFRAGDVLTFNYSSGAHNVVAVNKGGYNSCSTPRGAKVYNKGGDKVKLVKGQNYFICNFPGHCQSGMKISVFAM >Solyc03g113330.3.1 pep chromosome:SL3.0:3:64965890:64969764:-1 gene:Solyc03g113330.3 transcript:Solyc03g113330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDPDYNPSFKAKINTKWVATVASIWIQCTSGSLYTFAIYSSILKSTQGYDQSSLNVVSVFKDVGANVGILSGLLYSSVTTRRRRRFGGPWVVLLAGAIQCFAGYYLMWLTVMGLLPKPPLWVMCLYMLLAAHAMTFFNTANVVTAVHNFPNYRGTIVGIMKGFLGLSGAILIQVYQTIFRNRLTAYLLLLALLPPITTLLLMSFITISPTNEDDEKKHLNGFSLIALVLASYLMAEIIVGNIFSLQLSVRIITFAVLIFLLLSPISVAINVHKEKSYRIIKYLLEQNSPEDEQNRSQAHFVDMGQSHGNYDELPAGADQERDMNERRTPEWGENMNLFQAMCTTGFWFLFVTTACGMGTGLATVNNISQIGGSLGYTILETNTLVSLWSIWNFLGRFGAGYISDYFLRSLGWSRPLFIVVTLASMTVGHAVIASGLPGALYAGSVIVGICYGSQWSLMPTIVSEIFGARHLGTIFNTITVAGPVGSYILSVWVVGYLYDKEASDVGNMCTGTHCFMLSFFIMAASTFFGALVALALFFRTRNFYNNFVQRRGANTITG >Solyc09g075990.3.1 pep chromosome:SL3.0:9:68094453:68099442:-1 gene:Solyc09g075990.3 transcript:Solyc09g075990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKSCISTEPNTSGSEMEANFVAGDRPTMLVTNDDGIDAPGLRALVSLLVSTNRFHVLVCAPDSEKSAVSHSITWRNALSVKQVDISGATAFAVSGTPADCTSLGISKALFPSVPDLVISGVNMGDNCGYHIVYSGTVAGAREAFFNGIPAVSVSYNWIRGKSNVNDFTLAAKACLPIISAILAEIKTKHYPKNCFLNIDVPTDVVNHKGYRLTRQGKSFVKMGWRQVTSDREGGNVLSTMTMETNSSESTHAKALKNAPLDHLLFKRRVTRVLVGNDYCHTPWRLVSSRARCCFILSELAARCC >Solyc01g060470.3.1 pep chromosome:SL3.0:1:70987359:70993985:1 gene:Solyc01g060470.3 transcript:Solyc01g060470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Importin subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4AWF0] MSLRPSDRTEVRRNRYKVAVDADEGRRRREDNLVEIRKSKREESLLKKRREGLQAAQQQQFPANLQTSTVEKKLESLPSMVAGVWSNDNNMQLEATTQFRKLLSIERSPPIEEVIQSGVVPRFVEFLMREDFPQLQFEAAWALTNIASGTSENTRVVIDHGAVPIFVKLLASPSDDVREQAVWALGNVAGDSPRCRDLVLSNGALIPLLSQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALSALQRLVHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLMHPSPSVLIPALRTVGNIVTGDDLQTQCIIEHGALACLLSLLSHSHKKSIKKEACWTISNITAGNKEQIQAVIEAGLISPLVNLLQTAEFDIKKEAAWAISNATSGGSHEQIKFLVSQNCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKANTEGINYYAQLIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEEDETVPAGDGAQNEFNFGGNDIQLPSGGFKFG >Solyc11g056340.1.1.1 pep chromosome:SL3.0:11:45625653:45625844:-1 gene:Solyc11g056340.1 transcript:Solyc11g056340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQAEHNILMHPFHILGLAGVKGGSLFSAMHASLVTSSLIRESTENESANEGYRFGQEEET >Solyc05g023830.1.1.1 pep chromosome:SL3.0:5:29428960:29429160:-1 gene:Solyc05g023830.1 transcript:Solyc05g023830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKTNQVIQQRPIWIKRNDQLTGPITSYKDLFPFNSNAFLLLSNKKNKPAKSRNRPELQSSESSEQ >Solyc00g007305.1.1 pep chromosome:SL3.0:2:32465669:32467113:1 gene:Solyc00g007305.1 transcript:Solyc00g007305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIPWNTIDNVFIPVNVEYKNHWVLAVLSLIDKHIYVYDSYRAAGHKYHVREEIQKLAQLLPMYVSMEIGNGSDDAEDNNIAYDVTYVEDIPQQGSNFLDCGIYLLAFAEYLSKGEGIPVKYLDSKLHRIRYGALLWEYAMKKMKDGVVSDNEAPPRRMRTPARIDNSQLVVID >Solyc06g065100.3.1 pep chromosome:SL3.0:6:40723282:40725428:1 gene:Solyc06g065100.3 transcript:Solyc06g065100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKAHTNKGAWTKEEDQRLINYIRAHGEGCWRSLPKAAGLSRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSVIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPQTHRPLNNNATNSHTTTNITTAVTTKNINLDFTNVDQKQPNIMIATSSSYDETKCNSGTTEETKPLEIIIPKIPSQVMINLELSIGLPLHTDHISSPESTASYNFLTTVAPPPTAAVPAAEMMAKTVCLCWQIGYHGGGGQWCGKCKNTNGFYRYC >Solyc01g057060.1.1 pep chromosome:SL3.0:1:58088262:58088841:1 gene:Solyc01g057060.1 transcript:Solyc01g057060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKAAAFGILQVTGHCLDLYRGTRSVGLEAISRGCSEVHFVEIDPWVVSDVLRPNLEWTGFLDDSVMHTVHVESFLERAEHFLGKSFSS >Solyc04g071190.1.1.1 pep chromosome:SL3.0:4:58212845:58213045:-1 gene:Solyc04g071190.1 transcript:Solyc04g071190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIVPRVTLMWLRVLQFYANQTSISPNLGKDQTITGSEKCTLCFSIYLAIQILRTLLLWISHRWK >Solyc09g037170.2.1 pep chromosome:SL3.0:9:21478986:21479673:-1 gene:Solyc09g037170.2 transcript:Solyc09g037170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMLGNLGQLSLFSAFIASSLSSVTETLCGQAYGKGQYQNFGTSTYSAIPVDKRLLSTDSSFILCGCFPDYGGLLLFSILAAVMICLEWWAFKLIILLSCLFPNLVLQCYDLLYSFGVPESTRISNEIEAWRSQVAKIDLAAVIVL >Solyc03g098280.3.1 pep chromosome:SL3.0:3:62062318:62069468:1 gene:Solyc03g098280.3 transcript:Solyc03g098280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKRRSELPGSGESSGSQETVGGQGRGQYPPQQQQEGGYQGGGQGRGQRPPQQLQQEGGYQGGGRGWRPQQGGYGGRGSGGAPRGGMAPQQPYGGQAEYYQQGRGTQQHQQRGGGPLQQHGGIGGRGAPSGGPSRPPIPELHQATTQTQHQAVMTTQPITCGRPADTSMEVGSSSEPPEMSALQVTQQFQQLAVQPEAAATHTIPPVSSKSLRFPLRPGKGKFGQSCIVKANHFFAELPDKDLHQYDVTITPEVSSRGVNRAVMAQLVLLYQESHLGKRLPAYDGRKSLYTAGPLPFVQKEFKITLTDDEDGPGGARRDREFKVVIKFASRADLHHLGMFLEGRQADAPQEALQVLDIVLRELPTSKYCPVGRSFYSPNLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTSFIEPLLVVDFVAQLLNRDVSSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRIANLTSQATRELTFPVDEKGTLKSVIEYFRETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNEKQITALLKVTCQRPQERERDILETVKHNAYAEDKYAKEFGIKISDKLAQVEARILPPPWLKYHDNGREKDCLPQVGQWNMMNKKMVNGGTVANWICINFSRNVQDTVAHGFCSELAQMCGISGMNFNPNPVLPPVSARPDQVERVLKTRFHDAMTKLQPLSKELDLLVAILPDNNGSLYGDLKRICETDLGVVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLYTTRQDPVKGTVSGGMIKDLLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHRDRNAVDRSGNIIPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDGGSVTSGAAGRGVGAGAAGKNTRAPGAGSAVRPLPALKDNVKRVMFYC >Solyc04g079505.1.1.1 pep chromosome:SL3.0:4:64040986:64041240:-1 gene:Solyc04g079505.1 transcript:Solyc04g079505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTLPFDNNCNELTGIVEPEGPFFVNRIIQKAFIEVNEKGTEAAAVTEGSDDDMGCSTYEAPRFVTNHSFLFMIRECFSMELY >Solyc12g009370.2.1.1 pep chromosome:SL3.0:12:2644873:2645217:1 gene:Solyc12g009370.2 transcript:Solyc12g009370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQFQHEVAMLAKHPNIIRFIGACRKANESCIVTEYTRGGSVCQFLQNQVVPLKLAVKLVLDVEHVHGLNLIHRDLESDNLLIAADKSIKIADFGVARIQVDKTLEAAGRQKS >Solyc08g014390.1.1 pep chromosome:SL3.0:8:4389723:4390706:-1 gene:Solyc08g014390.1 transcript:Solyc08g014390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTYVLLTYFLISLFEYEIQSWKYSIPLFFTTQGFDTFRNRKISTGAGAIREQLADLDLRIIIENSLVWCH >Solyc07g063590.3.1 pep chromosome:SL3.0:7:66157007:66169035:1 gene:Solyc07g063590.3 transcript:Solyc07g063590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVRSSLEEMLDSLRQRDENEKPKDLPPALPARPKLTSRTRPPSQRQPLSKRLSKGDVELENGKKKEELKVLKRNVFGAMKVKGIEDSESPYAMPSVKKNSTGRLREVNGGKVEKWRSEAEWDDRLDYFVKKKLRIWCRLGNGAWVSGHIQSTSGGKAMVLLSDGSVVTVPVGEVLPSNPDVLEGVDDLMQLSYLNEPSVLHNLQHRYARDMIYSKAGPVLIAINPFKDIQLYGNEFVTAYRQKLLSDPHVYSIADSAYDRMMEDEISQSIIISGESGSGKTETAKFAMEYLAMLGGGSNGIEKEVLQTSYILEAFGNAKTSRNNNSSRFGKLVEIHFSPAGRICGAKIQTLILEKSRVVQLLDGERSYHIFYQLCAGAPPTLRDKLKLKGASEYKYLNQSGCLVIHDVDDAEEFCKLMEALNTVRISERDQEHAFQMIASVLWLGNITFQVIDDESRAEVVQSEAVTNAASLIGCTVNDLILALSTCQIRAGKDKIAKSLTVEQATDRRDALAKFIYANLFDWIVDQMNRNLAMDKEQMGRSINILDIYGFESFQGNSFEQFLINYANERLQQHANRHLLKLEQEEYELDGIDWSKVDFEDNQECLNLFEKKPIGLISLLNEESNSLTATDLTFVCKLKQHIKSSPCFKSEREEFCIRHYAGEVTYDATGFLAKNRDVLHPDITQLLSSSDSHLPEDKKLSIPSTDAGVLDFQKQSVATKFKDNLFKLMQQLENTIPHFICCIKPNNKQLPGMSDKDLVIEQLRCCGVLEVVRISRSGYPTRLTHQEFTSRYGFLLPKDSACQDPLSMSVAILHQFGILPELYQVGYTKLYFRSGQIASLEDARNQVLQGTLELQKCFRGHRARRHFHELKGGVIILQSFIRGEIERRLYNTKVMSKAKVAREGSDEQLVAVVQIQSAIRGWLARRGLRKLRNSKMLNVDKRRSGRKTEVKELPREILPSVVEDLERRVAKAEATTEQKEKENAALKEQVNQFETRCLEYEVKMRSMEEMWQKQMTSLQQVSLAAARNSLTAADTTGRPGKLEGSPSPQYYDSDDATSMDTPAGRTPVSFSNNSLGVVANREVNGGLSLISHLAMEFEQRKQNFDNEAMAIVHLKPGQLQSTNNPADEYRRLKHRFEEWKKEYKVRLKETKSKVHKLVHSKAGKSRRKWWGKKSK >Solyc06g005690.2.1.1 pep chromosome:SL3.0:6:753788:755371:-1 gene:Solyc06g005690.2 transcript:Solyc06g005690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIGTKVTDIYTKLVETYAQNGALQLGKALHAHLIINGLAQRTHFASKLIAFYTECKQLSHARKLFDKIPQSDTRRWIVLIGAYARRGFYEEAMCVFHEMQKGGKKPNKFVLPSVLKACGRFNDFRTGEILHGVILKNMFEFDSYVVSALIDMYSKCGRVEKAKRVFNGTVDKDLVALNALVSGCVQQGIVNEALDLVEEMKVQGMKPNVVTYNTLIAGFSQEDDQGMVCKVVELMHDDGLELDVVSWTSIVSGLVQNFHNKEAFDTFKRMLDDGTSPSSATISSILPACATVVDLIRGKEVHGYAVVMGIEKDVYVKSALIDMYAKCGFISEAKHLFSKMCERNTVTWNSMIFGYANHGYCSEAIELFNQMLREEDRKPDHLTFTAALTACSHAGLVQYGESLFKQMQEMYTIKPRLEHFACMVDLLGRAGKLDEAYDLIQRMSIEPDLFVWGALLGACKQHGNMDLAAVAAEKLAKLEPESAGSSVLLSSLYADSSKWINVAKVKRVIKKKKLKKVPGCSWVEVA >Solyc02g033115.1.1 pep chromosome:SL3.0:2:30420355:30425931:-1 gene:Solyc02g033115.1 transcript:Solyc02g033115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWLGPLLKKSFFGECLVHDGLQKNERSKYCITCDSDLCRYCISTNKHNDHDQLKIYRHVSKDLVPLKQMKKHIDCKLIQPYKCNKKWVIALNPLPHCGSGSLIAGDPTCVTCKRRLHDPKRFQFCSIACQVEAKWGKNAETKRKRKRKEIPCRAPLK >Solyc08g007020.2.1 pep chromosome:SL3.0:8:1589870:1592564:1 gene:Solyc08g007020.2 transcript:Solyc08g007020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEFNNNVFSEGGGGNDAEIFPAKSRYRWFFFYPRDKKYSNGSRANRAIRATKAGYWNATGKDGKVVFHEPEVVGYRKTLVFYQGRSPLGDKTDWMDDECPRYEFPNVASWQPNDRIEVTTSSTSPLKFGEVEPSGDLSSFGCVSPYSIH >Solyc05g016340.1.1.1 pep chromosome:SL3.0:5:15608418:15608624:-1 gene:Solyc05g016340.1 transcript:Solyc05g016340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKREQSWSSVAAGFGARFGGGEWWRARGVVSKAIVVVVKVVSGGVFWLCLLENGGNRGLRAGCLPEK >Solyc06g082060.3.1 pep chromosome:SL3.0:6:48045927:48050139:-1 gene:Solyc06g082060.3 transcript:Solyc06g082060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIDMKLFSAICLLLSIKENLDSGSKLRKNGGERGRIQNEEERSPANCFSWSANVIGEGKSSSFSRPLFLTHRA >Solyc10g054410.1.1 pep chromosome:SL3.0:10:55221588:55222239:-1 gene:Solyc10g054410.1 transcript:Solyc10g054410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLEQVMQVLELQMLLLELDVQVQVKVQKKVPCAGPREVPCADPSAGPRAVLSESSRKVPCADKCAGPRAVPSEGPREVPCASPREVSSFTQQSTSRATGQKRKTSTALRGGASLAYKKPRQKQAKTTGYGLLFGSGGSVNESSENIDWVLHSATLTSSTPTNIDLGYKPKGLRWKGRATITQRQLR >Solyc04g008990.3.1 pep chromosome:SL3.0:4:2573415:2578847:-1 gene:Solyc04g008990.3 transcript:Solyc04g008990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSRCNFHCSAHQFATILAASTLTSESSFKNFRIRLRSRNSNSSCLSNKVCDFGVNCVQPKSSDTQSSLLSETLSQSGTDEEPPSERLPILTGGIVALGKFDALHIGHRELAIQAAKRGIPFLLSFVGMAEVLGWEPRAPIVAECDRKRILSSWAPYCGSIMPRELQIDFSKVRYLTPCQFVEKLSKELGVRGVVAGENYRFGYRAAGDASDLVKLCEEYGLEAYIINSVMDTNQISGDLNSKDGKERGQVSSTRVRYALHKGDMKYVSELLGRNHRLILMMEDQERFTSERNRLSAPKSCLLNLAPKEGLYENCSVLIDKSVIPCRVIVDTTYIHLESYEVASFSCVTSQDLKILGIDFGSPKLEGVQIL >Solyc08g082500.2.1 pep chromosome:SL3.0:8:65393559:65397032:-1 gene:Solyc08g082500.2 transcript:Solyc08g082500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGYNYLSAGVDIIFSTGSEVGQHCLFYISIGSNDFIHYYIPNASNVLTVYLPWSFNQFLAQTIKQQIKNLYNDKVRKVVVMGLALIGCAPYYLWLYSSENGECVKNINDMILEFSELNEELADATIIVCDAFEGSVDIIQNYNRYGFNVTDEACCGLGEYKGWIMCVSPEMTCSNASSHIWWDQFHPTDAVNAILADNVWSSLHTPMCYPMNLQDMLAQRTR >Solyc04g057840.1.1 pep chromosome:SL3.0:4:54879600:54880905:-1 gene:Solyc04g057840.1 transcript:Solyc04g057840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVITEEEQQGEDMTNEFFFPLLEDLELKGLPKLRHFFLTKRGLEFPFLRVVRIHDCPEMKTFVQHGSVSTPCLKRANNDNEVKVDDLDKTMFNSEVCCHGLEDLTIHWANSITVICSYQLPTAYFSNLVILEVRNCGKLRNLMSPSVARCALNLRILNIAGCQSTEEVITVEEQQGKEIMTNEPVFPPDWKSCSLEGYVEVKIDDCPGMKTFVRQEISVSNLVLKWVNRDDELKVDDLNKWTQQRFICKASDGDESEVADDNESEASDFGIKS >Solyc09g065383.1.1 pep chromosome:SL3.0:9:63728014:63729161:1 gene:Solyc09g065383.1 transcript:Solyc09g065383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCRVRRIGCSMIFDALPDHHITCDVQFSDLVWSLKKTVEEAVLHIVHNSLLELVHEHLEAH >Solyc10g054850.2.1 pep chromosome:SL3.0:10:55957067:55962279:-1 gene:Solyc10g054850.2 transcript:Solyc10g054850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAPRRPIHAVSTWVKRQPPKVKAFLAVVTGMTVLVLLRAIVHDHDNLFVAAEAVHAIGISVLIYKLTKEKTCAGISLKSQELTALFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIFMIRFKLESSYMEDKDNCSVYYVVIPCAALALLIHPSTSHHLINRIFWAFCVYLEAVSVLPQLRVMQNTQIVEPFTAHYVFALGVARFLSCAHWILQVLDSNGHLLVALGHGLWPSMVLISEVVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Solyc05g006730.3.1 pep chromosome:SL3.0:5:1377092:1382674:1 gene:Solyc05g006730.3 transcript:Solyc05g006730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNLKILGAWPSPYVMRPRIALNIKCLAYDFLEEQFGTKSELLLKSNPIYKKIPVLIHDGKPICESLIIVQYIDENWTNFGHSILPSHPYDRAIARFWAFYIDDKWFPALCGVAAAQDEDAKKAAMETVIEGLVLLEDVFKINSKGKKFFGGDKIGYLDIALGCFLGWLKVNEKLNNVNLLDESRTPSLYQWAKDFCVDSVVKDVMPETDQLLSSKSDLLLISNPVYKKIPVLIHDGKSICESLNIVQYIDEKWTNSGPSILPLDPYDRAIARFWACYIDDKWFPLFRSFAVAQGEDAIKTALEPVFDGLVLLEDAFKNCSKGKKFFGGDKIGYVDIALGCFLGWMRVIEKMNNVTLLDEAKTPGLYNWAEDFCADSSVKDKLVMATNSVKLLGTWACPYVYRVEIALKMKSIEYEFIQERVFNKSELLLKSNPVYKKIPVLFHDEKPICESLVILQYIDEAWLNGPAILPSDPYDRAIARFWAAYIDEKWYPLVADYRNVEGKEAKAAMVEKISEGTLLLEEAFINMSKGKSYFGGDSIGYVDIVFGSLLGWVKVIEIVDELKILDETKTPSLAEWDEKFCSHNVVKDIIPETEKLVEIYHKYVELKKANLS >Solyc12g062940.2.1 pep chromosome:SL3.0:12:36007467:36014488:1 gene:Solyc12g062940.2 transcript:Solyc12g062940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLNRKGSMLIKPNIIALITSSLIVFYIFSSSRLILLHPHQTWIHNLDSSPKLRDFVVDHHNKPKQDEATHHLLAKNTSSEPFPNTHHLPKPKLQLSSSTEKKVEMKKEIFHDKDVFNEEYKEMNRSLKIYVYPHKKDDPFANILLAVDDEPLGNYASESYFKKSLFKSHFITKDPKEADLFYLPFSITNMRNDKRVGVSGIQDFVKEYVDEISEKYPYWNKSGGADHFYVACHSIGRSSMEKAIHVKLNAIQVVCSSSYFLSSYVPHKDASIPQIWPRKGPSPTNAPSRRKKLAFFAGAMNSRLRENLIKTWNNDSEIMVHRGRMKTPYSEALLNSKYCIHAKGFEINTARIGDALYYGCVPVILADHYDLPYADILNWENFSVIVSSLDIQKLKQILHAIDYNHYVKLQNNVMQVKKHFLWNSFSKDFDIFHMVMYELWIRRSHLRHFY >Solyc07g025430.1.1.1 pep chromosome:SL3.0:7:28212392:28212643:1 gene:Solyc07g025430.1 transcript:Solyc07g025430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHSEGWVDVRECIELRAPTRSSSPTPLKHETDDSIQDTPHTVTIKYKQHGKLVLQDQERHRKGVAFICKKENLEFLVRAAS >Solyc08g077920.3.1 pep chromosome:SL3.0:8:61935866:61949405:-1 gene:Solyc08g077920.3 transcript:Solyc08g077920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQIAKRLLRCRTDSVASSVRFFDRTFTSQSNSNLIRATLFPGDGIGPEIAESVRQIFKVAEVPIEWEEHYVGTEIDPRTNSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPDIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVSMLRHLELHDKADRIQDAILKTIAEGKYRTGDLGGTATTTELLRCRPHAVAPSVRFFDRTFTSQSNSDLIRATLFPGDGIGPEIAESVRQIFKVAEVPIEWEEHYVGTDIDPRTNSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDDVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEIKYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSSVSMLRHLELHDKADRIQDAILKTIAEGKYRTGDLGGTATTTEFTNAICDHL >Solyc09g097760.3.1 pep chromosome:SL3.0:9:72285937:72286986:-1 gene:Solyc09g097760.3 transcript:Solyc09g097760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGPKEFIFLGLFVAIFAMISSDVVARELAETSLESDNKNYEHTDGRSRYNGYKPPHDEYNNGYKPPGSGYKPPGGGYKPPHGEYKSPGGGYKPPHGEYKPPGDGHKPPHDEYKPPGGEHKPPHDKYKPSGGEYKPPGGGYNPPHGEYKSPSGGYYHPSGRTHPPGSGGGGHPPHGGYNPPGGDHD >Solyc11g066060.2.1 pep chromosome:SL3.0:11:52034861:52037681:1 gene:Solyc11g066060.2 transcript:Solyc11g066060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQSDIKLWPFKVTPGPGDKPMIVVNYKGEEKQFSAEEISSMVLIKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSSVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAERYKAEDEEHKKKVEAKNALENYAYNMRNTIKDDKISSKLSADDKKKIEDAIDQAISWLDSNQLAEADEFEDKMKELESLCNPIIAKMYQGAGGEAGAPMDDDDAPPAGSTGAGPKIEEVD >Solyc02g078910.3.1 pep chromosome:SL3.0:2:44094018:44096006:-1 gene:Solyc02g078910.3 transcript:Solyc02g078910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endonuclease 1 [Source:UniProtKB/TrEMBL;Acc:G3XKQ7] MLRLTSLSSIFFLCVAFINQHGVEAWSKEGHVMTCRIAQGLLNDEAAHAVKMLLPEYVNGDLSALCVWPDQVRHWYKYKWTSPLHFIDTPDKACNFDYERDCHDQHGVKDMCVAGAIQNFTTQLSHYREGTSDRRYNMTEALLFLSHFMGDIHQPMHVGFTSDAGGNSIDLRWFRHKSNLHHVWDREIILTAAKDYYAKDINLLEEDIEGNFTDGIWSDDLASWRECGNVFSCVNKFATESINIACKWGYKGVEAGETLSDDYFNSRLPIVMKRVAQGGIRLAMLLNNVFGASQQEDSVVAT >Solyc07g045450.1.1.1 pep chromosome:SL3.0:7:58677462:58678472:-1 gene:Solyc07g045450.1 transcript:Solyc07g045450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRCSIPWIAVLVPPYLLFASFSGYGVVSISITTSVLVVSTIAFTFSKQKHKILKKSSVQEEPQNVNFGQVEEVLSLQNPRIEKGVAQNEIFKQVEEVLSVQNPRIENGVAQNDNFKQVELVSSVYNPRIEKGVSQNGNFGQVEQVSSVQNPRIEKGVSQNGNFGQVEQVSSVKNPRIENGVAQNENFKQVEQVISVQNPRIENGVTQIHDLFSESESLGGPLSSSEDSDIEWPFSGELEQSPLCSDGSISDEESLIEIALPSGQFVKDTPKFSFHQQHHQKVVFADLVPQSIFQQHCFMDFLADISDVYEEDNLIEIDISMGSIKCSGFEISA >Solyc08g016565.1.1 pep chromosome:SL3.0:8:7948528:7977408:-1 gene:Solyc08g016565.1 transcript:Solyc08g016565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMTKWKNDEIIFYEELLQTKLGSYGDKERGDIVFLMAIRVIIIFLLHRKIKKNLPLLFLMGPSRSRECHLGYVMHQSHFRDV >Solyc05g054080.3.1 pep chromosome:SL3.0:5:64904922:64912990:1 gene:Solyc05g054080.3 transcript:Solyc05g054080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGVNPKRKLEDYAADEDAVSSELYLVHSAVRMRKDQFVLTSFTDSAPRHHQNNSSDSASSSLQQLPSSSSSSSSSVFTQSTRFPGRLQFFVRLLSRGNTLVLQAESTDSVKSIHEKIQFITGMPITEQRLIYRGKQLQWEQTLAGCDIQNDAGLQLVGRMRSTGHPQAWQLINDLVSQIFDLCKSGNPRPSNRIKTRLIEFLTMTPRNATEKSAEHLQIFLSSCAPAALVMLYMSQAKVNKDTADESIRQFINSSKTVLPNPIYTQCAPIILEFCKLLRASAGVDDSLYGVCRSSLGGIVESVGVLSWESKKTDGKDVMELQDIFPFVRELAAKLSQALESSVGSDMVMGPSSSDVRDFTAFIGPIMNLIGDHVAICSPIAFPLQEEGTSEEESKRFEMLYYRQQIKCLHDIYFDLLEKLELCLKKMEESLALKEKGEGEPLVSGWSQYFAILKEINAISKLYKGSEDEFWNRMRHRKVSLCFLIMRFAKRSEDHRWILEHKEVTNFEVRRYLAMMMLPEVKDEYEELHEMLIDRAQLLSESFEYIAHADPESLRGGLFMEFKSEEATGPGVLREWFFLVCRAIFNPQNALFVACPNDRRRFFPNPASKVDPLHLEYFSFSGRVIALALMHKIQVGIVFDRVFFLQLSGKSISLDDIRDADPFLYSSCRQILEMDPEMVDQDTLGLTFVREVEELGSRKVVELCPNGKSTMVNSKNRKQYVELLIQHRFVTSIAEQVAHFAQGFADIITSVRLQKSFFQSLNLEDLDWMLHGSETAVSVEDWKAHTDYNGYKESDPQISWFWKIVGCMTAEQRKVLLFFWTSIKYLPVEGFGGLASRLYIYKTRESNDRLPSSHTCFFRLCFPPYPSMDAMQDRLHIITQEHVGCSFGTW >Solyc11g010470.2.1 pep chromosome:SL3.0:11:3533403:3537615:-1 gene:Solyc11g010470.2 transcript:Solyc11g010470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPENLTREQCLYLAKLAEQAERYEEMVKFMDKLVIGSGSSELTVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNDEHVVLVKDYRSKVESELSDVCAGILKILDQYLIPSASAGESKVFYLKMKGDYYRYLAEFKVGNERKEAAEDTMLAYKAAQDIAVAELAPTHPIRLGLALNFSVFYYEILNASEKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQEQMDEA >Solyc06g007800.3.1 pep chromosome:SL3.0:6:1738056:1742659:1 gene:Solyc06g007800.3 transcript:Solyc06g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMRKSDSEEQVSSASSSSSDWKERFLFPTLLAGVAGGGAGLVSKHRKVHGLANICATYATNFAIVTACYCGAREFVRASRTGKPDDLLNSAIGGFGSGAILGRLQGGQLGAVRYSVMFAVVGTTVDYATIRVKPALRSYYDSLVNKKDDWLKLPEWSPIQVLDEEALAAKRAREEELYRSVHNLKKES >Solyc06g070970.3.1 pep chromosome:SL3.0:6:43724682:43742160:1 gene:Solyc06g070970.3 transcript:Solyc06g070970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSAKDQSSSVSAKAKQSSSSKLLRYPLRSTSKSKEEKLPLTDSSNSSASARVRPASSVSKSVAALPLSGKEKSAKPPRRLSVPSKSIVSPASRPLGIITKEPKKIGKPISETRAKRSPSNQGKSDTPQSNVSKSSNRKKYDLISSASYWLSQIKLSESAAKHSISLGLFKLALEAGSEPLQRLRDELKSYVQRNALVELEEPVKQLFDSYNILQISEQLQVSETCSHVPSDNDVHSSSSVANTERLQTKVLNKDSTKAAQVKEPTKQQPSKIGSTPRTRNSVNKIAAAAKSISPKTGGRTTKEKLQKPAKPEPNKAKVMKRQGKRSAQGEGPVDACISEKVLEEDKENLDAPQTEVIST >Solyc01g108140.3.1 pep chromosome:SL3.0:1:95394541:95409434:1 gene:Solyc01g108140.3 transcript:Solyc01g108140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEKKLTYEECRLKRIEENKKRWQELKLTMLAEDVHNATNPKPSPMKKAKPTVRQEVDRSAVRRSNRVADKPPPNYKEVPIEPLGRPRSYKRKDLLNRVYAADEDRQYAIGRAEQLQSELDVDFPSFVKPMLQSHVTGGFWLGLPVHFCKTHLPKHDEYITLVDENGDEFQTKYLALKTGLSGGWRGYALDHELIDGDALVFQLVAPTRFKVYIIRVNQVED >Solyc10g083190.2.1 pep chromosome:SL3.0:10:63176164:63180491:1 gene:Solyc10g083190.2 transcript:Solyc10g083190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNQAMVEGLIPKIRKRGCSSSSSASSKVYNYRFKRAILVGKSRNGLGLGLGLGLRGSRSSTPVPSWRATPLRNVVESPKQSLGGGISQPVSARKLAATLWEMNEMPSPRITEEDLEKKKKMMMKKEKIRAGHMSSGSVSGSLPPHLCDPSHSPVSERMDRSGTGSYQKRSSTTSRRPRTTDHNVGMLDSLSSASFMEVATNSFMLVNFIVLNTSLACNLSSYLLLLETRSRAQTPRGSVAGFGSRLKDVSNALTTSKELLKIINRIWAHADQPSSSTSLVSALHTELERARLQVNQLIQDQRSDQNEINYLLKCFAEEKAAWKNKEQQAVEAAIESVANELEVERKLRRRFESLNKKLGKELSDSKASFVKAVQELESEKRAREVMEQVCDELARDIGEDRAEAEEMKRESAKVQEELEQEREMLQLADRLREERAHVKLSEAKNHFEEKNSAIDKLRKQLEGFLGKKKTKGKRNGSLNFRNNEDTASLSKEKDDDGEVENVADCAEDSAESDLHSIELNMDNSNKSYNWAYPSNVVRESKRISVDERRARNSIAGQPRRSTPIQRSISGGVVEYVNQAANLPTSGDGLDRERLHELEKLGQRYSYLDEAQRLKAVKGLKDHLLASSGTGSCKDISSPIRQWEQPWPSRDPCATIQEKSSIIQGMCHKITARRRPKVSEDQDGEIV >Solyc12g017770.1.1.1 pep chromosome:SL3.0:12:7367581:7368024:1 gene:Solyc12g017770.1 transcript:Solyc12g017770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEDVDGDYVLDKIEFEEPKFQNSNFSNEAQDFLGKCLVKNSSTRWTADKLLNHTFLQNSSKVANTSITRNKKIDSMSLMPKPIQKITLKIGRHKFSRQLLDSKPFLDKPIKTITFKISNHKFVRQFPDLKEVENETCGRVLSTDNR >Solyc03g095490.3.1 pep chromosome:SL3.0:3:58043262:58047524:1 gene:Solyc03g095490.3 transcript:Solyc03g095490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQSLLAAIVFLISLLSVFLNVIADLDSDRQALLDFAESVPHIRKLNWNLALPICKSWAGIACNEDGTRVIAIHLPAVGLFGPIPANSIGKLDALKVLSLRANYLNGSVPSDLLSIPSLQSVYLQHNSFSGDIPVSLSPRIGVLDLSFNSFTGEIPPTIKNLTRLSVLNLQFNSLTGEIPSLDTVRLTNLNLSYNMLNGSVPYPLQKFPLTSFVGNSHLCGTPLNSCSSSPSPSPAADNSVIPEKQKAVHSKKLSTGIIAAIVVVVSIVMFLLVLVISFCCLKKKVSHSTSIIKEKVANAGRSEKPEDFGSGVPDAEKNKLVFFEGCSYSFNLEDLLRASAEVLGKGSYGTAYKAVLDEATIVVVKRLREVGVAKKEFEQHMEIVGRAGRHPNIVPLRAYYYSKDEKLLVNEYMPAGSLSAALHDNRSTGRTPLDWDSRLKISQGAAKGIAHIHTEGGVKFTHGNIKSSNVLLTRDLDGCISDFGLTPMMNYISFKYRCAGYRAPEVIETRKGTQKSDVYSFGVLLLEMLTGKSPLPLPGQDEVVDLPRWVRSVVREEWTAEVFDVELLKYQNIEEEMVQMLQIGLACVAKVPDMRPAMGEVVRMIEEIRQPQGETRPSSEDSRSKDSNAQTPE >Solyc07g008170.2.1 pep chromosome:SL3.0:7:2882775:2893760:-1 gene:Solyc07g008170.2 transcript:Solyc07g008170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVEMNEVVSIELPAPNGWLKRFLPKKGGTPKKNEIVFTAPTGEEITTKKQLQQYLKSHPGGPAITEFDWGSGEAPRRSTRITGKAKTAPLAAESVTPTKRNRKSSASKKDVKDKKEQEETEAAKDVDMPEADKQEKDGVSVEAEKHEKDAVAVEAGKHEKDAAAVEAEKVVVQKHDEGKDENKEEMPSTDVGIVKENQDSKMADHTAEQDVQMADHAAEKKDEMHSSAVDVVEKNQAEKMGEGQKAGDGPSKEAEVEKDDKMSDCVAEKKDKTSDVDAVKSDDPTEDGKAEDAPADVSAADKNVGDAFMVKEVPIGKAADEAEATDNGVNTDEINP >Solyc09g072860.2.1 pep chromosome:SL3.0:9:65965814:65974860:-1 gene:Solyc09g072860.2 transcript:Solyc09g072860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWSYPDASLEDLVKMVKGFIDMAILSSGYQSSGRLAHWDSHNIKNALQWALFLQNVMRDLSSSDDYKNSLEELDAALCEINSNPYLPQGLAHISSKELSKASEFMLEHLISVFPLRDEHLKALLNASVEMDYHMHQKADSRSINDIFENLMCSTSKNAIPNEKKFPREESLNSLPNSSPSEQADDSIRCEFSLFTAQALETRQLSVSLISAAETGLNILSSGLRDLYVREDGNALDVKMMQTTNFMTEERPIDSAVWNSWKSRSLSYFLDKRTIRLVSGASLLFSAPKSQWIQVFQRMDISSQLEESLCEIVELGLLACVVDRWTFLIDRLMLTSYEFVTTSRLYNEVHNLVQRGCREETINSKEKGIIEYLEVFLHNRLYLLWELSPVLAAFAIPSWSNLFRKYLTELDSQVTGDFSFTRSDSSTKERRKYSECDVAERIWCLHVCHVGGSDVESS >Solyc05g053100.3.1 pep chromosome:SL3.0:5:64068089:64075891:1 gene:Solyc05g053100.3 transcript:Solyc05g053100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSVLSPSNCSLVKRSHQNQLEKLSFTPLKNLRFCGLRNEILAFEFLKLNRCETQRVCNFRRSKIVVSASVAENGSAPTSFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELKNEHHLKALGIQVSGAGYDRQAVADHANNLASKIRNNLTNSLKALGVDILTGVGTITGPQRVKYGKVGFSGSEVTARDIIIATGSVPFVPRGIEVDGKTVITSDHALKLEFVPEWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEISKLAQRILVNPRKIDYHTGVFATKITPAKDGRPVVIELTDAKTKEVKDTLEVDAALIATGRAPFTNGLGLENINVLTQRGFIPVDERMRVVDADGNLVPHLYCIGDANGKMMLAHAASAQGISVVEQVSGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEISIAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGMHAADLIHEASNAIALGTSVQDIKFAVHAHPTLSEVLDELFKSAKVTSHVHRPLGESVVV >Solyc08g005010.3.1 pep chromosome:SL3.0:8:12368:18767:-1 gene:Solyc08g005010.3 transcript:Solyc08g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPLIARCTNTPSTTSFLGCKVSLCDFPIRNNYRDKRNYNEKFSVVRIKAMAEKSSTGEASSVEIREGENGGVGFTGSTMEVTTFNQSFSDAQLPVWEKIGAVVRLSYGIGIYGAMALAGKFICSISGIDCTGGFSPSLDAIVEGLGYAVPPIMALLFILDDEVVKLSPHARAIRDVEDEELRNFFYGMSPWQFILIVAASSVGEELFYRAAVQGALADIFVRSTDLVSDARGMASLTGVLPPYVPFAQAFAAVMTAALTGSLYYMAASPKDPTYVVAPVLKSRSGREDLKKLFAAWYERRQMKKIYSPLLEAILALYLGFEWIQTNNILAPIITHGIYSAVILGHGLWKIHDHRRRLHHRIQQVKQEGKNSSNL >Solyc03g082390.3.1 pep chromosome:SL3.0:3:53765542:53765980:1 gene:Solyc03g082390.3 transcript:Solyc03g082390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPPKIFNSVKSLQRKGKVLPCFCSQLTIFEFGLCSLINGYHLEYQNPNLGKRVKEEGAVLGTVSDT >Solyc08g067090.3.1 pep chromosome:SL3.0:8:56063376:56072592:1 gene:Solyc08g067090.3 transcript:Solyc08g067090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKPQVFLDVSIDGDPVERMAFELFTDVAPKTAENFRALCTGEKGVSSKTGRPLHYKGTFFHRIVKGSVAQDAGLMVTTAFLGTMGKASMGESFQVEADFDLILCCLGASIHWYWTLFLSDNDESPKIKHDSQGLLSMAIADRDARGSIFSITFQADHHLDRKCVVFGKLVDGLEVLKKIESVGNEEGKPDVTVKIINSGELPDDKRKLNKLKNGKHKKSAKERKKKRRRYYTSESESSTDSDTESSESESDSDSDVSSDSEISSSSDDRRRKRKRSKRDRHRRSKRKEKRREKKRKRRDKKSKRKSKRASDSPSESESGRDGLDEDGVRRASGGKHKSMEKKTEGNHSPSLEEGEAVSLHHKKEATDIFEGEEVEFPKENGERQSNNTKMEIRSDKPVDRQPDVVDDHPGKSRFTLHFSLLLGYSFCLWILSESEFY >Solyc12g017480.2.1 pep chromosome:SL3.0:12:6762650:6764072:1 gene:Solyc12g017480.2 transcript:Solyc12g017480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPESLTARLLNLVELDMHSNQLNSIPNSIGCLSKLKLLNISGNLLLSLPKTIENCRALEELNANFNMLTHLPDTIGFELINLKKLCINSNKIAHLPYSTSHLTNLRVLDARLNCLRSLPDDLENLINLEILNVSQNFQYLSKLPYSVGFLISLHELDVSYNKITELPDSIGCLKKLQKLSVEGNRLVSPPPEVVEQGIRAVKQYLCEKINGMHDKSPKKKSWFGKLARCSTFSGANLPRDDFGVPSNRTIDAIASPRFIAMLSPRRLLSPKTYFSK >Solyc04g005740.1.1.1 pep chromosome:SL3.0:4:477778:478458:1 gene:Solyc04g005740.1 transcript:Solyc04g005740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTEFVCHDCSNNSFVSVEPIVSSSSSSSSLPYLTIHFNFRVLHQCWYIRPNDHEFVHLGGTWDPSTKSTQIIIHLSDIMFYEKLHHAISQLLMDKFEDQQHVIVEETIRKLQGIDITSEMSEVCVDVDLQIDHCCDGRILSALEESSSVDGMVPASESSIELLEPMEADERNSNDECLICLDELGEETDVLRLPCSHMFHAECITKWLQNSHYCPLCRFEMPTD >Solyc05g012610.3.1 pep chromosome:SL3.0:5:5816046:5824568:1 gene:Solyc05g012610.3 transcript:Solyc05g012610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRPVATTTRGGVPTDSGDSVVTLDQVPRWIDSDIRYLYENEDPNSDYADPLSSASGSEGNANGIVSKFPVDHEINSKIYLWRGDPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECATLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTEAKNYPREPAAHVAIRTVRRFLEKQKDKIEAVVFCTTTSSDTEIYKRLLPLYFPRDNHEEEIARLKLPADVGDENGETTTAERKIRIKPLPNSKVSSPRTPQASVDLSVSNLGLSRRSSSYLDAFLDPAFMSLIKDPDQRRKEQWEKTAQAQNNWNCFKMLGYGDLGGPALSAAEEYTLHSRYLAKANSMNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFTLYVVKEFEPVIQKPYSIVYLHSAASLQMQPDLGWMKRLQQILGRKHQRNLHAIYVLHPTFGLKSAIFALQLFVDNVVWKKVVYLDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYQRP >Solyc04g071523.1.1 pep chromosome:SL3.0:4:58595665:58597319:1 gene:Solyc04g071523.1 transcript:Solyc04g071523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGDITIKDKKKMTRDTQISLNVNDEYLGALRTKSYDDFFTKAQLLVNEASSPNSQLCTFSKILLDPSQEIITSILETTIFPTKKYNLKSLLTNYFNISADASKFCGRILKSISQIQSHYGFIDQVLDSMDNFSNFDQLGYLVLELRTFIIHNNPFSDLKKQDFTRINDEYSSVLQCLKSKKKSVVRKIKLIKCVHKTSGVCVTMACGVVVVAALVLAAHTFAAIVMGPVILTLPLKPFKKKIMSFRYLKCGFLRKVGDQLDVATKGAYILNRDFDMISRLVDRLHDEIDHNKEMMQLCLDKREDRFSLEVLKELKKSNIGFKKQVEELEEHVYLCLLTINRARALVIKEVAKSCGDKSQCSAQ >Solyc02g062270.3.1 pep chromosome:SL3.0:2:34414358:34428118:1 gene:Solyc02g062270.3 transcript:Solyc02g062270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRSAEKRSAGINQTPPAESKRTPTSRAKQTRNVGSKSSPAAEEAKASDADGAVQSTPVAKSASGRKTTRRAVKKAVKKSPASSKTTSDNTLVSEDAGTAMLEDPVEEKDAKDSKEDHGNEKDAAGSTECVEEGDTEDPKEDYVTEEAALESKESIKEGDTEDTEEVDVKEEDAEDPKEDPVKEKDLQDPKKDTAKPKGAEDLKEDIVKEKVAEDSEENAIKEKNAEESKEDLVMGEAAEDSKEYHVKEKDAEDLKEGISGEEDAENSKENAMKEKDAELKEDLVMGEAAEDSKEYPIEEEGAESSKEDVAKEKDGEELKEDLVREEDAEDSKEYPMMEKNREDSEESAVMEEDAEDSKEDPAKEEDAEDLKENPVMGEDAEDSKEDPVKEKDAEGLNDAGPTPMYETNEKIEESSNNLVSTAKNVGDSVDQNGNKEEHAGDAQGEPALNTIMSLDEVTVAYDVRLSEKIGHDVRTSENQGPAVTYVKSQEPTIEDMKSSDSQELTTTELKCQEGEGSNKGKEGLELRGEKNDGSTPTGDNVKNEQCAEDRMEEDTDRKMKGKDISLDEAGEDKIEDFADEENGEEFVEDDVPEHCEEAETLEDERAQLNALAKERKKRRELEVFVGGLDRDAVEEDLKRVFQHVGEVVDVRMHRELSTNKNKGYAFVKFATKEQVSRALAEMRNPVIRGKRCGTSPSEDNDTLFLGNICNTWTKEAVKQKLKDYGVEAVENINLVADPKREGLSRGFAFLEFSCHTDAMTAYKRLQRPDVVFGHSERTAKIAFAEPLRDPDPEVMAQVKSVFIDGLPPYWNEERVREKFKGFGEITRITLARNISTAKRKDFGFVDFDSHEAAVSCVEGVNNTELGDGNLKAKVRARLSNPQPKTQAVKGGISGGFRISRGPMGRGLPRGGHTLGRANFPRGRGFHPRGPGHGGRMGFTEHEFGSPYPPFRGRSNFGRGGRWNFSGAHPVTSEGPMFVDRMRHGDRGHTDDAFFRRQQFPIEGINRPSMDRHIDDRFSYDNTGHGLKRPFSMTVPNPDYLGPSRRPRFDHPDSASSLQGDRYRDNVPPSGDHQYARGYYGSDYGRGQHPSFYRGGHPNGRGYGRGYY >Solyc09g018510.3.1 pep chromosome:SL3.0:9:15132150:15137263:1 gene:Solyc09g018510.3 transcript:Solyc09g018510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFASSVLPLSLLKSNSDPYRLFSTKKGFNLGFQKLKTRRLHRTKVVVHFAMFSENLLIGDLIATTLSGGIALSILRFWEETAKRGVFDQKTNRKLVHISIGLVFMLCWPMFSSGQQGAILAAFIPGLNIIKMFLLGLGIWKDDATVKSMSRFGDHRELLKGPLYYALSITCACAIYWRYSPISIGLICNLCAGDGIADIVGRRFGKQKLPYNKNKSFAGSIAMAAAGLLASIGFLHYFSLFGYIQVNSKTVLGFLFMSLAAALVESHPLSSELDDNLTVPLTSVLVGSLVL >Solyc08g029280.1.1 pep chromosome:SL3.0:8:37216326:37217306:1 gene:Solyc08g029280.1 transcript:Solyc08g029280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFKLLLSLLVLAGVITILLTDQNFNATFLILLDGGIPHIIPASLLDLRSSRVFGFLGMDYTTISIGILGFLVWDHHMFTVGLNVDTRTYFTTSTMIIVVSTRIKIFRWIATICGCSIQYKTPMLFVVDIALHDTYYVVTHFHYVLSMGSIFTLYVGFHYCVGKIFGRTYTETLGLLGMPRGIPNYPDAYTGWNAISSFVSYKSVVGICHFFKVVNITSCSGKNKCCAPFPWAVEQNPTTPEWIVQSPPAFSYFWRTLS >Solyc02g089660.2.1.1 pep chromosome:SL3.0:2:52050864:52052280:-1 gene:Solyc02g089660.2 transcript:Solyc02g089660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFERLMVNLKTKLRRSFKMKESYDKIEKSESMRVEIRSKKARKLIEQTLKIADSPKSRSYPF >Solyc02g094520.3.1 pep chromosome:SL3.0:2:55673314:55692054:-1 gene:Solyc02g094520.3 transcript:Solyc02g094520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPCVAELSDPVNDAMVPRRCSARIKKLKSEQEAQRERESQRVRCRSNDDSVLGKKTKVYKKSKLVTPSQAQTQAPNNDVTVATVDNDDVTITNVGAPIDCTDHPVPENSLNPQLSGNGTEKSSHARVTETLRIFNKHYLHFVQEEEIRCGRAQADQKTKKHSKSKEAEDDGKRSSKRPDLKAISKMISEKEVLNRERIGSLPGIDVGHQFFSRAEMVVAGFHNHWLNGIDCVGQSAGKKGEYKGYSLPLAVSIVVSGQYEDDQDNYEEVVYTGQGGNDLLGNKRQIKDQVMERGNLGLKNCMEQSVPVRVTRGHRCVNSYVGKVYTYDGLYKVVNYWAEKGISGFTVYKFRLKRIEGQPVLTTNQVHFTRGCTPNSISEIRGLVCEDISGGLEDIPIPATNLVDDPPAAPSGFTYSRDIVCAKGIKFPSAPTGCNCHGSCLDPRVCSCAKLNGSEFPYVHKDGGRLIEPKAVVFECGPNCGCGPACVNRTSQKGLRYRLEVFRTPNKGWGVRSWDYIPSGATICEYTGLLKKTDQIDPAADNNYVFDIDCLQTMKGLDGRERRLREVSLPGYWHNDSEKMSDGGPEYCIDAVSVGNVARFINHSCQPNLFVQCVLSTHHDIGLARVVLMAADNIPPLQELTYDYGYVLDSVMDREGKVKQMACYCGAADCRKRLF >Solyc02g064790.1.1.1 pep chromosome:SL3.0:2:36467526:36467735:-1 gene:Solyc02g064790.1 transcript:Solyc02g064790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPSCYSPTLFSFKNGWAFPHTVYFNGDYCVMPLPDSYPSLPNGTSKREASLFMTYNVFILVLALLIT >Solyc01g110590.3.1 pep chromosome:SL3.0:1:97138561:97154330:-1 gene:Solyc01g110590.3 transcript:Solyc01g110590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLPQVVLLKQIMKKCSSLIKKYDYDSEDRLPIDVPKGHFAVYVGENRSRYIVPISFLSHPEFQCLLRCAEEEFGFDHDMGITIPCEEFKNSYNDEDHLPIDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRQSEEEFGFDHDMGITIPCEEVVFRWHILG >Solyc03g006480.2.1 pep chromosome:SL3.0:3:1060208:1068953:-1 gene:Solyc03g006480.2 transcript:Solyc03g006480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHNLADLSFPSFCDAKHNILCSELKQLYVAITRTRQRLWICESLDDLSMPMFDYWKMLSLVEVKNVHSVRDTMQTFSTPEEWKSRGIKVNSIILLPLLYSLVHFSFLHLTPVSVLSTSFCSPKVFNVQMQLFWEKNYEMALMCFKQAGEIQWEKRAKAAYNMETAERIRYSDPEKAHIIFLEAAEIFLSIGKFKSAAECFYDLKDYKQAGSIYLDKCGELIKAAECFTLAGRYNKAAEIYAKRNHFTECLSVCIKGKCYDLGLKYIDFWKQNACQRDNVGKSADEIDELRMEFLESCASDSFVHKDRKSMMKFVRFFPSMDLKRKFLMSRKCLDELLLLEEQSGNIAEAIEITDLIGNVLCEADLLGKIGDFDKACSLLLLYVLSYSLWMAGSKGWPLKSFVQMEKILEKAMIFARQGSNFETVCVEIKVLSNESVDWSVLKHNFIASKKCKSFLGEILCCRKILDFHFQYDVTKYVWDDKLSGNLNGSEELIPCSPVSIGTLFHFWNSWKNNVIDVLDSLECLGDVDFGEFKGVGEFCLKYFGVRQKLNGLNVTYVLLHPAAKWVKYIQSSVVRRNKQMVFVDARHFITAVRTHWHTALLVVGLKVVETLASLYELAANSLPLFWQNVCLLNVYEIAKFLTESKYHVLNSTELSIQKVLTLVSTKYFEKIFPFDPRQPMVGRMISLRRTKLSCDLLQECIFQDIGISGTLSYGQIGRMVIVCLATGKLPEELYEEIVGRIPSDVPWRSLIEIFYCTKQRECWEDFDQSGNYVGEESLKFQEVLLSNINLECYELLQKFCEALQDTFSANWKRRDENWTIIGDCFSPVCFLYLLEHFLILVSQYHGMFFVFKSSFVEWLMSEQFESRPTSKNAIKTPVLEELYDSILVMVQQLIFDKACTVEWIARSKINVEMYYKQMVLRLVFILCLLCLNCEKYYDVLFRVLRINDVRKQFPEEIYDILQRGTDNNCVHINDFVEAFQKGGDPLLVVNLGDIVPGVEYSNVASVQLRADCSREDTLSLLIPAETVYSVDQTSTIPAKIPIITPETDQYVQLNWAVFQEISNVLKSSASADSGTSASVSTVNLKEEMNANVSFLTAAINLCSEKKLYVAEDMMQETRNMLQELIQLLSLMNTSTLEKERIEQLLKSLLSKKTKLEVFLNHFIVPKNRIIALENQCAEKLNVSCDQVATMNLLPSGSKRLEV >Solyc12g019315.1.1 pep chromosome:SL3.0:12:9937694:9939863:1 gene:Solyc12g019315.1 transcript:Solyc12g019315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNVKLLPEQGEPLSNPERYRRLVRKLNYLTDQGHEHIIGYTDADWAGSPSDKRSTSEYCVLVGGNLVSWKSKKQNVVARSSAKSEYQAMADNNLLQWLRAENGKFTVKSAYRDLDRPGLTVNSSFVTTASSPPANTSVIRTREMVFTVFTSFGTPLHLLEFLYSLILDGFNEVSSSVSSHPLQ >Solyc03g094050.3.1 pep chromosome:SL3.0:3:57192130:57193592:-1 gene:Solyc03g094050.3 transcript:Solyc03g094050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSNPDTHESCRKVAIKIRMMGANVSYWNLKFVHASLTQLIFHSYLSMVAS >Solyc04g082380.3.1 pep chromosome:SL3.0:4:66147998:66150020:1 gene:Solyc04g082380.3 transcript:Solyc04g082380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMMMRSSRKPPLPTRSPVGIRNRRVLQSTPNLIRTPPDSLKTQLPKRVSSSVEEWELRPEYHTISCELRALSKMVQNKFGNEDVNAADNSDSLNAKRSPLFERGKFYEEYSARRNERLKRKKGGDTVDEKKPVYDLGVRVESSKKKGEPKMFQSARKSVVASTPIAERRETSRYFLRSTASKENRKPPLPISMDKSVGLSERRTTARRVRKI >Solyc12g044530.2.1 pep chromosome:SL3.0:12:60481798:60485951:-1 gene:Solyc12g044530.2 transcript:Solyc12g044530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVQDLLPPSLDSTSQPPSLFDGTTRLYINYQCPYSQRVWITRNVKGLQDMIKLVPIDLQNRPDWYKEKVYPKNKVPSLEHNNKVTGESLVLVKYVDCNFEGPSFLPDDQEKRKFVEELIAYSDTTFVPEVYKSFAKDARTQAGVQFDYLEKALHKFDDGPFFLGQLSQVITIFHFLFLSDNVPSEMVDIIYAPFVERFHVFMPEGFNYDITTGRPKLAKWIEEMNNLDGYKQTKVLEQEKMVGYYKNRFLLKA >Solyc03g051627.1.1 pep chromosome:SL3.0:3:22306783:22308000:-1 gene:Solyc03g051627.1 transcript:Solyc03g051627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNEFKKYYVWAPEHDEELKRTKSLKLPNCFLAQLVSIGKMARASEKGGSLHTGGARAISLEKELGRSVAVEDRHREEERKREEEIAVAKDAENKRYATLQAQLTFLFELGNILPPYPASSDGSENDENDKSDKESEDDEE >Solyc02g032790.2.1 pep chromosome:SL3.0:2:29714796:29716213:-1 gene:Solyc02g032790.2 transcript:Solyc02g032790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EFNTLICSLDYKNYKAEIKSADAQDSFKDGVVNLVTGCLTGKDKLKRKFAQTFFLAPQDKGYFVLNDVFRYVEDNEIDTVSEVLNGTEDVQSEVLTLDPEPTHVVDPLNLDQARSHAEEVQHVEEKANDSLVDRRQIANERVIVVEIGSYFNEDQHLTNTESANSVAQEDAPKKSYDVKQLVAAVAQNAAPGASNPTTTSEIDVPESNDVEEEAEGYSIYVRNLPLDVTVAQLEAEFKTYRPIKQGGVQVRSNRQQRFIFGFVEFEDMSSMNLYGTVDGAHIFKFNKAKSESLLLQQRK >Solyc03g095410.3.1 pep chromosome:SL3.0:3:57929072:57966857:1 gene:Solyc03g095410.3 transcript:Solyc03g095410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETRDGMKADRFSRSASADSDPLVLDIDDFKGAFSFDGLFGNLVNEILPSYQEEESDSAEGHGNGVGSDALPNGNLRAPPDAGKSAQGLSSPLFPEVNALLSLFKNSCKQLVDLRKQVDENLSDLKKEVVVQDSEHRKTLSELEKGVDGLFDSFARLDLRISSVGQTAAKIGDHLQSADAQREVASQTIELIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEDDIGGQAMTISAVGNATASRGLEVAVANLQEYCNELENRLLSRFDLASQKRELSTMRECAKILSQFNRGTSAMQHYVGLCPMFDLEVMNADAELVLGDQGAQPSPSNVARGLSSIFKEITETVRKEAATIAAVFPSPNDVMSILVQRVLEDRVPKLLEKLLLKPSLVSPPAMEEGGLILYLRLLAVAYEKTQELARDLRGVGCGDLDVEGLTESLFLPHKDIYIEYEQASLRQLYKAKMEELRAEGQQSSESSGTIGRSKGASMASSHQQISVTVVTEFVRWNEEAVSRCTLFSSQPAAIAANVRAVFTCLLDQVSIYITEGLERARDSLTEAAALRERFVLPSVSRRVAAAAASAAEAAAAAGESSFRSFMVSVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEGAAYKGLQQCIETVMAEVERVLSTEQKVADYRSPDDSIVPDHRPTQACSKVVAYLSRVLESAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNASGGLRLKRDITEYGEFVRSFNAPSVDEKFEQLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKIAARLSSLWPSSS >Solyc02g084340.2.1 pep chromosome:SL3.0:2:48009326:48012611:1 gene:Solyc02g084340.2 transcript:Solyc02g084340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEEMYVFFPLKPLHSPPAPTLHRRRTNLFIGRNRPLVFKLTISISDVLQLTTKNLRRISYLSISEIQTIIYGICLYSISFLAYSPIWLLLFVGIKGKQESNFRSLIVFLMALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQRKLEELRKQIQEERERSEFRQLQEQAGLVTRQERLEFLYDSGLAVGKGSSSGFESLSKPAEPVTAAVAAADSSSSAKPQASVPGALFEDKSQSSNDAWRKLHSDPLLMIRQREQEALARVKNNPVQMAMIRKSVETMKNKDKMHDEKEKDERRHKHRDKKSKPHHSKSKHLKNSPRQTSDADEYLSEDDSRRKRESRKDKKINDQKASIVRDPQGGMQDLICQGKNPIVTLVSIQGLIYQGMNPIVTLVSIQGLIYQGMNPIVTLMSRQDLIYQGTNPIVTLVSRQDLILQGTSRVITLASLLGSLKKRELPVYGRCRKMLRSMRSKDGKDSRRQMKMMLRKLYMLARLVVGIFWMLLKEVFMVLEREEAPQLRKVFVAEHTIHREQKLQKAMLFGDKMDEKINEVPAEFFHNESLYVIQMYFILITLFYYHTERLLWDQGQIKYAGVHLATNILGVTEIVNLLCAKKMFLRWLAYYLSCEFVLLAFDLINIVS >Solyc01g006990.3.1 pep chromosome:SL3.0:1:1555895:1557716:-1 gene:Solyc01g006990.3 transcript:Solyc01g006990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRIRCFETKETIKIDVESSSCTLQELKNRISQAFPSSSIPNSIHLSLNRKDELQFSEEDMLQSIGITSGDLIFFTQNPNGFSISTETHIPKSKNSDTQIVKESETVKKSDPQIVKEAETVKKSDTQIDQLEPHETETKTQPGGEEMEEEFMEVDDNGDYSDVKFTKSFSVPGFLRKVFTEELKDDDGGREHKLLVVAVHAVFVESGFVLLDPNTLTEVNVSQCLNYWCLGYRKTLFYTLPEVIDHVKGDNCNVIHCIELKFQSLGKFFIAHGSLSGGGKGSTFRVTLNEDQLVPFLNVVWANCGLNEVVDGDFGEKEVFVFWRNVKDGLVLPLLIDLCEKSGFVLPPCFTRLPTELKLKILESLPGVEIAKVSCLSSELRYLCSSDDLWKKKCGEQFGDGEISAGGHWKEKFVKSWESRKRRKVINRRRVVDGLRILGGRHPFPIPWTPHVIGGDYDVFPPQFDNTGNPLGRAPPSPLLHPWSNHAKTVNVICLA >Solyc02g062720.3.1 pep chromosome:SL3.0:2:35016024:35025634:-1 gene:Solyc02g062720.3 transcript:Solyc02g062720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEQTQTPPQPLPTSSDSTLVSNSPVDLPPNPSNPSKIPIRPQKIRKLSSTPSSNGKTPETAVPSASTATSGAITVTKNRRKTAPKSSRVSPQIIKPLSADGEIDNALQHLRSVDPLLVSLIDTLPSPQFELHHSAFLALSKSILYQQLAYKAGTSIYTRFVSLCGGEDAVCPDIVLALSPQQLKQVGISGRKASYLHDLANKYKSGILSDETLVKMDDRSLFAMLSMVKGIGSWSVHMFMIFSLHRPDILPVSDLGVRKGVQLLYGLEELPRPSQMEQLCDKWKPYRSAGAWYMWRLVEGKGTPTIAAAPIDGGNAQALQQFPVEQETQQHQLQLLEPINGIENLGACIWSQ >Solyc01g010160.3.1 pep chromosome:SL3.0:1:4884570:4885380:-1 gene:Solyc01g010160.3 transcript:Solyc01g010160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSNNIFTSREHTLKDTKRINYHNDYLTNLLAAIKEDSCNVKGYFVWSLIDNWEWAAGFSSRFGLYYVDYKDNLKRYPKDSVNWFKNFLEFA >Solyc01g057820.1.1.1 pep chromosome:SL3.0:1:63977457:63977636:-1 gene:Solyc01g057820.1 transcript:Solyc01g057820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHYLAVGIYPKWFTFVQTTRDPHSQKKKYFTIKQESCRKDVESVFRVLQSRFTIIAGS >Solyc02g043950.1.1 pep chromosome:SL3.0:2:408115:408287:1 gene:Solyc02g043950.1 transcript:Solyc02g043950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRTWTVVGVGGSPRVPSSENSGEEDQAKEKEGKSMD >Solyc02g063460.1.1.1 pep chromosome:SL3.0:2:36104373:36105041:1 gene:Solyc02g063460.1 transcript:Solyc02g063460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFLNKISFISITIATLTLLLLYLKTPQTFINPNPKPQQKFPKSTCDFTHRTFTNINKHNRRIWSTKSWIQTVHSFTIQFQSLQAQNFFSNNSRILVVSAGAGHSVMALNNMGVNHVSGIEVVESHPLVRRADPHNLPFLDKVFHFGFSPYLERALFPARYVREMERTVRDGGACVVAVEECGGEEVEEVVKLFKKSKLLAVKNVTLGGERRTSIVMKVVK >Solyc06g066040.2.1 pep chromosome:SL3.0:6:41510165:41516010:-1 gene:Solyc06g066040.2 transcript:Solyc06g066040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLHVEEALDLSSNIKKYESPLIDTVSSRDVLTKFMATMTQGIFSKIYILLYFHPIDVAYFLCLNGSTSLEQRSPDIVNKSRMMNSSMTHPCDPALVPSQKRYLLVEEAPGPSSSIMKYGTPLLLMCFHPIDVAYLLGLNSSASLEQRSPDVVNKSRMMNSSMTHPCDPALVPSQKGSFDILGD >Solyc08g008490.3.1 pep chromosome:SL3.0:8:2872652:2877931:-1 gene:Solyc08g008490.3 transcript:Solyc08g008490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGTYSETEEDSFFDICAETGSLSDLGSDGSDACTRNEISERALGYEFWTQDPESVDERRDRFLKWMGLNSNCDRSDCSDERKTSTDRIRDDNETVLASSDAEGNFFSGRSSQSFESNEALELEEDAVEDPMYWKIRNLDNGSEFVADKLGKDGMLSRLREVGTNKIFTIEEFTRTLGSSSLVQQFLHRDMKGFSMVNTKTKVRSLLQKLTVGTRHKVTKPETGTQRVRVQTSKKESKELSSLYTGQEFLAHEGSIITMKFSPCGQYLASAGKDGTVRIWRVIEDEMSKKFNVQDIDPSCLYFSLNHFSKLASLNDNGEKISGMKMMRKSSESACVVLPPKLFRIMEKPIHEFRGHTSEVLALSWSKNGYLLSSSVDKTARLWQVGHDKCLGVYPHNNYVTCVEFNPMDDNYFISGSIDGKVRLWEVHSCRVIDWTDVKEIVTALCYSPDGKGGVVGYMDGNCRFYDVVDNHLQMGLQVCLQGKKKLTNKRVTGFQYCPNDSSKVMVTSADSQVRILCGSNIICKFKGEQLSDKTFFSRFSETVVSAACPGTKKFDSQCPASFTSDGKHILAVTEDSNVYIWNYSHEDGLTSQPKKVRSSESFFSQNASVAIPWSGFKTNPVTTLPGNVLANADVNENSLPKTSSLQECFSLGRASFLDSLLKSSATWPEEKLPDSNPPSTDWMDALEHSSTTVYLFVSEVLSPPGIFSLV >Solyc04g074220.3.1 pep chromosome:SL3.0:4:60300591:60308693:1 gene:Solyc04g074220.3 transcript:Solyc04g074220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKCFSFTAAKNRCLKSTFSSRGLRSTFTDLKDGTIMHCWVPKSKKPTRPDLVLIHGFGANSMWQWSETVRILSRHFNVYVPDLLFFGDSYTTRPERTESFQAQCVKRVMEENSVTKMSVVGLSYGGFVAYDFAAQFGDCVEKVVICCAGVCLEEKDLKEGLFAVSSVEDAANILLPQTAEQMRELMGYTFVKAPAKVLPSCLLTDFIDEMFTQYVEEKKELLLAIAKDRKLSDLPKITQPTLIVWGDQDKIFPLELGHRLKRFISPTGHLGENAQMVVIKNAGHGFLYEKPRDFHKPLKAFLLGTTKSAPPQDQT >Solyc09g082590.3.1 pep chromosome:SL3.0:9:68752195:68755402:-1 gene:Solyc09g082590.3 transcript:Solyc09g082590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKQGGADIESGNKGGVNGGQIYPGQMDDPQMRWAFIRKVYSIVCTQLFFTAIIATAMFFTPAVKHYMRTILGKVTIIVLVIIAFILILLMGRYGKKHPWNYLLMGIFTLCLACVVGAACALRAGETILIAAGLTVLITVSLTLYTFWAASRGKDFTFLGPFLFSLLFSAYLVYDTNNLIRNFTYDEYVIAAICLFGDIVNLFLALLGISGE >Solyc03g053120.1.1 pep chromosome:SL3.0:3:24311499:24311989:-1 gene:Solyc03g053120.1 transcript:Solyc03g053120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESDVKSSSATTSSASPAYIHPRREPFDRCQLPITKSRLPKLDLSLIPLVVFFTPSLTLLLAKPSEIGSVGVNVFDLVAFLYIKSYKSLGHKDSAVVADVWPSTSAFGWFLYALSPLKVRILFKTLAFS >Solyc01g095710.3.1 pep chromosome:SL3.0:1:86772114:86783251:1 gene:Solyc01g095710.3 transcript:Solyc01g095710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSANMNLDTDNWSQNDQDSNALILPDKKKKKKKEKEQVSKKLKPKNNSIKLSQSQKKKLKKLEEDKEKAVLLAESIKTLKKHQIQDDVYSLMWSSRNLGQGETSREKRRREIQFSRAGLDVPHRDRPVKKRTVDDLSSEVLYDSEEMQLSPIVNGHLLQSSIGEGGVPSDAPITPGSSQELACHSKLLVCDRDASVPSKQKEDRTAECLKSDYLQNHLSVHDCHNEGRRKSTDGAKAVQNAILSNSTNSANCSSERDLTTPVVVHVSRPKEVENNRSNLPIVMMEQEIMEAINDNTCVIVCGETGCGKTTQVPQFLYEAGYGSNHSNACGGIIGVTQPRRVAVLATAKRVAFELGVHLGKEVGFQVRHDRRIGDNCSIKFMTDGILLRELQNDFLLRRYSILILDEAHERSLNTDILIGMLSRIIRERQKEYEEQQKKLLSGQTIIPEERVYPLKLVLMSATLRVEDFISGRKIFRDPPPVIEVPTRQYPVTIHFSKRTEMVDYVGQAYKKILSIHKRLPPGGILVFVTGQREVEYLCQKLRKASKEIVDRASKDHSELSLASEGNTIREKVDREISEAFDVERSSLNEITESFNSYDEDHGESYEDDSDISYDSADDSDLDIYSDDDAGLLNQKSPSSDGKLDVLGEEGSLRSLKAAFEALAGKKMSEPDSGGKELVPITEEGMTSNESEPLLSKVRIGANGTCAGPMCVLPLYAMLPASAQLRVFEEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMEGYEIQFISKASASQRAGRAGRTGPGHCYRLYSSAVFNDMFFDFSNAEILKVPVDGVVLLLKSMHIDKVANFPFPTPPEPTALVEAERCLKVLEALDSNGRLTPLGKAMAQYPMSPRHSRMLLTVIQIMQKMKDYSRANTVLAYAAAAAAALSLSNPFLMEFEGKNKDLDGLKQDEKPGSAETERYLGKEERMRIKKLKETARVSRAKFSNPTSDVLSVAYALQCFELSGQPLEFSKDNTLHFKTMEEMSKLRKQLINLVFNSKLCDSQQNFSWPHGTLEDVECAWRIPSNKCPLQLNEEEILGQAICAGWADRVAKRIKDVSSLSESDMNVHAVRYQACLVKETVFLHRRSSIAKSAPQYLVYTELLHTKRPYIQGATSVKENWLIKYAPSLCSFSAPLSDPKPYYDPLNDQVLCWVSPTFGPHLWKLPLHGLPIADDFLRVAVFASSLLEGKVLPCLKSVQKLLAASPASILKPEALGLKRVGDLLYKMRIKKKGIDSCIKLRKLWDDNPQELFPEILDWFQEGFHEHFEDLWAKMQLEILLDPKRRFSEKVKRKKRKPRASDD >Solyc05g045777.1.1 pep chromosome:SL3.0:5:58427614:58430450:1 gene:Solyc05g045777.1 transcript:Solyc05g045777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVWKKGGHAISKTYEVYIIPMFNSMPLFALLYSIDEVVVYPAITIKFIGHQWWASNLPYEYSDYKSSDEQSLTFDSYTIPQDDIELGQSRFFEVNNRISKEPYIWVVPSLGVKCDAVPGRLNQTSISVQREGVYYEAVPRKDYVSRVSN >Solyc12g077650.2.1.1 pep chromosome:SL3.0:12:17863297:17863539:-1 gene:Solyc12g077650.2 transcript:Solyc12g077650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AFTPKKPNSALRKVAGVRLTSRFEITSYIPGIGHNSQEHSVVLVRGGTVKDLPGVRYHIVRGTLDAVGVKDRQQGRSSAL >Solyc02g071200.3.1 pep chromosome:SL3.0:2:41244090:41254992:1 gene:Solyc02g071200.3 transcript:Solyc02g071200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYDMTGIGVNLRDVPDGNGGSKLKVLGLLLDGPAHNAGVRQGDELISVNGVDVLGKSSFEASSLLLGPNGTSVNIMVKHGNCGPVQSIDVERQSIAKTPVFYRLDQIENGSTSVGYVRLKEFNALARKDLVTAIKRLEGMGASSFVLDLRDNLGGLVQAGIEIAKLFLNEGDTVIYTVGRDPQNTRNIVAEAPPLITAPVIVLVNKSTASASEIVATALHDNCRAVLVGDKTYGKGLIQSVFELPDGSGVVVTIGKYVTPNHMDINGNGVEPDFRNFPGTSIADKHPTKAIRKSKKLAFQEEDIAISPSMYSDQLDVPIINPTTPASSTASTTALQVALDYACGFGGADCSTIQSGGSCYEPNTIKDHASYAFNDYYQKNPAPTSCDFGGTAQLTYTDPSSGNCHYAASKSTPTTPPAQPPPTLPPPSLPPPTPSTTTPVNPYTPGGPNGFGSDPTDYGAEPTGTPSGADNLSSNFQFLFAMASFLVAVTAIQF >Solyc03g051790.3.1 pep chromosome:SL3.0:3:22840224:22846532:1 gene:Solyc03g051790.3 transcript:Solyc03g051790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIERKQGLNTKQAKRAVKTEKKEYKQQEKSTGKTSKAKETDHKASLPRPESSVLVSDPNTGTEPIEVYENVVIDYVDDVYKSEEATQQPKSRKMVDKHGKDKINDRSSDMESEPKEGVEEESDVETINDSVSSQGDPLIAEDENVERALAVKVSRKLAKTEGNNSSQVQRAKSDQKANNSQSKASKSTASKAKAPKKDPSKMTSKSVNDNSKNMKVHPKSLSDSSEEGDEKLVKEVEQADILDGTSVSAQSIGSDDETVNTEDNCEQEHKAVLEQKIGEMESRLEKLEEELREVAALEIALYSVVSEHGSSAHKLHTPARRLSRLYLHACKYWSQDKRATVAKNTVSGLVLVAKSCGNDVARLTFWLSNAVVLRVIISQAFGSSCSSSSLVTTIEPNRRGNKTESKVSSLKWKTHPGSKQSNKNDLLKLFDDWQETRTFTVALERVESWIFSRIVESIWWQTFTPNMQSPTDDPMTSKSVGRLLGPALGDQQQGNFSINLWKHAFQEALKRLCPVRAGIHECGCLPVLARRVIEHCVARLDIAIFNAILRESAHEIPTDPISDPIVDSKVLPIPAGELSFGSGAQLKNSVGNWSRCLTDLFGMDAEDSGKNDEDGSGDDHRKGGNQLEHFYLLNSLSDLLMLPKDMLMDRTIRMEVCPSISLPLVKRILCNFSPDEFCPDPVPGAVLEALNAECIIARRLSGGYSSSSFPYPAAPVVYTPPVAVDVAEKVAEIEGKSHLSRSASAIQRKGYTSDEELEEINSPLACIIDKMASSPASTENGKDKEKEERGSIGSNTRYGLLREVWKAA >Solyc08g081550.3.1 pep chromosome:SL3.0:8:64692698:64693705:-1 gene:Solyc08g081550.3 transcript:Solyc08g081550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECNKDLIHIVYSLSKDLGFPGFRVGIVYSYNDTVVNIARKMSSFGLVSTQTQHLLASMLSDEVFIDKFIAESSERLGERQGMFTKGLAEVGISTLKSNAGLFFWMDLRRLLKEATFDSELELWRIIINEVKLNVSPGCSFHCSEPGWFRVCFANMDDETMRIALKRISYFVLQPKGLNNIAAIKKQCSRRKLQISLSFRRLDHEFMNSPAHSPMNSKEGKKIFPFVVVMSDSTKYLISQLILFLCKLMKENKKDIRYREFLLENEGGCKL >Solyc11g007330.2.1 pep chromosome:SL3.0:11:1705869:1707983:-1 gene:Solyc11g007330.2 transcript:Solyc11g007330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQSVGSSGRTSTSDDNNNNNINDEDQEMTKLALASFQAREEQIERKKMEVKGKVESQLTRAEEETRRLVRVWEELEVLTDPMRKEVAMVRKKIDLINREIKSLGQSCQKKEKEYREVLEAFNEKNNEKTQLTSTLVELVKKSEKLRMGKLEELSKVLNPKR >Solyc03g115060.3.1 pep chromosome:SL3.0:3:66375290:66379842:-1 gene:Solyc03g115060.3 transcript:Solyc03g115060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAMEPKHVTATDGKSGTPPTLAVTGLKVSPRGKTLSSSDSFYNTSPDSTLNDPFGKVNYESDDSTVNANNLPKLGKDNKSQFSDNKNPVSGSSTISSEPLNHEVSPSMSESEGTHCFSRSCSDNSSTTESPPMQVMEHSANESYRIPSSVFARDESANPAEWSVTSHESLFSIHMGTTSFTKDASFWQSDELGELGTPQNFPASPAMCDFSPYQSSHEMELAEVKISRGNEDLKHERSLAVGRVSPKPMESGTNTMSFAFPVLSGNLDKNYSQRMAAPTESSPEQKQPQLESAELHTESSPQSEPKTPTTTEGSGKTQWFPFFSCCSSGS >Solyc02g076800.1.1.1 pep chromosome:SL3.0:2:42480781:42481356:1 gene:Solyc02g076800.1 transcript:Solyc02g076800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIRCCISCILPCGALDVIRIVHANGKVEEISGSVKAAEIMKIYPKHVLKKPSSSYYSSEGGGVCPKIVVVPPDAELKRGKIYFLMPLPTPSSEKNRSKSSTTTTRKKKTRSSSSSSSQGLRHDNGNSNNNNNNINGSSSSSNMMVSNDQYLSEILSEKVSTQRDRRRGRVGVWRPHLESISEAANHEA >Solyc11g013270.2.1 pep chromosome:SL3.0:11:6161979:6180502:-1 gene:Solyc11g013270.2 transcript:Solyc11g013270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKSVNGGCTINNTSPPTSPRNRQNCRRRLRRRGSFSMLHRRYFLLLVSVLYFTGLISCVGPLFSLLQFSGLANGAVYRSHEIFQKLWNDIEADNSSSIELSSVWIYKRKLKEQRPCSFGTTASTKDSSRANLYLIVDANGGLNQQRSSICNAVVIAALLNATLLIPRLEFHNVWRDSSEFGDIYDEDHFMSTLKDYVEVVKELPSEVMEKYDSNISNIQNLRVQAWAPPRYYLEEVYPVLFKQRVVRISPFANRLSMHLPSHLQFLRCFSNYEALKFSPAISELAKKLVKRMIERSSNAGGKYISIHLRFEEDMVAFSCCIYDGGQVEKSEMDVVREKGWGKKFKQKYRVIAPGLNRKNGKCPMTPVEVGMMLRGMGFAKDTPIYLASGKIYQADRYLEPLRKMFPLLQTKETLATKDELAPFEGYSSRLAAVDYLVCLFSEVFVTTQGGNFPHFLIGHRRYLFNGHAKTIKPDKIKLVTLLQNTSTSWIDFKDQMGSMLAESDRKGIMVPRVKKSTRKGSIYSNPLPECRCLWESKRTTNRSNSYLMVDH >Solyc02g061890.1.1.1 pep chromosome:SL3.0:2:33991365:33991595:-1 gene:Solyc02g061890.1 transcript:Solyc02g061890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCVLVSRSVISLVERALSSFPVLTNHDRVGSYYYCSAFVLKHAIFMRETRFHCLSRSSRLQSYTMSRNSEIQCC >Solyc12g042075.1.1 pep chromosome:SL3.0:12:58027473:58028857:-1 gene:Solyc12g042075.1 transcript:Solyc12g042075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLPLGRPESSFTDLLSGFGGQSSSSHGFHSPTGGQTAPASWVKRQALDKETDFSLLAKQWSLVSSGLSLNLMESGLKGADTLYQMRGTSRLNCFNEYPTFPGHRPDNQQGNWLMPPSVLPYIQMSAHSGEIMPKPMASPQPEAMKPKEGNCKLFGIPLVSKCATIDPVMLRKNSPIHSTSNMHFGIHPHQFPIIESDQRSEQSKGSKLPDDGFIVHDQEEQFQTSHPGTRDREGKGLVHSTRSCTKVHKQGTALGRSVDLAKFNNYEELIAELDHIFDFNGELKARNKNWLVVYTDDEGDMMLVGDDPWEFCGMVRKIFIYTKDEVQRMNPGTLNSKGEDNSSVAEGSDAKEVKNLQLHIDSSPEDS >Solyc02g093950.3.1 pep chromosome:SL3.0:2:55261310:55272135:-1 gene:Solyc02g093950.3 transcript:Solyc02g093950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFAHSGGGGGFLAGKQVFPVNYEAEVSRRLLEASHTNDLTLALECIADPFVDVNFVGDVCLKVRKAEVVTHDELPNEVRIIYEEFKTDVTALFLAAHNGNVALVRKLLSTGADVNHKLFRGFPTTSAVREGHLEILEMLVKAGSSQQACEEALLEASCHGHARIVEVLMESDLIRPRIAIHAFFTACCRGYVNVVDTLLKLGVTVNATNRVLLQSSKPSLHTNVDCTALVAAIVCRQVSVVRLLLEAGAKTDGPVQLGAWSWDAASGEEFRVGAGLADSYAITWCAVEYFEASGAILQMLLQRLDSCTTLSGRTLLHHAILCGNAGAVSVLLKCGAYVESPVITTRNIEFRPIHMAARLGFSSVLKCLIEFGCDLDARTDTGDTALMISARFKREECLKVLTRAGADFGLVNVAGESAISIAVSNRWKLSFQGAVLEVIQSGKVPKSSNASVFSPLLFVARSRDLLSLKALVGRGEIDLDSQDDQGFSAVMITAAEGHVEGFRLLVYAGANVKLQNKSGETAITLCALNTNHDRFEKVLLDFALEQDSRNAAGFYALHCAARCGDLDAVKLLTTRGYDVNMSNGDGYTPLMLAAREGHGRTCEFLISCGARCDMKNAMGETALSLARKMLKNEAERVILDELARKLVLTGAQVKKHIKGGKGSPHMKVLTMVEAAGILRWGKSSRRNVVCQEAEVGPSLRFQKMRLRKGDAELPGIFRVITSKNKEVHFVCEGGSEMAALWLVEIMQMESSSSINVVQTYNRSAAIGFAVIFVHYLRLTAESEVDGSFSLRLVPPTLVAAEKEEAKAVLTLFLKKQGLSNALAARAINRSESFIEHLVSRLHSVHKSRYLVGRELTTLEIRDALIPYLEALHEEYGSILVDVVESFPNPPVVEKIEETVEKVPIPVTPASPPSAVLDSKKLKALARVTDIGPTGKLPPHILYLVELGMDIERIRVITRKFPAFAYYSLEGKIKPVVEFLLDLGVPRSQIPTILSKRPQLCGISLSDNLIPTMTFLEELGVDKEQWAKVIYRFPALLTYSRPKLKATVDFLYEMGLSAECVSKVLTRCPNIISYSVEDKLRPATEYFRSMGVDVGVLLYRCPQTFGLSIEANIKPATEFFKDKGFSMTEIATMVSRYGALYTFSFAKLVLKWEFFLTMGYPRTELVKFPQYFGYSLEERIKPRLAIMTDKGVRLLLNQMLSLSEDAFNKALEKKLQKLIDS >Solyc01g057580.1.1 pep chromosome:SL3.0:1:61941015:61944110:1 gene:Solyc01g057580.1 transcript:Solyc01g057580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLVEECLRLDLLLQGPERQENLVTWARPILRDKNRLEELADPRLEGKYPKEDFVRVCKIPEDCVAPEASQRPITGEVVQLLKMVQRVIEYQHTTTINSGARPNLRQSATTFESDVNKHVEAHGIPDFPMPANVPGFHRQHRKHSPHGVPWLRLAPSQPHDYGPIVTTAHAPSSSSLSKPSMKKNGLVPPSAGLAPPQFSPSTLPSCLAQPPFSPHTSTDCFGQDVVLKRRTIEASPNLPSAPAVTVSSHKGRHPSSILIVGIVAGILIITMISTLFICLCGSNHEQKKGSHKEAEKPKCVETVPAQGSFPHPTSTRFLPYEQLKEATNNFALASILDMEALAEFSLQS >Solyc08g029025.1.1 pep chromosome:SL3.0:8:38699411:38701045:1 gene:Solyc08g029025.1 transcript:Solyc08g029025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICSSFPERFLGEASLIALYTINRFPSSVLGNMYPYERLYKVAPNYTLLKVFGSACFVLLQPHEHTKLEPHASSSSESDICPVHESSTTSNFHDQSPIDSSTLDITIDLEVSSSSLLPNNTSSICPEREKNPSSYLRIINVIVPYIIYMSLLHTKEASSDPFRQQAMKEELLAFEKTHTWDLVEPLFDKTRVNCKWIYNTKTPFYVTQEYGIDCEETFAPVARVTSVLAFFSIAAAKQWGLSQMNVKNAFINKDLVKEVYLKNTPRYDCPPNKVRRLHCALYSLKQCPQAWYYTSPFIPKTTKGIVLLILYVDDMIITSDDTVKISEVKNFLSTNFEMKDLGSLNYFMGIEVLISNNGISLYHVKLIWGLSTIPWEFKFSYLIMGFLYIKLSALLICYQKKVIV >Solyc10g012230.1.1.1 pep chromosome:SL3.0:10:4689321:4689833:1 gene:Solyc10g012230.1 transcript:Solyc10g012230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGYQFKSWIFELREILREIKNSHHFLDSWTQFNSVESFIHIFFHQEHFLKLFDPRIWSILLSRNSQGSPSNPYFTIKGVILFVVVVLIYRINNRNMVERKNLYLIGLLPIPMNSIGPRNDTLEESVGSSKINRLIVSLLYLPKGKKISESCFLNPKESTWVLPITKEST >Solyc03g113290.2.1 pep chromosome:SL3.0:3:64956736:64957435:1 gene:Solyc03g113290.2 transcript:Solyc03g113290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPLLLEKHSSLGYHTHPVLYLQTSPIPVLSPCILSSL >Solyc01g103970.3.1 pep chromosome:SL3.0:1:92343954:92348481:1 gene:Solyc01g103970.3 transcript:Solyc01g103970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSMVFPFSAANCILQLWYYRSTLRRCKCPICSCLISKLVPEASLLVQQEKDVVELLKKIRRYNHIYISGAYGIFLKVLALPLLTRRVLRALMDTLMDPDQVRLNYYLMRIFAYPRTILVKFFCEVVNDMENPWNIAYTGNLFLSWIYWGCEFQFIPTGGLGIWRLFDICAIATVAIFYIVGLYHRWVLRRRVRQLAVLPIHPD >Solyc02g085860.3.1 pep chromosome:SL3.0:2:49273289:49279408:1 gene:Solyc02g085860.3 transcript:Solyc02g085860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKTKKATKPSRDGSESNIWSFLTQKGTAASFICITLFAILVRIAVSIHPYSGAGTPPKYGDYEAQRHWMEITLNLPVKEWYRNSTVNDLKYWGLDYPPLTAYQSYIHGLFLRYFDPQSVELYTSRGYESYIGKLLMRWTVLLSDVLIFFPAVMYFIIVYYSGIHEGSKSGRLWHFAMILLNPCLILIDHGHFQYNCISLGLTVAAVSAILSDRDIVGSILFSFALNHKQMSAYFAPAFFGYLFGKCLKRRNPFLEVLKLGLAVLGTFTVLWWPYLYSVEAPFEVLSRLAPFERGIYEDYVANFWCTTSVIVKWKRLFSTQALRILSLVSTVSTCLPSMLLLILAPSRRNFLFGLLSSSLSFYFFSFQVHEKSILLPLLPASFLAIDEPLIFRWLTYFALLSMFPLLRRDELILPYIALYGLFVLLYNAPVQREDTRETRSPFTTLKYFAIACSLLLHIVYLMVTPPRRYPFLFEAVMMLLCFCQFFFVFMYTNMKQWELLKLSSQTVREKKNI >Solyc08g080470.3.1 pep chromosome:SL3.0:8:63883653:63887519:1 gene:Solyc08g080470.3 transcript:Solyc08g080470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFRGLLGLKKQDPSSSSNQNPKPTKKKWSFVKSYREKDSNFVKGTDKESSNYGRVVSNSLQNGAVGCSVDSSKRAIAVAEATAVVAEAAIAAAQAAAAVVKLTNSGRATTATNGGAAAVTTWNGVSLTSSAVGCKRKGVAAGNRENWAAVVIQSHFRAYLSRRALRALKGLVKLQALVRGHIIRRQTADYLRQMQAISRAQSRARAGRSQVSGSPHSSTKSVQFVHDPTTPEKFEHVIRARSLKHDETSVLKRNTSKSNWKVIDSEKARIRPQGSSARTSSIDDEKSDKILEIDTGKPYVTPKQRNLFHSSHLCLNSDQYSYSLTTSKESTAHQTVPSPSSCGNQPLSPLKFNEDLDEACFCTADNSPQFYSASSKGGSSKRGPFTPTKSDGSRSYMSGYSDHPNYMSYTESSKAKVRSMSAPKQRPHYERSSSTKRYSIHGYSESRNNSQKGSFYANFTGKAYPGSGRLDRLGMPVIRADPSGFSGGLRHRY >Solyc02g082520.2.1.1 pep chromosome:SL3.0:2:46756163:46757422:1 gene:Solyc02g082520.2 transcript:Solyc02g082520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFETDEASSSTLKIPGEDVPFLGQNQQLSSPSKTSANIFMSLVGAGVLGLPYTFKKTGWLMGPLLIISIATLSYYCMMLLVYSRRKLESQFEGAKISSFGDLGFAVCGPIGRLVVDVMIVLSQACFSVGYMIFIGNTLVYLFNSSVTEANPRILGFSPKSVYIWSCFPFQLGLNSVPTLTLLAPLSIFAEIVDLGALGVVMVEDVLIYLKNRPSLEMFGGFNVFFYGLGVAVFAFEGMGLVLPLESETRDKNKFGKILGLSVAFVAILYGAFGALGYFASGEETKDIITVIFNRDRLAAWSLHKPLLGFPLMMNPVYEVMERRFCEGRYCLWLIWLMVLTVCFVSLTVPNFADFMSLVGSSVSVVLGFVLPAFFHLIVFKDELGWYSLAIDAAFIVMGTTFAVYGTSSSLAKIISEMA >Solyc08g006710.3.1 pep chromosome:SL3.0:8:1269645:1270816:-1 gene:Solyc08g006710.3 transcript:Solyc08g006710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMVFASSLFSVQSFVNLKTNSNYSHPSCYIPRVKNSYLNLSYKNISHIESSNSLFFKGDNNFSISLPKFPKIASTYSPSLNIIKACNNQNIYHYPAFEMGSKTGFEIRDRYNGMVLLIVNIPMGG >Solyc04g071290.3.1 pep chromosome:SL3.0:4:58307226:58311112:1 gene:Solyc04g071290.3 transcript:Solyc04g071290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKELITHRIQSGAKGRSWQVLMRTLEKDGILGLECLEPFQSVCCGALAGVISASLTMLLDVVKTRSMTQFDSEPSNKVDVAMVTGVLATVRQILKGERCTILDQYLKHKELETLVPAEAYMHPRNIVKGAEFTFSERRWRGGFNVINSLYALVVPASPARDFSSSILTLQDNFFYLGSVAITQTLNDSYLHQIGTAENAHRFLCSANNETINQVLIDWTKVMARSYNVLATTI >Solyc12g055690.2.1 pep chromosome:SL3.0:12:62596035:62596816:1 gene:Solyc12g055690.2 transcript:Solyc12g055690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQFNQMSPQVVHNQETMIFGGEAALFTTIFLFGKIQMRIIEVDKMIHMMGIHQGVGFEFIDGNCEPLGMNFRKGLNGGHGMVDGE >Solyc02g022860.1.1.1 pep chromosome:SL3.0:2:24751993:24753570:1 gene:Solyc02g022860.1 transcript:Solyc02g022860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLDSILLFVLFFLPYIVTSRDVTNLYGLCLSNESDNQPHNNIIHTVWSKNYKSILESSIHNARFNNSNVPKPYYIIVPQNKEQVRGAMICCKKIGFESRIRSGGHDYEGLSFISYKPYCLIDLSSLRKIDIDVEEKTAWVEAGATIGELYYNIGNKSRTLGFPAGTCPSVGVGGHISGGGQGPLMRKHGLAADNVLDATIINVNGTIFDRRGMGEDLFWAIRGGGAASFGVVLSWKLQLVEVPPVVTLFTVARTQEEGATKLVKKWQKLVMEFPKELFLRININPKKDSLGRPSILASFNSLYLGKKSHLRRLMRKKFPELKLKSKDCEEMSWINSTIRIDSYRKDSRIEDLLERNKEKKLTFYKTKSDYVTKPLSEKALQGLWDVFKSTGDGLLILTPHGGKMSEILDDAIPFPHRKGVLYNLQYFALWHHLNLTVEKIKFDWVNGVYDYMGKFVSSPRTAYLNTRDLELGRTLIGNEKYSQAQSWGEMYFKRNFEKLARVKYSVDPTNYFRNEQSIPPLAP >Solyc02g022830.3.1 pep chromosome:SL3.0:2:24583440:24618062:1 gene:Solyc02g022830.3 transcript:Solyc02g022830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLPYRRIVVNNSNNFVMGSKYFLHKPSITLPTIYKSIPVLFKTQRLIFSAFASKPISPNRGTSSFSYRPQRIPPPVSVSGVMLEDPKEDITESDHEKALKQKLSQVGIDIGSCGPGQYNGLLCPMCKGGGSNEKSLSLFITPDGYAATWTCFRAKCGWRGGTRAFADVRTAFADMKRIGKVNKKYRQITEESLGLEPLCDVLLTYFSERMISRETLRRNAVMQQRHGDQVVIAFTYRRDGALVSCKYRNMTKKFWQEADTLKIFYGLDDIKGASDIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSISDKDLPPVEKDTKYQYLWNCKEYLEKTSRIILATDGDPPGQALAEELARRLGRERCWRVTWPKKSTIDHFKDANEVLMCLGPGALREVIEGAELYPIQGLFNFNNYFTEIDAYYHQTIGYELGVPTGWRSLNHLYNVVPGELTIVTGVPNSGKSEWIDALLCNLNYSVGWKFALCSMENRVREHARKLLEKHIKKPFFDVRYGESVERMSAQEFEEGKQWLSDTFFLIRCENDCLPNIDWVLSLAKAAVLRHGVNGLVIDPYNELDHQRPSSQTETEYVSQMLTKIKRFAQHHSCHVWFVAHPRQLHHWVGGPPNLYDISGSAHFINKCDNGIVIHRNRDPSAGPVDQVQVCVRKVRNKVSGTIGDAFLSYDRVTGEFMDIDEHPRKG >Solyc11g020357.1.1 pep chromosome:SL3.0:11:11095622:11098011:1 gene:Solyc11g020357.1 transcript:Solyc11g020357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYHSDFTAEDFFLQVVVNIQKILKTERVPFIVGGSNSYIEKLVEDHEFMFKYKYHSCFIWIDVEQSVLNLRVDKRVDQMASLVDEVRHIFIPDGDFTKGIWRSIRVPEMNRYLRQKANINEDDESKQMILQASISSIKRNTRMMICNQLDKIQRLISEKMLSVHHIIATNVFNEEREIDLDEA >Solyc09g065720.3.1 pep chromosome:SL3.0:9:64239799:64248481:1 gene:Solyc09g065720.3 transcript:Solyc09g065720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKKNPLVFLDVSVDGDAAEKIVIELFADTVPRTAENFRSLCTGEKGVGVSTGKPLHYKGCLFHRVIKGFMAQGGDFSKGNGTGGESIYGGKFPDENFKVAHAEAGLLSMANSGPNTNGSQFFIIFKRTPHLDGKHVVFGKVVKGMDLVKKIEQLGTDSGKPSGLVKIVDCGELSEKKSNDTPKAEKGKNKKSARALSSDDDSDSQEKGKSKSSTNRRKKKKRRYSSSDSYSSETDTDSSSDSDSDSKLDSYSSSSSGDERRKKRKRSTKKERSRHGKKKGRKSTSRRVDRNKRSRRKWSSESSSDTDSQSMSSSSSGDSSDDENDRPNNSARKGLVKKLSNPVKGQAVLKQQQNLEEGEVSKNAKLPNNGHGGDVQNDRTLSTNHQSDNSSRSRSTTPSPKGKPRPSGRSSRSMSPEKVPGRLGQNGASLPIESKERSLSKSPPPKATQPSRSSPVRDLSRNHSPDGTSKRVRKGRGFTDRYSFARRYRTPSPERSSYRPYYQGGRNFQGNRDRYSSYRSYSGRSPQVQYRRSPRGRSPPRYQRRSRSRSVSRSPRRESRRCRSPSRSPSPREKRQPISDRLKSRLGPRVDDQHSIPTRRSASRSRSRDSTTPRSPSAAPRKHSRKVGSASPNSSRSTSPPRPRGLVSYEDISPCGTN >Solyc01g110290.3.1 pep chromosome:SL3.0:1:96932903:96941098:1 gene:Solyc01g110290.3 transcript:Solyc01g110290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLRAILKNPDDLYPLIKLKLAARHAEKQIPPEPHWGFCYLMLQKVSRSFALVIQQLPVELRDAVCIFYLVLRALDTVEDDTSIPTDVKVPILISFHQHVYDREWHFACGTKEYKVLMDQFHHVSTAFLELGKLYQQAIEDITMRMGAGMAKFICKEVETTDDYDEYCHYVAGLVGLGLSKLFHASGKEDLASDSLSNSMGLFLQKTNIIRDYLEDINEVPKCRMFWPREIWSKYVNKLEDLKYEENSVKAVQCLNDMVTNALSHVEDCLTYMFNLHDPAIFRFCAIPQVMAIGTLAMCYDNIEVFRGVVKMRRGLTAKVIDRTKTMADVYGAFFDFSCMLKSKVNNNDPNATKTLKRLDAILKTCRDSGTLNKRKSYIIRNEPNYSPVLIVVIFIILAIILAQLSGSRS >Solyc03g112330.3.1 pep chromosome:SL3.0:3:64243645:64248787:1 gene:Solyc03g112330.3 transcript:Solyc03g112330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWEIEEIGEDTKSEVIGNNKDGGVINDVYVAVGKNDMYVLQWALDHAISPGIRVCLVHIFPPITYIPSPVGKLSSSQLTREQVQAYINEESNRRKNLLEKYIRLCNDAKVSVDTVLVESKSPGKALLDLISVVNVTSLVIGTKRSLSTIRVMKGHGIGEYVQKNAPASCQVNVVGEEGKKIKKGEAPSQPEIAKQSNRNLFEWMCFSPKFHGEVGRK >Solyc11g070010.2.1 pep chromosome:SL3.0:11:54878490:54879772:1 gene:Solyc11g070010.2 transcript:Solyc11g070010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTHSIEEVQKDEISIFWDKKPLSPHAILFIPVLAHKLVQIQANIISKCFLDIEKNINDKLAVNVAALNELPQHSGSVAEALATFMRIQSLAIESLKKIFLGVESNEYIGDFEKQCAEKWSKLIDLEFKQFSAELSSTYPDEKVDNLFMEFMFLDEAQKTGSPDFLDVLQKKFPGIQHFIKRAVQNFIARRKDKSVNGIREILGMDRLTDITSSTDYVATYRSLIAQKRKFVKYCQYSKGSKINIDGFGVIDVWFIRRQPKDLVQQAFELKMTMAAYWKTVFSPQKLDDHEIEMEIVNDRMATSHVRFFAYWKFTLGLEKFVDEINDEVVGDLIASHGGGHESSLQESLSAEMCFRLKKSVKLLEELKEMVVKIMEYSLGSSC >Solyc11g039540.1.1 pep chromosome:SL3.0:11:44055262:44055787:-1 gene:Solyc11g039540.1 transcript:Solyc11g039540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWNAGMYVLLLNLYVASGRWCDVIGDTIHPDSTRIYAFFEELELLMKQEGYVSATKLAMHDVDVEEKAHMLKYHSEKLAVAFAILNVPSRRPIRVMKNLRVYGDCHTTIKLISKIVGRLIIVRDSNRFYHFREGVCTCGDYW >Solyc02g088520.3.1 pep chromosome:SL3.0:2:51228133:51228626:1 gene:Solyc02g088520.3 transcript:Solyc02g088520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDHEASSSRNCFQESNEVAAETVGWAVSKVTLQLREANMAARRRNGIKCPIPALGSRAI >Solyc06g082100.3.1 pep chromosome:SL3.0:6:48076319:48085313:1 gene:Solyc06g082100.3 transcript:Solyc06g082100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPSGEPESLYGKIDPKSFGDRAYKGRPPELDEKLQKARKKKEREPLVSEPTRQSKKRRLQEESVLTSSEEGVYQPKTKETRAAYEAMLSLIQQQLGGQPLNIVSGAADEMLAVLKNDNFKNPEKKKEIEKLLNPISNQVFDQLVSIGRLITDYQDGGDASVSAAADGDDGLDDDVGVAVEFEENEEEEEESDLDVVPDDEEEDDDVMEASASGAMQMGSGIDDDEMREADEGMTLNVQDIDAYWLQRKISQAYEQQIDPQQSQKLAEEVLKILAEGDDREVETKLLVHLQFDKFSLIKYLLRNRLKVVWCTRLARAEDQENRKKIEEEMLGLGQDHVAILEQLHATRATAKERQKNLEKSIREEARRLKDESGVDGDGERKALVDRDLDNGWLMGQRQFLDLDSLAFQQGGLLMANKKCELPVGSYRNHKKGYEEVHVPALKPRPLDPGEELVKISSIPEWAQPAFSGMTQLNRVQSKVYETALFSPENILLCAPTGAGKTNVAMLTILQQIALNRNEDDGTFNHNNYKIVYVAPMKALVAEVVGNLSKRLEHYGVTVKELSGDQTLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIVDEIHLLHDNRGPVLESIIARTIRQIETTKEHIRLVGLSATLPNYEDVAVFLRVDLKKGLFHFDNSYRPVPLAQQYIGITVKKPLQRFQLMNDVCYEKVISIAGKHQVLIFVHSRKETSKTARAIRDTALANDTLGKFLKEDSLTRELLQSQTELVKSNDLKDLLPYGFAIHHAGMVRTDRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDTYGEGIILTGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVLNAKEACKWLLYTYLYVRMVRNPTLYGLPADALKTDYALEERRADLVHSAAVLLDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISRLKLEGLSLSSDMVYITQSAARLMRALFEIVLKRGWAQLAEKALKWCKMISKRMWSVQTPLRQFHGIPNEILMKLEKKDLAWERYYDLSSQELGELIRFPKMGRTLHKFIHQFPKLNLAAHVQPITRSVLRVELTITPDFQWEDKVHGYVESFWIIVEDNDGEYILHHEYFMLKKQYIDEDHTLNFTVPIYEPLPPQYFIRVVSDKWLGSLTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPAYEALYQDFKHFNPVQTQVFTVLYNSDDNVLVAAPTGSGKTICAEFAILRNHQKGPDSTIRAVYIAPLEALAKERFNDWKTKFGDHLGMRVVELTGETASDLKLLEKGQLIISTPEKWDALSRRWKQRKHVQQVSLFIIDELHLIGGQGGPILEVIVSRMRYISSQVENKIRIVALSTSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTAIVQHARKGKPALVYVPTRKHARLTAVDLMTYSSMDSEDTPIFLLRSAEELEPFVERINEPMLQETLKYGVGYLHEGLSATDQDIVKTLFETGWIQVCVMNGTMCWGVPLSAHLVVVMGTQYYDGRENAHTDYPVTDLLQMMGHASRPLVDSSGKCVILCHAPRKDYYKKFLYEAFPVESHLQHYLHDNLNAEVVVGVIQNKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDQLSELVENTISDLEASKCVTVEDEFLLSPLNLGMIASYYYISYTTIERFSSSVTSKTKLKGLLEILASASEFEQLPIRPGEEELIRRLINHLRFSFENPKYTDPHVKANALLQAHFSRQMVGGNLASDQQEVLLSATRLLQAMVDVISSNGWLSLALLTMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPGRSIETVFDLVEMEDNERRELLQMSDLQLLDIARFCNRFPNIDLTYHVVDSDNVSAGDDVSVQVTLERDLEGRTEVGPVFAPRYPKTKEEGWWLVVGDTKSNQLLAIKRVTLQRKSKVKLDFAAPAEAGMRNYTLYFMCDSYLGCDQEYNFTLDVKEAMAEDDS >Solyc07g006000.3.1 pep chromosome:SL3.0:7:847777:852028:-1 gene:Solyc07g006000.3 transcript:Solyc07g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L35 [Source:UniProtKB/TrEMBL;Acc:K4CB71] MTLTMASSSSTSLFSTVLNFSPRKSTGFTCRTSVNIPSIAKKTSLSLSSSSNISSFAPIVFEKVSVAATPTNGNSKTLTVECHKGYKMKTHKASAKRFKVSGSGKIMRRRAGKQHLLRKKNTKRKNRLSKTVQVDRSDYNNVIGALPYLKVNRAK >Solyc06g016720.2.1.1 pep chromosome:SL3.0:6:12967817:12968185:-1 gene:Solyc06g016720.2 transcript:Solyc06g016720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLTTSSVTFNHRRWAAHKVLRRRAWNASMDLGKHTRSGWHAIIALGQHKRSDEVMHGMPSRSLTTHMVGLLGAWHAIVTFEHPKRMNNVESGMPSLSLCSTHGQMTPGMTCHHHPWIAHTIG >Solyc01g098390.3.1 pep chromosome:SL3.0:1:88792147:88794981:1 gene:Solyc01g098390.3 transcript:Solyc01g098390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNNEAVANESKSESKRVVPLNTWILISNFKLSYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGVFSFDVLIDREIGLLSRVYRPSFEDGASPNMAELEKPVTADVVPVIIFFHGGSFAHSSFNSAIYDTLCRRLVGICKAVVVSVNYRRAPENRYPCAYNDGWTVLEWVNSREWLRSKKDSKAHIYLAGDSSGGNIVHNVAFRAVESNIEVLGNILLNPMFGGQERTESEKRLDGKYFVTLQDRDWYWRAYLPEDSDRDHPACNPFGPNGINLKGVKFPKNLVVVAGLDLVQDWQLAYADGLKKAGQEVNLIYLEKATIGFYLLPNNEHFYTVMDEISSFVSSDSQ >Solyc09g014790.3.1 pep chromosome:SL3.0:9:6942917:6947931:-1 gene:Solyc09g014790.3 transcript:Solyc09g014790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRCRQSCEKEVAAQEPQSSATRFNTYGDNGEELW >Solyc02g082350.3.1 pep chromosome:SL3.0:2:46602116:46606477:1 gene:Solyc02g082350.3 transcript:Solyc02g082350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLGMSIDEIVQEIMRIHGSLPTRPSIDEVEAARALIGNLEKEDQLKIEAIMRQNKRKGVPEELFKILQEMQRNALLFQSKEQKREALKLLDLENIHSVFDDLIQRATKCLPSKSHDNDPSSSSSSIEPNDLSLANQVSFSGNSNRRLESPATTTAAASSSSFYNSKDSIKASELYSRDDSYLNKAKATFLVDGIGVGLRSGDASSGPKIVDSTLKPSAISGQDGEKLSLIKLASMIEVSSKKGSRELMLRNKLSDQVEWLPDSIGKLSSLITLDLSENRITVLPTTIGGLLSLQKLDLHSNKIVELPDCIGDLLNLVYLDLSGNNLKLLPASFARLAHLQELDLSSNMLSVLPETIGSLVSLKKLIVETNDLEELPHTIGQCTSLKELRVDYNHLKALPEAVGRLESLEILTARYNNIRLLPTTMSSLTSLKELNVSFNEIESVPESLCFATSLVKLNISNNFADLRSLPRSIGNLELLEELDMSNNQIRVLPDSFRMLSSLRVLKTDGNPLEVPPGSVLEKGAQVVVQYMSDLVANRDVKTQPAKKKKKSWTHICCFSSSNKRQRNGSMDYVNA >Solyc09g092320.3.1 pep chromosome:SL3.0:9:71924766:71928359:1 gene:Solyc09g092320.3 transcript:Solyc09g092320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYNKLGGLLRQSISTSGNTLNAQSSAPSMLNAIRCMSTKLFVGGLSFGTDDQSLREAFTSFGVVVEAKVIMDRDSGKSKGFGFVNFTEGQSAQDAMSAMDGQDLNGRNIRVSLAQERAPRSGGFRSGGGGFGGGYGGSRQNDAY >Solyc09g009950.3.1.1 pep chromosome:SL3.0:9:3409852:3411783:1 gene:Solyc09g009950.3 transcript:Solyc09g009950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEHLHLHKNTKFLSLFHTIKSTIFTKASSFTTNAATPWNTHLRNLSKHGQYKEALVLYRQMLQSGATPNAFTFPFALKSSASLSLPITGKQLHCHVIKLGCEYEPFVQTALMSMYCRCKLTEFAQKVFDEMPQRNITVCYNALISGYVQNGNFLNGFLLFDEMRLRGVLFNAVTVLGLVPGCTASRYLWLGMSLHCLNVKCGLVNDLAVANCLLTMYVRCACMELARKLFDHIPEKGLITWNAMISGYAQNGLAGEVLELYHEMELLQVNPDAVTFVGVLSACANLGAQKIGFEVEEKIRSSCMRWNVFLKNALINMYARCGNLAKARIVFDEMPEKSLVSWTAIIGGYGIHGLGNIAVELFDKMIESGIQPDGTVFVSVLSACSHAGLTAKGLNYLDLMKREYGLKPCSEHYSCVVDLLGRAGRLEEARKLIELMEDKPDGAVWGALLGACKIHKNVELAELAFNKVVELEPTNVGYYVLLSNIYTEANNSEGILRVRLMMRERKLKKDPGYSYFECKGKTYLFVAGDRSHPQTKEIYKLLNRLENTEGEYAGANENGQEVTNQEPSNIIGVHSERLAIAFALLNTGIGTDIVVIKNLRICNDCHSFVKRVSKTVDRLFVVRDATRFHHFRNGTCSCNDYW >Solyc09g090320.2.1 pep chromosome:SL3.0:9:70310245:70315596:-1 gene:Solyc09g090320.2 transcript:Solyc09g090320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPSSKNHATPTPSSKLHQTHVNSRTPQSKHRLNFNSAKPSPNPNSTAMKEGGTPPEHPVEVIGRIRDYPDKKEKSLSALHVNSDCRSLRVRTDIGYRDFSLDGVSLSEEEDLDEFYKKFVESRIDGVKLGDKCTIMMYGPTGAGKSHTMFGSVKQPGIVYRSLKDILGDGNEESDENSEKKVGVGTFVHVTVLEIYNEEIYDLLSTTNGGGGFAFGWSKACASKVKLEVIGKKAKNATFISGTEAIKISKEIQKVEKRRIVKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIEQAGQTGLEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTISTLEYGAKAKCIVRGPHTPLKEKGTEDSSSTVILGSRIAVMDQFIYKLQMENKLKEKERNEAQKELMKKEEEMATLRAKLELVQGKGTELTEEQINLKVNERTQMLKNELEKKIQECQKMANEIVEMEWRKMEERMFQQQQEFETLRRRLEDMEAELHRSRAESGSMEENTFAKRLQEIYSEDAGMVKSMDLDRSIDMDAGKRDVLVYKPEGNTFQAISGYPNISNLGEVEDPLFTNKSSLSTVFEEDEEGDDEGDTENPPVDEEVQKEVIEEKTIYSDLLDQDMSCLRTDPDHFIDLLKDSDVCMGAAPSRQALIQNIFTLCGNYRELSQHSVSPVPAQKNLEDIDSSVPTVKTIGEDYASACAAEGLSNELLPLCNPETIKKSPVNQTRMFKSSCDKENDSKENFNPTCGNLEVHVKWEAASKENPSVATTVKVGKDSSLADLRKQIELHLGADNPFTFLTIGDPSGAPMPKEQEVVTSVSKLPKCNNFSCGHLAYLRPVKGTQRFNHLPFTPLENMLPLTPKSHIKEVGTGLSPKIGEHLSRTPFVTVKRYLNEF >Solyc10g012253.1.1 pep chromosome:SL3.0:10:4780604:4781624:1 gene:Solyc10g012253.1 transcript:Solyc10g012253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMLLSTPPLIPLPTLALKLKTSLITPISSTRLLCKFNDFDMTSQLEILKPERKKPDKGVNGIFWILLLNLGIYVADHVFQIRVVRALYLYHNRPTWYQFVTATFCHFNWNHLSSNLFFLKLVEEEKGNFKLWLSYILTGAGANLVSWLILPRNVVSVGASAVLFGLFAISVLVKMSWDWRKILEELILG >Solyc12g015850.1.1 pep chromosome:SL3.0:12:5829805:5832260:1 gene:Solyc12g015850.1 transcript:Solyc12g015850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLLDYLFGNGGRRFIKRKDSDAGEAGRALEELRGSLYNELRTSEGAKRQQQRFCGPVMAMTFNFMVAVGIILGNKLVMGRVGFKFPIFLTLIHYCCSWILLAIFKALSLLPAAPPARTTPFSSLFSLGVVMAFASGLANASLSHNSVGFYQMAKIAVTPTIVIAEFFLFKKKVSFHKVLALAVVSVGVAVATVTDLEFNFFGACIALAWIVPSGVNKILWSNLQQQTNWTALALMWRTTPVTIFFLVPLSPLLDPPGVLSFKWDINNTSAILISALLGFLLQWSGALALGATSATSHVVLGQFKTCVILLGGYFLFSSDPGWTSICGAVTALGGMTVYTSLSLKESREKASDQLPKHSLPPQKLKSTEDDDNSRYTPPDQTPAVV >Solyc01g112040.2.1.1 pep chromosome:SL3.0:1:98077043:98077854:1 gene:Solyc01g112040.2 transcript:Solyc01g112040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRDTTPTSLICNYHKSAANLYMNMACRSTYISNNHQNTKPNSSSRSTEFSVWLNLVGRWLPNLPQGLAGQHVGCTSSLNGVNGANNHHAT >Solyc04g064500.3.1 pep chromosome:SL3.0:4:55660060:55680362:1 gene:Solyc04g064500.3 transcript:Solyc04g064500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVDKEQSDCNLPELSPPSMEKPAPEPAPEPSQQPPRESTAPTPSMTQIKQPPSLTQVKQPPSLTQVKQPPSLTQVKQPPSIDPSALFSGGGISFLTGNKNAKFSYGYATFKGKRASMEDYYETSISEVDGQMVAFFGVFDGHGGSRTAEYLKNNLFKNLSSHPDFIKDTKSAIVEAFKQTDVDYLNEEKGQQKDAGSTASTAVLLGDRLLVANVGDSRVVACRDGSAIPLSIDHKPDRSDERERIEQAGGFIIWAGTWRVGGVLAVSRAFGDKMLKPYVVADPEIQEEEIDGVQFLIVASDGLWNVLSNEEAVTIVQDIKDAEAASRKLIEEAYSRGSSDNITCVVVRFEST >Solyc06g005750.3.1 pep chromosome:SL3.0:6:785145:789815:-1 gene:Solyc06g005750.3 transcript:Solyc06g005750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMIESAWAFLLANFSDFQLTSLGGFIVHESAFFLSGIPFILFEKAGWFGKYKIQKKTNTPEAQQKCITRLLIFHFCVNLPILIVTYPLFKFMGMRSTLPLPSWKVVSTQVLFYFILEDFVFYWGHRVLHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATVIGPAITGPHLITLYLWISLRILETVEAHSGYHFPWSPSNFLPLYGGSDFHDYHHRLLYTKSGNYSSTFVYMDWLFGTDKGYRKLKMLKEQEGKAM >Solyc07g065920.3.1 pep chromosome:SL3.0:7:67631977:67634976:1 gene:Solyc07g065920.3 transcript:Solyc07g065920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPILPLEATGSNTDITLGDGDFSFSLCLAQFFGSASNIVASSLQLYDEVIKMYKNGQSNLEKLKSLGGTVLHGVDATEIQLHTDLSNQKFDRIIYNFPHAGFYGSEDRDHVIQMHKNLVGSFFGSAKKMLRVDGQIHVTHKIAPPYDRWDLVGLGWRNSLICIECADFKIENYPGYNNKRGAGSKCDEPFHLGECNTFKFIFNPSLKNVPRTKHKKRFLHAPSQNLQNAPNSISPPFYSFQQPLSWTDSRNSPTCVINCMHRFPSYAYQNFQKVPNSPIYSFQHQQSWIDSRNSPTCVINGINSSPNYAYQNFQKVPNSISPPIYSFRPSYVNDVNDFPSHVGLPARHDSRSELFRIFKKYFTYIQETFGRVDTNVEDSVCRALHHGAVMFRDETGRPPGDYLETLEELHCWCRSRILNLQQRFIEG >Solyc09g007780.1.1.1 pep chromosome:SL3.0:9:1307505:1307693:-1 gene:Solyc09g007780.1 transcript:Solyc09g007780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRETRNVRSWMEVAPHDLIINNRRKSSNTPGLETIREEINEGCDDETQQHQIFSLQLQRRN >Solyc09g089830.3.1 pep chromosome:SL3.0:9:69926589:69951944:1 gene:Solyc09g089830.3 transcript:Solyc09g089830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNPPSPEEVPTACSETLMEFSDHIMKLGKSMFELLSEGLGLNTSHLNDIGCAEGLVVLGHYYPECPQPELTMGTSKHSDNSFITVLLQDHIGGLQVLHQNHWVDVPPTPGTIVVNIGDLLQASILISNHKYISVEHRVLTNKLSSRISVACFFGTGPVPSSNLYGPITELLSKDNPPKYRATTVNDYSAYYRKKGLDGTSALNSIVYNIVTKKMVVSSTDEFQATVQKSYDKMSELKAFDDTKAGVKGLVDAGITKVPQIFILPPNNRTESLDTSEKQFIFPVIDFEGIDEDPIKRKEIVGKVRDASETWGFFQVVNHGIPTSVLEGMLQGTREFFEQDIEVKKQYYTRDIMKKVVHNSNFDLYSPSVPAANWRDSFCFSMAPNPPSPEEFPRPCREILMDYSKNVMELGCSLLGLLSEGLGLDPCHLEDMDCVKGLGVVGHYYPPCPQPELTIGTNTHSDNDFITVLLQDHIGGLQVLHQNQWVDIPPTSAALVLISNDKYTSVEHRVLSNKVGPRISVASFFTTGPFPSPKLYGPIAELLSEDNPPKYRATTVTDYSDYFRKKVEKNYDKMSELKAFDDTKAGVKGIVDSGITKVPQIFILPPKKRPELSDTNETQFIFPVIDIEGIDEDPIKHKEIVDNVRDASETWGFFQVVNHGIPTSVLEEMMQGTRQFFEQDVEVKKQYYSRDTTKRVIHTSNFDLYSSSVPAANWRDTLFCLMAPDPPSPEELPTACGEILMQYSKDVMKLGFSLLELLSEGLGLDRCHLKDMDCAEGLGILGHYYPACPQPELAIGTNKHSDNDFITVLLQDHIGGLQVLHQNQWVNVPPTPGALVVNIGDLLQASSMPSSKLYGPITELLSEDNPPKYRATTVKDYRDYFRKKVSSTDDFEARVPGSYDRMSELKAFDDTKAGVKGIVDAGITEVPRIFVQPTKIEECVRNCETKFIFPVIDLEGIDKDPIKHKEIVDRVRDASETWGFFQVVNHGIPLSVMEEMLQGTRRFFEQHVDIKKQYYTRDNTKKIVHVSNFDLYSPSVPATNWRDSIFCLMAPNHPSPEELPTACREILMEFSNHVMTLGKSLFELLSEGLGLNPSHLNDIDCAEGLRVLGHYYPACPQPELTIGTNKHSDNDFITVLLQDQIGGLQVLHETQWIDVPPTPGALVVNIGDLLQLISNDKYLSVEHRVLSNKVGPRISVACFFYTGSLPTTKLYGPIKELLSDDSPPKYRATTVKDYADYFREKEYDRKSEVHLFDDSKMGVKGLLDAGVTKLPRIFLHNEYVSEKKSDPDVTSKFSIPVVDFQGLENSAAERADIVREIKNACENWGFFQIVHHEIPSSIKEKVLEGVRHFHEQDSEVKKEFYSRDVTRKVTYNSNFDLLKSPTANWRDTLYCVMDPNPPDPEEIPNVCREVLIEYTKYIMKLGLTLFELLSEALQLKSDHLKDMECAEGLFITGHYYPACPEPELTLGLSGHTDSGFLTIVLQDQIGGLQVFHKDQWVDVPFLPGALILITNDKFKSVLHRVLAKNVGPRISVAKCIFKKEVNRDYMVRSRSCYPKKTLQSTGKQAEKKHICPELQSQAELTMEYDRLMELKAFDDTKTGVKGLVDSGIVEIPRIFIRPSDELVQELTHGKPTLHCPVIDFSGIEVQDHRNKVVDEIREASEKWGFFQLINHGIPSSVLERMIDGIRKFHEQDAEVKKEYYSRDFTSRRVRYESNFDLYQSKSANWRDTLNISLLHSSHIEPEELPAVCRNVIVEYINHVTKLGETIFCILSEALGLKPDHLKEMECNKGKSVVCHYYPACPQPELTLGAANHTDPSFLTVLLQDQIGGLQVLHNNQWIDVKPVSQGLVVNIGEALQILSNDKFVSANHRVLANGVGPRMSVACFFNGSFAQPKIYGPIKDLISDENPLLYKEFTVTDYIAKFMSRPLGELEMDYDPSDEVKAIDGTKAGIKGLVDSGIVEIPRIFIRPPHELAEELNMCKSTLQVPVVDLSGIEVEDRRKKIVDEIRDVSEKWGFFQVINHGVPSSVLEGMIDGTRKFHEQDVEVKKEYYSSDPTRGVRYESNLQVLTNKGRTATWKDSLHISALVSGYVEPEEIPPVCRRTFLEYKNHVTKLGDVLLGLLSEALGLKSDHLKAAECDKGLALACHYYPACPQPELTLGSGKHTDPVFFTILLQDQIGGLQVMNDNQWADVEPIEHGLVVNIGDLLQILSNDKFVSVIHRVVAKNRGPRISVACFFTGVSSPPKMYGPIKELISEENPPLYKDFLSLMEYEPSDEVKAIDDTKAGVKGLVDSGIVEIPRIFIKPSHELAEELNMCKSTLQVPVVDLSGLEVEDGRKKIVDEIREASEKWGFFQLINHGVPSSVLEGMIDGTRKFHEQDVEVKKKYYSSDPTARRVRYDSNLLQYKTKGKTANWKDSLYISGLISGHIEPEEIPEVCRKTSLEYINHVIKLEDILLGLLSEALGLESNHLKATECDKGQMLACHYYPACPQPELTLGTGKHTDPVFLTILLQDQSGGLQVMCDNQWADVTPIKHGLVVCNKTQLLLFSQIIVSNDKFVSATHRVVANKVGPRISVACFFSSESPKMFSPIKELISEENPPLYKDFIVADYLAKFFSKPLDKTETEHNVTKQQQNPSEKTIIHIDQIFELAMDYEEGWAEIKAIDDTKAGVKGLVDTGVVEIPRIFVRPPHELAEELNMCKSSTLQVPVVDLSGVEFEDRRKKIVDEIREACEKWGFLQVINHGIPSSVLEGMIDGIRKFHEQDVEVKKEYYSSDLTREVRYDSNLHVYKTKGTSVSWKDTLFISAVVSKPEQIPRVCRKTSLEYINHVKKLADILLGLLSEALGLKPDHLKTAECDKGQVLACHYYPACPQPELTLGTAKHSDPSFITIVLQDQSGGLQVMHDNQLADVTPIKHGLVVNIGDLLQILSNDKFVSANHRVVANKIRPRISVASFFNGLLAPSKMYGPIEELISEENPPLYKNFQVVDYVTKFSTKYNFFVLIFSQTITRLNLIILSNDKFVSAIHRVVAKKVGPRISVACFFNGLLAPSKMHGPIEELISEENPPLYKDFQVVDYVTKFFLMPLDKTAMDYDPSDEKKAIDDTKAGVKGLVDSGIVKIPRIFIRPPHELAEELNMCKSTLQVPVVDLSGIEVEDRRKIIVDEIREVSETLGLFQVINHGIPSRVLEGMIDGTRKFHEQDVEVKKEYYSSDPTTRRVRYDGNVHVYKTEGKTAHWKDTLYVAGLVSGHIEPEELPEVCRKEYVEYTNHVDKLGEILFGILSEGLGLKPDQLKATECAKEQGMACHYYPKCPQPELTLGTGKHTDPVFLTFILQNQIGGLQVMCDNQWADVEPIEHGLVVCNRTQTFLFSFFSQTVYSSQTDFILSNDKFVSATHRVVANEAAEPRISIIFFFSGVSTPPKMFGPIKELISEQNPPLYKQVLVVDYVSYFLSKPLDKTSLDLVRL >Solyc05g053800.3.1 pep chromosome:SL3.0:5:64671326:64695698:1 gene:Solyc05g053800.3 transcript:Solyc05g053800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVDKMLIKGIRSFDPENKNVITFFRPLTLIVGANGAGKTTVIECLKVACTGDMPPNCRSGHSFIHDPKVAGETETKGQIKLRFKTAAGKDVVCIRSFQLTQKATKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQEPGTLKKKFDDIFSATRYTKALEVIKKLHKDQSQEIKAYKLKLENLQTLKDAAYKLRESISQDKEKTEALKSQMEELEKEIQTLDSKIHHTEATLKDLHQLQRDIATKNAERSTLFKEKEKQYAALAEENEDTDEELREWKTKFDERIALLESKISKLEREMNDSEAKSSFLKQSITNYIMEISKLQADAEAHAELKNERDFTLRKLFDRHNLGAVPTGSLSDDVASNLTNRIKLRLTDFDKDLQDKKKSNEAEIAAAWHLYDIANREWSEKEAQKQAKADIKNGISKRIKEKEDERDVLERQISDVNVAHLDEREKKMQIESERKSKQLAEREFDLNIRQKQTEMYTVDQKLKDLRDEKDHMAAESEDRIKLSLKKAELGSLEKNHKKIIDDNKDKIKGVLKGRLPSDKDLKNEITQAQRALQREVDDLSVKSREAEKEVNMLQMKIEEVNQNLTKYHKDMDSRKRFLESKLQLMDQEFAGIESYPKIMDSVKEKRDVQKSKFNIADGMRQMFDPFERVARAHHICPCCERPFSAEEEDEFVKKQRVKAASSAEHIKVLAMESSNADSRLQQIDKLRLVYEEYVKVGKESIPQAEKNLNELNEELDQKNQALDDVLGVLAQIKAEKDAVDALIQPVETFDRLFQEIQVRQKQVDDLEYGLDIRGQGVRSMEEIQSELDELQSKKDNLYTEVEKLRNDQRYMENEYASFQLRWANVREEKSRVANRLEQIKRIEEELDRFTEEKNQIELEEKHLADAFGSLLKEKDKHFRDHKDLKIKLGEQLEEQAEIRRNYQQEVDSLLKITSKIKEYYDLKKEQRLNELQDKRSLSESQLQSCESRKDAILAEVKKSKDLMGNQDRLRRNIEDNLNYRKIKSEVDELTHEIELLEDKVLTLGGFSSVEAELKKLSHERERLLSELNKCHGTLSVYQSNISKNKVDLKQAQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHSMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGSGTRSYSYKVVMLTGDTELEMRGRCSAGQKVLASLIIRLALAETFCLNCGILALDEPTTNLDGPNSESLAAALLRIMEDRKGQENFQLIVITHDERFAQYIGQRQHAEKYYRISKDDHQHSIIEAQEIFD >Solyc01g104900.3.1 pep chromosome:SL3.0:1:93111759:93112926:-1 gene:Solyc01g104900.3 transcript:Solyc01g104900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNVKLPPGFRFYPTDEELVVHFLQRKAALLPCHPDVIPDLNLYPYDPWDLDGKAMVEGNKWYFYSRRTQSRITENGYWKSLGVDEPIFSTGHNNNNNNIVGMKKYYAFYLGELPEGVKTNWVMQEFSLNSESSSGSSRSSSKRRTRSKIDYSGWVICRVYEHNYDNDDDNNGPELSCLDEVFLSLDDLDEISLPH >Solyc03g114460.3.1 pep chromosome:SL3.0:3:65937049:65953460:1 gene:Solyc03g114460.3 transcript:Solyc03g114460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYQPLRSVTVEDIQALQGISTTAAAAQLHRKLTEIVAKYGADATNTWRHISQYLLTPDLPFAFHQMMYYGCYFDYGPDPPAWLPDPEAAKLTNIGKLLERRGKELLGSSYKNPISSFSDFQEFTVSNLEMYWKIVFEEMDIFFSVSPECILHETPLHPGGQWLPGARLNPAKNCLRLNAKRSLSDIVVITRDEGDDEAPVTKLTLEELRSAVWRVAYCIDTLGLDKGSAIAIDMPMDVNSVVIYLAIVIAGYVVVSIADSFAPTEIATRLMISKAKAIFTQVDPIPPSLEINKIMCLNTVSDFNKVIDAQSPMAIVIPNRSSTLSIELRDGDISWQDFLERVDKSKEVEYIGVELPVEAFTNILFSSGTTGEPKAIPWTASSPLRAAADGWSHLNIGKDDVVAWPTNLGWMMGPLLIYSTLLNGATMALYNGSPLGSGFAKFVQDAKVTMLGVVPSIVRAWKSTNCTAGYDWSSICHFASTGEASGVDESLWLMGRAHYKPVIEICGGTEIGGGFVAGSLLQPQSLSAFSTAAMGCSLFILGEDGSPRPFDSPGVGEMALGPLLFGASSTLLNADHYGVYYKGMPVWNGKVLRRHGDIFERTSRGYYRAHGRADDTMNLGGVKVSSVEIEKVCNAADESILETAAVGVPPPGGGPDKLVIAVVFKDSKGSKDNMNSLKVSINSALQKKLNPLFKGSFSLLERTNFMAATLILKPSLSSAFLGQKISSRGNSKRSEPSRLFSKGTKCATDTAYGGNVPKFSRLNVWDPYKRLGISRDASEEEIWSSRNFLLNQYANHERSAESIEAAFEKILMKSFINRKKTKINLKTRLKKQVEESPPWVQNLLSFVELPPPVIIMRRLFLFGFMACWSVMNSAEAGPAFQQFSHSGSGFYHKHGHELSAPCIFLGKRKKSKQVAISFGACVYFLNDKTKSLGRAALIGFGGLVAGWFCGSLLVPIIPSFLLQPTWSLELLTSLFIYVFLFLSCTFLK >Solyc04g079590.3.1 pep chromosome:SL3.0:4:64089907:64096487:1 gene:Solyc04g079590.3 transcript:Solyc04g079590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDARRPHFLVGFNPFMNSEKLKIPSKFIKHMEGGDSGTTVLVGPSGNAWPVDLIQQDDGLFFNNGWVSFVKDHCLETGDSLVFRYDGDLHFTVQVFDESSCEKEASYNADCSQGATDLYNLALKKRDRGNSVLLDCMVEGVPKKMKSTEIPSECTSSQDTHGLASSKDGYTPEDAVCSYAGRNYAASFLDEMENAGDALNSKVTIAVPAQAKIIFSNPGNASSEKDMWLPAQEAEKVAGLFTSSLPSFTKVMKRFNISGSYTLHIPYQFATEHLPNCKVKILLHNLEGKTWTVNSIPTTRVQTSHTFCGGWLSFVRDNNIDLGDTCIFELVRKCELRVRVLKAEKEGSDYSSKVVDEGLVIDYAKNSGCKSRKVGSSSDQAKVMTYDKKGSTPEKEKHGDMLKNHQLHSQSKISSGDSAIRKPTSSQDKQGSFTKSCMSMKSVPEEKLAAESFISNFPHFVRIMKKFNISGSYTLKVPCRFSMEHLPNCRTEIVLQNLKGECWTVNSIPTVKVQTLHTFCGGWSAFVRENDIQMGDICIFELIGKYEMRVHVCAIGKKGLDYQNGTAPKESDILASLTS >Solyc09g091260.3.1 pep chromosome:SL3.0:9:71033938:71040638:1 gene:Solyc09g091260.3 transcript:Solyc09g091260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGDFESRSGLVFLIWISALLAAVLLLVSPAEGLNQEGMYLLELKKNFQDPYNYLGNWNANDETPCGWVGVNCTSDYNPVVQSLYLGSMNLSGTLSSSIGGLEHLAYLNLPYNQLTGNIPKEIGNCSKLQSLQLHYNTFYGPIPAELYNLSNLKDVNISSNMISGPIAEEFGKLSSLVTFVAYTNNLTGPVPRSIGNLKNLTIFRVGQNAFSGSLPTEIGGCESLESLGLTQNCLEGNIPKELGMLSKLKELVLWGNQFSGYIPKELGNLTQIQLLALYQNNLIGDIPAEIGKLKNLMKLYLYRNGLNGSIPREIGNLSMATEIDFSENFLKGEIPVEFGQIKSLRLLFLFQNQLEGVIPDELTTLKNLVSLDLSINYLTGPIPFGFQYQRELVQLQLFENSLTGTIPQRLGIYSRLWVLDLNNNQLTGRIPRFVCQNSNLILLNLASNKLHGYIPSGVLKCDSLVQLRLNDNRLTGTFPSELCKLINLSAVELGQNQFTGPIPPDIGYCQKLQRLDFSGNSFNQLPKEIGNLTRLVTFNVSANLLTGPIPPEIRNCKALQRLDLSKNRFTDVIPDDIGSLSQLERLLLSENKLSGKIPAALGSLSHLTELQMGSNLLSGEIPSELGNLSGLQIAMDLSNNNLSGSIPPNLGNLILLEYLYLNNNHLSGEIPSTFGNLTSLLGIDFSYNDLTGPLPDIPLFRNMDISSFIGNKGLCGGPLGECNASPAYDANNSPRVESADSPRAKIITAVAGVIGGVSLVLIVVILYYMRQHPVEMVATQDKDLESSDPDIYFRPKEGFTFQDLVEATNNFQDCYVLGRGAVGTVYKAVMQSGQTIAVKKLASNREGNNIDNSFRAEILTLGKIRHRNIVKLYGFCYHQGSNLLLYEYMARGSLGELLHSTSCRLDWPTRFMVAVGAAQGLSYLHHDCKPRIIHRDIKSNNILIDEKFEAHVGDFGLAKVVDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKAPVQPLEQGGDLVTWVKHYVRNHSLTPGVLDSRLDLEDVITVSHMLTVLKIALMCTSMSPYDRPSMREVVLMLIESDEQEGNFISSPVYDLPLKDNSF >Solyc12g014485.1.1.1 pep chromosome:SL3.0:12:5454590:5454886:1 gene:Solyc12g014485.1 transcript:Solyc12g014485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCRPCKQPRLRNFATLEPLKLRLSFTGALVSHMSNPAKDFHHLRTVKVTSAVHRVFGLPYHQVTNFLDLPALDRLQPPYMILRLCGEQGRTNNSHT >Solyc05g023840.1.1.1 pep chromosome:SL3.0:5:29512785:29513189:-1 gene:Solyc05g023840.1 transcript:Solyc05g023840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAYIDYALDADDTVNDSQYFTMDHSKRLSIEGPNRFQISQECLTMIYSKMKDEMHVHELTHRRDNSIRKRKRGYGMLSYTDWWKGELEMSKKLLVKQIVEKDVALSVMPPSFDSGCLAYERRKEKILLASKT >Solyc09g042525.1.1 pep chromosome:SL3.0:9:24259675:24260090:1 gene:Solyc09g042525.1 transcript:Solyc09g042525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLMSIYYDMPFVHPHIILVVNINKICLFMQLCYISIFFFYIGKKYRLKIVSMLFGTFLSLHTYTNNTRLSEVLLSPLKIYVCIPSINQTLSITCLLYGIYWSIYALLKFDP >Solyc04g077990.3.1 pep chromosome:SL3.0:4:62944951:62945932:1 gene:Solyc04g077990.3 transcript:Solyc04g077990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNGCRVLRRRCSDNCTLRTCLDGIDDPQAQGNATLFVSKFFGRSDLMSLIAAVPQNRRPALFKSLLFEACGRTVNPVTGAVGLLSTGNWHVCQKAVQTVLAGGNLRPVLAGILTPPYFDNSFRCGGAWDMPNQFCNKSDSMFIDGSEQIEGMEWISSEKRWNTSSCFGSETELSDVSLGLDSGYGYAECVKGEEPKLLNLFV >Solyc07g064720.3.1 pep chromosome:SL3.0:7:66880346:66886063:1 gene:Solyc07g064720.3 transcript:Solyc07g064720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSFFSFFFFIICYFRICEAQLVPAAYMFGDSLIDVGNNNHIATIIKANFPYNGRDFPGGKPTGRFSNGKNTADFIAEKLGIPTPPPYLSDKNNQFPKGVSFASGGAGIFRTTNGELIVRHIYIHLSFCICLGSFALDMSQQVQLFLLVQQRLVKQLGGDAGMKKLSKSIFVVVIGSNDIINYFKSDSKLSKTKAPQQYVDEMISTLQGQLKQLHGLGARKFVITSIGSVGCMPLLRFQSANNSNECFQQANFWADKYNQQLQTMLKGLKDELKDINYSFIDTYALLLDIVQNPTIYGFTEVKSACCGLGRLKATVPCTPVALVCPNRNKYVFWDRYHPTEATDSLIINTTFDGNNKYIFPLNVKQLIAL >Solyc08g079040.1.1.1 pep chromosome:SL3.0:8:62807598:62808911:-1 gene:Solyc08g079040.1 transcript:Solyc08g079040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNFIFFVFLLFILSATCQDSDLETDCTNKWIHIRHLPPQFNLDLLSNCSAYPLFDNFCPYLANHGLGQKTHNKSHSWYRTDPFMLELVFHRRMLEYPCLTSDPTHANAIYVPYYGGLDSLKYLYGPEINSSFQHGLDLYDFLVHVDSPNIWSRNYGHDHFMVMARPAWDFNQPLNSDPLYFGTSFLELPEFYNVTALTHESRAYPWQEQAIPYPTSFHPPNLAFFESWVNRLRRSRRTTLMLFAGGGGISANPNVRRSIRLECENATSMSANGTGYEKLCEFVDCSNGICQHDPIRFMKPMLQATFCLQPPGDTPTRRSTFDGILAGCIPVFFEDLSAKKQYGWHLPEEKYEEFSVSIPKEDVVFKGLSIVDVLSSIPRAQVRRMREKVLEMLPRVMYRKQGSSLGLRSKKDAFDIAIEGTLERIKSRLQEIAAQ >Solyc06g065255.1.1 pep chromosome:SL3.0:6:40804664:40805382:-1 gene:Solyc06g065255.1 transcript:Solyc06g065255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELFAVCTSHICIHNSPTNPLSRRCEKIFCISFSNFRLSSLIVSIFCVLIRSLQQLQQYISDTEFGVICRLSVTHLESLVEVKGGMV >Solyc09g091820.3.1 pep chromosome:SL3.0:9:71507024:71513117:1 gene:Solyc09g091820.3 transcript:Solyc09g091820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKSSYKFTFLLLLSLFLHSKVADGTSSVYFIDNPSHRYFRSPSSDASSKISSLSLSDVGATVSILLGFAPPATLSSASSSKLNEVLVPNPFDRPGSVLILEVTGAEGVAFEALRSNVVNENRADIQLPDADKVSLFSLDEPKTDAEHSEKELSEFASWLSGSYVNGELTIPLEDDANLKFQLSKEADRDFVTSLVSLTHKIQRAMEKHQDLSGAVHHPSELISGKFDGLKALKEQYGAEGVVEGAKLFSIVMSKMFDSLTEAYKGQIVGVIVCNETPSVAEPLFDVVFTSQPSARWLEETQTSPNSTAVEEVILVRRTVAWITGLLLIIATLLGIYFLLNMPLTRDTLLYSNVKLD >Solyc08g079790.1.1.1 pep chromosome:SL3.0:8:63380215:63381285:-1 gene:Solyc08g079790.1 transcript:Solyc08g079790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAQSWTTRRGSNPRLESPQDQVLDMPVTPTAEIRQQQYSNGGITSLVSPNVLTALIIASWYCSNIGVLLLNKYLLSFYGYRYPIFLTMLHMLSCATYSLVAIKWLEVVPFQQIHSRKQFFKILALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFVITCKKETAEVYLALVPVVLGIVLASNSEPLFHLFGFLMALGSTAGRALKSVVQGLLLSSDAEKLHSMNLLLYMAPMAAMILLPFTLYIEGNVAAVTVEKAKGDGFMVFLLIGNATVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAVVSVLIFRNPVNIMGITGFAVTVMGVVLYSEAKKRSKVTAH >Solyc05g052900.3.1 pep chromosome:SL3.0:5:63927501:63930244:1 gene:Solyc05g052900.3 transcript:Solyc05g052900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVTRWLRELRDHADSNIVIMLAGNKSDLNHLRSIPELDARGLAEKEGLSFLETSALEAYNVEKAFQTILLDIYQIVSRKALAAQEAAASIPGQGTSINVGEYVANKNKRPCCAN >Solyc05g009400.2.1.1 pep chromosome:SL3.0:5:3550242:3552050:1 gene:Solyc05g009400.2 transcript:Solyc05g009400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAKIAVNVGLIKTHHRILEEKFISLLQSCKTTNQLKEIQTQITIQCVGYTKYIIPPLLSKCMEFKKISYAHQVFDQIPDLQDASPWNIMFKGYIQNDMYRDVVVSFRRMMNEDVSLNCFSFPMVLKSCGKLLALVEGEEVHGVVVKVGFLTNTFVGSTLIDMYSSVGRVKCAYRVFNEMVLRNVFTWTSMINGYIANGDLVSARMLFDLAPERDVVLWNRMITGYIECRDMEEARKLFDVMPNKDLMSWNTLLNGYANNGDVEGCENLFEEMKERNIFSWNGLIGGYAHNGRFTEVISAFKRMLNESDVKPNDATLVNVLSACTRLGALDLGKWVHVYAESNGYKHNVYVANGLIDMYAKCGLVGNAVDVFRSMDKRDLISWNTIINGLAVHGRGSDALSLFAEMKNSGVEPDGITFIGVLCACSHMGLVADGFAHFNTMANDYSITPKIEHYGCMVDLLGRAGLLEQAVKFVEKMPVQADAVIWTALLGACRVYKNIDFARLVLQKLIELDPKNPANYVMLANICGDARKWKDVAELKVAMRDTGSRKVPGCSLIEVADEVAEFYCFDERHSKSNEIYGALGSLMKASKSSGQESPLFLLD >Solyc04g015030.3.1 pep chromosome:SL3.0:4:5236241:5241917:1 gene:Solyc04g015030.3 transcript:Solyc04g015030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKENKGEEVKKEEEKKEEEKKVEGKKEDEIQEIVLKVDMHCEACARKVARSLKGFQGVEEVKADSKASKVVIKGKNADPLKVCERIQKKSGRKVELISPLPKPPEENKKEEEEEKLPKVEEKKDEPPPVITVKMTVQMHCEACAQVLQKRIRKIQGVESVTTDLGNNQVVVKGVVDPEKLANDVYKRTGKQAMVVKEEEVKKEEEKKEEEKKEEKKESGEEKGKEEDDKTTIDIKKNEYMTQRDYIFMEYANYSPQIFSDENPNACSIM >Solyc03g007390.3.1.1 pep chromosome:SL3.0:3:1934406:1936295:1 gene:Solyc03g007390.3 transcript:Solyc03g007390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTQKSIKSTCSNVELLINFNNRLNFSSLSSSNCLQILTDYNGSARPISNPLHNFLPKTQNPQNTVSLICSALKNGNNDHLSLLQKTIRDNGLICYFSDSEISRVLLRCQSDSFSALSFFNWVKNDLGVEPNTQNYCLVIHILTWTRNFSQAMKFLSELVDLKRNGMEDVDVFESLLSSSGLCNWDPVVFDMLIKAFLKVNMVKDGFRAFRKTVKHGMYPSVISVNCLLNGLSKLNYSKKCWEVYAEMGRIGVHPNSCTFNILTHVLCKDEDVNKVNDFLVKMEEEGFQPDIVTYNTLVSSYCRKGRMKDAVHLYQIMYIRGVSPDLFTYTSLINGFCKKGNVKDAHQLFLRMADRGLKPDIIVYNTLISGYCKDGMMQEARSLLHDMIGEGMYPDKFTCSVLVQGFQKQDNLVSAVNLVTELQRFRFIVSHDIYNYLITALCIENRPFAAKALIHRVSGDRYEPGNMVYGELIESFCRCNFPDEALCLKAEMVSKDLKPDIGTYRAIIKCLCKLGRSMDANSLMREMTDFDVSLDIEVCRALINGHCRENNFCEAQSLLSFFAQEFKIFDTVCYNTIVRLLSGEADIAKLMKFQDKMRKVGFAPNQLTCKYIIDGLQKAVGVHKINS >Solyc10g009570.3.1 pep chromosome:SL3.0:10:3682801:3687911:-1 gene:Solyc10g009570.3 transcript:Solyc10g009570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVEFHKRFSLAILGHQNCQITIKLAHVIINASTYLQPFTMGYQDFIKIPLAMEALNAARLTPVSVFSDRRNEPKKIPSFQFKNFPNAANLSRSVEGISRNVQGGLVLLSSVFNTGLAKALTYEEALQQSTTSTSSDFDANAFVETLTDFVSDNPLVIAGGFAVLGLPFIVSQVFGKMPKPSWGVESAKKAYAKLADDVSSELVDIRATAELKQEGSPDIGAFKKKPVTIVYKGEDKTGFLNKLALKFKEPENTTLFILDKFDGNSELVAELVTANGFKSAYAIKDGAEGPRGWKNSGLPWILPKKTFSLDLGLSDVLDGLFGEGSDSVAVGLGVAAAAAFGLLVFSEAETLLQLLGSAGLIQLVSTKLLFAKDRKQSLQQVDEFLTKEIAPKELVGDIKQIGLALLPVPVTRKNLPEPAETSESVPEVDAASSKVEASVETLSPYPNYPDLKPPTSPIPSQPSGSVGKVETVSKVEVSAESTPEISSAPKPEVTAEARTGITRPLSPYPNYPELKPPTSPMPTQA >Solyc07g052175.1.1.1 pep chromosome:SL3.0:7:60835178:60836191:-1 gene:Solyc07g052175.1 transcript:Solyc07g052175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKQITEKLKNNRVQYVGGRKFFNRLESKKREEEKRQVEAKSQQHHNHKPYLSTDNSKPESSSSVSLLPQQEVVRRLRFLKHPVTLFGEDVEARFDRLNLVLKAGLFEVDETEGQTNDFLRDILELKKTRRLKRKATDQDKDRDDEDSKRMKTNFEDLCDEDKILVFYKKLLNEWNQELDKISHEAKNQTVVATFMQCARDLNPLFDLCRKRLLNHDIRKALVVMVECCMNREYIEAMDQYLNKIAIGNAPWPIGVTMVGIHERSAREKIHTNSVAHVMNDETTRKLLYSVKRLVTFCQRRHPNVWSSIALRMAVTYTLFVHKSQLLKPQKKGLR >Solyc03g123620.3.1 pep chromosome:SL3.0:3:71921995:71930721:-1 gene:Solyc03g123620.3 transcript:Solyc03g123620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVEDFFSKQIDFCKRKKKIYLAIVASVLLVAAVIGVVAGVKSHSKNSDDHADIMAISSSAHAIVKSACSNTLHPELCYSAIVNVSDFSKKVTSQKDVIELSLNITVKAVRRNYYAVKELIKTRKGLTPREKVALHDCLETMDETLDELHTAVEDLELYPNKKSLKEHAEDLKTLISSAITNQETCLDGFSHDEADKKVRKVLLKGQKHVEKMCSNALAMICNMTDTDIANEMKLSAPANNRKLVEDNGEWPEWLSAGDRRLLQSSTVTPDVVVAADGSGDYKTVSEAVAKAPEKSSKRYVIRIKAGVYRENVDVPKKKTNIMFMGDGKSNTIITASRNVQDGSTTFHSATVAAVGEKFLARDITFQNTAGASKHQAVALRVGSDLSAFYRCDMLAYQDTLYVHSNRQFFVQCLVAGTVDFIFGNGAAVFQDCDIHARRPGSGQKNMVTAQGRTDPNQNTGIVIQKCRIGATSDLRPVQKSFPTYLGRPWKEYSRTVIMQSSITDVIQPAGWHEWNGNFALDTLFYGEYANTGAGAPTSGRVKWKGHKVITSSTEAQAYTPGRFIAGGYGKVDELEEEAFRKKTRKRLIILIVSAVLLLIAVVGIVVGTVVHKNNDSKQPSSTAQSLQVLCSLTEYKDSCLSSLSSSNVTDPETLFVLSLKIAMDAVKKLSSGPQNWAKLTKEPKVQKALEVCETVFDDAIDKLDDSVSSVQVNGGAKLLSGPKIDDLRTWLSSTLTDQETCLDALEEINATFVNDAKLLMKNSTEFTSNSLAIVANIFGILGKFNIPIHRKLLNIGEFPEWVAAGDRRLMQEVNPTPDVTVASDGSGNVTTIGEAVKMVPKKSKTRFVIHVKEGEYVETVRMDKSLWNVMMYGDGKTKTIISGSLNFIDGTPTFETATFAVAGRGFIARDITFRNTAGAAKHQAVAMRSGSDQSVFYRCSFDAFQDTLYAHSNRQFYRECDITGTIDFMFGNAAVVFQSCKIQPRQPLANQFVTITAQGKKDPNQNSGMSIQKCDLSPLDDLKAPTYLGRPWKDYSTTVIMQSTIGAFLHPLGWIEWVKGVEPANTIFYAEYANTGPGASVTQRVKWAGYKSSITPDQAAQYTVPSLIQGDTWLPDTAVAFDSTL >Solyc05g054690.3.1 pep chromosome:SL3.0:5:65394335:65396919:-1 gene:Solyc05g054690.3 transcript:Solyc05g054690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKASSTKKADPKLGLQRLPRL >Solyc07g043477.1.1 pep chromosome:SL3.0:6:29329616:29334986:-1 gene:Solyc07g043477.1 transcript:Solyc07g043477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARSSMREINNLKTRLSAAFEMKDLGPAKQIIGIKISFDRPAGTLNLSQKLYIGKGLRRFRDQSPKTAEECDHMALFPYASAVGSFMNAMVCTRPDIAHAVRFVSRYMANPEKEHWEAVKWLLRYLIGESKGFVDADLGGDVDSSKSTSWYIYTICGTTVSWISRLQKCVSLSSTEAKYVALAERFPTSPPKAPPQREIKAHTKAGDH >Solyc11g040340.2.1 pep chromosome:SL3.0:11:38084140:38086345:1 gene:Solyc11g040340.2 transcript:Solyc11g040340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCVCLILFFSLGTAQFDYRDALEKSILFFEGQRSGKLPPNQRLSWRGNSGLQDGSLAKDKFTMSIIIIQLRERKQVDLSGGYYDAGDNVKFNFPMAYTTTMLSWNTLEYGKRMGAQLQHARAAIRWATDYFLKCANAAPNKLFVGVGDPNADHKCWERPEDMDTIRSVYYVSPSNPGSDVAGEMAAALAAASLVFRSVDPVYSKKLLGNAVKVFRFAVQYRGSYSDSLGSAACPFYCSYSGYKDELYWGAAWLLRATNDISYLNLINTLGANDVPDLFSWDNKYAGAHVLMSRRSVVGNDNRFDSFKQRAEDFVCKVLPNSPYTSTQYTKGGLLYKLPEENLQYVTSITSLLTTYAKYMATKKHTFNCGSLVVTEKTIRNFAKRQVDYILGNNPMKMSYMVGYGSNYPRRIHHRGSSLPSLAMHPQSFGCEAGFQPFYYTANPNPNILVGAIIGGPNQNDFFPDERTDYSHSEPATYINAAIVGPLAYFDSSKH >Solyc03g005850.2.1 pep chromosome:SL3.0:3:574451:576942:-1 gene:Solyc03g005850.2 transcript:Solyc03g005850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEEDLKHGGNKETDGGDVSYLASQDHQFNDKEGTREKQLEIPHSESSIDNNKSNALEKRSNEVNKVAMVEGGIIQVERELKLEDESMRNNINIERVEPAKFPREGGLRRRSSSSGKPSMSEDIVQAATSASDIDNEAFGSSEKENEKRELGVMDEKTRKLNVVADTGLEKRDYKAVATSNAKPFKAVKDDDKLEISYNVPVVDASVRANNMEGSTHEHQLWIDDQCKQLPGRVAVACLRCLQDRINNFRFEMHYDSKQNVFFVMQRRVM >Solyc03g122150.3.1 pep chromosome:SL3.0:3:71569108:71572404:1 gene:Solyc03g122150.3 transcript:Solyc03g122150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPVDVNEFEELARQVLPKISYDFFSGGAEDQHTLKQNIEAFHRIIIQPTILVDVSRIDMSTVILSHKTSAPIMIAPTMMHKFAHPEGEIATARGAASCNVIAGLSFTCKAVRVIQNFVTYYYSQLSLSSYVNNDFSCFATDTVLLFFLCQ >Solyc08g082080.3.1 pep chromosome:SL3.0:8:65098088:65103829:1 gene:Solyc08g082080.3 transcript:Solyc08g082080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPEPIRNDPFPENRREDDAGAAFVLESKGEWWHAGFHLTTAIVGPTVLTLPYAFRGLGWALGFICLTVMGLVTFYSYYLMSLVLDHCEKSGRRHIRFRELAADVLGSGWMFYFVIFIQTAVNTGISIGAILLAGECVQIMYSELSPHGPLKLYHFIAMVTVIMICLSQFPSFHSLRHINLASLFLSLGYTFLVVGACIRAGTSKNAPLRDYSLETSHLSRLFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMLKGLMLCYSVILVTFYAASVSGYWVFGNKSNSNILKSLMPDEGPSLAPTWVLGLAIVFILLQLFAIGLVYSQVAYEIMEKNSADANKAVFSLRNLIPRIILRTLYMSLCGFFAAMLPFFGDINGVVGAVGFIPLDFILPMLLYNITFKPKKSSITFWVNTSIMVIFSCAGLLGSFSSIRKLVLDANKFKLFSSDVVD >Solyc09g018740.1.1.1 pep chromosome:SL3.0:9:16855365:16855568:-1 gene:Solyc09g018740.1 transcript:Solyc09g018740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVCDAGLEKVEKVNFGCFRLGFVYWLLVGSGSSEMEMRREDCGGLGTLYSEGGCCLAAERGRVFG >Solyc03g044673.1.1 pep chromosome:SL3.0:3:10357767:10359695:1 gene:Solyc03g044673.1 transcript:Solyc03g044673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALMLAVKKSFLHNVASDERFCALILFMDHNIEASVEEVQESATRTSNLNRHHLIHQKKANSQGTPVDHEQYREKIARAIVRHNYPFNFVEHEGIRDIHVFLNPAVKTLSRNTARADVCKLGSVLGPKLINMLTELGIEKKIFLITLDDACYNEDIVERLIDHFTLMDSLLSDGKKSIRYLKGSKARKIKFVECIKNPSLKLNGKVLDADFRCCPSKVDWVKANDF >Solyc09g018370.3.1 pep chromosome:SL3.0:9:14500122:14562945:1 gene:Solyc09g018370.3 transcript:Solyc09g018370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLRTDTELEGESGEPYFRRLVLRSVANIIRHYSSSLITESEVFLSMLVRVISLDLPLWHRILVLEILRGFCVEARTMRILFLNFDMHPKNTNVVESMVKALARVVSSIQFQDTCEESLAAVAGMFSSKAKGIEWSLDSDASNAAVLVASEAHAITLAIEGLLGVVFTVATLTDEAVDMGELDSPRCESDPPAKLTGRTALLCVSMVDSMWLTILDALSFILAKSQGEAIILEILKGYQAFTQACGILHAVEPLNSFLASLCKFTIGIPVEVEKRSVVQSPGSKRSEAFLEPRETVVLTPKNVQALRTLFNISHRLHNVLGPSWSLVLETLASLDRAIHSPHATTQEVSTAVPKLTRDSSGQYSDFHILSSLNSQLFESSALMHLSAVKSLLSALRQLSHQCMSAALSGFGSMSSQKSGSIIFSVERMLSILVNNVHRVGPLWDEVIGHFIELTNSSNQHVRIIALSAMDQSISAVLGSNEFQEHASSKLKCAFNDVQTENTELRSLECSVISPLKVLFSSAENIDVRAASLKILLHVLERHGEKLHYSWPNILELLRSVADAAEKDLVTLGFQNLRVIMNDGLSTVPADCLHVCIDVTGAYSAQNTELNISLTAIGLLWTSTDFVIKGFLCRQNEEKELDCNGIKEERALRFSGEVNDQALQMNIVDCDKLLFSVFSLLQNLGADERPEVRNSAVRTLFQILGSHGQKLSKSMWEDCLWNYIFPTLDRSSHMAATSSKTEWQGKELGTRGGKAVHMLIHHSRNTAQKQWDETLVLVLGGIARILRSFFPFLRSLDNFQSGWETLLLFVRNSIFNGSKEVALAAVNCLQSTIVSHSPKGNLPMPYLTSVLDVYELVLHKSPNYNGNMAYKLKQEILHGLGELYVQAQGMFDNDTYLKLLSVVDSGIKQAEVDNSNFEAEYGHVSPVQRTALEILPQLHPAEHLSAMWSPLLTKLLLYLPSSVSCMRSIEDESDHKTRTKDNAKISNGIASASQGEEEASPRNPELTTVIVSNHLFVEKLVPVLVDLFLQAPPAEKYKILPDIIQSLGRCMITRRDNPDGSLWRLAVEGFSCILLDDIRKLTGNAVPELTITRPARMRIWKEVADIFEIFLIGYCGRALSVMVDSADECLEMNLLDILGDKILKSQIDAPLEIVDRLISTLDRCASRTCSLPLETVELMPSHCSRFSLTCLQKLFLLCSRGTGEWNSTRCEVSNISLKILINRCEFILERYLMDESKLGENPLPAARVEEVIFTLQELALLVVHSDTVSELPLHPYLKECLRKENQERRSHLLVLFPSLCELVISREARVRELVKQLLRYVTTELGLRKSS >Solyc06g060640.1.1.1 pep chromosome:SL3.0:6:38779029:38779433:-1 gene:Solyc06g060640.1 transcript:Solyc06g060640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAIKSSSIALLFITLNLLFFTMVTSTYISCPPKTQHKNPPSTYHKCPKNTLKLKVCANLLNDLVHVVVGSPLLSSKSSCCSLIENLADVDAAVCLCTAIKANVLGAHLNAALSLSLLLNNCGKTAPKGFKCA >Solyc07g015830.1.1 pep chromosome:SL3.0:7:5535172:5536036:-1 gene:Solyc07g015830.1 transcript:Solyc07g015830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGGNSRPQATRPRLKASAQRQNPPNVGKYDELQRLSLNPDGLGFYPSIQLVKAMVQSMCSCYDEPWRYWKDIPLHIRERMFADFKMKCTWSLKHEKNIREIFFKKCSRRLSDLLWYARKHDQRPSWISEDILKTLNEHWTSQKFKKNAVKQEAQTSVLPRSPQSSDKEE >Solyc01g107350.3.1 pep chromosome:SL3.0:1:94808472:94812040:-1 gene:Solyc01g107350.3 transcript:Solyc01g107350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKQGFFSALKEEVVRGLSPGRSRARSPARSGSPITSLLRRKKSNSSSNFAPNHEQLISRSGSLRPLGETLTPLMEGPDPDGGEVGDSKRVGSSLGHWMKGQLSRTPSVASTAFSKRSDLRLLLGVMGAPLAPVHVSSTDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQSSIKNAYAMGKLKMLASEFETPTKVVKSRNSARAAESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGTVRPLRRALQGLDPRSTASMFTNARCIGEKKINGEDCFILKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGADAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGEMAMSHSKTRMEEAWTIEEVAFNIPGLSVDCFIPPADLKSGSISEACELPQDERGNSAISLASHRAKVAALEKTHDSWKVEI >Solyc09g010285.1.1 pep chromosome:SL3.0:9:3668886:3672694:1 gene:Solyc09g010285.1 transcript:Solyc09g010285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKYTSILQNLHFLSRNSSSVIGRPVVGFLGYSLHSNASQVGCHTRDEKIGKLKLAQDLAGLVQESLNLEEKKSQVSKRLVPMVEKSVENSGGVKHGASLAQNLANLVEESYNLDESKPMNRVEHKRLLELRIKKRVKEQYVNGKFQNLIKNVVANPKTLCDAYDCIRLSSNVDLASNGEDLPFEAMAEELSSGCFDVSANTYSISTKGAKKEVLVFPNVKLKVVEEAIRIVLEVVYRPHFSKISHGCRSGRSHLSALKYIRKEIMNPKWWFTLPVCRKLDNHILAKLFLIMEDKIDDPFLYTIIRSMFDCGVLNLEFGGFPKGHGLPQEGALSPILMNIYLDLFDHEMYRLSMRYEAIDKGSSAEESAPNSVLRSWFRRQISGNGSQECHDLGYSEIRVHCCRFMDEILIAISGPKDVAVAIKSETENYFKNSLYLEFENEIDVFPCDGRTGIRFLGSVIKRNLKESPAVKAVHKLKEKVELFALQKEHSWDTGTARIGKKWLAHGLKKVKESEIKHLSDGSSLLSRISCFRKDGMETDHWYKVLLKVWMQNKKVKCETNEDVILSKHIVEPALPQDLRDSYYEFQMRVQEYISSETASTLALLPNSNCSSFTTQIIAPISIIMKRLFRYGLTNSKGHSQPCHLLVFWDDDEIVDWYAGLICRWQRWYTECDNFNEVKLIICNQVRLSCIRTLAMKYRIHESEIEKKFDSELRRIPATEDLELEITSEATNSEAVDNDALMYGITYSGICLFSLARMVSQSRPCNCFVIGCSAAAPRVYTLHVMERQRFPGWKTGFSNCIHPSLHRRRFGLCKHHLKDLLLGYISLQSINFSAW >Solyc10g078690.2.1 pep chromosome:SL3.0:10:60552721:60556678:-1 gene:Solyc10g078690.2 transcript:Solyc10g078690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAAELRYYEEEDTAGMKTLKGATSGLVAGTLWGAIIATWHDVPRVERNVALPGLIRTLKMMGNHGLTFAAIGGVYIGVEQLVQNYRVKRDFINGAVGGFVAGASVLGYKGRSIPTALSAGAALAVTSGIIDGLGATTRTDNGKEYYPYTTKKRVVAE >Solyc11g020003.1.1 pep chromosome:SL3.0:11:10024031:10024797:1 gene:Solyc11g020003.1 transcript:Solyc11g020003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFILHKISLLFTKGTKDANTRNTSEVESDVSSKKIIQDDALDDENQTFCPVVEKSRWSSSSKMPEMIRDKCKNRDSEDDSKKDLVSAKKPEAYISDVSTQKGRVNETSPCRVTKQA >Solyc05g008900.3.1 pep chromosome:SL3.0:5:3110730:3115517:-1 gene:Solyc05g008900.3 transcript:Solyc05g008900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSIPVLVIVIALHLIAFVLAVGAERRRSTAKVVPDEYDERAYCVYGTDASTAYGLAAFGLLLISQTLVNGITKCLCFGRGMMGGSSTTCAIFFFVFSWVSFLGAEACLLAGSAKNAYHTKYRAVFQVENLSCSTLRKGVFAAGAALTLLSMIGSIFYYWIHSKADTGGWQKHQNEGLGMAASNYTESTERKG >Solyc03g120770.3.1 pep chromosome:SL3.0:3:70531555:70543093:1 gene:Solyc03g120770.3 transcript:Solyc03g120770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTTQGQAVTVRDLVEEAKKRIVILLLCVIGLSYIMSLTSSSVFINLPAAALLIVMLRYLSLDFDAQIKAATYKSKSSSLNNTVQKKQLDGPRSVNEKSDWRKKVDSPVVEDAIDHFTRHIVSEWVTDLWYCRITSDRQGPEELVQIMNGVLGEISNRMRSINLIDLLTRDIVSLICTHLELFRTCKLRIEKKNTRSLTIEERDLELKLTLAADDKLHPALFSPEAEHKVLQHLMDGLISFTFRPEDLQCSLFRYIVRELLACVVIRPVLNLVNPRFINERIESLAISLKKADKGPTAAQTEQQSSPSVSEKVSADHFSGVLDSSAKGLELVQFRRNQTNDTTENNTMDNGNGSDLSKDPLLSIDTRSTRSWSSLPSQTNTDDGRGLQKHRSGEWGEMLDLVSRRKTETLAPENLDNMWTKGRNYKRKEEGNLASDSLQHNSLLGPPKSQEHLKGMLRQKESESENWVNVNHYLKANTQTFQYQEEDEHNSDEVESESTSSYTTDDEEPISVTGLDSPGNKVWDAKNRRNINHIHHPLENNAGHKTRKGKASKGHIRSKHLNKVPSARKKSRASSQTEHVWQEIQRSSFLLGDGHDILNSKYNEKPEVLSDHSDSEMPGRISSGTNASASSLSSSVLANQKMGANSLKSSIIADSFLKLTCEVLSANIVKSGSKTFAVYCLSVTDVNNHSWSIKRRFRHFEELHRRLKEYPEYNLHLPPKHFLSTGLDVQVIRERCKFLKEYMKKLLQLPSVSNSIEVWDFLSVDSQTYSFSNSLSIIDTLPANLDDTVHKVNKEPLPKIGPRTDIIFSTAEHYAERKDRVLMHHPVVDESRYGKKYVTLSPPKRPTKGAFEDSSNGSDNVQTNKVPIPATGTVLKSVETNSRASHGSSDTFVDSPVESSLPLEWVPPQVSAPVLDLVDVIFQLQDGGWIRRKAFWVAKQVLQLGMGDAFDDWLIGKIQRLRRGSVVAAGIRRIEQASILWPDGIFITKHPSRQRPTPSAIQSVGSPQNHPPTPSASPSIGSPQNQPPTPSSSPMAEDIRKLDEKQQKEAEQRANLVYELMIEKAPAAVVGLVGHKEYEQCAKDLYYFIQSSVCLKLLVLDLLELILLSAFPELDGVFNTLHQEKRKFGELKID >Solyc11g013850.2.1.1 pep chromosome:SL3.0:11:7266895:7271084:-1 gene:Solyc11g013850.2 transcript:Solyc11g013850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLACLVCHSVESPSQSFRSYSVSSSDNDGRCSAIANCLIKKISLGHPAANGGITTSKVTPQPTDTNNGITGPPRLVRSRAVRRDIVRDWNFDEVVLEH >Solyc06g060850.3.1 pep chromosome:SL3.0:6:38985725:38991979:-1 gene:Solyc06g060850.3 transcript:Solyc06g060850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin carboxyl-terminal hydrolase [Source:UniProtKB/TrEMBL;Acc:K4C6R5] MAESTGSKKRWLPLEANPDVMNQFLWGLGVPPNEAECCDVYGLDEELLEMVPKPVLAVLFLYPLTSQSEEERMKQDNETKVQDPSSTVYYMKQTVGNACGTIGLLHAIGNITSQIKLTEGSFLDKFFKSTSSMDPMQRALFLENDREMEVAHSVAATAGDTEATDDVNTHFICFSCVDGQLYELDGRRAGPITHGASSPNSLLKDAATVIKKIIEQNPDSINFNVIAISKNV >Solyc05g018120.1.1.1 pep chromosome:SL3.0:5:20049218:20049850:-1 gene:Solyc05g018120.1 transcript:Solyc05g018120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKDPFEATFEEQDDSPPESPAGTDENEGQDHGAVGVNMNEGDDVNTDSREKPSTSKATSVSVSTAGPISKPKEEDEEEEEESMDVQLGKLSSSSDPDKLAKMQSILSQFTEEQMSRYESFRRSGFQKSNMKRLLTNITGSAKISIPMTIVVSGIAKMFVGELIETAKMVMAERKDTGPIRPCHIREAYRRLKLEGKIPKKSVPRLFR >Solyc07g007590.1.1.1 pep chromosome:SL3.0:7:2240056:2241189:-1 gene:Solyc07g007590.1 transcript:Solyc07g007590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFTPLQSKPTPTSNSNRFSNLTNPTSSTSRRRHFSVSSPSQVSHHHGRRRLSIKAIDAAQPYDYEALVSNQYAQSGRLKIAIVGFGNFGQFLAKSFVSKGHFVLAHSRTDYSQIAISLGVSFFQDPHDLCEQHPDVIVLCTSIISTETVLRSLPIQRLKRNTLFVDVLSVKEFPKNIFLQVLPTHFDILCTHPMFGPESGKDSWKDLIFMFDKVRIGEGRSRTARVDKFLDIFEKEGCRMVPMTCAEHDKHAAGSQFITHTMGRVLEKLGLESTPINTKGYETLLNLVDNTASDSFDLYYGLFMYNKNAMEELERLDLAFEALKKELFGHLHDLLRKQLFGKAEEAGQRRVLSKLPRNGYALPAPSSDAVKPENN >Solyc06g068320.3.1 pep chromosome:SL3.0:6:42436681:42444476:-1 gene:Solyc06g068320.3 transcript:Solyc06g068320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKDGDKGKKKEGKKEVVHGKKKEVKKETGLGLSYKKDENFGEWYSEVVVSGEMIEYYDISGCYILRPWAMSIWEILQTFFDAEIKKMKIKNSYFPLFVSPAVLQKEKDHIEGFAPEVAWVTKSGDSDLEVPIAIRPTSETVMYPYFSKWIRGHRDLPLRLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKEEADEEVLDILELYRRIYEEFLAVPVSKGKKSELEKFAGGLYTTTVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKAMVWQNSWAYTTRTIGVMIMTHGDDKGLVLPPKVATTQVVVIPVPYKDANTQGIYDACAATVKSLNESGIRAEADFRDNYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNGAKTDIPVANLVEQVKDVLDSIQQNLFETAKQKREACVQVVKTWEEFAEALGQKKLILAPWCDEEDVEKEVKARTKGEMGAAKTLCSPFDQPELPEGTLCFASGKSAKKWTYWGRSY >Solyc06g082880.2.1.1 pep chromosome:SL3.0:6:48564278:48567111:1 gene:Solyc06g082880.2 transcript:Solyc06g082880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHRPGRFTTLAAAANQMHPNNYRRTFSHIYQECAKHCTQQPGRQAHARMIISGFQPTVFVTNCLIQMYVKCSNLGYADKVFDKMPLRDTVSWNAMIFGYSMVSELDKAQLMFDLTPERDAISWNSLISGYMQNRNYGKSIQTFLEMGRDGIAFDRTTFAVILKACSGIEDSWLGMQVHGLVVRLGLATDVVTGSAMVDMYSKCKRLDESICFFNEMPEKNWVSWSALIAGCVQNNKFSDGLHLFKNMQKGGVGVSQSTYASVFRSCAGLSDLKLGSQLHGHALKTDFGYDVIVATATLDMYAKCNSLSDARKVFNWLPNHNLQSYNALIVGFARGDQGYEAVILFRLLLKSYLGFDEISLSGVFSACAVFKGRLEGMQLHGVACKTPFLSNVCVANAIMDMYGKCEAPQEALRLFDEMEIRDAVSWNAIIAAYEQNGHEDETLILFFRMLKSRMEPDEFTYGSVLKACAARQDFNTGMVIHNRIIKSGMGLECFIGSAVIDMYCKCEKVEEAEKLHERMKEQTIVSWNAIISGFSLCEQSEEAQKFFSRMLEEGVKPDNFTFATVLDTCANLATVGLGKQIHAQIIKQELQSDVFITSTLVDMYSKCGNMQDSRLMFEKAPKKDFVTWNALVCGYAQHGLGEEALQIFEKMQLEDVRPNHATFLAVLRACAHIGLVEKGLQHFNSMSNNYGLDPQLEHYSCMVDILGRAGQISDALKLIQDMPIEADDVIWRTLLSMCKMHRNVEVAEKAAKCLLELDPEDSSSHILLSNIYAAAGMWKEVSEMRKVMRYGGLKKEPGCSWIEIKSVLHMFLVGDKAHPRCNEIYDNLDALICEMKRTSQILDNELLLSCEATEDEL >Solyc01g049916.1.1 pep chromosome:SL3.0:1:47077820:47078925:1 gene:Solyc01g049916.1 transcript:Solyc01g049916.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFSPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLEQEMQN >Solyc10g018470.1.1 pep chromosome:SL3.0:10:8117819:8118617:1 gene:Solyc10g018470.1 transcript:Solyc10g018470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKRRLQMKWSLPCSDLNVSNGATYLQRRDAPEWRPSISCNDIPDRTQKEKKLWAFDKPSIPQPPLGWKRIVKIRTRGTNFADVYMKQHSEYASQAVKPEKFSFKTPRSLQQDYAKKRSSTPPTPSDYINGDNAGMSMCMCM >Solyc10g050355.1.1 pep chromosome:SL3.0:10:49108867:49109325:1 gene:Solyc10g050355.1 transcript:Solyc10g050355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRNENYEVRIAKGDEPKTMCVPRYGVYDWLVMPYGLTNAPTTFYTLMNNCFYRCMDQFMVVYLDDIVINNNTFEKHMELFKRPRRSTDRRDKDRGDPRAGGAHKSDRAAILPWTCKLQSQ >Solyc03g094140.1.1.1 pep chromosome:SL3.0:3:57391007:57391465:1 gene:Solyc03g094140.1 transcript:Solyc03g094140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPLEAVRDRKTGDLLFYKKSPPPFKIDPLRIGSQENNFVDLVPSKNGPVTAYNFKDGAAAQQVWLNFGDDYGYEENLRRLEENFPSANHQINERSKRLGELAISTATPEILKDIRGLSEDVYQLSSTEDMLNQFEEKNFFDLTEIARFYN >Solyc06g063260.3.1 pep chromosome:SL3.0:6:40070691:40083434:-1 gene:Solyc06g063260.3 transcript:Solyc06g063260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSRSSANKWKYINPSYYLKRPKRLALLFIVFVFGSFFFWDRQTLVRDHQEEISKLSEEVIRLQDLLEDLKNDQSVSGETMNFSHSDGDVGKKIDYTEDPVDAQRREKVKDAMRHAWSSYEKYAWGHDELQPQTKNGVDSFGGLGATLIDSLDTLYIMGLDEQFQRAREWVANSLDFNKNYDASVFETTIRVVGGLLSAYDLSGDRLFLEKAQDIADRLLPAWNTPTGIPYNIINLSHGNPHNHGWTAGHSILADSASEQLEFIALSQRTGDPKYQQKAENVILEISRTFPDDGLLPIHINPEGKAVVYSTITFGAMGDSFYEYLLKVWIQGNRTTAVDRYRKMWETSMKGLSSLVRRTFPSSFAYIGEKVGDSFVDKMDELACFAPGMLALGSSGYGPDDSQKFLSLAEELAWTCYNFYQSTPTKLAGENYVFNKGQDMTVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRIESGYVGLKDVNSGVQDNMMQSFFLAETLKYLYLLYSPPSVIPLGEWVFNTEAHPIKIVSRNDRAMTSGAGKSAGQQKSYKRPLTRREGRFGNK >Solyc02g063110.1.1.1 pep chromosome:SL3.0:2:35711658:35711930:-1 gene:Solyc02g063110.1 transcript:Solyc02g063110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGNDGASGGASGHDGASRGRGWYRGTTVPRGGGRGWSQGTMVPRGGGGRVFSRHDDASRRGRGEGLGALRCLEAERGVSRGTTVPRG >Solyc01g109320.3.1 pep chromosome:SL3.0:1:96211281:96213628:-1 gene:Solyc01g109320.3 transcript:Solyc01g109320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLWEIAAPAIITAVSQFSIGFVSIAFVGHLGSLELAAISVVQNVVEGFVFGIMLGMGSALETLCGQAVGAGQFDMLGVNLQRSCVITIATALLLTPFYVFTSQILKLLHQDKEISEVAGKYAIWVIPQLYAYALNFPVQKFLQAQSKIWVMTVINIVILVFHIVLNWVLVTKFEHGLLGAAMAGNVSWWLVVLAQIFYVIAGLELWYFTVVILMVGWLKNPEIAVDAISTSLALQLWVLMITLGFNAAISVRVSNELGAGRPKAAKFSVVVAVITSTLVGVVFTVVVIATKNYYPRLFSDKPEVIHETSKLAYFLAATIFLMSIQPILHGVAVGAGWQYSVAIINIVCYYMVGLPLGACLGYIANTGVKGIWTGMLVGTLLQTIVLTFKMWKANWRKEAIHAEERIRTYGDQPSQDEIEHIGSRTTSPMQ >Solyc09g072960.1.1 pep chromosome:SL3.0:9:66057875:66059062:-1 gene:Solyc09g072960.1 transcript:Solyc09g072960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDILPDCLIQKILCCLSFKEATRMSILSKTWLQEWSTLPNLEFTINCWEGNINTANTAMERYRKGKIPIQKFELVESFANSREDFPLIDKWLDIALENGVKHLSLNFKSYPMPILRILAAKSLRGLDVQGSMPDSLSTGVVNCKSLRKLSLSNIRLDENIVQALLNSCPFIDSLILGYCSGKFINCCEIKMKDLLMDHIGSTPRVDVLNVNIEWMNQNFVDALLWSCHPRRLNLFSNVTPTITHFIDHLLFMMNSSHSTSDRSLPWHSQLKEIKAFDGKNQSLPLISGELAKRIRMEGEKVYFLLHWCS >Solyc06g074905.1.1 pep chromosome:SL3.0:6:46544393:46546837:1 gene:Solyc06g074905.1 transcript:Solyc06g074905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVRGKGYGKRPPEKICVQQVDLEASMASTMGTAKKEEQGTSELLRKLEEELARMDIQVGKRTQVKMDAFMIRMQQMLSVSGSLWFNLMQRVVGCEKSLSLDPGMSLLMREVVYLSLCN >Solyc09g082320.3.1 pep chromosome:SL3.0:9:68500118:68505978:-1 gene:Solyc09g082320.3 transcript:Solyc09g082320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVFGMVGNGFSLVVADSSAVHSILVHKSNEDKIMILDSHKLMGASGEAGDRAQFTEYVQKNVALYQFRNGIPLTTAATANFTRGELATALRKNPYMVNIILAGYDKGTGPSLYYIDYIATLHKVDKAAFGYGSYFSLAMMDRHYRKDMTVEEAVDLADKCIMEIRSRLVVAPPNFVIKIVDKEGAREYAWRESVKDAPVSNI >Solyc04g051785.1.1 pep chromosome:SL3.0:4:51021311:51026465:-1 gene:Solyc04g051785.1 transcript:Solyc04g051785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDCSDVDVDKLSYFEFVDIVKEIGYNCAASVVYIKPPKSRHVEVKSDRDIMGIAPKLTNEDIVELYVTHLVEEDVVAPPAIEYLNDVGGVDDVGGKSNATFNKESSQTFQDNEGLGFEEPAQPIVGEELSEVFGRNSTCAGEELCRASASAGEELGRTSTSAGEELEVESDWKSETEESDDSDNADLLDEGEDEYAATAIDVPSATGGVKRQKMVGMGILHTQNDFTIHNPRMSMNTSIVTGNLGHHKPRSGLKWKEKDVVTQ >Solyc08g045647.1.1.1 pep chromosome:SL3.0:8:17834061:17834789:-1 gene:Solyc08g045647.1 transcript:Solyc08g045647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETSSSSVIPSLSTISSTPNLAHQLSMKLTSSNFLLWKIQFMPMIYVCGLNYHIDGTTPTPTHFLDYTNTKPNPAYLIWLRQDQLVLSWIVASVSESVLPQLVGATTARAAWDKLVAAYALGSRPYIRELKTQLHALRRDNDSIESYVQKAKKMSDKLAALQHPVSNDDLVEFVLAGLGPSYRLFTRSLRSRQVETNFDELYELLLNEERQLKMDEALTFIAPTTRYTQSSFSTIHGRGRG >Solyc04g081720.1.1 pep chromosome:SL3.0:4:65737977:65738245:-1 gene:Solyc04g081720.1 transcript:Solyc04g081720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALLGASTQLRYVTVDSVSGDTARKSLPQNPLVVKKMLELVLRVDS >Solyc07g025387.1.1 pep chromosome:SL3.0:7:27923052:27923722:1 gene:Solyc07g025387.1 transcript:Solyc07g025387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFEMKDLGPTKQILGMKISRDRSAGTLNLSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSKEQSSKTAEERKVTLQGFVDADLGGDVNSSKSTSGYIYTIGGTAVSWMSRLQKLS >Solyc12g076350.2.1 pep chromosome:SL3.0:12:22232879:22274503:1 gene:Solyc12g076350.2 transcript:Solyc12g076350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPMEISMVNAAEVNTSEQNGDPSPLPSPTLPEEKVLVSVEVCLKPSSTARIDDVRLSVERMLEKRSMSYVNGPIPVPIDDLFLAENVLHICVCDTDVWLENRDILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSTFNEWILPAKEFNGMWESLIYESGLKQRLLRYAASALLFTEKRVNSFLVSWNRIILLHGPPGTGKTSLCKALAQKLSIRFSFRYPRSQLVEVNAHSLFSKWFSESGKLVAKLFSKIEEMVEEETNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQLDKLKSAPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRTGILSNSQDGGCLVLPSYASLKEKMSEVVSTESQMFPHLGKQLLEAAEACEGMSGRSLRKLPFLAHAALANPYSCEPGKFLHVMMQTAKRERSELSE >Solyc08g065350.3.1 pep chromosome:SL3.0:8:53323808:53332146:1 gene:Solyc08g065350.3 transcript:Solyc08g065350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PSGSLTHASNKTIIAIKAARYLNRFTFCNFSLLSASQSMSSAASSSKTDDAEALRRSRILSSPLYYDVPPSKVPLIYSSSYDIAFFGIEKLHPFDSSKWGRICRFLTKEGIMDQKHVVEPVEATKDDLLVVHSESYLKSLNSSLNVSMIIEVPPVAMLPNCLVQKKVLHPFRKQVGGTILAAKLAKERGWAINVGGGFHHCSSEKGGGFCVYADISLCIHFAFVRLNISRVMIIDLDAHQGNGHEMDFSDDRRVFILDMYNPRIYPLDFEARRYIDLKVEVKSGTATDEYLTKLDHALEVAEKRFDPDFIVYNAGTDILDGDPLGRLKISPDGIASRDEKVFRFARERSIPLIMLTSGGYMKSSAKVIADSIVNLSSKSLIDMKS >Solyc01g094860.3.1 pep chromosome:SL3.0:1:86130918:86142188:-1 gene:Solyc01g094860.3 transcript:Solyc01g094860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLILGHSSSNLFLVIVVLTVTCFSSCWVLYGEQVQGGDGLHKSATLSSFIYATTVLKPYEWRYISVDLPPWFSSVTIALESNIGVDLNRIGKASTLPMICFREGSPPLPDVHNTSITGLVIDHISNSSFGGSQDLQMVEKCYPMQKSISLMLTNEQIRPGIWYFGLFNGIGPLRTQSKMINRGHSYSFSGNITVEGCTNSAMLGKFCNQTISLLSCSDTYLSPQSEIANMTTCGGTENSCLDVAGSKVYSLDVVSIAEEIIITALNITSTQLQRSNGAINSSGHPLMCYVRHGTLPQSQLYDYSADINRSPLVIPLPRLGRWYIKILPANLSESTSAIQEMNSTICYSLEWQVLGCPVDKAGLNCTTAKYTLQTFLKRTPFVAFESYYLPISGEVSSGSANFPLGPLLSNSSDGDYTWTFFLLDIPDGAGGGNIHVRVASEAKISGEIYARYGGFPSLSNWDYFYANSTSNSNGSMFFKLYDASDKSVSFYIIYARGGTWSFGLRHPISNRHSSTVETTMSISLERCPAKCSSHGACKSVLDSSGLTFYSFCDCDRRTGGFDCSVELVSSSGKTLYLCAIRNYVSVFTFKGQVLKTHVGQMWQSISLIGSNAAALLPAYWALRHKAFAEWVLYTSSGISSGLYHACDLGTWCPLSYHVLQFMDFWLSFMAVVSTFVYLSTIDEVTKRAIHTVVAILTALMAENGPTKSSNIILVVAIGTLALFIGFLIEFFSHHRWISFSTEICLNMLNRWETVKAWVHNFIRSLLKRFRWRFLLAGFTALAIAAISWKLETSQNYWIWHSAWHVTIYTSSFLFLCSKATAVNCDNEQPRSENYELARQNSFNGSSERGGR >Solyc01g010290.3.1 pep chromosome:SL3.0:1:5024739:5026834:-1 gene:Solyc01g010290.3 transcript:Solyc01g010290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQDNWPSVLFAMAGGVVLSIGNLTTQYAWAFVGLSVTEVVSSSITVVIGTTLNYYLDDKINKAEILFPGVACFLIAVCLGSAVHASNAADNKAKLDSYKNESKDGIRANSITDSKQAYTKTVDVNDAEKGAASTEKAKFGTALFLIDLEKRRAIKIFGKSAIIGLALTFFAGVCFSLFSPAFNLATNDQWHTLKDGVPHLSVYTAFFYFSVSCFVVALILNLIFLYRPVLNAPKSSLKAYINDWNGRGWALLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVILFGEYRRSSRKTYTLLVGMLFMFVAAVGILMASSGHRK >Solyc05g014485.1.1 pep chromosome:SL3.0:5:8346791:8350867:1 gene:Solyc05g014485.1 transcript:Solyc05g014485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDWIGGRVALFNIIPSSTGAAKVAGKVLPYLNGKLME >Solyc12g017545.1.1 pep chromosome:SL3.0:12:6815613:6816605:1 gene:Solyc12g017545.1 transcript:Solyc12g017545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLFNDYKVIAQRCKVEFNGGCGYEVTEEYGTYLEYHVLVPSKHLSIKKVDPIAEIHWWYSKEAYLKVYKHKIEPVRGENFWKIEPHHAMEPPTLAKMAGRPKMKRTREKDEAKNRQGAWSASRKGMLMTCGYCGEPNHNRRKCPLLNDKYEDVFQDEESSQESHNVPLTESDNTQESACLFIPVPGLSQISSQSSIVAEHDSDPTIYPKVVSESNFRLHERLKKINIGTRKITFQGDHNGISMPTNLPYSPKKVTWKGKEAMTSNQLQATRERKIGKLKPIGGKGM >Solyc10g008850.2.1.1 pep chromosome:SL3.0:10:2890865:2895801:-1 gene:Solyc10g008850.2 transcript:Solyc10g008850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHQKPKPTDNFSSPPSTNPPKTSGGSSNGGLSGAPHFPNPPDRTNPDAATLREQWRFAIKQYSKWYSHAWGTAILAGLSFYALGWIIKGSNPLPSFKHEESDAKNASSSSSSDDAGAVPEVKRS >Solyc09g074430.3.1 pep chromosome:SL3.0:9:66659047:66660761:-1 gene:Solyc09g074430.3 transcript:Solyc09g074430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFDNHVEQPMVIIVGGGPGGLATSACLNKLCIPNLILEKEDCYSPMWKKYSYDRVHLHLAKQFCQLPLFPFPSSSPTYVPKKQFIQYLDDYVTHFNITPFYNRNVEFAEFDVITEKWNVKVRNGNSGEMEEYFCKFLVVATGEASYPFIPDVPGLTSFTGEAIHSTQYKNAEKYKGKNVLVVGCGNSGMEIALDLANNGANTSIIVRSPNPKMRLQMHLISREMGYLALMLLKYKVALRVVDTIMVMLSKLMYGDISKYYGVKRPEEGPFACKVKYGKYPVFDVGTYRKIKSGEIQVLPAMRSIRGNDVVVENGKIHQFDGIVFATGFKRTTHKWLQGDDYLLNEDGLPKPEFPQHWKGKNGLYCVGLSRRGLYGIAFDAQNIATHINSLLSE >Solyc12g005275.1.1 pep chromosome:SL3.0:12:170673:171333:-1 gene:Solyc12g005275.1 transcript:Solyc12g005275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VNLQAGYHQIKMKPEDVFKTAFRTHVGHYELKVMPFRLTNAPTTFQALMNQVFLPQLRKFVLVFFDDILVYSRFRADHCMQRDINALGQSQVKYLGHIITSEGVSTDPSKVQSMSEWPTPTTLRALRGILGLRAIIENMWNDKDDQAFIAWKHAMPTAHVLALPDYTKEFIVETDASLTRIGVVLTQ >Solyc02g021260.2.1 pep chromosome:SL3.0:2:22877343:22887276:1 gene:Solyc02g021260.2 transcript:Solyc02g021260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTKNNTVVQSRFIKIILFPHECIHLSIPHDFERRCCNDILSPVHLEVPTGQVWEVELRHSEGHIWFTKGWQDFCDYYSISRGHFLMFGYNTPSHFDVTIFDVSAAEIEYPYSSRTFHFHETHHAPIIDLSESDVDIMEDTPRRQKLKEKVVDHSLENLCYGQISKRKRHGDDVASPSFTKKVQNCRIHKKDSKSVYDQNKTVMEKESSTAYQQAKAFKSKNPFIISFMQPSYVSASFNLSIPLKFARKYFLENNGNLVLRVPGIGSWSVKCTLGMTNGKVGSGWKAFVQDNKLKVGDVCVFEVLKGQLFVDVIIFRAAGSTLMHNIVAEVPRVPCSQPKVVQTKKSKQHTGGSYGLNLKIKEEQGEGTEILGHCPSGRGSKRKQPEVDAVVPSFTKKAQNCRIHKKDSKSVYDQNKTVMEKESSTAYQQAKAFKYKNPFTISFMQPSYVSASFNLSIPLKFARKYFLENNGNLVLRVPGIGSWSVKCTLGMTNGKVGSGWKAFVQDNKLKVGDVCVFEVLKGQLFVDVIIFRAAGSTLMHNIVAEVSRVPYSQPKVVQTKKSKQHTGGSYGLNLKIKVEQGEGTEILGHCPSGRGSKRKQSEVDAVVPSFTKKAQNCRIHKKDSKSVYDQNKTVMEKESSTAYQQAKAFKSKNPFTISFMQPSYVSASFNLSIPLKFARKYFLENNGNLVLRVPGIGSWSVKCTLGMTNGKVGSGWKAFVQDNKLKVGDICVFEVLKGQLFVDVIIFRVAGSTLMHNIVAEVPRVPCSQPKVVQTKKSKQHTGGSYGLNLKIKEEQGEGTEILGHCPSGRGSKRKQPEVDAVVSSFTRKTKKSGGSCGLHKKQSKIVYDKNKTVTDKKSSIAYQRAKAFKSKNPFIVSFMQPSYISKHYILKIWSAFARKYFLENDGNLVLRVSGSGSWSVKCTITTASAKFNYGWKTFVLENELKLGDVCVFEVIKGGQLFVDVTIFRAAGSIIVAEVPGRSDRKSKVIKEEHGKGTEIEHSVKVLGHCPLGNDSKRRRPARKAEDVASPSFTRKPKKSGESCRMHKQQTKMVNAKRKTVLDKEMTIAYQRAKAFRSKNPFVIYFMQPSYVSRPYNLHMTFSYAQKYLWEKCGDLVLRAAGRGSWSVKYDLGLPEGRIRLSWRAFVLDNKLKSGDVCVFELIKGTQPFLDVIIFRANERKPKHTTDGGVSGFRNKIIKTENSVPCPRTKIDHSRKHNLEKKHKGDSDGFITSKVKEELREGTLRHGQQSTSFCMGTVVAKEMVLAYQKAKAFTSENPFFLSFMQPSYVSLARGPIQLSIASSVARKFFSTRQSDVVLEVSSKRRWTVKCSVGIKTAKFSCGWKEFVVDNKLKVGDVCIFEWTGRPNLVFNVIIFPSCGGI >Solyc04g078710.2.1.1 pep chromosome:SL3.0:4:63482436:63484685:1 gene:Solyc04g078710.2 transcript:Solyc04g078710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLFLLFLLANSASGKRTTYIIHMDKSFMPKAFTSHEQWHSSILETVNLKDTASKSSTKPTRILYSYDNAFHGFSAVMSEDELKVLEKLPGFVSVYADKMVTLDTTHTFEFLGLNPESGLWPASHYGEDVIVGVIDTGVWPESRSYKDDGMTEIPSRWKGICEPGQDFNASMCNNKLIGVRYFNKGVKAANPNITISMNSGRDTQGHGTHTSSTVGGNYVEGASFFGYATGTARGVAPRARLAMYKVIFDEGRFASDVLAGMDQAVADGVDVISISMGFDNVPLYEDPIAIASFGAMEKGVLVSASAGNAGVTPGILHNGIPWLLTTAAGSIDRIHSGKLTLGNGQVITGWSMYPVSALVNDFPLIYNESISSCNSTSLSSFNFGIIICENGNFLDQINTIAESSAPAAIYISDDPRIFQREEFAYPGVVISPEDGAAVISYAKSSANPVASISFQQTFVRSTPAPVVATYTSRGPSPSYPGILKPDIMAPGSLVLASWIPNSYTVSIYPDIGLSSEFAMISGTSMACPHSSGIAALLKGAHPEWSPAAIRSAMITGAINIDNTNSPIKDSGLNYSIATPLAMGAGLVNPNFALNPGLIYDATPQDYINLLCAMKFTHKQILTITRSSTYTCQNASSDLNYPSFIAPYTNETTATLSQKFVRTVTNVGNGPANYSINMVVPSNTNISVYPSRLSFSSKYEKLSYTLTIEYSGNKTGEVVFGSLTWVDVIGLHAVTSSIVVAPMIRTW >Solyc12g099970.2.1 pep chromosome:SL3.0:12:67882160:67885600:1 gene:Solyc12g099970.2 transcript:Solyc12g099970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNANAREDGAAGDGDGDGQVSGRRSNVESGIVEDHHALNSRVPSADLMVNSPPQSPHRSASPLLFGPQVPVVPLQGGDGNPVSNQMWGNECEDASDHSLEGGIPTLITWSYGGNNVAIQGSWDNWTSRKILQRSGKDYTVLLVLPSGIYHYKFIVDGEVRYIPELPCVADETGVVFNLLDVNDNVPENLESVAEFEAPPSPDSSYAQALMGEEDFEKEPVAVPPQLHLTVLGSENSEEAPSSPKPQHVVLNHLFIEKGWASQSVVALGLTHRFQSKYVTVVLYKPLKR >Solyc05g046220.2.1 pep chromosome:SL3.0:5:59266325:59271611:-1 gene:Solyc05g046220.2 transcript:Solyc05g046220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKGKLVSEITLCNGDVFHEIFRQRPHHLSNICPDKIKNVNIHEGDWGTVGSVNFWNFTHDVIENAAKEIVEEIDEENKLIKKKMIEGDLLEDYKSFYITTHVETKGENNLFIWIIEYEKKNANVSDPHTFMEFALKMTKDIETHHIK >Solyc02g036220.1.1.1 pep chromosome:SL3.0:2:30839236:30839649:-1 gene:Solyc02g036220.1 transcript:Solyc02g036220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFKFLFVILLSISITIALFPSLSSQELHLEALDASYLRGKTSFLASKQRYVATCDKYPRVCAAKGSRGQDCCKKQCVNVLNDRSNCGKCGNKCKYSERCCQGWCVNIYVNKKHCGKCNNECKRGSSCSYGMCNYA >Solyc02g079270.3.1 pep chromosome:SL3.0:2:44426994:44428151:1 gene:Solyc02g079270.3 transcript:Solyc02g079270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLRCSGVRFPVTPLLRPFRTEIRPCFAAVRSSLSLPFPEQKAKYYRELEAAVDVVERACRLCVDVKSLLFSDDDKILEKNDQTPVTIADFGVQALVSLGDPFLFS >Solyc11g013687.1.1 pep chromosome:SL3.0:11:6986297:6995943:1 gene:Solyc11g013687.1 transcript:Solyc11g013687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLMIPTDPSYLYKIDASRLKLWLFIAYVVSFVSLAASVGLLIQDALEKSGPSAWIGVAVSLAALIDLLIQDALYLAFTFKRLSSYPDVSCIDVQFQRNFKMQNHEISINEEKGVQNMI >Solyc09g007850.3.1 pep chromosome:SL3.0:9:1378080:1380890:-1 gene:Solyc09g007850.3 transcript:Solyc09g007850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSLHFLSLTPQTLPKPTSQTTSISFFSLPSSSLNLSLSSSSTPRYFESSRFVRKVTLSDFDQIEEVGAGDDDEEEEGGLSDEGASYQERNANPDLKIFVGNLPFSVDSAYLAELFERAGDVEMVEVIYDKLTGRSRGFGFVTMSSKEAVEAACQQFNGYEIDGRALRVNSGPAPPKRENSFGGNSSYQGGRGGGSMDSSNRVYVGNLAWSVDQQQLETLFSEQGKVVDAKVVYDRDSGRSRGFGFVTYSSAQEVNDAIESLDGVDLGGRAIRVSPAEARPPRRQF >Solyc04g079970.3.1 pep chromosome:SL3.0:4:64368482:64373408:1 gene:Solyc04g079970.3 transcript:Solyc04g079970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLFKVKEKQREQAENANGKPPVKKQSPGELRLHKDISELNLPKTCSISFPNGKDDLMNFEVTIRPDEGYYMGGTFTFSFSISPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNHEDPLNHDAAAVLRDNPKMFESNVRRAMHGGYVGQTFFTRCM >Solyc01g110730.3.1 pep chromosome:SL3.0:1:97238298:97240590:-1 gene:Solyc01g110730.3 transcript:Solyc01g110730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSTVADVPKGHFAVYVGENQKKRFVIPISFLSQPLFQDLLSQAEEEFGFDHPMGGVTIPCSEDVFARACDTSVTLSSAGAHNFLFNTTSKPSATRDIPKGHFAVYVGEMQKKRFVIPISFLSEPLFQDLLSQAEEEFGFDHPMGGVTIPCSEDLFIDVTSRLRM >Solyc03g097884.1.1 pep chromosome:SL3.0:3:61661932:61663094:-1 gene:Solyc03g097884.1 transcript:Solyc03g097884.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVFGDVQKDLVYAYGWPSRLVRPIWRVKRASKRAYVSFRRFSCAIAHHFLGYPDSDVKNAKFFVDIRQDLVYAYGWPSRLVQPIWRVKRAPKRAYASFRRFSCAIAHRFLGDPDSDVKNAKFFRGHSSEPCLCIQLPITACPTHLEGQTSPEASIRLISTIFVCYSTPFFGPCLCIRLAITACPTYLEAHCILGDPEFDVKNAKNFRGCPSRPCLCIRLAVTAFATHLEGQTSPEASIRLISTLKVPNFLMDVRQDLVYAYG >Solyc06g064680.1.1.1 pep chromosome:SL3.0:6:40431433:40433718:1 gene:Solyc06g064680.1 transcript:Solyc06g064680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVETCGKGTLSSGEPSNKPSTASIYDDDEVVGFEKDAEIIMKKLIRGTKERDLISIYGMPGLGKTTLARKVYNNPSIVNYFEVKAWCAVSQAYNRSTLLVEIFKQATNDKIKIKEDDDVADMLRKVLIGKRYLIVLDDIWEVEAWEDLGICFPQGECGSRVMVTTRIEQVAKHLQHHSHPYSLSFLSSKKSWELLEKKVFRGESCPPDLLEAGLQVALHCKGLPLVVVLIAGMIAKMDREASLWLEVANDLSSLALGEQSMKVIQSSYDHLENHLKPCLLYMALFPEDHKITVDDLLKLWMAEEFVLNVETENMEEASRVCLSDLLNRSLIIMVSGMRFYDNVQYCSLHDVVREFCLRKLTEDKYMQLTVPYNPYQHLHSTESRLCIFIHDDFVKQLDHPDYQLDKIPRLDFKETNSLEFIAHPKLNVWNNQYSNPLDLVLKLRFVRVLDLMDVELPDSWATAIQSLTELRYLALCVKQFELKWISHLHNLQTLRLKSSEKLRLRAATLWEMTKLRHVNIDCFSVAWEDNEQESSSTSLLENMKSFGTCNIRFDNMNARFWWRFPNLEELCLSVEDVPEFPYFPIVEVHSHLHFLSLEFPLIELFNSFGWERFCVFPSNLRHLDLDKCFLTEEMVLNIARLKKLESLKLFLGFPSMRSYCWDVTNVEFPSLKYLGLFFMKIEEWKASEESFPVLEKLVISAWPGFRGIKEIPPSFADILTLRRIELFDCTDSLGVSAMNMKREIEENTGCDSLQVVIQK >Solyc01g068130.3.1 pep chromosome:SL3.0:1:77047850:77051726:-1 gene:Solyc01g068130.3 transcript:Solyc01g068130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMFPLLFMLLLLTMNWIVVLICCEGGDSGYSSAVGDPGMRRDGLRVGLEAWNFCNEVGEEATGMGSPRAADCFDISGSSLSHKVTESDNKLGVGKSFPGLSLKAKNDPDLYAAEKELYLGSLCEVDDTPRPWQFWMIMLKNGNYDSKSGLCPENGKRVPPFKPGRFPCFGKGCMNQPILFHQPTYLSDDETMMGGFNGTYDLASTAGSSSSSSFFEVVWEKKIGKGGWVFKHKLRTSKLYPWLMLYLRADATKGFSGGYHYDTRGMLKILPESPNFKVKLTLDVKVGGGPKSQFYLIDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPETAAWCSPTNIGNCPPFHITPNNTKIYRNNTSHFPYSAYHYYCAPGNAEHLEKPYSTCDPYSNPQAQELLQLLPHPIWADYGYPTKQGDGWVGDGRTWELDVGGLSSRLYFYQDPGTHPARRIWTSLDVGTEIFVSDKDEVAEWTLSDFDVLLTS >Solyc07g021710.3.1 pep chromosome:SL3.0:7:21218376:21220017:1 gene:Solyc07g021710.3 transcript:Solyc07g021710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAKIVIVFDFGRTLIAEDNDRWVVENMGLTHLFNQMMEELHSENKTVEQITECLKDVLLHPHTISAIQSTHDLGCDLKVVSDANEFYIETILKHHGLERYFSKVITNPISVDGEGILRIFPYHMASCNLCPPNLCKGLVIEQIQASLSEKGKSRFIYLGDGRGDYFPTLKLNKGDHVMPRKGFPLSNHLLSDPNLLKADSHEWSNGQELESILLQLIEKIYKE >Solyc11g012520.1.1.1 pep chromosome:SL3.0:11:5340530:5340910:-1 gene:Solyc11g012520.1 transcript:Solyc11g012520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICSSIALLQERFKQLERVKEMRQEKELLKILSHSYDQCNHPSITMPMHNYNTDRDHYHHHYYQSLNDNKLFSPQKPESSSQLSLSLWPEIHSRSLDKSRVSNTTNLTKIKFDGLHSDVDTSLHL >Solyc12g010190.1.1.1 pep chromosome:SL3.0:12:3311080:3311376:1 gene:Solyc12g010190.1 transcript:Solyc12g010190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQACWRGRLRRFADLLDRVWVSVVASRSSKIKGEGRRTWGCWRLQEMTSVPSGTELTRRRRRKKRFGSGERREARVGETRDKGKSERGREHGEGTVF >Solyc05g009440.2.1 pep chromosome:SL3.0:5:3611374:3613779:-1 gene:Solyc05g009440.2 transcript:Solyc05g009440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKECKNEENKSDSKGIIIILGVYIHCQGCKEQVLKSLRGFDGVGEVEIDDKNHKVMVKGKKLDPLNVAERLRKKSGKHVELISPIPSKKKEEEKEEKKQEPKVIEVILKLYLHCEGCAKDVKQCLHKMPGVQTVDPEMKNDIVKVKGSMDPQKLVEFINKKAGRHAEIIKKIDKEKNEKTLCDKNSFDIRKGCSNCQHDYLQFVYAPQIFSDENPNSCLIM >Solyc05g006770.3.1.1 pep chromosome:SL3.0:5:1392219:1394141:-1 gene:Solyc05g006770.3 transcript:Solyc05g006770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLFLSIFLFLVFILEAAAAVHSCLKSEISCPPFASFPPFPFSSSPGCGHPSFQIQCSPSHAVISINNLSFSLLHYESTSSTLLLSPASLSQNEISSVSTDSKNCSLHHRSISLSGSPFKVSDSSCSRLSALRPCPPTNLPNCSHCPWQCKLIKNPLQLLHECGLRHHFESDERCQSDILGFLDGFLKMGIEVEWDEEQDSYFTSCRTCRASSGVCGFNSSHPKKPFLCFPSSQVRYSPPLIRQKSANRIVMLSMVFLLVCFLVVFSIGSLIFRSRDKGAGSEEDPTIIYLRRHRSASLLPPVFPYEELESSTNHFDHKRKLGDGGFGSVYLGNLDDGRLVAVKHLHKHSPTGTKAFSTKSFCNEILILSSINHPNLVKLHGYCSDPRGLLLVYDYVPNGTLADHLHGNKNLYKKGSLTWSLRVDIALQIAMAIEYLHFSVVPPIVHRDITSTNIFVEKDMRVKVGDFGLSRLLVCTDTSVASGDSTGEVWTGPQGTPGYLDPDYHKSFRLNEKSDIYSFGVVLLELITGMKAVDQKREKREMALADMVVSRIQMGLLQQVVDPFLVVDGEAMEGVGAVAELAFRCVAADKDDRPDSRDVVAELRRIRGRTRGVGSGGILRTSNSSNMVVPDGIGLID >Solyc02g081890.3.1 pep chromosome:SL3.0:2:46214113:46220943:-1 gene:Solyc02g081890.3 transcript:Solyc02g081890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLKMLSSWNWRTRVRLSVFVAATLLLLLVGFLCSRFDDDQYRTLMNNIPDDHSNVDTSTTIKMNHPSKGRSSDTPILAYWIFGFRGESKRMLRLLKAVYHPRNQYLLHLLDGDGYEERMELAVSVESEHVFRAFGNVNVVGKSYAVEESGASALSAMLHASALLLRISPLWDWFFTLSSSDYPLFTQDDILFALTSLPRDLNFVGFTNRTIDRNRQHNVNRIVVDPSLHLKHATPLYYAVETREMPTTFDIFQGSPWMVLSRGFMEHCIKGWDNFPRKLLMYYANVVSPLESYFHTVLCNSPEFRNRIVNQDLRCSAPINVSNYYDNLVNEWAIFARPFKEGDPTLDELDRDILDRQPHGLVRGKWCYNRGHNYSSSCSSNSGFRWDRQWLVVNSKGRAYTQRVEPKLALVEVALPIEAFSEGWEPNNDSYLVIKAPGMDPLKIPLSDPSVVSDGVSVWEWSGSALDEGAEAAMWFSTHLGKPSRLVRFSEVKEMRVVDPNYAQGYNVMFSDGYPYLMLSQGSMNALNSLLKEPVPVNRFRPNVLVDGCEPFAEDLWKEIQINKLTFEGVKLCSRCKVPTINQETTVAGSEPTETLLKFRSDKALRPNKKQQGKVYFGQNMVCSDALSHGKGKIVKVGDPVYVHKVVPSSAEAPA >Solyc01g107030.3.1 pep chromosome:SL3.0:1:94596284:94601651:1 gene:Solyc01g107030.3 transcript:Solyc01g107030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPCTKCPISIRNTITQFPHPRIPTSTKHPKSLISRISLSSSSSQSDQKTRTNSINSEDNFPTMSEIMEASRSQNLDLQLKTLGPFFRITAKSIKTQRDLGKAEGLIRVWFQGKILHLDSIRLQRETLGMEKSIFGIGLFIGAVAIRHGYDSGCKKAELLAIYDTELYHTKLVKFYTRIGFKTVHQVSGESLGDVGHMLVWGGVGTRMDADIEHLLPSYLLRFTLMSSRREGRDSDSKRHRSRFDQEPSPKRSRRQSRQETERPPTTHNLDSENNSERDLKHHRRLQDDVPLDAPSVQDSKSERTPRKPSENVAVKDDGIKESSDLNEGSRHRSSKHSTNPSHDERGSAGQVDRSFRHKAATERGWWKDAKETSNRATTNDKQMNDEKSKVSGRQNDVWRHDRYFEVKENPKPPVKKRSFREEKIPVDTEKLEKAVTELVKPNPSHPEVDGGRKNERTDHMSRHPSRYERPFTGERYANRGQTWRGKFPSRDRYHGNSDHRGRDRFSSRQGPNPSKVVEKWKHDLYDEANKNPTPKNEEDQIAKIEALLSS >Solyc10g081350.2.1 pep chromosome:SL3.0:10:62576115:62581798:1 gene:Solyc10g081350.2 transcript:Solyc10g081350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQGGGGGGGGGVGVNSIGVTQAQAQAQAHAQDPKTNALARQGSLYSLTLDEVQNQLGDLGKPLSNMNLDELLKTVWTVEASQGMGGTDYGVLQHGQDASGSSLNRQSSITLTSDLSKKTVDQVWQDIQQGHKRDRIDRKAQERQPTLGEMTLEDFLVKAGVVAESTPGKKSLGSVLGVDSMALPQQQAQWSQYQMQAMHPLPPQQHQQQQQNMLPVFMPSHSAQQPLTIVSNPTIDAAYPESQMTMSPTALLGTLSDTQTLGRKRVAPDDVVEKTVERRQKRMIKNRESAARSRARKQAYTHELENKVSRLEEENERLKRQKEIEQVLPSVPLPEPKYQLRRTSSAPF >Solyc07g024040.2.1.1 pep chromosome:SL3.0:7:23999478:23999606:-1 gene:Solyc07g024040.2 transcript:Solyc07g024040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQGTPSMKNLNLPSIFVPLVGLVFSAIAMASLFLHVQKNKIV >Solyc02g082870.3.1 pep chromosome:SL3.0:2:47074724:47084881:1 gene:Solyc02g082870.3 transcript:Solyc02g082870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSGVTRNFICSINSVQIESTSIHQKRRHYMCMQVSMDLQTESSRGLLKCSAANYVPLTPISFLERAANAFRGRTSIIDGTSVKYNWEETYDRCIKLASALSELGISRGDMVATLARNVYAMQELHFAVPMAGGVICTLNTRHDSAMLSVLLKHSEAKVIFVDQHMLQLAQGALDILVNEKVTPPLLVVISESENSYSTIVNSNSYEYESLLESGNSEFSVRWPTSELDPISVNYTSGTTSRPKGVVYSHRGAYLNAIATFLLHEMSSWPVYLWTVPTFHCNGWCLTWGLAALGGTSICLRQVYPKDIFENIAEHKVTHMSGAPTVLNMLVNSPVSDRKPLPHSVNIMTGGSPPPPQIICSMEELGFRVHHLYGLTETYGPGTYNLWRPEWDSLPPNEKYKLKARQGVQHLCSEAVDVMDPLTMEKVPEDGKTIGEIMFRGNTVMSEYLKDEEATKEAFKGGWFHSGDLAVKHPDGYIEVKDRLKDIVISGGENISTIEVERVLYSHPAVFETAVVARPDNHWGQTPCAFVKLKDGSNVSEQEIINFCRDNLPHYMAPRTVIFQHLPKTSTGKIQKFILREKARALGTNYFPLTPISFLDRAAKVFRDRTSVVYGSSVKFTWEETHNRCLKMASALSQLGISRGDVVATLAPNVPAVQELHFAVPMAGAVLCTLNTRHDSAMVSVLLRHSEAKIIFVDQQLFDVAQGALDLLADAKTKPLLILIPDPENLPPPVAAPNVHEYETLLASGRDDFAIKWPLTEFDPISVNYTSGTTSHPKGVVYNHRGAYLNAIATPYTHEMGSMPTYLWTVPMFHCNGWSLTWGVAALGGTNVCLRRVSPKDIFENISLHKVTHMSAAPTVMNMIVNSPRSDRKPLPHKVEITTGGSPPPPHIISKMEELGFSVSHIYGLTEIHGPCMSCLHQPEWESLPPDERFALKARQGVEHYFTQGIDIRDPDTMEMVPDDGKTLGEIMIKGNTVMSGYLKNVKATEEVFRGGWFHTGDLAVRHPDGYIEVKDRMKDIIISGGENICSVEVERVLVSHPAVLEAAVVARPDDHWGQTPCAFVKLKEGFSLGSEDIINYCRDHLPHYMAPRTVIFEDLPTTSTGKIQKFVLREKAKALGSLCEIKREIAV >Solyc04g010190.1.1.1 pep chromosome:SL3.0:4:3508453:3509022:-1 gene:Solyc04g010190.1 transcript:Solyc04g010190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTSSTLQLTKSNPFFSKSPLKFHAPSYSSFTVRATTEESSPSDTDEQTTVDSSSDPDSFENRLSQVRLRYRSGTGKKAEVRKTRKGKKGSSGSGSGSSVFLPPIPLKEPVSEGLPVEFGFSPFSERVNGRIAMLGLSALLLVELATGKSVINYHTPAIVFIQVYFVAAVAAVYLKNEKEKVSVWPK >Solyc11g012760.2.1 pep chromosome:SL3.0:11:5519097:5522959:-1 gene:Solyc11g012760.2 transcript:Solyc11g012760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEEVVVEVEQQQQQPCPPLSKNAQKKLLKQQRYEVKKAEKKALLKEQKKREGERKRKEWEEKLASATEEEKQQLIESRKCLRKERMDQRSDEKGKKMQRLTNAKENGQNVVIDLEFDNLMNSSELHSLVQQIMYCYAVNGRCSSPAHLWLTGCQGEMQAQLQRLPGFDKWVIEKENRPYIEAFEDQKERLVYLTADSETTLDVLDPKHIYIIGGLVDRNRWKGLTKKKAEDQGIQTAKLPIGTYLKMSSSQVLTVNQVVEILLKYLETGDWKTSFFAVIPQRKRCEGDNDIEDTEGNDDHEKKIIDIELEEEKDDQESKRQCIES >Solyc12g035170.2.1 pep chromosome:SL3.0:12:38782473:38786223:1 gene:Solyc12g035170.2 transcript:Solyc12g035170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVLLPKHVAAVLKCQKNPLRALEIFNSVKKEHGFSHNLFTYKCIVEKLSYYGEFNAMESVIEEARKNIDNRLLEGVYITAIRGYGKKGKVQQAVDVFEKMEFFNCEPSVHSFNTIMNILVEHAYFKQAHKVYMKMLENGISPDVYTFTIRIKSFCRTNRPQVALRLLNNMLDQGCEFNAVACCTVIAGFYEVNCRVEACELFDEMLRLRITPNVTTFNKLICTLCKKGEVQETERLLNKILKRGVFPNLFTCNLLILGLSVNGQLHEAARVFEALRKEGLNADVVTYNTLICGLCKHSKVAEAESYLHKMVNRGFDPDAFTYNTIIGAYCKSGMIQQADIILKNAVFKGFVPDVFTFCSLIYGLCQDGDFNRAKSLFNEAIGKGMESNVILYNTIIKGMCQQGLILEALKLITEMHEKSCRPNSWTYNLIINGLCKMGCVSDASNILNDAVTKGILPDIFSFNTLIDGYCKQSKLADAIEILNTMWDHDVVPDVITYNTILNGLCKLKTSDDVMETFKVMVEKGCVPNIITYNILIESLCKSRKLMKALELLEDIQNRGLIPDTVSCGTLINGFCENEDLDGAYELFRRMRRQYKYFHTTATYNIMISAFSKKLKMDMAEKLFLEMNECGCPPDSYTYRCMIDGFCKVDNTEFGYKFLLENFSKELLPSKETAGQVINCLCVKNRLLDAVGIIHLMVQKGVVPHVVHTIFEVDKKEVAAPKIVVEDLLKKNHITYYAYELLYDVIRDKKILKRLSMKRKLATISCSWKHSTSSHLSIDMNQTKLQRHEQAIGAKQWHKSVTVAESTGLRREGGFSRNVSWLIQ >Solyc12g021365.1.1 pep chromosome:SL3.0:12:16040041:16044674:1 gene:Solyc12g021365.1 transcript:Solyc12g021365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSSLQGIRVQVRSVYIEGRSQPSKGLYFFAYRIRITNNSDRPVQLLRRHWIITDANGKTENVWGIGVIGEQPVVLPNTTFEYSSACPLGTPSGRMEGDFEMKHIDKVGSRTFNVSIAPFSLSKVVDGSDTFSDGS >Solyc06g060730.3.1 pep chromosome:SL3.0:6:38862922:38868169:-1 gene:Solyc06g060730.3 transcript:Solyc06g060730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSSSQQNQYPSYPASQQQSYNYNPSRFQANGRQSYYSNYQDPHQQQQQQQQQQIYHQQQPQYHHQQTQQQYSSYCPPNYSNSYPPQHPQAHHQWNHQEPPIHPPGVSIQPASASHGQPYFQLPNQQNGYHLPQRTEGVGLGVNPVAALAQLNQLAGSVGAAERLTATGGLQGQSWYPQAQGFRPVIGGPGTYTGSGLGSGAFPGNGAGPSGLHSHVGQSFDKGGGRRMGGDRRRGGGQSKGGDRRRGDGQSKGVDRRRGDGLSKGVDRRRGDGQSKGGDRRRGDGQSKGCDRRRNKVSNSAGVGRCDLCNVDCGCLGILKMHLNGKRHKRNLEKLEANENRTVSDVENVQKPSSDFEPGTAMKPDNLLVEEETKQNIPENIPLTAMKPDNLLVEEETKQKSPQNIPLTAMKPDNLLVEEETRQKSPQNIPLTTMKPDDLLVEEETKQNPPQNIPLTDMKPDNLLAEEETQQNPPQNFPLTDMKPDNLLVEEETQQNPPQNISSTDMKPDNFLVEEETQQNPPQNIPLDTLSSDNKLVTQQKTNNAQQADPPKDANRDTPDKPMMNQPGNQRSSVKRKTLVGGRKKKKASKAKRLATEPSNSNVVIPLMCDLCNVQCDTREILRQHLSGKQHKSALKCVEGHHPICGQAGLQAIYPPNPVTNSPDHSQGAQQVLNGAQASDPIAGASLLPLNENAVPSATVVSLN >Solyc12g019860.2.1 pep chromosome:SL3.0:12:11999379:12031256:1 gene:Solyc12g019860.2 transcript:Solyc12g019860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALMDARKLIEREMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDNFEAELEGLSVKKGKQRPPRLVHLETSIARHKAHIMKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDEFSDVDELYSTLPLDKVESLEDLVTVVPPALVKGVTVPSAVLSVKTSLASPPAQASVAVTSLAQQAASVQDQSDEVASQDSSSEIVVRTPPPKSSAVATSAPTTPAGSHATQGAAALSPTSMSNAIKEDDLTSFPARKPSPALSETALRGVSRGALSNQPVASIALGSTGSVTGNGGLGAIPSASEVTKRNILVSEERLGSSSMGQPLVSPLANRMMMSQAAKATDGIGVADGANLGDATVMTGRVFSPSVGPGMQWRPGSSFQNQNEAGQFRGRTEIAPDQREKFLQKFQQVQQGQGNLLGVPPFSGGNLKQFSSQQQNPLLPQFNSQSSSVTPQLGLGVGAQAVGINNIASSASLQQQPDVGHSKAEELQQQQILPEDSSADPSVNAGLGKNLLNEDDMKASYGLDTPMQGGVAEASPRPRDTDLSPGQPLQSSQSSGSLGVIGRRSVADLGAIGDSLSVTSANSSGMHDQIYNLQMLESAFHKLPLAKDSERAKSYTPRHPAVTPSSYPQVQAPIVNNPGFWERLGADNYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPTVATDDFEQGTYVYFDFHIANDEQHGWCQRIKQEFTFEYNFLEDELIV >Solyc01g014245.1.1 pep chromosome:SL3.0:1:11866760:11869056:1 gene:Solyc01g014245.1 transcript:Solyc01g014245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISGGHLFHPHHLSTFFFSFLPFQMYPLPHACRSFIPSKNGHGPSKNDNIRRLLLYVSSITFLSDQKRSLHCLGLVHRLQISRNFRSRKLLFAVQREYKISNIISSLMFHVQSEGWSFYIGTRFGLKY >Solyc03g116620.3.1 pep chromosome:SL3.0:3:67443697:67448628:1 gene:Solyc03g116620.3 transcript:Solyc03g116620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipase D [Source:UniProtKB/TrEMBL;Acc:K4BLG4] MAPILLHGTLHVTIHEVDKLHGKQGRNFFSKIKDSVEEKVGMGKGASRIYATVDLEKARVGRTRVIENEPNNPRWYESFHIYCAHMAKNVIFTVKDNNSIGATLIGRAYLPVNDLLEGEEVDEWIEILDEDENPVEAGSKIHVTLQYFEISRDRNWGRGIGTSKYPGVPYTFFPQRTGCRVSLYHDAHIPDNFIPKIPLSGGKYYEPHRCWEDIFDAISNAKHMIYITGWSVYTEITLMRDSRREKPGGGDTVGELLKKKAKEGVKVLMLVWDDRTSVRLLKKDGLMATHDEETEEYFKDSDVHCVLCPRDPDDGGSIVKDIQTSTMFTHHQKIVIVDTVMPNGESETRRLMSFVGGLDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFAEASIDKGGPREPWHDIHSRVEGPIAWDVLYNFEQRWKKQGGKDILVDVRELDNVIVPPSSVMYHDDPESWNVQLFRSIDGGAAFGFPDTPEESVKAGLVSGKNNIVDRSIQDAYITAIRRAKNFIYIENQYFLGSCYDWEDDDVKVEEVGALHLIPKELTLKIVSKIEAGERFTVYVLVPMWPEGIPDSASVQAILYWQRRTMEMMYKHIFKTLRDAGIDDHPRNYLTFFCIGNREVKKSGEYEPSHEPESDTDYRRAQEARRFMIYVHSKMMIVDDEYIIVGSANINQRSMDGARDSEIAIGAYQPHNLSTNRQPARGQIHGFRMALWYEHMGMLDDTFQHPESEDCVRKVNGIADKYWDLYTSESLETDLPGHLLRYPVGLTNDGEITDLPGNGNEYFPDTKAKVIGTKSDLLPPILTT >Solyc11g065590.1.1.1 pep chromosome:SL3.0:11:51403750:51404022:1 gene:Solyc11g065590.1 transcript:Solyc11g065590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVALSYTMVLEESQLMMEKLEARKVLLQQAKENAVKARQARNSFQKVMNNGMRRPMHLILSLLFILQDEKTISNQKIIIDTMVRTSTVQ >Solyc10g047390.1.1.1 pep chromosome:SL3.0:10:40730626:40730886:1 gene:Solyc10g047390.1 transcript:Solyc10g047390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKWRTRVNKRCTAQNKNRNLNKWNSYEKDQLLHYKKENDSELYLLSNEKDNFKKYYGCGLLAYKLNNYENKSDSFFLDYPLKFK >Solyc09g083280.3.1 pep chromosome:SL3.0:9:69358391:69360237:-1 gene:Solyc09g083280.3 transcript:Solyc09g083280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPV2] MTSIVGNQKDLNFKATELRLGLPGTEDQESDQEISNSKNNNKRALPESTHDEEDCESKSSSDHVKTPPPVAKAQIVGWPPVRSNRKNIIQPKKTESESGMYVKVSMDGAPYLRKIDLKMYKCYQELLKALENMFKLTIGEYSEREGYKGSEFAPAYEDKDGDLMLVGDVPWEMFMSSCKRLRIMKGSEARGLGCGV >Solyc01g096440.3.1 pep chromosome:SL3.0:1:87404357:87406271:-1 gene:Solyc01g096440.3 transcript:Solyc01g096440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTMMFVLMQPIEAICIDPSHYLANLLSKCIRTNDLNLGRLIHSRLIKTALNFNTFLANRLIDMYAKCSSVEYAQQAFNEVSNKNAQSWNIILSAYCKKGLFRETFQVLDVMPEPNVVSYNSIISSLTHHGFPGKAMGFFKRTRIRCRDEFLIDEFTVVGVVNACACLGVLRLLRELHGFATVIGVKFNLVVCNALIDAYGKCGKPEHSYSVFCQMHDTDVFSWTSMLVAYIRASRLEDACSLFDSMPVRNVVAWTALIMGFAQNGEGEKALCIFKEMLEEGIVPSASTYVSVLSACADIPIIEKGKQVHGHIFRYTCLIDLHNVFVVNALIDMYCKCGDMISSMTLFGRLDGKDRVSWNSIIIGFAQNGNGEMSLLMFDKMIEAGIKPNHVTFLGVLSACSHCGLLSEGFHYLHSMEREYGTVPQLDHYGILIDLLGRKNRLWEAAELIKGAPWGTNNIGMWGALLGACRVHGNLKLARRAAEALFELEPDNAARYIMLSNIYAAAGRWDDARSLRRYMDNRGLVKDAAYSWIEIKNIRHKFVAKDQSHSRSEEIKELLTKLIDPMKDVGYVFQTESSYFLEDDAFS >Solyc06g036800.1.1 pep chromosome:SL3.0:6:27979017:27979777:-1 gene:Solyc06g036800.1 transcript:Solyc06g036800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNVVRQVPFVVPSSSPQRHHLQVISENIEQTMNVEPIFNTLDKAMLPVRDPSKKAHLPLVCSELLDLINIS >Solyc01g089970.3.1 pep chromosome:SL3.0:1:83560254:83561548:1 gene:Solyc01g089970.3 transcript:Solyc01g089970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:K4AYT8] MEQTFIMIKPDGVQRGLVGEIISRFEKKGFSLKGLKLITVDRAFAEKHYADLSAKPFFNGLVEYIVSGPVVAMVWEGKGVVATGRKIIGATNPLESAAGTIRGDFAIDIGRNVIHGSDAVESARKEIALWFPEGIAEWQSSLHSWIYE >Solyc09g090870.3.1 pep chromosome:SL3.0:9:70732883:70767113:-1 gene:Solyc09g090870.3 transcript:Solyc09g090870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWVTAKNVVVSVPRWRSLSLFLRPPLRRRFLSFSPHTLCREQIRCVKERKFFATTAKKLKQPKSIPEEKDYVNIMWWKERMEFLRKPSSALLAKRLTYCNLLGVDPSLRNGSLKEGTLNSEMLQFKSKFPREVLLCRVGDFYEAIGFDACILVEYAGLNPFGGLHSDSIPKAGCPVVNLRQTLDDLTRNGFSVCVVEEVQGPTQARARKSRFISGHAHPGSPYVFGLVGDDQDLDFPEPMPVVGISRSAKGYCIISVYETMKTYSVEDGLTEEAVVTKLRTCRCHHFFLHNSLKNNSSGTSRWGEFGEGGLLWGECNARQQEWLDGNPIDELLFKVKELYGLNDDIPFRNVTVVSENRPRPLHLGTATQIGAIPTEGIPCLLKVLLPPHCSGLPVLYIRDLLLNPPAYEISSDIQEACRLMMSVTCSIPDFTCISSAKLVKLLELREANHVEFCKIKSMVEEILQLYRNSELRAIVELLMDPTWVATGLKVDFDTLVNECGKISCRISEIISVHGENDQKISSYPIIPNDFFEDMELLWKGRVKRIHLEEAYAEVEKAADALSLAITEDFLPIISRIRATMAPLGGTKGEILYAREHGAVWFKGKRFVPTVWAGTAGEEQIKQLRPALDSKGKKVGEEWFTTMRVEDAIARYHEASAKAKSRVLELLRGLSSELLSKINILIFASVLNVIAKSLFSHVSEGRRRNWIFPTITQFNKCQDTEALNGTDGMKIIGLSPYWFDAARGTGVQNTVDMQSMFLLTGPNGGGKSSLLRSLCAAALLGMCGFMVPAESAVIPHFDSIMLHMKSYDSPVDGKSSFQIEMSEIRSLITGATSRSLVLIDEICRGTETAKGTCIAGSVIETLDEIGCLGIVSTHLHGIFDLPLKIKKTVYKAMGAEYVDGQPIPTWKLIDGICKESLAFETAQREGIPEILIQRAEELYNSAYGNQIPRKIDQIRPLCSDIDLNSTDNSSDQLNGTRQIALDSSTKLMHRMGISSKKLEDAICLICEKKLIELYKMKNPSEMPMVNCVLIAAREQPAPSTIGASSVYIMLRPDKKLYVGQTDDLEGRVRAHRLKEGMENASFLYFLVSGKSIACQLETLLINQLPNHGFQLTNVADGKHRNFGTTNLSPEPSSALR >Solyc03g098720.3.1 pep chromosome:SL3.0:3:62430435:62431405:1 gene:Solyc03g098720.3 transcript:Solyc03g098720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVLVISIFVVAFWCSCTNASPNQGLLKLVYDINGEILRSDTRYFVVSEKIRTGVVRGPVLFNGDANIVCPFQVMQSPREFDRGMSVYFKPKAPKQVEITESSDVNIEFDLGNPTVCNNNVWMVEGFPLQSDNPMYLSTNGKAGYVASWFQIKEVEGNNSTDYKLMFCHYGDENICTDIGIDHTSGRLAIRTGNTFNVVFIKDPFIGII >Solyc05g013010.3.1 pep chromosome:SL3.0:5:6125886:6127296:1 gene:Solyc05g013010.3 transcript:Solyc05g013010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BY22] MSASPIVNNEEMERIIEQLPKINYFWNNYQLYQWEGFWSTLIILKAAMVFKATFKTKPNDVLLASSIKTGTTWLKSICIAIMQAGNKEEEEDLLVKDNPHFYVTTIETMDYYSKTLTDDLYTMPSPRLFHTHLPYRVLPDSIKNSDNCKIIYITRNPKDTLISVWHFFNNRKRLEDLTPLEVVVENFCKGVHLYGPFFEHVLEYWEESKKNPQKILFLKYEDLKIDPKKEVAKIALFLGKPFGNEEDLEIVLNKCSLERLKNLEVNKSGSLLSSMSIHNSAYFRKGIVGDWKNYMKPEMEEQIDKITKLKLQGSGLEL >Solyc08g007716.1.1 pep chromosome:SL3.0:8:2220186:2226223:-1 gene:Solyc08g007716.1 transcript:Solyc08g007716.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDIRQDLVYAYGWPSRLVRPIWKVNRAPKRAYPSFRRFSCAIAHHFLGDPDSDVKNAKVFPHHFLGDPDSNVKNAKLFRGRPSRSCLCIRLAITTSHHFLGDPDSDFKNAKFFRGHPSSPCLCIRLVITASHHFLSDPDSDVKKAKFFHGRPSRPFLCIQLAITTCSTYLKGQTSLEARIPLIRRFSCAIAHNFLGDPDSDVKMPHFFVDVRQDLFYVYDWTSRLFRPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPDSNIKNVKFFRGRPSRPCLCIRLDITAYPTYFEAHHFLGDPDSDVKNVNFFRGLPSRPCLCIRIAITACPTHLEGQTSPEATHHFLGDLESDVQNSNFFRGRQSRPYQCIRLSITSCPTHLEAHHFLGDPDSDVKNVKFFRGRPSRPCLRIWLAITACTTYLKGQTSPEASIPLIRRFSCAIAHHFLGDPNSDVKKAKFFHGRPSRPCLCIRLAITACPTNFKGQTSPEASIPLILTIFIPTSKIPNFFVDVSQDLVYAYGWPSCLVRPVWKVKRAPKRAYPSFRRFLCAIAHHFLGNPDFDVKNVKFFRGRPSRPCLRIRLSITACSTYLKGQTSPEASIPLIRRFSCAIAHYFLGDPDSDVKNATFFCGRPSRPCLYKRLAITAFSTHLEAHRFLGDPDFDVKNAKFFRGRRSRPCLRIRLSITACTTYLKGQTSPEASIPLIRRFSCAIAHHFLGDPDSDEKKAKFFHVRPSRPCLCIRLAITACPKNFKGQTSPEASIPLILTIFIPTSKIPNFFVDVSQDLVYAYGWPSCLVRPVWKVKRAPKRAYPSFRRFLFAIAHHFLGNPDFDVKNVKFFRGRPSRPCLRIRLSITACSTYLKGQTSPEASIPLIRRFSCAIAHHFLGDQDSDVKNATFFCGRPSRPCLYIRLAITAFSTHLEAHHFLGDPDFDVKNAKFFRGRRSRPCLRIRLAITACTTYLKGQTSPEASIPLIRHFLGDPDSDVKNATFFCGRLTRPCLCIQVAITACKTHLECQMSPEMSIPLISTIFVSYCTPFLGDPDSDVKNSKFFLRRPSRPCLSIRLAITACTTHLEVIRQDLVYAYGGPSRLVRPIWKANRAPKRAYPSFRRFSCAIAHNFLGDPDSNVKNAKFFCGRPSRPCLCIQFVITACPTHLEAHHFLVIRIPTSKMPNFFVDVSQDLFYAYSWPSRIVRPIWKVKRAPKRAYPSFQRFSCAIAHHFLGDPDFDVKNAKFFRGRPSRPFLCLQLAITACLTHLEAHHFLGDPDSDVKNAKFFRGHPSRPFLCIRLAITACLTHLEDLVYAYGWPSRLVRPIRKVNRAPNAIAHHFLGEPDSDVKNAKMFSWTSIKTLYMHTVKRAPKRAYPSFRRFSCVIAHHFLGDPDSDVKNAKVFRKHPSRSCICIRLAITACTTHLEAHHFLGDPDFDVKNAKFFRGRPSRPCLLIRLAITACTTYLKGQTSPEASIPLIRHFLGDPDSDVKNATFFCGRPTRPFLCIQLAITACKTHLEGQTSPEMSIPLISTIFVCYCTPFLGDPDSDVKNSKFFLRRPSRPCLSIRLAITACTIHLEGQTSPEASIPLILMIFVCYSTPFFGQDIVYAYGGPSRLVRPIWKTNQAPKRAYPSFR >Solyc03g044210.3.1 pep chromosome:SL3.0:3:8494925:8498986:1 gene:Solyc03g044210.3 transcript:Solyc03g044210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVGMGKIRILLFLVLILFSALVACVDGFHVDQESEQRTQGEQWFLLRHLHNVVKTDAGSMRFVKGGYRRGSFLHSPMHIGFISMEPNSLFIPQYLDSNLVIFVHHGEARVGHIYKDQLEERSLKPGDVYTIPAGSAFYLENRVESKRLQIICSIDITSESMGWHAFQSFFIGGGSNPSSVLAGFDHTTLSTALNVSTAEVHALLTRQSSGPIVHLSGSHHDANVWSNFLDLEPHERLANLKRIVNFDEEVSPKEEKSRWSFRKFLFTLLNGEDVIERVNHKAPSTYNIYNKKPDFKNDFGWSKKVDSSDYSPLEQSGHGVYLVNLSPGSLMAPHINPSAIEYGVVLRGTGRIQIVYPNGTLAMNAKVCEGDVFWVPRYFPFCQIASTNSPLEFFGFTTSAKRNHQQFLVGKNSLMQSLRGREFAAAFGIDEKRLKRIANAQREQVILPSRSSKDELEF >Solyc03g025850.3.1 pep chromosome:SL3.0:3:3273336:3275502:1 gene:Solyc03g025850.3 transcript:Solyc03g025850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Remorin 1 [Source:UniProtKB/TrEMBL;Acc:Q9XEX8] MAELEAKKVEIVDPAPAQEPVEAPKEVVADEKAIVEPAPPPPAEEKEKPDDSKALVVVENKAEEAADEKKEGSIDRDAVLARVATEKRLSLIKAWEESEKSKAENKAQKKVSAIGAWENSKKANLESELKKMEEQLEKKKAIYTEKMKNKIALLHKEAEEKRAMIEAKRGEDLLKAEELAAKYRATGTAPKKILGIF >Solyc10g050400.1.1 pep chromosome:SL3.0:10:49399718:49401920:-1 gene:Solyc10g050400.1 transcript:Solyc10g050400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLLSDFDGTRYDWLIRVRVCRQWKFINYKCSPDMISLDMIPIDEKETLMHTIIWKNQVNKFHDKLSEGFAVIIRNIKVSALTGDYRPVQSNFKITFLRKTAIQKLQVDIFYIPQNCFKFIQPEIIHSSINKHILLSDVVGCLCGIGDVESVGSKLQKRDIHILIDPEDLNIKARITLWVDHGESFYPYVYPNDFGPYIVIITSTTVKKFRGELTFAITAASKIYVNLKMDNITALLHKFSKKSIDVVTIASRNSGNVPDAQAMLEKRMTVAELLDSEWCPDIEEWVVAIRAQITAIENFFDWVKRNSKIQLNKETSMRKDQTQTTVKNFLMRDTFISKQRVYSRKFKKRRNLFIADSEKSDGDTIKVQSRVILMLGP >Solyc07g017230.3.1 pep chromosome:SL3.0:7:6541761:6545690:1 gene:Solyc07g017230.3 transcript:Solyc07g017230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase [Source:UniProtKB/TrEMBL;Acc:O64397] MSSQKNYKNKHVLFLVMIMCSLAFVTEANLSEPEVLLKFRESLKYDGDPFSTWDANVPPCVKDNNKPKWNNLFCESGKVYGLNLENLGLSGTIDLDILKELPNLRTISVFKNKFEGPLPILNKLPTLKSAYFSNNKFSGPIDQNIFEGMNSLKKLHLANNEFTGPLPPIFGDMPNLRELNIQNNKFEGPIPPSYSHLYLPAYDGNDGLCGPPLAKSCNKEDEKKKEESSSSSSSGWKIALIVVIVVVVIGIIVFVLINRRNKNHQQEVVLGGSSLTSSSPTSQDQKLIPQSHDHLNKMEQGQSSAASTPDRACNDGGKRAEVAGQKLLFLKDDIEKFDLPDLLKASAEVLGSGVFGSTYKAALSTGPVMVVKRFRHMNKVGKEDFHEHMRRLGRLSHKNLLPVIAFYYRKEEKLLVFEYVNNVSLAVYLHGNSKSRGNQSLDWPTRLKIVKGVSKGILYLYNELPSLTSPHGHLKSSNVLLTENFEAVLTDYALLPVVNAEHAHEHMISYKAPELKQSGKVNRKTDVWTLGMLILEILTGKFPSNLLGKGTQDSDDLATWVNTTLGGESSEKEVFDKEMKGTKDCESEMMKLLKIGLSCCEADVEKRCDIKEAVERIDEVKGKGDFSSNVASNEVDNMHTSR >Solyc08g005720.3.1 pep chromosome:SL3.0:8:565430:586530:1 gene:Solyc08g005720.3 transcript:Solyc08g005720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLREEIKNMLSSMGDGRSSVSPYDTAWVSFIEDTNTNINGTSKRPLFPSCLQWIIDNQLDDGSWGEELVFCIYDRLLNTLACVVALTLWNTCLHKRNKGVMFIKENLRKLEGGEVVNMTSGFEFVFPSLLDKAQQLHIDNIPYDAPVFRDIYARREVKFTRFPKDLIHTIPTIVLFSLEGLRDLDWQRLLKLQMEDGSFLTSPSSTAIVFMNTNDDKCFTFLQNAVQKFNGGDVLHKFKDGDEFFCLRGESNKSATVMFNLYRCSQALFPGEIICEEAKNFTYNFLHQYLANNQSKDKWVIAKDIPGEWEEWLEENNTTCQEAAVLLVQTINLSSGHMTHDEILSKYTNKVCHMLNEFQNDQICNSSKARDIELHMQALDGFEEAKERIRESFSKVELSPSSYDTAWVAMVPSKYSLNEPCFPQCLDWIIENQREDGSWGLNPTHPLLLKDSLSSTLACLLALTKWRVGDEQIKRGLGFIETQSWAIDNKDQISPLGFEIIFPSMIKSAEKLNLNLAMNKIDSTIKRLWQQKNEEIFSNVTHCAMAFRLLRMSYYDVSSDELAEFVDEEHFFSTSGKFISDVAIIELHKASQLTINEKDDILDKINNWTGIFMQQKLLNNDFLDIKSKKEVELALRMFYVTYDRAENRRYIESYQENNFKMLKTAYRCGSMNNIDLLTFSMQEFELGLSQYQEEVEQLKRWYEDYRLEQVGLAQEYIYRTHLISVAVFFEHELSNARIMYAKYAMFLTLSDDLFEHLASKDELLNIIELVQRWDEHTNVGFHSEKVKLFFTALYDTIEEVATNAQIKQGRNVKHHIIELFVEGLNSMLVDRVEWGTRIPSIEEYLRVSLSTFGGKCMVLTSQYVVGIQLCNYQSDDEIQDLCYCSGIVMRLLKDLQSFKRERSDSRLVNMVKLVMKQRSGTICEEEEAIKHIKETIECNRRKLLRMVLQSKGKGSKVPQALKDLFWRTTKAVYFFYSDHDEFRSPNKVKHHINQVIYKSLHIR >Solyc06g036170.1.1.1 pep chromosome:SL3.0:6:25730653:25732449:1 gene:Solyc06g036170.1 transcript:Solyc06g036170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDFSGGVPDFHGGAGRSSLIPMNNSQPQIQLTQRPDGVSQNLHRRPMFIGKRSLAAFQQQQQFQFLQQQQQQQGLGFYLRNVKPRNYQQASPISPLDYSVSSSLISSEFSPMTPRHPLPISTANTNGVLSSGNPNCSAVASYLNQVQNSLYQESEEKMMNRLHELEKQLLEDNNEEEEDTVSVVTNNDEWSETIKNLITPTSNHLSPASSTSSCSSSMESPPVSSPRQSIVEAATAIIDGKTNVAVQILTRLAQVADVRGSSEQRLTAYMVSALRSRVNSTEYPPPVMELRSKEHAVSAQNLYEISPCFKLGFMAANFAIVEAVADHPSNKIHVIDFDIGQGGQYLHLLHALASKKTDYPISLRITAITTEFTVRADHSLKSIEDDLRSLANKIGISLIFKVISRTITDLSRGKLGIEHDEALAVNFAYRLYRLPDESVTTENLRDELLRRVKGLSPKVVTLVEQELNGNTAAFVARVNEACGYYGALLDSLDATVSREETGRVKIEEGLSRKLTNSVACEGRDRLERCEVFGKWRARMSMAGFGPRPMSQQIADSLLKRLNSGPRGNPGFNVNEQSGGIRFGWMGKTLTVASAWC >Solyc08g014375.1.1 pep chromosome:SL3.0:8:4363421:4364119:1 gene:Solyc08g014375.1 transcript:Solyc08g014375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISYAAIKFVGIFKINCRALLSLRITTFLQLEGADLVFGNAIQTLLHEFQFVFSKSTSLPPFRAHSYAIPLLPNSKTPNIRPYRYSHGQKIEIKNHVATLLEPGFIRPSSSPFASPVLLVKKKDNSWRFCVDYHSLNKIIVPEKYPIPYIDELLDELHGATIFSKIDLHSGCHQIDV >Solyc03g020020.3.1 pep chromosome:SL3.0:3:62663216:62685843:1 gene:Solyc03g020020.3 transcript:Solyc03g020020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPEPHVIYSHTFNHPQLDEYVDEVLFAEPVVVSSCEIVEQNAPSACSSLKIVGATSPPSFALEVFVHCEGETRFRRLCQPFLYSHSSSNVLEVEAIVTNHLVVRGSYRSLTLVVYGNTTEDLGQFNIDVDLDGSLANTVSVVEGDLEDLPPALRPNNLSTEQTLSSLKSLSLKSIPLAVPLELRQLLQLTLRMLESPEFGVMKNKVLTSLLSVASIYATPCFPSTTTMHEQLGLDKLVFNQEAQFAIAEAKKELLEMYNSFIFQPGDRSVEFSTDAMLVESEIEDAAPKQLLDSLSHYFKFASSPDAASHREVSKRENMVLCLSLALLVSSARESCYHFVNSGGMEQLDYAFSSSLLNSSALKLLHLGVIEQATRHSVGCEGFLGWWPREGENIPSGTSERYNQLLKLLLLHNQRHDVASLATYILHRLRFYEVSSRYECSILSVLGGLSGSGQATSATLVDILTSAKNLLKNLLKLINSSGPIEDPSPVACASKSLVLGDSGQLLYNSTSNLITQSSCCFSNNDMDQHLLSLLKERGFLPLSAALLSSSALWSHAACTIDLFVDILSYFEAIVLSLLSTRSGLIFLGRDPEVATIIIHALRGADTWKKEESISLRHASVLISKGYFCHPRDVALIIEMHLKAITAIDRLVTSSPDSEDLLWTVWQLCSLSRSDCGRKALLALVHFPEALSALIAILHSVKELDPVSPNSGAPPLNLAIFHSTAEILEVIVSDSSASSLGSWIGHAKELHRVLHSSSPGSSKKDAPARLLDWIDASVVYHRSGAIGLLRYTAILASGGDAHMASTSVLASDGMDVDNVIGDSSCTDGNIIENMLGKRITERDFPGVVLRDSSIVQLTTAFRILAFISDNSAVTAALYDEGAVMVIHAVLINCRLMLERSSNIYDYLVDEGTECNSTSDLLLERNREQTLLDLLIPSLVLLINLLQKLKEAKEQHRNTKLLNALLQLHREVSPKLAACAADISYPYPSFALGFQAACDLLVSALACWPVYGWTPGLFNFLLDSLHATSVLALGPKEICSLLCILNDLFAEEGVWLWENGTPTLSVLRTLAVRTLLGPKKEKEINWFLQTGLREKLLGQLKPHLGKIAQIILCCSTSTLVVIQDMLRVFIIRIACIGGDNASVLLRPMVLWIGDRLSEKLPPSDLDAYKIQRLLSFLSLLLEHAHGKRLFLKEGGLRMLIKALEMCLAAASSDAKQLAQKGFSLISWCVPVFKSITLLSECKTRQTPGIVERHVPEDMTAEENCLLLSLLLKFCKVLPVGKELLSCLLVLRLFWSSAKGKDALLSLYLHAKSSSIEEQESEKQFENGLNRDFSLDWKEHPPLLCCWESLLRTPASKDDLPTYAVQGIGILSSGALSFCMDGESVNTERVTAVKYFFGLENDNVAMDGLYEESIESVEEFVNLLKASDSSFLPVLDKISLDQIKESARSLMLLLHKPTGTVKADDIMSNIHFPSPTYSSKIHTIEDSGTERIEDYDLNEFGDKFSWECPENLRDSLTQTSLTNKRKISSMEGPNRRIRGDSASTENAIPGAFSRGSVPTIVPSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERSADGSNNPNVIAVPRIGSTSGRPPSIHVDEFMARQRERQNPPGILVSDSAAAQEKAAIPEKQTDAEKSSKSHPMKSDPDDDLQGIDIVFDAEESEPDDKLPFPQPDDNLHQPAPVVVEQNSPRSIVEETEGEVNETSQFSQRGTPVASNADENAQSEFSSRMSVSRPDLPLAREPSITSDRKFNDQYEDMKNFHPKTSTMFASPAAAVSSGVGASAFTKASSSIQVAVDSRMPPNFYSRPTGQQSGVTPPNIGSQGYFDPKMQPPLPPTPPPVTMASLSQNADRILSQSSPFVSSMIDVQPHLPPGFHVQAEYLSAGASTPMTSSPLPDSKFGRTSLSSPGGPVRPLPPLPPTPPPYTISLSNLSSLTNLTSQTPVYNQSVGTNELQQTSNAHSSDVRSGNVSTSGPILTTYPPPPLAPPLLFNRHGSVPVSFYGSSSAPYHNEKLPSISQHLPAIHSIPSVTQLQPLQPPQLPRPPQHVRPIVPASPQSEQSVPLLQSPMHMQMQSPQILHQPQVSPAHVYYQTQQQENSLQQQQIEHSLSQVPQQQGDIVTQQQDSGMSLQDFFRSPQAIQSLLSDRDKLCQLLEQHPKLMQLLQERLGHL >Solyc07g056620.1.1.1 pep chromosome:SL3.0:7:64568426:64568593:-1 gene:Solyc07g056620.1 transcript:Solyc07g056620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRVIDSMSVDRENQISGLLYEYHLLYQFSHPYIFFLLFFSHYGGRTQIGHIWDS >Solyc03g119820.1.1.1 pep chromosome:SL3.0:3:69875651:69876148:-1 gene:Solyc03g119820.1 transcript:Solyc03g119820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQPNYGRPQRLPTRSSGFNTTPSFLRKLQEHVPNSTQLVGFLTLIISGGILLLLTGLTLTTIILGLIFFTPLVLISSPIWVPVGTVLFIAIAGFLSVCGFGVATLASLSWLYRYIRGFHPPGSDRVDYARSRIADTASHVKDYAREYGGYLHSKVKDAAPGA >Solyc02g093090.1.1.1 pep chromosome:SL3.0:2:54655993:54656919:-1 gene:Solyc02g093090.1 transcript:Solyc02g093090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIGSSTKLILLHPYIQKQGSSNRFWTLAFISILTLAFLLTLIYTRESKITTSIAVASTITSTSTPPLSKAVARALVHYASNSNNTEHMSYTDIKHIADVLQKCSQPCNLLVFGLTHETLLWKALNHNGRTVFIDENRYYAAYIEEKYPEIEAYDVQYTTKLSEMKELIAGVKEQVRNECKPVQNLLFSECKLGLNDLPNQFYEVDWDVILIDGPRGYWPEAPGRMSAIFTASVLARSKKGGNPKTHIFVHDFHQQVDRITSDEFLCKENLVKSMDMLGHFVLERMDANNFQFCRNHNSTTANSSS >Solyc02g083110.1.1.1 pep chromosome:SL3.0:2:47185094:47186524:-1 gene:Solyc02g083110.1 transcript:Solyc02g083110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKVSNFSDLIQRVTASCLLHPLSSNGHISGARDADESEDDKYTTEEEEEEHSPYVETLEKFQNFEVKNEEIRTEKVNEMEMLLGEVFDAVSAMKKAYVSLQEAHCPWDQDKMRVADVAVISELRRLGVLRERFRRNVGGGSERGDWRVGVATLREVVAPYESAVEELKQEVKAKDIEIDDLKEKLKTATLLSSNSGKKNKSKRRVNCSSQAPVNMSPAPDLFESTVSSVKEASKSFTSMLLSLMRSAHWDIAAAVRSIEAASSNSTTPTADSIVGANHAKYALESYVNRKMFQGFDHETFYMDGSLSSLLHPDQHRRECYTQYRDMKAMDPMELLGILPTCSFGNFCFKKYLAIVHPKMEESLFGDLEQRRQVLAGNHPRSQFYGEFLGLAKAVWLLHLLAFSLDPPPSHFETSNGSEFHPKYMESVVKYSISMGIGGRMGMGLVVGFPVSPGFKLGNGSVVKARVYLVPKNGF >Solyc10g045720.1.1 pep chromosome:SL3.0:10:35600834:35601927:-1 gene:Solyc10g045720.1 transcript:Solyc10g045720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIVNSFFALMLARKLLQVKSKELQKSQRLAAKTQTSHSPFVSHVVLPSRWSVDNE >Solyc05g007880.3.1 pep chromosome:SL3.0:5:2319834:2322464:-1 gene:Solyc05g007880.3 transcript:Solyc05g007880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVRDPSIKLFGKTIGMTQQETNCVYLHDDHTTSSPLSIDDEKINLEGEVTQSKQVDELVDPAADSSIEPETSSGISDDIKMQDADKETLSSKSVEEEDSSEEKALKKPDKLIPCPRCNSMETKFCYYNNYNVNQPRYFCKNCQRYWTAGGTMRNVPVGSGRRKNKSSSISNYPLQAGRVEAAAHGMHLPASRTNGTVLTFGSDKPLCDSMVSALNLAENSHNMHRNEYHGSEQRMPTIGNDQSNGSCSTASSVTDKESSAGTHDLANWSNFQPFPPQVPYFQGAPWPYSGFPVSFYPAAPYWGCTVSNPWNVPWLSSNQSVHNNSPTSPTLGKHSRDESKLDPSQSRRRDTTLQDREGERCVLIPKTLRIHDPNEAAKSSIWSTLGIRNEKIDSTRGTMLFSAFNPKADHRNRELDTSFALQANPAALSRSLHFRESTR >Solyc01g111590.3.1 pep chromosome:SL3.0:1:97725521:97729226:-1 gene:Solyc01g111590.3 transcript:Solyc01g111590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEELEAAGETEQDVEEEFAVWKKNTPLLYDLVVCHALEWPSLTVQWLPSPTTDDGAFAVHKLILGTHTSDDCPNFLMVAKVHLPRNPASGLEHNLMNPQIPKVEIVQKIHVDGEVNRARCMPQKPAVVAAKTSSSEVYVFDSAKQPLDHEGGSCNPDVRLRGHDKEGYGLSWSPFKEGFLLSGSNDQKICLWDISALPQDKVLMAHHTYEEHEDVVEDVSWHPKNENLFGSVGDDCRLIIWDFRTNKAQHSVLVHEKEVNYLSFNSYTEWVLATASSDSTVGLFDMRKLSSPLHVFGSHTDEVFQVEWDPNHETVLASSGGDRRLMVWDLNRIGDEQLEGEAEDGPSELLFSHGGHKAKISDFSWNKNEPWVISSVAEDNCVQVWQMAESIYREDNDNGNC >Solyc04g071920.2.1 pep chromosome:SL3.0:4:59025979:59029379:-1 gene:Solyc04g071920.2 transcript:Solyc04g071920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVQAKASMHSPPRGLEKLKLESGYVGRGEHVRPRRSTGQRPHELIREKNAGGRRLSDANRDTITHEGEKKQTNDVGRKITKTTTTTHEEEELIDGWPKWLVDNIPREVLAGLVPKSADSYDKIDKIGSGTYSNVYRARDRDTGMIVALKKVRFDTSEPESIKFMAREIMILQKLDHPNIIKLEGLATSRMHYSLYIVFEYMQSDLTSIISSPDRRLNEAQIKCYMQQLLSGLQHCHERGILHRDIKGSNLLIDKNGMLKIADFGLANHFNPEKKRPLTSRVVTLWYRAPELLLGATDYGIGIDLWSAGCLMAEMFAGRPILPGRTEVEQLHKIFKLCGTPTEDYWRKTKLSTTFRPPYTYRSNKKEAFRHFPASSWRLLSVLLALNPANRGSAGSALQDEFFSTSPLACELSELPVVYKEDPEAELKLERRRHKTRQRSQSQKERKKKVIDEEETKPDDVGSNEEPIKSRLSTVFRQLEPGSSSTTITTTTTTSTSSSTSKPTEKSQSSQTSAESHPNSTTNVKNKPPLPNARRSRASKYSSNNNKDAMNRLSRAQRSQSSKDFSRS >Solyc02g086030.1.1.1 pep chromosome:SL3.0:2:49472552:49472716:-1 gene:Solyc02g086030.1 transcript:Solyc02g086030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEKWMEIPPPEEYRFGGEGSSFTAQASWDFELMEWIWEPYSYEEYFRFYHQG >Solyc07g052590.1.1.1 pep chromosome:SL3.0:7:61192071:61194095:-1 gene:Solyc07g052590.1 transcript:Solyc07g052590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISCNLIPLPSKTNLEPPRDTQDRPSSRANHHAHNLSFSRHIKEPSKYPKHNNLRNLLSVHTKNPHAIYKDIQRFAHQNKLKEALTILDYLDHRGIPVNPTTFASLIAACVRLKSLTSAKIVHTHVIINGLENNEFLQTKVVNMYAACGSIEDAKKVFDKMPVRSVYPWNALLRGNVVLGGSKYGEVLGTFSDMRGLGVELNVYSFSCLIKSFAGASALFQGLKTHGLLIKNGFLGSDIVRTSLIDMYFKCGKVRLAHRVFEEVEERDVVMWGAIIAGFAHNKRQREALEYTRLMIREGLEVNSVILTTILPVIGEARASKLGKEVHAYVIKTKEYSKQLFIQSGLVDMYSKCGDIIAGRKVFYRSKERNAISWTALISGYILNGRLEQALRSILWMQQEGFKPDLVTVATVLPVCGKLKELKYGKEIHAYAVKNGFLPNTSVSTCLMMMYSKCGLLQYSSRVFDSMAKRNVISWTAMMDSYIDSGCLEEALGVFRSMQLSKHRADSVAMGRILGVCGKLRLLKLGREIHGQILKKDIASVPFVSAELVKMYGSCGAIDKSRLSFDIIPIKGSMTWTAIIEAYGLSGQYGAAINEFKQMISKGFNPNHFTFKVVLSICEKAGFADEGCQFFTMMTRKYKIKASEDHYTSIINLLHHVGHYEEAEKFVLLKQSST >Solyc02g022936.1.1 pep chromosome:SL3.0:2:24964742:24976416:1 gene:Solyc02g022936.1 transcript:Solyc02g022936.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHKSAMYPLLNRNQMDAYFEHVNMPSKAAKIRTAAMYLSDTAMLWWRMKMADTEKGLKREFKCQFHPQNVVHEARRRLRELKQTSSIRDYVKEFKKLTLQILSLTSEDLLFYFLDGLQNWAKQELQRRPVHDVDKAIVVAESLNDFRVDAAKGRDNRSKIVPPKVDNNINKGRPSPNQGSNTKGNTRDQPYNFCKSYEDQKKGAPHRGGCYIFGETTHAARYCTTLRKLSAMVAAEKQQQKAATQIGGSAGEQHGQNSRIDKGKNKKDGTLQLCIDYRALNKVTVKNKCPIPLIAHLFDRFGQAKVFTKMDLRKGYYQVRIAEGDELKTTRVTRYAAFEWLVMPFGLTNASATFCTLMNKLFHPYLDQFVVIYLDDIVHEGSCGTLCKVFKVLRDNDLCVKQEKCSSSQPTVQFLGHTIIHAEIRMDGDKVKAIQNWEAPTKVPELRYSDIADPLTDLLKKNREWEWSDALELSKIQVDAALKILKRRKKVIGWNMVGITLTSRMHKIIMEDNHKPSAQHQR >Solyc01g067940.2.1.1 pep chromosome:SL3.0:1:76752988:76753985:-1 gene:Solyc01g067940.2 transcript:Solyc01g067940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESIHLQDLVVTKVECTIHLLQAPLYRIISKLCTRLATHKVSNKWPPMVTKTRVVILNHLFIFIYQNSPVTVQVISILKINLITPYLLLCCQYIYHCRLIISFKNWSIFKLPSKKRPGFLPYLSLWSHRCQHIK >Solyc11g042990.2.1 pep chromosome:SL3.0:11:34094927:34126497:-1 gene:Solyc11g042990.2 transcript:Solyc11g042990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINMKTLTQAFAKASAKASAVIEKTVQTTVQEVSGLPRALQDYDLLDQIGSAGPGLAWKLYSAKARDGHAVYPNVCVWLLDKRALSEARQRAGLSKTAEDSFFDIIRADASRLVRLRHPGVVHVVQALDESKNGMAMVTEPLFASAANALGDLENIEKVPKELKGMEMGLLEVKHGLLQIAETLDFLHSNARLVHRSISPETILITSNGAWKLGGFGFTISVDQAADLSNIQAFHYSEYDVEDSIIPLQPSLDYTAPELVRSKTSSVGCSSDIFSFGCLAYHLIARKPLLDCHNNVKMYMNNLNYLSSEAFSSIPQELVPDLHNMLSANEALRPTALGFTSSSFFRDDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDSRVLRYKVLPPLCAELRNVVMQPMILPMVLTIAESQDKSDFGISTLPALVPVLNSAAGETLLLLVKHAELIINKASQDHLISHVLPMLVRAYDDTDPRLQEEVLKKTVALAKQLDLQLVKQAIMPRVHGLALKTTVAAVRVNALLCLGDMVHTLDKPAVLEILQTIQCCTAVDRSAPTLMCTLGVANSILKKNGIEFVAEHVLPLLLPLLIAQQLNVQQFAKYMAFVKEILRKIEEKRGVTLSDSGNPAVNIKSSLTVDAQIPGHVNKTSVSSQSTTKRSPSWDEDWIPPRGSSTTVQSSMALPSQSTSAGQSIQVTSGPSQSYMTSTVSGQQLSSSCPAVDVEWPPKPSSFGTTILSDSEKQLENKGALGSSLDDIDPFANWPPRSSGSSAASHSLNNGSTAPFANRPVSNNSATLLNGLNSQTNGLDPWAFSTPISSQPLKQNQGITSRPDSISSGGLDSQSSFGFMKHSQGSSSALGASSGRATNIGSIFSSNKGEPTAPRLAPPPLTAVGRGRGRGRGNQGQLRSSTLGSGNAKSHPEQPPLLDLL >Solyc02g094040.3.1 pep chromosome:SL3.0:2:55324163:55327282:1 gene:Solyc02g094040.3 transcript:Solyc02g094040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipase [Source:UniProtKB/TrEMBL;Acc:K4BDK0] MLHRLFMIIGFVTILLISVFGSYNPAFVAEDNVAAPSDMCATFVTIHGYKCHEYEVSTDDGYILSAQRIPQGRVGGSSRNRQPVLLQHGILVDGATWVMNSAEQSLAMILADSGFDVWIANTRGTRYSLRHRNLHSYNSDYWNWSWDDLVVQDLPTFVNLVYKQSGQKTHYVGHSLGTLIALASFSEGRRIDKIKSAALLSPIAYLSHMTTALGVVTARSFLGEITTIFGLAEFNPTSAPVSIFVKALCAQRGINCYDFLTALTGKNCCLNASTVDLFLKNEPQPTSTKNFVHLAQTVRDGILRKYDYGSFISNLAHYGSVKPPLYNLANIPRDLPLFLSYGGQDALSSTKDVETLLDHLKNHDVGKLHVQYVKDFAHADFILGTTAKDIVFNQIITFFSNQH >Solyc02g043990.1.1.1 pep chromosome:SL3.0:2:237830:237994:1 gene:Solyc02g043990.1 transcript:Solyc02g043990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGSNIIYKLYKTLFLFVTFRKWPNKSTPQNGRKIKKKTKTVQRMVEFFFYLHQ >Solyc08g066890.3.1 pep chromosome:SL3.0:8:55830432:55830865:-1 gene:Solyc08g066890.3 transcript:Solyc08g066890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKLFLSFVLAFLVLIFTLDEANGAISGKTRKLIDMANKKGPYLGLVIPNLFEMNPLLQHPSYKPSDLTIDYAGRRFRFGRID >Solyc09g082530.2.1 pep chromosome:SL3.0:9:68673195:68675442:-1 gene:Solyc09g082530.2 transcript:Solyc09g082530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYKNKKSKGDTVNPTFHNKDIDIKINPLCFFFSKPSFQASIKYEPNPRLFDAYIALQAWKHVMTSDPRNFTKDWYDYNVCNYTGVYCAPAPDNPNITTVAGIDLNHANISGYLHEKLGLLTDLAVFHINSNRFQGSIPKSFSKLRILFELDVSNNLFCGEFPSVVLSLPSLKFLDVRYNQFEGKIPTKLWDRTLDALFINNNKFQFSWPKNLGKSPVSALVMANINVTGCIPSSIAKMSKTLNEIILSNSSLSGCLPQELGLLKNVRVLDVSFNKLVGELPESIGEMKKLEHLNVAQNKFSGKIPMSICLLPKLENFTYSYNYFCGEPKICLKLKDINDKKNCIPYRPSQRSENECKAFYSRGPVNCSSFGCRSPPPER >Solyc03g031440.3.1 pep chromosome:SL3.0:3:4010061:4012675:1 gene:Solyc03g031440.3 transcript:Solyc03g031440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMEEKIEGSLQFAPKELLQWSQWQLLDSVLPTGGFAHSFGVEAAIQARRKASISQGSAETSQKAYLFITMRDVVSAEDLSKKWMNRPVEEACQTSPLLDTIQGCHGYLFSRRKYLCQVPEIVSEEILAKMSAPPKSDAPIITSDELAEGDGFVFGFPTRFGMMGAQFKAFLDSTGGLWRTQQLAGKPAGIFLSTGSRGFYGLTAITQLVHHGMLFVPTGYSFGAGMFEMENIKGGSPYGFGTFAGDGSRQPTQLELEQAFHQGKYVATITK >Solyc06g036260.3.1 pep chromosome:SL3.0:6:25834722:25836474:-1 gene:Solyc06g036260.3 transcript:Solyc06g036260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-carotene hydroxylase [Source:UniProtKB/TrEMBL;Acc:Q9S6Y1] MAAAARISASSTSRTFYFRHSPFLGPKPTSTTSHVSPISPFSLNLGPILRSRRKPSFTVCFVLEDEKLKPQFDDEAEDFEKKIEEQILATRLAEKLARKKSERFTYLVAAIMSSFGITSMAVMAVYYRFSWQMEGGEVPVTEMLGTFALSVGAAVGMEFWARWAHKALWHASLWHMHESHHKPREGPFELNDVFAITNAVPAIALLNYGFFHKGLIAGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPVANVPYLRKVAAAHSLHHSEKFNGVPYGLFFGPKELEEVGGTEELEKEVIRRTRLSKGS >Solyc09g005990.3.1.1 pep chromosome:SL3.0:9:677655:679100:1 gene:Solyc09g005990.3 transcript:Solyc09g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLFRYVIRKKLPLTKIKRPLKGQRFVDILAPKANVIKNHQNCVKLIEDFLQTGSVQNCEHPLMDKEDSVSLLFRFHKEGSKIGVSLVSNAMSLCASKRVFNVGIQVHCLVIVNGFFSNVYIGSSLISFYGNFGGIVDAYQVFDEMSVRNVVSWSALLNGFAKENELGMCLKLYKGMMGLGLKVSEFVFTSLLSVCMGSGCFGYGRSIHCQIIVMGFESYIHVANAMLSMYSKCGEVKDAMCIFENTKSKDLVSWNSMICGYGQQGHVTQAIELFEEMKKQEVRPDSITFLGVLSSCRHAGFVKEGMSVFNSMVGYGVNPEVDHYSCIVDLLGRARLLEEAREFIKKMPIQPNGVIWGSLLMSCRLQGNIQLGIEAAENRLALEPWSTSTHLQLINLYARLGYWDQAARVQKLMKDNGLKRDPGYSWIEISNQVFRFTAEDISMGHIRSIASLVDVLVDHMRNFGVEEIDSDFWCSNLE >Solyc05g044470.2.1 pep chromosome:SL3.0:5:57412544:57413993:-1 gene:Solyc05g044470.2 transcript:Solyc05g044470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLINFEAYTWLPAYPPVVNVILIVQSNNIDSLIAEDLLINQARFSLFAWMVLDKLHPVILENISVRKIIKAERKMLQERKLHMEDHRRVLQGKVAELTANMKKLKMDYQMKANKAQAEGAIVLELKKNFEEFRLKYDRLFDYNQTLRNQLQSISHEVQKIDVLNEWSNLKSKLGFPTVENNGKCVDFENAPETATKYGEYPISATASSSSPRHRRPIYQF >Solyc10g076660.2.1 pep chromosome:SL3.0:10:59707196:59710652:1 gene:Solyc10g076660.2 transcript:Solyc10g076660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCLQSWPEPIVRVQGLSESGIREIPDRYVKRPSDRPCFKETTTLSSSSHLENIPLIDLENLNSSDESIRDETMELISKACREWGFFQVVNHGVSHELMANARSVWREFFHLPPEEKQKFANSPVTYEGYGSRLGVEKGGKLDWSDYFFLHYLPEPLRDYNKWPSLPITCRKIIGEYGQEVVKLGRKITNILSINLGLNENYLHTKFGGDNERGACLRVNFYPRCPQPDLTLGLSSHSDPGGMTLLLPDTDVPGLQVRRGDDWITVKPIPNAFIVNIGDQIQVLSNAIYKSIEHRVIVNSGQERVSLAFFYNPGGDILIQPAEELVTEDQPALYLPTTFNEYRAFIRTNGPRGKSQVESLKSPR >Solyc12g096090.2.1 pep chromosome:SL3.0:12:66130270:66138440:-1 gene:Solyc12g096090.2 transcript:Solyc12g096090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEMIIKGLFRRYERWNPVHPTYGAFWGMGIGVGCGVGWGPGFGPEAIGYVGAGCGVGFSVGFSLLGVGIGLPANYIYTGPYNAFTAARSGAIEMSRSTDIRSMRNIVEESRCYLDSNIAGFQERVIQSFSSIRFKESVGKAVDSSEMPIKMSFPDQCMDRLKQGINSLFHPCKVPIVAFPPFSAASLAMDYAAWKADVEFIKPGETRILPGLPEEMGMNYGDIVRRYYNSSEEPLIGTKKKSFPTQPGDEPVWVQEVKVTSAILVNTYDVLEPTFLEYLTKQTRLPIYAIGLVPEKFWSLTSTKSLIHDKDIRKINNSSQKSNYSEDEVLNWLNTKSPNSVIYISFGSELLPTLQEHEEISKALIELSSQNFIWVISKNQDYYPRVLENKVGNRGLVIHGWAPQLLIFSHPSTGGCLFHCGWNSTMEAIGRGIPLLAWPIRESEDRQTTFIFVQRRKLAAISCKPYKHHKDVQRYQDVLQHPRPRFILLIRTDENLTSISVPQRSTGNCLLRW >Solyc03g044677.1.1 pep chromosome:SL3.0:3:10364453:10377455:-1 gene:Solyc03g044677.1 transcript:Solyc03g044677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTPPLPISPTSAASLGLYSIWPLPVLTSSLLSTELLSACINQVNMITFFVKRILRYIFGTLGRGLLIRTGDLELRGFSDSDWANDKNDRKSTSGFLVFFGPNLISWCTKKQPKVSRSLTEAEYRALALLADETMLKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIKDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMIGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLIVTVRG >Solyc05g014130.3.1 pep chromosome:SL3.0:5:7825203:7831346:-1 gene:Solyc05g014130.3 transcript:Solyc05g014130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRHREEIDSDGATEMETENTTSYKTVFIDTNLDTHLAVIVSDSDTVFDLKKKIVFEHLRCFPEMSELKISSVKVKRKRHYYHLPDTMLVRGVFEGIKKEWFLSVDASRFQCLENDQGLLCIAYPHIEAENLLSCDSFNAMDKQKVFDTTESYPANGNLASSSIVEHKEVAKVIGEFKTVKRAGNSQELSPRSGPVAKKHKIKHKEDGVNHPVTGTSASNHDIDNGSEFKIVGNDTTLTNSNKGERENINMKLVDVSAEPLINQRSGSSKVSKLGTKKSRKSGASAEISGVQDELCGDRNNDALDETSHTETLANKVKILGSKESSEACTEFNHRDSLKITIPENSTAGKPIKAASTEETLGDQSVRTDTTDKKRKKKNKKGKDSSTCHDEVACMALGPTDKFVGARRLEQKEEVEMKIFDKLTDVDSTIHATQSRLKETSPVKDHALNKECPAPVSKDICEMNVVGQPIFESNISGDNELGIVVANTTGEQELIPNCDFEMPTSEKLSKPSTRGPVPSYQLGDLQGAAENSFGRKRSRAKKSTYHQELDMKNIVGASQNSYTSDQDIVRNDGSSDATTKVGSMPKTDVDHINEIGIEGKLSVTQGAETSPLLDNNEPTGDTKEQVLSATRALDDKENIESGNASSMKRTKKSRRKSAEKIPVKLNGDDDNTVNCPSFAAGIGSTTDPVTGQSKKGGIPFDAEVVQISLNTEVKGPPQVQSAACRSSDSAKAEMTIKEVETISTAPSDVKVAEVHGNSGRLKKKKTKVEVSTHINDVSCAPSATHDTPVNHFIEGSNQDRNALRASKRKGASKQVSKSAYAVDTQHQVEKVTCIEPELLPFEEKGNEVEHLRLNQTYKNQETLSISEKRQKTKTKKSQSSKKSKSILSIQDQEGSHKDLTASNDNLEDVNPLPEPMEMGESGKNTHGDQYGGVVEEVHEPDVNTDKSDTINFKQYFVSGQPGEVASKKPMKPNRDTKASRKSKVGVTPGGFLEDTSKSRIEVALPNQGDKTLQEAGKLENYDALACKKKIEESMDESRSSSSSKGPGRFPEDNRRQADSEIRSLSTRNTKVRTANIEEFTQPKKGLLPNPGPKFGDSRSRRSDSKEGGDSDSTTDTLSDSSSSDSSVEGSEISQASTPEGTNVAKNNAAAAAVAGKHKLKSKFLGEALTMESILRNSSRFKKAKVSAAQSQDEESQHVDVVPDSLADTQNE >Solyc07g063680.3.1 pep chromosome:SL3.0:7:66213037:66216701:1 gene:Solyc07g063680.3 transcript:Solyc07g063680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQPPSPTPDSDLPRLANIKIKSSSPRFPPPTTPSITDTPTAGAQRRIGIAVDLSDESAFAVKWAVNQYLRPGDAVILVHVRPTSVLYGADWGSVELSIVDTENEESQRKLEDDFDTFTTTKASDLAQPLVDAQIPFKIHIVKDHDMRERLCLEVERLGLSAVIMGSRGFGATKRGSDGRLGSVSDYCVRHCVCPVVVVRYPDDKDAGNAVVEPVVSVASAAEEDEEEAEYHDASEDRKVFSFNSYSAPKRTIRFIMKVDEVFPWFALVLTSFLQEPVGPL >Solyc07g041920.3.1 pep chromosome:SL3.0:7:54664081:54668958:-1 gene:Solyc07g041920.3 transcript:Solyc07g041920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSVLLVLIAGLFAATIAGAATFADENPIRQVVVSEEVESGILQVVGESDDALSFARFVNKYGKKYDSVEETKLRFEIFVENLKMIRSHNNKRSLVSYKLGVNKFTDLTWDEFRRVKLQGTPQNCSATTKTNLKFTNGLLPEKKDWRETGIVSPVKAQGDCGACWTFSTTGALEAAYAQAFGKGISLSEQQLVDCAGAFDNHGCNGGLPSHAFEYIKYNGGLETEESYPYTAKDGICKFKPQNVAVKVIDSVNITEGAEDELKIAVAFIRPVSVAFEVIDGFKQYESGVYTSTACGNTSMDVNHAVLAVGYGVENGVPYWLIKNSWGADWGDNGYFKMEMGKNMCGVATCASYPIVA >Solyc01g006575.1.1 pep chromosome:SL3.0:1:1174602:1175623:1 gene:Solyc01g006575.1 transcript:Solyc01g006575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NVAKSYFKQLMELNEMVKRMVLESLGLNNYIDEFLNSNVFMSRFTNYKVIKGENENEAALPSHTDSTYLTIIKQNQNGLQVLYKNGEWIELNHTSPNSYIVLSADVFMAWTNGRLTSAQHKVVTKGDKDRISIQLFSIPNPDYTLKAPKELVDEEHPLMFKPFMLPEFLKYIMLGAKDGSGVKNYCGL >Solyc02g090780.1.1 pep chromosome:SL3.0:2:52923044:52925825:-1 gene:Solyc02g090780.1 transcript:Solyc02g090780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSESDNASTEKRSSKDKKNMKNKRDTSSSNSNGTENDFVPPIFSSDVIPHPASERFGTIKLTDAMRLVVNLPIHGSHKDVSSDDEAQKEVLKEGKEVVAKQQKEAQVEDKILFMAKRLRYVFGDGSRTYTPRKRLCDVFGDGSRSISALGEPILIKRPTKIDNQKNQHDIHPHSQILTGNEMQPNSNSLGSRSPGIRLTVDLPIGGPQLHPSLLSNKEISTNDEVRKGEREKILKEKATQEKEVALATAKQQKIAKVKDGSPGIQLTVDLPIGRAQLQPPLVSNKETSTDDQAQKQNREKILEKRAKKEAEQKKDAAQEKEVAIAKQQKKAEIKETIRSSPGIRLTVDLPIGRAQLQPPLVSNKEISTNDQAQKEKRKKILEEKAKHEATQKKDAAQEKEVALEAVANAKQQKKTEIKENIQGSPGIRLTVNLPIRGAQLQPSLVSNKEMSANDQAQKGEREKILEEKTKKQSDLAAVAIAKQQKKTEIEENIRIMAKRLRYVFGDGSRTYTPRRRLCDVFGEGSRTSGSTKTVNTSYIEKDQTPPRKNTLPPQLLYGNRMHSDQNSYYSKIPPIKLTVDLPISSPMDTTTQGRAIVAAPITLEEGVGDNIAEEQQTKAGRAIRDDVVPVVKYPRPDLNFHPPEEDDEDCYS >Solyc01g059820.1.1 pep chromosome:SL3.0:1:68558462:68558969:-1 gene:Solyc01g059820.1 transcript:Solyc01g059820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGHKMKEEEMQLDAKNLQKAVVDTNFSSAESTVRVGEEMVKEGTKVGLGYGVNDYKAFLLFRIICIHIRPSSFISSSTFPVTMPFADEKSVSTSAPFSFFSSPIVLPPMKN >Solyc03g063650.1.1.1 pep chromosome:SL3.0:3:37522717:37524996:-1 gene:Solyc03g063650.1 transcript:Solyc03g063650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGDRIIACCSNLLLSVSIGFLLFSVVSSQIPLGSKLTVEEYNYWFSSNKDYAIGFLNFSDQYSFGVRFNAIYIPSSEQTAIWTAGWNVKVSSKAYFELSLTGEMILFDPAKGKIVWQSKTGNTSVESAVLLDDGNFVLLNRNKSTVWQSFESPSDTILSGQSLSVGQSLRASSRSSLTSYYSLHMNVSGEMQLRWETSIIYWTVGGPQSAVRAMLGSAGILQLLDQQSKAVWSVYGEDHNDSDVKFRFLRLDSDGNLRIYSWENNATSWRTVWQAIINQCDVFATCATNGICTLNASDSYVCWCPFRSTRDSNSECLIPYKPSCKSGSSMILHEHMYLYGIYPPNNTVVQTNLQQCRSMCQKDPSCHAASFINNGTPQCHMMNSRYVGGQSNPSLGSVTFIKTCSDPIAVLPPPVPAPRKVSQKICVACLLEVAAASIVVFFMLQFSIGVYLFRRRKHMMQKSALPHIVPNATGCIMFSYSEIKDLTDNFKQQIGQNVFKGMLPDNRLVAVKDFNASIDERRFRAAVLKIGSIYHKNLLRLDGYCCQSGRRLLVYELAKYGSVDKCLEEPRMCKRLTWRKRMDICLSVARAISYLHTGCREFISHGNLKCENVVLDDELEAKVSEFGLRTIQAEASSSGGLAETDVRDFGKMMVVLITGCQNADEACYLSYDKWVKAEKEMAMDQRIAVGADSEELERALRIAFWCLQDDERMRPPMGEVIKVLEGTLTVDPPPPPFAHNQQWPPDDESPSESYSAP >Solyc08g065310.3.1 pep chromosome:SL3.0:8:53281886:53289418:-1 gene:Solyc08g065310.3 transcript:Solyc08g065310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding AVRVAATLGEPQTGVAGQDQQPHRRRRRQPTTPTPANNSDSSATSSSDNSSKHSRFPSLLAFLRPAAPAPPLFLLSSASSISSRREATIGEASSETSNLARRAASSHGRKSSSCDHRWEQAAAPASVNSNQKQRDLALFKGLMFVKVWCWERILPVQPSAPPQHDGDMLLPYARRWTRGIDRDTESHHVLIPIRDQLNRMTEDQHVPVIPSWGTNHHAHDQRRRLGAEVLEMMDKYFCDWGNRHQSLAVEVNDGTSGAGYRLWYMRHGRLLIGSGRETQPLLYMVKRGPRSGRMGHRGRARGRARGCGAGGIPIPPDIGADVRVEADDLHVHQFGTSDIMNLLHMSFDPYSRLTRDVEGIGHMSYESTIDVGDYIPDIAGTSGTVRFDTEDTTIYNTQDFIEGLFDDPIKLQEGTIRPILFTAEWIELSKVVTGRPLADLRRPLNDSEVFVVSMPHLLPPSLCNVHLSSSFFISHTYTSALISLIISIFFKKFFS >Solyc08g005820.2.1 pep chromosome:SL3.0:8:629446:633996:-1 gene:Solyc08g005820.2 transcript:Solyc08g005820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINTKSFTLMLALLSFFHLSIVSGRKSINGFTLDLIHRDSPQSPYHDPSKTPFERLQKAFHRSFSRASFLGRKSVDSIESTLTPNKGEFLMKIAIGTPPIDTFVNADTGSDLTWTQCEPCYNCFKQLTPIFNPKNSSSYKTINCHNKLCQGLLCDNNTCNYEVRYGDRSHTMGDLSIETFTFASTSTQNVSIPNIVFGCGHNNGGTFSNATSGIFGLGGGNVSIVNQMHNEIKGKFSYCLIPLELLLDSSNATSHINFGDNAVVSGPDVISTPVYKKESPTFYYLNLKGVSIGNKTLGFISSPSSRPEYDRGNIIIDSGTMLTYVPDEFYSNLESLLIRSINATRKHGTYMGLDLCYESSENGTIDVPKIVAHFTNADLELSTSNIFSQVEEGICPLGLQIALNFIHRDSPLSPHNDPSNTPFERVQKAFHRSFSRASFFRGKGVQSTLTPNEGEFLMKISIGTTPIDTFVIADTASDLTWTQCEPCLNCFKQLTPIFNPKNSSSYKTINCHNKLCQKSRCNNNTCNYVVIYGDLSHTIGDLSIETFTFSSTSSQNISIPNIVFGCGHDNGGTFPNVTSGIIGLVPMQLVTYAVVSGPDVISTPIYKKETPTFYYLNLKGVSIGNKTLEFKSSPTSQPEYDRGNIVIDSGTTLTCVPDEFYSNLESLLMLSINATRKDDPFRRLDLCYESNENGTIDVPKIVAHFTNADLELSTSNIFTKVVEGIVCLTIVPGGTNQISIIGYDLKANKLSFKPTDCTKH >Solyc07g063050.1.1.1 pep chromosome:SL3.0:7:65770193:65771026:1 gene:Solyc07g063050.1 transcript:Solyc07g063050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEAGEFQEWEMIPSNRASDSEPLTPDGSAGNSNGVDEVGSETIGVIQPNYFSLDSQIRYMSTFSKDGSEEDSEKSDNPSWIEPDSDNNEFTMKNSRELWSDSGSEGKNELAFEEMKSENGEEFRPVSVEFGAGENSQLQQVSVEENQEKENGNVEGEGEQRRDAGNQKKSLVWWWKVPIHLVKYCAAFRVSPVWTFSAAAAAIMAFVILVRRLSKMKKNTKALKLKLTVDDKNVSQFTNRAARLNEAFSIVKRVPLIRPQLPAAGDTVWPLMTLR >Solyc09g005310.3.1 pep chromosome:SL3.0:9:232149:240112:-1 gene:Solyc09g005310.3 transcript:Solyc09g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNLALVIVAIVVCILVFVVNVYLLINYQHPDDANQAYFPKFVVVFGLSVAAISILMLPADVANRQACQHAIYNGACNLTLPMKQLWLAIYIVDAILVFFVIPFAMFYYEGDQDKTIAKRLKSAMCWVLVTAIVCALLLGILYGLAGKADFTVRHLSSATTSFPNSFDFNNGQQCIGNGARQCSAYSASASSETTWTMRATFPEYVVALATIVGSVLFTIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKRAKELKKATDALHQEERSGSKGRKWRKNVKAVEKEVLLLEDDVKALEEMYPQGEKAETAWAMTVLGYLAKLILGVLGLIVSVAWVAHIVIYLLIDPPLSSFLNEVFIKLDDIWGLLGTAAFAFFCFYLLLAVIAGAMMIGLRLVFVTIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFAYYAQATAAQEIFGHNLQSLRGIKYLYKYNVFQIGFIVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Solyc07g049120.2.1 pep chromosome:SL3.0:7:59471362:59473556:-1 gene:Solyc07g049120.2 transcript:Solyc07g049120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAWLGPFLKKIFFGTCLVHDELQKNELNKYCITCDSDLCRNCVATDEHNEHDLLQIYRHVYKDVVPLDEMEKYIDCTKIQPYKCNKKWVVALNPLPHNGSGSLIVGDPTCYTCKRRLNDPEQFRFCCIACQVEAKREKPVETKRKRKRKGIPHRAPLK >Solyc07g022850.1.1.1 pep chromosome:SL3.0:7:22797721:22798023:1 gene:Solyc07g022850.1 transcript:Solyc07g022850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHTLMQFMTEHFFYMMQTWLPSETTVKLHRYRKEELLNLRGNGIGKLDEWDKVYDYAYYNDLGEPKKGSTYVGPILGGSTKYPYPYNIVGYEKLKAIK >Solyc12g008970.2.1 pep chromosome:SL3.0:12:2271480:2280205:-1 gene:Solyc12g008970.2 transcript:Solyc12g008970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHKLTKTVEVNNFWCKESQIHSIWSCIQSHAGLLNLCIVVLIAVNSRLIIENLMKYGLLIGSGFWSSSTSVRDWPLLMCCLSLPIFPLAAFLVEKMAQKKYMTEHPVTFLTDPAGSCHSSHNYNDSFHFVSSSGHPQVCSHTRCDSAFLSGVTLMMFACIVWMKLVSYAHTNYDMRQLAKSVNEGENSEINYSYNVSFESLAYFMVAPTLCYQLSYPRSASIRKGWLARQLIKLVIFTGLMGFIIEQYINPIVRSSRHPFEGNLLYAIERVLKLSVPILYVWLCMFYSLFHLWLNILAEVLRFGDREFYKDWWNAKTIDEGVAMVISFFISAVFHELCIAVPCRLFKFWAFLGIMFQVGNMTFWCFFCIVGQPMCVLLYYHDVMNRNGSSS >Solyc09g074560.3.1 pep chromosome:SL3.0:9:66799592:66802093:-1 gene:Solyc09g074560.3 transcript:Solyc09g074560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVKKDEENHHQHLCDFCGNNTALLYCRADSAKLCFTCDREVHSTNQLFTKHTRWLLCNLCDSSPASILCCTETSVLCQNCDWESHNKLLSLHERRPLEGFTGCPSVSELLSILGFEDLGKKELLCGGDDGAYGFSDWVIWDAPSVVTLDDLIANNNESRHNYQAIGVPPLPKNRNAACGKHKEEILGQLRELSKLEPNSGDDQDENVPTTGFQSMEPVQNCPLRYKGSGFMQRSDQHVVPSSEGSAFHWHGDTGEFVDQGFSSSLTDCFIETKCLLPDRDSDVCDASGGGNEEQSHHPTTTETFQMVPKVVHRELNSQERETAVSRYKEKKKTRRYEKHIRYESRKARAETRTRIKGRFAKMDYRDSSVHQ >Solyc02g094150.3.1 pep chromosome:SL3.0:2:55413264:55417134:1 gene:Solyc02g094150.3 transcript:Solyc02g094150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGVEEMSLEAKALGHSGIAGAVPLSFRRSFSSFPTRLSFHTAVPPQTRRFQSLAVIKRSPKRLKYSAPRLTKEDGLLYVQVDQFGSDSWKLDPVVELLKGGAVGVIPTDTLYAIVCDLSSHSAIERLRRIKEIEPSKPLSIICRSFRDIDTYTTGFPRGNAQGLTDIFRAVKHCLPGPYTFILTASKQLPKQCTRYGSATSKYASRKNVGVRIPDDPVCQAILEKLDRPLISTSVKSPKEDEWILDPVIIADVYGPEGLDFVVDAGVRVADPSTVVDMTESAPRIIRQGKSECIRIISNELWS >Solyc10g055230.1.1.1 pep chromosome:SL3.0:10:56453914:56454468:-1 gene:Solyc10g055230.1 transcript:Solyc10g055230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4D1B5] MEKTSLIILLCFILMSMVQGVVLGPKAVEKWFKELPHAKQKVTKFHFYFHDITSGKNPTAVPIIKSKSPTFFGYVAMIDDPLTVGPEVNSTIVGRAQGIYGGAGQKEAALLMTLNFVFTTGKYNGSTLSVLGRNPAFHKYREMPIVGGSGVFRFAQGIATAKTYWMNATDAIVEYNVIVLHYDV >Solyc08g076800.3.1 pep chromosome:SL3.0:8:60829118:60830164:-1 gene:Solyc08g076800.3 transcript:Solyc08g076800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNESSNDSFSLMSPPQAILGLRDIRGMSCVIRAIDRYNLDGKHGYMR >Solyc09g005240.2.1 pep chromosome:SL3.0:9:182127:182968:1 gene:Solyc09g005240.2 transcript:Solyc09g005240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLLESFPISVTNWWYDINKSIQWQHGIFFTLCALYSLVSIIALIQVIRIHIRIPLYGWSTQKVFHMMNFVANGVGAIVFGLHNNFFMLRSNVLMNYAILDLQGLVFFTTFTHLVWFWADVYYKIRCFPTSKLKFWYILINCVIYLILGCIWICIGMSTSYTVVLVKLLFIRKIFVAVIPFFAILGVLIYGGRLFITVRRYCDEWIGGKKKLIEHGYMLSCFHRDLDHPVLKMIYYMVVEILPSALFIYILQKLPRRRVSAQYHQII >Solyc08g028973.1.1 pep chromosome:SL3.0:8:39142462:39151329:1 gene:Solyc08g028973.1 transcript:Solyc08g028973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMSKPVSTPLASHFKLSKLQKHQSMDEVEHMSKVPYASAVGSIMYAMVWTRSDIAQSVSVVTRYMENPGKRHWKAIKWILRYFKGALDVGLTFRKSEGDGHVGGILGGAGGLPLALFAGVADALGSADALGAADGLPLDLFAGLGDALGAAADGLPLDLFAGPGDALGATRGFPLELFVECSPSKSDSTLPESRSSTSSNIGFPIVTASEVEGDLGGAVIVEGFLVE >Solyc02g070830.1.1.1 pep chromosome:SL3.0:2:40995530:40996792:1 gene:Solyc02g070830.1 transcript:Solyc02g070830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMWSEVPEDLLRLIANRLDTLTDQVRFACVCTSWKSILLSIRPSHSWCLLYRFEEEPSELGEKFLFLPERKIHHLEFIPEVLGRSKFRGCSFSWLVCTDDYSPKISLYNPFTKVLIALPPRYNFPDVIRYCPPERSHPVYEIEIYDVDDDDTEIDFVATSSVHIHLIHKFVLSSSPSKPNCMVVAIYGTMDYNLAYCKIGDEKWTSIAKGRMRYDDIIFRDKNLLYAVTITSHVHVFDLSSNSPKLVDTIQPPPPQPLPPPPPEELGQPEPGRRSYLVNTSIGLLLVQRHWLWTGSLYEGNQCEKTKIFNLYMYEPSSRSWRRVENMDENVLFLGLNTGVSIPSSRVGGYKGNHIYFTDTLFVFSLRTARHGPYEIGVYDLDSKSAQCLQRYDTKKYWIRPTPIWYIHSPEDFFERDL >Solyc02g069700.1.1.1 pep chromosome:SL3.0:2:40098788:40099018:-1 gene:Solyc02g069700.1 transcript:Solyc02g069700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWFLTRVMWYHPGFWCPPVHIGFTSGNEAGAVGGCQWDHIAVRSPLLLVISREHGSISGSRGRRGFHLVTAGCIS >Solyc11g005400.2.1 pep chromosome:SL3.0:11:310159:317050:-1 gene:Solyc11g005400.2 transcript:Solyc11g005400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVIPKWRNVLILKNSLIQSTRIVSSTQSQNTHLSSFHSTSICFEKWKNKWNSDFRGSQQPTKNYIRYETRQKRADSKKALKNLLFYGASGNSFENESSTINDRWDMDRDDRSEKKSEYKSAARLRDRSRRRMRKMKKRRLYEEFDEYPESVFEATFGDKWYTWSFRPGKSSTFEDMFSRRQETDWSEQRHYRWDNETENETHTESKYESCHIGSSSERTILGLPPRGPLKIEDVKNAFRLSALKWHPDKHQGPSQAAAEEKFKTCVTAYKSLCNAFSPA >Solyc10g051350.2.1 pep chromosome:SL3.0:10:51897039:51902197:-1 gene:Solyc10g051350.2 transcript:Solyc10g051350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILARKSLSALLSRQLVLAGHTIEGTNGYSRTLLGTRSFATKHSFSTDKDDEEREQLAKELSKDWNSVFERSINTLFLTEMVRGLMLTLKYFFEKKVTINYPFEKGPLSPRFRGEHALRRYATGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFATETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Solyc08g067340.3.1 pep chromosome:SL3.0:8:56457272:56459264:1 gene:Solyc08g067340.3 transcript:Solyc08g067340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKSFFIDLNTNPLLHNINRSPIPRETLDEELSRMREENKKLATTLTSLYEKYNSLQTHIIELQQKYSNHEEDNSKLLLSRKRKAEEDYCVNNSYINFEEASPKMPREITTNISTVCVKTNPSDQTSVVKDGYNWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSVKDASILVATYEGEHNHPQPPQAEITVPLVNTTDPTFLNKFMKDINTNSVQQQYLVEQMASSLSKNPSFAATVATAISGLLF >Solyc02g062463.1.1 pep chromosome:SL3.0:2:34618154:34619599:1 gene:Solyc02g062463.1 transcript:Solyc02g062463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNFCSNLIKSYSNPLVELDGMLKRMISENLGLKNHIDELLNANYFLFRFTHYKGSSIASGDENNKAAGLGGHTDGNFLTFISQNQVNGLQINKNGEWIDVIISPNSYVVLAGDSFKAWTNGRLHSPLHRVTMSGQNDRLSIQLFSLSKPGHFIQAPKELVDEEHPLLFKPFEILELFKYGTTEAGYTAPPSDLFKIYCGV >Solyc05g047670.1.1 pep chromosome:SL3.0:5:60009831:60010440:1 gene:Solyc05g047670.1 transcript:Solyc05g047670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMCSSAPDPEMWIIQGTLAWDRTTDSEGKNLHQYLNFNSNMGLIHTPCSEKNLSSEKRKKWSLCLKKCVEKGRRIEPFNEIVFFQLSQNEIYSKHI >Solyc01g008190.3.1 pep chromosome:SL3.0:1:2277299:2277841:1 gene:Solyc01g008190.3 transcript:Solyc01g008190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPHRPGTSNSNLNPNKIDLKNPYNFNRATNSRLLSVDTDMPDPNSSTVNRVEVYKRRNRRGKQLQRIYRDCYWSLMEEVKNWREQRECGYQ >Solyc12g099070.1.1 pep chromosome:SL3.0:12:67333544:67335907:1 gene:Solyc12g099070.1 transcript:Solyc12g099070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEGGISKADKTEFTECWRSVWRTPYIMKLAFSAGIGGFLFGYDTGVISGALLYIREDFKEVDRKTWLQETIVSMAVAGAIFGAATGGWLNDKFGRRLSILIADVLFFVGALIMALAPAPWLIILGRIFVGLGVGMASMTAPLYISEASPHKIRGALVSMNGLLITGGQFLSYLINLAFTDVKGTWRWMLGVAGLPAIIQFLLMLALPESPRWLYRKGKVDESRDIISKIYPAEEVENEMMAMKKSVEEEKEIEGSIGSSTFTQIKKAFGNTTCRRALYAGICVQVAQQFVGINTVMYYSPTIMQFAGIASNKTAVALSLITSGLNAIGSIVSMLFVDRYGRRRLMIISMIGIISCLIVLSVVFFQASAHAPAISGTETAHFGNNTCTAYANAPNPASWNCMKCLAKEAECGFCSNTNLYAPGACIAKTDALAGACKAENRVWYTKGCPSKFGFLAVVFLGLYIIVYSPGMGTVPWIVNSEIYPLRFRGVGGGLAAVSNWTSNLIVSLTFLTLTEHLGSSGTFLLFAGFSFLGLIAIFFLVPETKGMQFEEVEKLLQKGYSPFRKNTSSTTTKEVSDHTK >Solyc12g014090.2.1 pep chromosome:SL3.0:12:4901652:4915533:-1 gene:Solyc12g014090.2 transcript:Solyc12g014090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAEDASKARKSRTRKRNNAEDDYNCGINPSTEARRINSVILANTKPSFCLKRGIGSLHKDHRSLQSEHRRRLRRLLEKLMRQHKYAEASGVLSVLLKGTTKESAVFKTRTKFMAILELIEHIKGDTISSKRIQDIYELWMKKLGPMKNRPPKDRFAVHLEFILFCLKRGNTQDAHQGALSLMQQRGFGSDPVSNLVVGLVFYQLWYSTIPKELHLQELDRFDSTVQSETFEDRIFMSILNSEEHDAVEGEEANSPFNCDSNTSIRNDKEILGVDVSQQREVAMVDDDNVPGETQNDNFQPQDFYMNSSERSDREGSSMDQSGDDPYHSIFYNRGLPLWLLPLQLPSSDENLEDVLNMHRALRNDNYKNAIKYLRHALHSSPPVLEAFHPLIQMLLLGDQVKEALDEVEKFTPYTNTSFQLRLKATILEHFDSGNYVKLSAIHEENLEKDPACSHSLGRLIILHRRGEYSTEKLMEMIALHLDATHANCDTWKELACCFLRLFQCEEDCMSVCSNGEDSEKQKFTKWISQIPKIFSDYESSKSWRLRCRWWLTHYFSQTILTSDIASGDWELLTYKAAVACYLYGREFKYVVKARECLEGDPINKNLYSILCMHANSCTGFYFNVKK >Solyc07g026720.2.1 pep chromosome:SL3.0:7:30491782:30494631:-1 gene:Solyc07g026720.2 transcript:Solyc07g026720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAVVSISVGFATEGWPKGTYDGLGVLLSIFLVVVVAAISDYRQSLQFRDLDKEKKNILIQVTRDGFVAVPEGLPLAVTLSLAFAMKKLIDNKALAIFHNTVAEVVKDKGEKKSILGTPTESAILEYGLLLGGDIGKQRRGCKLLKVIARASPMDKLVLATEPPHEELINRPPVGREVFNEINSSDMEKINVFRGIFGSWIFVGVITSTVVFQVIIVEFLGTLASTTPLSWKLWLLSVLIGAASLIVAVILKLKPVEHKNKHHDGYNLLPNGPELV >Solyc09g092680.3.1 pep chromosome:SL3.0:9:72122369:72131909:-1 gene:Solyc09g092680.3 transcript:Solyc09g092680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEMAQTEPEGIDGVRMTWNSWPRTKVEASKCIIPIASSIQLLRPFPDLPTLPYAPLRCKTCSSILNPFCRVDFQALIWICPFCFQRNHFPQHYSGISETNVPAELYPQFTTIQYAIQNPGGHNSPPVYLFVLDTCMLDEELEFAKSALKRVIGLLPDYAMVGFISYGTQVQVHELGFSDMSKVFVFQGSKDLSKDQVLDQLGLGSISGRKGGGGGVGVNGGPNHGLTRFLLPASECEYTLDSVLDELSTDQWPVTPGNRASRCTGVALSVATGLLGACLAGAGARIVALVGGPCTEGPGAIVSKDLSEPVRSHKDLHKDAAPFFKKAVHFYEELAKQLVSHGHILDVFASALDQVGVAEMKVAIEKTGGQVVLAESFGHSVFKDSFKRVFEVGEQSLGLCFNATLEINCSKDIKIQGIIGPCTSLEKKGPAVSSTVTGEGNTTAWKLCGLDKNTCLTVFYDVSSSEKSDPSGNINPQLYIQFLTSYQSPDGQTKLRVTTITRRWLDAVVGTEDLVQGFDQEAAAVVMARLASYKMELEEDFDPTRWLDRNLIRLCSKFGEYRKDDPASFTLNPCFSLLPQFMFHLRRSQFLQVFNNSPDETAYFRMLLYRESISSAVVMIQPTLMAFSFNTLPSPALLDVASIAADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAHLLQVPHDEAQALIQERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANDMAAGSDVIFTDDVSLQVFFQHLQRLAVQSS >Solyc11g006350.1.1.1 pep chromosome:SL3.0:11:1068942:1070075:-1 gene:Solyc11g006350.1 transcript:Solyc11g006350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISATLSSHGKILMSPLRKSEWANQPVLCKSVELFKNGRNEYRMSTNSNKFQCRALEIENKSSTKFHLDDVIESQQFDRETLSAIFEVAQEMEKIEKNSIGGRSEILKGYLMATLFYEPSTRTRLSFESSMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAARRAALTASIPIINAGDGPGQHPTQALLDVYTIGREIGKLDGINIALVGDLAYGRTVRSLAHLLALYKDVKIYFVSPDVVKMKDDIKDYLTSMGVRWEESADLIEVASKCDVVYQTRIQRERFGERVDLYEEARGKYIVDMSVVNAMQKHAVVMHPLPRLDEITVDVDGDPRAAYFRQAKNGLYIRMALLKLLLLGW >Solyc08g065210.1.1.1 pep chromosome:SL3.0:8:53112825:53113376:1 gene:Solyc08g065210.1 transcript:Solyc08g065210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAGELPESDVIPGVSTELKAFLEDDEEGYVMEDEKVEEVMHELLKEIHGDGSDGKNNGTLGVSPSFYVNGESCGPAFSGSGSTLMAGIAGAGMDTGSSGLLEGGGVVGLGGPNIEVFSGLEMGFTMNNNNNGPWLMEKAQKEKSINMEEGEKMEGWDEEEGEDEWLTRVLSCAPQVLEDYGM >Solyc11g027930.1.1 pep chromosome:SL3.0:11:19696160:19696420:1 gene:Solyc11g027930.1 transcript:Solyc11g027930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKIHMQSIDTIMKIISEATLPPIGLYILERMDDDMADLDSKPDLDIDRNGEIIYAM >Solyc05g016290.1.1 pep chromosome:SL3.0:5:15479302:15480274:1 gene:Solyc05g016290.1 transcript:Solyc05g016290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAAPSKNKVIVIGAGLAWLVAALQLMLYGFLVIVFEGRKYMKDAYYELFDKASKVRQELSQVISLVKGSETFQKDSRVARNVEQSGSITFIPELPQQNLDTIKRLGLGVLNKVAMLFPYVFWDSNIYIFGHVADNLSWRGGFFVFFNHTTVFGRAFLFVLVAG >Solyc09g057840.1.1 pep chromosome:SL3.0:9:51320038:51323422:-1 gene:Solyc09g057840.1 transcript:Solyc09g057840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMATGLTPFLPAWGEAMDTEMKTSQHQLGGTRDSWIAKSSIGLRWGASSTTGLEEEIGMGKSLQQNQLIGREARDVLSLFANFLGHFAIRVMPIRITEAKDIFEVKVEILRIMLVIDPTGDYLEWGTRDLKNLRTATVTSTYGFMRREIDRNSLVVPHSRL >Solyc06g007240.3.1 pep chromosome:SL3.0:6:1296856:1300117:1 gene:Solyc06g007240.3 transcript:Solyc06g007240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKSSTSSKSKNEVIKDENYKYTEFEEEKKSCIIDWEVRPGGLLVQKRVGISAEENSVAGPMIKIKVSYDSCYHDVVVPAESTFGNLKKILWDTTGLHPNVQRLLFQGKEKDEKECLHISGVKDMSKVILMEDPASKELKKIQDTSISSQAIARVRVEVDKLSHRVVSIEEAVQRGTKVEDKEFVSFVDMIDNFKARNSSIVSNCIGTISMVNTKWEKFDSGIGSLNAPNQSPKVTQEWEVFD >Solyc01g007230.3.1.1 pep chromosome:SL3.0:1:1804503:1805192:-1 gene:Solyc01g007230.3 transcript:Solyc01g007230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPHLNGAYYGPSIPPPLKTYHRPGHGGGGGGCCCNPFTCCCSCIFNCICTCIFQILCTLLIIIAVVGFILWFVLRPNKVNFHISDASLTQFDFSTKNNTLHYDLHLNVSIRNPNKRIGIYYDSIEARAMYHGQNFSIVKPDPFYQGHKNTTDLNLVFTGQDSVQLGSEYNIEKDTGVYQIGLRLYLRIRFKFIWIKTKKIKPIINCDLKVPFKGNGTFKRTQCNLDW >Solyc10g055130.2.1 pep chromosome:SL3.0:10:56328783:56329465:-1 gene:Solyc10g055130.2 transcript:Solyc10g055130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLQSYRIKFALNCEGFPYRLGDFRVRVGKVVPIKSENLRGIVMEMEYLPISSWKTSHMIMSEFFEILKETLGKKSLPGHFVHAEPNYSEFGLSDQYTSRHTVVIYATILAQISTTT >Solyc02g087370.1.1 pep chromosome:SL3.0:2:50456745:50458432:-1 gene:Solyc02g087370.1 transcript:Solyc02g087370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLRDDVYDSPSFKRPFESNKGETYSPSQVPGSGKSGVGGGSSAGGASDSNSNLTTGDALSYLKEVKDMFRCQRDNFSLRKIDIVGVIARVKDLFKGHPMLILGFNTFLPRGYETTLNDEDETPPKKVEFEEAISFVNKIKTHFQNDDHVYKSFLDILNMYRKEHKGLRYELHYFDFLIIIGEKVISDIVQ >Solyc11g039730.1.1.1 pep chromosome:SL3.0:11:43141470:43141679:-1 gene:Solyc11g039730.1 transcript:Solyc11g039730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNLGGRRRFAIFAGINIDGMHVHQLIITRWNHDDTIKLQMIYRAMPTLIMSTLWKRRNTITHGGNTNS >Solyc06g065820.3.1 pep chromosome:SL3.0:6:41366306:41367796:-1 gene:Solyc06g065820.3 transcript:Solyc06g065820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene response factor 1 [Source:UniProtKB/TrEMBL;Acc:Q8S9H4] MARAQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNMPQTSSSKLLSTTLTAKLHKCYMASLQMTKTSPQGQKLAKNATNVQESVINSYKMKQQMLVPKPSVLLTHHDHHEEAKVVNLGVGVIRKVEDQVLEGIPQFVKPLEDDHIEQMIEELLDYGSIELCSNVVPSHQIQ >Solyc02g031975.1.1 pep chromosome:SL3.0:2:27709121:27712817:-1 gene:Solyc02g031975.1 transcript:Solyc02g031975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLPLGERAKTGFGIHPQGFFELSAIAAAIDSSTQNSKVVLESMTIKTSKVQIELAEGSVDGYFLVQFPVQ >Solyc12g010620.1.1.1 pep chromosome:SL3.0:12:3587959:3588123:1 gene:Solyc12g010620.1 transcript:Solyc12g010620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVFVDKTGTSFDTKISSLDVTSNKVTLSKTSSIVFLTSFNSTNSIETVINKT >Solyc04g071807.1.1 pep chromosome:SL3.0:4:58908340:58910100:1 gene:Solyc04g071807.1 transcript:Solyc04g071807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVKDGNPLGFPSVWLMAICISFVIKILNHPKRKLPPGPKPWPIIGNLNHLSSFPHKSLHHLSQKYGDLMLLKFGSKPVLIVSSPEMAEEILKTHDMIFASRPPLAAIKYFSYNYSDMTWASYGAHWRQGRKISLTELLNPRTLNSLEDIRVEERKTLISRLFNLSGKPILLKDHLTLFTLRTINRSIMSKTYCSDQSNSDTSIITHERLQWMLDEWFLLGGVINIGDWIPWLNWLDLQGYIKRTKALGKNMTEFYEYVLEDHKAKRQTEENYIPKDMVDAMLQLADDPNLEIKLTTDSIMGLIHDLVGGGTDTAATMIEWAFQELLRSPNIMEKAQQELDRAIGRERWVEEEDFSKLPYIDAIIKESFRLHPLCAMLPPRYSTEDCNVAGYDIPKGTTVYVNAWSLGRNPKYWDRPEEFIPERFIENGIDIKGQNFALLPFGSGRRKCPGNSLGMKVVRTTMANLLHGFNWKLGGDMRSEDISMDEISGLTTHLKNPISLITEPRLSLHLY >Solyc10g045290.2.1 pep chromosome:SL3.0:10:32558095:32567750:1 gene:Solyc10g045290.2 transcript:Solyc10g045290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWIDNLLYWFKDQDFARTKPVALLFFAGVMATLLHSETKRLYSSWWDTGHIQNNSKWLQHNLTEMDAKVKAVIKIIEEDADSFARRAEMYYKRRPELMKLIEELYRAYRALAERYDHVIGELRQAQKTMSEAFPDQLPFLLAEDSPMRSSTQITEPHTPEILCLRASSYTHEFHQSTTGLIPSGIHAALKIGSHNGDSNKGTSDWGLKQLLEMLGAGEEMLKSTKFLEGKLSIGLNRNTEEKEKCLHDKVSELSNEDGNINSKILALDESEHADQAEAEVQNLKEILAVMQAEKEATVIRYQQCMNQLYAAERELNSVQKDSVKFCEQASTAENEIQKMKESLIKLEAERDADLSKHKKCLERISHLEVTASQALEDTKELKKRSIKAETEAQNLRNEISKLESEKDVVLHEYKLRMVNIFDLEERLLVAQEESRMLTEIADKAEAEIKKLKIVLMELNEEKEAAGGDYKHCLDRISNLENELACSQEDVKRLNAEISTGAAKLKDTEDKCVVLEISKHSLYLEIDNLAKKIAMKDQELYEKKRELEELQTDFQNLHLSHAQLEVTLQALQYLHCQSQEEQRALTMELRNSLELLKEVEECKNSLEGELKRVTDENHSLNKLKFSLSNSIEKLENEILSLRKTEEKLEAEVAQQVGLSSNIQQDIACLKEELKDLNGSYQALVQKVKAAGINPECVDSSIKSLQEENSNLRIICANTKCENDVLHKKLEDIDELLKKKAVLESSLSGVTGELQGSQEKVKALQESFQILNGEKSILVAEKAALLSQLQIITEKMQKLLEKNAMLENSFLGAKVELESLTEKANSFEEICQLLKTRVKESEEKYACLEKDKQAEQLQLQELRVSVKMEKQEKINFMHQSETRLIHMENHIHHLQEESKWRKKEFEEELDKALKSQFEIFILQKFMQDMEEKNFSLLIECQKHIETSKLSDKLITELENHILKQQVEADLLVDEIERLRMVIYQVFKAIENDSDFASEGKVETEQTFLHYILGSVDDLKLALRMYECDKQQLLIENSDLLNRHAQLKSEGLELESMKKSIEEELNIVAEKLVKVQKENHCFLEMNKKLQSEMSSSTQLNAILEVEVQTVCVKHGELQTAYFQLQTKYSQVLHQNETLLTKISEIKEEKWIVEQENDVFLLEILVLGNFSDILKSYSSERTAELESIFEDIRKLHGATLDLEKEMDVLNGKLEMKETENLLLKKSIERLEEELHGVRESNDHLKLEMSTGKELQGKQEIQLMEAEQNIKVSEKLNSELHRALDVLKTDCLESSKLNEDLEKKIFEMLRDNTTQNKEIGSLQEANTNLVVELGKLREEIEEHRIRENCLSSELQEKDYEFGLWEAEAATFYFDLQISSTREGLMESKMDELSEIYGRLKNENASKSLEIEQMKMLINLMESEIGEQKSHLHAYAPVIASLRNDVVSLEHNALLQTSLKLAGSQEPKCVDIEVQPDESRYGNLTENQLVMTKDILDLQELRDRIKAVAEVVNKRNKPILQVSSYNKIGRGSTETEVKESKFRYSFDLEEDEHIERRSPRNEYGEGHYRRKTKPKSFDIQKRILMKDIPLDHVSDGSQQRIRTSGSSDVDGADDQMLELWETTEEGSPSKIMKERANHPPTESEVEKELGVDKLTNSFDARVETNKQILYRLSSDAEKLVSLQMTVDNMRRKLDKKRKARKDKYVDFVAAKEQLKEVELTIVQLVNLNGHLMKNTEESTHFTGSTSTYSKELLNIRGKRDLEEARKGSEKIGHLQLEVQKLESMLLKPGDKKKSIDRSRFYSSIALKKLIHIGKSSSEKEKNVHLCGCFTPYNSNNISSNRYHI >Solyc12g011040.2.1 pep chromosome:SL3.0:12:3892684:3904375:-1 gene:Solyc12g011040.2 transcript:Solyc12g011040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKVVPMLIKVMSDTQKNVSLFETPQLLQRDKLSWLGDVEFARQTLSGFNPFSIRLVTEWPLKSKLSPEVYGPPESAMTKELIELEIGGSMTVEEAVQQKKLFILDYHDLLLPYVNKVNELKKTILYGSRTLFFLTHEGTLRPLAIELTRPPIDNKPQWKQAYFPSTWNATGAWLWKLAKTHVLAHDSGYHQLVSHWLRTHCATEPYIIATNRQLSAMHPIYRLLHPHFRHTMKINSLAREALINANGIIETSFSLGKYAMEFSSVAYDLEWRFDQEALPQNLISRGLAVEDPNEPYGLKLTIEDYPFANDGLILWDTLKQWVTNYVNHYYPQINLIESDIEIQAWWSEIKNVGHGDKKNEPWWPELKTPNDLIGIITTMIWVTSGHHAAVNFGQYIYADYFPNRPTIARTKMPTEDPTNEEWENFLNKPEEALIKCLPSQLQATKITAIMDVLSNHAPDEEYIGDKIEPYWAEDPVINAAFEVFSEKLKELEGIIDARNVDSKLMNRNGAGVMPYELLKPFSEPGVTGKGVPYSISI >Solyc06g064770.1.1.1 pep chromosome:SL3.0:6:40491905:40492078:-1 gene:Solyc06g064770.1 transcript:Solyc06g064770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTQNKIHVNTFLHIARKVADPIDNSSSINHFLVHHVPLANLWQTIFFSVIMASCDA >Solyc01g088770.3.1 pep chromosome:SL3.0:1:83392723:83394716:-1 gene:Solyc01g088770.3 transcript:Solyc01g088770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSKIQTPAYPSAAKLADSDCYPQYTASLKCLEQFSSEKSKCQEHFDVYKECKKKEREARLERNRSRSLFS >Solyc11g044900.2.1 pep chromosome:SL3.0:11:32288016:32302403:-1 gene:Solyc11g044900.2 transcript:Solyc11g044900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSVEVLCKEAQQCEQKQRKKYTATLEQLSAFEVLLDEVYVDCLPKPSDYDARRDLLRIFNEIAKEVYGYSADAPVVEVFGSYSMDLFCVKSDLDLSVNFTNRKVNITREKKIQTLRKFAKKFYQLQRNGFVRGVNPVTTARVPVLKVVDRGTDIECDISVENQDGISKSKTIYMIGSIDERFRKLSFLMKAWAKAQNINSAKDQTLNSLSIILLVAFHLQTRNPPILPPFSALFKDGNDSVAVESSVCKFSNYGISNKESVAELFVSLLNKLLSVEKLWSEGLCASTFEGSWISRTRGDRVGRINVEDFADRSQNVARAVGAVEVKQIYRCIQLSVQHIFDFLNKKIGGNSLREFLFGQVVASKLAGAGTAKSGKKEVQSVALSKGNKKTVHVDSILTKSRTSVKSPRGMAQGKHKLLGPTDSSLTKRSRTDDWLGGTFSELSRRQPGEQPMPYYLTNSWGPMSMISREAMYNRPQPVPFEPTYGRMRFAEHSGDPNLIAPAVPHMFPSRHPIGSQISYEPLRHPVGYLNSVFDSRQSSAYILPPHSRRF >Solyc03g005620.3.1 pep chromosome:SL3.0:3:443734:450994:-1 gene:Solyc03g005620.3 transcript:Solyc03g005620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTPKNQRTPTPFSKLNLTHIPNSRTPQAKHRLNFTTAKPNSVAPVAAAAEHPIEVIGRIRDYPDRKEKPLSLLQVNPDRETLRVKTDGGYRDFSFDGVSLSEEEDIDEFYKKFVQSRINGVKLGDKCTVMMYGPTGAGKSYNMFGSSKQPGIVYRSLRDILGDGIEETDENNEKISVGTFVQVTVLEIYNEELYDLLSTNNGGGFGFGWSKGSSSKVRLEVVGKKAKNATFISGTEATKISKEIQKVEKRRTVKSTLCNERSSRSHCMMIIDVPTVGGRLMLVDMAGSENIEQAGQTGMEAKMQTAKINQGNSALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKELHKTISTLEYGAKAKCIVRGPHTPLKEKGAEDSSSAVILGSRIAAMDQFIYKLQMENKLKEKECNEAKRKLMKKEEEIATLRSKLEVVVAQGGVETTEEDIIVKVNERTQMLKHEFENKIQQCQETANEFVETERRKMEERMFQQQQEFEMLRRRLEEIESELWHSRVGSRSTEVEENSFGKRLLEMYSEDAGMVKSMDLDRSIDMDCGKKAESNIIQAMLGYPSITNAREIEDPSILLQHFTNKSFLSTVFEEEEEGNDSEGDKENPYVEEVQKEVIEEKTICSDNVSRVEDRSDDLSSDNTAPSRKELIQNIFKLCGNSRELSQQCITTPSVPAEKRVKDTESKSPPVKEIVENSPITKNHNFSTEKMTIYLKINKTVALRVKESDSIGNIKSLLHDNEGIPECLQHLFSKGVELADELKLVDYGITTNSFLHASVDNSISRIFFVKRPYAIDAITVCSRICDTIQDVKYRIEASEGVESTEFSLIHDGKFLDDDKTFAFYKIDSGSMLHMVLYPRDKFLISIVMPWEKIVKIEVKAALTVREVKRSLESKVRWPKNSMYLFSGNQKLEDSKTLYQCDINEESIIQVKYRTIQIFINTGDGSTTLDVHRHELIRNVKLMILKKLKIPVHLQKLFYGGKCLFDHRDLASYNVKKESNIMFDIRLPPPANIYERYEPYFTSSQPVSNMRCHHQTSLRKIKGVVSRFTSVAHLKTLIKYDLKVNVKELYLRGKLLSDLCCLGKYGITKKTELGILC >Solyc04g018300.1.1.1 pep chromosome:SL3.0:4:11497984:11498280:-1 gene:Solyc04g018300.1 transcript:Solyc04g018300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTLTRNSLISKSFFPRRQEQKTKPNAGSLRRSQACTTQSSEWLVRKRVARDAFLSFFAFSFSYEFQLQQCRARKIVLPSVRFLHVELQGKKMNPSR >Solyc06g066230.3.1 pep chromosome:SL3.0:6:41652441:41655780:-1 gene:Solyc06g066230.3 transcript:Solyc06g066230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKSNHPTPIAIDLIFIILVAHQIKSMDMNLVSFILLFSFLFIIFRKLRRSQKQNLPPGPWRLPLIGSLHHLISGHNPHRIFRDLARKYGPVMYLELGEVPTVIISSPSTSKQVLKTHDLAFASRPQFTSTDIVMYNNKDIAFAEYGDYWKQMRKICIMELLSAKMVKSFSSIRKDELSSVLSSIDSVRGCCEVNMTEIIVRFTSSVTCRLVFGKLCRDRDELINLMKDALFLVGGFDIGDFFPSWKLLYKMSGAKSKLVKMHQKVDSVLERIVNEHIKNREAGIKGNGAHGGEDLVDVFLRIKENDQLQFPITNDHIKAVILDMFTAGTETSSTAIIWALSELMKHPNVMAKAQSEVRQAFKEKIDFDEEDLDNLPYLKLVIKETLRLHAPSIVHRECREETTVDGYTIPAKATVLVNTWAMGRDPEVWDDPESFIPERFENSPIDYLGNNYEFLPFGAGKRICPGMQFGIANVKQPLARLLYHFNWGLPYGTNNPKHLDMSEKSGLSAAKEKDLYLIAKTNQSLDAML >Solyc05g053190.3.1 pep chromosome:SL3.0:5:64157939:64162815:-1 gene:Solyc05g053190.3 transcript:Solyc05g053190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:K4C1Z9] MCFSAALALPLLPNLEKSSANCISNCSRKDEDSNKGQSVKLTLPAYKWRLVIAYDGTRFSGWQYQQSTPTVQCILEEALTKKTKINRKELCVVGASRTDAGVHAWGQVAHFITPFNYETLDGVHRAINGLLPSDIRVREFSPAGPEFHARFSVTSKIYCYQVYNSTILDPFLRHYAYHSEYKLNADVMRDAAKHFVGKHDFSAFANTSRNDRVPNPVKTILRFDVVEKGPLLRLEVEGSGFLYRQVRNMVALLLQVGRQAVPPDIVVRILESRDRKELAKYTLLLPPHGLSLETVKYNEEHLILPTDSPASSFGRHHSISKCKVLFI >Solyc05g012450.3.1 pep chromosome:SL3.0:5:5690775:5699202:-1 gene:Solyc05g012450.3 transcript:Solyc05g012450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPLVNKLSLIFGPRPPLNRILLCLVSVCVLLVLLSSFSTTTFDSATSYAKPDIYTNYRKLKEQARNDYLELKSISLGANLIKDVGLCGKERENYVPCHNVSANLLAGLKDGEEFDRHCELSQEHQNCLVRPPKEYKIPLTWPAGRDVIWSGNVKLTKDQFLSSGSMTKRLMLLEENQIAFHSEDGMIVDGVKDYSHLIADMIGLGSDTEFLQAGVRTVLDIGCGFGSFGAHLLSLRLMALCVAPYEPSGSQVQLALERGLPAVIGNFISKQLPFPSLSYDMVHCAQCGIIWDSKDGLFLIEIDRLLKPGGYFVLTSPTTQQQDSTTNTKNGITSSSLEGFTKKLCWSLLAQQEETFIWQKTADSQCYTSSQGEIPVCKGNEMQMYYQPLAHCISGTTSRRWVPIHSKSDHLNSTELEIHGLHPDDFFEDSNFWKLALRNYWSLLSPLIFSDHPKRPGDDDPLPPYNMVRNVMDMNAHYGGLSAALMEARKAVWVMNVVPLGARNTLPLIHDQGFAGVLHNWCEPFPTYPRTYDLLHANGLLSHIASQKCSMFELLLEMDRILRPEGWIILSDTLGTIEKARTLAAQIRWEARVIDLQNGSDQRLLVCQKPFVRK >Solyc03g046370.2.1 pep chromosome:SL3.0:3:12354752:12356295:1 gene:Solyc03g046370.2 transcript:Solyc03g046370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSYGGSVESKIQLVCDLEKPEDTWTVRHVGGPMIGSGAEKSSFLVRPVQESKITKNAQIFFKNIGYKLDHKHLRVGFAFHFQKDALITVTVSSINKIFKLHAIDDAVSVTPGTHLVEVTAQTASTNYNEVVASVSSFCEYLALLLHLSKPGVSTGVVPTAVASLMSDG >Solyc11g061690.1.1 pep chromosome:SL3.0:11:48428193:48428937:-1 gene:Solyc11g061690.1 transcript:Solyc11g061690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQAEFRESSMAGSTSLSTLKDQVGSLMQQVADDYGLEVSAGLPQAADCAISSKDEEKETCLFMWGSSNSENFMDK >Solyc04g015470.3.1 pep chromosome:SL3.0:4:5671416:5676042:-1 gene:Solyc04g015470.3 transcript:Solyc04g015470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidylinositol 4-phosphate 5-kinase [Source:UniProtKB/TrEMBL;Acc:K4BQ49] MRETVKKPCVVAAATVVVAVATTMTKKTSPEEDGDVIIIPPLCTSANRSRLQAGSRRITPTNDDMAELRQLPNGDLYTGTFLGKVPHGNGKYLWSDGCMYEGEWRRGKASGKGKFSWPSGATYEGDFKDGRMDGYGTFIGADGDTYRGWWAADRKHGFGEKLYANGDLYVGSWKWNLQEGEGRYVWGNGNEYIGEWRKGVMFGKGVLIWANGKRYEGDWDNGMPKGNGVFSWPDGRTCYTSGSWGDSNSSKQEFSTGGGNGGNGGQVLRRSSVTMNVRERNVNLPRICIWESDGEAGDITCDIVDNREASSMFVPRNDDDEDEDDDDDEESESSEGDESGDFRKSPCHSEVVEMKKPGQLITKGHKNYDLVLSLQLGIRYSTQKHASITREPKNGDFDPNEKFWTRFPPEGSKSTPPHQVVDFKWKDYCPVVFKNLRELFGIDPAEYMLAICGSDALRELSSPGKSGSNFYLTQDDRFIIKTLKKSEVKVFIRMLQSYYRHVRQYRSSLVTKFFGVHCVKMVGCQKTRFVVMGNLFYSERVIHKRFDLKGSSYGRTTDKPGGEADETTTLKDLDLNFVFQLRQSWYKELIRQIDIDCQFLEAENIMDYSLLIGVHICNYSNNGEMNFSPSGKSDAQDYCLCDAESPDKGLIIDKRNSPISSAANVPARAMCVTRSGSESTSEQGGCEANNAILCLGIIDILQDYDISKRLEHAYKSFQVDPTSISAVDPKLYSKRFRDFISRIFKVDEG >Solyc01g014470.1.1.1 pep chromosome:SL3.0:1:13883756:13884187:-1 gene:Solyc01g014470.1 transcript:Solyc01g014470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDSPVLTTSFITPNDNSPIIVNDLQKIGTNSEELVDDLTRGELEVLKREFLCKLDGEQMIGEERNNTSEEQHQSKIPRNELHEKTHDNLNSTKNKTTQGDQLPQRAPATEHMEPIEVSSCCNFSFGIRSNLQSDANHETAH >Solyc06g083220.3.1 pep chromosome:SL3.0:6:48770573:48786070:1 gene:Solyc06g083220.3 transcript:Solyc06g083220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYRILKELGDGTCGNVYKAINTETSEIVAVKKMKRKFYFWEECINLREIKSLRKLNHPNIIKLKEIVRENNELFFILEYMECNLYQLMKDRQRPFLEEEIRGLMSQVLQGLTHMHKNGYFHRDLKPENLLVTNDVIKIADFGLAREVSSSPPFTDYVSTRWYRAPEVLLQSSSYTPSIDMWAVGAVLAELFTLCPIFPGESEIDQLYKICCVLGPPDWTSFPELRSASRLFDINCFDITPANLSDFIPNASLEAIDLIKQLCSWDPLRRPTADQCLQHPFFHVDMSIPRPLEDPLQMNLSSVGPEPNLELNLWDFGTEKDDCYLGLTLAVNPTPSCLEAIPTPLLSALKREIYILDMVLQLPKTIYHKPHHVDLFPAKMASKSQGAGTDMFCSGFQDHSQHSVPGNARIGLALLIRNIICMEQLIEAACRKHETEVVPFIFVCSTIPQSTIGAPQSTSFGMTSLQSNFLERPFLAIRFSSKDVERPTPLLGGVVGVLAGTILDELIAWPFGSTKDMIATFEEHHFLKSSMSNFLGSSSWRLTVMGGDTTSPHSPIIHSTEESSLDENFPNNPLSSLRDSAQALSLYVYMFVILVVGNWNVCSGSDRFGTKVENISVDSEEFVRASSDIIDEMNKKQIRNVYMDVLKSYEELQFHKDHLEEAKNKILSYTPGSWIEEVGGMKASDYNIPKKTTLLLIGPRGSGKSSLVNKISRVFDDDPFTPERAQVSYSSDGDGTYFLHEYTMPKGSSSFCLYDTRGLSDDLNENIKIVDRWMKKGVRHGKLITRDSDDANPKSKVRRNRYCASETNVVNCVIFVVSAVQILQSMDSDDETKRQQTRAVASNFNYPLLSFKDEKPIVVLTHGDLLSLSDRTRIRMHLGQLLGIHPKKQIFDIPESDDFATRLTILNMLRHCLERADKNLPFKKDLPFKSPYRCKGALQMLAVRLLLAYAFLAILFGMGMMFKNTLKAKVASIPDSQVSQSHMDIDWHANTVDSAPNLQPEAMQPHVEVECHASEVDSVPNIHPEAPQSDENLDCRASEVDTVCDLHPEAHQSDENLDCHASEVDSVRDLHPEVPESDDSLDWGAIRHLWSD >Solyc06g063150.3.1 pep chromosome:SL3.0:6:40005779:40011218:-1 gene:Solyc06g063150.3 transcript:Solyc06g063150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVASSMQTQIHPTLTKWSSSEGGDPCGESWKGITCSGNRVTEIQISGLGLSGSMGYQLTSLTSVTNFDLSNNNLGNQIPYQLPPYVKRLNLAGNGFTGVLPYSVSQITSLQYLNVSHNQIQGELNDMFGSLSSLNTLDISFNSMTGKLPQSFKSLTSMKKIYLQNNQFTGNIDVLANLPLGDLNIENNQFTGGIPEKFKKIMQKSTSNTGSSEPATPANKSTQSHKSGGNGNPSSGGGKSDSGEKSGISGGGVAGVVISVSVVGAVAVICIIKKRRRKSSTDIEKLDVQPFVPETHEVQDTKLSQAPSIASMEAIETPTVVSLRPPPINTHKSIGGDEISEKLIVPQKKTRTAERKVVQFSIEDVQIATDSFGLENLIGDGSIGSVYRAHFDSGKVLAVKKLYSSELRNPEDFLKMIPEISQLDHPNVTELIGYCSEDEQHLLVYEFHRNGSLHDFLHQMDEDNSQLTWDSRVQIALGTARALEYLHEACSPSLVHKNIKSENILLDADLNPHLSDSGLANLMADVDQGLNHNIESGYGAPEAAISGKCTTKSDVYSFGVVMLELCTGRKPFDSTRPRSEQSLVRWATPQLHDIDALEKMVDPSLSGLYSVKSLSRFADVIALCVQLEPEFRPPMSEVVQVLVRLVQRANMSKRLHNNDQD >Solyc02g089480.1.1.1 pep chromosome:SL3.0:2:51932247:51932612:-1 gene:Solyc02g089480.1 transcript:Solyc02g089480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNMMMMKPSHNATSTLLVDSGITKWNSPVPYLFGGLAIILGLIALALLVVTCSYKKPSTEESSSRSNNVNDLDHGQDKKTIELMKPEMEPKFVVIMPGDYNPTWLAKPTLPIRYGPNKV >Solyc04g050670.1.1 pep chromosome:SL3.0:4:47936310:47937963:-1 gene:Solyc04g050670.1 transcript:Solyc04g050670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCWVEDSNSEALKLHLPRLYDYLWIAEDGMKMQSKDDVNAKFQGYNGSQSWDTSFAIQAIISTDLVEEYGPTLRKAHTFMKDSQVLDDFPDHGWPISDCSAEGLKACLLLSKLSVEIVDEPLKANRLYDAVNVMLSLQNPDGGNGTYELSRSYPWLEVFN >Solyc04g049930.3.1.1 pep chromosome:SL3.0:4:44822255:44827219:1 gene:Solyc04g049930.3 transcript:Solyc04g049930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEEEGVSSVTSVSVAETLVENLGCEGQPDEVYNVEDGGEIMVEVVGSDVFVDGVCGDELGQHGSGKVDSLDEDRDFRSSEARQEDYGNTGNGVEGVFEVAGSSRDVAVAAGTEIVSGVTAFSVVEEVNLSVSEEDVEASNVVMSSKSAASAYLASVDVAGLFRGVSETVDNESDSRLPSETTDRVLELVSSRVREEDAEGNVGRSAVSVEGSAELTSQRLDISDDGVWNPGIEPLAESSSFVPQENSNRETEEANNLRLDSSEKDQSSVREIIGQASEKDISSHARNQITDNPDEGAVSGDSGVLGKSHSEVVTIETNAHDQDRDALGDKDENTHSEVESMETDVLEQRDDMGNNDEDSHQDNELVHKRHSEAETMETDVHDKEAVGLGIENANSHSDVEPMETDVYDQEGGVLFKDTNNNSNAVVELPEKINHEDDQIINMCHQVPAGHDNLGVDIPVSQDSARDCADEMVSLRPNSQFPEDKGEEIKVGSGDSRIAAEHSPGAHDHSLGINIANVPLHPGNQEHSFKENLAAENGVIGSSCGKANHAEDRELKVDNMHEDKSNFALCTQAETSDCMDIQTSNCTEVYLEGSEVSTCKVSISSDNGSLGGSDELPDVQSKVADGVSEVSHDDLLLPVQASAHNTRNLDEMEVERVCSETTGSLTFSMNDDSLNIVEVDARMENDARVGPLEAPYEPACQSDGASAEMDKDRDAQLGTTTSSLSCTMGENSLEDETRVSLETMISARDMNTGDETIKVTHLLPESFDGDMSVQHVENESLLLFDNYAGKEGDPQMSAVPSNDDVMTEDPEGTSLACQDTSKTSDSNAVNVKSTSLLKERDFEVEAEHKLEAKDTALGEGPVQGDDLADDTKNGAVTRLCSNIIEESEFYVKQEGVVEHLNMLASEMDLDSENAATADEISNEENNSNLEDAIKSGVAINFGDDVPPVSDQIVGTCIFDASDTKMNQVNEDQDSFKATEDLVFHHAPEIMKVTDEHEKGEVKKLNPGTVQESPEQDKGTEEVVSETSHTLMFSEKPVSLLNMHPGYLIPPENEGDYSISDLVWGKVRSHPWWPGQIFDPSDASEKAIKYHKKDGFLVAYFGDRTFAWNDASVLRPFCSYFSQIEKQSNSETFQNAISSALEEVSRRVELGLACSCTPKDSYDEISCQIVENTGIREEASKRYGVDKSTGVTSFVPDKLLHYMKALALSPTCRADRLDLTIARAQLVAFCRFKGYRLPPQFLLSGELLENDADIPHVDSAIDDNGHASEGSEQHPTSKVSARKRKHSSKDSSQNKLKERSLSELMDNMECEYSPDGEDDLDEKSFTSSKKRKGVDSRTDRSDKKTSAYAPKVLTTASVSPKTSFRIGECIQRVASQLTRSASLLKGSSDQSGADVQSQDSPKGKVVIPTELPSANELLSQLQLVARAPMKGYNLKTITNFFSGFRNSVAVGQKSMKQNLSAGRAAGGRKKRASQTVAGFAEEFEFDDVNDSYWTDRVVQNCGEEQPLQNNQSVTVQDPEKSSKPARRSYTRKRKSSVDHDMTPGVPPEDIEKRKHEPAELILIFAEGSPLPSEMNLNKMFRRFGPLKELETEVHQESSRARVVFKRGSDAEVAHSSVGKFNIFGSRQVTYELSYTPVISFKPMLLTVTPGLEGVI >Solyc03g111670.3.1 pep chromosome:SL3.0:3:63796297:63799822:-1 gene:Solyc03g111670.3 transcript:Solyc03g111670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFLRFVFCVLFFALLGLSRYRVFSEPTQDKQALLAFLSQIRHANRVQWNSSASACTWFGVECDPNNTFVYSLRLPAVGLVGKIPSNSLGRLSQLRVLSLHANRLSGSIPSDFSNLKLLRSLYLQKNEFSGEFPESIPGLTRLNRLDLSSNNFTGTIPFSINNLTHLTGLLLQNNSFTGTLPSINPSGLVDFSVSNNQLNGSIPTALSKFPASSFAGNIDLCGGPLPPCTPFFPSPSPSPETEPKTPPSIKKSKKLSTAAIVGIAVGSAIGVLLLLLLLFFCLKRRKKDPSKTQKPPVASRPAGAVTGAAAEAGTSSSKDDITGGSGEGERNKLVFFEGGGYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVPRKDFEQQLEVMGKMKHENVLPLRAFYYSKDEKLLVSDYMPAGSLSALLHGSRGSGRTPLDWDSRMRIVLGAARGIAYLHISGKVVHGNIKASNVLLKQDNQDACVSDYGLNPLFSTSAPVNHRVAGYRAPEVLETRKVTYKSDVYSFGVLMLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNVEEEMVQLLQIGMACVATMPDQRPAMTEVVRMIEEMNRGDTDDGLRQSSDDPSKGSEGQTPQESRGSPHGVTP >Solyc07g005200.3.1 pep chromosome:SL3.0:7:190185:194087:1 gene:Solyc07g005200.3 transcript:Solyc07g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITALMVLKCNPEGSDPVILANASDVNHFGYFQRSSVKEFIVFVGRTVAKRNPPGQRQSVQHEEYKVHSYNRNGLCALGFMDDHYPVRSAFSLLNQVLDEYLKNFGESWKTAQCDNAQPWPYLNEALAKFQDPAEADKLFKIQRELDETKIILHKTIDSVLERGEKLDSLVEKSSDLSAASQMFYKQAKKTNSCCTIL >Solyc08g005310.3.1 pep chromosome:SL3.0:8:234872:242777:1 gene:Solyc08g005310.3 transcript:Solyc08g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDSGENWREMVRRMLPPGVPFPEEDAMNYSIASEYTGPPISYELPRVKPVDVKSGAIPTASAAEPLSESRRSAGRDVAPVIEPIPLHVSQQPSQSPRISGSSESQVSVLQSPDSSSGSPSASPGSEHNPSENAAKHNEGRRAHVVTFNTVNRSERKMADVENRVFPEYVGVSKEKKKKKKSRFCCRCGKGKWENKESCLVCDAKYCINCVLRAMGSMPEGRKCVGCISEPIDESKRFKLGKHSRVLSRLLSPLEVKQIMKAEKECAANQLRPEQLIINGFPLKPDEMAELFGCPLPPQKLKPGSYWYDKESGLWGKEGEKPDRIVSSNLNFTGKLSPHASNGTTQVYINGREITKLELKVLKRNRLPVGQEHLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKALTRFICSLFSLPIPTGQPHGQRDEPSNYTTVTNYIEQKRVQKLLLLGLESSGTSTIFKQETIQHKGLDGPLPRQQFSGNLPLGSLKEVPPQATRIELKSRNLMAKLLYGNKFTNEEVQDIKLMIQSNMYKYLSILLDGRERFEEEALSRIEDEGGDVGSADTNQCIYSLNPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWKDPAIQETYKRRKELHFLPDVADYFLSKAVEVSSNEYEPSERDILYAEGVTQGNGLAFMEFSLDDRSPMSETYGDNLDAPAPPLTRYQLIRINANGMNEGCKWVEMFEDVRVVVFCAALSDYDQMWLTQEDCGSGTLLQNKMMHIKELFETMVRHPCFKETPFVLILNKYDLFEEKIIGVPLDSCEWFSDFSPVWTHHNNLSLANQAYYYIAMKFKDLYTSITGKKLFVWQARARDRVTVDEAFKYIREVVKWNEEKEDTYYGGAEDSFYSTTDVSSSQFIQQGMN >Solyc12g042014.1.1 pep chromosome:SL3.0:12:57274856:57275499:1 gene:Solyc12g042014.1 transcript:Solyc12g042014.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIGLSKRRIGLFKKSTELCTLCGAYVAVVFFSSSNKVYSYGHSSAELIVDKFLGENQPGFDAPNSTSLSHQTVNPYEQLNFSDLKKLIELLEAADEEVERVASKHMEYDYEFPYQTIGMFLSPLRVDLCDLIVYYSVFIFISMIMFVIFLNLIFTFKYVCY >Solyc04g050360.2.1.1 pep chromosome:SL3.0:4:46795299:46795809:-1 gene:Solyc04g050360.2 transcript:Solyc04g050360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRSMAKDFSGTVKEILGTCVSVGCTVDGKDTMDFQQDITDGDVEIPQD >Solyc06g005250.3.1 pep chromosome:SL3.0:6:266633:272069:1 gene:Solyc06g005250.3 transcript:Solyc06g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLLTTADTTDLSYWLNWRFLLCALWVLTPTVIAVIILWKYERSVNVIPESDRREDCQKRSWILYFDKAWKPCVKIINPIYLAVFRVFSLALLTLVIVSDFIVHGGDIFYYYTQWTFALTAIYFWIGSGLSIYGCYLYNKTNSDVSKMQMDVEQGLLESLTSTDYMNGVKLANNVGYKRMLLVPEIAGRCGYLFQILFQMAAGAVMLTDSVYWFVIFPFLTLKNYDFNSFTVVTHSLNAILLLGDAALNSLWFPWFRMCYFVIWTGVYVIFQWIVHACESIWWPYPFLDLSLKYAPVWYLLVAVLHIPCYGIFALLVRLKHYVLWRWFPQS >Solyc11g018830.2.1 pep chromosome:SL3.0:11:9676978:9677306:1 gene:Solyc11g018830.2 transcript:Solyc11g018830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RISSVTQKNLTGLLFSTLVVMRSYVDLICSIGSMVKFGLTMMMMRLSLQYYISFICLCTLARRDRCEFLEFILI >Solyc03g118840.3.1 pep chromosome:SL3.0:3:69123842:69127454:1 gene:Solyc03g118840.3 transcript:Solyc03g118840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVVFSESSTVSEQIRYRKPRTQNQQETQDMDPVSSSSRSTKPTISSLLLAPFSPTSPIHENSTTPSASTVFSTKKKNFATFRGLGCTASPQVSVPAVIRTSADWDSKRIKKKKQNSNKNKSLNSAVNVGGGVSIGCSSNSVQNNNPSSSSSSSGPLSLSSSCVAVPDVWCGPGIGLTTDAASVDCVVSRRPVSGRGRIESDKATPRERSACPIRRMVSPEDNPFLDIESSLGIPRSQIELFASRHHRHSRHGYSEGLAEIVMLQNSLMGGRTDGLDRYRNWRLDVDNMSYEELLELGDRIGYVNTGLREDEIARCVRRTKPFFLSNLSLIRTELERQCTICQEEYEAEDEMGKLDCGHFYHIRCIKQWLSQKNSCPVCKSAAMSNS >Solyc09g075810.3.1 pep chromosome:SL3.0:9:67853400:67857071:-1 gene:Solyc09g075810.3 transcript:Solyc09g075810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCILENIPKMTLLFLILACFMQLCFGVVYKVGESAGWTTIGNVDYKQWAASKTFQVGDVIVFQYSPQFHNVMQVTHAEYQSCNASAPIATHTTGKDSITITAHGHHFFLCGVPGHCQAGQKVDINVLRVSSSVSPSQSPSSLSHIPAVAVPAPSPSHASYWLPSKTGLVLAVALVLLVSFA >Solyc03g119035.1.1 pep chromosome:SL3.0:3:69236855:69237810:-1 gene:Solyc03g119035.1 transcript:Solyc03g119035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWEFWRRHKRKVYVTFGVLGSGYLLYKLYEGHKRRLSDLERELADEKRNDELIRSQIKEHFGKIQTIADSTTLPHVMRHLSSRIEEDLDLTHLMERLMKGKDEPNSLTAAEKLELWDRLKISNFTRIVLSLWATTMLN >Solyc00g007330.2.1.1 pep chromosome:SL3.0:2:32387157:32388359:1 gene:Solyc00g007330.2 transcript:Solyc00g007330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNVVSLNASHAGSAKSIPLTMTKPDIRENKSINYSTSELDLENIKKLISKYGVPYNAGGEISDLVSRYCPTTLQPKLTLSPNVGPSSSMTSHKDINKYCNSLIKQRGEKTESMVDESLQKLIPCSLTPCIFFNKPKGCRKGFSCHFRHDIFGKKRSGRTSEGRDSKRLK >Solyc05g024325.1.1 pep chromosome:SL3.0:5:31081610:31082134:-1 gene:Solyc05g024325.1 transcript:Solyc05g024325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFISHKSPLIEYLTEFYFFLGLKEYWWISNLSLAINIIRDIIRKLTIVTVDQQLVTNEIYRFVWYLNYCGFFIWSVGIQILCIFSGRIS >Solyc08g006280.2.1 pep chromosome:SL3.0:8:944812:962382:1 gene:Solyc08g006280.2 transcript:Solyc08g006280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTSVQPHFFKPLLPGFKNSLKIPDGFLKYLEGCEEKGRALLKKGGKKWVVKLNGQKLEEGWGQFAEEHDLKLGDMLIFRHEGDLEFDVTVFNLNHCDREYAEYEEEEEEVRTVEETSTNFEFKEGSTHIPFGQSQFICTVKPYCLRNGYLRVPCKFAKANGLTNKECGLIIRDERQRSWNLRIYTSCSQVYIGGRWSEFRAANDIKKGDQIMFEVVSNGEQPIWNFHEYAKNLQQEETEAYTFEETCKKLELNEAASHDPSDQSQFVYTVKQYFLANGYLRVPSKFAKANCLTNKNYDLIIRDERQRSWNLRIYTSCSLVCIGGRWSQLCAANDIKVGDQIMFEVVTNGKQPVWKIHHLTNPSTVEEPSENSVFKETAPHNPIGQSHFECFLRPYCISKGYLRLPKQFSMANGLINKKCGLIIRDERERSWNLRLYTHNSIVHILGGWSEFCVANDLKEGDYMMFEVVVNGEKPIWKFHNKPTPSIKSSRNVEAANHKPADHSRFVCTIKPYCLTYGYLCLPKQFAFRNGLIRKKCNVIIRDERQRSWNLILRPFGTSVCLRGGWDKFREAYCLKEGDRIMFEVVTDGEKPIWKFHDKNWPVKLNGVRLEDGLEKFVEQNNLEEGEILSIEYEGNMKFEAIRFDPSKQCEILEVQPRAPKVVKSSDESKSKGAATQKHLGHPYFVCTIRPYSFKYGYLCLPLDFVTKNNLRHKIGDLIIRDEKQRSWNLRLNSFSSKRFVLNGGWPEFSNANDIKEGDEVMFEIVSNEEKQIWQFKKKASNNVKSSLLVEPPKVKSRKPSQRRDIKAMMVDVVHMPVDKLIHKNKSSFVAKTPKSKSRELRQRQELEDQTLKAFPHKSFDESNHNIKSAPLAKTPKPKSRRPAERDDFEDRSLEAVTHKPNEPSKLKLGGLHQRQEFEEGTFEAATHKSLDKPTHKKLKSTPLAEPSQSKPRELPQKQNFEDQTTNVATQKPHDESNYYTTSSKEATPLKDDPHKSSDNPCILINVNPYCLVTDTLLIPEKFALANDLFRIKKCDLIIRDDNDRSWNVTLREHNNYVFIKDGWKKIRDTYYIKEGDQIMFEIVTGGDKPIWKFHGKISKEFVKMIQQNGLEQ >Solyc03g097650.3.1 pep chromosome:SL3.0:3:61415866:61417640:1 gene:Solyc03g097650.3 transcript:Solyc03g097650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKFNFVKDGAIKLPPGFRFQPTDEEIVFQYLIRKTFSCPLPASIIPEINICKNDPWNLPGDVEQDRYFFSNKEAKYRNGNRANRATIGGYWKPSGLDKQIICSKRKPIVGMKKTLVFYKGKSASQAYRTDWIMHEYRLVLPKNSSSNLHVHFNKSSSQQNNSLVQIGNWVLCHIFLKKRNGKCDEEIIEANCHDDNYKANDEHNDVVYYDFMREDNLSDSRAAASSCSSSLSINNDDANEVSSCPTSLLQHQQPL >Solyc09g018360.1.1.1 pep chromosome:SL3.0:9:14519640:14519810:-1 gene:Solyc09g018360.1 transcript:Solyc09g018360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDDISILQIEERTDQEIQTASDMDGTIEDILPLNSNEHGTDTSMENEISSWSNKT >Solyc07g063737.1.1 pep chromosome:SL3.0:7:66253051:66255124:1 gene:Solyc07g063737.1 transcript:Solyc07g063737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFTCPSRLRLRNTKITPTDDARMQKVLSLELIAPPFHTPERYITFDPFFRMFCGYLEGSQ >Solyc06g050635.1.1 pep chromosome:SL3.0:6:33457387:33457982:1 gene:Solyc06g050635.1 transcript:Solyc06g050635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILVCVDDILITGNYPTLVAHVINSLAYTFSLKNLGEVNYFLGIEVKHIPNGIVFSQYIYILEILSDVDMADCKRVTTPMCSCSPPKAGKVDLMPMQPCIDALSVNCNTYHSRVLILPLQLTNYHNLCNPQVWCIGKKILGYLKESSTWCLQISSHSDSNLYMYADVDWQVIPMIEFPHLFNTLLGIKSDMLVL >Solyc03g122000.3.1 pep chromosome:SL3.0:3:71494806:71495110:-1 gene:Solyc03g122000.3 transcript:Solyc03g122000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEPADPFATPLEILPEWYFFPVFQILRTVPNKLLGVLLMVSVPAELLTVPFLENVDKFQNPFRRPVATTVFLIGTI >Solyc10g048040.1.1 pep chromosome:SL3.0:10:43668120:43668574:1 gene:Solyc10g048040.1 transcript:Solyc10g048040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLFSPMPSYFLLAFILIVSYSCHAQQDYLDAHNTMTATNAMEMWVDEKQYCHLESNTCDEGKVCGHYTQVFGRLGCARVQCNNGGYVVSFKYDPPGNFIGQTPY >Solyc09g010150.3.1 pep chromosome:SL3.0:9:3521462:3529985:1 gene:Solyc09g010150.3 transcript:Solyc09g010150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFSCKMGLMEALSFLCKAQFWRMAVCWTLSLILSYLQLFSQIHFSRKSKLHDRCSSKSSSSSNAAIGKSICIITGATSGLGAAVSYALAKEGYCVILAGRSLHSLSKVVSEIKEQIDDACVKAFQVDLSSCKSILSFKHSLQQWLLDSDLHCSVQLLINNAGILATSYRITKEQCDQMVSTNYIGPFCLTKLLLPLLELSPIPSRVVNVTSFTHRNVSSMEVTRETISGQWFSKLSSYPFAHVYEYSKLCLLLFTYELHRQVGLAEKSHSVSVIAADPGVVKTNIMREIPSSLSWLAFFVLKLLGLLQSPEVGISSVLDAVHAPPETSGVYFFGGNGRTLRSSGLSYNSKLAKDLWDASSQIFLERQLTSQDTTDQRQF >Solyc12g056460.2.1 pep chromosome:SL3.0:12:63355928:63367516:1 gene:Solyc12g056460.2 transcript:Solyc12g056460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGITEMKRIENTTSRQVTFSKRRGGLLKKAFELSVLCDAEVSLIIFSQKGKLFEFSSSSTNKTIERYQKNDKNLGHENILLEQTTEHLKGEVMSMTRNLEVLEISKRRLLGEDLESCSIDELEKVEGQLDQSLRNIRAKKNQLFKEQISLLKDEEKVLMNKNAELREKYEARSLPLFIDRREDESPQTQNMEVDTQLFIGLPER >Solyc05g045660.3.1 pep chromosome:SL3.0:5:58247950:58250686:1 gene:Solyc05g045660.3 transcript:Solyc05g045660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSPTTIPASANVFSSSLANKDSMGDLVALKISLLGDYHIGKTSFLTKYVGKEKGEEGFSTTGLNHMDKTLCVSGARISYNIWEVEGDVSHIPIACKDSVAMLFMFDLTSRCTLNSVISWYQQARKWNQTAIPVLIGTKFDDFAQLPLHVQWTVALQARAYAKALNATLFFSSSAYNINVNKIFKFITAKIFNLPWTLERNLTIGEPIIDY >Solyc03g063200.3.1 pep chromosome:SL3.0:3:35739623:35744321:-1 gene:Solyc03g063200.3 transcript:Solyc03g063200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRKRNRNESGFNGNAGFKKAKPEMDSLSSGIGSKSKPCTKFFSTVGCSFGENCHFLHYVPGGYNVVAKMMNIAPSPASPIPSGNTPAVKTKICSKFNTAEGCKFGDKCRFAHGEWEIGKPIVPSPRAMGVGPIPDRFGGRRESPVASFGTSATAKISVDAFHVGPIIGKGGVNSKHICWQTGAKLAIREHEMDKNLRNIELEGTFEQISQASAMVRELISNLGSVGGPGRTAAVQGGRAPPMNNYKTKLCENFAKGSCTFGERCHFAHGDAELRKTGG >Solyc10g019170.2.1 pep chromosome:SL3.0:10:12110403:12112540:1 gene:Solyc10g019170.2 transcript:Solyc10g019170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTTRPNVSISFSSLSWFYPYNIHARHVSSLASSRKTGNQISV >Solyc12g077465.1.1.1 pep chromosome:SL3.0:12:20709819:20710172:-1 gene:Solyc12g077465.1 transcript:Solyc12g077465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRKKRSSDKVWCYYRDREFDDEKILVHHQKAEHFKCCVCHKKHSTAGGIAIHVLQVHKETVSQ >Solyc09g055930.3.1 pep chromosome:SL3.0:9:46422874:46428408:-1 gene:Solyc09g055930.3 transcript:Solyc09g055930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSYYCYLLCFTTLCLAEDPYVYFDWTISYITASPLGIKQQVIGINGQFPGPILNVTTNWNVVVNVKNDLDEPMLLTWNGIQQRKDTWQDGVSGTNCPIPASWNWTYEFQVKDQIGSFFYFPSLRFQRAAGGYGGITINNRNVIPLPFATPDGDITLFISDWFIKSHKELRKEIETGVGLGAPDGILINGLGPYHYDNAVVSGGISYQTVNVESGKTYRLRVHNVGVSTSLNFRIQSHNLLLVETEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNGSSDYYIVASPRFVNSSDSSKSVGVSVLHYSNSQGPASGPLPDPPMESDTFFSMSQARSIRWNVSAGAARPNPQGSFKYGEITVTDVFVLHNRPAELIEGKWRTTLSGISYLAPSTPLKLAEQFNIPSVFKLDFPNKMMNRPAKVDTSVINGTYKAFVEIIFQNNDTTVQSYHLDGYAFFVVGMDYGLWTENSRSTYNKWDGVARCTTQVFPGAWTAILVFLDNPGIWNLRAQNLDSWYLGQETYINVVNPENSELPVPANTIFCGALSPLQKDQARRVNFSCAPSLMKTMKLIFIGFVVSLLGNFLR >Solyc02g077830.1.1.1 pep chromosome:SL3.0:2:43249352:43249561:1 gene:Solyc02g077830.1 transcript:Solyc02g077830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVVKVIVVDTVVDTVATKVDTLEEAVVFNVASRVTLLGIVSEVKGLAEEVVDTQMVVVVMVMVTLC >Solyc10g080170.1.1 pep chromosome:SL3.0:10:61668896:61670324:1 gene:Solyc10g080170.1 transcript:Solyc10g080170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAFPSNGEREVASALLLLSAISSSPLPISDCITDNSHKVALSNSNSKSKSKVSSILTTVDCSFMENRSQSRHMKMIATFCDQSMKLKVVRQELLHFNCRKMSSGKPASATTSPTTFCRSAVVSASSSTWKFTMTYYGSKSLSNCLSKIFSFFPASFAADNK >Solyc01g010310.3.1 pep chromosome:SL3.0:1:5076715:5077993:-1 gene:Solyc01g010310.3 transcript:Solyc01g010310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFMLSLVFLLAIIGHSSASYCTCKDGIDNKILQENIDFACGSGADCTAIHTNGACFNPDTIKDHCNYAVNSYYQRKGASGASCDFKGTATLTPTAPACKVSSFIH >Solyc06g066520.1.1.1 pep chromosome:SL3.0:6:41891493:41891939:-1 gene:Solyc06g066520.1 transcript:Solyc06g066520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKIAGKAAFQNLMFQGHNNDNHVHQSTSKEYYEFSCSNSPSFHLPFNLNKRINKHNHNAVSVTEHDDVSMMNAAVLKALEMLQSETASPALPGFGRTPTVRQLRITDSPFPADNGEIISHVDEKADEFISRFYRDLRRETASAFA >Solyc10g080180.2.1 pep chromosome:SL3.0:10:61671108:61673564:-1 gene:Solyc10g080180.2 transcript:Solyc10g080180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLISQNITHSIGDEKSKRKTKGEGKEESEKKINRKRKKEHNEENKEVEIKDKQEMEKLENLLFGSLYNPVGFGKDDDDEKRDDGRNDSAMFFVDRAADSALSVYEGDAQLVQEGIIEVEKERKPVWVDDEEEKARIKLASVNRLRKLRKEEGEDVVSGSTYVERLRAQHAKLNPGTEWAQIDYQSRNYSSDDEDSDKEGKHIDDYGSKDVKLVDDILQSNEDLVVKSRTKLLPGLLEYSRLVDANTVDSSNAPINSVQFHKNSQLLLVGGLDKKLRFFQIDGKRNTMIQSIFLEGFPIKKASFLPNGSQVIISGRRKFFHVLDLVKASVDKVGPLVGREEKSLESFEVSPDSNTIAFLGNEGYILLVSTKTKELIGTLKMNGTVRSAAFSDDGQQLLSSGGDGHIYHWDLRTRTCIHKGVDEGSINGTALCTSPNGSLFASGSDSGIVNIYNKQEFLGGKRRPIKAIENLTTKVDFMKFNHDAQILAISSGMNKNSSKLVHIPSFTVFSNWPSPNQFVHYPRCLDFSPHGGFMHYHDEIFNAFV >Solyc03g058880.3.1 pep chromosome:SL3.0:3:28643670:28653528:1 gene:Solyc03g058880.3 transcript:Solyc03g058880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFKRASKALTSKFSAIYTCNFHPVTSLSHFSYTLNASFCSRPRQNNKEASTIDLNQYPSDNIRNFSIIAHVDHGKSTLADRLLELTGTIRKGHGQPQYLDKLQVERERGITVKAQTATMFHRHKFLGSDTDFLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAAQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPDRVKTQLKSMFDLNPSDVLLTSAKTGLGLEHVLPAAIERIPPPPGKNTSPLRMLLLDSYYDEYKGVICHVAIVDGALHKGDKICSAATGQSYEVSDVGIMHPELVATGILLTGQVGYIVSGMRSTKEARVGDTLHHTRTVIQPLPGFKPAKHMVFSGLYPADGSDFEALNHAIERLTCNDASVSVTKESSTALGLGFRCGFLGLLHMDVFHQRLEQACSLSHFTMNMEHMSFRLFLQCHIFLSTQMEGMVAYLHVFTFLNALYQSYQSSSVSSFSRFYPCSKLQIQNPAALPSNPKNRLTACWEPTVIATIIIPSEYVGSVITLCAERRGEQLEYSFIDSQRAFMRYRMPLREIVVDFYNELKSITSGYASFDYEDSEYQASDLVKLDILLNGQPVDAMATIVHKSKAPRVGRELVEKLKKFIDRQMFEIIIQAAIGSKVIARETLSAMRKNVLAKCYGGDVTRKRKLLEKQKEGKKRMKRVGSVDIPQEAFHELLKVS >Solyc05g016680.1.1 pep chromosome:SL3.0:5:17805169:17805994:-1 gene:Solyc05g016680.1 transcript:Solyc05g016680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIDNNQIPNSPVVYATQMWDISSNFNPTMNPLTRPDLPFFTPLENMGRGGGWENQMVLSMDTTNDDTTTSITRTILCKELSSPSSPRAGVGGNKGRNGGRPYVQKKTSLRGVALMLFLEVEGKNFIFNWPITLTKCLLDIHPPINCAAAKFAVVLSPILEEIPHLEDVNEKPNRTLPGPGCPPPIFLPEF >Solyc11g072140.2.1 pep chromosome:SL3.0:11:55676035:55684420:-1 gene:Solyc11g072140.2 transcript:Solyc11g072140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRLDTRFPARLGLNSRRRNLILPKLSVLREQKQFFEVAKSSILFWAEARIKKIMQADEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLKKGAKTMNSFHLKHCIQSFNVFDFLKDVVSRVPDLGGSDAGAESATKRSEKLEDAAADVSHQKDDENQKQNDERMVDYVAESAVDSKNISAAKCSPEVVAPARNFDLNIDLNESVESTPMPSEHEEIPGWSLSEMEKMAIDPIQLANLNQGLFSVSSLQFTEKSIANATTITKPGCDSKCGSLTVPFPFGIGNGTGCSIDPSFDITCNVSFNPPKAFLSGKDIEVVDILDDHILVKNNVGSRCYDQAGALINDDSINFSLGSTSFSFSDLNSLMVVGCDDLALILGYEGRNFTSGCISLCSKKEDIIDGYCSGIGCCQTSIPTGLKSFVSLTRSLNNHTNVSSFNPCGYAFLGEPDKFIFKSSDLSNSTFRNKVIEEVPVVIDWIIGNDSCTVAKKSADYACGENSVCVDSKTGLGGYRCSCKPGYQGNPYISPGCIDVNECENENPCDGICNNFPGGYSCTCPHGQIGDGKKDGHGCIPKNSKSPILQLSLGLCFGFLALVISATWIYLGIKRRRLIRLRETFFQKNGGLMLTQKLRSNEGGMKYAAKIFTAAELEKSTNNYAEDRILGRGGYGTVYKGVLPDKRVVAIKKSRTMDVSQIELFINEVIILSEVNHRNVVKLLGCCLESEVPLLVYEYISKGTLYYHIHDGGDQTRWFSWENRLRIASEAAGALAYLHSAASTPVIHRDVKSTNILLDENYTAKISDFGASRLVSLDQTQVTTLVQGTLGYLDPEYFHTSQLTEKSDVYSFGVVLAELLTGRMPLDTTASEKERNLAAFFVRSIKENRLFQVLETRVLREGSFEQCQGVAELAKRCLRLTSEERPTMKEVAMELEGLRKFTKHPWSKTQQCQDEESIGLITEQTLDLYAINMNTNFMSNGEFSGQQSLDSRMMLQIHSPR >Solyc05g032740.1.1.1 pep chromosome:SL3.0:5:45280634:45280840:1 gene:Solyc05g032740.1 transcript:Solyc05g032740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLMVSQYLLRVLPMIMIGYLSKVSLSSASISTSLYNVTGYNVLFGMCSSIETVFGQAYEAEQYQKF >Solyc03g046543.1.1 pep chromosome:SL3.0:3:13069864:13071193:1 gene:Solyc03g046543.1 transcript:Solyc03g046543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDLVPIIVASSPHAAEQFLKKHDLIFASRPNNRVAQFAAYNQRNLTFAKYGPYWRNMRKGVVRHIKELANFFDEFPERDMLMASMDTSATSINWIFSEIIRHSKVMKNLQKELEQVVGINRMVEESDLEKLEYFQMAIKECFRLHPVGPLLIPHESIEDCTIDGFDIPKGSRLLVNTWEIGRDPEVLSKPEFILERFIGSNIDLLGREFQLLPFVSGRRSCPELQLGLTIVRLC >Solyc10g081290.2.1 pep chromosome:SL3.0:10:62526364:62527507:1 gene:Solyc10g081290.2 transcript:Solyc10g081290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKTKAVLVSSYVTDLQSVATCCVYVAKGADMPDYKQLQVANSVVFSQIHCCFCYTIIILRYSVKTRIFFTNLFLFGREIISDLIKAAGIDAMIHVTRLNIDSPNEHIRNAAARSLSIAASALGIPALLPFLEEICFQMESWEARHTGVMIVYHITVLIGSANLLPYLSYLMEIIEPRLKDDIEKIRDVTNVAMDGLATAATLWY >Solyc09g057640.3.1 pep chromosome:SL3.0:9:50293219:50312024:1 gene:Solyc09g057640.3 transcript:Solyc09g057640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPPNQEFQEWWNKQRSANGSEDLFPSSSENSSFLTVEISSPTVAEKERTRSARQLSWICLLKFQQIANSIAFLTNGFISIVRTANRRIVTSNSAPPRSDSRLYRVIKVFLTVSVILLVFELVAYFRGWHFSPPTVESASAEVVDLVEHVYANWLDIRANYLAPPLQSLINMCILLFLIQSVDRVVLVLGCFWIKFRGLKPLAEIDYSSDVENLNSEDYPMVLLQIPMCNEREVCIYDNPPDYLNGKLVFLLSYSLTKYKFLHCGITQVYQQSIAAVCVQDWPKDRMLVQILDDSDDLGVQGLIKAEVQKWQQKGVHIIYRHRLIRTGYKAGNLKSAMSCDYVKNYEFVAIFDADFQPTPDFLKNTVPYFKGHDDVALVQTRWAFVNKDENLLTRLQNINLAFHFEVEQQVNGWFINFFGFNGTAGVWRIKALEECGGWLERTTVEDMDIAVRAHLCGWKFIYVNDVKCLCELPESYETYKKQQHRWHSGPMQLFRLCFSDILRSKVSWLKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGLMSVLNILPAPQSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSSYEWIVTKKSGRSSEADLVAMVENESESLLKKNTVSRSSSESGLAELNKLEMTKKTVKRKRNRIYRKELALAFILLTASVRSLLSAQGIHFYFLLFQGFTFLVVGLDLIGEQVS >Solyc02g068200.1.1.1 pep chromosome:SL3.0:2:38785586:38786404:1 gene:Solyc02g068200.1 transcript:Solyc02g068200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKQANHNNIKPTHDQIKELQILKNDETNKVAAPKRKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAASVSQQGISVSAGLMIESGANIAGSGSSRSSNSRTNWPMICGNFGRPHLATAGMWPAPAPVVTSFGFQSSSAPSSASLGSDSSNYYLQKIGFPGFDLPAATSMNPMCFTSILGGSNQQLPGLELGLSQEGHLGVLNQIYQQARMQHPQQQHQQQQQSPEEDSQGSGH >Solyc07g020880.1.1.1 pep chromosome:SL3.0:7:14513280:14513483:-1 gene:Solyc07g020880.1 transcript:Solyc07g020880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWDSWLFQYSVGTDLTYLMDRVLVLHFQENMIFFLSTVYKGWVDEKTLASTKTGTGMIVAIKEVEL >Solyc09g010330.3.1 pep chromosome:SL3.0:9:3715255:3718476:-1 gene:Solyc09g010330.3 transcript:Solyc09g010330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nascent polypeptide-associated complex subunit beta [Source:UniProtKB/TrEMBL;Acc:K4CR60] MNVEKLQKMAGSVRTGGKGTMRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKEDVVIQFSNPKVQASIAANTWVVSGTPQTKKLQDILPQIIHQLGPDNLENLKKLAEQFQKQAPGAADVAAGAVAAQEDDDDVPELVAGETFEAAAEEGHTS >Solyc01g087720.3.1 pep chromosome:SL3.0:1:82497956:82531100:1 gene:Solyc01g087720.3 transcript:Solyc01g087720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSVSLSFHISNLQTRTNYRKLPIIRASSASASAMPESSSSSTSSGSTVLTSPLVPSVEPSKNGLVRFVQNTESTVERAIFDFRFLAFLAIGGSLAGSLLCFLNGCIYIIDAYKVYWTNCVKGIHTGQMVLRLVEAIDVYLAGTVMFIFGMGLYGLFITDFSADGSPTADRALKHSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVPIATAAAPLHSISLVAGEFFLRRGKPKESFLSWNLRREFSLISFPSFLNVYFNGGTSGKTPQNHQFCLLVELMISTNKTDRRGEDDYMPGNITEIELHNFMTFSKLTCKPGSRLNLVIGPNGSGKSSLVCAIALGLGGEPQLLGRASSIGAFVKRGEESGYIKISLRGETKEDQLTILRKIDTRNKSEWIFNGGPQFLPQDRVCEFAKLTPVQLLEETEKAVGDPRLPVQHVDLISKSEELKKSERTVKSGRETLDQLKEVNSQLERDVERLRQREQLLGQAETMKKKLPWLKYDAKKAEFLEAKRQEQDAKKKLDEAAENLNELMEPIEEKKQEKAERDAKCKKVNGLLGDNANKRMKLLDQDSRLDVQVNGKYKEMEDLRKQEESRQRRISKAQEDLSAAELELANLPSYEPPRGKIDSLGSKILELQDGARELRSQKSEIERTLDRNRTTFRQCTDKLKEMEDTNNKRLRALRSSGVEKIFEAYNWVQEHQHEFNKRVYGPVLLEVNVSNRIHADYLEGDVPGYIWKAFITQDAADRDLLFRNMRSFDVPIINVTDRSQSRAPFQITEEACISFFENSYFDADLYVHLVSYNYLPQMRMLGINSRLDQVFDAPDAVNEALVDQFRLDHSYIGSRETDKRADEVLQLGIFDLWTPENHYRWTKSRYGGHVSGSVESVDRSRFLLCNVDAGEVERLKSQKLQLDEAISTLEDNLRAVKSELRNIEDEGAKLEKQREEIINESLHEKKKRREMENRVKQRMINLKSLEREDDLDSVAAKLIDQIKAMKIQRFQLAMEIKNLLIDAVAHRRSYAEHNMASLELALKVKEMEANVKHQEKFAVQASLHYEYCKKETEEYRQQLEAAKRNAESVAIITPELEQAFCEMPSTIEELDAAIQDTISQANSILFLNHNVLEEYEARQKKIESLSKSQEMEEEKLSNLTNEINALKERWLPTLRSLVSQINQTFSRNFQEMAVAGEVSLDEHDMDFDKYGILIKVKFRETGLLQVLSSHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPINERKMFQQLVRAASQPNTPQCFLLTPKLLPNLEYSEACSILTVMNGPWIEQPSKVWSGGECWRSIMGLTSVAAD >Solyc10g076930.2.1 pep chromosome:SL3.0:10:59990079:59992408:-1 gene:Solyc10g076930.2 transcript:Solyc10g076930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANPRRTTFSSSGNQNHHQLPISTAPFQNQGTQISSLMNSLKSFLKKPHAFPFLLSLFLFLTWVSLRFQHPSTSNPQREEWHAKVQSGSDQNANLVRFSASSSSIAKDKRGWLINPISLALDSAISGGAAVCASVHLGEIRSGGLRGNHRHHTCNETFVIWGAKTVFRVENDAVEKGYAEVIIGADEVAVAVSPLGTAHALVNVDPGRSTYFMGCQDSVINYNGSTTDFNVWKDL >Solyc03g058884.1.1 pep chromosome:SL3.0:3:28755858:28756457:1 gene:Solyc03g058884.1 transcript:Solyc03g058884.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLENDTKKNNFERSTVNAAQSIGYPTLEIMKKDADVLMAVSESTILMATTCELFIQDLTLCSSLKAQKNH >Solyc08g061060.2.1 pep chromosome:SL3.0:8:46545449:46548457:-1 gene:Solyc08g061060.2 transcript:Solyc08g061060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGRFAICFILLSLFCISLVLGDIGTATSYNSPYTPTKCGGNRSDQFPSGNLFVAVSEGLWDNGAACGRRYRLRCLSGNNRPCKEGTIDVRVVDYCTKRPCPSTIALSTDAFAQISNAHNAKINIEYVQI >Solyc01g099840.3.1 pep chromosome:SL3.0:1:89862094:89864203:1 gene:Solyc01g099840.3 transcript:Solyc01g099840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDKLWDDTVAGPRPDSGLGKLRKYSTFSQRSNSGKESEVSTPRSFTEEASEDAVKVTRSIMIVKPSGSQNRDSPPVSPAGTTPPVSPFSGSSGREAFRFRRRSASFAYENASGVGPRSPRPPYDL >Solyc05g046270.3.1 pep chromosome:SL3.0:5:59289994:59292000:-1 gene:Solyc05g046270.3 transcript:Solyc05g046270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPELTCCGGETIKTARDGLPPVEKKESALVNRSGSKRAVSRGRKLRKMEDWKPALNVISEDNAIADIDRYSYDQNTVGNSGNERAGKSGRAQKKFGDGYWKMSYAVAMPAFSGMHF >Solyc07g043320.3.1 pep chromosome:SL3.0:7:57138913:57148323:1 gene:Solyc07g043320.3 transcript:Solyc07g043320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAETATTDVPVVQVSEKIEGGKEDLIKVSNGEIGQTEHEESAFDGEFIKVEKEALESKDGSDAAAEASHAEGKVSVMDRSSNISASSREYLEAEEKAKELELELERVAGSLKDTESQNVKLKDELSLTKEKLEETARKFEDLELDHKKLQEQIAEAENRYSTELNALQEALQAQELNNKEHVNVKEAFDRLGLEFESSKKKMEELEQELLASAGEAQKFEELHKQSGSLAESETKKALDFERLLELSKQNAKEVEDQMASLQEELKSLNVKIAENQKVEEALVNTASELSKVQGELETSKSQVQDIESKLASKEALIDELSQELNTRKASESQVKENISSLELLISSTKEDLQAKVSELEGIKLKLQEEVGLKEQIEGKLKSQETQLSESQEELAKLSTEKGALEAAVAELNNSVVQMKELCSDLEVKLQLSDDKFSNADSLLSQALANSAELEQKLKSLEEVHLESSNAITTANQKKVELEDMLQISNAAIEEAKSQLKEMENRCAAAEERNVELEQQINLVELKSNDTKRELEEFSEKVSELSATLEKTLEERKQLDTRLQEYEEKIAHLDSELVKSTARNLELEAELKSVADKCAEHEGRANTTDQRSRELEDLMLVSHSKVEESGKKVSDLEQLLETEKHRIQELEEQISTLEKKGVAAEAESKKHSDRASELEAEVETFQAKLSSLEAALKETKEKESELSQSLSNVTEEKRNLEDVYKREQDEFSGKVSEVQANLEKTLEERKQLDTRLQEYEEKIAHLDSELVKSSARNLELEAELRSVADKCAEHEDRANTTDQRSRELEDLMLVSHSKVEETSKKASDLELLLETEKYRIQELEEQISILEKKCATAEEESKKHSDRASELEAEVVIFQTKSSSLEVVLAETKEKEKELSQCLNSVTEDKKNLEDVYRNSIEKLAETEGLLEILRNELNSTQQRLEGIENDLNATGLRESEVMEKLKSAEEQLERQGRVLEQATARSIELESLHDTLKTDYELKLEDASGKFVTRDSEAQTLNEKLKALEDQLKSYEEQIGKSAESFSAVKEELDQVLVKLASSETDNEGLKKKILEAEDKAADILSENQQLMETNMLLKNRVSDLEELLSSAHEEKEDSVQQLVSHMNTITELTEQHSRASELQSATEARISETEAKMHEAIQNLTQKESEGKELMDKLHSFEALVKTYEEQTHETATLAENQKMELEQSHKNLRHLESVVEELKGKYTELEKEKEGLTQENIKLKGEMSSNDSKLNDLEAKVSAAFAEKNEAVEELKSSNKVIDNLKEQLTSEGQKLQLQLSSILEENNLLNETHQTSKKEHQNVIAHLEEQLKAIKSSEASLKSQLEVFQAEIHQKSQLESRIKELEDHLGSAEAQVKEEKEAMSNKGLEHEATLKSSSEELQAKSKEVVVLQNQVKELEEKLKQKDIGGSSNDQKDEVEVKSRDIGQMLSTPTKRKSKKKSEVSSTQPSSSEPQVQHIEGSSALPLKFILGVALVSVILGIILGKRY >Solyc01g098990.3.1 pep chromosome:SL3.0:1:89221886:89231782:1 gene:Solyc01g098990.3 transcript:Solyc01g098990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALYSSLSWCCTPIPKPSFKTPFSSSIYPLNTRGFSGISLHKSVPSSRTHAKFDKFQGQENLEPDPQLQTVEEEDQEEDDSCLPSDLEGAVQQSGQAAASFVSSGGIRAIVELLIPQLQFLDDEGAQAELWELSRTFLETLIEETGCERVKAIFPDAGAAALLKYRWKDATFEFASLGDRKPVSKEDEIVVMVVPDYQMLEYVEKIASDLSDDPPRPLIMWNPRLISEDVGVGINVRRLRRNFLSTFTVAYSMRPLPDGAVFRCYPGLWKVFYDDKDRPNRYLLAKEQISRPTIEDLEIIYGGVDEKEDKGPSLLNQAAGIFSSINRFMKVISR >Solyc03g111665.1.1 pep chromosome:SL3.0:3:63795984:63796276:-1 gene:Solyc03g111665.1 transcript:Solyc03g111665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLICQKFSEKPKVNCIRFLDAYLRNLHISLGSDWEIAPRSCFTKIKESLWYKKLLLYTSHY >Solyc02g036320.1.1.1 pep chromosome:SL3.0:2:30979046:30979237:1 gene:Solyc02g036320.1 transcript:Solyc02g036320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLITQMLMILFVYI >Solyc12g062270.1.1 pep chromosome:SL3.0:12:31693071:31694111:1 gene:Solyc12g062270.1 transcript:Solyc12g062270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSRQRLIVEAESSMQTTIVGKIVPINCENLRKIVMEMEYHPISSWETSHLIMSEFFKILKETLEKGSLTGHFVHVEPKFSEFWPL >Solyc07g053580.2.1 pep chromosome:SL3.0:7:62132256:62132955:1 gene:Solyc07g053580.2 transcript:Solyc07g053580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTDSPVIDLKVLDSQLAFHQDNGSMHVGTSVWPCSLVLVKFGERCLNFHNKGLFLLGLNNVVITDIAPVMPQDQIKSLGPPFDVVIAALVGENGVVLLGYQFDVEKVPHEHLHPEYAYEETDVYIFRKKKKL >Solyc01g105100.3.1 pep chromosome:SL3.0:1:93259584:93269215:-1 gene:Solyc01g105100.3 transcript:Solyc01g105100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVFSKLRNLDAYPKINEDFYNRTLSGGIITLVASVIMLVLFVNELGLYIHSYTETQLVVDTSRGGKLHIHFDITFPAVPCSLLSLDARDISGEEHFDIRHDIFKKRIDSHGAVIEVRQDGIGAPKIEKPLQRHGGRLEHNETYCGSCFGAETADDECCNSCEEVREAYRKRGWGMTNPDLIDQCKREGFVQKIKDEEGEGCNIHGSLEVNKVAGNFHFAAGKSFHQSTFQLFELISLQSDTYNISHRVNKLAFGDSIPGVVNPLDGVQWTQEAQNGMYQYFIKVVPTIFKGVRGRTIDSNQFSVTEHFKGSDLGLFQSITGVYFFYDLSPIKVTFTEEHVSFFHFLTNVCAIIGGVFTVAGILDACIYHSQKAIKKKVELGKFG >Solyc10g049260.2.1 pep chromosome:SL3.0:10:44681225:44682139:1 gene:Solyc10g049260.2 transcript:Solyc10g049260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLDAAGKTTILYKLKLGEIVTTIRTIGFNMEPIEYKNIKFTVWDVGGPDKVRVVLMP >Solyc09g065440.3.1 pep chromosome:SL3.0:9:63766682:63768582:1 gene:Solyc09g065440.3 transcript:Solyc09g065440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSIDCICLIVTGNVPVSIPFIRTLALSLPQACNSGVPVQCSASGVPLPSPVGKASAEPTPEVDPPSIEDDEPTIEKPTTAASPPKPSLLPKTTLQKPQNTSASSYISSFSSPFMLMLVALVTLFVDKSLILF >Solyc05g051740.3.1 pep chromosome:SL3.0:5:62977433:62978856:-1 gene:Solyc05g051740.3 transcript:Solyc05g051740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSNQIKSKKSMATALFIQDIKNKFLDLIKFLISFGKGNDGNENLNIENEKGINEEEKGAFIMSTIARRSTRPGKRKPPRFQTGNNIS >Solyc04g007600.3.1 pep chromosome:SL3.0:4:1282834:1287782:1 gene:Solyc04g007600.3 transcript:Solyc04g007600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVFLVDRLLTESTLEAAIESRNQNLLASWTTDDPTAYCSSKSADAVLAPGKMVECRICQDEDMDSNMEAPCACCGSLKYAHRRCVQRWCNEKGDTICEICHQPFRPGYTAPPSIFRLGGIPMNLRGNWRIVRRNLNNQRVIAVVSTDHNLINSDENEVYTSRCMMCCRGSSLGNSLCVQWLVPCGRALPSAYKNRNFMLLLVIRNTLPLIVDQAGDYSLPLVMLLLLRVMGIVLPVYVIMKAVISCHHRQHQQATLPISSSREEASLVTLQQEPPITAIQ >Solyc11g069290.2.1 pep chromosome:SL3.0:11:54206264:54212648:-1 gene:Solyc11g069290.2 transcript:Solyc11g069290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGVLALQGSFNEHIAVLKRLGVKGVEVRKPEQLLNVSSLIIPGGESTTMAKLAELHNLFPALREFVQMGKPVWGTCAGLIFLANKATGQKTGGQKLIGGLDCTVHRNFFGSQIQSFETELPIPQVVAEDGGPPSFRAVFIRAPAILDVGPDVEVLSDIPLSAIETLNSNPAIQKEEESTESGKKVIVAVKQGNLLATAFHPELTADTRWHSYFLKMVPEIEGGTSDIVSTSTSNQSFGTRSIIDFPIYQ >Solyc11g065730.2.1 pep chromosome:SL3.0:11:51604882:51613177:-1 gene:Solyc11g065730.2 transcript:Solyc11g065730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYRGNYMDSGDAREMGFKRQRIMDQGSSYYATPPGSSYMYNPPPPPPPPSYSYHGQPPPFPVVRLRGLPFDCSETEIADFLHGLDVVDVLLVHKGGRFTGEAYCVLGYPLQVDFALQRNRQNIGRRYVEVFRSKKDEYYKAIANEVYDSRGGSVPRARSIDESKDPAEHTGVLWLRGLPFSATKEDIIDFFKDFELEEKSIHITATFEGRPTGEAFVEFASADDSRAAMAKDRMTIGNRYIELFASSSEELEEAVSRGRVLDKPVDGRDPNEVTSILRMRGLPFSAGKDDILDFFKDFALNEDAIHVTFLSDGRPTGEAFVEFASTDDAKAALAKDRMTLGSRYVELFPSSIEDMNHAVSRGR >Solyc06g054455.1.1 pep chromosome:SL3.0:6:37339721:37341424:1 gene:Solyc06g054455.1 transcript:Solyc06g054455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNLLDSGFLEEKGIITFDVLPFDDEGRTKDLGNVKTVRQVYTARVGDGENLGYAKPDLGDMALVELGEGCPLIMDTYVNKCTLSEICYTVYFPDVNATGIAMVIANCTNINKFLVEKWKVSPRTQKERRIHNHSSPISVTV >Solyc01g015060.1.1 pep chromosome:SL3.0:1:16663336:16663799:-1 gene:Solyc01g015060.1 transcript:Solyc01g015060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRRSGKSGSTDLEFEELKYKYHEDLRDGSARSIKAVDKPVNGVEEPLRRREDAGKSGTYLKNMWIEEGFNPVKVHPLWNYIGHTRYATVEFKGDWSGFVNAIAFEKVFELDNHGTRDWNL >Solyc03g093230.3.1 pep chromosome:SL3.0:3:55853221:55854509:1 gene:Solyc03g093230.3 transcript:Solyc03g093230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLASRLQHSVTPNALRSYLAEFLSTFFFVFAAAGASMSTRKMVPDATSDPSSLVAIAVANAFALSVAVYISANISGGHVNPAVTFGMAVGGHISIPMSIFYWISQMIGSVTACLLLKFTNQQVPTHGIPQEMTGFGAAVLEGVMTFGLVYTVYAAADPRRCVHAAIGPLAIGLMLGANVMASGPFTGGSMNPAYSFGSAVVKGSFGNQAVYWIGPFIGAAIAGLVYDNVVFPLQVTESLRGIGGGIVRMLF >Solyc12g040695.1.1 pep chromosome:SL3.0:12:55786085:55791897:-1 gene:Solyc12g040695.1 transcript:Solyc12g040695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISVRFVSVVMGSLTQLANLVHESTALKRKSDEAKKELLEISKTLGTFDHHIVYNRDDMSLWSVASVQPRDFLETQEDAGLMRFIDQSHIPDNQSLWSVAYEGLSGGHREDSNR >Solyc07g025490.2.1.1 pep chromosome:SL3.0:7:28713697:28719328:-1 gene:Solyc07g025490.2 transcript:Solyc07g025490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSILQSFNVRSNFQIPPQKIFPQICFFEYIYIYIYMSVCVCLYAYTYINPHKISLSLSLSSLYIWKWKTKESNASKSVI >Solyc07g063290.3.1 pep chromosome:SL3.0:7:65925534:65929416:-1 gene:Solyc07g063290.3 transcript:Solyc07g063290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENQSLCDDNMEEAEYVLLDLDDLPCEVYIPPNAPYVLSGLDTLNPILTIDGKIKLIGQYDETIGTCLVFDEKDTPPEEAGPSEANLCPGRPTLDPKQTKSKQVKPVTQLQKILKFKLLQNSETEDAREKPKED >Solyc10g005000.3.1 pep chromosome:SL3.0:10:4011:6017:-1 gene:Solyc10g005000.3 transcript:Solyc10g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNKKGSSSSWLSAVKRAFRSKKQINEEEKKKENQRKQQHTTNHNAAAQAATIIQTAFRGYLARRALKALKGLVKLQALVRGHNVRKQAKMTLKCMQALVRVQARVLDQRFRQSEQASRKSDTTTSHQHMSSTIPDDWDERPHTIEEVKAMLQKRKEAAFMKCQGTPFSQQTRRSGRSSSIGSDADFGEKRAAAKSWDSSSRGRASTYPVEMDTSQRNLITRLHQRPTSPLHTSFQFPVTPSPSKSTRPIQVRSASPRHDKKSQTPSLRPNNNYSSYQPNRLTSSAAAIPNYMAATESALARIRSQSAPRQRPSTPERDRAGSAKKRLSFPVPDRYGNVPSAYGHNLRSPSFKSLSGVHFGYEQQSNYSSCYTESIGGEISPSSTSDFRRYLR >Solyc07g055368.1.1 pep chromosome:SL3.0:7:63559099:63561258:1 gene:Solyc07g055368.1 transcript:Solyc07g055368.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDVPLFNHQHITTDSQSVEDVITVQEPVVNDDTLEFTETKNSEEVELEDNLVPNVPVVAAIATRHSERSSNPPVWLKDYVTNVSDHPYSMTKYVAYDHLSTGYQSFLVSDPVLVKATKQVLHNHFKMKDLGELKYFLGIEFCRSESGIVMNQRKYALELISEAGLTGAQPVFTPLECNIKLTSAAYNTSNADPFFLDVSRYQRMIGKLLYLTNTRPDIAFAVKNLSQFMQQPKHSHWNAALRVIKYIKGSPGLGLLMSSHKDTKLTRFCDVDWAACLSTKRSVTGYLLKFGDSLISWKSKKQNTVSRSSAEAEYRSLATLTAEVVWALLPHESSSKLKQFQE >Solyc01g005840.3.1 pep chromosome:SL3.0:1:571881:574927:1 gene:Solyc01g005840.3 transcript:Solyc01g005840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGAPSGGIAIGGGGGGVGRGGGRTWSSTTSVSTSGKRIQKEMTELSMEAPPDCAAGPKGDNLYHWVATLFGPPGTPYEGGIFFLDITFPSDYPFKPPKVVFKTRIYHCNVDPSGNVSLDILTENWSPALTISKVLLALRSMFTNPETYKPVVPGIAHLYLGDKAKHDEVAAQWTLRFAR >Solyc09g057820.1.1 pep chromosome:SL3.0:9:51317607:51318090:-1 gene:Solyc09g057820.1 transcript:Solyc09g057820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWMSGRGSLLHVVQLAETLVTAGLKSEKGAIERSIGSITIGTHATKLSLYTLAGQKAVGKEAGHRVRSINNKFSIQIEAPIKKILRRLRDQGLISQGRPWQIYVACFTNVSDGDIINWSAGTAISHLSYYR >Solyc04g071120.3.1 pep chromosome:SL3.0:4:58109727:58124781:-1 gene:Solyc04g071120.3 transcript:Solyc04g071120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIEGVGENSSPPRSIGSCAVYDIKNDVYNRLVETGNPEAVTNPELLREHLDSHFARLPVSYGLDINVDKAEDVLLHQKILVRAKEPDNRPVYHVRFLENYWTRFDDAKGQDDFNDFMTSKAGGSLNDKGIRKGMNDFETFSKLEDLNLDVRKNFNDKEEILAEDSSRRHEVSCILVHEVIFSTIDKPKLLSQLSALLSDIGLNIREAHVFSTIDGYSLDVFVVDGWPVEDTKSLHEELGKAIARSEGTWSGSSHSRSAAEKVIIAQSKPEDWEIDKRLLKIGERIASGSCGDLYRGMYLGMDVAIKVLRSEHLNDTLEDEFAQEIAILKQVQHRNVVRFIGACTNSPHLCIITEFMPGGSLYEYLHKNHVVLKLSQLLSFAIDVCKGMEYLHQNNIIHRDLKAANLLMDSDNVVKVADFGVARFQNNGGVMTAETGTYRWMAPEPYDQKADVFSFAIVIWELLTAKVPYDTMTPLQAALGVRQGLRPELPENAHPRLLDLMQRCWVDIPSDRPSFSEIKVELELLLHEIENTIEASKGS >Solyc06g008665.1.1 pep chromosome:SL3.0:6:2567279:2582279:1 gene:Solyc06g008665.1 transcript:Solyc06g008665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAVNIHLTAMFAPQSEEEKEYIVTSPLCQCRRKFDVCYGLYKARFSTCSQCSEQIHGTTRERTLTGCEENFPCLVTGYSDSDYAGDVDTRRSMAGYVFTLGGSVISWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLVLARFGMSSSKPIDTPSAVNIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMYAMVCTRQDLAHAVSVVSRFIGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDS >Solyc01g105120.3.1 pep chromosome:SL3.0:1:93271343:93282318:-1 gene:Solyc01g105120.3 transcript:Solyc01g105120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLECWLNNSKGMSDLQLYGLDDISWDEFCHNDDHIVPHPSSGRTNEPLPQNDSRKKPRHEVIGLTGNAGDQSTGKYANQQKEQVLSNRSPKMLEEDSWADAPDGAFSSPRQKAIVGEVSSLPSESTRTSDHCIKSNNIDSIENEPCPNGCNLDDKNASVGENSYSYPLGPISQADNDLSFLDNSCEDKDSNDLLYYSWPEIENFEDVDRMFRSCDSTFGFGPGSEDDLGWLSSSDVIEGSGDGLTSGFKFPCPASNALGSTSASCDTSKPKETNISTDNSGIENQSLGYNSSSWSSEKNESVNLGHMPFLNGSSNLQCKLVPDKKAEVHGGGVQVEIISNNQPRINDNIVDSMQKKHSKHQNRSEGKRKCGYLENGDTLNYTDSLPEEQKLPSGTGSTHVSFASAGVLQQKQAQDPDFGYLGGSFSYMDSDYGHSDGSALHPTLPILKYESNGLVSLSPKDSYASNQVQSMEGSPDPSFQVAAMTRKEKVEKLFHPSGVKIENKRDFEGVGIRAPTELGSSVVQECSSINSGLDEISEEAASFHQLQRVMEQLDIRTKLCIRDSLYRLARSAEQRHRHANLNIVSGDDGGTSGPLVTEGTNKCTGYVDIETDTNPIDRSIAHLLFHRPSDSAVAPARDSSTLKSPSMIHGSLSSTPVMSDNVISHGEIAPQTDGEVAD >Solyc12g035893.1.1 pep chromosome:SL3.0:12:44526378:44526728:-1 gene:Solyc12g035893.1 transcript:Solyc12g035893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGLSHFSSSLERWIYNNSPIAITKKPDLKDPVLRDKLANGMSHNYYGEPT >Solyc02g069800.1.1.1 pep chromosome:SL3.0:2:40193271:40194278:-1 gene:Solyc02g069800.1 transcript:Solyc02g069800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHDKQVKDVVSGWLTVFDDGSVDRTWTGPPEVKFMAEPVPPHDDFIDGVAVKDVVAGENSGSRFRIYLPERNDSSVDKLPVILHFHGGGFCISQADWFMYYAVYTRLARVANAIVVSVFLPLAPEHRLPAACDAGFAGLLWLRDVSREQGHEPWLNEYADFNRVFLIGDSSGGNVVHQVAARAGEEDLSPMKLAGAIPIHPGFMRSQRSKSELEQEQTPFLTLDMVDKFMELALPIGSTKDHPITCPMGDAAPAVEELKLPPYLYCVAEKDLIKDTEMEFYESLKTGEKDVELLINNGVGHSFYLNKIAVDMDPVTGSATEKLFEAIAEFINKH >Solyc10g009460.1.1.1 pep chromosome:SL3.0:10:3541577:3542641:1 gene:Solyc10g009460.1 transcript:Solyc10g009460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCMANSPEDIVKEILLRCLMKSLLRFKCICKNWCALIKTPEFAQGHLKNRSPPQLLIYDNGDIDDDDDLFITLISEEHPRRFIGMKQLFGSVDGLFFMVGEIDREVSCSLWNPATRELRPIHLPIPIATIHDAPVFEFGLDTLTYDYKVVYFHINNLCEHYASAYSCSRDFWRIFKPKIPYFTDVKHTFGTSYLNGGYYWLLTGERPCNYTIILFDFGSEMFTEIEGPDHQLVDTNMLGLMSVDSSIAILNLNPSTIFAYDIWVMIQLGVWNKLVTFQCFFRLKSCYDNSLIFATKDSQLVSFDVRTNKTRHLGFQHAALRKDAECDGDCGVFCYKESLVKIEQRDDEDLDH >Solyc03g116243.1.1 pep chromosome:SL3.0:3:67223048:67225091:-1 gene:Solyc03g116243.1 transcript:Solyc03g116243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKQQFQSNDLLNFSVWIVHECIACLVMFFSNIKSEEEQTSVVRRSASAKNLNVELDVCDIIKRTRCFAERNYLQLVYEYRENNSLAHKCLYEMCANIDEEHYCILRQ >Solyc09g015060.1.1.1 pep chromosome:SL3.0:9:7863400:7863957:-1 gene:Solyc09g015060.1 transcript:Solyc09g015060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSPALMESHQPQIWQFGSRKHEPLWQSGDEGEEEVPRNSFTEISTLRHVALMLFPEKEDKKYIYMYLVAFVKCSFDLLSAMNTTLTKYAVVLTTTLLPSRVPPKDDPLIVIPPPTYSPMINPISFIVWNISGGNNPTFKRNLRELIQHHNPCIIALLETRMTSHEGLKDEFRFDDFLEVPAIG >Solyc05g050960.3.1 pep chromosome:SL3.0:5:62023797:62028564:1 gene:Solyc05g050960.3 transcript:Solyc05g050960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLPISASLRAKLISGGYTSISSLFSVSHSDVARDLKISENQALEILRVASRRRGSERSNGTSSIVNGAQSAWEMLNEEKLLGRITTSCSELDDILGGGISCKEVTEIGGVPGIGKTQLGIQLAVNVQIPMDYGGLQGKAVYIDTEGSFMVERALQIAEACLEDMREYHGFLKRDLQACQVNMQPKDFLENIFYFRVCSYTEQIAVVNYLEKFISEHKDVKVVIIDSITFHFRQDFDDMALRTRLLGGMALKLMKLAKKFTLAVILLNQVTTKYTEGQYQLTLALGDSWSHACTNRVILYWNGNERYAYIDKSPSVRSAAAAYSVTGRGIRSSVSNCKRVKMM >Solyc07g062800.1.1 pep chromosome:SL3.0:7:65596522:65599214:-1 gene:Solyc07g062800.1 transcript:Solyc07g062800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDYYHCKPLALLFALPFAFIALFLSLLGALIWLIGSLLSCLCPCCFCCAGIGRVAINMVKLPNKIIIWFIQKIPC >Solyc04g072850.3.1 pep chromosome:SL3.0:4:59893848:59915395:-1 gene:Solyc04g072850.3 transcript:Solyc04g072850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFWAPNVNILRDPRWGRAQETAGEDPMMVGKYAVAYVRGLQGDSFEGGKLKDGHLQASACCKHFAAQDLDYWNGHHRFTFDAQVTPQDMADSFQPPFKTCVEEGKATSLMCAYSRLNGVSNCANHDLLTTTARGQWGFNGYIVSDCDAIRVMRDSHGYTAEDAVAASLKAGMDVNCGSFVANYTRLALEKQKLQDSDIDRALRNIFSIRMRLGLFNGDPKQLEYGDISPAEICSQEHQDLSLEAAKNGIVLLKNSAKLLPLSKIKITSLAIIGPKANDSELLLGNYAGIPCKKNSLLQGFQGLVKNIGYHPGCNFVNCTSAAIDEAVDVAKKAQYVVLIMGLDQQVERENWDRVDLGLPGQQEILINAVAEAAVEPVILVLVSGGPIDISFAKNHPKIGGILWIGYPGEGGAAALTQILFGEHNPGGRLPVTWYPKDFIKVPMTDMNMRPNSSTGYPGRTYKFYKGPKVYGFGYGLSYTNYTYDIASVTHDKLYFINQTSSDKRRKHGSHIHNIAVSKFGSEVCNNAKISVKVVVRNKGKIGGIHPVLLFVRHSKVKNDEVPRKQLIGFKSVHLGAREKSKIKFIVSPCQHFSRANKHGISVIDEGKYYLVVGDKKYPVTQITNKFTVMFIFVILVLLFRRTESTKPPFSCDSSNPNTSSYPFCNAALPIPQRVNDLVSRLTVDEKILQLVNGAPEIPRLGISAYEWWSEGLHGISRHGKGTLFNGTIKAATQFPQIILTASSFDENLWYRIAQAIGREARAVYNAGQLKGITLWAPNINILRDPRWGRGQETPGEDPMMVGKYGVAYVRGLQGDSFEGGKLKDGHLQTSACCKHFIAQDMDNWHNFSRYTFDAQVLKQDLADSYEPPFKDCVEQGKASSVMCAYNLVNGIPNCANFDLLTTTARGKWGLQGYIVSDCDAVDKMYSEQHYAKEPEDAVAATLKAGMDVNCGSHLKTYTKSALEKQKVKESDIDRALHNLFSVRMRLGLFNGDPSKLEYGDISAAEVCSEEHRALAVEAARSGSVLLKNSNRLLPLSKMKTASLAVIGPKANDSEVLLGNYEGFSCKNVTLFQGLQGYVANTMYHPGCDFINCTSPAIDEAVNIAKKADYVVLVMGLDQTLEREKFDRTELGLPGMQEKLITSIAEAASKPVILVLMCGGPVDVTFAKDNPKIGGILWVGYPGEGGAAALAQILFGEHNPGGRSPVTWYPKEFNKVAMNDMRMRPESSSGYPGRTYRFYNGPKVFEFGYGLSYTNYSYTFASVSKNQLLFKNPKINQSTEKGSVLNIAVSDVGPEVCNSAMITVKVAVKNQGEMAGKHPVLLFLKHSSTVDEVPKKTLIGFKSVNLEAGANTQVTFDVKPCEHFTRANRDGTLVIDEGKHFLLLGDQEYPIPPPFSCDSSNPQTKSLKFCQTGLPISVRVLDLVSRLTLDEKISQLVNSAPAIPRLGIPAYEWWSESLHGVGSAGKGIFFNGSIAGATSFPQVILTAATFDENLWYRIGQVIGVEARGVYNAGQAIGMTFWAPNINIFRDPRWGRGQETPGEDPIMTGKYAIRYVRGVQGDSFNGGQLKKGHLQASACCKHFTAYDLDQWKNLDRFSFNAIVTPQDMADTFQPPFQDCIQKAQASGIMCSYNSVNGIPSCANYNLLTKTARQQWGFHGYITSDCDAVQVMHDNHRYGNTPEDSTAFALKAGMDIDCGDYLKKYTKSAVMKKKVSQVHIDRALHNLFSIRMRLGLFNGDPRKQLYGNISPSQVCAPQHQQLALEAARNGIVLLKNTGKLLPLSKAKTNSLAVIGHNANNAYILRGNYDGPPCKYIEILKALVGYAKSVQYQQGCNAANCTSANIDQAVNIARNADYVVLIMGLDQTQEREQFDRDDLVLPGQQENLINSVAKAAKKPVILVILSGGPVDISFAKYNPKIGSILWAGYPGEAGGIALAEIIFGEHNPGGKLPVTWYPQAFVKIPMTDMRMRPDPKTGYPGRTYRFYKGPKVYEFGYGLSYTTYSYGFHSATPNTIQLNQLLSVKTVENSDSIRYTFVDEIGSDNCEKAKFSAHVSVENSGEMDGKHPVLLFVKQDKARNGSPIKQLVGFQSVSLKAGENSQLVFEISPCEHLSSANEDGLMMIEEGSRYLVVGDAEHPINIMI >Solyc06g051460.3.1 pep chromosome:SL3.0:6:34969999:34975526:-1 gene:Solyc06g051460.3 transcript:Solyc06g051460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPVNTARQCLTNEASITLDDAVAMAGRRGHAQTTSLHYISSLLSVPSSCLREACSRTRNNAYSARVQFKALDLCLGVSMDRLPSCPSSSKVDYPPVSNSLMAAIKRSQANQRRQPENFSFYQQQLQNQSSSSSSSVPVVKVELRNLIISVLDDPVVSRVFGEAGFRSCDIKLAILRPVHQLFRYSRFKGPPLFLCNLTNQSDRSFSFPFLGFSGGEDDCRRIGEVFVNNRGKNPLILGTCAQAAMNNFLEMIQSNRGGGILPVEVYGSTVICIDTEIIRFVRGEYDEELIKSKFEEIASILMNNSLGSGIVVNYGDLKILSSDDSYIDSCRYIVSKLTSLLQINRGKLWLIGWVERYEIYLKVLNRFPYIEKDWELQLLTIISSGNPKEETFPRSRLMESFVPLGGFFSMAAADTKSPLSSSYHTASRCHLCNEKCKQEVNTLSKCGLISTVSVADHYQSSLPSWLQMTQLNTNGGLDPMKAKDDKMVLGAKVAGLQRKWDNLCQRLHYNQSLPKTSNFHMASEIPSVVGFQVVEDRKQSLNNENIESGRKKMTCTISSSNESSIFLSKTPSQGDDDHGFNSPTSLTSVTTDLGLCMASTSPSKEQDHVINHGSINQPHDISCSVEAPRFINRSPLQQQLDPKDFKMLYEAFIEKVNWQEEAVNSISQTIARCRCRNERNNCPSRGDIWLNFLGPDKLGKKKIVIALADILYGSTNNLICVDLSLQDEVGLVDLQVLNQYDVRCRGKHVVDYVADKLRNNPLSVVFLENVNKADILMQKSLSQAVKTGRFLDSHGREVSIGNTIFVTTSSRLDEERTLPSTKETADYSEEDILASKGNQIQILIAFDLTDDVTGPNSTALITTRKRSSSQIFVNNRKLITSGPIESVDQQFGSSEMAKRAHKTSNTCLDLNLPAEEIENDENLTGDSGCEFSNENTTTWLKQLFTQFDETVIFRPLDLDSLAENLLKEIRLCFHRVVGPECLLEIDSKVLEQILAATFLSDSKKIEDWIQHVLGRGFVEAHERYSLSARSVVKLVTCESYSPQVHIPGVLLPGRIIVN >Solyc10g079950.2.1 pep chromosome:SL3.0:10:61506608:61515003:-1 gene:Solyc10g079950.2 transcript:Solyc10g079950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSLYFLLPVVERVRSSSFSDAFCDRFTRNFERETGGEPAGSPCPRISGRGKWVEYHKPQNSSMADLFDLKKHNQMNNEETSHIAIVIVPYLEQGHLNPLLNLSRIISSYKLPVFFLGTSTSNRQAKFRLSGWNILDFPTIRFHDLVPGSCSSVSASVMEKLGSFFTSILLLREPIREFLQEVSSTYRRTVVINDTGMSWVVQDAVSIPNTECYCFHTISAFTALSFACEATKKPLPSPVAEIIAELPPKENTFDSETIEYIMMQHESRDFYSVGLHNSCKEIEGVFIELLEEQRENKQWAIGPVYPVEISKRKSSELTHECFSWLDKQDLNSVIYVSFGSTTTLSKEQINELAFGLEQSEQKFIWVLRGADKKGGDNIENVERGSNSDLPDGFEERIKEKGVGFIEKNWAPQLEILAHGSIGGFMSHCGWNSCIESISMGVPMAAWPIHSDQPRNTVLITKVLKIGIIVRDWENRYELVSAAKIKNAVRFLMDSAEGEELRRRVTELSSSVKKSVMNGAIMIPLPAQGHLNQLLHLSRLISAYNLPLHFAGAAVHLRQAKNRIHGWNPVSTSTVKFHELPIPNYETPLPNPNSTTKFPSQLMPSFHATIHLRHPITSLLQELSRNYRRVIVIYDSLIAWVLQDTPSIPNVECYSFRSISVFSIYSWDKSSVTPEFTEYSRVQFEFREIVNSGNLYNSCYEIEGLYLDFVAKEKSARNKQWAIGPLNPVKQFDKKNSLISHKCMNWLDEQEPNSVIFVSFGTTTTFSDEQIKELAIGLEKSEQRFIWVLREADKGDIFTGESREVELPEGYEERVGKRGVIVRDWAPQLEILGHESTGGFMSHCGWNSCMESISMGVPILAWPMHSDQPRNAVLRVMELSKSVVDGGGSGREMASFIAHITR >Solyc03g119860.3.1 pep chromosome:SL3.0:3:69893803:69899075:1 gene:Solyc03g119860.3 transcript:Solyc03g119860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSAQFLQPPATPLPPKQIQSTTISKCIKFSRRELTISTSSSVLLLLGSQAIEPLNLSRARADELPPDNPDKTEPEQEPSRKIEYCSNQNVTKKAFLEVSVDGEPIGRIVIGLYGDSAPFGSSRFSNLVSGAAGVSYRRKDFVRIMPNYVQHGGLRSYGVDAELAKNTGRTMAIDNLVDEWVKQSEMCQGTKNVATSVSLIVRDPSKPPPKMKLVARGGKLEIDQEEVGKDVNGTEFTIALKDSPELDASALVIGSVLEGMDVVEKLGQVKTVKENTTSPYFRAAKLIGDKRAVVAERGFNRPYSKVKITNCGLME >Solyc10g080810.2.1 pep chromosome:SL3.0:10:62128858:62134532:1 gene:Solyc10g080810.2 transcript:Solyc10g080810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGPSGFNNAPVTRAIVIACTIFTIIFGVRGHGNQLGWSYQDIFQKLQIWKLIISAFTFSSTPELVFGLYLLYYFRVFERQIGSNKYSVFLLFSFVVSLFLEVLALQLIKDPSLSILSGPYGLIFSSFVPFYLDIPVSTRFRLFSLNFSDKTFIYLAGLQLLFSSWKRSIVPGLCGIIAGCLYRLNFCRIRKVKFPEFITSFFARLSWPSTGNLPPPSAPARNAPGNVPIFAGRQMEGNYPAPVSSTPEPPEDAISMLVSMGFDRNSARQALIRSRNDVNIATNVLLESQSR >Solyc02g083990.3.1 pep chromosome:SL3.0:2:47772357:47776713:1 gene:Solyc02g083990.3 transcript:Solyc02g083990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGNIDLSALKSQLTQTDVTWKLEMEKSQSQVDALQAKLLEVKASIQGSEEDTKKELDVLWHRVRTATTLMTYLKAKARVVAVPDLAHSSCGIKELDGVGIVDKNGIPLSSWTRDVDLSSFNDADDELWIRLSSKHGQLDEQDGSYMSELLRSVQLVTDVMESLVKRIIMAESETAIEKDKVTVGEEEIKRKTLLIENMSIKLEEMERFALGTNLILTEMRQRVEDLVEETSRQRQRAAENEQELCRVKTDFESLKSYVSNLVSVRETILSSEKQFQTIERLFERLVAKSTQLESEKTQKEAEVEKLMEENVRLTALLDKKEAQLLAMNEQCKVMALSASNI >Solyc02g088870.1.1 pep chromosome:SL3.0:2:51444641:51446674:-1 gene:Solyc02g088870.1 transcript:Solyc02g088870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAQSKKAIAGAVQSQPVQVSRAAGDGLNPGFPRGTAAEAQYAAAKTSVWWDIENCQVPRGCDAHAIAQNINKALMNMNYHGPVTISAYGDTNGIPWSVQRALSTTGIRLNHVPAVTKDASDKRILVDMIFWAMDNHAPANYLLISGDGDFSNAIHQLRMRKYNILLAQPVCASPALAIAATNVWQWTSLAAGGSPQGFAFRNNTYRQNYIPTLISKPISANQPDFSNSHANNNTNANASRAQSLSNPGCYSYVPKNSNQLTMTGMSTCSWYCPRAPAVAPVQFVQNRFFTKSDSSENHNSKFIENESVQRTQSQPPIVPVNLVKLNSCQDNVQPPLQRPEGRGDLCVSMNKGDVRPDFSFLPPSSGVSKSVSGNLDLDLQLPEHIQSLIQVIVNSLNTLRLEKILPTKENIGYCIHYSKPEYRHTDVTVALNTALEQQVILKLGLVNFDLYVGKTARIWKCVNPLGGNPNQYKDAIWNAIEEFLCSTVGRLAIAASECRYEAALILRNACLKNLTLGEVLQILNMIITLKGWIKTHSEWQPITITLPATNNDMDTKSGS >Solyc08g082200.3.1 pep chromosome:SL3.0:8:65167952:65174389:1 gene:Solyc08g082200.3 transcript:Solyc08g082200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA primase [Source:UniProtKB/TrEMBL;Acc:K4CPL6] MNREEVENGGDDMLIDRDEIEQRRLENAVPEGFNADYLKAYYGKIFPYVDMFKWLSYGNDGKHPGCDHSYFGRREFSFTLDNDIYLRFQSFNSVSELENAIKEKCPFKIDIGPVYSVDPSKRHAYSQGGDNIFTPVEKELVFDIDISDYDDARYCCSGADVCLECWPLMTIAIKVIDTALRDDFGFSHILWVYSGRRGVHCWVCDGKARRLNNEQRAAIVDYFRVYKGNENSSKKVSLVGPALHPFLVRSHTEVLKDYFEKRLLSSQNLFLNEERFEKILEMIPDESVTSELRGRWLSNKRSREEINVARWDQLKNLLQSNKQKGQGLRRCIEEIVFTFTYPRLDMEVSKHMNHLLKAPFCVHPKTGRVCVPINPKNCEEFNPSAVPILSQLLGELNTGGFKGEGDNEWDGTSLGDCVRYFRESFLQPLLKSCKEEIETSYNAKVQQSRNSISW >Solyc01g109150.3.1.1 pep chromosome:SL3.0:1:96118592:96120693:1 gene:Solyc01g109150.3 transcript:Solyc01g109150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QSSISMVNEMNGLLMRLGQSVLSFLPSFYYKY >Solyc02g087650.3.1 pep chromosome:SL3.0:2:50654183:50655878:1 gene:Solyc02g087650.3 transcript:Solyc02g087650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTKAGASMAKSFDAQKYLKRVGLGKEDYHFWKQVGKALLCTYTLFGVAWVWNETSPLGWWTLKPRPKEEKELAHLYERRKFPYPGDEKAMEEFVAKGGMIGTTIGPKGIIESDKDSYNYQKALQDKKVDQEAFKLWTRMRNEVISELQEKGFDVDGGVKSFEMIIVSGTLYLRWLDVCYTPWQTQKERFLGEGLPAVESTALSNLA >Solyc07g021035.1.1 pep chromosome:SL3.0:7:15726465:15728977:-1 gene:Solyc07g021035.1 transcript:Solyc07g021035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYEKDPKLLRSLLKCSLSMRNYVGVVPTIATMRNYPLLMHKFMSNKAKIPYLLKLIMGLFVFLMKEASFNHGERGALRSCIKALNFWATEIWWELQDFTLNILKRIIDELIINSFFRTLANQESNPCIIHRARRFGVLIKYMELRRSYGPEEWLSKIFPPIQLSCCRMVMFEYSLLVNLKMLDDLQLSR >Solyc01g091050.3.1 pep chromosome:SL3.0:1:84642836:84645695:-1 gene:Solyc01g091050.3 transcript:Solyc01g091050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4AZ45] MANIHLIFPLLFISCFIFPSTTNGYSNAIIKAWCTQTPHPQPCEYFLSQNPKITSPIVKKSDFLKVSLDLVLDRALRAQLNTYSLGPKCRNEREKNAWADCIELYENSINKIKSTVDPNTKCSATDAQTWLSTALTNLETCKAGFEELGVTDYVMPLMSNNNVSSLISNALALNHGYYTEPTKSSTSTQVDGFPTWVSPGDRKLLQSSSSSTASQANVVVATDGSGDFKTVKEAVDAAAKNKGSGRFVIYVKAGTYNENVEIGEKVKNVMLIGDGIGKTIITGSKSVGGGSTTFRSATVGASGDGFIAQGITIRNTAGPQKHQAVALRSGSDLSVFYQCSFEGYQDTLYVHSNRQFYKECDIYGTVDFIFGNAAVVLQNCNIFARDPPNKINTVTAQGRTDPNQNTGISIHNCRITGAGSSKSARTYLGRPWQKYSRTVVMKTFLDGLISSEGWLPWSGNFALNTLYYGEYLNTGPGSSTGNRVNWGGYRVITSSAEASKFTPANFIAGNSWIPATNVPFTSGL >Solyc10g055020.2.1 pep chromosome:SL3.0:10:56185129:56186366:-1 gene:Solyc10g055020.2 transcript:Solyc10g055020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCWQPNAGTTINSQMLIEVSKCVESINGVKEGEWKNTFCFYKPMLKEQANASEFPQHFFGASLQEQPYKFYMMENLQSYRIKFAHNCEGFQYRLGDFLVRVGKVVPMDSENLRGLLLEILFSVEMEYLPISSWKTSHLIMSEFFEILKETLGKKSLQGHFVHAEQNFSEFGLSDQYTSRHTVVQYASIWAQMSTTAQ >Solyc06g068435.1.1 pep chromosome:SL3.0:6:42504674:42516622:1 gene:Solyc06g068435.1 transcript:Solyc06g068435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPVNPEDVHPAKNVPKENQEETGSHKLTGHPVKVGPSEAKFCEPVLQDDIHIHREKLKDTCTTNIMKPVNPEDVQPATNVPKENQEGTGSHKLTGHPVKVGPSEAKFCEPVLQDDIHREKLKDTCTTNIMKPDNPEVVQPATNVPKENQEGTGSHELTGSSGHHSLVSENHNNSTGSHGHLCQPKRKENDDELAVSIPIGDVPQSQVQKKDFLHLGETQCAKRKRGKRKSESGIKNEEGNLEEHKDPTLQQIFGIKQWKKKKTKKTTNTEESSDKDSERAHTSKDHGAELIGTKIKVWWPLEQAFYEGVISSFDSETNKHKVVYDDGEVEKLRLHKERWEMLEDNSSQKDIKRTFTMKDYGKELVGTRIKVWWPLDEKFYEGVVSSFDPVERKHKVLYDDGESEKLRLHKERWEMLEDNSTQKDHALDFQGHAVSSATS >Solyc05g054910.3.1 pep chromosome:SL3.0:5:65545206:65563841:-1 gene:Solyc05g054910.3 transcript:Solyc05g054910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQGSATVTTLDPSSQENHQAVDPNQHHMSSYYAPPNSTVAPWSAHAADSYARENGVVSHSGYDHDQQAAPPSRNVQDGLNVAASATTPSSGATNVQQDYSSYGTYQSTDPYGYNNTGYAAYYNGYQQQPNQSYPQPSGAYQNTGTSGGYTSGAYNNQTNAWHEGQYATYTSHQYPSYNSDSNAAYSSTTAPAASQYQQQYKQWADYYNHTQNDVPCAPGTENISVSNVSSLSCPVPAGYPASGVQAPASHAPPGKPESGLPALSAVQSPAVGGNVHDSYWKHWAPSFQNQQPDPVQSYGQKPLDITPSHENLQQSSSCPQGPSTQYQASYQMPYNYQSSLPTMQQTVTPGDTGSASKLQIPTNPRITSTLTMGLPKLDIQSSTTNAAAKPAYVSVSLPKTNEKVSSNAAENALKPDTFPKSLCGYVERALARCKDDTQMVASQAVMKEIITKATADGTLHTRDWDTEPLFPMSSVDADKKERVIFSAPVSSSPKSKRSPSRRYKSRWEPLEVEKPTVQPASVTPDASKYGSWNKQFSGGKLDIKVNNSSHVKFSLPQRKTPKTDVFRPAKRQCVGDEMDVTDNGEASSDSDKERSQSAYKSAAGAAADTPEERKRRENRSKRFERGHGSRIAANDNRSRNGGAGNVYARRATALVLSRNIEENGNCAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPATVRPEEVLEKALKMVQSSPKNYLYKCDQLKSIRQDLTVQRIRNELTVKVYETHGRLAIEVGDLSEFNQCQSQLKTLYAEGIKGCDMEFVAYNLLCVLLHSSNNRDLLLALSRLPAEARQNDAVKHALSVRAAVSTGNYVAYFRLYKTAPNLNTCLMDLYADKMRYAAVRCMSRSNRPTVPVTYIAQVLGFTSEESEDTDGVEDCVEWLKAHGACLTSDNPGEMQFDAKASVSTLYMPEPEDAVSHGDASLAVNDFLTRNLA >Solyc07g053000.1.1.1 pep chromosome:SL3.0:7:61552101:61553153:1 gene:Solyc07g053000.1 transcript:Solyc07g053000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGCHALFLVLLSIVLAYTAIKSTIHSKSTVNYSNSPQQFNKHALTMKASKALRNQGFNIIATLLQLSPEIFLSTPQSTIFAIQDSAISRLSVPSWAMKQLLHYHISPTKIPFQQLLNKSQGCCLTTLLSQKKIAVTRIDKKQSIIEINNVSVSHPGVFLEGNLSIHGVSGAFSVLDFHGIDEHIDVIQSPICDEMPNGSVTGTKNLVNWPRIINWLSSNGYASFAIELHSVLDGVLQDSANLSFVTIFAPPHLGFLSSPSPLLERIVKLHIMPQRYTFMELSFLPDISSLKTLAPALNVTISKSNFSRVLIIDGVEITAPDIFVSKTFVIHGISRPFHLEELSISFR >Solyc04g005070.3.1 pep chromosome:SL3.0:4:59740:62707:-1 gene:Solyc04g005070.3 transcript:Solyc04g005070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKQEQEELLLNHSKNSRKGGLITMPFIIVNESCEKLASYGLLPNMIIYLTTFYHMEAASASVLIALWTALSNGLGLFGAFLSDSYLGRFRAVAIGTISSLIGTCILWLTTIMPQLRPLPCGQHQHVCDGTTAAQLAILLCSLGLMSIGTGFNRPCSIAFGADQLENKENPDNERLIDSYFNWYYASVGVSLVLAVTVIVYIQDHFGWQIGFGVPALLMLISVSIFLIGSPLYIKAKARGSLFTGLFQVAVAAFRKRHINVESNYIADCYYKEPESNLLEPSADFRCLNRACIIEDPRMELKPDGEVSDPWNLCCVEQVELMKCFLRVLPMWSTCLILLVAVGQTFSIFQMMTMDRHIFSLFEIPAGSFGIISLIAWTLWVALYDRALVPLLSRYTGHPTGLSPVSRMGISLILGCMATALQAITETIRRNKAINAGFEDDPNAVLNMSWMWLVPQFALYGVAEAFNVVGQIEFIYSLFPKSMSSFASAMYTFGLAIASLISSFLVSMVDKVTSSGGNTSWLASNINRGHLDYFYWLLTFLSFINLLYFMAIYRFTEHHHDGRSSLYHEAEE >Solyc10g050690.2.1 pep chromosome:SL3.0:10:50277540:50278975:-1 gene:Solyc10g050690.2 transcript:Solyc10g050690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFANGQAFLLLAVLFSSMFSIGLANHDFNWGPITWNKTNCPYSHPPNATQTSNKFIIGGSKNWHYGFNYMDWARNNGPFFVNDTLVFKYDPPNVNGTGFPHSVYLFSNYRSFIKCDFRRAKRIADPSEGAGEGFEFVLKKMQTYYFGCGEHKGIHCKTGNMKFAVMPLKHWRF >Solyc04g056420.1.1 pep chromosome:SL3.0:4:54138541:54139750:1 gene:Solyc04g056420.1 transcript:Solyc04g056420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BSU0] MAKYGNLSSFLVLCILLGIVGSTVGQLQLNFYAKSCPQAEKIIQEYVQKQIPNAPDCISTIGCDGSVLLNFTSKIASVEAECPGVVSCADIVALVARDSVVVTPFLFREEVPTGRRDGRTSNASEALANIPPPTSAHTIGVSHCPSFSSRLYNFTGDWGKQDPFLDSKYAANLKMKKCKSINDNTTIVEMDPGSSSKFDLNYFQLVLRRKGLFQSDAALTTSATTNSFINQLVQGSLKQFYAEFGLAMEKMGEIEVKTGSVGEIRKHCAAVNS >Solyc09g042430.2.1.1 pep chromosome:SL3.0:9:23773854:23773931:-1 gene:Solyc09g042430.2 transcript:Solyc09g042430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPGWSWYDDPQKTSSGTCAISFQLN >Solyc07g005120.3.1 pep chromosome:SL3.0:7:134654:140622:1 gene:Solyc07g005120.3 transcript:Solyc07g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGSTLLHAPTQFRGITGSVRHPAPSKLVVGHFPMESSVRIVVVGDVHDDWNLEEDRKALQLLKPDLVLFTGDFGNENVDLVRSIADLEITKAVILGNHDAWNTQKFSGKEKDAVQLQLECLGDEHVGYRRMDLPMLKLSIVGGRPFSCGGRPLFRKQLLKARYGVRDMDGSAERILQAAMGTPEDHSIIFLAHNGPTGLGADVDDICGRDWFIDGGDHGDPDLAQALAKLKESSPYSVPLVIFGHMHKQLASGNGLRKMIVVGNDNVIYLNGAIVPRVRPPALTAESEGTSRAFTIAEMANGRVEKIAETWISIIGDETRLEEEHILFSSSSRGTLHSSLL >Solyc07g064030.3.1 pep chromosome:SL3.0:7:66459903:66465500:1 gene:Solyc07g064030.3 transcript:Solyc07g064030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPRNQNRAPLPSSPSNSKYATDDITVEKKRKIANPRMPTAATGGRPIRQAFAVVNAAPDLAPASGPPSTAGSDGPVFEFTKEDVEALLGEKLKTKNKFNTKEKCDLMSEYIRRLKLCIKWFQQLEENNVTQQASLKSLLESAEKKCNEMEVLMKAKEEELNSIIMELRKTIEALQEKCAKEESAKLEAMDSFSREKEARDAAEKLQASVSEELKRSQQDNSSANQKVRRCTRYCFGLIIVVNCLVGFTSCLYLQIQSLNEMYKRLQEYNTSLQQYNSKLQSELASTNETLKRVEKEKAAVFENLSTLRGHYTSLQEQLSSSRAVQDEAVKQKETLASEVGCLRGDLQKMRDDRDQQLCQVQALSAELLKYKECNGKSVAELENMTVRANELEASCLSQSEQINRLQEKLTFAEKRLEMSDMSALETRSEYEEQKKVIFDLRQRLVDAETKVVEGEKLRKKLHNTILELKGNIRVFCRVRPLLSEDGVGAEANVVSFPSSMEAQGRGIDLAQNGQKHSFTFDKVFTPEASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTHTMMGNPESAENKGLIPRTLEQVFETRQSLQAQGWKYEMQVSMLEIYNETIRDLLSGFDASRPENGGKQYTIKHDANGHTHVSDLTVVDVQSSSKVSSLLRRAAQSRSVGKTQMNENSSRSHFVFTLRISGVNESTEQQVQGVLNLIDLAGSERLSKSGSTGDRLKETQAINKSLSSLSDVIFALAKKEEHVPFRNSKLTYLLQPCLGGDSKTLMFVNVAPDPSSTGESLCSLRFAARVNACEIGIPRRQTSMRSSDSRLSIG >Solyc10g086080.2.1 pep chromosome:SL3.0:10:65166232:65168541:-1 gene:Solyc10g086080.2 transcript:Solyc10g086080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTGSNGMNGRRRNYSHRRSHLPQREITGNSYVFDAASPPYSYQQNRNTTPYYQYPGYYPPPYEQHSHHPMNPNYANWVNGGYPCGPPMIPPTPAPYVEHQKAVTIRNDVNLKKETLRIEADEANPGKYLVAFDFDATVAGSLTVIFFAKEGEDCCLTPMKESLLPPITIQFKKGLSQKFRQSSRSGIDVSMFGEADLCNADIYELAVKAEALEDGNAVSTNFQITQAVFEKEKGEFKIRVVKQILWVNGMRYELQEIYGIGNSVDKDFDGNDNGKECVVCLSEPRDTTVLPCRHMCMCSGCAQVLRFQTNRCPICRQPVERFLEIKVSEAAEE >Solyc05g006950.3.1 pep chromosome:SL3.0:5:1542827:1548472:1 gene:Solyc05g006950.3 transcript:Solyc05g006950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Embryo yellow protein [Source:UniProtKB/TrEMBL;Acc:K4BWM1] MMVDLSSFSDEKFDPKKWINSACQSRHPQDPLDKHLIDLEMKLQMVSEEIAASLEEQSSAALLRVPRANRDVIRLRDDALSLRSSLSAILQKLKKAEGSSAESVATLAKVDTVKRRMEAAYETLQDAAGLTQLSSTVEEVFASGDLPRAAETLANMRHCLSAVGEVAEFANIRRQLEVLEDRLDSVVQPRLTDALSNRKVDVAQEMRAILLRIGRFKSLEMHYTMVHLKPIKRLWEDFDLRQQANKVANEKSEMDRLSNSQDFQPSMISFSSWLTSFYDELLLYLEQEWKWCMFAFPEEYRTLVPSLLNEAMSTIGVSFASQINLAIGDAVTETKTLAKGIIDISNGDLPKGAKIQTKHLEALIELHNTTGSFARNIQHLFSDADPQVFLDALKAVYLPYEFFKRRYGQMERAVLSSEIAGLDLRGAAVTLVGVQGVELSETVRRMEESIPQVILLLEAAVERCINFTGGSEVDELILVLDDVMLQYISTLQENVKSLRAVCGLDVDAISTKKDAGSERRETASNARKVDFTSSEEEWSFVQGALQILTVADCLTSRSSVFEASLKATLARLSTSLSFSVFGSSIDQNKPDIVNDDGNGQLSVARKAALDVAAVRLVDIPEKARKLLNLLEQSKDPRFHALPVASQRVTAFSDAVNELVYDVLISKIRQQFNDLSRLPIWSSVEEHSLRPLPTFSSYPQSYVTGVGEYLLTLPQQLEPLVENISNSDPNADEAQYFATEWMFKVAEGATALYMEQLRGIQYITDRGAQQLSVDIEYLSNVLSALSMPIPTCLATFQTCFSTPKDQLKDLIKSDSGNQLDLPTANLVCKMRRISLE >Solyc07g049200.3.1 pep chromosome:SL3.0:7:59621580:59624273:1 gene:Solyc07g049200.3 transcript:Solyc07g049200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLAGRVAGKEGAFFFEESKHAVTRLAQKINKNPTSSPSNSTSLNQESSSPDVLPEILRHSLPSKIFHSPSSADSSLSNTSKWVLPTDPNTTNSVSPDALNPLRAYVSLPQVTFGPKRWQLPDTENSVQASTANDLRRDKYTPINPEKLKAAAVGMSQILFNVVAKAFAIATALVLGGSALTFGLAASKLELHNPDDIRTKGKDMVQPRLETFKEQLNPLRIWAEEKSKKWHLEKKEHIKEKPLIKELSKILGAKSSN >Solyc08g066950.3.1 pep chromosome:SL3.0:8:55886898:55900873:1 gene:Solyc08g066950.3 transcript:Solyc08g066950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAVTAQYPFGICPQFHGNSRLLSPETDFSALNFPYTLGYSKLSLHPKGHVAEIHSLPHAGDFTGENEPTPILDAIESPMNLKNLSTKELKQLANEIRSELAFIIAKSQKPSSSSLTVVELTVAIHHVFNAPVDKILWDVAEQTYAHKLLTGRRQIMQALEKTNGWPVAAPDFEGGFDPFGVGHGCNSVSAGLGMAIARDLKGKRDRVVAVINNETIMAGQVYEAMRNSGYLDSNMIVILNDSRHSLHPKVEETSKTPINAFSSTLSKLQSSKLFRRFRELAKALTKKIGKGMYEWAAKVDEYARGMIGPPGSTLFEELGLYYIGPVDGHNIEDLVCVLNEVASLDSMGPVLVHVITKEELEVGDNQMNAVANKIMEGVSISDTIPYGNRTYSDCFVEALISEAERHKDIVAVHAGMGMEPSLHLLKDVFAEKFFDVGMAEQHAVTFAAGLACGGLKPFCIIPSAFLQRAYDQVVHDVDRQKVPVRFIITSAGLVGSDGPIHCGTFDIAFMSCLPNMIVMAPSDEVELSHMVATAALIDDRPVCFRYPRGALSVMEHSFSGIPIEVGRGRILTEGKDVALLGYGSMVQNCLRAQSLLSKLGVEVTVADARFCKPLDIQLLRQLCKNHSFLVTVEEGSIGGFASHVAQFLSLDGQLDAGIKWRPITLPDNYIEQASPKEQLAVAGLTGNHIAATALSLLGRTREALLLMC >Solyc09g014220.3.1 pep chromosome:SL3.0:9:5712260:5722589:-1 gene:Solyc09g014220.3 transcript:Solyc09g014220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDDNKFVKDGTVDYKNNPAIKNQTGTWRACPYILVNYLKNQMNESSVVAVNNVTNWSGTCYVTPLLGAFLADAYLGRFWTIAVFSIIYVFGMTVLTLSASIHGLKPPCDDNNSCEPKGLQIGIFYLGLYLIALGTGGIKPCVSSFGADQFDDSDETEKKKKSSFFNWFYFSINIGALVASTVLVWIQTNVGWSWGFGIPAVAMAIAVVRTKLYRNQKPGGSPLTRIFQVVVASFRKIKVKDTSVLFETSDEESLVQGSRKLDHTQQLSFFDKAAVETESDKIKGSINGWSLCTVTQVEELKSILRLLPIWATGIIFSSVYSQMGTLFVLQGNTMDLHMTKSFEIPSASLSLFDTISVIFWVPIYDRVIVPLARRITGHRNGFTQLQRIAIGLIISIFAMLIAGTLEMVRLESVKQHNYYEKKHIPMSIFWQVPQYFVIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLITTALGNYLSTFLVNVVTDMSTRHGGAGWIPDNLNYGHLHYFFWLLAVLSDGTMDIYKRPANKKKTGTWKACPYILGDEACERLAYYGMSTNLVNYIQKRLNLGVASSSKAVNNWSGTCYVTPLIGAFLADAYLGRYWTIAGFSFLYIIGMTLLTLSASVKGIRPCNNGTCNPTSSQRAAFFVSIYLIAFGTGGIKPCVSSFGADQFDDNDENEKKKKSSFFNWFYLSINVGALIASSVLVYIQSNVGWGLGFGVPAVAMAIALVFFFSGTRLYRLQPPAGSPLTRIFQVFVAFIRKCHVKTPHDDTLLYETADTESIIVASRKLEHSDEFRFFDKAAVEAESDKVDGLVNPWRLCTVTQVEEVKSIIRLLPIWATGIVFSCVYGQMSTMFVNQGNVMDNHIGPHFRIPSACLSIFDTVSVIFWAPVYDLFIVPLARKYTGNERGFTQLQRMGIGLVISVFAMACAAVLETIRLDMVRKNNYYDSEYVPLSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALCLTTTALGNYLSSLLVTIVMNVTTRHGKFGWIPDELNRGHLDYFYWLLAFLSIINFFIYLWISKWYTYKKIAGKT >Solyc12g055855.1.1 pep chromosome:SL3.0:12:62816225:62820788:1 gene:Solyc12g055855.1 transcript:Solyc12g055855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLYVRAAPTTDLNRNTEWFTYPGVWTIYIFILLFSWLVVLSVFGSSSGMAWTIVNLAHFLVTYHCFHWKKGTPFAEDQGIYNRLTWWEQMDNGKQLTPNRKFLTVVPVVLYLIASHTTDYQHPMLFLNTFAVFILVVAKFPNMHKVRIFGINADQ >Solyc10g077134.1.1 pep chromosome:SL3.0:10:60132622:60133229:-1 gene:Solyc10g077134.1 transcript:Solyc10g077134.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRHDLIDDICKKTIDDKLCVDSLRADPRSSSADKKGLAHIMLQLSLAKANGTYNQYLVLMKQPMEPILKQCIQICRDNYYITVTELISSIKYLDENDFFNAKSDAGAAVTCTVTCEESFTEWHPIRKDPLKKENDAFFHFNVMLSSLLNLL >Solyc02g043940.1.1.1 pep chromosome:SL3.0:2:647760:647993:-1 gene:Solyc02g043940.1 transcript:Solyc02g043940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSTYNPIPKIVLHRNMLSRSGVNHPRTITPKLRPVPLLLLLWFPSMDRREKGSGAHLPLFVATAFRSKYGLAGCCC >Solyc04g082310.3.1 pep chromosome:SL3.0:4:66107673:66114840:1 gene:Solyc04g082310.3 transcript:Solyc04g082310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTLKPTFLLQAYGSSSSSSAGSRRLCSLISFQKKTFRKQKFTLRAQSLDSPQNNNENDTKPPNGSMHKSRREILLEYVQNVQPEFMELFVKKAPQQVVEAMRQTVTNMIGTLPPQFFAITVTTVAENLAQLMYSVLMTGYMFRNAQFRLELQQSLEQAALPEAQEEKDSPDYASGTQKKVTGEVIRWNNVTGPEKIDALKYIELLEAEVEELNRQMERKSGNGYNELLEYLKTLEPQNIKELTSTAGEDVVLAMNSFIKRLLAVSDPSQMKTSVTETSAPELAKLLYWLMVVGYSIRNIEVRFDMERVLGTSPKLAELPPGENI >Solyc01g097320.3.1 pep chromosome:SL3.0:1:88084903:88098304:-1 gene:Solyc01g097320.3 transcript:Solyc01g097320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELGQQTVDFSALVSRAAEESYVTLKELVEKCKSSNLSDSEKKIGILKYVVKTQQRMLRLNVLSKWCQQVPLIQYSQQLASTLSSHDTCFTQAADSLFFMHEGLQQARAPIYDVPSAVEVLLTGSYDRLPKCIEDVGLQSTLNDDQQKPALKKLDTLVRSKLLEVSLPKDITEVKVSDGTVLLRVEGEFKVLVTLGYRGHLSMWRILHMELLVGERSGPMKLDDFRRHALGDDLERRMAAADHPFMTLYSILHELCVALVMDTVIRQVQTLRQGRWKDAIRFELITDVSTGQAGSAGSTQTSQDGESDSASLRTPGLKILYWLDLDKNSGTSEIGTCPFIKIEPGPDLRIKCLHSTFVIDPLTGKEAEFSLDQSCIDIEKLLLRVICCNRYTRLLEILKELEKNSQICRVPSDIQLQCHVEEMLGDSRKKDNKFDSREYQGQEVLRVRAFGSSFFTLAINIRNGRFILHSSKNVISSSVVVECEEALNQGSMSAAEAFISLRSKSILHLFACIGRFLGLEVFEHGSAAVKVPKSISSGTNLLLMGFPECGSSYFLLMELDKDFKPVFKLLESRSDSPAKAQSLADLSNVVRVETIDVGRMQICEDELNLSLLNSKKLLSVLRSDGGSHQTSENSLLADFSLEGSIVASGVQSTFLSIVDEVFELEKGSSVPSFSGQIPPSTFGASPASHFGTGVANYQSLKVGTLSPKWDRGVGNYSNSMYKGVIQSGSVGSLAATQTGKKLTASKSEQDLTSLRSPHSAGVGSYTSLDEDQLTVSTNRSARLLSPPHRVSASSGKASGSRNSAVGTLPGGFRTADSNSLVLSPGSQTIDSATCIKSEQDAASGYNILPRKRTLSDLLDSLPSLQSMQSNEGSYKRRKLVESAGTHLPKSMMLTSSDISGKTEEYSYGSLIAEANKGNAPSSIYVSSLLHVVRHCSLCIKHARLTSQMEALDIPYVEEVGLRSASSNLWFRVPFARDDTWQHICLRLGRPGSMYWDVKINDQHFQDLWELQKGSNSTPWDSGIRIANTSDADSHIRYDCEGVVLSYYSVDADSIKKLVADIQRLSNARTFALGMRKLLGARADEKFEENNANSESKAPAALKGTTDATDRISEQMRKQFRIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAAPVSGVPGVTAPISSVAKQTGYVPSLPSNVNSSINQPAPGAGVNPVSASVGTLGAHSHPSAAMLAAAAAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKKFAVDMRCFAGDQVWLQPATPPKGGPEVGGSLPCPQFRPFIMEHVAQELNGIDSNFTGSQQAVGVPNSNSLNAGSQLPAANTNRTNLSNSTGLARPANAVTGFNRTANGLPAASNLAGVNAGMPLRRAPGTGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVISVKRFHQSQQQQQQNPGSAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLSQVQGGDMVPTQKSRIELCLENHAGYSIDGSSENTSASKSNIHYDRAHNSVDFALTVVLDHAHIPHINAAGGAAWLPYCVSVRLRYAFGENPNVLFLGMEGSHGGRACWLRVDDWERCKQRVARTVEVNGNSAGDANQGRLRVVADSVQRTLHAYLQGLRDGGGVAAGIGS >Solyc08g007350.2.1.1 pep chromosome:SL3.0:8:1917809:1918516:-1 gene:Solyc08g007350.2 transcript:Solyc08g007350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTYGIEFYGDEIQVTVSKNAVVVNDWILETVHLHRRRHHKLVVGLDIEWLPCFNPDENHPVALLQLCVGRRCLLFQLLHRDGVPAYLAEFLVDSNVKFVGVGVEGDAEKLLRDHKLFVLNTVDLNRLALSVYGEEVYGKMGLKRMAKEVLGKVMEKPKNVTLSKWDAEELVYEQVEYAAIDAFMSFEIGKNLLNLVWKRERERQYLNCHYQPPPLLLLQHTRGMFQTFALFSV >Solyc06g008220.3.1 pep chromosome:SL3.0:6:2096562:2099970:1 gene:Solyc06g008220.3 transcript:Solyc06g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTAAAMMAGSATPFPSTSVYLAAGELSLSIPVPKPTNFAGRSSTFRRNSILHSIRVENPNSGRVNTIVCKANRSAYSPLNSGSNYGDRPPTEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLAKVVGSEEEAKKKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPENKDYGAELFVNGEIVQRSPERQKRVEPVPQRAQDRPRYNDRTRYVRRRENTR >Solyc01g088350.3.1 pep chromosome:SL3.0:1:82999760:83008952:1 gene:Solyc01g088350.3 transcript:Solyc01g088350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRGKAMVTLPTLVRAMRRRTSNHMPSNQPLPSLRRAFSLYDQINLIDNVPEDQIRFKQVTDTGFGVKGVHYEGSLLCVGNLLMSWHPKKLSEVTSESLSIFQTVRPVPEILLLGCGKYIQPVNPELRAFIRSTGMKLEAVDSRNAASTYNILNEEGRIVAAALLPYGVES >Solyc02g068390.3.1 pep chromosome:SL3.0:2:38909031:38912386:1 gene:Solyc02g068390.3 transcript:Solyc02g068390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFQYLSQWKSKANDGIQQSLLIAKFLCLLHVTSNYVFSPVMVYGPSMLPTLNLTGDVLLVEHLSPLFDKLGPGDVILVRSPDNPRKTVTKRIIGMEGDTVTFLADPSKSDRYISLKVPKGHVWIQGDNIYASKDSRQLGPIPCGLISGKVLYRVWPPECFGSL >Solyc02g080870.2.1 pep chromosome:SL3.0:2:45528403:45530393:1 gene:Solyc02g080870.2 transcript:Solyc02g080870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVLFTVIFAEVAVILLLIFKTPLRKLIIMGLDRVKRGRGPIVVKTTGGTIFVVMLSSIYSVVSIHKRWIDEDGNVTPTDQILMAQHLLEASLMGFSLFLGLMIDRLHHYIRELRMRRKSMEAVNKQNRGFEDGKNGASDDMKSLEEEAGALRLKIKKLEATVEEKAKESSDAEANVVALKKQSEHFLRENDSLHEENQNLRAQLQSLDQRLSNSDVRKAS >Solyc01g044547.1.1 pep chromosome:SL3.0:1:44449730:44451015:-1 gene:Solyc01g044547.1 transcript:Solyc01g044547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPAYDATPTPSPPNVASPSSQESSSEIPHMMKSIQELYDDTEEITNFDFLFHSNGDILLVCLYVDDLILMGNNPALFKAFNKAMSLEFEMTDIGLMSYYLGLEVKQMEEGIFISQASYTKDILKKFNMFDCNPSLIGSLRYLTCTRPDIIFAVGVVSCFMEDPTSTHLKVAKRILRYLKGTIELGLFYSSSDDFNLVGYCDSDYEGHVYDRKST >Solyc06g073455.1.1 pep chromosome:SL3.0:6:45414440:45415526:-1 gene:Solyc06g073455.1 transcript:Solyc06g073455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIALEMRLSFLLLNENNFLKCVSRFSSTEECSFATPRKDRDPGKETTAQCMPQLEPSISALLSHLQDHHLRYYKMYQH >Solyc08g068760.1.1.1 pep chromosome:SL3.0:8:57958933:57959400:1 gene:Solyc08g068760.1 transcript:Solyc08g068760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSKNCYTICSTDEANLLPLPPSTAVVRSFDVATNSQGMATALGYPFTWAQWKELDRQAMIYKYMVSAMSVPPDLLLSIFSGASHTTSATGSVQGQRYTTNIRDLEPGRCKRTDGKNWRCSRDVAPHKKSCEHHMHRGLSILKDGNLIQVYIYF >Solyc07g038137.1.1 pep chromosome:SL3.0:7:45613624:45615074:-1 gene:Solyc07g038137.1 transcript:Solyc07g038137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVCGLPCRFPSNLGFVEYIQQTYNPDYRGFSRNTVKTDVFEYQGVKGLVDTFYETLEILPEETPDCETCKSSIKIEAKVLYEKYRTSENFEGEVGQTSNVEIDLSVPISCYMRGFLGLNSTNRDDFEEYLNQSLENLEIKDGNEDLLGWWSRRSDAFPTLSKMVRDILAIQASSVAS >Solyc04g025670.3.1 pep chromosome:SL3.0:4:21278130:21283436:1 gene:Solyc04g025670.3 transcript:Solyc04g025670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYFREGGARLPVRWDKTVIVVMNEVRVSSPYLPESVAGGTPAANERVRKVLELERKRLQSRNTGQ >Solyc06g083500.3.1 pep chromosome:SL3.0:6:48949178:48953276:-1 gene:Solyc06g083500.3 transcript:Solyc06g083500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFSCFSFNENKTSNNKKKRKETSTSVHPHRENLSPEQAQPFSKTKTQHKPHSQPPQRTHPENIINQTVGNYKKDEENKNIAAQTFTFRELATATKNFRQECLIGEGGFGRVYKGHLDRTGQVIAVKQLDRNGLQGNREFLVEVLMLSLLHHNNLVNLIGYCADGEQRLLVYEYMQLGALEDHLFNVSGEGSPLDWFTRMKIASNAAKGLEYLHHKANPPVIYRDLKSSNILLDKEYNAKLSDFGLAKLGPMGDNSHVSSRVMGTYGYCAPEYQRTGQLSVKSDVYSFGVVLLELITGKRAVDPTKNGHEQILVAWAEPIFKDTSRYSELADPLFKEDVPKRSFNQAVAIAAMCLQEDPTVRPSISDVVTALTFLWAESGKGFGSPVSPVSTSLPVSSSDNEESHEEKKIIEREKAVAEAIEWGSNSRTQNERLP >Solyc09g009090.3.1 pep chromosome:SL3.0:9:2427396:2442615:1 gene:Solyc09g009090.3 transcript:Solyc09g009090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSTRRSNYTLLSQVADDNYLPPPPKYSVTGGGGGGGGVAPYYESHSGEKGKGKTGDNRGFDWDLSDHRSNMMQASNRIGAAAFPGSIGLQRQSSGSSFGESSISGEYYMPSLSNAEASFGYLNDGGGGAEVRMKPLEANLFGGSSSKSWAQQTEESYQLQLALALRLSSEATCADDPNFLDHVPDESASRASASAASAETLSHRFWVNGCLSYFDKVPDGFYLIHGMDPYVWIVCSDLQENARVPSIESMRAVDPSVVPSVEVILIDRRTDPSLKELQNRIHSLSPTCGTTKEVVDQLAQLVCSHMGGATSAGEDELVPLWKECSYELKDCLGSTVLPIGSLSVGLCRHRALLFKVLADAIGLPCRIAKGCKYCNRADASSCLVRFGPDREYLVDLIGSPGCLCEPDSSLNGPSSISISSPLRFPRFREVEPTTDFRSLAKQYFSDCQSLNLVFEESSAGAAVDGDAGQTDRNNIERNSAVTGPSNRDEVSRLPVPAIRDMAPVKYVRPVLHGDTQLSDPRDIGNDMRFLERGSQLVPSKISRDIALEIEDFDIPWEDLVLKERIGAGSFGTVHRADWNGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTQRPNLSIVTEYLSRGSLYRLLHKPGAREVLDERCRLSMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLRDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVSFKGKRLDIPRDLTPQVASIIEACWAKEPWKRPSFAAIMDMLRPLIKPPVTPPQPGRTDTQLIA >Solyc01g028983.1.1 pep chromosome:SL3.0:1:39353879:39355924:-1 gene:Solyc01g028983.1 transcript:Solyc01g028983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGPLFDMLRSCYSLANAQMVWFTVQVCVIFSEKWWLFRKITFSSSLLKASGYSLDDTEALEVVVKHELQRDKLSVQCRHSFWRGVKVEIGGSTPSPNNFDPPRPSKKLEFIQRNTTSWGRSSPIWDWLS >Solyc11g069800.1.1.1 pep chromosome:SL3.0:11:54723549:54725081:-1 gene:Solyc11g069800.1 transcript:Solyc11g069800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AOS2 description:Allene oxide synthase 2, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q9LLB0] MALTLSFSLPLPSLHQKIPSKYSTFRPIIVSLSDKSTIEITQPIKLSTRTIPGDYGLPGIGPWKDRLDYFYNQGKNDFFESRIAKYKSTIFRTNMPPGPFITSNPKVIVLLDGKSFPVLFDASKVEKKDLFTGTFVPSTELTGGYRILSYLDPSEPNHEKLKKLMFFLLSSRRDHVIPEFHETYTELFETLDKEMEEKGTVGFNSGSDQAAFNFLARSLFGVNPVETKLGTDGPALIGKWILLQLHPVITLGLPKFLDDVLLHTFRLPPILVKKDYQRLYDFFYTNSANLFIEAEKLGISKDEACHNLLFATCFNSFGGMKIFFPNMLKSIAKAGVEIHTRLANEIRSEVKSAGGKITMSAMEKMPLMKSVVYEALRVDPPVASQYGRAKQDLKIESHDAVFEVKKGEILFGYQPFATKDPKIFDRPGEFVADRFVGEEGEKLLKHVLWSNGPETESPTVGNKQCAGKDFVVMVSRLFVTEFFLRYGTLNVDVGTSALGSSITITSLKKA >Solyc02g082840.3.1 pep chromosome:SL3.0:2:47036619:47048058:-1 gene:Solyc02g082840.3 transcript:Solyc02g082840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFNPYFSEAKEEIMEGDISSGAADNHTEDASKTEAQFNSFNIESDHVKDNGICESHEHTGDSHDDLVQMLVELNFQNEYLKSQIVGWQSINIYSDDSGQQIKTAAHENVASDNSEDLNEKIESLNRELLEEKQTRGAAEVALKHLQTLYLEADTKAQELSVKVAEVQNKMDQEIKERDEKYSELDSKFNRLHKRAKQRIQEVQKEKDDLEAQFRDVNEKSERASSQLSALQQELERSRQHAQEALKAMDLDRQQLRSTNNKLRDNIEELRRSLAPKENALEASQQALLEKEQKLEEMQALLQAADEKRQASIEDLSSKHQKQKESLEAQIAEALAERSKAAETISSLRTVVAEKEFKIAEMDAASTGEAARLRAAFETVKGDIVHLKSEQEKEKESWETTCHSLRTKLEIAESNCIRAEIEAAKFRSQLESQLSAQSQVLNSKEAELMAAKEEINRTQSEFSSYKARAHALLQRKDAELAAARDSEQLKALEEALKEVEKEVMSVSSERDRALQDLKSTLINHEKELSDRDEALRATEQRIRSMEMKFNSAISAHQKEKEAWEISLQNVEETWRLKCDAFKAESVVTSGDNVQKELDDLKQSCKKLKEELDSFRDLADKMIEEKDNEISRLLDDNENLRHKLQSEFLADRNGNSNTVYDKQDPLNSTTSAADQQILVLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAKLKEELRNMDRSQKREGVDLTYLKNVILKLLETGEVEALLPVVAMLLQFSPEEMRKCQQAYRSSIEAQASPSKDTSGSGSSLFSRFSFS >Solyc10g018300.2.1 pep chromosome:SL3.0:10:7329723:7332926:-1 gene:Solyc10g018300.2 transcript:Solyc10g018300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAPMGHILYDEVMKYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVQEDDLKSFRQWGSKIPGHPENFETPGVEVTTGPLGQGIANAVGLAVAEKHLAARFNKPDAEIVDHYTYVILGDGCQMEGISNEACSLAGHWGLGKLIAFYDDNHISIDGDTEIAFTEDVSARFEALGWHVIWVKNGNTGYDEIRAAIKEAKSVKDKPTMIKVTTTIGFGSPNKANSYSVHGSALGAKEVEATRNNLGWPYEPFHVPEDVKSHWSRHTPEGAALETEWNAKFAEYEKKYAEEAADLKSIITGELPAGWEKALPTYTPESPADATRNLSQQNLNALAKVVPGFLGGSADLASSNMTLLKMFGDFQKNTPEERNLRFGVREHGMGAICNGIALHSLGLIPYCATFFVFTDYMRGAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMFRPADGNETAGAYKVAVLKRKTPSILALSRQKLPQLAGTSIEGAAKGGYIVSDNSSGNKPDVILIGTGSELEIAVKAAEELKKEGKTVRVVSFVCWELYDEQSAEYKESVLPSSVTARVSIEAGSTFGWQKFVGDKGKAIGVDGFGASAPADKIYKEFGITAEAVVAAAKQVS >Solyc07g054700.3.1 pep chromosome:SL3.0:7:63063489:63064161:-1 gene:Solyc07g054700.3 transcript:Solyc07g054700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTQELQFINKLELITKYNFVYSIIMVKARNYSINYLILVLSFLLILTSYQGLAVFFKGTNIVNPDCTRIYAAQAGDTCESLIEFFDLNAQVFNDINPNLNCDNIFVGEWLCTNGTIST >Solyc02g072160.3.1 pep chromosome:SL3.0:2:42040193:42048176:1 gene:Solyc02g072160.3 transcript:Solyc02g072160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCYFSVTKPSSSSTILNFQGVSSLGGRSSQKSLGAPSHLSWPLSGPNKKSQNFVYRRKTFKGPILAEAGKQGWDFGRFIKTLYFFNGPPSPAKFFESLIEKLTGPSPSKPVNSMDSSGITLVTGATGGVGRRVVDVLRNKKLSVRVLVRNEEKARRMLGEDVDLVVGDVTKASTLLPEYFKGITSVINAVSVIVGPKEGDTPDREKYSQGIKFFEPEIKGDSPEMVEYVGMKNLINAVKKNLGIRTGKLLFGYEGNSFKELPWGALDDVVMGGVSQSTFQIDLTGGENGGPTGLFKGVVTTANNGGFASIRTKNFSEPEDLSAYDGLELRLKGDGRRYKLIVRTSSDWDTVGYTAIFDTVEGWQSVRLPFSSLRPIFRARTVLDASPFDPSQITSLQLMFSKFESDGKLNPTFKEGPFELPVSCIRAYLKDPITPRFVHVSSAGVTRPERPGIDLSKQPPAVRLNKELGFILTFKLKGEDEIRESGIPYTIVRPCALTEEPAGADLIFDQGDNITGKISREEVARICVAALKSPYACDKTFEVKSVIPFSEPYTVDPENPPPEKDYNEFFKTLKDGITGKESLEKTPISV >Solyc08g068030.1.1.1 pep chromosome:SL3.0:8:57183378:57183818:-1 gene:Solyc08g068030.1 transcript:Solyc08g068030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSQILMVNIIISLLHMDGLSLNLTPCASSSTSSESSNISKEQQFEDAAQDGQNKTIVMLIGPLLNILRQYLPSSNSEKDNNWKVNVSAGIKEAIEWRIMNAKRSIEDWEWRLSILQCLLPFSERQWRWREALTILRAAPSKLLNL >Solyc02g081670.1.1.1 pep chromosome:SL3.0:2:46097634:46099001:1 gene:Solyc02g081670.1 transcript:Solyc02g081670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ANANTHA [Source:UniProtKB/TrEMBL;Acc:B6E0Z0] MEAFHHPPISFHFPYAFPIPTPTTNFLGTPNSSSVNGMIINTWMDSRIWSRLPHRLIDRIIAFLPPPAFFRARVVCKRFYGLIYSTHFLELYLQVSPKRNWFIFFKQKVPRNNIYKNVMNSSNSGVCSVEGYLFDPDNLCWYRLSFALIPQGFSPVSSSGGLICFVSDESGSKNILLCNPLVGSIIPLPPTLRPRLFPSIGLTITNTSIDIAVAGDDLISPYAVKNLTTESFHIDGNGFYSIWGTTSTLPRLCSFESGKMVHVQGRFYCMNFSPFSVLSYDIGTNNWCKIQAPMRRFLRSPSLVEGNGKVVLVAAVEKSKLNVPRSLRLWALQDCGTMWLEIERMPQQLYVQFAEVENGQGFSCVGHGEYVVIMIKNNSDKALLFDFCKKRWIWIPPCPFLGNNLDYGGVGSSNNYCGEFGVGGGELHGFGYDPRLAAPIGALLDQLTLPFQSFN >Solyc01g017337.1.1 pep chromosome:SL3.0:1:23856885:23857137:-1 gene:Solyc01g017337.1 transcript:Solyc01g017337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTWIVFAIYLHTRTNRNLRGPNSTIVASIGFLVIWICYFGVNLLGIDLHSYGSFPSTFN >Solyc07g043460.3.1 pep chromosome:SL3.0:7:57374067:57376529:1 gene:Solyc07g043460.3 transcript:Solyc07g043460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAIFIALAIFFPFTFWCLKLLYFVWWRPKTVENELRHQGIYGRPYRFLFGNLKEMIEMNKIAKSKPMPLHHDFTPRLNPLFYELATTYKKLYLFWLGPIPRLTILDPKLIKEVLSNKSGEFRKPKISAFLKLFVTGLGTYDGEKWAKHRKILNPAFHMEKLKVMLGLFVECTDDMISRWDKLTGSTGSCELDISQEFHNLTGDMLSKAAFGSNFEEGKLVFSLLREQCELIFTAKLAINVFPWLRFVPTKTNRRRLYIYNTVRSSLKSIIEKREKEVQSGKSHNEDLLGLLMKSNQEEQQGNKNSNKGMSTEDMIEECNSFYFAGQETTATLLTWTAIVLTMHPDWQEKARKEVLQVIGKDEPKFDQLNHLKIVTMILHEVLRLYPSGSLVRETNKKTKLGGYTIPAGAQLLVPLQTIHRDTEAWGEDALIFNPERFSEGVSKASKDLMYFPFGWGSRICLGMNVSMIQGKLVLAKILQNYSFELSPSYAHGPTMPALVLQPQYGAPMIVRKLE >Solyc10g074645.1.1 pep chromosome:SL3.0:10:58259535:58260343:-1 gene:Solyc10g074645.1 transcript:Solyc10g074645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRLPETISEELDINLASYESCIHAYPGLNIVLLKSGDEYLDPCLTCDDHLGSAVMCDIAIDTFSEFFLVELGTYETCSCSLLVCLIGQYSTINDLVHPTNNCTITQKKEKLTE >Solyc09g090850.3.1 pep chromosome:SL3.0:9:70724930:70729005:1 gene:Solyc09g090850.3 transcript:Solyc09g090850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDHIMANGKKSTKKIMVVADPTRESASALQYALSHAVAENDTLILLHVGNPNAWRNLLGALIKKPLPNSSTSTSTSTSTSPSDGSPFSTFFRKSLTNITSPPPTSAASHGAAEDGGDDVKGCTGKGYMDFLGTMKHACEVAQPKIKVCTETVDMDGNAKEKATVILAKSASFGIDILVIGQRRSLSNVILRPKRSVSLRGLGLDMADYLIDNSKCTCVAVQKKGQTAGYLLNTKTHRNFWLLA >Solyc09g014625.1.1 pep chromosome:SL3.0:9:6426329:6433838:1 gene:Solyc09g014625.1 transcript:Solyc09g014625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRFDYEFILRGLMKNYNWVVRIHHSSAKDSSVSLNKEIIQSGLADTDPKSIAIIKITVCGIEWISIVWYEKILPFGLADTYPKGLVRNRKNPEGSIVEGFSAVDCLNFIAIYLPNTVKTKLSRCEIEDDEYIQTEEGGVSHLFPKTGHPIGSENIRKGKILNMEQHELFEAHRYTLFNTGDEQVEAFIKEHKSLTDNRTRGNAWVKAQEENCPNIEETFWREPNDDIGSSERLVDVDVRWSREDLPVDIIDVPSIAQHSQDEAMETSEEEDDFDDTD >Solyc11g005690.2.1 pep chromosome:SL3.0:11:509994:526233:1 gene:Solyc11g005690.2 transcript:Solyc11g005690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDSQNQLIEAKRAYKSAKAEGNRKEEARWANLIGDMLKNRGEYVEALRWLRIDYEISLNYLPEKQLLPSCQSLGEVYLRLQDYEHALTFQKKHLELAKDENDLVEQQRASTQLGRTYHEIFLKSDDDHDSVRNARKYFKLSLNLAKTLKKNLQSSKHSFVKEYIDAYNNIGMLEVDLDNLEEAERILSKGLEICDEEELSEDDDGRSRLHHNLGNVYTELRNMNKAREHIEKDITICHRIGHCQGEAKGYINLGELHYRIQKYDEAMKCYEWALKLAKSMEDEDALISQANQNIEIVKEARKVMDEIKIGEQSLKKLSREIEIARGTEGERKCLLQQNSALDRLIEKCSAIFAWVKHCKFAKKKKQTAIQLCDKEKLSDSFLAIGESHQKLRHFDKALKWYNKSLDTYRSIGNLEGQALAKINIGNVLDCNGNWGGALAAFEEGYRIAIQAKKSSIELSALENMHYSQMIRFDNVEEARKLQSSIDKLKQSKIGDLEAENVAGDCCSESETEVGNLSPITSYDSITPKKAKRGFKKSKSYSSEDEFQDLPLKSLIRPSKNLSKLKSTYVETPIATTELPDSASPSISRPAGSQAVGRKRVRLVLSDDEDDNEDVYSSSRIVSTPLEGEMGHCSGNISHKCSVEAVATSDESKDTKHQCSLSHELKVVSPVGSGCAVSACTPINLEESTCSDKSRTPELGLRDDKDFTYSSTKRSAPKFSYGACGRELDADVSGNGSISDLTPHACGEHCQHILFRVVNNVVHVKWDSGNAGTKLSLEQMKVEVACLFYLQLSAEERSKGLVPVIQHMMHEGRVIESLEAVNILKDNMAGKACIEVSIDAQPPILKVLKMLYNQEVSEDEVVVSDCELQDISVTPLINALYVHKTFAVLDLSHNLLGNGTIEKLKQVFIASGQKYGGLTLDLHCNRLGSTALFQICECDVLYARLEVLNLSGNRLTDACASYLSTILQNCKALYSLNIEQCSITSRTIQKVADSLTSGSVLTHLSLGHNQPVAANVVLNLLVTLTNLKRFQELSLKGIKLSKPVIESLCQLIKSSCLSGLLLGNTSIGTDGMLKLMQSLSRESQELKLDVSSCGLTPDCIVRLNAEVSVFNSIVELDLGGNQLKQEGGRALAAAVSNPQCCFRVLLLQKCQLGLLGILSILKGLSDNYYLEELNVAENADQNKIHALLHDPCSNVLQTDIILLEHTSEVSAANAKEGCQEGLCTLSTDYNQLEAPDSEDEQVEVDVTDRATNQSCIKNHSNLDEYEYIQELPVAIQMAKNLQLLDLSNNGFTKQLTESLYAAWGSSSRSGSSRGHIEGNTVHLSVEGVKCCPLKPCCRRI >Solyc09g075290.3.1 pep chromosome:SL3.0:9:67445210:67447594:1 gene:Solyc09g075290.3 transcript:Solyc09g075290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLVAGGKSKKTKRIAPKSDDVYLKLLVKLYRFLSRRTGSKFNAVILKRLFMSKINKAPLSLSRLVTYMTGKEDNIAVVVGTVTDDVRAYEVPKIKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNSREAVKHFGKAPGVPHSHTKPYVRAKGRKFERARGKRNSRGYKA >Solyc04g049120.3.1 pep chromosome:SL3.0:4:39640385:39650644:1 gene:Solyc04g049120.3 transcript:Solyc04g049120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPEPLPWDRKDFFKERKHDRWREPTPHHHYTSSRWNPDYRSRATSGHGGKQGSYHMCPEEPGHGFMPSRSNDKIVEDESNRPSRGDGGRYGRNSRENRSFGQRDWRGGHSWEAASPSGSARQNDATNDQRSMDIAVPHSLSHPHSEHVNTCDQSHSREQHNKSGSINGTASVGQRFERESSLGSIEWRPLKWTRSGSLSSRGSLSHSGSSKSMGVDSNETKPELQLGNSKAVKSLTGDATACVTSATPSEETSSRKKPRLGWGEGLAKYEKKKVEGPEDNAVKVGASISGDSAEPGHSQPLNLADRSPRVAVFPDCPSPATPSSVACSSSPGLEDKQLVKATNIDQDVGNLCGSPSVVSQYYSEGSGFNLENWDLAQISNLNSSINELLLSEDPNSVDSGFMRSTAVNKLIVWKSDITKALEKTEVEIDSLENELKTFISGPENNQLVPSASCSPPKDCYANSQEDQGATSNTASRPAPLLVDIPDDLMGQEEADIHGNEPAEVKVEDIDSPGSATSKFVQLPSEKSVEPVVSMRHGGMLISDDSMSRRLNVNMCSITEEKAKSRSSDLKLCNFNEEKARDAIACGESSQPTANHSDSSSNGSSNCGKDALYNLIIAANKDSAERAFEVFKNQLPASKCSFDFSRAVRGSSFQIDPAVKERFVKRKQFQQFKEKIIALKFRVHQHLWKEDIRMLSVRKFRAKSQKKFDFSLRPVQIGHQKHRSTIRSRFSATVGSLSLVPSSEILNFASRLLSELGAKVYRNTLRMPALILDKKERKMSRFISKNSLVADPCAVEEERGLINPWTPEERENFIDKLAAFGKDFRKIASFLDHKTTADCIEFYYKNHKSDCFERTRKKSEYSKQAKVCSANTYLVASSGKRWNREANSVSLDILGAASALAANVEDSIEIQPKGMSKYSVRMVNEYKASRLNELERSNSLDVCHSERETVAADVLAGICGSLSSEAMSSCITSSVDPGEGNQEWKHLKVGLSTRLPRTPEVTQRVDDETCSDDSCGEMEPTDWTDEEKSTFVQAVSAYGKDFVMVSGCVGTRSRDQCKIFFSKARKCLGLDKILPGSGNLDRLDMNGGSDPDACVMETKKSSLMLENVSDLCMDAGILKPDLTSSDDRDEAGELDSVDTELVSKNSVQVNCHVDKQEVDFNRDCEIQIGVCIGSGQGDEDLITVSREGVEIDGDASEIGLPYIPCEVSTKPLGEEIRGVVSSPVHDLKNRKAEKTEVSRSNCSLEDRKPNMVLFGNNSRLAAARGGGLCPLNGSRNMTQLESDSECKLDVNYLESNISFQRKQISEASNADKLSELELENVGDKQCENATQSAEQPLSSTSRSAQVESCQILGSYLLGESTLTENGDPGCRASAALQEVQVGRNLQLDTFSTTCFLQKCNGTNRGGCSVSDLVPNREQTGSSSSVVEKPCRNGDVKLFGQILSKPCPKANPSSNAEPIDGSNQMLKVGSNSFSASHSLEGNSATAKFERNNFLGSENHPLRSFGFWDGSRIQTGFSSLPDSAILLAKYPAAFGSYGLSSTKMEQPSLHGVVKTTERNLNSPPVFAARDSSSNSAVAGSDYQVYRNRDVQPFTIEMKQRQDAVFSEMQRRNGFDVVGIPQQARGVVVGRGGILQCSGVVSDPVAAIKMHYAKAEQFSGQAGSIMREDDSWRSKGDVSR >Solyc05g041117.1.1 pep chromosome:SL3.0:5:50437498:50439298:1 gene:Solyc05g041117.1 transcript:Solyc05g041117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFINSLKAKLLETKLVHLKMVVYSNKYLSFSLAKRYDESKGSATSGQAKRRRKTNVFEGND >Solyc02g084230.2.1 pep chromosome:SL3.0:2:47945038:47949359:-1 gene:Solyc02g084230.2 transcript:Solyc02g084230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDHNNFTIKTYMAIMAERDAAIRERNMALEERKRAFAERDMAMLQRDAALAERNALIQERNDAIAALRLQDSSTNDNNMVPDSPGNGTESGAKHIYNQQQMYRTTADAAHGSTEDPAAGYLKDTDTSEAKIPKKVKRPKESRHNKQAKIPRVGKISTDSLSMQVIATTSDDWVNLQEMDSDKEGDTQLTSWKDNLGLKINFDDSAMPVPVCSCTGTPQPCYKWGHGGWQSACCTTTISMYPLPQISNKRYSRVGGRKMSGGAFSKLLNRLAAQGYDLSIPLDLKDHWAKHGTNRYSTLKYVGKCVLWMKESVL >Solyc04g081640.2.1 pep chromosome:SL3.0:4:65690604:65692592:-1 gene:Solyc04g081640.2 transcript:Solyc04g081640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFFFKEPTEKIVELTSRKIMKELEDDRSKEILPEWSFLLASKDNDKHIRRSLKLIHKIIEEDPDEFVNRKKGFSRMIEPWITKDYVQKKGLYTKMWTKFGKGIKLGIVKSDVNRHEFANFLRFE >Solyc07g049380.1.1.1 pep chromosome:SL3.0:7:59777649:59779880:-1 gene:Solyc07g049380.1 transcript:Solyc07g049380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKLKKAIAAVKDQTSISLAKVSTNTSSTLEVAVLKATTHDDGPVDERYIHEVVQLVSSNKAYAAACARAIGKRIGRTRDWIVALKSLILVLRIFQDGDPYFPREVLHAMKRGAKILNLSNFRDDSNSSPWDFTAFIRTFALYLDERLDCFLTGKLQRRCNYNERENSNYLRSCSDSSRSRSRSRTNEAIREMKPAMLLDKISYWQRLLERAIATRPTGAAQTNCLVQGALYAVVQESFDLYRDISDGLTLVLDSFFHLPYQTCVNAFQTCVKSTKQFEEINSFYSLCKSIGVGRTSEYPSVQIITDELIESLQEFLKDKSSFPAKSSGDVLLQRPGSMKSSRSRFDSYGGQSEFSEPYSDRSPTMSGNGSPCSSLEDLIRATVTGKSPSISIDLEAYSDIRFKKQFIDDICDTGSARSLPVSMIDLVSLSEDNGNIDNEYEQVQDQKQQPVVGKAKEPNFKEAKPKQEQIKEKRKSTLSSSSSKGWEAVLNEALTPSSTSINAFQEPKEVLRNETNVSPVKASSSNAWDLALFEATPLTNSVQPMPNTVANNIHSYDTSTLASTLPSFNALQENQELEQVSRNGANSGWDMVLFETSPQTTAAQPMPSTPNNFNSSYLDELYNQNSSTLVPISGLKPNINTNNYNQIPVTESANHYNPFLQDTSTEQPATPSTPLPTFLATPTFIGQNSSSAEQNDMSFDPFGTFPSSDQMLNGGVNQKDFLDEQKLWLQNQNKIIAKHRS >Solyc02g067465.1.1 pep chromosome:SL3.0:2:38228397:38229121:-1 gene:Solyc02g067465.1 transcript:Solyc02g067465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQSTGLAKVVNPCLHTGQRIQSVLSTHGDDVLIGREAVIHLSPNSDLWSGTLKLKIVFNPGAASSTVMVVKS >Solyc02g068873.1.1 pep chromosome:SL3.0:2:39329281:39329685:1 gene:Solyc02g068873.1 transcript:Solyc02g068873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAFTRRRPNDEMFTGDLILKHWVNDSLPTRVAQIVDADLISQKEEPLHAKMQCFISVMELALSCTSVSRDARVNVKEALLGLKKACFVYLLTSRLYTSITVKLFS >Solyc09g005960.3.1 pep chromosome:SL3.0:9:659101:663546:1 gene:Solyc09g005960.3 transcript:Solyc09g005960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRRLNPRVPHLLLSFFCSSILLSSLPELITAAVVTLDSIEIYNTHEFFGSSPEVYFKCKGENRTIYLPDVKKKHELYIFKGEESWQPLTELEEKKCKRCGIYEKDSFVKPDDIFDEWEFCASDFTSADGKYIHFKEKEFNATFLCPDCLPLEGVASNHTDVPRNKEKGMHWALVLLICVLVTTFIVFGAVSAYKYWQKRKKQQEQARFLKLFEESDDIEDELGIGPLSHVI >Solyc02g070920.3.1.1 pep chromosome:SL3.0:2:41056088:41070017:-1 gene:Solyc02g070920.3 transcript:Solyc02g070920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLHPTSYWKSSSKTACFSCWLVLLWEKFRLEGCVKCLRSSVCGGSPCSLGLYRSAYFHSGPKAKAILVWISDWVLDRRNYCSAAGVHRGV >Solyc02g081590.3.1 pep chromosome:SL3.0:2:46038293:46041280:1 gene:Solyc02g081590.3 transcript:Solyc02g081590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKELFGKRLTAFYNSLIFNKKDSWGNSDAVVIHSQQQENPNSLSSQFYLWLMGEKHDDTTVIFTPIGIFFFCTQKNYSKIRSLSCCATVMSKQPVSVQLKAKNDEGFAFIDSTIRQARVIRNSKGFTGVFDDDCNWPFVVGYIEGEYPKSKFFWNCMNDLEPKKYKVITVDSGINKMIHAADKPISGRELEFLQSLFTTFCPEDEKQLFFRGNQPGLLVKEKNDLESKFGRVGLSEKRRSNLGSEFDKTRLFCGDKSVSGVDDLTKNDLDFLEMLGSIERFSLPREERDKYKVANMSRDHKAGNKNGDQVFKSGSSILDGRKDKLKEISKHVDDVFKFGSSKLDAKDFKSKTFGERKKDVDQLFQFGEQCKIGEKRGRNNQPQLVMEENKGTKDVEQLTEKITAFYSSWRKYKEEKWGKADVLVITSPSVAPVEDWSRSFLVWLLDGEFLDTTAVFTDTGIEFLCTKESFFRLRTIGICMTKIAKLTVSVQLKKRGEQCVDWLKKTLRQVNTAAKSKPNWCPLVVGCIFGESGEIEVLSRSRMFEVAYVNNALTDLLEQGNFVKAERFAAQQSTLPKQFQMLSLGTRGSANTANAVSFSELAKIRSMDDNSYLSEELLRDAKTPTDGRVDNGGPVEQTKPEENKEDNSSRKLADKMMLLDIKEGDVETSITEDSAEENPLCMLFNDENSPLLQVKERGEQSGPTAEGGIDYSVQPTGVIDAASESSLPDDNNGISRKDSVGSDDDWTLVEMECQGKETEVAERVSWGRWFMGKTGKSFGFKDEVTDEAPSKRTKADP >Solyc05g013070.3.1 pep chromosome:SL3.0:5:6165181:6172219:1 gene:Solyc05g013070.3 transcript:Solyc05g013070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASEGSSGSSAAAGAVEIPVVSTEKKKEKSRVSKTSQILWHAHHNDATAVRKLLEEDRTLVQARDYDNRTPLHVAALHGWIDVAKCLIDYGADVNAQDRWRNTPLADAEGAKKSGMIELLKTYGGLSYGQNGSHFEPRPVAPPLPKKCDWEIDPTELDFSNSAIIGKGSFGEILKACWRGTPVAVKRILPNLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTEKKPLMLITEYLRGGDLHQHLKEKGALTPSTAVNFAMDIARGMTYLHNEPNVIIHRDLKPRNVLLVNSNADHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGDPPLSHYEPYEAAKYVAEGHRPMFRAKGFTPELKELVEECWAPDMNKRPSFLDILKRLEKIKEALPSEHHWHLFTS >Solyc10g037910.2.1 pep chromosome:SL3.0:10:18003576:18006252:1 gene:Solyc10g037910.2 transcript:Solyc10g037910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTNVVCLKHCFYSTTSTNELFLNLVMEYVPETMYRVLKHYSNMNQRMPLIYVKLYTYQVFRGLAYMHTVAGVCHRDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSWFYRAPELIFGTTEYTTSIDIWSAGCVLAELLLGQPLFPEENVVDQLVEIIKVLCDKFLFTDANIVAVDISSKTMKDFQLALIMIYSNVI >Solyc07g021700.3.1 pep chromosome:SL3.0:7:21202605:21206101:1 gene:Solyc07g021700.3 transcript:Solyc07g021700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSNSSTDSVSIDVETIYLGGKEHIVRTGCGSVSVIVYGDQEKPPLITYPDLALNHMSCFQGLFFCPEAASLLLHNFCIYHISPPGHELGAAAICPEEPVPSVDDLADQIVEVLNYFGLRSVMCMGVTAGAYILTLFAIKHRERVLGLILVSPVCRAPSWSEWFYNKVMSNLLYFYGMCGLLKDFLLYRYFSKEVRGSAEVPESDIAQACRKLLDERQSINILRFLQAIGRRPDITQGLKKLQCRTLIFVGDSSPFHSEALHMTAKLDRRFSALVEVQECGSMVTEEQPHAMLIPMEYFLMGYGLYRPNQFSGSPRSPLSPSCIAPELLSPESMGLKLKPIKTRIESKLPGAQ >Solyc09g011690.3.1 pep chromosome:SL3.0:9:4952751:4955055:1 gene:Solyc09g011690.3 transcript:Solyc09g011690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLDDCEFWLPPQFLTDDDLLMDFKTTSPGSERSKLFGYGLSQFGSNSDLSSPVESVVGSTENESDEDDYIIGLTRKMVHSTLQEAGLGYENGKGLSLSGSPQSTLCGVLGAGCGCKQGSSRGSPTCPSQASSPPPMKRPDVSLDLLYAAAGEVARIRMMEESAGLYHNKGGIWSAPPRKTSPIPVGPKNPKQSLGPFGTNQPQLSYQQLQVAQFQRLKQQQMIKQVQGQGVLGNGKGGFRQFPLNQSHQQMTENRARNGTLNLPNSAWPTLQQSQQQQQHQPNSGSAMRAVFLGNPGPKRECAGTGVFLPRRVGTQTETRKKPGCPVILPDRVVQALNLNLEAMDVASRPQPQVQTRSHNENIGFTPAPEYRNYMSAAAQQRRSQRTQPPPAMPQEFQLPQEWTY >Solyc04g077560.3.1 pep chromosome:SL3.0:4:62607930:62617353:-1 gene:Solyc04g077560.3 transcript:Solyc04g077560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGAQTPSRSELLCMVKKNSKMLGQTIVEDETSDVETDPRFWHGVMDVYFIRGRESRGRQEDDLVFFVKKLHLQKHGSNENESANSPYFVRRWAPKLDDLIGASASDVDWRRSFYLNLIAQTSFSVTVAICSQQVLQNYQTGKDRPLSPIYKVVKTVYASPSRINFHLDSRKEVETTSAYPEICFAVDDFDSTFDAVVLTDVDHCYCVLLNAHDGAAFPRERIRQDCSPADTSSSDTSSGKAPTSKITLFSGFVSYQMVRDAYDAGRSGFGSLLSLHSVGKTDRIYMKGPGGRGEVEVAVSGVVDQSKQDLSQNSVDHDSKKGLSFSAVVRRAASVASEAAKHAYAAASATRDEGMIPLKCCLMSISLPWEHIAHDLLFKGSPPVNL >Solyc09g007260.3.1 pep chromosome:SL3.0:9:856583:859937:1 gene:Solyc09g007260.3 transcript:Solyc09g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLNLCFEEDAMETVTTSGKLKELSFGQIENSGTSNSSIVNVETSSTAGDDEFISCSDQRTDGYAFEILRADYEVNGFVTKELFPLTGGESAATPSQQQQQWLDLSGNYGGVPMEQRIVIGPPQLRQQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGLDADINFNVSDYHDDLKQMGNFSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGKEAVTNFELSTYEGELSTEAENGGSADHNLDLNLGIAPSVLADDQGGNTCQMVNYVVQCASNGLPGYTGAMNIPTTTMGGKLLHGHQLLTDRPLLWNGVNTSIFPTLKGTSLGKGIEVDSLPNWTRQDQHPYGGSPSVPLFSTAASSGFANSTTAAAQLPRFSTGKLPYQHSPSLANMNFAHYYCKS >Solyc01g080710.1.1.1 pep chromosome:SL3.0:1:79811998:79812156:1 gene:Solyc01g080710.1 transcript:Solyc01g080710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSFHIRNNRRKFLDNSDFYFSIIFHDQNNWLNPVKSFHRSLLISSFYKAK >Solyc01g106220.3.1 pep chromosome:SL3.0:1:94074620:94083856:1 gene:Solyc01g106220.3 transcript:Solyc01g106220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEGLEDVGWVKGNFVNGIMSMETVGSEQSREKMKKDKKKRKAETEGPDTPSTSHISSNPMEKKKQKRAVDKERRRVETEKKTEAQQVVVSSELKSNKSAVISPTTSGLPEFHIAVFKDLAAADASIREAAANSLVAELIEVQKAYDILENKEVVEGQLKLEAEKDDGLNNCAPSLRYAVRRLIRGISSSRECARQGFALGMTVLVGAVPCIKVDALLKLIVELLEISSSMKGQDMKDCLLGRLFAYGSIARSGRLTLEWTADKNTPYIKEFVGSLVWLAKKKLYLQEPAVSIILELVDKLPVEVSLNHVLEAPGLKEWFESATEVGNPDALLLALAIREKTGVDNKDFGKLLPFPYSPSRLFSVEHLSLLSNCLKESHFCLPRTHSVWYSLVNILLPENVQQDFDPSAALNSTRKHKKGRKGSSAEEDIEKNLKNFCEVIIEGSLLPSSHNCKNLAFNVLLLLLPKLPTSCIYNVLSYKVVQCLKDILSAKDTNLFKASQYFLREFSEWVKHDDVRRVAVIMALQKHSNGKFDCFTRSKTVKELMAEFKTESGCMLLIQNLVDMFLDEARASEETSDQSQTTDDNSEIGSLEDKDSVGTVGTPDFLKGWVVESLPNSLKHLSLDTNARFRVQREILKFLAVQGLFSSTLGTEVTSFELEEKFRWPKSAISSALCRMCIEQLQLLLSNAQKGEGPQVVPSGLEANDLGAYFMRFLTTLRNIPSVSLFRSLGDDDEKAIKKLQAMESQLSRQERSLGPGIAKNKLHSMRYLLIQLLLQVLLRPQEFSEAASELVICCTKAFRSSDLLASSGDDEAEGDDSPEFMDVLVDTMLSLLPQSSAPMRTAIEQVFKCFCEDVTDDGLHRMLRVIKKDLKPARHQETDSENEDDDDDDVLDIEEAEESDEAEMDETAERHAHVDDSETVVGVEGVTSELPVASDDDSDEGLDDDAMFRLDTHLAKMYNAKKNQAGSETAHSQLALFKLRVLSLLEIYLHENPEKPKVVKIFSSLAHAFVNPHTTEGNEQLGQRIWGILQKKIFKAKDYPKGEVIEFPVLKSLLERNLVLAAKHFKKKKSASSLSKKKLSAALNRFKMINSLAQSSIFWILKIIDTKKRPKSELEEVSCIFREKLEGYLDSKSTRMKCEFLKEVFKRRPRIGYPLFGFLLEKCASAKLQFRQIEALELVIEMLKSFVSSNPDDNSHFAELGSHLAKSGCLVNVLLKNMPDKASRRADVRKFFGKVIQVLTDVELRALFLKALEPDCEAQLKGMFPVLNQ >Solyc10g018860.1.1.1 pep chromosome:SL3.0:10:10789865:10790032:1 gene:Solyc10g018860.1 transcript:Solyc10g018860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGLGHIKFLIDRADEEGQEKFRYFFNKSHACGAGWGAGCGFGINAKITKLLL >Solyc09g007510.2.1 pep chromosome:SL3.0:9:1087383:1089542:-1 gene:Solyc09g007510.2 transcript:Solyc09g007510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYSLLVVLLCFFAALAAATEFDSGTLTLSSLKLLGDAHLGNNTIKLTRDLAVPNSGAGKVLYSKPVKFRQPGIDFPASFSTFFSFSVSNLNPSSIGGGLAFVITPDDESIGDSGGYLGILDAEGGQNGNFGVEFDTLMDVEFKDINGNHVGLDLNSMISTQVGDLDSIGVDLKSGDLVNSWIDYFGSTKKLNLYVSYSNLKPKEPFLSVTIDISEYVNDFMFVGFSGSTQGSTEIHSIEYWSFTSSFDTNPKSPPAAVAEQPPPPPTASLMNPTADVTTSVTSPPPEQQQIAPAEANALATLVLIWLYSKKFKHVKASETMLPSDIIKMPKEFSYKELKIATKGFDSTRIIGHGAFGTVYKGILSETGDIVAVKRCSHNGQGKAEFFSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFESRMILSWQHRQKILLGVASALAYLHQECENQVIHRDIKSSNIMLDEGFNAKLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVASGRRPIDKETTTSGVGLNSNLVEWVWGLHKDGSLLSAVDSKLNLEYDEKEMTRVLLVGLACSHPDPIARPTMRGVVQMLVGEAEVPIVPRAKPSMSFSTSHLLMTLQDSVSDLNGMITLSTSSSENSFNGGGVVGGHDGMDLV >Solyc08g005000.3.1 pep chromosome:SL3.0:8:2156:6124:-1 gene:Solyc08g005000.3 transcript:Solyc08g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKSLLFLLFLAVSAVLADEDVFIGVNIGTELSDMPHPTQVVALLKAQQIRHVRLFNADRGMLLALANTGIKVAVSVPNEQILGVGQSNTTAANWVTQNIISHYPATNITTICVGSEVLSALPNAAPILVNALKFVHSALLASNLDRQIKVSTPLASTIILDSFPPSQAFFNHSVKPVLIPLLKFLQSTNSYFMLNVYPYYDYMQSNGVIPLDYALFKPLAANKEAVDSNTLLHYTNVFDAMIDAAYFAMADVNFTNIPVMVTESGWPSVGESNEPDATVDNANTYNSNLIKHVLNKTGTPKHPGIAVSTYIYELYNEDAKAGPLSEKNWGLFNNNGTPVYILRLTESGSLFANNTSNQTYCVAKEGADAKMLQAGLDWACGTGKVNCAPLMQGGPCYDPDNVAAHATYAFDAYYHMMGKAPGTCDFTGVATITTTNPSHGTCLFSSNGKNATFLNGTAPAMDSTSSSAYPARYLNNNAFSTTLMMILGTLGFSMVLL >Solyc05g012250.2.1 pep chromosome:SL3.0:5:5539881:5541532:-1 gene:Solyc05g012250.2 transcript:Solyc05g012250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHPITRTFNMIFQSFTGGHKYSEKHFKGNYNRYMSVACGAEAAVQDLATIQTELSTFRDYDQAFVKLMTASEY >Solyc03g113340.3.1 pep chromosome:SL3.0:3:64970167:64974184:-1 gene:Solyc03g113340.3 transcript:Solyc03g113340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSKWLAAVASIWIQCSSGASYAFGIYSPVLKSTQFYDQSTLDTVSVFKDIGANAGILSGLLYTAVAAKPSSVQGRFSFLHGGPWVVHLAGAIQSFAGYFFMWLAVTGTINRPPVAVMSLFMFIAAHAQTFLNTANVVAAVQNFPDHSGTIVGIMKGFLGLSGAILIQVYQTIFNNNPSSLILMLALLPTIVTLMLMFLVTVHETPSRDEEKYLQGFLLVSLVIAAYLLFLRILENVFIFSEWAKIITLLVLFALLCSPISVVVKAQRVDVKRLPPSITSSTSLLIDEPEWESSDKLAVQNIMDYDEVPTDTVQRRASSILQPDEQKNLWQAICTIDFWLLFVAMICGMGSGLATINNISQIGESLGYTTLARSTLVSLWSIWNFLGRLGAGYVSDIFLLRSGWPRPLFMVFTLAAMTGGHVIIASGFPGNLYVGSLLVGICYGSQWSLMPTISKEIFGVVHMGTIFNTIAIASPVGSYVLSVRVIGYIYDKEASGEGNSCFGTHCFMLSYFILASVSLSGVVVALALFYRTRRFYSRVLMLC >Solyc11g005430.2.1 pep chromosome:SL3.0:11:335136:336477:-1 gene:Solyc11g005430.2 transcript:Solyc11g005430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D4F6] MDVKKKTCVAIVIIQAIYTGMFLLSKVAFDVGMNPFVFVFYRQAAAAVFLAPIAMFLERKTAPPISFLICFKIFMLSLCGVTLSLNIYGVALKYTSATLAAATTNSLPVTTFLLAILLRYVFFFI >Solyc11g011930.2.1 pep chromosome:SL3.0:11:4860121:4866510:-1 gene:Solyc11g011930.2 transcript:Solyc11g011930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYNFVYISNLYFPSKLQPLQNNIIFSPFRYNLSFSRRRNFLYQTPLLFIVPEFPVLDLNFSLKMAFQKIKVANPIVEMDATSPLISGGCNFIKMLDAEAPLIPYVTASSLEQGEMIISLQRDEMTRVIWKLIKDKLILPFLELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEARMNEFNLKHMWKSPNGTIRNILNGTVFREPILCKNIPRLVPSWSKPICIGRHAFGDQYRATDTVIQGAGKLKLVFVPEGTDEKTEFEVYNFTGAGGVALSMYNTDESICSFAEASMNMAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYESKWKSKFEEAGIWYEHRLIDDMVAYALKSDGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSILICPDGKTVEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRAKLDNNTALLDFTKKLEAACIGAVENGKMTKDLALIIHGSKVARRQYVNTGEFIDAVADELKARLLKAKI >Solyc04g064760.3.1 pep chromosome:SL3.0:4:55911768:55915874:-1 gene:Solyc04g064760.3 transcript:Solyc04g064760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSEMGVWWFCGLVILGLVIGGKGYPEKDLVKALPGQPKVGFRQYAGYVDVDVKAGRSLFYYFVEAEVKPDEKPLTLWLNGGPGCSSIGGGAFTELGPFFPTGDGRGLRRNSKSWNKASNLLFVESPAGVGWSYSNTSSDYTTGDAKTAMDMHMFMMEWVKKFPAFKSRELYLTGESYAGHYIPQLAAALLDHNEHSKEYKFNIKGVAIGNPLLRLDRDVPATYEYFWSHGMISDEVGLTIMNQCDFDDYTFGSPHNVSQACNNAISEANTIVGEYINNYDVILDVCYPSIVEQELRLKKMATKISVGVDVCMSYERRFYFNLPEVQKALHANRTNLPYTWSMCSNVLKYSDTDGNINILPLLKRIIEKHIPVWIFSGDQDSVVPLLGSRTLVRELAHDMGFKITVPYGAWFHKGQVGGWQTEYGNLLTFATVRGAAHMVPYAQPARALHLFSSFIRGRRLPNNTRPSIDD >Solyc03g044460.1.1.1 pep chromosome:SL3.0:3:9311337:9311777:1 gene:Solyc03g044460.1 transcript:Solyc03g044460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNEITQSINNIEMIQLLPIHNSSSIDHVIMREMIFGIAMMQPIKIDPESVKPPKRKNVKISKDPRSVAARHRREKISEKIRILQRLVPGGTKMDTASMLDEAVHYVKFLKKQLKSLEQATTACSNDRNNNRFVSGFNQCPHNCY >Solyc09g057880.3.1 pep chromosome:SL3.0:9:51924585:51936681:1 gene:Solyc09g057880.3 transcript:Solyc09g057880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEEEEPDLGEETSEIRIKASTALNPQNLVPSGTSKAASAVHTSDTHHFGVAVDLKAGVTTIDCSAESQSVVCQDNVVPEPFIGMEFESEYAAKEFYDYYARRVGFIMRIDQCRRSEVDKRSLSRRLSCNKQGHYVKMKNHHGPPRKARTSTREGCKAMLLVKVNKSDKWVVTRFVKEHTHQLVPSGCSSGNAMDKKDRRIQELSMELEHQDKLCDLYREQLVTFLENVEQQMELMSKKIQVAVNNVKEVEAEVQKQPNSK >Solyc02g088280.3.1 pep chromosome:SL3.0:2:51063304:51067602:1 gene:Solyc02g088280.3 transcript:Solyc02g088280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKRQSKITDLNFDVLKHVMYHVAVSPDGAGNLARTLSVCRLFKELADDSDILKAAAFDQVNLSGIHESFWRPAGMLCRCLPTGNPTAFNTIRKNAEILNVSYEILKRDMFRGKMILLVRSTALEIANTRARKKAFAAAIDDCSSTCDAVDAQIETIEQFLEMLKAVLKVMRSQIAQ >Solyc05g051190.1.1 pep chromosome:SL3.0:5:62286355:62286947:1 gene:Solyc05g051190.1 transcript:Solyc05g051190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILTDYQEENQEQTMEIIEKEQENSSTLAPKEEEITSSTPKEEKLEPNTSNGLDMENYSWGQSLQEVTTNVPVPQGTKSRFNNCGNQGQYSQSWTKKPTTNIRWLIFQGS >Solyc01g011075.1.1 pep chromosome:SL3.0:1:7244041:7244364:-1 gene:Solyc01g011075.1 transcript:Solyc01g011075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGLGDTVFVLIYVDDIIFTGRNIFSVDEGNLHYFLGVEVIRSSDGLILTQMNYVNKILNVELITDCKSVHTPMSASELLTLFDVTHLTDITRYR >Solyc05g010070.3.1 pep chromosome:SL3.0:5:4274048:4279472:1 gene:Solyc05g010070.3 transcript:Solyc05g010070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSITGNSKIFHNFNSCSSLSVTCTKTHVPICSLQFPSSFRSNSYRFCIKSTRSSTIYGKRRASEHLFRLPVISCVVEDSSETQPDAVNSSASSDSSKEAVFDMKLPRRSLLATFTCNACGARSQRLINRLAYERGTVFIQCSGCSQYHKLVDNLGLVVEYNFKEEISMDPDADQD >Solyc02g078230.2.1 pep chromosome:SL3.0:2:43543302:43556400:-1 gene:Solyc02g078230.2 transcript:Solyc02g078230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRPRPPFTRGSGYDDAPPRQQQPRVEPFNIIPINNLLADHPSLRYPEVRAASAALRDIGDLRLPPFMPWRDTMDLMDWLGLFFGFQDDNVKNQRENLVLQLANSQMRLQPPSTAPDRLHYGVLRQFRQKLLKNYSSWCSYLGKKSQVRLPRRQNPEISRRELLYVCLYLLIWGEAANLRFVPECLCYIYHHMAMELNYILDGHIDENTGHPFVPYTCKQFGFLDKVVTPIYTTIKGEVERSRSGTAPHSAWRNYDDINEFFWSRKCFRRLKWPLDLSSAFLDTTVGRRVGKTGFVEQRTFWNIFRSFDRLWVMLILFFQAAVIVAWQGTDFPWQALERRDVQVQLLTIFITWAGLRFIQSILDAGTQYSLVTRDTMWIGVRMVLKSVVAVTWAVVFGVFYARIWIQKNSDRRWSFEANQRIFTFLKIALVFIIPELLALVLFILPWIRNVIENTDWPIFYLLTWWFHTRIFVGRGLREGLINNIKYTLFWIAVLASKFIFSYFFQIRPLLGPTRALLNLNNVKYKWHEFFGSTNELAAVLLWIPIVLIYLVDLQIWYTIYSSIAGGAVGLFSHIGEIRNIKQLRLRFQFFASALQFSLMPENQTVDAKDTLVHKLRNAIHRIKLRYGLGQPYKKIESSQVDATRFALIWNEIIITMREEDLVSDHELELMELPPNCWDIKVIRWPCFLLCNELLLALSHASELADAPDRWVWFRICKNEYRRCAVIEAYDSIKYLLLEIIKHNTEEHSIVTALFNDIDGCIHSEKFTKAYKMTLLPHIHEKLVFLIELLLRPEPDLRDMVGVLQALYEVSVREFPRVKKRTEQLMQEGLAPSNPDTNQGLLFENAIEFPDIQDAFFYRQLRRLQTILTSRDSMYNVPKNKEARRRIAFFSNSLFMNMPRAPQVEKMMAFSVLTPYYDEEVLFGKESLRSPNEDGVSTIFYLQKIYNDEWENFMERMRTEGMKDEREIWNTKAREIRLWASYRGQTLSRTVRGMMYYYKALKMLSFLDSASEVDIRHGSQRIVSLGSSNQNNHLNRDGPAMLQTSRKLHRSSSSVTLLFKGHEFGAALMKFTYVVTCQVYGSQKTKRDPRAEEILNLMKDNEALRIAYVDEVNLGRNEVEYFSVLVKYDQQLKQEVEIYRIKLPGPLKLGEGKPENQNHAIIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKENYGLRKPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLADPLKVRMHYGHPDVFDRFWFLSRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQIAMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNNMIVVVMVYTFLWGRLYLALSSVEDYASKNATSNKALGSILNQQFVIQLGVFTALPMIVENSLEHGFLPAVWDFITMQLQLASLFFTYSMGTRAHFFGRTILHGGAKYRATGRGFVVQRKSFGENYRLYARSHFVKAIELGVILVVYASRSPLTKDTFVYIAMTISSWFLVVSWITSPFVFNPSGFDWLKTVYDFDDFMHWIWYNRGVFVKADQSWETWWYEEQDHLRTTGLWGKLLEIILDLRFFFFQYGIVYQLHITGGKTSIGVYLLSWIIMVAVVAIYIAIAYAKDKYAMKRHIYYRLVQLLVILVTVLVIVILLRFTLFTLFDLITSLLAFIPTGWGIIQIALVLRPFLQSTLVWGTVVSLARLYDMMLGLIVMAPLAFLSWMPGFQSMQTRILFNEAFSRGLQISRILTGTSYLISEACASLF >Solyc11g018853.1.1 pep chromosome:SL3.0:11:9699758:9704881:1 gene:Solyc11g018853.1 transcript:Solyc11g018853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYLREEINIDGDDESKKMILQGSISSIKRNTRILICNQLDKIQRLISEKMWSVHHIIVTDVFKEDKEEDTDEAWTNTVLQPYLDIVKRFLKSDHHNIIIECEIEPDSDFTAEDFCLQAVVYIEKIQKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREEINIDGDDESKKMILQGSISSIKRNTRMLICNQLDKIQRLISEKMWSVHHIIATDVFKEDKEEDTDEAWTNTVLQPCLDIVKRFLKSDHHNIIIECEIEPDSDFTAEDFCLQAVVYIEKIQKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDIYLREETNIDGDDESKKMILQASISSIKRNTRMLICNQLDKIQRLISEKMWSVHHIIATDVFKEDKEEDTDEAWTNTVLQPCLDIVKRFLKSDHHNIIIECT >Solyc04g014615.1.1 pep chromosome:SL3.0:4:4906059:4906536:1 gene:Solyc04g014615.1 transcript:Solyc04g014615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVEHDVLKIQVYHPSEGIWNDSLKKEKSCLYTCGGCVPYDSELISSLIERWRPETYCFHMRTGEATITLQDVEILFEMIVNI >Solyc07g006730.3.1 pep chromosome:SL3.0:7:1574953:1577763:1 gene:Solyc07g006730.3 transcript:Solyc07g006730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4CBE4] MDTQDIECSLIRETVNEEESKNKKNEIFEEMKRLLVLAGPLMTVNFLLYCLQVISIMFVGHLGELPLSGASMATSFASVTGLSLMMGMGSALETLCGQSYGAKQYHMLGIHMQRAMLVLLLVSVPVAFVWANAGYLLVLFGQDPEISAEAGSYARYMIPTIFAYALLQCHIRFLQTQNNVNPMMFSAGITTLLHIFTCWILVFKSGLGNKGAALANAISYWINLLLLAVYVRISPSCRNTWTGFSKEAFRDIWKYMKLAIPSAVMLCLEIWSFEMMVLLSGLLPNPKLETSVLSISLNTCAMVYMIPLGLSGATSVRVSNELGAGRPQAARLAACAAVLLVATEGVVAAIVLISVRKLWGYCYSTEEEVVEYVAEMLVLLAGSHFLDGIQSVLSGTARGCGWQKIGAVVNLGAYYLFGIPAGVILAFVYHIGGKGLWLGITLALFAQALLLFVVTLQTNWEKEANKAADRVVPELVNIEENAELTLSRD >Solyc09g082150.1.1.1 pep chromosome:SL3.0:9:68400754:68401083:-1 gene:Solyc09g082150.1 transcript:Solyc09g082150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDDGGSGGAGGVRVQAIEIHTGVTKIVVRNGAGDGGVDGGIEELLLEELKVDTWRFRLPATTMPELATAVFVDGELIVTVPKAGRGGGEFADGRDVWGGGGRLVLVQ >Solyc06g005130.3.1 pep chromosome:SL3.0:6:157327:159204:-1 gene:Solyc06g005130.3 transcript:Solyc06g005130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDSSNAQNYHQEMSSQTLESMLACTKPQQEKKPRPQDEVQKCPRCDSSNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKKQRSSSKRSSPDNQSLMTTTTYPNNQISPLTQFSYDPNDLSLAFSRLQNQESGQLVCPKLQRTVRTESIFSDSLYLTNFGNSVCHDVFENRDMCTSSSEAFSRAGFLDTLSDGLLDASNGFLHHNLYYYGSNGNNGNNMGHVESASGEMITNFDQEVRSGALKEEIMCSDNKILLGYPWQINGDGNVADFEYSNRQNWNGLGVPSGHGLLNSPLM >Solyc08g016727.1.1 pep chromosome:SL3.0:8:9042691:9046448:-1 gene:Solyc08g016727.1 transcript:Solyc08g016727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNMFWNIKMKTLFMSQDFWDLIEDGFTDVAELNAGEKRRLKEIIKKDSKSMFFIQQVIHKTIFSRILAATTSRYAWLILKTELQGSSKVITVKRQSLRRDFETLFMNNNESVQGFLSRVSGIEFDETKKRRIWLGDNKEIQVKGEGTIAVQTRQGSSYSLVCDFKSSMMRTIEMTYLEVLNYFLGLEVKKEEDGIFISQRKYATDLLKRFNMLNCKIVSTPTNVNEKLQLDNDSDWTGNVNDRKSISGNLFTLGSATITWNSKKQSTTTLSSSKAKYVVATSSTYQVLSLRKLLDDLHQEQKGSTKIFYTITLCYALTYVITNQGGC >Solyc06g072120.3.1 pep chromosome:SL3.0:6:44586580:44591212:-1 gene:Solyc06g072120.3 transcript:Solyc06g072120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein SA [Source:UniProtKB/TrEMBL;Acc:K4C8T4] MATQDVRTLSTKEADIQMMLAAEVHLGTKNCDFQMERYAFKRRNDGIYIINLGKTWEKLQMAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQLQTSYSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRYVDIGIPANNKGKHSIGVLFWILARMVLQMRGAINQGPKWDVMVDLFFYREPEEAKEQEEEVPAIADYADYSASAALGGDWTSSQIPEAQWTADAAAPAVGGGWAGDGAADGGWDAAAAPAPVPLPVPDVAPTSGATGWE >Solyc02g022915.1.1 pep chromosome:SL3.0:2:24887358:24889073:-1 gene:Solyc02g022915.1 transcript:Solyc02g022915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKWLRPADPSSFSFSSQPFSPIVPDPIPTPPITNLVNSSTSHRLHTQDHLDDVPTGSIWSHDSLIIIMIYIVDIVVTSSNNSHVLKLYVHAKGLTLTQSSFIEDILSKFNMLDANSESTPLSTSDVLSLDDGSPPTDGTIYRQVIGSLLYLSFTRPDICFAVNKLAQFQQSPSAKHWQATKRLLGDDNLWTSFQTCNSSQLLAYSNADWGGIPDTRHSTSAYVIFLGKNLISWCSNKQHIVSRSSTEAEYRAIASVVAELNWITNLLQELGVKLPCPPKVLCDNIGVTYLCRNPVFHS >Solyc02g080340.3.1 pep chromosome:SL3.0:2:45145686:45155227:1 gene:Solyc02g080340.3 transcript:Solyc02g080340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWGLGWKRPSDVFHLTLSYGEDEALDESTPTSSRSSSSTSVHTSPFSPSPPSPPAEGQEENNQEELLGFRVDLDWNVGDDEDQVALKLQSQVMVALPSPQDTVEVEFKDKKENENAAEEDMGEVAVEMRVVKRREPLKGVMMWRVGSSSQQSDGMGVLSKLIRSNFANGGALGIGEGSPVGCADHWKSVTVVSLCGLGLMVLPVEITQLPLIERLYLDNNKLSNLPPELGALKCLKVLAVDYNMLVSVPVELRECIGLVELSLEHNKLVRPLLDFRAMTMLRVLRLFGNPLEFLPDILPLQKLRHLSLANIRVVADDQLRLVNVQIEMENSSYFIASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDEGNRVVVGKDENAVRQLISMISSDNQHVVEQACSALSSLATDVSVAMQLMKSDIMQPIERVLKSAGPEEVISVLQVLGNLAFASDIVSQKLLTKDVLRSLKLLCAHRNPEVQRLALFAVGNLAFCLENRRILVTSESLRELLLRLTVASEQQVSKAAARALAILGENEVLRRAIRGRQVPKQGLRILSMDGGGMKGLATVRILKEIEKGTGKQIHELFDLICGTSTGGMLAVALGIKLMSLEKCEEIYKKLGKLVFAEPVPKDNEAATWREKFDQLYKSSSQSFRVVIHGSKHSAEQFERLLKEMCADEDGDLLIESAIKRIPKVFVVSTLVSATPAQPFIFRNYQYPPGTPEISPAATENLTTAGQGTISDPAQVEHKRNAFMGSCKHRIWQAIRASSAAPYYLDDYSDDVYRWQDGAIVANNPTIFAIREAQLLWPDARIDCMVSIGCGSVPMKVRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPLLPDVHYFRFNPVDERCDMELDETDPAVWSKLEAATDDYIQNTSAAFKNICERLLERPHDEKFSDKKSHQFLKAKNSKTDESSPSLGWRRSVLLVEAPNSADAGRVFHHVRSLESLCARNGIKLSLFNGISNTQKATPGSTFPTPFASPLFTGSFPSSPLLYSPDIGAHRVGRIDLVPPLSLDGLQSAKTTVSPPDSPRKHRQLSLPVQSLYEKLKNSPQVGVVHLALQNDTSGSVLSWQNDVFVVAEPGELADKFLQSVKFSLLSMMRGRRRKYASVISDISTVADLVRCRPCFQIGGVVHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTSEDIRWMVGAWRERIIIFTGFYGPIQPVIKAFLDSGAKAVICPSSEPDEVQLSTFHGSGDFNSFDNGKFEIGEEEAEDDDTEPTSPASDWDDSEPDESEGRSQFFWDDDEGELSQFICQFYESLFQGGSRIGAALQQARASHRSLRYSCHLPSIP >Solyc02g022910.3.1 pep chromosome:SL3.0:2:24881082:24884303:1 gene:Solyc02g022910.3 transcript:Solyc02g022910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFLHHNFQRLDISNYIFTPSFSFIFYLDFFVFPIRNFLQSNIQRSNNTGAKMVAQGFIVDLNKPLIFQVGHLGDSYEEWVHQPIISKGSPRFFENDILEFLTRTVWWAIPSVWLPIVGWLVWISLKRGGGISELFLTLLGGIFLWTFLEYTLHRFVFHMKPSGYWANTLHYLIHGCHHKHPMDGLRLVFPPAATAILLLPLWSVIKLLVPFTYAPAFLGGGLLGYIIYDCTHYYLHHGKPFKGISHSLKRYHMDHHFKLQDKGYGITSKFWDIIFGTLPPKPTNKIK >Solyc11g010890.2.1 pep chromosome:SL3.0:11:3945624:3946984:-1 gene:Solyc11g010890.2 transcript:Solyc11g010890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQRRPNNFNFLFTGQNLGLQKKENKNCKKGRLMILFVIGVKVAGAEGKTNSSVSVFCLLFRTVSVITMVERALVGKMAERALVGKMAE >Solyc08g069180.3.1 pep chromosome:SL3.0:8:58293790:58301108:-1 gene:Solyc08g069180.3 transcript:Solyc08g069180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELDPQLKELFLPFRVFCTRKDIQCHDVVLEDADVVKAIVEYINRTAIEVLILGAAAKGGLLRFKLKDIPGNVLKGAPDFCTVYVISKSGKISSTRSAARLAPFVHPLRHQFTQNGNVKSNSFEDFNVPPRGSISGIPKPVSDMPMSNLHSDTFNMKSPFTHRKGPNGKPYEISQPDTDISFVSSGRPSIDNMFPSFADSFDSGTTPPRLSGFSDYEGQSFEPMPMGRRSLDIMPSEMSLLSMEGDRPSFSSTPDDVAAEMRRLKLELKQTMEMYSTACKEALTAQQKATELQRWKAEEQRRIEEARFAEEAALALAEKEKAKSRAALEHAEAAQRLAELESQKRINAEMKALKEAEERNKVLNKLSNSDVRYRRYSIEEIESATNYFAESNKIGEGGYGPVYKCYMDHTHVAVKVLRPDAAHGRQQFQQEVEVLSCIRHPNMVLLLGACPEYGCLIYEFMSNGSLEDRLFQKGNSPALSWQHRFRIAAEIGAGLLFLHQSKPEPIVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDVYSLGIIFLQILTAKPPMGLTHHVERAIDKGTFNDMLDSSVPDWPRDDALSLAKLSLKCSELRRKDRPDLSKVIMPELERLRTLGEENPCQTGFYSSSHSTNPSQASFSQASQHE >Solyc08g067980.2.1 pep chromosome:SL3.0:8:57111782:57115346:1 gene:Solyc08g067980.2 transcript:Solyc08g067980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSGTAGAADDQLARTSEIESQLSTVVYVDALKWHVDGNSGTSELSQQVQGAMENMLKMINEVDQSSTEVTEDMEKCKESALERSKTLEEQKEHFQRAAYAILNMLNNHGG >Solyc10g050470.2.1 pep chromosome:SL3.0:10:49706982:49709114:1 gene:Solyc10g050470.2 transcript:Solyc10g050470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVPPNIKALGCFFLLILLNLVSSSYALTNDEVASIARRQLISGTGDLPNIVEYEKNIDLKFENPRLKRAYIALQAWKKAIYSDPSKFTSNWEGANVCAYNGVFCDKALDDPNINVVAGIDLNNADIAGHLPVEIGFLADVSLVHINSNRFCGIIPKSINNLKLLDEIDFSNNRFVGPFPKIVLELPKLNFLDIRFNDFEGPVPSELFDKNLDAIFLNNNRFVSTIPPNIGNSNASVVVLANNRFHGCIPHSIGKMENTLDELVFTNNNLSGCVTEEISKLKSLKVFDISKNKFVGSLPRGLELMQKVEVLDIASNMFRGEIPKAICTLPNLANFTFSYNYFENLDEGCAPPLPRNPVLDDKENCLKGKPGQRSEKDCQSVLSKIVDCSKDKCRSNGEGPAPKDPKTPMPPKKTPSTPKPPKTNPPKHVPSPKPKSPPVQSPPTPVASPPPPVPSPKPKPSPVQPPPTPVPSPKPMPPPVHSPPLPVSSPPPSVPSPKPMPPPVHSPPPPVSSPPPPVPSPKPMPPPVHSPPPPASSPPPPVHSPPPPVSSPPPPVHSPPPPTSSPPPPVHSPPPPVPSPPPPVHSPPPPTSSPPPPVHSPPPPISSPPPPVPSPKPMPPPVHSPPPPVSSPPPPVPSPKPVPPPVHSPPPPDVVLPPNLGSIYASPPPPIFPGY >Solyc10g049760.1.1.1 pep chromosome:SL3.0:10:46503375:46503596:1 gene:Solyc10g049760.1 transcript:Solyc10g049760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSSLSLKKCPDIYAFRAGQNLPDKKFCFLRTVIVTATIHRGFGCCLSCHQVTNFLDLPALGRRQPSCMVL >Solyc01g099385.1.1.1 pep chromosome:SL3.0:1:89542893:89543837:-1 gene:Solyc01g099385.1 transcript:Solyc01g099385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRPFDVTVISATGIKNVNYFSTMDVYVEISILGYAKNTKRTFIDKKGGTSPTWNYTMKFTLDEPSLTKSGLFLCFRIRSSRFSGDKDIGIVSIPINDIFGQSNAGPDGSAEKIVEYQVFTPVNGKPKGTLKFSYKFGKKYEQTSELMYKNVNQLNSNYHQNMPVTAYPYAQTGANNMPTPGMGYGTPNPNHGMAYQQPAGGYPPASYNGGGFPPAAGYPTSGYPPTGYPPSGYGYVQQQQQQHQQQVYGGYPPAMQQVQKPQKKSNLGGMGAGVGLGLAGGLLGGMLVGEMISDDTDSYDQGYDDAMDDMDY >Solyc02g093570.2.1 pep chromosome:SL3.0:2:55027757:55029472:-1 gene:Solyc02g093570.2 transcript:Solyc02g093570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGIFTTKKNAAATAAAKTEETAFNVAAPLASAAGASAGVEVAGAVVVAVSLSGDGGDAGSTSGVSALEVGEEEEETEPEFEDLGDDADDDGDGDDDDGDDDDGVLAFGDDSEDDGAAAGALALPLFGEAAAGVDDDAGDVADVDGDDAGVVADVDGDAAGELLGELSAEANTAMATNTRAKTIT >Solyc12g038390.1.1 pep chromosome:SL3.0:12:50681588:50682151:-1 gene:Solyc12g038390.1 transcript:Solyc12g038390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQVLGFGQYIEEVYAAYEQHRLETADTVRVGKCSNVAEMTEEEAPAEQQRMFVEARARMNGGVTIPTKQPDSEVDQNLNN >Solyc02g071587.1.1 pep chromosome:SL3.0:2:41554031:41554898:-1 gene:Solyc02g071587.1 transcript:Solyc02g071587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRACLLSLAYGFQAVARVTADAASHLRANRHREGYMFPSRIITGLARFLYLVNYDVKPSVLTLRLQKALDPNFIYYIIQLQTDVL >Solyc10g054733.1.1.1 pep chromosome:SL3.0:10:55823599:55823910:1 gene:Solyc10g054733.1 transcript:Solyc10g054733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGLVGIAHAKNKLRRSISHKNESVSPTTTTNYVPKGHFVVYVGETCRRFVVPIAHLSHPLFQDLLHWAKEEFGYSHPMGGLTIPCSEDYFISLTSLLNKSS >Solyc12g009070.1.1.1 pep chromosome:SL3.0:12:2364594:2364821:-1 gene:Solyc12g009070.1 transcript:Solyc12g009070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKKFLSDGDKPSAACATSGTTIDAVSTPVVNPTIAFSLIEDALMTANFFVVVICFEGLIGNGLKKASTIGCLF >Solyc10g044543.1.1 pep chromosome:SL3.0:10:26753557:26754797:-1 gene:Solyc10g044543.1 transcript:Solyc10g044543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVTDTFISLGHYLSARIFGFNTDILATNPINLSVVLGVLIFLERECVRVVYFKNRLDQKTVPFPIINNQKQRILNTIRNSEKLRGGVAIKQLEKDRSRLRKQREINQIPQRVFQQALRGALGTLNSCLNNELHLRTISANIGMLGKMKEITY >Solyc01g110843.1.1.1 pep chromosome:SL3.0:1:97280515:97280817:-1 gene:Solyc01g110843.1 transcript:Solyc01g110843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRLPSIISSLKQFHKLHSAVARSQITDVPKGHLAVYVGETEKKRYVVPIAYLNHSSFQELLHKAEEEFGFQHSMGGLTIPCNEDAFFHVTSRLNNSL >Solyc06g068640.2.1 pep chromosome:SL3.0:6:42671998:42674036:-1 gene:Solyc06g068640.2 transcript:Solyc06g068640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANESAFAMSCDDFYFSLLFDETQNEIGESSLSFCEICAERKEIDEMFTIESCSHVFCADCINKHVSIKIQDKIHVVTCPGVACRGILDFETCVSIIPKDVRDSWDELLCESLILASQRFYCPYKDCSAMLVNDSDEIVRESECPVCRRLFCAQCYVPWHYDFQCEEFGRMNVEERDGDDLMVMELAKAKQWTRCPYCRFFVEKTEGCLHMTCRCGSQMKETPVAFVVKSNGSTITEDEVKEFITKQAGFIHLCLFAKFCQIEISSLVDRLSKFLFYWWVISNKRITRVFLVDDVPKSPSSKILRKDLGAKLAAGYSN >Solyc08g007760.3.1 pep chromosome:SL3.0:8:2275048:2285439:-1 gene:Solyc08g007760.3 transcript:Solyc08g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDTARENASIANSIETDWKNERGNLGGPDSSSLRVNEDTNRSIAEELTSSLPIGISSAIEKGKLYSIRYFVIKSLNHENIQLSVNRGIWATQAMNEAILDEAFHNSSKVILIFSVNMSGYFQGYAQMISSVGLRRDQVWSQGNGGRNPWGRSFEVNWLRLYDLPFQRTLHLKNPWNQYKPVKISRDCQELPPDIGEALCELLDGKDALDVDLKMDIFARNDFSSKRPYVEPSLHLGDQDCNASLIPNGTMFYPSLLYQHQIDASRLHVAPQRINGVFSAEESAIASGESKSRQSRHSKRNRSLANLHVDTEMGRQINMWGLPAERSPLASNLTEDDILEMTYEEYLEAYSRGSKRSPHPVSGPSRSTQRSLASEDNCDDSQSGCSSKKRRSH >Solyc05g056590.3.1 pep chromosome:SL3.0:5:66682189:66685530:1 gene:Solyc05g056590.3 transcript:Solyc05g056590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGRAVTKRSSWCVRCFSVSSVEFNGNRRLAALWGNGDHGRLGHGSLESQWRPNVLPSSAFHNQNLRQIACGGAHSLFLTDHGRVYACGLNDYGQLGVSDDRAYISNLDRLELGNRTSSIK >Solyc11g067060.2.1 pep chromosome:SL3.0:11:53102252:53105663:-1 gene:Solyc11g067060.2 transcript:Solyc11g067060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLFSTVTILAPSKLPNCHHSHYAAPHSLKFPFLTSLLPTKSPFLLKESYKSVKIKALMESGMDSSTKPFSVLFVCLGNICRSPAAEGVFTDLVKKRGLDSKFKIDSAGTINYHEGNEADPRMRAAAKRRGIAITSISRPIRASDFKDFDLILAMDEQNKSDILGALERWIHREPLPADAAEKVRLMCSYCKKHQETEVPDPYYGGAQGFEKVLDMLEDACDSLLESIVTEQNLGS >Solyc03g104790.2.1 pep chromosome:SL3.0:3:44141341:44144346:-1 gene:Solyc03g104790.2 transcript:Solyc03g104790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASGASRNSRKVQKSLQESSNFGIVSTEEAEANIHNGLRNIGGSGHISKGKGATVAGISMKPSYDTVSEASQTTEESQGESIRSVPAQTTSSGTAWAKMRKQDSAASRPHPQANSKSQKLIAFDTSSVLNLANTLYLNMSGNNDFTSRINNDARSYNNDAKHSISRQYNHHEQQDSFGSSDIQQSQEMSEVRILDLLYLEFNSKKLKLFFSAVTLA >Solyc11g010330.2.1 pep chromosome:SL3.0:11:3403875:3407542:1 gene:Solyc11g010330.2 transcript:Solyc11g010330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTICYLFFYVKQLLRDVSPSILNQKKKRRNLANLISCFYTKILYASSHENSRKKVPFFFHSFLKILKYCIIVTLKGVVVFMFLPCCFDLMAFFNRKMLEEHIFDVPKSVKCLSCTSYVCTNDCGDTYVPSDGPFFPPPPPPYVTSEKYHMPFYFIIMLCVFGAFFMFICYMIILRRSRSNSRPNNVSQFDETREDFVIDENLGPMLDHPIWYIRTVGLGQDVIDSITVFKYRKDEFLIDGSDCSVCLTEFEEDESLRLLPKCSHAFHIPCIDTWLRSHKNCPLCRAPIVSDNDTSPRMDRVVEVVSVGDDGSSTNDVDLELDEEGNNQEMRCGVVENVDEEEEEGRSTLDLSMKIPRVNVDGRRKGGRAFSDLVDQHRVVKEINDELQPARRSVSMDSSVARVIHLAMNEMNSKKFDQGCSSSKRGDRNSSLYKAMKSSSFGLSLQKVPIISMKRSFSTSGKCSLPTTSKIQDPRQTIVKVVFYIKDLETNEFYEEIFEFIRLIIQQETEQSLFRSIVNLMLNFAVFDEARNSLEQWWIMLNILM >Solyc02g090740.3.1 pep chromosome:SL3.0:2:52889654:52897634:-1 gene:Solyc02g090740.3 transcript:Solyc02g090740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPMRFQVISFCTIPSTLVMALPASTPLLMDPSIPMLKPIRAGTSTTNPDEQDQTHQRLTGSEVMEEVKLLYSIAFPIIAAGLLVYGKSMISMLFMGRLGKEALAGGSLSIGIANITGFSVLSGLAMGMEAISSQACGAKQWSLMGQTLQRTILILLFSSIPISLLWIKIEPLLLFCGQDPTISSIASTYLSFCLPDLFFQSIINPLKIYLRTQNVTFPVTFSAAFSLALHAPINYFLIYHLGLGIKGVAMAVVIADFNLLGVLFLYVSYSGVHRKSWQGWSTKCFEGWKPILILAIPSCISVCLEWWWYELMILLSGVLFNAAEAVSTMGILLQATSLAYIFPSALSLAVSTRVGNELGANRPNKAKTSCYVAIMCATVTSLLLMISMTSLRNAWGNAFTDDESICWLTAAAMPVVGLCELGNCPQTTGCGALRGSARPALAVHINFGSFYGVGLPLAIVLGFVMKMGVLGLCFGLLAAQGVCACLMVCVLSRTDWMIQAQRAKELIGVDVENQTISIKSLLC >Solyc07g053970.3.1 pep chromosome:SL3.0:7:62488876:62510284:-1 gene:Solyc07g053970.3 transcript:Solyc07g053970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLLPGESSSNSWGRIRNRRRVGSMFDPRDAIAHGSAYQKAAALVDLAEDGVGLPEEILEGTSFEKAAQLYFMFTRFDFLWSLNYLALVLINFFEKPLWCSRHLAESCNNRDYYYLGQLPFLTGAESLIYEGVTLLLLVIHILFPISYEGFHLYWRSLLNRLKVVLLLILVADIVVYILFLADFYYLPFRIASYLRVVFFILNIRELRDSFFILAGMFRTYLNVVALTALFLLFSSWLAYVFFEDTQQGKTMFTSYGTTLYQMFVLFTTSNNPDVWIPAYKESRWYCLFFVLYVLLGVYFVTNLVLAVVYDSFKSELVKQVAEKDRRKLRTLKKAFSLIDDSNTGVLDKKQCILLFEELNKYRTLPKISGDDFESIFNELDDTGDFKINLEEFADLCTAIGLRFQKEDSLPIFEACPNFYHSAASEKLRDFVRGDTFEYIIVSVLLVNLVAVIIETTLDIQNNSGQTFWQKVEFTFGWLYVIEMALKVYTYGFENYWRDGQNQFDFIVTWVIVIGETATFVAPDDLTFLSNGEWIRYLLIARMLRLMRLLLHVERYRAFVATFLTLIPSLMPYLGTIFCILCFYCSLGLQIFGGIVNAGNPNLAQTELSDNDYLLFNFNDYPSGMVTVFNILVMGNWQVWMQSYKELTGTAWTYVYFVSFYLISVLWLLNLIVAFVLEAFQAEMDLEAAANCADGDDKESRSERRRNVGTKTRSQRVDFLLHHMLSSELTECSHDDP >Solyc03g060513.1.1 pep chromosome:SL3.0:3:32471364:32476370:-1 gene:Solyc03g060513.1 transcript:Solyc03g060513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKQGPYLIKGFEVNWKDHFPVPFMDLMLDRLAGKGSYYFLNDYSSYNQISIAPDDQHKTTFT >Solyc04g048910.1.1 pep chromosome:SL3.0:4:38545049:38547266:-1 gene:Solyc04g048910.1 transcript:Solyc04g048910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGTLTEEEAWILFREKVGKSIDDDPSVIDIAKVVAKECKGLPLAIITVAREDSNIWTEQLFRYGMGVDIFSEIKNIEESRKRVYHLLETLKDCFLLLLGSTENYVKIHDVVLDVAISIASMGEYSFMMSALCSHQLPTTYFSKLEKLIVRNCGKLRNMMSPSVVGGPFNLRILGIRDCVSMEEVITQEAQQGEGILTFPLLEELYLLILPMLGHFFLTKCALEIPFLREMWIDECPEMKTFVQ >Solyc09g074290.1.1.1 pep chromosome:SL3.0:9:66507817:66509241:-1 gene:Solyc09g074290.1 transcript:Solyc09g074290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVKPDLDELKLCFIKGQKCCAAFKLTNLMHTMSVAVALSTTNPSLFSFSHPFTIIPPLSTASFTLFLTNSCDQPPVYTPLDIVIVKSSMLPTGKASEDDLRRLFSRNGRHIFKDAKIPISLVGPQVVEFLLSSKNLLDVSLLLPKALSLCDGCQLDSLLKSAAKNGNSRCISALIEAGADVNIRDSGGDSVMSLAVKYGNLDSVQLLIESGYTIDNSIDRFLHYAAATDRVDLIEILCLGYADIDLNSMDSQGRTPLHIAAYHRHVEVIQFLVSVGSDPDLLDSQGWTPLHFAAQQGHVEAVGFLLNHSTFAKYAVTKQGKTSYEVATDEGHSKLYDVLQLGDTLHRAARKGDVANIKKCIAEGANVNGKDQNGWTPLHRAAFKGRIEGVKVLVNNGAKLDVVDDCGHTPLHLAIEAGLKDVAMYLITQGAKAILKSFKTIGQNPCDFENFKNHPSHLPTANLYHVKHETA >Solyc08g078200.2.1 pep chromosome:SL3.0:8:62155224:62166863:1 gene:Solyc08g078200.2 transcript:Solyc08g078200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEKTTTTLEAINNETVDLENIPIKEVFENLKCTEEGLSSAEVEKRLNVFGHNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMALFLPHGKHKGVDYQDFVGIVALLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWNEEDAAVLVPGDIISIKLGDIIPADARLLNGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQQVLTSIGNFCICSIATGMIIELIVIFGGQHRHPREAVDSLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKDVEKDMVVLMAARASRMENQDAIDTAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYLDSAGKMHRVSKGAPEQVEAILNLAWNKSDIKNRVHSVIDKFAERGLRSLAVARQEVPEGTKDSPGGLWEFVGLLPLFDPPRHDSAETIRRALELGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSFLLGEQKDSSAAVLPIEELIESADGFAGVFPEHKYEIVRILQSRKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIIHAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTAFWKFNFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGIVLGSYLALMTALFFYLTFETSFFANAFHVTDFNKHIPENKVVTDSLNAKLASAVYLQVSTISQALIFVTRSRGWSFMERPGLLLVAAFIVAQLVATFMSAMVTSVKFAGIEKIGWRWTGVIWLFNIITYFLLDPIKFAVRYALSGRAWGLLLNQKTAFTNRKDFGKEAREAAWAAEQRTIHGLQSVETKTFPENYTFREISVMAEEAKRRADIARLRELHTLKGKVESFAKLRGLDVDHVNPHYTVVCERMELKLVDSKSLFLCNFELMKSSSFLDTKLRFGLTGTHKLCSCRGVVLKASRSSASYDAASSVSKENFILDEFSCKINGKNCSGLYLRDVRVLDASDDEYGGILINPQTLPSNPNIFASVLRESLSHWKMKGKKGVWLKLPVEKCDLVPIAVKEGFQYHHAERGYLMMTYWLPDDPCMLPSNASHQVGVGGFVINDKNEVLVVQEKHSAPDLSGLWKIPTGFILESEEIFTGIVREVKEETGIDTEFVEVMAFRHAHNVAFEKSDLFFVCLLRPLSTRIAVDDLEIQAAKWMPLVKFVEQPLIQADSMFKKVIDICIARLGKRYCGLSVHQLVSTFDGKLSSLYFNTVEDSDSNCKAN >Solyc01g111570.3.1 pep chromosome:SL3.0:1:97712137:97716385:1 gene:Solyc01g111570.3 transcript:Solyc01g111570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFAKILESEQRNVIVGIRFDGHIRELLNWAIVKVAEPGDRVIALHVCRNAESIANVKSSLDTYLYDYDGLCNKKQVDLISLVTEGSSIRRVLVREAKNHDALAVIVGNCKHSTLGGWTSIAKYCAKKLPTTTEVMAVDNGKVLFRRTSTSQLKGSFSDPKPSLYLERISTSKDCESEFGESEISEFGRFSCEVTRTLERWTNGTHNIKEENTSTSGKHKKGSLSLGSISLPTEDCAAVTTNTPGWPLLQTTSSLNEPAKVKRKMSVVQWVMTLPNRSMLDSPKSNSSPKENQNAFGMENSYSLMDFIEKESASVGSQLIKDYFGCKWFSYDVIRSSTSNFSSEKLIGKGGENSVYKAVLPDGKSVAVKVLNSSDEARKNFRQEMDIMTRVEHKNIAHVLGICIQDSDLISVYDFHSKGNLEENIHGRTKSVLPWERRFRIAVGTAEALNYLHNECRRPVIHRDVKSSNILLNDDFEPQLSDFGLAIWGPTKASFLTHSDVVGTFGYLAPEYFMYGKVSDKIDVYSFGVVLLELLSGRKAIGFETPSGQESLVMWAKPKLESRNYNAILDENLNVNIEDDQVQRMILAARLCLTQAARLRPNISQILKMLKGEKDGNEEVIARNNNTEEYNDDEVYPDSSAESHLSLAFLDVNYDNSTCFSSSQDQSSPLSSVDEYLRKRWSRSSSSEY >Solyc03g097010.3.1 pep chromosome:SL3.0:3:60801440:60807265:1 gene:Solyc03g097010.3 transcript:Solyc03g097010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQGMTMATNLLKTLTGASSIVRSNTRSLSGVASSEVITSHTEKWMQDTSKKSPMELISEVPPIKVEGRIAACEGDSPALGHPIEYICLDLPEPAICKYCGLRFVQDHHH >Solyc10g009220.3.1 pep chromosome:SL3.0:10:3203037:3215801:1 gene:Solyc10g009220.3 transcript:Solyc10g009220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENTEIDDRVDLDDENYSEEEEDADAELVEDEGAGEVGDENGEEQSYDSGGGDSGREQSPEADMGDVSEPAADEEKPSASLSEEEQKEHAELLALPPHGSEVFIGGIPRDVSEEDLRDLCEPLGEIHEVRVMRNRDTGESKGFAFVAFKTKDEAQKTIEELHNKEYKGKTLRCSLSETKYRLFIGNVPKSWSDDDFRKVIDGTGPGAELIELIKDPQNPARNRGFAFVEYYNNACADYSRRKMVSTNFKLEGNSPTVTWADPKITPDHSSAAAQVKALYVKNIPENTPTEQLKELFQRHGEVTRVVMPPAKVGGKRDFGFVHYAERSSALKAVKDTETYEVNGQMLEVVLAKPQTEKKFDAASPHNAMPHHNYIPHPGYGAFPMNPYAPLTAGYGAAAAAAAAAFQQPMIYGRGPMPTGMQMVPMVLPDGQIGYVLQQPGVQAPPVRPRRNDRNNGAGGPQGRGGGSSGGSDDSNRGRRYRPY >Solyc02g082290.3.1 pep chromosome:SL3.0:2:46551457:46564464:1 gene:Solyc02g082290.3 transcript:Solyc02g082290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATWYVILGFMMGDLGAEDELSFEDRFKQYVMVKKDGKIICSTQCLNPPANTPSIWNIKHILRQDKFDFLSELSRCLLRRSPENSHQEKEWHAFLGFLQKYKKVAIGEHEHFQFYILPPKEGSPFNYTFACYREREKPSECPVGKASGSTSHVIEDACSPCNEEAVIGAQTCDLSAKVSGRPEKTNVQNISLESNFVHANPSYLKTLGHTHSGWSFGAIAEFVDNSRDAKATKLEISIDIIYSRVVGREIPMLCIIDDGCGMNHQEMLQMVSFGHKQPDADDPNRIGRFGIGFKTGAMKLGKDALVLTQTTNSRSIAFLSQTLNEGKDNLEIPIVSYYRYGQFMELDKQNETLFKHNLKAIKEFSPFDKYFIGQKVGLFSKDGTGTHIYIWNLEKWGPNYSLQWESGITGGSSFHQGDILIRSKRVRARPGQMTQMVPLDYSLRSYLEVIFLDPRIKIYVQKSQVKSRPLARSLNRTVVENGTIMGKPVQLTLGCNQLEWEEANCGIFLYWHGRLIEAYKRVGSMMHNGDRGRGVIGVIDVTNLMAEDNGHVWVHNNKQGFQDCEVYAELEKWLGEKSDKYLDEHVDKVELKPGSGVYKPDNEWVQCDKCRKWRMLSHGFNSKTLPLQWFCYMKPFNGECERSEQEVEPGVITISSKRLGYSSTEDPEEIKRKLSRQAVGTSKNVGENDSSHSMDEDQAKKSPAQKRKRLLRSCRKS >Solyc03g097540.2.1 pep chromosome:SL3.0:3:61306435:61307579:-1 gene:Solyc03g097540.2 transcript:Solyc03g097540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVELSLSTQRVHASGTDFLSLSVGLFNFHSLCLQFCSLCSSVCATSLLFQQSTVRVGSSKTV >Solyc12g082710.1.1 pep chromosome:SL3.0:12:16729549:16730729:-1 gene:Solyc12g082710.1 transcript:Solyc12g082710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKLQNISTEATSITAEGMQPICQLIKNQGQFLANLKDNKGNFTLIYDHSGLPFHDLIIDFINEVVNRLTNLTDFLYYLVKHALNLPEELPTLFEDHAANERYIMVLLVGNTLYVLAYDFNSIYLLLVGRLFCGLGLSRVVKALIVFLCTCWIVAPVWFVYMLWLCTTFREPPMLELEDVLLPKSNSKKIENDLLHKGITQTFLLCAEETKQDEDDDQDCDNHSVLSNSF >Solyc06g068950.3.1 pep chromosome:SL3.0:6:42891963:42895974:1 gene:Solyc06g068950.3 transcript:Solyc06g068950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIAPEVGEEREIQKSYWMEQTSELNLEAMMRDSAASDLDKEDRPEVLSLLPSYEGKSVLELGAGIGRFTGDLAEKAGELVAVDFIEEVTKKNESINGHHKNVKFVCADVTSPDLTVLPESVDLIFSNWLLMYLSNEEVQDLAERMIKWLKVGGYIFFRETCFHQSGDHKGKNNPTHYREPRFYTKVFQECTLKDDAGKSYELSLIGYKCIETYVKNKKNQNEICWIWQKVHSEDDRGFQHFLDTVQYKSNGILRYERVFGAGFVSTGGIDTTKEFVAMMDLQPGEKVLDVGCGIGGGDFYMAEKYDVHVVGIDLSVNMITLAFERAIGRKCAVEFEVADCTVKTYPEESFDVIYSRDTILHIQDKPALFRSFYKWLKPGGRVLISDYCKKAGPASEDFAAYIKQRGYDLHDVEAYGQMLRDAGFNEVIADDRTEQFMQVLQKELDTVENERELFIQEFSEQDYNDIVGGWKAKLVRSSSGEQRWGLFFAKKN >Solyc05g015870.1.1.1 pep chromosome:SL3.0:5:12617886:12618068:1 gene:Solyc05g015870.1 transcript:Solyc05g015870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFLGPPCVLALFSPTNLLNFRIMYFSKIWRILFEKGYTILGFHNHIKLYLPWRIFPSI >Solyc06g049050.3.1 pep chromosome:SL3.0:6:32415687:32417447:1 gene:Solyc06g049050.3 transcript:Solyc06g049050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:O82625] MASLPLVFFFLSFCFYSTFADYGGWQTAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLTCGACYELTCNNAAQWCLQGTITVTATNFCPPNPSLPNNNGGWCNPPLQHFDLAQPAFLQIAKYKAGIVPVSFRRVPCMRKGGIRFTVNGHSFFNLVLVTNVGGAGDIQSVSIKGSNTGWQAMSRNWGQNWQSNSNLNGQSLSFQVTTSDGRTLISNNAAPNNWQFGQTFEGAQF >Solyc02g091990.3.1 pep chromosome:SL3.0:2:53844982:53847973:-1 gene:Solyc02g091990.3 transcript:Solyc02g091990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACS3 description:1-aminocyclopropane-1-carboxylate synthase 3 [Source:UniProtKB/Swiss-Prot;Acc:Q42881] MKLLSEKATCNSHGQDSSYFLGWQEYEKNPYDEIQNPKGIIQMGLAENQLSFDLLESWLAQNPDAAGFKRNGESIFRELALFQDYHGLPAFKNAMTKFMSEIRGNRVSFDSNNLVLTAGATSANETLMFCLANQGDAFLLPTPYYPGFDRDLKWRTGAEIVPIHCSSSNGFRITESALEEAYLDAKKRNLKVKGVLVTNPSNPLGTTLNRNELELLLTFIDEKGIHLISDEIYSGTVFNSPGFVSVMEVLIEKNYMKTRVWERVHIVYSLSKDLGLPGFRIGAIYSNDEMVVSAATKMSSFGLVSSQTQYLLSCMLSDKKFTKKYISENQKRLKKRHAMLVKGLKSAGINCLESNAGLFCWVDMRHLLSSNNFDAEMDLWKKIVYDVGLNISPGSSCHCTEPGWFRVCFANMSEDTLDLAMRRIKDFVESTAPNATNHQNQQQSNANSKKKSFSKWVFRLSFNDRQRER >Solyc01g095900.3.1 pep chromosome:SL3.0:1:86913768:86927914:1 gene:Solyc01g095900.3 transcript:Solyc01g095900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNKNGRGKTKGDKKKKEEKVLPVVMDITINLPEETQVILKGISTDRIIDVRRLLSVNTTTCNVTNFSLSHELRGPRLKETVDVSALKPCILTLIEEEYDEESATAHVRRLLDIVACTTSFGPSGTSGKELKTDSCKNARGVQDNKNAKKSNKVRGNDKSSSPPQTPTPVAQQLGKDAGSEEVDGEMSNTCPKIGSFYEFFSLSHLTPPLQCNAPSLSHIVSLFSCFLLKLRLILILAVIRRATRQQDDEVLPDDHLFSLEVKLCNGKLVIVEACKKGFYNFGKQGILCHNLVDLLRQLSRAFDNAYDDLMKAFLERNKFGNLPYGFRANTWLIPPVAAQLPAIFPPLPVEDDTWGANGGGLGRDGKFDSLPFANEFLNVASMACKTTEERQIRDRKAFILHSLFVDVAILRAISAVKHVMEKVKPAHCDLNGEIIYNETVGDLSIFVTKDSSNASCKVDTKIDGFQATGIAMKNLMERNLLKGITADENTAAHDIATLGVLNVRHCGYIATVKVQGKENDKVGSPLQSMELADQPDGGANALNINSLRLLLHKKVDNKVMHSKPSETEEPNCSQAFVRRILEESLTKLEEEKIEGDSFIRWELGACWIQHLQDQKKSEKDKKPSAEKKKNEMKVEGLGIPLKSLKNRKKSTDGTNMESQSESFKSAADGVGGGSEKPVLQSGESQFETDTDQNQVVLKALLSDAGFTRLKESETGLHLKSLEELIDLSQKYYNEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLRMRSLGQVVKLSEKLSHVQSLCIHEMIVRAFKHILQAAIASVVDIEDIAAIIAAALNMMLGVPENDDSNEYGVDSLIWRWLKLFLKKRYEWDVGSLNYKDMRKFAILRGLCHKVGIELVPRDYDMSSASPFQKVDIVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVSYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPSPDAKGRDVGSKRRGFVSKALISQVKGKSDQNNVAIPNSDTFKDVPKEETDEKKQIVEDHTDPKMNMEPVDTVIESHHNGDGGITENKPIQSGPLLKETSIEKSMVREVLSEPSAEAEDGWQPVQRPRSGGFYGRRRRQRRQTISKVIGYQKKDPISDVDHAKLKNNYQASKYYVLKKRTSPGSYADYYLAKSQASGTKLGRRVIKAVAYRVKSVSSSVRDAVPEISTTGGDLLNTSSEQVQVSATKEVGSLSKRSSIVNLGKSPSYKEVALAPPGTISMLQERVSEDEIPDNPDVMKLEKESNGAEENSKIMGRDAESMEKENIQDLVANSSDHVKSETVDTDSKEEIQMSDLKGGEISDLISANASIQPGHVDVSPMEQGSVKTHNVPTSDNSPKADPCEKDSSSNLNPGVISNMTLQDMDHLKVKSASSHASDASRELSRKLSASAAPFSPSPAVPRGTPLPMNINLPSPPGTRPPIGPWSVTMSLHQGPPTILPSPMCSSPHHLYPSPPHTPNMMHPLRFIYPPYSQPQTLPPNTFPMSSSTFHPNHYAWQCNIAPNASEYVPATVWPGCHPVEFSISPPVIEPITDSISSAKEISDNPENITLTTSLLVDLNTGDEVKEDVNLPASETVENIAAVVPEKERASNTPDSHFVTSSSDQSKEGSGSNHVQRNLTETDNEKTFNILVRGRRNRKQTLRMPISLLKRPYSSQPFKAVYSRVIRETEVPSSTSFDPHEHGITTAT >Solyc02g036190.1.1.1 pep chromosome:SL3.0:2:30705458:30705637:1 gene:Solyc02g036190.1 transcript:Solyc02g036190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQDGIFNINSVLLFWPLLYIPIANLNHCRYRCNSFSLCYMKVLNEFYLKIYFVYRET >Solyc10g050377.1.1 pep chromosome:SL3.0:10:49380337:49381018:1 gene:Solyc10g050377.1 transcript:Solyc10g050377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIYVDDPIITRNNSDCTSQLKEHLNAKFHISDLGKLKYFLGIKVPGKYYLTITRPYISYIVHVHSQFSHAPRQPHLEAAYRILHYLKRNPGQGILFHSDNSLFINAYCDADWEGCLMTRHSTTQYIVFLGKSPISLRSKNQTVASRSSAEAEYIAISTTSSKIVWLLRLLQDLQVKK >Solyc03g025630.3.1 pep chromosome:SL3.0:3:3054513:3061565:1 gene:Solyc03g025630.3 transcript:Solyc03g025630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTRDQLTEALYQKGLALAELEALKGESTVDKVDMFEENFKELKKWVDLKSSKYGILSVFRERHHGRLGTALKVLNDMIQDDGNPPKKKFYELKLSLLDQIGWSHLVAYEKQWMQVRFPSSLPLF >Solyc03g025150.3.1 pep chromosome:SL3.0:3:2623070:2628954:-1 gene:Solyc03g025150.3 transcript:Solyc03g025150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISGNMLNTVSPEVAKSIDLELSWKSVTKKRRSRRTVARRLNEGMKMNRSPKRVGDFSGSGSDKQIHGAGSWSSDKAEHVPIKKRRNLVQTSSAQSRNPSIDCEDSLSPQSRLATPMPSEDSDQISIYSHSSDHWSSDPRSRGRALRFDRKAARKVGLSDKLVDAKSSKIATRKLYNSEDFLGIVLLADAACTNYKDGDLDNAKEAHAMVACAAPGGFVGSTPHPKDISALKELADPGRGDMMHGSNMESSTVNESAVALKSFADFKEPSVVSRPVSPKVDRIHWDLNTSIETWVQPPKDSSVENAFANGLDDGAQNERHIIEICDKKGGFEYCKPISGPADQNATVYEFAEVCKLESVSVNAGEFGNIVSHSTKALDSLSAQRETFDELVTGDAPLADSSLVKSENASCMLVSNGLITNASDGLVLTQIRESSVKAAGFNKAALSEVPYPAKPDCENASNKYIDCSDPITSEVSDTSILNVMDVESSDSNPHDNILAIDSQMQLEGNEVISKFSDNLTSNQVEKCTTSGKDSSKSCNEGLQIDDTSQFARSASAFEESHNSDISHEDHGQLISREHVTRTEAGYDSPFEDGELRGSIMYSLEDNEIKDGEDECVDYASEGRVDLDFDASDYPSSEMVEAGSDGSQSTEKRISSTSRAGVDFVKSGSAKNFIKRHFNREDNSIGDSGGKKGLRPGSGSRSRSTALRFNDKIGRKEDAFRKAHTSDRMAAYEFRGSYTGEISSKANRGKLQSRIEGPLHLGAADGNSAARKYQNRPHNLIGCYNKPVREVSPDKFVGRYRSGYNSQDKRATNGQWNSSNSRDCYPNAYRDPESDNYSRHRNFANSADKLGGFSSRDHQQSINFPSEGARRPPLRRRSSVERDDYYDVHRRMVAVRGAYRSRNGAESISERVARGAREEGYEFMPGDVRVPHYVPPRGERTGSPASSRVARIPLLRRRSRSRSRTPSPYSWHTQRERDLNSRRRHSRSPDIRSDARMSRTRMPFRKHGFTANYGKDFSSPTRGHCPPERSSRWIDERSFADENSKNRWSRKNN >Solyc04g053000.1.1.1 pep chromosome:SL3.0:4:51242262:51242537:-1 gene:Solyc04g053000.1 transcript:Solyc04g053000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKMSPLIQGIRILRRFSNSGGVPKGHCAVYLGESQKKRFVVPISYLSQPLFQDLLTQAEEQFGFDYHMGGITIPCKEDVFVDLTYRLRR >Solyc05g053810.3.1 pep chromosome:SL3.0:5:64696005:64699708:-1 gene:Solyc05g053810.3 transcript:Solyc05g053810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C261] MDPVTVWGNEPLSTVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGIPGNRYYGGNEYIDQIENLTRSRALEAFHLDPTKWGVNVQPYSGSPANFAAYTAVLNPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSTNGYIDYDRLEEKALDFRPKLIICGGSAYPRDWDYKRFREVADKCGALLLCDMAHISGLVAAQEAANPFEYCDLVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAATPGFKAYAKQVKANAVALGDYLMSKGYKLVTGGTENHLVLWDLRPLGLTGNKVEKLCDLCNITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIAEFLHRAVTITLNIQKEFGKLLKDFNKGLVNNKEIEELKVDVEKFSASFDMPGFKMSEMKYQD >Solyc03g120080.3.1 pep chromosome:SL3.0:3:70058122:70064251:1 gene:Solyc03g120080.3 transcript:Solyc03g120080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRFQNLLGAPYRGGNALVVNNSLLISPIGNRVAVTDLIKSETITLPSQSSTNLRRIAASPDGVFLLAIDENNRCLFINLLRRAVLHRITFKHPVAAAKFSPDGQLIAVAAGKLLQIWRSPGFRIEFFPFELIRTFTDCNDRITSLDWSPDSGYVLAGSKDLTARLFCLKKSTKYIKPFLFLGHRDVIVGAFFGTDKKTNKVCRVYTISRDGAIFSWGYSEMDGNFDEPSLASEPESPGTPEQGQGNNREGDSDSRVKKRKNFDGKDATLDLDDRFQLHRLKWELIKKDFFMQAPAKLTACDYHRGLDMAVVGFSNGIFGLYQMPDFVCIHLLSISREKITTAVFNDLGNWLTFGCARLGQLLVWEWKSESYILKQQGHYFDVNCLAYSPDSQLVATGADDNKIKVWTVSSGFCFVTFSEHTNAVTALHFMPGNHCLLSASLDGTVRAWDLLRYRNFKTFTTPTSKQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHEGPVHGLVFSPTNATLASSSWDKTVRLWDVFDGKGAVETFPHTHDVLTVVYRPDGKQLACSTLDGHIHFWDPFEGLLMYTIEGRRDIAGGRLMTDRRSAANSTSGKCFTTLCYSADGSYILAGGNSKYICMYDVADQVLLRRFQITHNLSLDGVLDVLNSKNMTESGPLNLIDDDNSDTEEGVDKQVRNKLAYDLPGSMPNHGRAVIRTKCLRIAPTGRSWAAATTEGVLIYSMDESFIFDPTDLDIDLTPEAVDAALKENQTSRAVILSLRLNEDSLIKKCIIGVSPADIPAVASSVPVKYIQRLVEALASLLENCPHMEFILRWCQELCKIHGNSIQQKSRSLLPALKSLQKAITRLHQDLADTCNSNEYMLRYLCSASDAK >Solyc02g086315.1.1 pep chromosome:SL3.0:2:49643949:49644186:-1 gene:Solyc02g086315.1 transcript:Solyc02g086315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMALSSAGELKRKTTMLPFVDSALKFSLHRDEILQFISFFMYKKRNEFDNKTRRKCIHLLVVQNDMGDS >Solyc06g036500.1.1 pep chromosome:SL3.0:6:26234830:26235696:1 gene:Solyc06g036500.1 transcript:Solyc06g036500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSYSTQSPMYSRPATTALPLTIHHSPMYGQASAEIGIRIAIIPEFQITPPPPLVPQGDVPQCTFQFDFDLEKKILAEANQKESQIWSRLPIENLPSRGSDQASKVHFHVNL >Solyc03g098130.3.1 pep chromosome:SL3.0:3:61901219:61901843:-1 gene:Solyc03g098130.3 transcript:Solyc03g098130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAQLNFTPDFIQENAAGSDSDSNPDDSPEYYEPISSTADDDDVHISDQIPDHVQSSDDEECDESSNFHRLPNGYVNCVENGISSLDLSDEDGEYKSEVEEEEERIRAASDTAVRRAFREDESRRNTPLTTENARRVMEAMRRISFGGVAPDWTSQVPEDQWIDHLQRLRSPPATTSTN >Solyc05g055830.3.1 pep chromosome:SL3.0:5:66157879:66165832:1 gene:Solyc05g055830.3 transcript:Solyc05g055830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTEFAMVEELAFLIKDNLPCKHLILSMEETLVNFLLDDTSSDGILELEPTNPYNRLLLHRLADIFGFSHQSVGEGEERHLVLERCSDTSIPSILISDLLWQYDELQSPRTVDVIYRRKESSEGSKVEDTPIPIINLSLEEREEAYMAARKRIFSVDQGETRQCMKDRPQKDPTVARRMIAHALGQRTRPANVEIQHANAEQCEEQSKDVNIPYKKEGLTNLGKQICTEVKTPATKYPGSGGKPKNNTSNGSRSPHMKKNTPKNIDGTGSSTPVKTDVKVHKDSIREEHIGAAKRIFANALGFAREGNPK >Solyc10g078320.2.1 pep chromosome:SL3.0:10:60274162:60283405:-1 gene:Solyc10g078320.2 transcript:Solyc10g078320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKKKNQKSASRLSQSELSNTSSDVEFTEGELKCCLEEASRKFPSLISETDFIGRISEDAVETVDTKGCKIWLSESSMLASSISPGSIVSVSLASLKKYESNFPLSSLADECARHFGLDCTENVDHEAGNFFALASVFPSCKVLKNGARLSSSLSWSMGYPASGRIVFVHPIRDHTIRSIARGSNQSSNGKVSSLLVSNSEELSLLLVSRNGVPPLNSFVSSQYSITETRNGRGETMAGSSTRTPLHSRSRLNSPSTREFNTPKDQESVSISSDAGDTTTEIFNIREVLVNDQSKKLIQTCTASWLCSRILLSGNLVIVPLLSRLCFFQVTGASPPQSFGDYGNVAFSVDHKTKVFLHLPQDTEVGTPITSLSPSDLELRNMNNKDGVDYAKLGGLSEEFAVLMDIIISSAVKGTMASMGLRPTKGVLLHGPPGTGKTALARLCAHKAGVNLFSVNGPEVISQYYGESERALNEVFDSASQAAPAVVFIDELDAIAPARKDAGEELSQRMVATLLNLMDGIRRADGVLVIAATNRPDSVEPALRRPGRLDREIEIGVPSARQRFEILETLLGEMEHALLDKDVHDLATATHGFVGADLAALCNEAALNCLREHVESKTCFGNTQYKPSMPSYDACLGRNGTHCLQDNEDLSSNGDFEGASSSISEACISSDIPRNFSRVAQTDTLRITFKDFERARMKIRPSAMREVILEVPKVNWDDVGGQREVKMQLIEAVEWPQKHQEAFNRIGTRPPTGVLMFGPPGCSKTLLARAVASEAGLNFLAVKGPELYSKWVGESEKAVRTLFAKARANSPSIIFFDEIDGLAVVRGKESDGISVSDRVMSQLLIELDGLHQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPDEKDREAIFHIHLKKMPCSSDICVEELARLTSGCTGADISLICREAAIAAIEESLDASEITMEHLKAAIRQVPPSEVHSYQELSNRFQRLVHSDPVKDD >Solyc06g068190.2.1 pep chromosome:SL3.0:6:42365881:42367791:1 gene:Solyc06g068190.2 transcript:Solyc06g068190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNATSTDDARARAAIMLESLEKSISASASAEATQNFQKENMMLKEQIELILRDNIIMKRLVAIQHERQKEHNDDRIQKVQQLKHLIAQYLSRYKLQLHILFLLYQCTINGDVFLITTITRDQNYFCLLIVVPLMQVLFRSLWI >Solyc12g096920.1.1 pep chromosome:SL3.0:12:66622585:66626035:1 gene:Solyc12g096920.1 transcript:Solyc12g096920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSSLFGLLQMLYDTLKNEAKFLSNVSNQIQDIRAEINRIQCFLQDADANKPEYETVRNWIADIREVAYDVENILEKYMHKVVLRRDRSLWKENINLHNIGLETKDVMSRIDNIKRCMKTYVDTGIRAICQGDTSSERSQWLTRSYSHLVDEDFVGLVEEVNKLVDELIDDEFYVVFAICGMGGLGKTTLARKAYRHVDVQSHFQAFAWASISRQWQARDVLMSILTKLEPENRTRINMMMDDELVKALYNVQQRKKCLIVLDDIWSTNFWNSVKHAFPKGKGSRSKILLTTRKKDVCTHIDPTCFLFEPRCLDAEESWKLLHKKAFPRVNTPDLKIDLELERLGKEMVSKCGGLPLAIIVLAGLLARRPKIDEWRRTCQNLNLHMSGESFEQDGGIHGVLALSYYDLPYQLKPCFLYLGIFPEDQKISARRLYQLWAAEGIISLEGNRGEETAMMEIGERYLHELAQRYMVQVQLEETTGRIKSCRFHDLMRDTCLSKAKEENFLKTVSPQHLHQSMHCSTSATATSTRTVRRLSITVDNEVQNYFSTDDKSFQHVRAAFFFPRQTGREGTEYPLPLFQGLCNNFSMLRVLHLEKFTFEEILPKAIGNLVYLRYLSLRHSHFQKLSSSVGNLKYLQTLDLRVNFFSYLTLPNTIQKLKNLRNLYLPPSHQHTYKLDLSPLSHLEILKNFDTQVSPFRDIFKLTKLQKLSAVLSLDSDEMEEMIKHLTLRSGRLRETSFRIYYRFHSEKEVNILKLLLGCHHLRKLDLIGHITKLPEHHSFSQSLTKLTLRKSGLEEDPMVILQKLPKLFTLSLRGNAFIGKEMCCSPQGFPLLKTLKLQGLLNLESWRVETGALPNLVHLEIDECKKLEMVPEGLIYLSKIQEVMIINMPDNFQKRLQEVQREEYYKVQFRKSFDTKKISKIKFNMRISGPMFGGLLQTIYPSTVPKEWKML >Solyc10g044830.1.1 pep chromosome:SL3.0:10:28183027:28185814:1 gene:Solyc10g044830.1 transcript:Solyc10g044830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHQRTSSESCLIEEEPSWLDDLLNDPETPVRRGGHRRSSSDSFTCFDASNIANLDYIAQDDNKFRNLTHAPSWGSQDFDYYQNARHATFHVDQNSSNRSKSKARDVSPNAIPHPRGLSPFRENVKTHSLGPSCPPQEGERPKYAGSDQQDLVESIPPDPKGSGERNLHLKLTRNVQSSKCCEVYPELEFLNQQNLILSMENKALKQRLENLAQEQVIKYCKFLCYLLYFTVVPVISSAWTCVIK >Solyc03g034206.1.1 pep chromosome:SL3.0:3:5945733:5951852:1 gene:Solyc03g034206.1 transcript:Solyc03g034206.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSKGKVEEVSMITATRMRPATQRKNQNRNVNQEKFPRSKFRKAPKVFTPLRESQTQLYERLKAITIHFVTVGTLSSIHLVTVGTLSIIHLVTVGTLSSHPPRYSWNIIEHPPRYSWNIIDHPPRYSWNIIEPFTSLQLEHYRASTSLQLEHYRASTSLQLEHYRVIHLVTFGTLSSHPPCYRWNIIESSASCLSLTSSLDVRCLPCSFRLQLAAPKEVENSRNALLKSDSGSSEPGKLLENYIIGVTESCIPAIYTM >Solyc03g098590.3.1 pep chromosome:SL3.0:3:62331052:62334729:1 gene:Solyc03g098590.3 transcript:Solyc03g098590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGADGGGGAAGNQPQNYHCYQCEQTVTITPSPNSELSCPNCNGTFLEESETAPPSNPNPNTHPFFSAATTDDLPFGGGFPIVFSSNAASPAGGAVGFDDLSALFGGMAGGSAALPGRSPNQFDPFAFLNNYFSSMRGGNIQLIFENHPDGGGGGAGGDFRIPGNLGDYFLGPGLEQLIQQLAENDPNRHGTPPAAKSAVAGLPDIKITEELLDSDSSQCAVCKDTFELGMEAKQIPCKHIYHKDCIMPWLELHNSCPVCRYELPTDDPDYENRKTSQQQTVGEIRTVLRHLVQGRGGLGYHCNGFSGDLDHLLRRATVEEQAMMLTIATTTMLLVGSLIQVLEGSRGRRISIKDSPCISSCKRKREVDSVPVIKIVDRKKKVRSSFTLLYSLLYNFICWL >Solyc01g060020.3.1 pep chromosome:SL3.0:1:69340218:69345249:-1 gene:Solyc01g060020.3 transcript:Solyc01g060020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNLPSHSEVIQLYKSRNIRRLRLYDPNHGALNALRGSNIEVILGLPNVDVKHISSGMEHARWWVQKNVRDFWPHVKIKYIAVGNEISPVTGTSNLAPFQVPALVNIYKAIGEAGLGNDIKVSTSVDMTLIGNSYPPSQGSFRNDVRWFTDPIVGFLRDTRAPLLVNIYPYFSYSGNPGQISLPYALFTAPNVVVQDGSRQYRNLFDAMLDSVYAAMDRTGGGSVGIVVSESGWPSAGAFGATHENAQTYLRNLIQHAKEGSPRKPGPIETYIFAMFDENNKNPELEKHFGMFSPNKQPKYNLNFGVSERVWDITNSTASSLTRAKSVGVCYGMLGNNLPSHNDVIQLYKSKNIKRLRLYEPNHEVLEAL >Solyc01g102495.1.1 pep chromosome:SL3.0:1:91154882:91156818:1 gene:Solyc01g102495.1 transcript:Solyc01g102495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNPTTPLLLIGLVFHFVLWKFMLNLELEDVTDKLKYLKIVSALSISSLRPPISICHDILQQSQGLVCPCEIEGFIVQLEKKIKPILNDYNI >Solyc06g068020.1.1.1 pep chromosome:SL3.0:6:42278131:42278472:1 gene:Solyc06g068020.1 transcript:Solyc06g068020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSAISFRRQGSSGFIWDDKYLTGELINHPVKHKEETNKTRLGKPKEELMLQVKTSSTTIGSTRRRGFNGGGGVNPTAEPPSPKVFACGLCSAINGKKGKSHRRQPGGQ >Solyc09g074050.3.1 pep chromosome:SL3.0:9:66174198:66182752:1 gene:Solyc09g074050.3 transcript:Solyc09g074050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPAAGVVANSDRVSFGNSMVIPTPGAPVIQPAPMTSDTYAKDAIIAWFRGEFAAANAIIDALCNHITQLEGDRSEYEPVFSAIHRRRLNWIPILQMQKYYSIADVTLELRKLAARKVSDRVEVADVKQSEVVKESFNEKINCHDEDTENAGGEVVDVDSVREDSNGSPESEITDTGSQEVQHFVDSDEFCTNHENCEARHEQMKMTKGFVSKEPVKGHMVNVVRGLKLFEDVFTPNEISRLDDLVNELRVAGQNGELSGETFILYNQQVKGSKRELIQLGAPIFEHVKEDATCQKSHNEPIPALLQGVINHLIQWHLISESRRPNSCIINFFDEGEFSQPFLKPPHLEQPVSTLVLADSMMAFGRTLVCDSEGNYKGSLMLSLKEGSLLVMRGNSADMARHAMCSSPNKRVTITFFKVRTEMGTNFSEAAPLTRAMTIWQPGVPTQYATANRAHNGYEPMDMVPKWGGVVRSPMVMLAPLRPVVMNPRRAPRGGTGVFLPWNGGSRKPAKHLPPRAQRGRFLALPPPGDSPKSETASEPSLDLDGKSP >Solyc12g009960.2.1 pep chromosome:SL3.0:12:3111022:3118634:1 gene:Solyc12g009960.2 transcript:Solyc12g009960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQADQTVISLRPGGGNRGGGSRVFGSRFDTSSTNSDLSGFRPHGGSSSLPSFKTGDSRFDSHERVRYTRDQLLQLREAVVLQLPEVILQIKHEVEAELFGEDSSRGRVDSNVQVQSQGRYSEPDNRDWRNRPAQFSAPIEERSWEALRENREFGGQYTRQEQSQFARAQVSANQGGGPAPTLIKAEVPWSVRRGTLSDKDRVLKTVKGILNKLTPEKFDLLKGQLIDSGITSADILEGVISLIFDKAVLEPTFCPMYAQLCSDLNEKLPPFPSDEPGGKEITFKRVLLNNCQEAFEGSDKLREEMRRMTAPEQESERKDKERLIKLRTLGNIRLIGELLKQNMVPEKIVHHIVQELLGQDPKSCPEEENVEAICQFFNTIGKQLDKKQKSRHINDIYFNQLKELSTNPQLVARLRFLVRDVLDLRANNWVPRREEVKAKTINEIHSEAEKTLGLRPGATLSIRNARAPPGIQGNLGPGGYPINRPGTGGMMPGMPGARKMPGMPGMDNDNWEVPRSRMPRGAQQPLIGKSPALNTRLLPQGSNVGMSGRSSALLQGSGGPPVRPSGYVSGMEPVSQVPVPVKPSPTSTVLPVAGKPQAPAARSNPEELKRKTVSLLEEYFSVRILDEALQCIEELKSPAFYPEVVEKAISLGLDKSPPRVEPVAQLLEHLSAEKVFTATDLATGCLNFASQLEDLAMDLPKAPANFGEIISRLVLTGAMDFKLVNEILQKVTDDLYQKDLFAAIMKAISSSPTGQGVLDSQAPDVKACKNLFQ >Solyc04g056360.3.1 pep chromosome:SL3.0:4:54073298:54076446:-1 gene:Solyc04g056360.3 transcript:Solyc04g056360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITSRYHELGTFSSDIKHEENMSGIINFTNTSKQENCHRAMNPNISNSIGPDDGYSWRKYGQKTVKGSEFPRSYYKCTGQNCSVKKKVERSQNGEITKIIYNGEHNHQKSQPIRRAITSSKSYDLPEEKNGGSNMQFEDNKNVSALSLILNHANINMNLFETTRESCSPLVSCEDEDEDEDEDEVRAIEGIISVDDNEFEQRRRRDYCYPAEMNLSSRTTKEPRVIVQIESEIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPSCPVRKQIERAPDDIKSVITTYEGKHNHEVPSINKTNGATVGNQTSPLISNDKSSILPFSESHKISNIETQVQDFNFQLERKPFGYTRPNFVENQLSNLRCGPLYDLRLPSNLLSYNSFLFNSTQTSNSLPFNLQMPDFSLPNRIPPPDEFHFNDLQ >Solyc09g009000.3.1.1 pep chromosome:SL3.0:9:2360603:2360824:-1 gene:Solyc09g009000.3 transcript:Solyc09g009000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HDCELEMELFAIENQVQVENQHQKYQQNHIVSHKNVSILLSKHLLCIHSYCLSLHILYLSLFLPIFGIQFPPNF >Solyc12g036675.1.1.1 pep chromosome:SL3.0:12:47736961:47737494:-1 gene:Solyc12g036675.1 transcript:Solyc12g036675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQFPSNLIFLFLFLSFLYLLVVQWKKSRNLNKKLPPGLWKLPFIGSAHHLALEGGLPHHALTNLGKNHGPFMHLQLGKISTIIVFSMDMAREILKTHDLAFTSRPKILALDIICYKSTDIAFSPYGDYWRQMRKVCVLEFLTTKNVRSFSSIRQDEASHLVQFIRSSTCGELKKM >Solyc07g005685.1.1 pep chromosome:SL3.0:7:567681:569243:1 gene:Solyc07g005685.1 transcript:Solyc07g005685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIYKKLMAAGLRIWMFSGDTDSVVPVTATRFSLSHLNLKIKTPWYPWYSGTQVGGWTEVYDGLTFATIRGAGHEVPLFQPKRALILFQSFLAGKELPK >Solyc09g007343.1.1 pep chromosome:SL3.0:9:939503:940666:1 gene:Solyc09g007343.1 transcript:Solyc09g007343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFRDLEILIGLNSKIGGVCYMIYYKSRFYLVYWGAEIGIIDVQDGPEPRVESRLIHLDDNRDLFSQGNVQYYLVEVNDALLLLVRFGRNRPDVEDGVDTCKCEVYELDAVEGKFKEINNLGDSTIFFGSFIDSPKVIGAEPNHIYFTDDWFEENYFFWNVAVEKTQGLTILKMEVLNLLS >Solyc03g044670.1.1.1 pep chromosome:SL3.0:3:10348736:10349458:-1 gene:Solyc03g044670.1 transcript:Solyc03g044670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDVHSRAKRMKLIMQFGVGLEGVDITAATNHCIKVAKISGGATGNAASYAEMAIYLILGLLRKQHQMKISVEQKKLGEPTGVNLHGKTVFILGFGNIGIHLAKRLRPFDVKILATKRSWGRPAQDSSKSEAPSVENGGYADLVDERGNHADILKFASKADIVVCCLAMNNETIGIVNNDFISVMRKGVVLINIAQREEDCPSLIKIPPICHSFNTPPHAQCLASSAWAIFNFGDPNIG >Solyc04g010140.1.1.1 pep chromosome:SL3.0:4:3430211:3430378:1 gene:Solyc04g010140.1 transcript:Solyc04g010140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISPSFRTGEDDCEYVGVQHSEKFNRFFLVVYSHQQSMYDGPDFHSVQSNTKFP >Solyc02g077400.3.1 pep chromosome:SL3.0:2:42917064:42920777:-1 gene:Solyc02g077400.3 transcript:Solyc02g077400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIPELRHYAPTIQIVLVGTKLDLREDKQYLSDHPGATPISTAQGEELKKMIGAVAYIECSSKTQQNVKAVFDTAIKVALRPPKMKKRPQKRRTLCAIL >Solyc02g071610.3.1 pep chromosome:SL3.0:2:41578762:41586839:1 gene:Solyc02g071610.3 transcript:Solyc02g071610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGEVKRRWIVVYVVVVLGLNLGVNVNAQQVPCYFIFGDSLVDNGNNNNIQSLARANYLPYGIDYPDGPTGRFSNGKTTVDVIAELLGFDDYIPPYASARGEDILKGVNFASAAAGIREETGQQLGARITFGGQVNNYRNTVQQVVQILGDENSAANYLSKCIYSIGLGSNDYLNNYFMPQYYSTSRQFNPDQYADVLIQQYSQQLKTLYDYGARKFVLIGVGQIGCSPNALAQNSPDGRTCAENINNANKLFNNRLKGIVDEFNGNTPDAKFIYINAYDIFQDLIDNPSAFGFRVTNAGCCGVGRNNGQITCLPLQNPCQNRDEYVFWDAFHPGEAANIVVGRRSYRAERSSDAYPFDIQRFMSLGDYYETNAQQVPCYFIFGDSLVDNGNNNNIQSLAKANYLPYGIDFSGGPTGRFSNGKTTVDVIAEQLGFNGNIPPYATARGRDVLRGVNYASAAAGIRDETGRQLGGRISFSGQVNNYRNTVQQVVQILGNENAAADYLKKCIYSVGLGSNDYLNNYFMPLYYPTSRQFTPDQYATVLIQQYTQQLKILYSNGARKFALIGVGQIGCSPNALAQNSPDGRTCVQRINVANQLFNNKLKALVDNLNGNTPDAKFIYIDAYGIFQDLIENPAAFGFRVTNAGCCGVGRNNGQITCLPFQRPCRNRNEYLFWDAFHPTEAANIVVGRRSYRAQKSSDAYPYDISRLAQA >Solyc03g007480.3.1 pep chromosome:SL3.0:3:2052941:2056797:1 gene:Solyc03g007480.3 transcript:Solyc03g007480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYLSNRGGTQVQKVRFDEEAIPDEDEVGGTLKLRPSDSNITEDQEPFMGVKVRRKASIHRDYLGDYVDVPSRPYLMKILEKQGDKKVLFADTVLKFTSTGKMKRRILLVTDFAIYIVDPESGALKRRIALAAVEKLCLSELSDNFLAIIIPTEYDLFMATTRKTEIVTILVDATKSQSDYELDVLFSNRFEYSATSELVKEVQFEQVEAGVKTKISRK >Solyc12g038950.2.1 pep chromosome:SL3.0:12:52473228:52492866:1 gene:Solyc12g038950.2 transcript:Solyc12g038950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRLPCSSSKNIPEVFEEEVYGFDQEFHKAKCEAPPDEKPEVVRTPLRNVIIVPEIIGSVIVIYKEKAFNQIEVNPEEDGVCLFRRLKG >Solyc05g051996.1.1 pep chromosome:SL3.0:5:63195563:63197543:-1 gene:Solyc05g051996.1 transcript:Solyc05g051996.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQKVFHFYDLDSTPLVNSGRDFNILPHPLLSLHGEVMNNPLITTPCAHNFSKACLQVNPLQDRYLNDFMGLQNLIITNKPFLSS >Solyc11g009020.2.1 pep chromosome:SL3.0:11:3160196:3165653:-1 gene:Solyc11g009020.2 transcript:Solyc11g009020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSINLLISPPNSQYSFCSRNSLLFSPSITTFRRTLPSKSLSISASASSTRALEALIFDCDGVILESEHLHRQAYNDAFSHFNVRCPDNDDKPLNWSLEFYDVLQNQIGGGKPKMRWYFKENGWPTSTIFQHSPEDDNDRAKLIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGKMLAVCSAATKSSVVLCLENLIGLDRFHGLDCFLAGDDVKEKKPDPSIYITAAKKLGVSENNCLVVEDSVIGLQAATGAGMSCVITYTSSTADQDFKEAIAIYPDLSDVRFNDLESLVAK >Solyc12g019590.1.1.1 pep chromosome:SL3.0:12:10742148:10742387:-1 gene:Solyc12g019590.1 transcript:Solyc12g019590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFHDQNNWMNPMKPFHRSSLISSFYKVTQLQFLNNPHHFCFYWNTRFPIFVKKAPGIGSISTFGRCFHDQYLPFSERQ >Solyc04g064890.3.1.1 pep chromosome:SL3.0:4:56054188:56054403:-1 gene:Solyc04g064890.3 transcript:Solyc04g064890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIKADTTTNRLKWILFLTNRLISIDFENLDCKDSKFSLKEDSGRRLKSNTIEFQRL >Solyc06g074910.3.1 pep chromosome:SL3.0:6:46554453:46557947:1 gene:Solyc06g074910.3 transcript:Solyc06g074910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKNNVKRGPWSPEEDAKLKEFIEKYGTGGNWIALPLKAGLKRCGKSCRLRWLNYLRPNIKHGDFSDEEDRVICSLYASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGFIQSSSNINQRTKSPNLLFPPTSTLQTTFQSQSQASISNLLRDSYVEPIPLVQPNFMYNNNNMMNFQLGTNNQHSYNFHDQSLMNPMQTISSCSSSDGLSCKQISYGNEEMMCQIPFEETQKFTLDNYCTTWADHQKTNGYFGNNFQSSQFQYDDHTNIEEIKELISSSSSNGNGCNNVGYWG >Solyc06g010200.3.1 pep chromosome:SL3.0:6:5383560:5420170:-1 gene:Solyc06g010200.3 transcript:Solyc06g010200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRQHGESLIEDFNIRRKKAKRRIDKNQKGQLVKLSTLPAGGSLITDSNLLNNVNKLRECWFKCQNTTVVDDKDRIMKMVLFILSLSDVCCPFLIVTTSSSLPQWEAEFTRLAPSIDVVVYSGSRDYRRRIKSLEFYDEGGFMMLQVLLSSLEFAIEDVEILRSLSWEVTIIDDCQNVGISGHVEQIKMLATGVRVLLFNGPMKITSSEYLNLLSLLECKIGVDKTGGLESDFNEHLGKLKRVTKVTAPCSKPESSKFVEYWVPVQISDLQLEQYCATLLTNSTALRTFTKSDPVGTLRDILLSVRKCCDHPYILDPLLQPFNKGLSPAEMLEVGIKASGKLQFLDKMLTELRLRQHRVVVLFQSIVGSGSGASIGDILDDFLRQRFGEDSYERVETGVVMSKRQASLHRFNNKESGRFVLLLENRVCNSSIKLPSVDNVIIYDSETNPANDLRQLQKLSIDSESKYISVFRLYSCFTVEERALVLAKQDINHDSNLHSVSRSPNNSLMWGASNLFSRLDEYHTGGIPTTISNNSSGQLLLNDIISEFSAIISKSSDNKDTCHSIISKVQMSTGTYSASIPLLGEKKMELKIGVEPQVFWRGLFEGRNPEWRNLSRATPRNRKRVQYFDESPDPPNGDDEAGKKRRKVVNHSVDAIPGHPSPGRGEVAASKGGAHENDDIGGEHVSRSPSHLLHEAKPVRPEEGRMLYNEQKSLHVHLKAEFAKLFEVLKLSDAVKHTVGKFLEYVMENHRVSREPATILQAFQLSLCWVAASILKQKIDKEETFLLAKQYLQFGCTEEETNNVCLKIRSLKKLFLQQLDQNNNASSSSKCSLLATRTVAEKPSTGSMSQVVESPQLNVLKEMEERLQGKKLHGECIVTPKKELVDIERETFIKEVQCRCERRMSNLVQKQKEEIEEFQKIWEKKKEELVQDYRLQVAVLRTVRGNTAVMKDKKKDAETEFSRKMQELKYNKDQKLNELEVEHSAMKNKERQKASLWLAEANSFRGVGSHPIDGIGCSQENVNVSLNSPKTVHPVTGHHVKELNAGKILDNTRSDVPPSTSDESDILPIDSTSVLTTPATEDQSGVKSVDGGLVTISKGSYEVGDPDVPSSTYDELNILPIEATNVLTMPAMEEQVEIVSTAEVLVAKSNQLGPNEGGDLCYSSEGIGALGARSKKPNEVDYPDLPASTSNESNILPVETSNVLTTPAMEKQLEIASTVGALVAKSNQPNEVGDFGGSSEEIGALSASSKQAIEVGDPDVPASTSNVSNILPIEGSNVLTMTAAEEQVEITSSTGALVARSKRPNEVGDSGGSSAEIVSVFPLPHEEHTEVLLGDPPREHLSEVSGLGFDVVLGNDNLEVNVTKELNTEHDSLENNSHMQSDKDDPRDAVRSTDTNPISPLKLVVDLPSVEAVLCSDDGSLAQNQSSGDNLSHEMPLPENQRGTQLEVDAGQYGTISSDAALISSSEQQQPASDGFLLAAHDPLSDIMHDTHNDGRSFMPNLGSSHHLDGETMEPLQADGNSDEDQSVDVENFSEVSRVDPRPISEHGASSHNIGTPVQVPGSTELPSQAVLQRNSYAAVVQGPRNIPVHPDHQMATWNSTLPFNADPLHKDWERINKEREQSTKILEDMKLRLRSDCEKEIEEMIAQIRKKYDHKLQEAEAAFLRKKKELDVNQIKVLMNKLLADAFRCKCMNLKPSGFSGMRQVVPSSYLQHLHQVSQQPNLRSSPVTGSSSASQQSSVPVSLRASSITSLPSAGQAKVRQETSVPSNRSVHSGCISQPTVRCTPVTGLSLAGQPAPTQQTVAVSRSTTHSAGTPGRPPLICAITPSTGNLRVASEIRAPAPHLQPFKTLSSMSSSNSPSTLAHSMQNHPQSPYMAASSPSVPQLPSLQTSSPSPSQCPQHQIPIPLVPQLAVDLSSSRNVPPQHDIGGLPATRNPSISAQELLFNVENQPHANKPSIMPPLPDVNPDFDLLDLSDFQTLDSVHGVPTSSAGATYVTDVVCVSDDD >Solyc06g065060.2.1 pep chromosome:SL3.0:6:40690904:40702385:-1 gene:Solyc06g065060.2 transcript:Solyc06g065060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIQCLSHNNQTYYTPNNSSFSSILQFSIQNLRFNTSETPKPLVIVTPVSESEVQRVILCAKKIGMHVRVRSAGHDYEGLSYVSEVPFVIVDLINLRTINVDVNDKSAWVEAGSTIGELYYRIAEKSKTLGFPAGVCPTVGVGGHFSGGGYGTMMRKYGLSADNIVDARLVDANGMILDRVSMGEDLFWAIRGGGGNTFGLVLAWKVKLLDVPEKVTVFTLDKTLEQNLTKLIHKWQNVAPRFHKDLFIRVIIRRVDSSDQGGNNKQTIVASFNSLFIGGIDRLLPIMQENFPELGLRKEDCIEMSWIQSIMYFAGFPIHGSLDVLLSRVQPTTRYFKAKSDYVYQPIPEGGLEGIWRFFFEEEAKSSYVILTPYGGRMDEISPSAIPFPHRAGNLYKIQHLVYWDEEGEEVAERHISWIRRLYSYMAPFVSKFPRAAYINYRDIDIGMNNKKGYTSYMQAKVWGIKYFKNNFDGLVHVKTKISWFSFIFVLLVLSSASWSTLADNHEEFIQCLSHNNQTSSNIYTPNNSSFQSILQFSIQNLRFNTSETPKPLVIVTPVSESEVQRVILCAKKTGMHVRVRGAGHDYEGLSYVSEVPFVIVDLINLRTINVDVNDKSAWVEAGSTIGELYYRIAEKSKTLGFPAGVCPTVGVGGHFSGGGYGVMLRKYGLAADNIVDARLIDANGRILDRASMGEDLFWAIRGGGGNSFGLVLAWKVKLVDVPEIVTVFTLDKTLEQNATKLVHKWQYVAPRFHQDLFIRILVSRLNSSNQGDDNNQQTIVASFNSIFLGGIDRLLPIMQENFPELGLRRADCIEMSWIESILYFAGFPTDGPLDVLLSRVQLSTRYFKAKSDYVYQPIPEGGLDGIWRFFFEDEAQSSQVILSPYGGRMDEISPSAIPFPHRAGNLYKIQHLVYWDEEGEEVAERHISWIRRLYSYMAPFVSKSPRAAYINYRDLDIGVNNIKGYTSYVQAKVWGIKYFKNNFDRLVHVKTKVDPSNFFRNEQSIPSLTQWKNKGE >Solyc01g098190.3.1 pep chromosome:SL3.0:1:88656519:88662648:1 gene:Solyc01g098190.3 transcript:Solyc01g098190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sodium/hydrogen exchanger [Source:UniProtKB/TrEMBL;Acc:K4B0K6] MASVLASLFPKLGSLGTSDHASVASINLFVALLCACIIIGHLLEENRWVNESITALIIGLGTGVVILLVSGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFVNFMTIMLFGAIGTLVSCAIISLGAIQTFKKLDIEFLDIGDYLAIGAIFAATDSVCTLQVLHQDETPLLYSLVFGEGVVNDATSVVLFNAIQNVDLTSLNPSIALSFLGNFFYLFLASTLLGAGTGLLSAYIIKKLYFGRHSTDREVALMMLMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTRHTFATLSFLAETFLFLYVGMDALDIEKWKFVGDRPGLSISASSILMGLILLGRAAFVFPLSYLSNLMKKSSEEKITFRQQVIIWWAGLMRGAVSMALAYNKFTHGGHTQLQDNAIMITSTITIVLFSTMVFGLMTKPLISLLLPPQRQLSTVSSGANTPKSLTAPLLGSREDSEGDLNVPDLPHPPSLRMLLTAPSHKVHRYWRKFDDAFMRPMFGGRGFAPPAPRSPTEHGP >Solyc05g041770.2.1 pep chromosome:SL3.0:5:54553896:54557084:1 gene:Solyc05g041770.2 transcript:Solyc05g041770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYSTQIFSLAVLLSSMFVYNQMGGIDEVALDCLTEMTRHIRVRASGGKASASKLGQFSPGFIWLPKPVQGGQKDVAAKNEESVFSQNQFHMLHYLFAHTQNFTLFTPNNDRA >Solyc04g014340.1.1.1 pep chromosome:SL3.0:4:4610936:4611145:1 gene:Solyc04g014340.1 transcript:Solyc04g014340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGSDGMLGRGILAGIGGGVIFGIKGNVGNDGNGVLAVGSVGKDGMLGNGGNVAAVGKLEIVGNCGIVG >Solyc01g096490.3.1 pep chromosome:SL3.0:1:87436801:87467587:-1 gene:Solyc01g096490.3 transcript:Solyc01g096490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSGNLVKNNSLKITTQQSLRRLGLCSQITSGQHSSPVVFPEKRSKGRSLTRGELSLSNNDSKKEKNEEHRIDIGDEQSDLLGYEVFSGKLVLDKGKTYKNSELEASKEVTSQDAVEAKLTSKAMVWGSSMLHLEDVISVSHCPGLRHFTIHSYPLRRGSGALSCFLKSRRSQKDFRFLASSSEEALQWVNAFADQHCYVNLLPHPLASSKKQASDLVTNEFPPESYVRCKNPPKMLVILNPRSGRGRSSKVFHRKVEPIFKLAGFKLEVVKTTSAGHARKLASTVDFSTCPDGIICVGGDGIVNEVLNGLLTRDNQKEAISVPIGIIPAGSDNSLVWTVLGVRDPVSAAIAIVKGGLTPTDVFAVEWVQSGRIHFGSTVTYFGFVSDVLELSEKYQKRFGPLRYFVAGFLKFMCLPKYNFEVEYLPALKEATGEGKASVIDMSELYTDIMRRSSKEGLPRASSLSSIDSIMTPSRMSGADLDTTCSSTEPSEYVRAIDAKSKRLSAGRSGNTTSEPEVIHPQVPHSVTPNWPRTRSKSKTDKGWAGLTAANDLTRSSWANTTTNDKEDISSTMSDPGPIWDAEPRWDTEPHWNIENPIELPGPAEDTEDVVRKDIVHKAAEEWVSTKGQFLGVLVCNHSCKTVQSLSSQVVAPKAEPDDNTLDLLLVHGSGRLKLIRFFLLLQMGRHLSLPYVEYVKVKAVKVKPGKHSNSSCGIDGELFPVNEQVISSLLPEQCRLIGRAPVCQNRYDEEERCPLLLQCGHGFCRECLSRMFSASPDSSLSCPRCRHVSLVGNSVTALKKNYAILALIRDSRYSSDDEDEEEENERGFNENAEDEENDSRRRHGARAASSSGCGGGRIEVGSHQEVKLIRRIGGESMRHGVEMWAATVSGRSSGSRGRCRHKVAVKKVGVGEEMDVVWVQEKLERLRRESMWCRNVCAFHGVTKLERSLCLIMDRCKGSVQTEMQRNEGRLTLEQILRYGADIARGVAELHAAGIVCMNIKPSNLLLDANGHAVVSDYGLPAILKKPACRKARLECESTITHSCMDCTMLSPNYTAPEAWEPVKKSLNLFWDGAIGISPESDAWSFGCTLVEMCTGSIPWAGLSSEEIYRSVIKARRQPPQYASVVGVGIPPDLWKMIGECLQFKVSKRPTFSSMLATFLRHLQEIPRSPPASPDNNLQYLGTNGVVPPAAYHSEVSLDDPSLLHRLVSEGNVNGVRDLLAKTVSGKSITSLRSVLEAQNADGQTALHLACRRGSVELVEVILECSQANVDVLDKDGDPPLVFALAAGSPECVRALIRRHANVRSRLREGLGPSVAHVCAYHGQPDCMRELLLAGADPNAVDDEGESVLHRAVAKKYTDCAKIILENGGCKSMSILNSKNLTPLHTCIATWNVAVVKRWVELASIEDIADAIDIPSPVGTALCMAAALKKDREAEGRELVRLILAAGADPAAQDTQHFRTALHTAAMINDVELVKIILDAGVDVNIKNVNNTIPLHVALNRGAKSCVGLLLSAGANCNIQDDEGDNAFHVAAFSANMIRENLDWIVLMLRYPDAAVEVRNHRQVSISPGKTLCDYLEALPREWISEDLIEALREKGVRLSPTVYEVGDWVKFKRSIVTPTYGWQGARHKSVGFVQNVLDRDNLIVSFCSGEGREAQVCREAQVLVDEVVKVIPLDRGQHVKLKADVKEPRFGWRDHAHDSIGTVLCVDDDGVLRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGFGSATPGSIGVVYCIRPDNSLMVELSYLPHPWHCEPEEVEPVEPFRIADRVCVKRTVAEPRYAWGGETHHSVGKIIDIEADGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVPSPKYGWEDITRNSVGIIHSLEEDGDVGIAFCFRSKPFSCSVTDVEKVPPFEVGQEIHVLPSVSQPRLGWSNETPATVGKIARIDMDGALNVRVAGRDSLWKVSAGDAERLSGFDVGDWVRSKPSLGTRPSYDWYSIGKESLAVVHSVQDTGYLELACCFRKGRLMTHYTDIEKVSGFRIGQHVRFRSGLVEPRWGWRGTNPDSRGVITGVNADGEVRVAFFGLQCLWKGDPADFEIEPTFEVAEWVKLREIASGWKSVGPGSIGVVQGMSYEGDKWDGNVFVAFCGEQDQWTGYCSHLEKVNKLLVGQRVRVRNSVKQPRFGWSGHSHASVGTISAIDADGKLRIYTPAGSKSWMLDPSEVDLVEEKEIQVGDWVRVRENVSNPTHQWGDVSHSSIGVVHRIEDGDLWVAFCFLDRLWLCKALEMERIRAFKMGDKVRIRDGLVAPRWGWGMETHASRGEVVGVDANGKLRIKFQWREGRPWIGDPADIVLHEHDDQ >Solyc08g016000.1.1.1 pep chromosome:SL3.0:8:6544012:6544365:1 gene:Solyc08g016000.1 transcript:Solyc08g016000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKISLLSLQNTLPNELDTQWVSTNKEKSNNLNKELLNRLEILDKKSLSLDILETRTRFCNDDTKKEYVPKMYDPLLNGLYRGTIKKGVSSSIINNTLLENWEKRVRLNRIHFVQ >Solyc07g005450.3.1 pep chromosome:SL3.0:7:356297:364494:-1 gene:Solyc07g005450.3 transcript:Solyc07g005450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLHLSSLTADSPVHSSSSDEFAAFLDAELDSASDVDEVESGEAEGEEEVEDEDNDTGDGDGSIDSSRSKKRKIELIEGAVDPQSSVSRGEPAETSGASMALDVCTHPGVMGGMCIRCGQKVEDESGVAFGYIHKNLRLADDEVARLREKDLKNLLRHRKLILVLDLDHTLLNSTRLADISAEESYLKDQREVLPDALRSNLFKLDWIHMMTKLRPFVHTFLKEASSLFEMYIYTMGERPYALEMAKLLDPGGIYFHSRVIAQSDSTRRHQKGLDVVLGQESAVLILDDTEVVWGKHRENLILMDRYHFFTSSCRQFGLKCKSLSEQKSDENEAEGALASVLEVLQRIHRLFFDPERGDNIMERDVRQVLKTVRKEILKGCKIVFTGVIPIQCQPENHYYWKLAEKLGATFSTEVDESVTHVVSMNDKTEKSRQAVREKKFLVHPRWIEAANYLWRKPPEENFPVSS >Solyc11g027982.1.1 pep chromosome:SL3.0:11:19948578:19949156:1 gene:Solyc11g027982.1 transcript:Solyc11g027982.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINDDEFKKKKVIFIMGATGTGKSSEIDPYSDFKAENFCLQVVVYIEKILKSQCVPIIVGGSNLYMENLMEDPVFMFKYKYDSFFIWIDVEQ >Solyc06g066447.1.1.1 pep chromosome:SL3.0:6:41834912:41836336:1 gene:Solyc06g066447.1 transcript:Solyc06g066447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKNEAEQHIFLKLGKGNLSFWYDNWTNKRALSNIVQQGNKPMKIKVSDFINQNQRNLSKLNSVLPANIVSEVQDIKFDLQSVDYPIWLPDQSGLFSSKSAWQNIRKSRARFLTSRKMWHNKISFKVSFFMLGLLGGKLATDEALCRFKVHGPSKCYCCTEGANETINHLFGDGNIACILWKYFSNTCGILHNSNENVRAKIMRWWLIKPVNKVHELLLQSLPSLICWETWKYRCNFKHEGVRIPIPRIIDQISNLLYLIINSQFPELPLSPSIISIYHLIDHITPKLEIKLVKWKRPNYNELKLNVDGCSKGNPGSAGGGGVLRDHAGQMIMAFSAYFGFCSNNSAEALALKTGLRWCLDHDFHRVAVESDSLLIIQMVKGMIDSPWHMKDDIKQIQSMSSLGDFSFIHTFREGNTTADLLANMAEHCKTTTFFTEAINLPSKVTSTLNNDVVGKPNIRIRVKKGNFIFDPG >Solyc04g051200.2.1.1 pep chromosome:SL3.0:4:50003402:50004861:-1 gene:Solyc04g051200.2 transcript:Solyc04g051200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQVSVRDDEVDIVIAAIEPDLTSFLEEWRAVFSRFHLIIIKDPDIKGELKIPGGFNYDTYTKADIQQIIGPSNAATFSGYSCRYFGYLLSKKKYIISIDDDCIPAKDTKGIQVDAIAQHINNLSTPATPFFFNTLYDPFCKGADFVRGYPFSLRNGVSCALSCGLWLNLADLDAPTQALKPELRNTRYVDAVLTVPARAMMPMSGINIAFDRELVGPALLPSFKLAKEGKFRWETVEDVWTGMCVKVVCDHLGYGVKTGLPYVWRKERGNAIGSLKKEWEGVKLMEEVVPFFQSMKLTPAAKTAEDCVIEIAAAVKEQLGRIDPVFTRAADSMVEWVQLWKAVKTRT >Solyc08g060870.1.1.1 pep chromosome:SL3.0:8:45772351:45772866:1 gene:Solyc08g060870.1 transcript:Solyc08g060870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEGLATNKAGIWYFQCRYCDEVFTIQGLGGHQRKHLPQGIWKRSESHQKKFCPSDEIPHLYRRLGKRKSTPTVLPGEGRFYRSRSKRNFGHPPSIFDKSQQAIVLQKPAPTLDPFLIEANCFIHFVEQIGINKDMNVGLAIKDDISVPSSSTSSSTATKDLMSEKDNED >Solyc10g008490.3.1 pep chromosome:SL3.0:10:2605291:2609646:1 gene:Solyc10g008490.3 transcript:Solyc10g008490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTSSSTCSSRSNGEKVSPECLGINMFSRKKSRRTFSDHVIALKHLSSIPNRIFTNGKSRTSCIYTQQGRKGINQDAMIVWEDFMAEDVTFCGVFDGHGPHGHLVARKVRDVLPLKLMSFLQSVESKGNGSAADCSNENPESEVLDPDKDQVNLDTQRREAFLQSYKAMDKELRSQPNLDCFCSGSTAITLVKQGSNLYMGYIGDSRAILASKDDNDSMVAVQLTVDLKPDLPKEAERIKQCKGRVFALQDEPEVQRVWLPFDNAPGLAMARAFGDFCVKDYGVISVPEFSHRVLTERDKFIVLASDGVWDVLSNEEVVDIVSSASTRSSAARILVDSAAREWKIKYPTSKMDDCAVVCLFLDGKMDLESDNEEEQCLTSAALQSNHSGIAAESDDGHNSEPSLQRNTTVRSAEESDIYKRVIAEAEADQETTMTEDQKWSGLEGVTRVNSLVQLPRFSDERQGP >Solyc03g112960.1.1.1 pep chromosome:SL3.0:3:64773909:64774736:1 gene:Solyc03g112960.1 transcript:Solyc03g112960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKEKLLKDEKSSSRSKRKLQLIVCLLALFIPIIVAVICASIILKQQTESNSLSFFPTNAITSVCDLISGDPDSCFDSIATLHSAQIPSRHEINPSQIFILSLYASRIELENVVTSIEKSISEVAMIKLESVGVLRNCQGMIEFSLKKLNESEMSLGIDPAEKTLAINKVVWDLQLWIGEAMGNVQGCYDLLEKIPSTTVRAEIRRKSYEALQKMKNSRGILQNMDEILDLFYPRIGTALVSLAWEYDYGRTVWLFCFEYLLLIFLFCLLLRIY >Solyc12g055805.1.1 pep chromosome:SL3.0:12:62729815:62732954:-1 gene:Solyc12g055805.1 transcript:Solyc12g055805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIVGGPMTTFEDSEKESEYGYVRKVSGPVVVADGMGGAAMYELVRVGHDNLIGEIIRLEGDSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIAKRSGDVYIPRGVSVPALDKDILWEFQPKKIGEGDLLTGGDLYATVFENSLMEHRVALPPDAMGKITYIAPAGQYSLNDTVLELEFQGVKKQVTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKASLIFINIGLPY >Solyc12g035364.1.1 pep chromosome:SL3.0:12:40042785:40044687:-1 gene:Solyc12g035364.1 transcript:Solyc12g035364.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNEEVDQAFIALNHGMSTAPILALPNYIEDLTVETNTSLTGIRYYARGSRMRHASMDFIEGFPKSRYKKVVIVVLDKRTIFAHFMALYHPYTSSTVATKFSKHTILPTTGEDGQFLVKPLQILQRQLVRTSNLAGIQVLVLWSNLSPEEANWEDYADLKAKFPDFNIHP >Solyc05g007290.2.1 pep chromosome:SL3.0:5:1929768:1952397:-1 gene:Solyc05g007290.2 transcript:Solyc05g007290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFQRSSLADRDIDQAIAALKKGANLLKYGRRGKPKFCPFRLSNDESAVVWYHDKEEKQLELCHVSRIIPGQRTAIFQRYPRPEKEYQSFSLICNDRSLDLICKDKDEAEVWITGLKAIITRGRSRRGKYDARSETMFSDSPLGQRVTTSTSSIDQGDNQRTESLPQSRLGKAYADIIQYTAAGKSPTLAETGSFNLSSLSAGAIDNSNARSSTADTFRVSLSSALSSSSQGSCLEDFDNLGDVFIWGEGTGNGLLGGGKHRIGKSSGTRIDANIPKSLESSVVLDVQNIACGNRHAMLVTKQGEAFSWGEEAGGRLGHGAETDVSHPKLIKNFKGMNVEMIACGEYHSCAVTSSGDLYTWGDGTKSSGLLGHRSEASHWIPKKVCGLMEGLRVSHVSCGPWHTALITSAGRLFTFGDGTFGALGHGDRSGCITPREVETFNGLKTLKVACGVWHTAAVVELMSGLDSRPSDAPSGTLFTWGDGDKGKLGHGDNKPRLAPESIAALVDKSFSQVACGYAMTVALTTAGRVYTMGSNVYGQLGSPLADGMSPICVEDYFVDSTVEEISCGSHHVAVLTSKTEVYTWGKGENGQLGHGDCENKCTPTLVDILRDKQVKRIVCGSNFSAAICVHNWALSADNSICFGCRIPFNFRRKRHNCYNCGFVFCKACSSKKSLKASLAPSTNKPYRVCDDCFDKLQKAIESEPFSRVPKVKAGNALYKANEQTDKESGLPLLVGLTSRLSSSDSFNRAQGRISRVDQYENRASSFQTENTPRESFSLSKSPISAFRVSKSLFSASLPSTRVVSQSTSPLLGKASALWPAIPASYPPVRTAEVVEDNLKPINDSLSLEVKQLKAQLEELASKSQLLEAELGRKTKQLKDATAKAAVEAEKRRAAKHVIKSLTAQLKEVTERLPEEQVSTNNLDFNVEQTSFNCTRPSNGKCVTTTTLTECSGSSNTVVSAKKSRGQKPERMLQVEPGVYLYLISLPDGGNELKRVRFSRKCFSEDEAEKWWNENGQKICEKYNITPEHEFLVIFEQLETRTRSSSSIQESAEDRGKEKKPSIQASHEAQNDQQNHIADTPVADAGSVSASGNDNRKVSREDIELVQNLIERCLQLYMNKDEVVKTLLNRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQIVLFNHLLEHQYHLMKYPVPPKVPLAPMQNGISTMPVNNLPMGYPVLQQPPVPAAGQPHLDPMGMSNCHVVNGVPAPGNYHPMRMNSGNEMVIDTSVADVAAAVPPGNAMSSMSDMAVSPTSVASSGHFPFTASEISGMGVDTSALDAAFPSDVASSVGLQLPPDNGVGNSRDSLRSLAQIPWNFSLSDLTADLSNLGDLGPLVNYPGSAYLPSDSDILLDSPEQDDIVEEFFVDVEASPEPTGPQSDEEKP >Solyc11g063665.1.1 pep chromosome:SL3.0:11:50019041:50022044:1 gene:Solyc11g063665.1 transcript:Solyc11g063665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDVEQSVLNRRVDMRVDQIVKADYTKGIRRCISVPGMERNLREETNIYGDDESKQMILQASISSINRNTHFTAEDFCLQAGIYIEKILKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYNSCFIWIDVEQSVLNRRVDMRVDQMVKADYTKGIRRSIGVPEMDRYLREETNIDGDDESKQMILQASISSIKRNTRMLICNQLDKIKRLISEKMWSVYHIIATAVFKEDLDEAWTNTVLHSCLDIVKRFPKNNHHNIIIECT >Solyc04g076280.3.1 pep chromosome:SL3.0:4:61288808:61295172:1 gene:Solyc04g076280.3 transcript:Solyc04g076280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREKIKIKKIDNITARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSATGKLFDFASTSMKDILGKYKLQSASLEKVDQPSLDLQLENSLNMRLSKQVADKTRELRQMRGEELEGLSLEELQQIEKRLEAGFNRVLEIKGKRIMDEITNLQRKGAELMEENKQLKHKMEIMKKGKLPLVTDMVMEEGQSSESIITTNNPDQDDSSNASLKLGGTTAVEDDCSITSLKLGLPFS >Solyc12g006010.2.1 pep chromosome:SL3.0:12:619177:624945:1 gene:Solyc12g006010.2 transcript:Solyc12g006010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:K4DBD9] MSGVAGGEEDKKPAGDQSGHINLKVKSQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRAEQTPDELEMEDGDEIDAMLHQTGGTTI >Solyc06g063300.3.1 pep chromosome:SL3.0:6:40125399:40126137:1 gene:Solyc06g063300.3 transcript:Solyc06g063300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFPQTSANYWSRFESLIKQGKAYFSPPTLDFRDSQEAQARAEGGAAPGEKVKEAVLKSLDKSKAALEESAKSAAKLAGEAVSKTTNKMKRTFSFGDRDPGHQEDEL >Solyc05g015500.3.1 pep chromosome:SL3.0:5:10760547:10766364:1 gene:Solyc05g015500.3 transcript:Solyc05g015500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVDNRNSSAAKRARTDGGRREDDWTCPSCGNVNFSFRTTCNMRNCTQSRPADHNSKSAVRPMHAPQSYSPSAAYVGSGAPSSMYMGVAPYGGSLFNGPSMPAYDVPFAGGSAYHYNFGSRLSGGSPYRPVHLSAPPPYTGGMGNGGMYGVPPLMDRYGVALPMAPAAMAPRPGFYPDDNSQKKDGTRDNDWACPKCGNVNFSFRTVCNMRKCNTPKPGSQVPKPVKNTKPDTPDGSWKCEKCNNINYPFRTKCNRQNCGAEKPSEAKKSPSQSADENDQ >Solyc08g078160.3.1 pep chromosome:SL3.0:8:62090530:62106032:-1 gene:Solyc08g078160.3 transcript:Solyc08g078160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQIQSGRHEQHQQLSRQVAKTTTAVTVGGSLMVLSGLTLAVTVIGLVVATPLLVIFSPVLVPAAITIFMILGGLLAATAFGATATFVFYWMYRYTTGKHPIGADQLDYARDRLAHAAHDIKEKAEQLGQQAQQQVKTSI >Solyc08g082517.1.1 pep chromosome:SL3.0:8:65403931:65404514:-1 gene:Solyc08g082517.1 transcript:Solyc08g082517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSGAVMGINYYKGPATPKPNAVEPHLLYWSEHDIFLQPSVQYYLVQLSDKLLFITRFAHHPELHRYKTYKFKVFEVDVVKGGELKEEDEIKTLGNSTIFLGLNTGTCSIDSTKFPEIKPNYIYFTDDWKDEFDYLDGGRDMGSYNFKDGKVESIYPGLSLSHISPPTWIIPSQIIC >Solyc12g014000.2.1.1 pep chromosome:SL3.0:12:4840204:4841616:1 gene:Solyc12g014000.2 transcript:Solyc12g014000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGFHRLMHTISDEENCALEVQTFLKNKADKSVSDIFQSLSDCNFTLSEDFILNVLKRHRSDWKPAFIFFKWILAGENPCRYSPNTESFNEILDILGRMRRFDELNQVLDEMSKRGNLVNEKTYGIVINRYAAAHRVEDAKAFFYKRKNFGLELDLIAFQTLLVCLCRYKHVEDAEFLFYNKIIEFKDNIKTRNIILNGWCVLGNSREAKRFWNDIVTSKCKPDKFTYGIFINSLCKSGKISRAVELFQTMWEKGCKPDVAICNCIIDGLCFKKRIPEALEIFREMNERDCLPDVVTYNSLIKNLCKIRRIEKVYELLDEMETKGESCLPNARTYGYLLNSAKTPEEAIGILERMNGNQCKMTGDIYNLLLRLFMSWDDQDKVKSTWDEMERSGLGPDQRSYTIMIHGLYEGGRLEDALSYFNEMISKSMVPEPQTNKLVDVMNTLKEKGKESKKMEITKKGRKAKNTG >Solyc02g085340.1.1.1 pep chromosome:SL3.0:2:48894338:48896167:-1 gene:Solyc02g085340.1 transcript:Solyc02g085340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYMCTDSGNLMAIAQQVIKQKQQQEQLQQQEQQQQQQQQFLGVNPLCLSPWTSNHQTLTNSPTLGYGLTGSGFADPFQVAGGTDSAEPGFQFPNLEHHSTGFRFADFGGGPGGEFDSDEWMESLIGGGDSTGSSNLQSGCEAWQTSSEFTTLYGDPFPSCPNRLSIGSAPPPPPSSDLNGVIFSETQKNLSPLQPQTSPWVAPSSSPPPIVQPASKESKVVSVDVQPCSSPESFSSKPLLKSLVECARLAESEPENVVKSLIRLRESVSQQGDPMERVGFYFLEALYNRLSSCQAERTPSIFGTAPEELTLSYKAFNDACPYSKFAHLTANQAILEATEKATRIHIVDFGIVHGIQWAAFLQALATRSAGKPVSVRISGIPSVVLGNSPSASLLATGNRLRDFAKLLDLNFEFEPILTPVQELNGSSFRVDPDEILAVNFMLQLYNLLDETNVGVKTALSLAKSLNPSIVTLGEYEVNLNDVGFLQRFKNALKYYSTIFESLDPSLTRDSAERVQVERLILGRRIAGAVGLDDGGTRRECMEDKEHWKELMEGAGFKPVTLSHYAMSQAKILLWNYNYSSSFGLIDSAPGFLSLAWKDNPLLTVSSWH >Solyc01g066913.1.1 pep chromosome:SL3.0:1:74996781:74999501:1 gene:Solyc01g066913.1 transcript:Solyc01g066913.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRGRESGAEKKRKKGGTELKKNKKERIVAATVGVDMNNKTLKIEYKVEESNKRMISQCKEMRVLATVAENDCHGMIVVEPEDTNVAFVSIDTTWVISDESNKHVEVDQVYKDKSILKAVMERYAIKERFQYKTTRSNSIR >Solyc01g090600.3.1 pep chromosome:SL3.0:1:84144327:84146352:-1 gene:Solyc01g090600.3 transcript:Solyc01g090600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTISYQRYHSILSPNMSHNNKNVNGASNHFLRLPTPGKATILAIGKALPPQLVPQDCLVEGYMRDTNCKDLRIKEKLERLCKTTTVKTRYTVMSKEILEKYPELATEGTPTINQRLEIANEAVVEMAKEASLACIKEWGRSVDEISHIVYVSSSEIRLPGGDLHLSTELGLRSDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPNNARPYDLVGAALFGDGAAAVIIGTEPIIGTESPFIELNFAMQQFLPGTKNVIDGRLTDEGINFKLGRDLPEKIQENIEEFCKKLIAKRDELQGSNYNDLFWAVHPGGPAILDRLEKTMKLQNEKLNCSRKALMDFGNVSSNTIFYVIENMREEMKNKKDAGEEWGLALAFGPGITFEGILLRSLLI >Solyc04g024820.1.1 pep chromosome:SL3.0:4:28607090:28607383:1 gene:Solyc04g024820.1 transcript:Solyc04g024820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLIGKKSGDLRPQKNQGDCATTCLPLRLKLQQHQLHAASSEHLQQSVYSRIFSYYLFYE >Solyc01g107330.3.1 pep chromosome:SL3.0:1:94790508:94793139:-1 gene:Solyc01g107330.3 transcript:Solyc01g107330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSLGLFSVFSTGVTASFAKSDSFSSVRLASPLAAHHVNNLRTVRTVTFATASKPVAEPKKREPRGIMKPRRVSPEMQAFLGGMSEVPRTQALKLIWAHIKANDLQDPQNKKVIICDEKLKTIFGGKERVGFLEIAGLISPHFLK >Solyc01g107857.1.1 pep chromosome:SL3.0:1:95143769:95144493:1 gene:Solyc01g107857.1 transcript:Solyc01g107857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDLNGYGVIFNSFYELEPDYVEYYANVLSKKNWAIGPLSVCNRDIEDKAERGKNTLIYKHERMKWLVRRNPDGHLKLLILDHEAVGAFVTHYGWNSTLSAGLPVSGEQLYNEKLVIEVSRTGVAIQAEGLRSRAKAYKNVARQAVEEGRSSYTGLTNLLQDISA >Solyc01g111105.1.1 pep chromosome:SL3.0:1:97394555:97395812:-1 gene:Solyc01g111105.1 transcript:Solyc01g111105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRSSTNNDPKSIPPFICECILVLAFSSDFVRRMCKLQMPIQEHGFFISLQAMALISNFYQYAWLLSCDFDADC >Solyc05g039970.1.1.1 pep chromosome:SL3.0:5:49380829:49381449:-1 gene:Solyc05g039970.1 transcript:Solyc05g039970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSFSKLNIEVDWFAPADNVFKLNTDGAILQGKHSGSIGGAMRYSLGNFIIGFSRKIVTYSHVMAELQALYTGLEIALERNISALEVEVVSTKVIEHFKYVHPNYQSIVESCRFPLRRLGNLVVRHNFRQGNRLADSLAMEGMLLDMKNEDYILLVAPSAARPNLLADKNGEATTRTIFLSTCTKLAIHGNLNIICNGVTTNNI >Solyc03g063166.1.1 pep chromosome:SL3.0:3:35697628:35700464:-1 gene:Solyc03g063166.1 transcript:Solyc03g063166.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTGSPILLSKDDLTKVIIQTNNFDFLLNVILGDNATDLFAPSSVLFYDAGSNGQDVSSSGLVIPLTMSSNIDESSSLQGQPLSFFSIVEIS >Solyc11g020820.2.1 pep chromosome:SL3.0:11:12229808:12237323:-1 gene:Solyc11g020820.2 transcript:Solyc11g020820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative rRNA methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D733] MGKVKGKHRLDKYYHLARGRGYRSRAAWKLIQLDSKFSFLRSSQSVLDLCAAPGGWMQVAVKHVTVGSLVIGVDLVPIRPIGGAISVQQDITTPKCRSTVKKLMAENGCRAFDLILHDGSPNVGGAWAKEATTQNSLVIDSVKLATELLAPKGTFITKIFRSQDYNAVLYCLRQLFEKVEVDKPPASRSASAEIYIICLKYKAPSKIDPRLLDIKHLFQGGKEPPKVIDVLGATKQKRHRDGYEDGATVLRKVCSVADFVWSDNPVQVLGSFTSMSFEDPACLAIRDHTLTTEEVKSLCDDLRVLAKQEFKYLLKWRMQIRKALSPEKIKTLTVFESESKEGEDEDEDERVLNEIEEKTNILEKKQKKEKKLQAKRRAKEKARKALGIQVDATEDGYGDQDLFSLSSIKGKKDLLAVDNSEYNKQTTEVSDESDEEAQEHSSSDLDSEDERRRHDDNIEALFDEAYERYLGRVEGKSKQRKRSKQAHLKDDLQDGNDDSMMIDSAQDSESDMEDNEVNPLVVPLEDAPPQEEIMKMWFTQDVFAEAEEQDVLDMYDINDEMQIDGGTKKIQQSKELTNDKQQGETKDMTRRKTNGGLQVSASKADDDFEIVPAPATDSSDSSSDESDDDIDTKAEILSTAKMTLKKRPREEMIDDAYNRYMFHDEGLPKWFVDEEKRNFQPVKPVTKEEIAAMRAQFKAIDARPAKKVAEAKAHKKRAAHRKLEKFRKKANSISDQTEISEGSKRKMIEQLYRKAASTKKPEREYVVAKKGVQVKIGKGKVLVDPRMKKDARKHGMKKKTQPKRKRLRKGFNSSLSS >Solyc06g048945.1.1 pep chromosome:SL3.0:6:32099318:32103942:-1 gene:Solyc06g048945.1 transcript:Solyc06g048945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSLHLRRTIKFYCIIFHSSGGNGSGSNGPVSQGYRSQGNMKPHKPQRSGYLYCDHYEMNGHNRVDCNKLKYCTHCHKHGHLKDVCFQLIGYPVNYKGKRLANNVSTDCGSLGKSNDNSCFVTSTQQSQQQYGSGFGASSVPQFTPDQYKNVLQMLNLPLIHEGNATSTNINANEAGNFAGNSKFNSCSFDWIVDSGATDHMVGTKNNLLTGRVKEIDKEDGGLYILKSPQLIDTGQHHKSISTRAIQDSDEGEAIVPDDAIQVPVLNVKADDHVCIDDHIDSTTRHSVDHIFEDPNMSPSSVVQEPTMSSTIGRRQSTRTSRPPFWQNYFVTSAKCESKSHCLSDEGIVIVLIYVDDLLVTGRSLKLINETKTVLKDNFKIKDLGTLRYFLGIEFARNTKGILMHQRKYALEIISHLGLGGSKPIATPVETNVKLTTMVFDKHVGSSSDSLLSDIGAYQRLVGRLIYLTITRPDLSYAVQSLSQFMNAPKRYHMDAAVRVVRYIKQNPGSGILLAAQSSDYLQAYCDADWGSCLDTRKSITGYMVKFGDSLLSWKSKKQSTVSRSSAEAKYRNMTSTVSEVTWIIGLFRGLDMPLTLHRSSTKHFSILRV >Solyc02g094230.1.1.1 pep chromosome:SL3.0:2:55469201:55469620:-1 gene:Solyc02g094230.1 transcript:Solyc02g094230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNRKRRTGFMKGKLVKSLYRSAAPTHVPSSPSNNYYSSFKDDHSPPQTVYHLQLHHHHQPPKEKLVPFNPNNINTSSSFIVNQDQAAPPQKPKVSYYIPPKSNGTTDYNYGDQSVDMKAAHYISCVQERFRSLELTC >Solyc01g009680.3.1 pep chromosome:SL3.0:1:3901629:3909063:1 gene:Solyc01g009680.3 transcript:Solyc01g009680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAVQQKKLFILDYHDFLLPFVNKVNELKGTVLYGSRTLFYLTPNGTLRPLAIELTRPPVDDKPQWKQVYCPTWYATGAWLWRIAKAHVLAHDSGYHQLVSHWLRTHCCTEPYIIASNRQLSAMHPIYRLLFPHFRYTMEINGTAREALINANGVIESSFSPGKYSMELSSVAYDLHWRFDREALPEDLISRGLAEENPNAPYVLRLTIEDYPSASDGLVWDILKQWVTNYVNHYYPQAKLIESDEELQAWWLEIKNVGHGDKKDEPWWPELKTPNDLISIVTTIIWVTSGHHAAVNFGQYSYAGYFPNRPTIARSKMPTEDPTDEEWEDFLNKPEEALLKCFPSQLQATKVIAVLDVLSNHSPDEEYIGTNIEPFWKDEPVINAAFEVFSGKLKELEGIIDARNADCNLKNRNGAGVMPYELLKPFSERGITGKGVEIQSYPRTLSWNKSADCCSWDGVDCDETTGQVIALDLCCSKLRGKFHTNSSLFQLSNLKRLDLSNNNFTGSLISPKFGEFSNLTHLVLSDSSFTDLGSNNLEGTIPQCVGEMKENLWSLDLSNNSFSGTINTTFSVGNFLRVISLHGNKLTGKVPRSLINCKYLTLLDLGNNMLNDTFPNWLGYLPDLKILSLRSNKLHGPIKSSGNTNLFTRLQILDLSSNGFSGNLPESILGNLQAMKKINESTRFPEYISDPYDIFYNYLTTITTKGQDYDSVRIFTSNMIINLSKNRFEGHIPSIIGDLVGLRTLNLSHNALEGHIPASFQNLSVLESLDLSSNKISGEIPQQLASLTFLEVLNLSHNHLVGCIPKGKQFDSFGNTSYQGNDGLRGFPLSKLCGVDDQVTTPAELDQEEEEEDSPMISWQGVLVGYGCGLVIGLSVIYIMWSTQYPAWFSRMDLKLERIITKRMKKHKKRY >Solyc10g005990.3.1.1 pep chromosome:SL3.0:10:746837:749440:-1 gene:Solyc10g005990.3 transcript:Solyc10g005990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYQEMERFGMENDFEDGQWIGGEFYYRKRKEKKQVQTKDDTLYGVFASGDSDSDYEGSSSKKRKKGFSSKPDLTKPVNFVSTGIVMPNKEIDQNSKEENEEQLMPEEENRGLGLGFGAASAGGLGFGTGSSSNNNNSNADEVEADGGNFLPTAFGRKIKEGALRREKEREKEKSMLAKKSSASESGRRETGGDVGGFEKFTKGIGMKLLEKMGYKGGGLGKNEQGIVAPIEAKLRPKNMGMGFNDYKETSSAPALQESDGKQTVARPAILPVEGRSKEKLWSKQAKKVKKVYVTAEELLAKKQEQGLETVQKVFDMRGPQVRVLTNLENLNAEEKARENDVPMPELQHNIRLIVDLAELDIQKIDSDLRNEMETVVALQKEKEKLQAEAARQKRQFDNMEEIVGILDRIGEESTSGTLTLDSLAKAFADLQQQYVEEYTLCNLSSIACSYALPLFIRIFQGWDPLQTPTHGLEVVSLWKDLLQGNDIFAISDAASPYTQLFMEVVFPAVRISGTNTWQARDPEPMLRFLDSWEKLLPSSVLQSILETIILPKLSAAVNSWDPRRETVPIHSWVHPWLPLLGQRLESCYHTIRSRFESVLHAWHPSDMSAYYILSPWKTVFDATNWEKLMVRFIVPKLLAVMHEFQINPANQNLDQFYWVRTWATAIPIHHMLPILDIFFNKWQEVLYHWLCSNPNFEEVTKWYLGWKELIPPELQANEHIRYRLNLALEMMNRTVEGLEVVQPGLRENISYLRVLEQRQFETQKKAAVQAQSRPSVGSNSGIQMDGGVDMSMKEVIEVHAQENGLLFKPKPGRMQDGHQIYGFGNISIIIDSLNQKVFAQVEDRWSFVSLEQLLDLHNRSGSKRR >Solyc04g071650.3.1 pep chromosome:SL3.0:4:58716236:58723118:1 gene:Solyc04g071650.3 transcript:Solyc04g071650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4BTF5] MDTKGRLIAGSHNRNEFVLINADEVGRVTSVKELSGQICQICGDEIEVTVDGETFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSQRVDGDDEEDEFDDLDHEFDYDGTPRHLSEAALARLGRGTNYNASGLNTPAEVDPAALNSEIPLLTYGQEDDTISADKHALIIPPFMGRGKKIHPVPYTDSSMSLPPRPMDPKKDLAVYGYGTVAWKERMEDWKKKQNDKLQVVKHGGKGGDNDGDELDDPDLPKMDEGRQPLSRKLPIASSRLSPYRLSILVRLAVLGLFFHYRITHPVNDAYVLWLLSIICEIWFAVSWIFDQLPKWCPIRRETYLDRLSLRYEKEGKPSGLAPVDIFVSTVDPLKEPPLITANTVLSILACDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSLKVDYLKNKVLPSFVRERRAMKRDYEEFKVRINGLVATAQKVPEDGWTMQDGTPWPGNLVRDHPGMIQVFLGNDGVRDIEGNVLPRLIYVSREKRPGFDHHKKAGAMNALMRVSAVISNAPYMLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKKTKPPGKTCNCWPRWCCCCFGTRKKHKKAKTTKDNKKKPKSKEASPQIHALENIEEGIEGIDSEKAALMPQIKLEKKFGQSPVFVASTLLEDGGIPPGATSASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPNRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGCGLKPLERFSYINSVVYPLTSIPLIIYCALPAVCLLTGKFIVPEISNYASILFMALFIMIAVTSVIEMQWGGVTIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTSFTVTSKAADDGEFSELYLFKWTSLLIPPMTLLILNIIGVIVGVSDAINNGYDSWGPLFGRLFFALWVIVHLYPFLKGCMGRQNNVPTIIIVWSILLASICSLLWVRLNPFTAKGGLSLEVCGLDCD >Solyc10g085010.2.1 pep chromosome:SL3.0:10:64475155:64476227:-1 gene:Solyc10g085010.2 transcript:Solyc10g085010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLVSHCHIFPIYIQLKETPLILQNTITNKTSFLNYNINPYKNTFLITKRRKMALSMKHSSIILFFASTLFLQVALGEITCENLPTNVCSFSISSSGKRCLLENSATEDGKLEYQCKTSEVVVANIAEYVETDECINSCGVDRNSVGISSDSLLEPQFTAKLCSPSCYRNCPNVVDLYFNLAAGEGKQYDLFI >Solyc02g032170.3.1.1 pep chromosome:SL3.0:2:28269550:28271413:-1 gene:Solyc02g032170.3 transcript:Solyc02g032170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLVMFLLHIEFIFVSVTYVKRDSFYFYLFLLFIYLFRFLFAQRTFRPTKGHRLSGLVII >Solyc05g056270.3.1 pep chromosome:SL3.0:5:66465924:66473224:1 gene:Solyc05g056270.3 transcript:Solyc05g056270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSASISSIEFRTFSNLPSRRTAYLTHRRVAETLHQSASSLPERVGSSSSKAGRKSFIRYTVSASYGDKESGSVNSNEFTSPAKALRKLLESPGVHQGPACFDALSAKLVERAGFDFCFTTGFGISAARLGLPDAGLISYGEMVAQGLEITQAVSIPVIGDGDNGYGNAMNVKRTVKGYIRAGFGGIILEDQVSPKACGHTRGRKVVSREEAIMRIKAAVDARKESGSDIVIVARTDARQAVSFEEAIWRARALADAGADVLFIDALASKEEMKAFCDVYPLVPKLANMLEGGGKTPIFTPIELEELGYKLVAYPLSLIGVSIGAMQDALSAIKGGRIPSPGSMPSFEELKEILGFNTYYEEEKRYATTSSQLPSRKGDFSSNSNEYGVQPGIQADRVQRTQIPENPVEVLIPEVYDRFSGEGKKGNFSMAWSRKLRVKITGKDGFEKLDIRIPAGFLEGITNIVPALVGVNIKSLLDEATLEEGGKQLLDFQDTMGDRIQVILE >Solyc09g005400.3.1 pep chromosome:SL3.0:9:296641:297747:-1 gene:Solyc09g005400.3 transcript:Solyc09g005400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSVLCAKIEMKANKDVFHDVFTNKPHHVSTMSPLHIQNFELLEGGIGIVGSKICWNYTLDGEKKISKQILETIDYENKVLTLKEFEGDVTDIYDSFKAILHIETKGEIDLVCWTLEYERPNENVPELINVLNFVVGMTKAIDDHHAN >Solyc07g017250.3.1 pep chromosome:SL3.0:7:6564800:6577752:-1 gene:Solyc07g017250.3 transcript:Solyc07g017250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVMDKNIGSLLCLDTWRKDVKIEDVKAALDKAAPGKIRVVSLTKVSREFHPNFSAKWRHYLYIFPIDDVLGEKQGGQIWIDDSTNVHQQNECDKSDVDKSNVNEINNENDKLVHGNKPTKFEVGKVNRLLGQLEGKLLSYKMFARDTKASRNIGPPTECFVFHARAIETSIPCAKDGSHMKTMCIELVANRFLRKMVRVLVATAIREAAAGADDDALLKLMDATCRRATAPPAPPDGLCLVDVGYTDYDIRHCLIP >Solyc05g026330.2.1 pep chromosome:SL3.0:5:40893718:40895078:-1 gene:Solyc05g026330.2 transcript:Solyc05g026330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYENIMQIIAIDLDRDAYEMGLPIIKKANIEHKINFIQSSALSALDEILNKNDNRGSFDFAFIDADKVSYQKYHERMLELVKVGGIMVYDNTLWFGTVAMPEECVKEIMKPNRQHIIEFNKFLAADTRVQISLVPIGDGITICWRL >Solyc03g114840.3.1 pep chromosome:SL3.0:3:66198734:66205172:-1 gene:Solyc03g114840.3 transcript:Solyc03g114840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EJ2 description:MADS-box protein EJ2 [Source:UniProtKB/Swiss-Prot;Acc:Q7Y040] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSSMVKTIEKYQRCSYATLEANQSVTDTQNNYHEYLRLKARVELLQRSQRNFLGEDLGTLSSKDLEQLENQLESSLKQIRSRKTQFMLDQLADLQQKEQMLAESNRLLRRKLEESVAGFPLRLCWEDGGDHQLMHQQNRLPNTEGFFQPLGLHSSSPHFGYNPVNTDEVNAAATAHNMNGFIHGWML >Solyc06g036190.1.1.1 pep chromosome:SL3.0:6:25758249:25758449:-1 gene:Solyc06g036190.1 transcript:Solyc06g036190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMAPESVLDDEYGAPADIWAFGCTVFEMIITGKKVWDCTGINDPLHAITGLARRQVVQTGKRFSK >Solyc12g076355.1.1 pep chromosome:SL3.0:12:22635876:22639808:1 gene:Solyc12g076355.1 transcript:Solyc12g076355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDNVGRDMPSSPMERTDSGTTSDMACHHRPWKTYTVRLCQTCHQGPCPAHMVGRGRAWQAINALGQHTQSYNIRRGMPSSPLDSTRGRITTGDRCHHYLGATDTIELRRAWHAIIYHGCLIPLHAIIAIGQHMLSDAVRLDMPYSAFDNTHGGTKSGVA >Solyc10g078980.2.1 pep chromosome:SL3.0:10:60751259:60752523:1 gene:Solyc10g078980.2 transcript:Solyc10g078980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLAGNLSCLCLILVQKSGEWAITHDLCNVIAVVVVA >Solyc11g013420.2.1.1 pep chromosome:SL3.0:11:6446970:6447053:-1 gene:Solyc11g013420.2 transcript:Solyc11g013420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TTFSPVCISFPSYDRNSNNWDYKIGKG >Solyc12g035280.1.1 pep chromosome:SL3.0:12:39683116:39688794:-1 gene:Solyc12g035280.1 transcript:Solyc12g035280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVEVGIPEQFVKYFEKYGEIRDSVIIKDRRTSIPRGFGFITYVDSSVFDIVIAETHNINDKEIKNTIPKGSAESKDFRKKNGFLLAAFLLLWMTGFFSEYGKVGDCNIIRDHVYKWSRGFIFIEFDEEQVVDNLLCRGTMIDMLGNQVEFKKLEPKKPSFPTSGHSYGNESRGRTYNDSYDGGFGNSYNNFGSGWGFGRASYRSFGGTSDPSLGYPTRYGSNRSGYDTRGASGYGGGGLMGAYGRGGGYGGNVGDGSGASYESGPNICYGGPEGLYGSRTGYGGSSRYHPMAGGLHMRACDLYGANTKKKPWFTFGHASFALLFFFGHIWHGARTLFRDVFSCIDPSLDAKVEFGAFQKLGDPTTKRQAA >Solyc07g064200.3.1 pep chromosome:SL3.0:7:66576826:66578907:1 gene:Solyc07g064200.3 transcript:Solyc07g064200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIPWRNISWVVLVLVACSYQTCNGQDYGGDEKTVAAPPPEQENCDGIFITYNFDGREKIYPLVKNVSAQGWSFKSMLTVLNAGTFELKSWQVFIGFQHNELLVSADGAVAIDGDGFPVRVGKNGTTLAGYPQSDLKTAIDTAGDFTQMSAQINIKGTQFGLREKTNPMPSTIKLVNEGYKCPAPKRYKSYMHVCCKRDLKFKPKKVVTKFMPRRYGDLSITYDVLSAFTNRYQAQVTLDNLNPLGRLDHWNLTWEWMRNEFINTIKGAHTHKIDPSECIYGPQGQYYKDFDFTPVINCQKKPIISDLPKEKANDDKFGKLPYCCRNGTLLSPIMNETEARSIFQMEVFKLPPDLNRTALNPPQNWKITGTINPSYSCGPPVRIDPSVFPDPKGIGIATAVASWQITCNITRPKPKAAKCCVSFSAYYAASVIPCNTCACGCEETSKCDANKKPLLLPPEALLVPFENRDLMAKAWSNIKHLGPMPKKLPCPDNCGVSINWHVDSNYKTGWTARITLFNWGNDAFENWFSAIQMKKDVANGYENVYSFNGTRLPKEKSNTIFMQGLPGLKFLVGEVNGTNPNKDPRVPGKQQSIISFLKKNTPHINVDAGDGFPSKIFFNGEECALPPEFPKNTAAFKSKFGVFPAVLLALLTFFLLTDRLH >Solyc06g075563.1.1 pep chromosome:SL3.0:6:47059077:47060044:1 gene:Solyc06g075563.1 transcript:Solyc06g075563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELYNNPFLLPAKQNKIHLVMVPFPSIQKWSCFNKFTFCQRLSSGFFNTTIALILSVRVRLSMTMVNPNDLILVSIIFGCTYVVHERLLARMLCHRPSVECIGSDPQHQDGELILEVLQDVLPIGLANSLSYLMKSRFSGKGNPELSHTSLNSIITTDTVEGIDLESFPILLPMDN >Solyc01g088250.3.1 pep chromosome:SL3.0:1:82938587:82941678:1 gene:Solyc01g088250.3 transcript:Solyc01g088250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKKSWFNLLKTFFVSGSESRLDKGKRKRWVFTRLKIRRLVATSALSPPRERRQQKTEEKQNKHAINVDVETINESNVDAENAKVYFKEDIESIHEPNNETLVLSKLTIHGEETKYFYLYERRIENLAAIKIQTAFRGYLARKALRALKGLVRLQAIVRGRAVRRQAIATLRSLQSIVNIQSEVCAKRCDNQVKSTVHCQEKTLMDLGEKDIKTEHQLARIDLNSQKRWDNRYLSKEEANKMFSSKREAAIKRERIREYWLSHRRSTESDINGRRRYWLEQWVDAQLAKRDDLKNVDTLFSARNREEFERKEMKPKPSLRQYHTDQELVSPVYVPRRSFHHRRQKSTGDHDNTFMGSPSIPTYMAATESAKAKARSMSSPRLRPINTDVYSEINSPYKYKLSPISSINSDATVTSRMGSVPGFSQRSPCLKGTPGPIKSTRSIKDLSFGSDGAFANWDRIGACR >Solyc12g014050.2.1 pep chromosome:SL3.0:12:4860138:4867167:-1 gene:Solyc12g014050.2 transcript:Solyc12g014050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTLSLNHSTICIHFPQITEFAAQFLCKTMIRLRYRRIEIGTGDGGISNLGFGKRGIVTSCRAVLLPRFGGPEMLEFRDNVSVPDLKPNQVLVRARAVSINPLDTRMRSGYGRSIFEPLLPLILGRDISGEVAAVGNSVKSLTVGQDVFGALHPTAVRGTYTDYAILAEDELAPKPGSLSHVEASAIPFAALTAWRALKSTARIREGHRVLVIGGGGAVGFSAIQLAVAAGCHVSTTCGGESISRILAAGAEQAIDYTSEDAEVALKGHFDAVLDTIGVPDTERMGISLLKKGGHYMTLQGEAASVTDRHGLAIGLPMAAAILLKKQIQYRYSHGIEYWWNYMRADAEGLHEIRRLSEAGKLQLPVDKTFPISQVREAHEAKDRRQIPGKVVLEID >Solyc09g007950.2.1 pep chromosome:SL3.0:9:1445397:1455347:1 gene:Solyc09g007950.2 transcript:Solyc09g007950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRQPPQPPPPVIINHQTTTQILKQTTTTFISNLLLFLFLSSLILTFRSNVENGTSYLTSFIDRDPSLKSILSRIDLSGQQLQHPQLRRDHHRRRRPFLHLSRVGTLDDDFFSGDSDLDKSLFHPSSKPQPNSTYVILSNFDPNLGFSEQIIDDGILFPQAIRNGFISFKPPSETQNLSQVDNPENEYDNENGVVDLQFLIKGLELGRGDVTALLFLVSLLSAAYAYVVLVFLVTYTWIHGIIFLQVLDNVLGNYRSIFRTAWEGSNLGLRRLSGFVLMRWAVKDALAQLLGIWVFGEIEDQYSFFKVFVRMKLMPFSDVAPWVTGHEKESLCFIISWFLVELVVGFIFAVDSWVSIVDSRKSGREVVKEGCHLLGIMNALASNGYLVIERLRSEFVALIYFESNALKSGCFSKELDHYDKNSSEKRKNSVARVYSSSKDKYIVHLEVLILWTRGR >Solyc03g013400.1.1 pep chromosome:SL3.0:3:46307801:46310255:1 gene:Solyc03g013400.1 transcript:Solyc03g013400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHVQVHIEVYINVHTRVHFDVHNHGYIDVHLHVDVRCDVYIYFHIDVYIYVHAIIYIYDDANVYINVHLNVDVNIDIDDDVYIRVELYADFHVEVCINIKVDVNIDGRIHVNIYVDLDLDVHVNIDVHIDIDVFINIHVDIDVYVDIDIEVNV >Solyc08g028857.1.1.1 pep chromosome:SL3.0:8:39394557:39395282:1 gene:Solyc08g028857.1 transcript:Solyc08g028857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGRL >Solyc05g055330.3.1 pep chromosome:SL3.0:5:65856894:65864741:1 gene:Solyc05g055330.3 transcript:Solyc05g055330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATISKDELSKSLNRRSLSRSRRMSFGSTSVRSWASASVTEVLTAPGGDVFQINGKENDDEDELKWAAIERLPTYDRLRKGILKQTLDDGKIVHQEVDVMNLGFQDKKQLMESILRIVEEDNERFLLRLRDRTDRVGIDIPKIEVRYEHLSIEGDIYDGSRALPTLWNATINFVEGALEKVKLVPSKKRVVKILHDVSGIVKPSRMILLLGPPGGGKTTLLKSLAGVPDKDLRVAGKISYCGHELSDFIPQRTCAYISQHDLHHGEMTVRETLDFAGRSLGVGTRYDLLTELSRREKELGIKPDPEIDAFMKATAVAGQESSLVTDYVLKLLGLDICADIVVGDQMRRGISGGQKKRLTTGEMLVGPAKVFFMDEISTGLDSSTTFQIIKYMRQMVHIMDVTMIISLLQPAPETFELFDDIILLSEGRIVYQGPRENVLEFFESIGFKCPERKGIADFLQEVTSLKDQEQYWFRENKPYRFITVAEFAELFSNFRVGRELFDELEVAYDKSKAHPASLVTAKYGISNMELFKACLSREWLLIKRNSFLYMFKTFQITVMSIITFTVFFRTEMKAGQIADGGKFYGALFFSLINVMFNGAAELALIIFRLPVFFKQRDSLFYPAWAFALPIWLLRIPLSFMESLIWVVLTYYTVGFAPAASRFFRQFLVFFALHQMALSLFRFIAAIGRTLVVASTIGTFSLLIVFVLGGFIVAKDDLEPWIKWGYYASPMSYAQNAIAINEFLDKRWSTHNNDSSFSEETVGKVLLKSRSMYTDDYMFWICVIALFAFSFLFNFCFILALTYLNPLADSKSVSMDDDKSKKNELSNFNPKESTEKSSVSTTATFKGIDMAIRNNSSIDKRAAKKRGMVLPFQPLSLAFKHVNYYVDMPAEMKAQGIEETRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKIGGYTDGSIIISGYPKNQSTFARISGYCEQNDIHSPHVTVYESLIYSAWLRLSQDVKKETRKNFVEEVMELVELNPLRNCLVGLPGLDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGPLGHHSRLLIEYFQSVPGVPTLKEGYNPATWMLDITTPAVEGQLNVDFGDIYTNSDLYRRNQELIKQLSVPVPGSQDLRFPTKYSQPFVDQCKACFWKQHLSYWRHPQYNAIRFFMTAIIGIIFGIIFWDKGNKMYKLQDLLNLLGAMYSAVMFLGGTNTSTVQSVVAVERTVFYRERGAGMYSALPYAFAQVAIETIYIAIQTVIYSLILYSMIGFHWTAAKFFWFYFFVFMSFVYFTMYGMMLVALTPSYPIAAIVMTFFLTLWNLFSGFLIPRPQIPIWWRWYYWGSPVAWTIYGLVTSIVGDKNDNVEVPGIGEIPLKLFLKDNLGFEFDFLGVVAVAHVAWAVLFSCVFAYGIKFLNFQRR >Solyc01g079710.3.1 pep chromosome:SL3.0:1:78676511:78684284:-1 gene:Solyc01g079710.3 transcript:Solyc01g079710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKKRAEREAESELLNTETINEQNDLHLVNGDSKKIKKKKTKEVKEESVLKEKPTVSIALAGSIIDNTQSLELATRLAGQIARASTIFRIDEVVVFDNIGSSVDCSDPTMEDGSHDDESGAAFLFRILKYMETPQYLRKSLFPMHNNLRYVGSLPPLDAPHHLRKHEWAPYREGVTLKDRNSTSGGTLVDVGLSKPVAVDQVIAPGIRVTVSMGAERNLDSGIPHQVVSSSTPMEDAGMYWGYKVRYAPNISSVFKNCPYKGGYDHLIGTSEHGLVMKSSDLILPSFRHLLIAFGGLAGLEECIEEDNNLKGKSAKEVFDLYLNTCPHQGSRTIRTEEALLICLQYLQEPVNNVLQKI >Solyc05g017770.1.1 pep chromosome:SL3.0:5:18339157:18339780:-1 gene:Solyc05g017770.1 transcript:Solyc05g017770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIFQFKKKKNSKITRETLRKNGDMLLKQQISSYLNKVFTTDELEKATNNFSKDRNLGVGGTAVVYKGVLPDQRVVAIKKSKQLEQNQIEEFINEVTEVLLLVYKYISHGTLDHQIHANNGKITFLSFKNWLKIAIESADAFSYLYYANSVPILHRDIKSANILLDDNYTTKIAHLGASRFRPFESR >Solyc01g098820.3.1 pep chromosome:SL3.0:1:89105757:89108483:1 gene:Solyc01g098820.3 transcript:Solyc01g098820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENPVTGESIFVEKRNHCVIYPASGSMVAESVERRNKNWYVQTKIPSDLIVEIRDQSFHLHKLSVVSRSGYLNRLVFERNGNNYTRVQIDTIPGGAKIFELVVKFCYGLKIKATASNVAPLYCAAHFLEMNDELHQGNLISKAEAFLSYVILSSWKDTFRILKSCESVSSWSKDLHIVKRCTEAISWKACSETGVSSIGDEEVLVNVAADDTTKLVKLCGNWFFNDFSSLRIDHFIEVISLMKKREIKPELVGSCIAHWTKKWISQITVSQDKLKDQELSIQLQRVTTECLIRVLPAEEDSVSSNFLLHLYKIGLIMNINPKLKDHLKTRIALMLEKCSAKDLLVRNSTTLFDVDIVVQVVEAYVSLASNNPKSRMCVVGRLVNDYLTLIARDENLVARSFDSLVNALPKEARFCDDNLYRSIDMYLKEHPDLTEEERRSICRKMEHHKLSQEAQIHALKNDRLPDNIRTQLIILEQVNMMRLLTSDGSSYQRAKSQNIMEVSSGLRKNWIDSSQKEMKAIKQELEMLKAQVGELQQRRMELQRRTKKAVCC >Solyc03g071550.2.1 pep chromosome:SL3.0:3:20307256:20315363:-1 gene:Solyc03g071550.2 transcript:Solyc03g071550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFIEAICNSVSGEMLCVDPYVSCLQPVEKQLVSGGFVPISEDVPMSGSVMFNSVLPSQEQQPAISPNALSVSHPPKNEISGTLSITKSDNKIHSSKRKRLEWKTISHETELCPDAVSECNDNYMSNHGSLESLQELKEHLSYLGWKIEQAKDYNIIRTRYVAPDGKIFQSLRKVCKMLENSETRAEGQKTSYDGSSDDLNLSTCLAKSQTCNELSELQYTSQAPLVPPEYCPEAVIDYCLLGSPDYPAYKKLNSGEKKSMIMKAKKHLAAIGWIFSYCQKGDRRELRYCPPHGRKKFISLRTACIWCMQQWKAEGQMPELVSRSNVLELQGNLAPHRTSCKKLSMATFSALPLRKEPTQLNKVTVCEISETRKKSNHTGGWNMLKEGKSRSSRTVIDGTESQSSACQLRSSKRARQATVSSSLHHTPRTVLSWLIDNNMVLPRAKVQYRVKKDGRPMAEGWITRAGIKCKCCQKVYGISNFEVHAGSSYHRPSANIFLEDGRSLLDCQLQMKEKSIVRNTRKRSPLLKKRSHLGTNDNVCSVCHYGGELLLCDECPSSFHIGCLGMKEVPDGEWFCPSCCCEMCGQSRFDKNKDHFTDSSLLICFQCEHKYHARCMRDKGLQKLDCYPVGKWFCNKRCEQICLGIHQLLAKPVIVGIDNLTWTLLKYVKPDDFDSDAAKDEFVLETYSKLGVALDVMHECFEPVEEPYTRRDLMEDVIFNRWSELNRLNFQGFYTVLLERNDEVITVATVRIYGEKVAEVPLVATRFQYRRLGMCHILMNELETKLMELGVERLVLPAAPAVLNTWTTSFGFTMVKESQRLNFLNYTFLDFQGTVMCQKLLQNIPPEVSSDSTEAYQPQLDHIKSKETVELDGNSALSEVFQAEQLEGSEIVGQGYADAPGGCESNDMDAPTPLITVANQQAPLGCQDETSLQYQAEVTDSNVLEKTGVVQYKCYKRRKKI >Solyc01g010720.3.1 pep chromosome:SL3.0:1:5687266:5702925:-1 gene:Solyc01g010720.3 transcript:Solyc01g010720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVPWLCIFFSYLLFYKSSCELITSLPGQPPNIFFKQYSGYIVTNSQHGRALFYYFVEADSENAASLPLTIWLNGAPGCSSLGYGAFMEHGPFQPGKDGRLIKNNFSWNLESNMLYVDSPIGVGFSYSNTSSDNINWDDTATAKENLQFILKWFKKFPQYRNSDVYLTGESYAGHYIPQFAVLLLDYNRTPNVKPIKLKSIALGNPLLDIEISVKSAEYLWAHGVISDELLDMHKTICNETRYVMEFVHNETSNECAKVIELRTEEMGNDIVKYDVLLPKCVSSSAAGRLKALGNLTTVHGKVYMQPKFHANYICFGAKLVGVVFFRQFDKKVGKVADPCLNEWINLYLNKPEVQKALHANTTYLPYSWEIVYFFCPSGDQDSVLPLTQTRKIAKLLAQDLKLVALDKYGPWYDGLQIGGWSQSYGGVREGKNITYLTFATVRGAAHEVPYTSPSQALTLFRAFLRGYPPPRKSSAIAAICELITSLPGQPPNIFFKQHSGYIVTNSQNEADSENATSLPLTLWLNGGPGCSSVGFGVFMEHGPFQPGKDGRLIKNKYSWNLESNMLYVESPIGVGFSYSNTSSDYVNWDDVATAKENLQFLLNWLEKFPEYRNLDLFLAGESYAGHYIPQLTVLLLDYNRKPNVKPIKLKSIALGNPLLDLEISVKSSEYLWSHGAISDELLTMKRTICNETRLLLESIHHNMSNECSKVWDLTNEEMGSDTDTGDLLAPICVSSGVAGQLGVLGKLASIHEKDVREIGDPCLTDRIYMYLNKPEVQKALHANTTHLPYAWDFCLGSAPSVSKR >Solyc09g042755.1.1 pep chromosome:SL3.0:9:25727607:25728450:-1 gene:Solyc09g042755.1 transcript:Solyc09g042755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGLQEAEQMDLQGPFTYAIYGPNVGQMYTLVTIRYPLLLKIRKRLHLHVIKTFFHLSASRLDYMDNTLGDCLSHLTKEFYTSYPTTLKNVVEVSETIKRGPKEFTTTLCPLESIIIGGQVHRHFGDFY >Solyc03g111950.3.1 pep chromosome:SL3.0:3:64000272:64002512:-1 gene:Solyc03g111950.3 transcript:Solyc03g111950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYALYSVIVPLFVFIFFLHKCFFTTSKNQNKLLPSPTKLPIIGNLHQLGSHPHRSLHELSNKYGPVMLLHFGSKPVIVASSVDAARDIMKTNDLVWSNRPKCKMADRLMYDSKDVAFSPYGEYWRQIRSVTVLHLLSNKRVQSYRRVREEETSNMIDKIRQQCDSVIDLRDVLSCMSNNIISRVALGRKYNDEQSGIDAKATLNMFVELLGTFNVGDYIPWLEWVNRITGLDTKVDKVAKDLNTFLELVIEQHIIRNEKGENRAGEAIDLADVLLEIQNGNETGIPLQRDSLKALILDTFSAGTDTIYTALEWTITELLMHPRAMEKLQNEVRELAQGKAEVTEDDLGNMQYLKAVIKETFRLHPPIPLLVPRESMEDVKLLGYHIPAKTQVIINAWAIGRDPLSWDDPEDYRPDRFLNSNIDIKGLNFEVIPFGAGRRGCPGTVFAIVAIELAVARIVHKFNLALPKEKELDMTECTGLTIRRKSPLLALATPCST >Solyc05g025700.1.1 pep chromosome:SL3.0:5:35925180:35925516:-1 gene:Solyc05g025700.1 transcript:Solyc05g025700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYKPCLTSDGLMFEHDESLRDNLFPIHCLASYENTKLEHFIYFQERTSMTEVAIHTNVFTNLCALIRTGSLRTSDWYTTLIKLSFLFLFC >Solyc11g007360.1.1.1 pep chromosome:SL3.0:11:1725532:1726296:1 gene:Solyc11g007360.1 transcript:Solyc11g007360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPDFIPDSDVMCKPSICIDGILYWLIQFKEMSHRKILAFSLSRYQFYYISLPAQMVVAAATMVNFMGRFTVGCLDSGSNILNLWRLNKRHRWNKHSINLPEELVRCNRNMWCSFGNLPTGEILLANPKANDNDNDNDDSSFIPIYSYHHSTRKFQRFVVGKLTSCLLEKSSVQISCVELNSSCHSMSLEALLLKQPISVLSEEELSFEEEEMTLEEEKMTRGLKHFRTPQISKLLRLFKNVNTYVSDSSKEV >Solyc07g064240.3.1 pep chromosome:SL3.0:7:66594253:66595344:1 gene:Solyc07g064240.3 transcript:Solyc07g064240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNANCYEFKVGGSGDWSVPMDANANNYNQWAERSRFQIGDTLSFSYSADKDSVLLVNKADFDNCNTASPIEKYSDGQSVVKFNHSGAYFFISGVHDNCVKNEKLHLVVMADRSHNNQTASSPPPSPSVDEVPPSPAPSGEEAPSPPSDSGETNQTPAPSQESSPPKNGASSTVMSFVGSAAALVGSSILLGF >Solyc09g011330.2.1 pep chromosome:SL3.0:9:4671324:4673735:1 gene:Solyc09g011330.2 transcript:Solyc09g011330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIKDDSHFITSPQNTFTCGFYPIESSSNAYYFGIWYTNSRDKTVVWNAKQDRPVNLKGSKLTLRKNGALVLTDVDDTIVWQTNTTSFDVEKAEVLETGNLVLKNLEGDVLWQSFDLPTDTLLPYQRFTKNHRLVPPLRKGSLSPGYFSLYFDSDNVLRMIYDGPLVSSIYWPNRDRDVYGNGRTSQNSSRFAYFDGMGRFFSSDMLQFNVSDMGLGVLRRLTIDIDGNLRMYSLDNSTGLWKMSWQAIAQACVVHGICGRFSICTYVSKPKCTCPPGYEIVNGSDWSRGCRPIFRSRSLESRHVKFVEVPNVDYWGFDLNATTPLSFESCRELCLGDPRCRAFVYRRTGEGSCYTKGILFNGYRSPGFPGSVFLKLPMNLSASESGHLVLEGTDTKCGLSPENVLFGSPSMYVLDFKKVKWIYLYLFCFTLGGIEILFFVLGWWALFSKHGIPASIEDGYKMVSSTQFRRFTYTELKKATKNFKVELGRGGSGAVYKGVLADGRAVAVKKLANEFQEEFWAEMTTIGRINHMHLVRMWGFCSEGRRQLLVYEYVENSSLDKHLSRADILGWKQRFAVALGTAKGLAYLHHECLEWVIHCDVKPENILLDSEFEPKIADFGLAKLSQRGGPGSDFTKIRGTKGYMAPEWALNQPITAKVDVYAFGIVILEMIKGSRLSSWVVDDSECDHEQDSQLGKFVRMVKRKIQSGEDTWVEKLVDPRLEGKFSKNQAVTLIEIGLSCVEQDRNKRPTMASVVQTLLDSEDETTQIT >Solyc04g025063.1.1 pep chromosome:SL3.0:4:25926798:25933356:-1 gene:Solyc04g025063.1 transcript:Solyc04g025063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLALADSTTQTTYTALYAAGQGGGGRPGRRCTPRRSTFSRSRPDQDSRRGGGSFSSSRYMPSKYTEELLTRGGMAETKTAPTPMAVRPPSNLNNRLFDNSTLYQSISMHAPTEQNFQALKRILHYLKGSSRRGLLFQKGNQKLSIYSDSDWANGKDDRRSTTSDSWPTVHVDEQPPKSSLNYAMTSNEIEDKITTSILINDITNIHGEPTALWEEEENDLRRFIVCHNWKKFLVAGQH >Solyc12g040647.1.1 pep chromosome:SL3.0:12:55644797:55647293:1 gene:Solyc12g040647.1 transcript:Solyc12g040647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSEGEECDLEWMDAISKERGRVVGDKLESFKELQVGMTFKDMKEGRQVMNYYAFANKRALTIIKGDTKRTRYGCDIGCPFRCLISKDGKTEGFKIKTFINKHTCEETFFNARADAVTLAQYFKNKLQNNPKYKVKDMRGELENDLKLNVCQSKLKRAKRMALEKLDGSFIDDYNKLEAYAQELKQSNPGSDGLIDAVVKVLPEAQHRYCVRHIESNWCRKWRSGQMRKLMWWCAWSSYVEEFKDQLNKLGKLSEDGARNLVKYPPKAWCRAYFDTQCKNMMVDNNFTESFNAWILEARAKPIIKMLEEIRVQVIRLLVNNEKKLKTWVIDFSPECMKFKEAYMLTYKNKMQPVRGQKFWKVDPSSAMLPTNVVKQLGRPKMKRNREPDEARKRKGEWSQSRKGTQMTCNNCGESNHNVRSCYKFEPYGPNVEDEEDPPLRPMVICESELRAEKLKKRVVPIGARKIQFYGDHTGASVPTNLPYSPIKTTWKGKEAVPAGHVQMQAKKKRIKMMGVKGRNPVVDDLL >Solyc11g008620.2.1 pep chromosome:SL3.0:11:2806963:2813931:-1 gene:Solyc11g008620.2 transcript:Solyc11g008620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSIRVTATISSSSTLFFTNSKKISNKFSYISNPLKNSSKPIKWNCKNSRMESSKSSFVTKASAQPLTNPAELIDSVETFIFDCDGVIWKGDKLIDGVPETLDLLREKGKRLVFVTNNSTKSRKQYGKKFETLGLSVNEEEIFASSFAAAAYLKSIDFPKDKKVYVVGEDGILKELELAGIQYLGGPEDGDKKIELKPGYMMEQDKDVGAVVVGFDRYFNYHKIQYATLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAILGSTKREPLVVGKPSTFMMDYLADKFKIQKSQICMVGDRLDTDIVFGQNGGCKTLLVLSGVTSLSMLQDPSNSTQPDFYANKISDFLSIKAAAV >Solyc03g051800.1.1 pep chromosome:SL3.0:3:22914102:22915276:-1 gene:Solyc03g051800.1 transcript:Solyc03g051800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLYNGLMGALKDGQEIEVYSAQGTDKFKNEVIFIAKLHHQNLVKLLGCCIQAEEKMLIGGHCLIASILLINGIARGLLYHHQDSRLRIIHRDLKPINILLDIDMNTKISDFDMARSFRGNETGDMTTRVVGT >Solyc07g018077.1.1 pep chromosome:SL3.0:7:9011478:9012123:1 gene:Solyc07g018077.1 transcript:Solyc07g018077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLLYVDYIILTASLDKLRRYIISLFSVKFSMKDLGHLSYFLGIAVTLHAGCSFLSQNKYATEIIERAGMSSCKVSPSPVDTKLKLSSITCKPFEDPSLYRSLSGALNTLRSQDPILYMQYNIYVYSCMTRGMNTCTHLKYNGFRSLLVLFIHIHSYLYTDADWGKCADIRCSTSAYCVFLGDNLISWFSKRKATLSHSSERKNI >Solyc05g054480.3.1 pep chromosome:SL3.0:5:65244837:65254589:-1 gene:Solyc05g054480.3 transcript:Solyc05g054480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDHLMKILTERGYSFTTSAEREIVRDVKEKLSYIALDFEQELETSKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGTTMFPGIADRMSKELTALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQDERQDDGEENRQEIHIWFFGFTTPKTDVFGYNDRPIIPTTAPSTSTAPTYPSTSTAPESEQKWHSDSEEEEAYKEKIP >Solyc06g024325.1.1 pep chromosome:SL3.0:6:11386430:11387723:-1 gene:Solyc06g024325.1 transcript:Solyc06g024325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFGYSRNGTKGKTYILCIKQTPTIQKYPSDRSMKYGVSTKMTHFHEQDYVWIRRGMLFAFIEHIDRLQGQTYLNNNMHVDLRSATEEAFLA >Solyc12g009400.2.1 pep chromosome:SL3.0:12:2681491:2685948:1 gene:Solyc12g009400.2 transcript:Solyc12g009400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4DC28] MAASASSFTANSFSQPINSTRSIDNNNKKTLLGNQLKDSSFFLGSAKKLYMKKPFSSQNSKSRSIGVYAVSEVAKNKKLKTDSPLSNLLITKDEGLELYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDSVVSTYRDHVHALSKGVPARQVMSELFGKTTGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFTSKYRREVMKEADCDHVTMAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAVARARRGDGPTLVECETYRFRGHSLADPDELRDPAEKNHYAARDPISALKKYMFENNLASEAELKAIDKKIDELVEEAVEFADESPHPVRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >Solyc03g034057.1.1 pep chromosome:SL3.0:3:5795666:5797108:1 gene:Solyc03g034057.1 transcript:Solyc03g034057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNMKLERKSSLKKLGRSKSTLLASELINIPCGDTDYYERISQSMRHVEMIDDDEAIPIIRHKWKKKKSKAWVFLMKVFSFKKMTTSDESHVVVEEKSMEVNMMNKKKMQYLSWERNGEITQKQDEVLVAKKKKIRPPTFLPDPYRRWPVQGWRY >Solyc06g052020.2.1 pep chromosome:SL3.0:6:35769249:35770540:-1 gene:Solyc06g052020.2 transcript:Solyc06g052020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRRIKALILLLLFLIFFSSGHVEGVNRAYKLHKHVIGENPRKLLQLDTVLDYDNAGPNTKHDPRGKKGGSSGNHP >Solyc01g095410.3.1 pep chromosome:SL3.0:1:86549716:86552496:1 gene:Solyc01g095410.3 transcript:Solyc01g095410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNKGKGGKNRKRGKNEADDEKRELVFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIANLDEEDENAADDYIEFEDEDIDKI >Solyc02g030090.1.1.1 pep chromosome:SL3.0:2:25575291:25575725:-1 gene:Solyc02g030090.1 transcript:Solyc02g030090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMGTGVRDAAICLRSIVLGDEENNMPMVIFYTEGAGIISTKTDKINLHQGNCFNEQITVFEDPLPLQINDSLSDQEIKDKASNVLKLRQLFGVDFEGCDKTTFHKFLRIDQKMGDLRQKKLETTPINTKNTIPKEIRNLEFSC >Solyc01g090090.2.1 pep chromosome:SL3.0:1:83620119:83622794:-1 gene:Solyc01g090090.2 transcript:Solyc01g090090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSDMKLVAKNAVRAYFVRSWSIEDLMNNGEIPQQAYKSLKKVYLTLFFAMWSFTFGSFLHWTRGAGGQFTVLCSVASLLCLYFISPLRVRTRVLLLMIAAFSIGASIGIFIKYFVDIDHGYLTSESIPCLLYSLVYTLLAPPTFAIGFLWFGSMFTRERSDIYLGCVYYCWALCFSTFVVSNADYVGSRAAHFLYKVCIVLALFLGYIVVYTQEILYDAHFGEINFVNRTLTIVFRLPGIVVHAARLCLIQCIIEQHR >Solyc09g007600.2.1 pep chromosome:SL3.0:9:1185463:1185755:1 gene:Solyc09g007600.2 transcript:Solyc09g007600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVVSHAQKYFKRIEANKKGNRRRRAKPSVLDITGVDTELGGTSEVPITADMIDPACEGSQAVPNTS >Solyc02g079720.1.1.1 pep chromosome:SL3.0:2:44735383:44735706:1 gene:Solyc02g079720.1 transcript:Solyc02g079720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHIEFQDFLPIMAIKLCGEGLINELCKGYRMLMDGEKGVITIDSLKRNSKLMGLQDFSDEELKNMIREGDMNGDGALDKIEFCILMFRLSPDLLLVAQQLLHKAHQ >Solyc02g071820.3.1 pep chromosome:SL3.0:2:41737052:41746135:-1 gene:Solyc02g071820.3 transcript:Solyc02g071820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSTCLTHTHSQKLSNNIYIFDESSLGMYTLFFFMLEMMSSSHYSSCSFLLIAFSLLLICEAQNRGLLPEEEKNALREIGEELGKSDWDFDVNPCDESTSWSTPGTDALSVYVSNVTCNCDTPDGYCHVQSILLKGQDLAGVLPPSLAKLPNLTIIDLSCNYLSGTIPPEWTSMKLETMSLMLNQLSGPIPKYLGNMTSLVYMRLESNMFNGTVPKELGGMVNLQILILSFNNLTGQLPEELNKLTNLKELRLRGNNFTGKLPNLESFKTLQRLEIQASGFEGPIAPIISVSTQMIELRITDLTGGASEFPQLGNMTRLTRLILRNCNLSGKIPPYITKMPKLKLLDLSFNKFEGQIPNLESLKKLDFLYLVGNRLTGPIPGWVKSRNSKHMIDLSYNNFSESSEPICQETLNLFRSYNGTKNSEFGKCVPRCSKKWYSVHINCGGKSVTIGDTVYEADRDSAGAAKFTSSKESWGASNSGYFWDKIITAKDYLANNISAIKGNDSELYTTARLSALSLTYYGRCLANGNYTVTLHFAEIVIRDNRSFQSLGKRIFDVYIQGERKLKDFDIRTDAGGVDKPFTIKFNATVADSTLEVRFQYAGKGTAALPRRGSYGPLVSAISFEANFKPPPDYKKLVPIIAGSVVSLLILILTISFVAWKRHRNKIAKEEESRGLDSMTGVFTIRQIKAATNNFDAANKIGEGGFGSVYKGTLSDGAVIAVKQLSSKSKQGKREFVNEIGMISSLHHPNLVQLYGCCAERNHLLLVYEYMENNSLARALFGPEEHRLKIDWPTRQKICIGIAKGLSFLHEESSLKIVHRDIKATNVLLDKKLNPKISDFGLARLDDDDNNTHITTRVAGTIGYMAPEYALWGYLTYKADVYSFGVLALEIAAGKSNMTYRPNEKFVCLLDWALVLQRQGKLKEVVDATLGSDLNEDEALRMLNVALLCTSPSPALRPTMSAVVKILENHLDLPEFTMESRFYDDYDLFNFQGLRDKYEDTNESQPLTHSSNTITTRDCSYTTSTSA >Solyc03g026090.3.1 pep chromosome:SL3.0:3:3535783:3546081:1 gene:Solyc03g026090.3 transcript:Solyc03g026090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVFWMVVVLGVALVIHEAGAEDECSTVTALVSACASFVNYGTPDPVPGAPCCIAMTTLSTVASSTGVQTRQSVCRCMMELITTYNPNATAIATLPGFCGVSLGFTIEPNTDCEFVS >Solyc09g011790.3.1 pep chromosome:SL3.0:9:5076856:5078335:-1 gene:Solyc09g011790.3 transcript:Solyc09g011790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSMKSSLILFFTCSLFLQVAYAVYKTEVKCESLPNSDCAFAISSTGKRCVLEKAKKPKDDLISSEYECKTSEVMVQNMKEHIETDECIESCGLNRNFFGISSDDLLEPRFVSKLCAPACYQQCPNIVDLYFNLAAGEGAYLPDICNKNKKLKSSGAAEDGVDAPAPSPSFL >Solyc10g086730.2.1 pep chromosome:SL3.0:10:65599859:65602156:1 gene:Solyc10g086730.2 transcript:Solyc10g086730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALLGTKCSSSSSISHLSPNFHLFPTNIKRSQHLIHGNFSPNSRIRREAASLEGAKTAPAQIKKPKNRYEMVNLTTWLLQQEQAGNIDAELAIVLSSISLACKQIASLLQRSSIVNITGTQGTVNIQGEDQKKLDVISNELFCNCLRSSGRTGIIASEEEDVPVAVEETYSGNYIVVFDPIDGSANIDIALTTGSIFGIYGPDQQCLVDMDDDSTIDQAREKCIVSVCQPGSNLVAAGYCLYSSSVVYTLSVGNGVYAFTLDPAYGEFVLTHEDIKIPKAGRIYSFNEGNYDLWDEKLQSYLDHLKQPGPNGKPYSGRYIGCLVGEIHRMLLYGGIYGNPKNKNSKNGNLRLLYECAPMSYIIEQAGGKATDGNQRILEIMPEQIHQRTPIFIGSPEEIEKLEKYLD >Solyc10g024320.2.1 pep chromosome:SL3.0:10:13308571:13353351:1 gene:Solyc10g024320.2 transcript:Solyc10g024320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVLTSSCLQNRFHAVTTSFTPQVRRGTESITPLLQVLGSLRSSNSRGPYLYRRFFCSDSTDGSELNSEAKQVEGEIEGGDAHSKASAAIVPTVFRPEDCLTVLALPLPHRPLFPGVYMPIYVKDPKVLAALVESRKRQAPYAGAFLVKDEQGTDPNVVSASDTEKNIYELKGKDLFNRLHEVGTLAQITSIQGDQVILIGHRRIRMTEVVSEEPLTVKVDHLKEKPYNKDDDVIKATSFEVLSTLRDVLKTSSLWKDHVQTYIQHIGDFNYARLADFGAAISGANQLQCQQVLEELDVHKRLQLTLEIVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSAKFRERLEPNKEKIPAHVMQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGSYSDENFDVLRAETILDEDHYGLSDVKERILEFIAVGKLRGTSQGKIICLSGPPGVGKTSIGRSIARALNRKFYRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKSVGTANPLVLIDEIDKLGRGHAGDPASAMLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVLEMIPNPLLDRMEVITIAGYITDEKLHIARDYLEKSTRETCGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALKLVRKDGKIEPQNAGVDEVKAEHVHISDEIKFKEETQAGAKSVEGSHDDKPSEYVAEALEAPVNQMQKSTDEDTHLQEVEEVTESEASKIIEKVVVDSSNLADYVGKPIFHGERIYDQTPVGVVMGLAWTSMGGSTLYIETSLVEQGEGKGLLNVTGQLGDVMKESAQIAHTVARIILLEKEPDNHFFANSKLHLHVPAGSTPKDGPSAGCTMITSLLSLAMKKPVKPYLAMTGEVTLTGKILPIGGVKEKSIAARRSDVKTIIFPSANRRDFDELAPNVKEGLDVHFVDEYKQIYDLAF >Solyc02g084005.1.1.1 pep chromosome:SL3.0:2:47790194:47790553:1 gene:Solyc02g084005.1 transcript:Solyc02g084005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKKTSSSKQQTAALKQMIKRCSSFGKNENNGFPHDVPKGHFVVYVGENRSRYIIPISWLTYPQFQNLLQRAEEEFGFSHDMGITIPCHEHDFCSLISMFR >Solyc11g030640.1.1.1 pep chromosome:SL3.0:11:22582702:22582992:-1 gene:Solyc11g030640.1 transcript:Solyc11g030640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSLACDGRPTTACDPDGGGVGGDGGGATSSCCCVTLWYANHYCCRPANAGGFDHLPSVGEDRMRWYDRGRVLWAPTGRWIGGGFNDIGVRDSYI >Solyc07g041683.1.1 pep chromosome:SL3.0:7:54098154:54102812:1 gene:Solyc07g041683.1 transcript:Solyc07g041683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSPFNNQRKQTVDTCFGLGKSQTSKQHLLPSSGERIELGAMMAGRGNIHHRPPKNWNLQCDYYKLKGHTKNVCYKLIGYPPGYKGKKKEDFPNANTAQNEDNSKQSYQGEATAYNAQTESVHSDMNAGFNTESSSGRGDFQRAPFLTEKQQDKIKMMLDNDMQLDYMAHMAGIDFKTLSTVKQIKWIIDTGATNNMTASLHTQNDIESVRSYRKRKVHLPNGEDFTSGTLKGIGKESDGLYIMFSQPNDKHSNSSTGEIHRVNVVEKRQEHMLLWHKRLAHPSSVSMKHLFGYKLDECKAIVDHFPWSSESFPTNEDTASTDSPIIENEEPVSLPMQSSSSPTPSLVVPPTSPNMILPMSNPVPLRRKKGNDIFIFLVYVDDMLVTGSSISAIEETKASLHAAFKIKDLGTLKFFLGMEFHRSSKGILINQRKYALEIISELGIGAVKPAWTPLKVNAKLTTLEFDSLVQRGDDNMLEDKTKYQRLIGKMLYLTLTRPDISYAVQTLSQFLQQPKQSHWDAAVRVMKYIKREPALGILLSNKVSNLLTLFCDSDWASCPNTRRSVSGFVIKHGNSLVSWKSKKQTVVSRSSAEAEYRSMANAVSEVVWLTTLLKEL >Solyc04g071367.1.1 pep chromosome:SL3.0:4:58455933:58456572:-1 gene:Solyc04g071367.1 transcript:Solyc04g071367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLAILVKHSGRWDNDNCYVDYTIDEMIFKESSSYNDLYNVIAMQLGIDTNVNKLKIEYNIDKRQDTHVDSQRHRVYIMLKKSENEFNKYPLCISKLPSIIGTNELCGSSNQDSDTITSISINENDEFDNRQLSIGELVEPLHILWSGSCDGVISDPCNKFVEVDQVYKTKDILKSVMEKYAIEKRFQYRTLRSKAIRYLLYTYKYIIV >Solyc11g008080.2.1 pep chromosome:SL3.0:11:2273917:2275226:-1 gene:Solyc11g008080.2 transcript:Solyc11g008080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQCSPLSWPYNYYLEEGIEELKHSLVYTTLELENTVISAHEELARKDEEILQLKDLLTRVMKERDEIQGKYCDENNVVSPPLLVQDLIENVVIKKPLPEKGKFLQAVMEAGPLLQTLLLAGPLPQWQHPPPQLNSIDIPPVTIASPTPRLLHQDSALSSSTGGISPGATTCFGQKRDVVGAGFNIGEGIDTTSKYQKVVHQSSLTNM >Solyc11g010720.1.1.1 pep chromosome:SL3.0:11:3768144:3768782:-1 gene:Solyc11g010720.1 transcript:Solyc11g010720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDILIQLVILLFTLGIFYTIYNFPKQALTRLRSKTRSTNQANSHFIKGAQFLSRAKSNRSKKSISFNLAKLAAGEADKALSIDPKDPAAHILKALSLDLIGHKLSALRSLDSALSPPAVKLLSGGERADALLKRAELLVALNRKRRVDSALMDLLEAVKLNCSDRAKAFCLLGQCYEIKGLKIEAHNAFEEALKVEPDLVSAREGLGRLR >Solyc06g075330.2.1.1 pep chromosome:SL3.0:6:46899638:46900336:-1 gene:Solyc06g075330.2 transcript:Solyc06g075330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYEANARMRDPVYGSAGAICQLQKQVNELQAQLAKTQAELVNIQCQQANLMAFVYMEMGPSPPTSPQQSLDKFTNSTTHDTIQNNMSFLDENNSYGTLETLWT >Solyc11g045550.1.1 pep chromosome:SL3.0:11:30410457:30414510:1 gene:Solyc11g045550.1 transcript:Solyc11g045550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSEINNCHEIIPYLSPVNNILMTAQENHRVDISDSVLLPSSVSSHPRKNGSETSNESELTPYQLTGRKSRLISAEVIALSSVSFSIVPLAGETNIYTVKLNKDILNDWTCEKRRRPNGQCNKIGSPKRPSKKRKKESSNSEHTTMEHKENNGELHLGNEVALMCEMMELKETSEFVEVDEVEEGENKVFAHLNKINELDSEFSQCVENMEQVPISQSLEIKSNENAYMEKGLSYEDNYGEKEMVANLASNVEFVTPVNFTLETGILINSL >Solyc04g054510.3.1 pep chromosome:SL3.0:4:52570212:52586678:-1 gene:Solyc04g054510.3 transcript:Solyc04g054510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSLSFTLPKLQTFTPKPTIAATFKASSSHESTPFINKKQIFQMGVGILAASIVASAPLDADATRIEYYATTAEPTCEFNFVRSGLGYCDIAVGSGEEAPYNKLVNIHYTARFGDGIVFDSSYKRGRALTMRLGMGKVIKGLDQGILGGEGVPPMLVGGKRKLQIPPHLAYGPEPAGCFSGDCNIPGNATLVYDIKFVELYSGNRKMDFHSKRRSSSLVTREKSGTECQLSGIISELPVVTNMAYCRQRDFMFCEMCGTMLAFDSPNWYRCYKLLPANIVTNSKIEEIAGNEIQYTVSAEAIKRELGISSFDDLEEEKELKQMDYNAKCKGCQHLGMSYIARQIRSADEGQTIFYTCLVCGNKQTENS >Solyc01g107340.3.1 pep chromosome:SL3.0:1:94796214:94798414:1 gene:Solyc01g107340.3 transcript:Solyc01g107340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKNALWIGFLCIIVAGVGGQAPATSPTTSPAPPTSTTPTPTGSPLPATSSPPPAVSSPPPSVSSPPASSPLPSASPPPPVSAPPPATPPPVSAPPPATPPPVSTPPPSPPPPAAAPAPVATPPASAPAPTPTTKVATSPAPSPVGLLSPPAPPLGAPSPSGFSGLSPAPSAPDQSGVEGNMRFSNMIMGSLVFGWGLLCLLI >Solyc07g008840.3.1 pep chromosome:SL3.0:7:3803961:3809182:-1 gene:Solyc07g008840.3 transcript:Solyc07g008840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGPIESTVVLVVEESSRRFGDLRGVQWRIDLGILPSSPSSTIDDLRRVTANSRRRYASLRRQLLIDPHVPKDGSNSPDPVIDNPLSQNPDSMWGRFFRNAELEKMVDQDLSRLYPEHGSYFQTAGCQAMLRRILLLWCLRHPEYGYRQGMHELLAPLLYVLQADMEHLSEVRNQHEDLFADKFDGFSFHENDLTYKFDFKKFSESTEDDIGSEKSPGRITSLTELDPKVQAVILLSDAYGAEGELGILLSEKFMEHDAYCMFDGLMSGAGGAVSMAQFFSPAPYGTSHTGYPPVIEASAALYHLLSLVDSSLHSHLVELGVEPQYFALRWLRVLFGREFALEDLLIIWDEIFACDNKKLGKPCENDGDSSSGVLNSSRGAFISAFAVTMILHLRSSLLATENATKCLQRLLNFPEDINLGKLIAKAKSLQALAMDANNSAPIIDYTGDYGRNQSTVIRGHSHSVDLSSPRTPLGSLVPESYWEEKWRVLHKEEESKKNSAEKQVPTRRKGWSEKVRMRLTRTESDPTPSTVDNGRKVSKSSVRRSLLKDLAQQLGADEDAEKFVDDEIKEQEVPVDVVGQEDNDGNFTCTSEQSGCTGSAVSEQNSSIFSDPQSPVSDANDHENRSERSSVASNFSADENDADGYSAEVSCTNLEVPPLPGSDPPQETSEKLEQSVDSGEKGPAGLKERKLLSGKFQWLWKFGRNGGEGTSEKGVCDSTKADNCGNNPGDPAVLSTADTSNNSGISKGESVDQNLMVSLRNLGQSMLENIQVIESVFQQDRGQVGTLENLSKNVLAGKGQVTAMAALKELRKISNLLSEM >Solyc06g065540.3.1 pep chromosome:SL3.0:6:41034711:41045347:-1 gene:Solyc06g065540.3 transcript:Solyc06g065540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLENENSMHIDVRSSGTLCKFCGKKQDTTTCLISPTASLHSSNSSMSCYSDFSCEASSDRRVNIDECSTESSQEDSCSKVDEDDYDESGVSCSYELDQFWVPPEPECCDDDMEDSVANCDDDECGDGWGKPTSLISLGDEGSGSYKFKEEKRKALEEVMNGKLKALVYDLIKSFGVASSGGDDWVDIVTSLSWEAASFVKPDSAEGKAMDPNKYVKIKCIRSGSPNQSQFIKGMVFKKHAAHKHMPTKFEKPRLLLIEGALGLSSELSSFESMRQEKDSVVKSITDILERYQPNVILVEKTVSRDIQESILKKGWTLVFDMKEHRLERVARCTVSPNFSSEILSGHKLRQCDSFHFQRFVEEHDTFDDGGKRPSKTLLFIEGCPTHLGCTILLMGANSDELKKIKCVVRCAVIMAYNLILETSFLLDQKAMFSTISLSQVVNSTATDDPPAVSGEQGDSLLFEPYNPVLSGLSSLSASLKKVMGDNFPLCPTSGQSMPSCFIDNRSNEDDQEQTDTVVNQSDTDQKVTTCDDEVASEKERSHTPIVSQGESLESQVSGNMGNGVKSMDTESILVLISSRNASKGTMCAHGHFSRIKFYQNFDIPLGSFLQQNLLSQKLPCKSCDGPPEAHIFYYAHYNKLLAIQVRSLPKDKGLPGEREGKIWMWSRCGRCKFQSGSSKSTKRVLVSTGSRGFSFGKFLELRFSNSSLFNRLPVCGHSLHRDFLYFFGLGHMVAVFKYSTVTTYSVALPPEKLEFSSSVNEEFLKKDFEDVNMKGIKMFCDVEKALKAIESRFVGTTLNLQGSIRKFSEIEKMLKEERTQFEIGIQNVIMDGNRDVVMYKLLILNRIRLELLLESCVWDRRLHSLLSSDCTAANPKTIDKSIIAINHMEQQEGSNVNGHTKVYLERDDRALEDCPDLKIKLVEDSCGDDNSRTEATVGSRGDVLDDDCDLKPNVESSAKVPIKETPVDTHDCGQDEPSNLSACNDGAEVTTAAKVNGNDFSLQDITVKSDLSDHCLFDNESNLQLNLPSSIQLETDKPIAIGAGGTPDPIHSQRSRSLSSIFSNIENDEGWWTPFPEIWCQYMEDLQRGHLPKLGSITNHDVESTAYKLITDMSAKLHIPLGSDKYIVSDYEDEFSSIIACALALLKDLPIVCEDLGDDGRKDRGIDPKSYESSQGLMQMFSLASPHLSSTGSLDLTAYHSSNMSEVARSSSLDGVDLLDSSVSFTAVQAEVSMGLGKLTGKYKYSVLCLYASQFRQLRDRWCTSEVDFIASLSRCRRWDAKGGKSNSLFAKTVDDRFIIKEIKRAEFDSFLKFAPSYFAYMDQCHAKRNQTCLAKILGIYQVNVRPRGGKETRHDLMVMENLSFGRITTRQYDLKGALHARFSAAGNGAGDVLLDQNFVNDMNVSPLYVGTRSKRALQRAVWNDCTFLKSINVMDYSLLVGVDSQRHELVCGIIDYLRQYTWDKQLENWVKSSLVVPKNQLPTIVSPKEYYKRFRKFIDTHFLSVPDNWCSQNSSNPCQLLRTVSSITPQSESDDGDSDQPKCTGEGEHKDAYSDA >Solyc05g051750.3.1 pep chromosome:SL3.0:5:62980647:62985158:-1 gene:Solyc05g051750.3 transcript:Solyc05g051750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPSYDIKNKGDDMQEEPKVKLHHEKGGDEKEKIIEKETPSQDINNKDTISSYVLTGDDTQEIPKMEHEEGGYVKEKIVEKETISQYIIKIEGDDDAQEKLKVEYEEEEYEKEKIVEKETPSQDINNKGDDAQEKPKVEHEEGDDKETPSQDIIKMEGEGALEITKVVCEKIIVREDLAVQSKPPSKRDPPKMQTDNNKL >Solyc12g096010.2.1 pep chromosome:SL3.0:12:66072245:66078212:-1 gene:Solyc12g096010.2 transcript:Solyc12g096010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQNPGEDPIVVAVDKDKNSSSAVKWAIDNLLGNNQILVLIHVRVKNSPKPTLDSNGGLSDEATQNVFTPFKAYSARKRIVVKEIVIENTEASKGLLEYINSNSVTNIVLGASSRSALGRKYWTHDVPTLVNKAAPEFCSVYVVSKGKQQSVRPAAKSLPSCVPARQPSSSAWSAPRSSNSGSEDSIRFSYTRSDQKIVESEKMRPEKGPSIVPIDNPHFPEMTNPYKHTSQPDGMRYARISPRSVDMTSENLDFTQVAIRETPMNGNTSYSVDAEMKRLKLELRQTMDMYNAACKEAVVANQAADELNKWKIEEARKFEQARFAEEAALAIAETEKAKCRAAIEAAKKAQKMAEIEAQRRKYAELKAKREAEKKKQAINVRSQNDIRYRKYTIEEIEAATKKFSNAQKIGEGGYGPVFKGKLDHTPVAIKVLSPDAAQGKKQFQQEVEVLSLIRHPNLVLLLGACPEYGCLVYELMNNGSLEDRLFRKGNTPSIPWEIRFKIAAEIATGLLFLHQSKPEPLVHRDLKPGNILLDKNYVCKISDVGLARLVPSSVADCVTQYHMTSAAGTFCYIDPEYQQTGRLETKSDIYSLGVMLLQIITARPPMGLTHHVERAIEKGKFADFLDPTVPNWPVEEALKFAKLSLKCAELRKKDRPDLGSVVVPELTRLCEFGMSSMPGTG >Solyc01g015130.1.1 pep chromosome:SL3.0:1:16763183:16763557:-1 gene:Solyc01g015130.1 transcript:Solyc01g015130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCDIVFASALVSFLPNLKVLSVRYTQLSKPSLVILLEGLRNVKVLNISQCIIIEYLYPPHTQNDEGFMRWYKYAYLWKVDEVKSIAI >Solyc06g053980.3.1 pep chromosome:SL3.0:6:36953727:36955113:-1 gene:Solyc06g053980.3 transcript:Solyc06g053980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITSTSSVTCSTVFDIGNYKTKLLKIEPQTCSKHNSPPKSLLIGTPSEAGNFPVLIFLHGYLLYNSFYSQLIQHLSSHGFIVVAPQLYLVEGADATEDIKSTAEVTHWLSEGLQHHLPPDVEPNLTKLGLAGHSRGGKVAFSLALGRLASDLKFSALIGVDPVDGMEKGKQTPPSVLTYVPRSFINLDMPVMVIGSGLGEVKKNPLFPACAPKGVNHRDFYNECCKPACYFVAKDYGHNDMLDEETKGIRGKATYCLCKKGKSREPMRRFVGGVLVAFLEAYLEGNSSHLIAIRDGHVALPVELQDIDFLV >Solyc04g024570.1.1 pep chromosome:SL3.0:4:30071606:30073013:-1 gene:Solyc04g024570.1 transcript:Solyc04g024570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHIYEYEWIIPFIPLPVPMLIGAGFILFPTATKRIRHMWAFQSVLLLSIAMIFSIYLSIQQINSSSVYQYAWSWIINNDFYLDFGYLIDPLTSIMSILITTVGIMDLIYSDNYMAHDQGYLRFFAYMSFFSTSMLGLVTSLNLIQIYIFWELVGWFLSINWIWFTRPVAANACQKAFIMGSFEFRDLFEIFNNLIYNNELNFLFVTLCIVLLFANAVAKSAQFPLHVWVPDSMEGPTPISALIHAATMLAAKFFLSVSKGDFSVNFSTITPKKPNFALRKVARVRLTCRFEITAYIPQYWP >Solyc06g008200.3.1 pep chromosome:SL3.0:6:2077784:2087122:1 gene:Solyc06g008200.3 transcript:Solyc06g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPALSVQRTGAHQLSNFGASGAHSSLPVLQTSLEEKYPKLPGSALSSFPSNSGAVGHLFSSPSEFSTNLDFSSVLPYDEHLAPATFISQPTINEASIPLANSGVLQGAASSQYVNVNSESWCTELLPDYLDYSVNAPVQNTQLDCRNSDDCQIPPEDPSKQSDWPEWADLVMSDDDALTSSWMVDTSIADAEPKMQYQEQNQLSNFPVHQVQPFQQIPTASVETSAVVPASVETSAVVPASVETSAVVPASSTGSGSSSKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLKLMKVEGLTIYHVKSHLQKYRTARYKPEASEGSSEKKESSIGDLSALDLKTGIEITEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRCLQMMFEKQCKSMPGIDLAKGSSSMAEDASAQLNDAVQSSSNKNDPGASLVGHHEAGSHTTQQLVREKQKEHEREDITSSSNSPPTKRAKVDE >Solyc09g011670.3.1 pep chromosome:SL3.0:9:4910693:4915143:-1 gene:Solyc09g011670.3 transcript:Solyc09g011670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFCAFFLLSFCTRKNKVFNLHLLFITTSTRKIITKQKIKNKKMVKDRTIGVAMDFSKSSKTALKWAIDNLADKDDIFYIIHIKNHSSDESRNKLWAKSGSPLIPLAEFREPEVLEKYGVEIDIELLDMLDTASRQKEIKFVTKLYWGDARERLCEAVEDLKLNSLVMGSRGLTTLQRIFMGSVTNYVMSHATCPVTVVKDPDFHNFFTLTRILYLSSFSVHTE >Solyc09g072830.3.1 pep chromosome:SL3.0:9:65946284:65955729:-1 gene:Solyc09g072830.3 transcript:Solyc09g072830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARPAVSVQSVVASQLSNCGASGALSSSLSIFPTALGEKYPKFPDLQQASMGKELKQHPATVVSSLPSNSGAVGLMFSSSSGFSADLQFSSVSPQEKHSGTAPFISQSTYSETSIQLPHSGVLQSTASSQYLNENHEPWCIDPLPNFLDYSMDNPVQNSQVASSNKQSDWQEWADLVLNDEDALPSDWNDIIADTSIGDSELKETHSYLVVCSKMQFQEEKQPLNFPMQQVQASQQIPPVPVETSAIAPVSSPASSAATKQRMRWTPELHEAFVEAVNKLGGSERATPKGVLKMMKVEGLTIYHVKSHLQRWTCCISLLMVLNHFSEMQKYRTARYKPEASEAGSSEKKQSSLDDLASLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRYLQMMFEKQCKSMPGADLAKGSSSSTADDAFAQLSQVDTAKEVDHEKQKEREIEVLGDPETNITSTSDSPPLKRSKLDE >Solyc09g092175.1.1 pep chromosome:SL3.0:9:71797102:71798177:-1 gene:Solyc09g092175.1 transcript:Solyc09g092175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAQALYGGVVGAFVIALDDVQHQKFPSASDHVESANDFAMNCEEAFASRNVQDNEISKGDNLVMYFSLSAKVVINVLGETINYTTF >Solyc04g024643.1.1 pep chromosome:SL3.0:4:29736277:29736803:1 gene:Solyc04g024643.1 transcript:Solyc04g024643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSNSQHLTNLTFSLSTRRAKDGKVYDSFTISRDYKDVLACDHDGCEPTTLANQTQICLEVIKKRGILWELVMDLSCPKVKKSLQITLYFLLLYLSRLSISESPFHL >Solyc11g045040.2.1 pep chromosome:SL3.0:11:32073541:32091040:1 gene:Solyc11g045040.2 transcript:Solyc11g045040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPASLAQSSEFSLPESSSDLSSLLSPSSPFSPLFTSIFVFAHSRRCNHRNAVGYGVFLSSVLMVVIRPRPSPTLWLHIELLLILRLIVLKLMFLGLQMVYCLPSMTGRDLQRITGNNNSKVSYLSSKEIKDLDAVHQLKLEHHDLTVPTLEDALKLISGSIRQVILDAKVGPALYEREFAKGLLSVVDNTGCRNCLVWAKSDSFSRDVMKLSSDVMVGYIVMIDPSTGTRMKLLRMRGAAVVGVYHPLIDENLMKILQGRNKKVYAWTVDDEDSMKKMLFERVDAIVTSSPSLLQRLMQDVRTQCLAEGFSLSP >Solyc07g006450.1.1.1 pep chromosome:SL3.0:7:1251340:1252647:1 gene:Solyc07g006450.1 transcript:Solyc07g006450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDVTKLPDPPMPSYTFTVYHRDVFEKSKFKDYDSLLENRLARCHARASYLASILESQNGAIRGEVLELVPKSTDTIYRNGEYVATFLIGTQMIKNYLLIDTGSDLVWWQCGPCVACYEQDQPLYDSTASKTFRIIGCDKYSLRCRTVDPAFQCNQENFECRYDLVYGDHVQTKGFIADDLITFNLDDHRTIRITFGCSKDQTGEKNFSAFSAGILGLGRGDGQYSLPSQFGGHIMSMCLPTFNSGKGSVLSFHTSKWPRATSAKLLFNYRYPIFYYVNIYKVFVNDREVPVSPSWWKFTSDMNGGMIVDTGTTFTRLPHDFYVVFRYIFRAEVEDIPMVEDPGNIFDTCYKEDPSGRNLYFPVVKLYFGSVNSSTMLLLTQERVIVNYRGVYCLAFVGWDSDLSILGMTQLQGVGLTFDSSTSTLSFDIDACD >Solyc10g085620.2.1 pep chromosome:SL3.0:10:64862042:64864805:-1 gene:Solyc10g085620.2 transcript:Solyc10g085620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHHHQDKSMHPSTRMSVPERHLFLQGGNGNGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKSVLKLMGIQGLTLYHLKSHLQKYRLSKNLHGQANASGANKAVAAAGVERISENSATCMSNPSMVPQPNKNIQISEAIQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNMETVGLEAVKVQLSEFVSKASNQCLNSPFTDIKELSGFHSQQTQATQPTDRSIDSCLTSRDGSLRDNTMHDNQIGLRPFGFTPSIECKDIENDTRLQQTELRWCDNLKENRRLFSPMNEGREKTFTRETNCNNLSMSIGLQDEKLNGSMNHSDGNFNGTERDVKLFHQVTNRSESVPQRHKSSQEYKLSYFEPKLDLNMHDETDAASSCKQFDLNGFSWS >Solyc02g068867.1.1 pep chromosome:SL3.0:2:39325122:39329029:1 gene:Solyc02g068867.1 transcript:Solyc02g068867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWEIGRIVIYVEQSNSKNHQKSLLIVLGWNNSYEIKYGKSTEIDRSHNRLDGPIPESFRKLLILEFLVLSHNNLSGDIPKSIEALEYLNVSFNKLTNQLFLSNNALCGILRFQVPPCQGKSHRRTGRKRVLYFVLYSLLGITSVIVASAVGFLILRRRKRNELLGSTEVSSMRAHERVPYYELQQATNGFNEDNLLGTGSSSKVYKGVKDEAIFAVKVFKLELEGAFKSFDTECEILHNLRHWNLSKVITSCSIPNFKALILEYMPNGTVDKWLHSHDLFLDMQRLDIMIDVASALDYLHKGYSEPVVHCDLKPSNILLDQKTWLAI >Solyc02g082860.3.1 pep chromosome:SL3.0:2:47066992:47072868:1 gene:Solyc02g082860.3 transcript:Solyc02g082860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKSFPILKQLIQQSTNRCFTSTVSPRAVTLIPGDGVGPLVTDSVEQVMKAMKAPVYFERYEVRGDMKCMPEEVIDSIKKNKVCLKGGLRTPVGGGVSSLNVQMRKELDLYASIVHCFTLPGLPTRHEDVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKYCSERIAKFAFEYAHVNKRKKVTAVHKANIMKLADGLFLESCREVAAKYPEIQYEEIIVDNCCMQLVSRPEQFDVMVTPNLYGNLIANTAAGIAGGTGVMPGGNVGADQAVFEQGASAGNVGNEKILKLKRANPVALLLSSAMMLRHLEFPSYADRLETAVRHVIEEGKFRTKDVGGDSSTQEVVDAIIAALD >Solyc01g010501.1.1 pep chromosome:SL3.0:1:5411965:5436028:-1 gene:Solyc01g010501.1 transcript:Solyc01g010501.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIHKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYARDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLEQSHKTAEKCDHMTLVPYASAVGSLIPDIAHAVGVVSRYMANSGKEHWEAVKWLLRYLRGTSSTSLCFGKGKVTLQGFVDADLGGDIYSSKSTFGYIYTIGGTTPDNVWTYLPPYFRLNDDSFIYLVLYVDDMLIASKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLGNLYHLACHSHTRAGPVASVNP >Solyc06g018008.1.1 pep chromosome:SL3.0:6:16295474:16295815:-1 gene:Solyc06g018008.1 transcript:Solyc06g018008.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding QERGVPRLVINYKPLNKIQIFKEHTYKTAFNVPFGQYEWNVMPFDLKYAPSEFQKIMNDFINPYMDFIIVYIDDILKH >Solyc09g011220.3.1 pep chromosome:SL3.0:9:4558338:4564691:1 gene:Solyc09g011220.3 transcript:Solyc09g011220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPKIMQNFLKEFEKKLDIKITCSQETEPLGTAGPLALARDILRDDSGEPFFVLNSDVICDYPLKELILFHKSHGGEASIMVTKVDEPSKYGVVVMEEATGRVEKFVEKPKAFVGNKINAGIYLLNPSVLDRIQLRPTSIEKEVFPSIAAEKQLHAMVLPGFWMDIGQPRDYITGLRLYLDSLRKISSPDLAVGHHILGNVLIDESAVIGDGCLIGPDVAIGPGCVIESGVRLSRCSIMRGVRVKNHACISSSIVGWHSTVGRWARVENMSILGEDVHVGDEVYSNGGVVLPHKEIKSSILEPEIVM >Solyc07g054210.3.1 pep chromosome:SL3.0:7:62692749:62695916:-1 gene:Solyc07g054210.3 transcript:Solyc07g054210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-protochlorophyllide oxidoreductase [Source:UniProtKB/TrEMBL;Acc:K4CFW6] MALQAASLLPSAFSLHKEGKTSSANLKDSSFFGVALSCNLKSKFTPAAGNKELTRKLAIVPIRAQTAATTPAITQSTSGQKKTLRKGNVIITGASSGLGLATAKAIGESGDWHVIMACRDFLKAEKAAKSLGIPKENYTVMHLDLASLESVRQFVDNFRRSGRPLDALVCNAAVYLPTAKEPTFTADGFELSVGTNHLGHFLLSRLLLDDLKQSDYPQKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLSGGLNSLNCSPMIDGGEFDGAKAYKDSKVCNMLTMQEYHRRFHEETGIAFASLYPGCIAETGLFRNHIPLFRTLFPPFQKYITKGYVSEEEAGKRLAQVVRDPSLSKSGVYWSWNSTSSSFENQLSKEASDAEKARKLWEVSEKLVGLA >Solyc09g074300.1.1.1 pep chromosome:SL3.0:9:66513262:66513690:1 gene:Solyc09g074300.1 transcript:Solyc09g074300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4CV07] MPSITAAKSVAGRGKTQKASKSTSRSQKAGLQFPVGRVARFLKNGRYAQRVGSGSPVYLSAVLEYLTAELLELAGNAARDNKKNRIVPRHIQLAVRNDDELSKLLGSATIANGGVLPNIHQNLLPKKIVKGKGEINSVSQEF >Solyc09g097810.3.1 pep chromosome:SL3.0:9:72306574:72307583:1 gene:Solyc09g097810.3 transcript:Solyc09g097810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKNNIFVYISLVILLIISSQIVAREMNSEAPAPLTQAMNGNTTNEAKGVFFHGHHLLRNLGRIFRIGQVIYCNYCSTCNGLCGYCCV >Solyc10g044700.1.1.1 pep chromosome:SL3.0:10:27447950:27448342:1 gene:Solyc10g044700.1 transcript:Solyc10g044700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVVDGPMMEEFVDDAEAFGKWTDKHFDMLDTDGNGELSRDELQNRKGKFSSSEFELQSKEEISSLYDILIERFDIDKSGTIDRQEFKALTKEIMLAKARGIGNSPVLVILQGDSLVMRVVQRFSAKK >Solyc06g060870.1.1.1 pep chromosome:SL3.0:6:38998550:39000106:-1 gene:Solyc06g060870.1 transcript:Solyc06g060870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGTALPNLHLLQPQGVNFKCNAQTVKTISSSTEMTKKHISNLEKLLQKTNPIDSSRPVIEPSSNRLIENRRKNLLEGLNLANIWPDEMRKAAEDMSPRHLNRLKRLLSSKSMEYSPRNNLANRWREYHGSNNWLGLLDPLDENLRRELVRYGEFIQSAYHCFHTDPATSADEVLPERHVALPDRSYKVTKSLYATSSIGLPKWVDDVAPDLRWMTQRSSWIGYVAVCDDRSEIQRMGRRDIVIALRGTATCLEWAENFRDLLVEQNDNNDEGVVQSKVECGFLSLYKTSDHRVPSLAESVVNEVQRLIEKYKGEPLSITVTGHSLGAALSLLVADDLSTCVPNAPPVAVFSFGGPRVGNRGFADRLNDNNVKVLRIVNNQDVITRVPGMFVSESLDKKLRESGAGRVLEMLDCRMPWAYSHVGTEFRVDTKMSPFLKPNADVACCHDLEAYLHLVDGFTASNCPFRPNAKRSLVRLLNEQRSNFKRLYTSKGKDLTINLDREHNFPTSSCLPSPSS >Solyc07g008640.2.1 pep chromosome:SL3.0:7:3578854:3581956:-1 gene:Solyc07g008640.2 transcript:Solyc07g008640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKYPRLAHFLVILSLLFVETLFGLTSREVNKTLCIEKERGALLEFKRGLIDGFDHLSTWGDEENKQECCKWKGIECDTKTGHVTVLDLHNEFLCSTSGCVTSRLTGKLSPSLLELEYLNFLDLSMNDFENSEIPRFIGSFMRLEYLNLSDCSFSGVISIWFQNLTSLKTLDLGDNNLIVKDLRWLSHLSSLEFLSLSASNFQVNNWFQEITKVPSLKELNLSGCGLSKLVPSPADLANSSLISLSVIHLRGNDFSTSCEYSWLFNISTSITSIDLSYNVQLTGQMDDRFGSLVLAGSRKSLEVLASNDNSMFGSIVNLTTFSSLKKLYLQNNVLNGFSMESAGQVSTLEYLDLSDNQMRGALPDLALFPSLRELHLGSNQFQGKIPQGIGKLTELKILDVSSNRLDGLPESMGKLSNLESFDASYNVLKGTITETHLSNLSSLVYLDLSFNSLALKTSFDWLPPFQLQVARFQVGSFGLPPDLMILNLSNNQISGRVSDLIENKYAYRVIDLSSNNFSGPLPLVPTNVQIFYLHKNQFVGSISFICQSYTATTSLDLSRNRFSGELPDCWMNMSNLAVLNLAYNNFSGKVPPSLGSLASLEAVYLHQNSFSGMLPSFSQCQRLQILDIGGNKLTGRIPAWIRTDLFNLRILSLRFNKFYGSIPSVICQLQSLQILDLSANGLSGEIPHCFNNFTLLYQDDSSGEPMGFYIEGRNIPLTYYSYIGDLLIQWKEQESEYKNPLLYLKTIDLSSNKLVGGLANLTFLSVLDLSNNQLSGIIPSSTQLLSFDRPSYSDNAQLCGPPLQECPGYAPPRPHIDHGSNTNPQEHDDDEGYSYLEFHISMVIGFFVTFWGILGCLIVNRSWRNAYFTFLTDMMSWSWLDMISRVWFARLMKNLTRA >Solyc10g084410.2.1 pep chromosome:SL3.0:10:64081078:64087970:-1 gene:Solyc10g084410.2 transcript:Solyc10g084410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLCWRRSGKRNCSHRGGDPNGRFKGLMWYKDLGVHANGEFSMAVIQANNLMEDQSQLESGPLSSMKLGPQGTFVGVYDGHGGPETSRFINNSIFSNLKKFASEHQELSADAIRKSFLKTEEEFLSLVKRQWQEKPQIATVGSCCLAGVICSGLLYTANVGDSRAVLGRVDKSAKSVAAIQLSTEHNASIESVRDELRSLHPEDSQIVVLKHNVWRVKGIIQVSRSIGDAYLKKSEFNQAPLLARFRLPEPFSEPILSAEPSISVHKLSSKDQFVIFASDGLWDHLSNQEAVDIVHSHPRNGIARELIKAALHIAAKKREMRYSDLKKIDRGVRRHFHDDITVVVVFIDPYSMNRSSSRRSILSIRGGTPSSPKISVQEIRPASLDSTSESPALFDGTTRLYISYVCPFAQRPWIARNFKGLQDKIELVPIDLQNRPVWYKEKVYPQNKVPSLEHNNKVIGESLDLVKYIDSNFEGPFLLPDDPEKQKFAEELIAYSDTFLKEIYANFKGDIEKHAGPQFDYLEKALDKFDDGPFFLGQFSQVDIVYAPFVERFQIFLKEGLNYDITSGRPKLAKWTEELNKLDSYIQTKADPKEVVDLYKKKYLVVTHKRKTE >Solyc02g036230.2.1 pep chromosome:SL3.0:2:30728227:30733490:-1 gene:Solyc02g036230.2 transcript:Solyc02g036230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSASSPFETNEQGGIETWKEYANMARTTFEEDFLWGMYCISTNKHNDHDQLKIYRHVYKDVVPLEQMMKYIDCKLIQTYKCNKKWIIALNPLPHSGSGSLIAGDPTCLTCKRRLHDLERFQFCSIACQVEAKWGKIAETKRKRKRKGIPCRAPLK >Solyc07g021150.1.1 pep chromosome:SL3.0:7:16358214:16360360:1 gene:Solyc07g021150.1 transcript:Solyc07g021150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNRCCCNVFHLLDDRSRFRHCSNSSHVQMMLLATVVYVICIPGIILMHILYKPQPSCLINIFFISWTLVLLQLMTNVSLRPIRTCRREMHLESRSPFAKRDWFTIIVYNKCWWTSTWVMVMNEWLAVCVYSKYVKLHLNIFDCYPNC >Solyc11g007800.2.1 pep chromosome:SL3.0:11:2031466:2035616:-1 gene:Solyc11g007800.2 transcript:Solyc11g007800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITERLCFLCSSSVNSSLVKYASFIENDDQNLSSAIFSLFVNDPRFLNKDTIDPLEAKGQNILSYRKDEKGIPKRDMKLLSCSSLQCEGSPGLSECIDLWDAKEGFDPPIEENILCMEKHHQRMKLFRVGDVKSGRQQPSVERRFSSVCPILLLKSDNQKTSIIRWSIILPLCWIKVFWISLVTNGAQAIGLREKNWIACDLGLPCFPREFPDCNAHSCFMVLEEAAYDKKSELRSPHTKTWKVPVSSPWDSVRLALEGLSGAGHDRMQHEQLSPNDMIKNLEMSTPYSRRCITDSEGSHSAPFEGFVARTSYVLIQFLDEISGSHLLLFPKALHRIKCISKFMKDERIFNEDIDKGIYQINQDQKLCLVRVILHAHREGSFEEGAVVCAPQIDDVMLFTTRSEISKGELQVPESFVRSCFSQQATGKWEFQVPEDPAAKESYRLPIGFITTGFVRGSKKPVAVALCEAVCLAHLREEQWKAISVRKRKKEIYVLVRNLRSTAYRLALASIVLEQWEDDVEYM >Solyc05g052540.2.1 pep chromosome:SL3.0:5:63615228:63621324:-1 gene:Solyc05g052540.2 transcript:Solyc05g052540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSFQLKISKIATFFSPPKLFNMKQNCRNSHKSCIILFSIFFFFVFFMYNEDIKSIAQLQFISNPKILENSSNKSNGVQEISKILGKQSKNTSVLTNSRSENDDEIELPPESCDLFNGQWVFDNVTHPIYKEKECKFLTEQVTCLKNGRKDSLFQNWRWQPRDCSLPKFRARLLLEKLRNKRLMFVGDSLNRNQWESMICLLQSGVPFSWNKYKKIGHLSVFIIEKYNATVEFYWAPYLVESNSDDPAVHNILTRLIMPESIEKHGNSWKNVDYLIFNTYVWWMNGGTMKVLRGSFDKGSKEYDEIDRTIAYTRVLTTWSQWVQNNVDPKRTRVFFMSMSPMHIKSMDWNNPNGIKCAKETTPVLNMSMPLNVGTDKRLFDIASNVTKSIKLPVYFMNITRISEYRKDAHTSLYTIRQGKILTPEQQADPATYADCIHWCLPGLPDTWNELLYTRIMSSS >Solyc01g044490.3.1 pep chromosome:SL3.0:1:44024736:44049269:1 gene:Solyc01g044490.3 transcript:Solyc01g044490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SFYYPKPSHQKKKKMRVVMKRLSRALWDLKRTSSTKISRPLSTYIQSKSSYRESRDFLFASPWSATQLRGAKSRGADLKPGNVIEKKGRIYQVVKAQHTTQGRGGAIIQVELRDVDSGSKSNERFRTDETVERVFVAEKSFTYLYTDEETGNIVLMEPETYEQLDVPKHLFGECYVYLQDDMKVNVQLYNERAMSASIPTRVTCTVAESEIPMRASATPQYKKVLLDNGLTVQVPKHIVEGDKIIVNTIDHSYMSRA >Solyc12g010690.2.1 pep chromosome:SL3.0:12:3626969:3630665:1 gene:Solyc12g010690.2 transcript:Solyc12g010690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTGVQENGHGEMGMSDFPLGSKNKYKRMDSVFTDDDDQYQLEKRKKSTRKYVFGCAVFASLNNVLLGYDVGVMSGAILFIQQDLKITEVQQEVLVGVLSIISLIGSLAGGRTSDAIGRKWTMGFAAVIFQIGAAVMTIAPSFEILMVGRILAGVGIGFGVMIAPVYIAEISPTIARGSLTSFPEIFINLGILLGYVSNYAFSGLSAHISWRVMLAVGILPSVFIGVALFIIPESPRWLVLQNRVEEARLVLMKTNENDSEVEERLAEIQQAAGTADTDKPVWRELLSPSPALRRMLITGIGIQCFQQITGIDATVYYSPTIFKAAGIEDESKLLAATVAVGVSKTAFILIAIILIDKVGRKPLLYVSTIGMTTCLLGVGITLLLMKEGSISIALAILFVCGNVAFFSVGIGPVCWVLTSEIFPLRLRAQASGLGAVGNRMCSGLVAMSFLSVSRAITVGGTFLIFSVISALSVAFVYKHVPETKGKSLEQIELLFQDEYTFQGGEVQLEDVEHLVQK >Solyc06g075410.3.1 pep chromosome:SL3.0:6:46951728:46953200:1 gene:Solyc06g075410.3 transcript:Solyc06g075410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPSIIFQFVNSRIEGFVAVSSQGSAQILVHHVAGGLEARVDHVKSECSSRRVSSNKEYINSDKAEMPKLSVEPLHMKRKKRSGGCNLRKSLAWDRAFSTEEGVLDPLELSLLSGSLVKTRGETLFTINEEERNPTSNDSLHDASSVYLSSNKRSTFKGIRAVALKEENRKASTKIPASHNGRIISKSSSCSRLLSSASYPCTCQIYLKFNYFQMMSLNIILD >Solyc11g065220.2.1 pep chromosome:SL3.0:11:50877260:50882251:1 gene:Solyc11g065220.2 transcript:Solyc11g065220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:K4D9D5] MGRLGVGLAVGCAMAACIVAAAMVGKRVNKRSKWLKMVKVLEELEESCDTTVFRLKQVVDAMAVEMHAGLASEGGSKLKMLLTYVDKLPNGREEGTYYALDLGGTNFRVLRVHLGDQRSAILGQDIERQPIPQHLMTSTSEDLFDFIASSLKDFIEKEGNDLEQTSPRRRGLGFTFSFPVKQSSVSSGILMKWTKGFAIEDTIGRDVSECLQLALSRKGLDVRVAALINDTVGTLALGHYNDEDTVAAVIIGTGTNACYLERADAIIKCQGLLTTSGSMVVNMEWGNFWSSHLPRTSYDIDLDVASPNPNDQGFEKMISGMYLGDIVRRVLLRMSKQSDDFGPSSSKLAVPFVLRTPLMAAMHEDDSPDLSEVAKILEEVLELPDVPVKVRKLVVKVCDVITRRAARLAAAGIVGILKKIGRDGSGGIASGKFRSNRPSRLRRTVVAIEGGLYTSYTTFREYLNEAMAEILGEEVSPYVILRVMEDGSGTGAALVAAANSLPEAADTVQLQ >Solyc01g095150.3.1 pep chromosome:SL3.0:1:86400397:86401924:1 gene:Solyc01g095150.3 transcript:Solyc01g095150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Late embryogenesis (Lea)-like protein [Source:UniProtKB/TrEMBL;Acc:Q40159] MDLIDKAKNFVSEKIANMEKPEATITDVDLKGIGFDGLAFHAKVSVKNPYSVPIPIMEIDYVLKSATRVIASGRIPDPGSIKANDSTMLDVPVKVPHSVLVSLVRDIGGDWDVDYTLELGLIIDIPVIGNITIPLSYSGEYKLPTLSDLWKGGKEEEKKEDEEEKEDPSKVVEI >Solyc09g075970.3.1 pep chromosome:SL3.0:9:68038510:68042121:-1 gene:Solyc09g075970.3 transcript:Solyc09g075970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKEQINDEKHKNTEKVQSVLQLLKKQAPLSVKQEKLCNGDCVERFLKVKGENVKKAAKHLRNCLNWRDSLGIDHLIADEFSAELAEGVAYVSGHDDESRPVLIFRIKQDYQKFHSQKLFTRLLVFTLEVAIQTMARSVEQFVILFDASFFRSASAFMNILLASLKIIADYYPGRLHKAFVIDPPSLFSYLWKGVKTFVDLAPLTMVVSSLDFEESLEFNDFTAYPRAASLRFNPSSISSNGKIGSCSSSRFSFTVSHHFDSVKPWYLSLTDTSSAKVGPTTNMGPAISPLNARSHSFASPIDRTPRGNNNIGPMKKGFFPSTPLPQKSKDMVDHSEIHHPRAHRPSFFQSPAMFFKRDGGKGQTISRVDKCRESFQPFLKFYRRPYDEMIYRSKMRPPLGGLISIVSPHIRRRHMSASQRF >Solyc09g082380.3.1 pep chromosome:SL3.0:9:68562147:68577461:1 gene:Solyc09g082380.3 transcript:Solyc09g082380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEASFGICNCLRKFSSSTAARKVDFTDLTRPHTWYPHARKKSRNVFLHVGPTNSGKTYYALKQLESSSSGVYCGPLRLLAWEVAKRLNKSNVPCDLITGQEREEVEGARHKSITVEMADVTSDYECAIIDEIQMIGCRTRGFSFTRALLGLAANELHLCGDAAAVPLVQEILKVTGDSIKVQYYERLSPLVPLKVPLGSFSKIRTGDCVVTFSRTEIYKMKKQIEAGGMHRCSVVYGSLPPETRTRQATMFNDASSNSDVLVASDAIGMGLNLNISRIIFSTLQKFDGVEIRDLTVPEIKQIAGRAGRYKSNFPVGEVTCLNADDLPLLHSSLDHPSPVLERAGVFPNFDLLYMYSRLHPKHGIHEILEHFMDNAKLSEHYFIANCDELLKVAAIIDTLPLSLHDKYLFCISPVEMDDDISSQGLTQFATNYSNNGLVRLREIFTPGTLKVPTSHTALKELESIHKVLDLYVWLSYRLEESFPDRKLASSQKAICSMLIEEFLEGQGWQRPRTKRSTQKSRSISLISQDTRHKF >Solyc08g082010.3.1 pep chromosome:SL3.0:8:65047029:65054691:1 gene:Solyc08g082010.3 transcript:Solyc08g082010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHRFRERVKSFFGSHVDPEKDEELKGTKAEIEDKIQKILAYLKGEDAGDEKEPLVEAVEDFHNHYQSLYARYDHLTGKLRDNVHGKHEKDSSSSSSDSDSDSDGSTRKKGKKNGKLKFTEVTDGIKEELASANLEIIELKAQLMAAKEEKDALQSEHQSTLSKLQEAETTICSLTSEAERLEVENSKHLGETVDLKENLEKSAKLESELMQKLDEMTKERESLLLEKEAMGNSILEGNNTIEELRTTMGQLKEEKETLHIELEALKSELPSVKEQLDSAEKEIAQLSQTQKVTEEDNSSLSSKVLQLSEEIEQAQQKIQDLVTEADQLKGMLDEKEKEFASHKEIHDAHKTEASTRLRGMELEIGSLQSQRSEIEKQKEDELSALLNKLEEKEGEFSSQMEALTTKISNMQLEIESLSELKGKLEEEMEQQRNKMSAEVEDLTNKVNKKDLELESLCSQKLELEAELEKKTQEISGFSSEIESLKEDIANKSAESLKILEEKESSLSKVKDLEVELKSLQNLKHELEEQLTSKDETIVQMKNDKEMMHDKISEIERALTERESELAILRKNSEDGEIESSAQIAALTLQLSNLKEHSENLQVEKSQIESQLEAKAGEASEYLTQLEKLKGELARNTSEGQRMLEEKEGLVVQVREEKGSLLRKISELESALAEKVEEHETLQKKLEEVQNEASTQIAALTEEVDKLRQQTELLQTEKSQMELVIETGKQEFTESLAQAENQNTELSQKLVDQEIRLKEREEAFGKLVEEKDSLVIQVNDLQAEVKSLCEKISTLEENTSNTNNEISLLKDEKESFLLKISELENSLVKKVEEYQALQKRLEDVQNDTSAQIVALTEEANKSQQQIELLQTEKDQLTLVIEGGKQESTESLAQAESQNTELSQKIVDQELKLKEQEEALGKLVEEKEGLVVQVNDLQAEAKSLCEQMSTLEENISSANNESNLLKEEKVSLLSKLSDLENALTEKVDEHGQTLAHAENQHTELSQKIVDREMKIKEHEEAFGKLGEEHKQLDGMLQEYKEKIKLAEMKIEEMTEEYQKNLESKDHKIHELDNKIEDLKRDLEMKGDEISTLVENVRNTEVKLRLTIQKLRVTEQLLTEKEVDHQKKEEKLLQHQKLLEERIATLSGVITEYKETQAKIKADLSNKVNDTLTQMDTFNMKFEEDTGHLESRIYEILNELKVALNLIKVTSEEKKQLKKEVNTLVQQLNDEKECALVLKEKVEKLEFAGKNEVSQRGSLTETVHQLEVKIATLHKMLVEKDEKMGEYERKMNDKDKGMLDLSEEKREAIRQLCIWIDYHQSRYDDLIERISTKTKGKRQVTA >Solyc06g061055.1.1.1 pep chromosome:SL3.0:6:39200050:39209217:-1 gene:Solyc06g061055.1 transcript:Solyc06g061055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSLKLQENSQTQNHQKQSQTHPLLLRAKIPISIFNLPFLSCFSTTTHHPSDLSLSLATSFPSGPTLKLAYSTASTPITPSSTTPIAPPLTLTLKSGIGVFGSPKNSPLVISANFTFSPLNSNQNPTFTLLFKPQLGSFSLRKTTTSDLNSSSTAVGKQNGDGNSFGFVPLERPSSFKEFSMEDYAKDSVFKGIAVMAKTEMPLTNKVVMDCRWGVNFPKDLGSRMPFLSVNKIGIKRIEEVKEVKEKKDKNSRETELLKGMCFWMKNELEMLQRENREMKHRLEEMKMGNGGRKGVGEAEFVGVQVIENSGGFEQWRNKRNSGGENPKKEVKKNSSNGNRASDVESELQKAIRAASSS >Solyc04g011400.3.1 pep chromosome:SL3.0:4:3868982:3872988:-1 gene:Solyc04g011400.3 transcript:Solyc04g011400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGDNNASAKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDRLMQNEKNEVIVVDNYFTGSKDNLKQWFGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQLPGTQTRSFCYVSDMVDGLIRLMEGDNTGPINIGNPGEFTMLELAENVKELINPDVQIITVENTPDDPRQRKPDITKAKSVLGWEPTIKLSDGIPLMEDDFRSRLGISRKK >Solyc09g042577.1.1 pep chromosome:SL3.0:9:24500482:24500725:-1 gene:Solyc09g042577.1 transcript:Solyc09g042577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQHSGFLDKVMHDFVTYNEHATRKIVTTMDVVYALKTLGRTLYEFGG >Solyc02g011860.1.1.1 pep chromosome:SL3.0:2:14146576:14146929:-1 gene:Solyc02g011860.1 transcript:Solyc02g011860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQKQKKGWKGEEERDVEIETFLEMKGTKQEQEGSIEEDPYPSLFSEEGWDLDKTDEMKEIRVNGKDKIKDKFHSHLIETDYNNIYTSYSLIYDYQDSYLNNNNTGNLENCKSQLLD >Solyc09g059070.2.1 pep chromosome:SL3.0:9:53330225:53335066:-1 gene:Solyc09g059070.2 transcript:Solyc09g059070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKLIMRAVQYKSYGRGADGLKHVENQVPTVFIKLEATSLNPLDLKFQKGVARPFVPRRFPVIPCTDVAGEVVEIVGGFAEYAVAKESLTVQRTKEVSAAECAGLPVAAITAHKSLVEISGIKLDGSGPPKFGDVTCGACNIEFVKSFGADEVVDYKTPEGATLKSPSGNMVHCARGIPWSTIEPNLSDRAKLIDLTPGPLLPLIFIPKEDKELKWHVNLVKEGKIKTRFDSKYPLSKAQDAWAKSLD >Solyc06g043160.1.1.1 pep chromosome:SL3.0:6:29766533:29766691:1 gene:Solyc06g043160.1 transcript:Solyc06g043160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKHTARMKYEVKLGTPREYYNEDHRPKHFLEFSNMEEGDTAEADREDTFT >Solyc07g049633.1.1 pep chromosome:SL3.0:7:60096013:60096945:1 gene:Solyc07g049633.1 transcript:Solyc07g049633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGLGEAKNAVKLIEETKYILHSHFKIKDLGELKYFLGIEFLRSNKGIMMNQRKYSLELISEVGLAAAKPALHH >Solyc06g065270.3.1 pep chromosome:SL3.0:6:40821976:40826234:-1 gene:Solyc06g065270.3 transcript:Solyc06g065270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCCSLSFSTVSSKPNKPYSSPISSSLELPFTSQLPFSKKYSLYSNHTLLQTQCRKTQSPDCPSFLVVGSAKKQEPLRVMISGAPASGKGTQCELITKKYDLVHIAAGDLLRAEIAAGTENGRRAKEYMDKGQLVPNEIVVTVGLPGKLVPAFMVKERLMCPDSQEKGWLLDGYPRSLSQAVALKEFQPNLFILLEVPEEILVERVVGRRLDPVTGRIYHLKYSPPETDEIAARLTQRFDDTEEKACEAASAHSPSKCGISSLNVQRYYIPGILFATDVVQLLHSGMQIETLISHHQSVESFLIYLIHSLLGCLMVYKVDGSVSKEEVFAQIDGALTQLLEAKE >Solyc11g070060.2.1 pep chromosome:SL3.0:11:54904936:54910654:-1 gene:Solyc11g070060.2 transcript:Solyc11g070060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFMLLIKAELDNITDLQPQGGCDDDNFRYYFKLKCTLCGEITQKETYVRLVETVPHPVGKGHTHLVQKCKFCGRDGTITMISGLGRPLTHADSAAGKSAPLMLFDCRGFEPLDYVFRGEWEAESLKGTIFEGIDLSGDEFVEYDERGEAPVMTSRPSATFNVVK >Solyc04g079390.3.1 pep chromosome:SL3.0:4:63984864:63995898:-1 gene:Solyc04g079390.3 transcript:Solyc04g079390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:K4BV23] MEAPQSLVGKLYTSYSDGLHFAKPISTLRTNEFDLVRNVLQILQGFSSTMLYWDELGHHFRVRSGIYVSHLSHTSLYHVLNQFTYAATCLKMVESRIQEVEKSVPPPPPTLRAFCCSIYSWLTWLRNGALKEEMKVVDSCSLTTPTLLGLSSSLSSLCAGAEFLFQVVQEAIPQAYDETDSPISATAIAVHTLNYLHKKLTEVCLVQGGEEDAYRMILHAFVSTLLPYIEGLDSWLYEGILDDPFEEMFFHANKRIAVVESEFWEKSYLLRSAKMDTGRVTDSLLSIKRTDDVSRKEPNDVSGLAKEKGANGRDLDVCPLFMKEIARDIISAGKSLQLVQHTRMTSSVSASGRIAGLSLSEIFCVTLSALIGYGDHVSEYFLKEKKIVPLVKSFTGRQKEERSNKSFQEMTCSDKEWCKFLVDTMVQKGKANLISCNALGEEVDSFVVEGDKLALDRNDILSLGFRPENPAITTSQNFLHANRDAWGPLNLSREFYLPPLNDEGLRQAIFNGSAGSFVATKSTNYTFGFQFGESERDRLKEDVTFLEELFPFPTLLPPFQEDDHVSEVFPFQENSTLASRTLNWIGRVEPRNTPLPTVILQECLIVFIKKQADCIGRNILSKLLSEWRLLEELEVLRAIYLLGSGDLLQHFLTVVFNKLDKGESLDDDFELNTTLQESIRYSADAALLSTPDSLVVSVTRNNATIEDDQRGMPLLTSIPRKSRGQNFGIDGLDSLMFTYKVPWPLELIANTEAIKKYNQVMRFLLKVRRAKFVLDKARRWMWKDRSSASINRKHHWLLEQKLLHFVDAFHHYVMDRVYHSAWGELCEGLAAARSLDEVIEIHEAYLMSIQRHCFAVPEKLWALIASRINSILGLALDFYSVQQTLSSGGAVSAIKARCEMEINRIEKQFDDCIAFLMRILSFKLNVGQFPHLADLVTRINYNHFYMSHNGSLINAPGSNTVPSKSGKLFAGQRD >Solyc11g013190.2.1 pep chromosome:SL3.0:11:6093618:6102280:-1 gene:Solyc11g013190.2 transcript:Solyc11g013190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPFCCVPTINNNCQSSPLPMAPPPAPEFTRSADSLNRSASGSYNHNWTVSNSNHHRHRSLTESSSSTPAIFTSLSRPTSMREVIQLTCAASAGDQVKINDIVGNGISGILHKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDRIVVNSETEKGSKVIGEVSLRRISRNKTNATSQARRKPVGEVHLKVSSIRESRSDDRRFSIFTGTKRLHLRAETREDRIAWMEALQAVKDMFPRMSNSELMAPVDDVAVSTDKLRQQLMKEGVSESAIQESELIMRNEFASLQNQLMLLKQKHRMLMDTLRQLETEKVDLENTVVDESQRQCKEVGPSAQLRQDKYSEASASESEDDNERVDAAEEDTDDEENTFFDTKDFLSSSSFKSSGSDFRTSSFSSDDDDLYAYASDESVDPIIRSAGTKFPYVKRRKKLPNPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKSFEDLEYSYLLDRASEWGRRGDNLMRILNVAAFAVSAYASTEGRICKPFNPLLGETYEAEYPDKGLRFFSEKVSHHPMIIACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGILTLEFDDGEIYQWSKVTTSIYNLILGKLYCDHYGTMRIQGNRNYSCKLKFKEQSIIDRNPHQVQGIVQDRSGKTVATLFGKWDESMHYVNGDFSLGKGFDSLSEAHLLWKRSKPPKDPTRYNLTRFAITMNELIPGLKDKLPPTDSRLRPDQRCLENGEYDRANSEKLRLEERQRQARKMQERGWKPQWFAKEKGGDSYNYIGGYWEAREKGKWEACPDIFGHIPCDQM >Solyc03g117650.3.1 pep chromosome:SL3.0:3:68218614:68222854:1 gene:Solyc03g117650.3 transcript:Solyc03g117650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVSPWKAITLQLICLLGLAIAFWIPNHIYSLDLITHPSQTLRMISFIRCFWLQYSFYFTVTFDTIGTNALTSKL >Solyc05g013435.1.1 pep chromosome:SL3.0:5:6504493:6504972:1 gene:Solyc05g013435.1 transcript:Solyc05g013435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKVIKWNMQCVTSHLFHPGKERSKEGDPTSPYLFVLAMDYLTRILKTLQGKPEFHYHPRSKEQKIVHLSSADDLLMFWLFIMNLAVNGRLATKDRLAKWGILQVLTRPLCQQMDEDHDHIFFQCTCRRGVEGYTAVARHNKKCNELD >Solyc08g065970.3.1 pep chromosome:SL3.0:8:54433246:54438717:1 gene:Solyc08g065970.3 transcript:Solyc08g065970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLHLKWGFSLQPPFSSNSSSWPSSHSFKGRETLYDPARSLKPFINGDCEPSHSDPFRVQTCLSDPQLWTSDASVTTETKTRNGRGSPSNVYGDIGSYKIDGEKPTYLNTTKSLHFSLLMKNLDLLENMFADSEMSRLERDILVQLEKLGALEFFHTCLSRTHHSSSFPKVLDVPRELIKEVEKDDLVGNAMDKVVVRSRKKQERMSRRKRASRNANDIITVQPHTKNIQEDLQQLKFYSGKRTHASRTKRQKIAKNEAEMSKGVKLVAQLERIRSILEEETGQVATFSSWAKAAGIEQKELQQHLHFGWYCRDELLKSTRSLVLYLARNYRGLGVAFEDLIQAGNMGVLQGAVRFDHTRGYKFSTYVQYWIRKSLSKLVAQHARGVRIPFTICKVINQIHKARKTLSRSHGKFPADDEIAKFTGLSTARIAMASKCLRVVGSIDQKVGDCINAKVLELTPDKSVTSPEEFVMRQDIVDNMYALLESLDPKEQQVIYFRFGLETHQRKSLEEIGRLYGVSKEWIRRIERRALTKLRSADYFQHITHYSL >Solyc12g040510.2.1 pep chromosome:SL3.0:12:54980799:54984032:1 gene:Solyc12g040510.2 transcript:Solyc12g040510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQESLVLRGTMKAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWSLTKEGSQYGVPRRRLTGHGHFVQDVVLSSDGMFALSGSWDGELRLWDLQAGTTARRFVGHTKDVLSVAFSVDNRQIVSASRDKSIKLWNTLGECKYTIQDQDSHSDWVSCVRFSPNNLQPTIVSGSWDRTVKIWNLSNCKLRATLAGHTGYVNTAAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDSGSIIHALCFSPNRYWLCAATESSIKIWDLESKSIVVDLRVDLKQETEMSGNATSTSGNSKTKVIYCTSLSWSADGSTLFSGYTDGLIRVWGIGRY >Solyc10g050110.1.1.1 pep chromosome:SL3.0:10:47732683:47734011:1 gene:Solyc10g050110.1 transcript:Solyc10g050110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIIISIIFILQLFFQNCLVLATPTLGQTRQALEIIIGGGGGSPPPPDNQDCPPPPPPPEPPCPPPPSLLFESKRVEIAYHVIQKLKSKITHDPLGITKTWNGSDVCNKYKGFRCATVPDLKVKALAAVDFNQYKFDGPDLTIDGFIDELPDITIFHANTNNFKGTIPKKIANLRYLYELDLSNNKYNGEFPNNIVFGAKKLYFLDLRFNSFSGLVSPQLFMLNLDVLFINNNNLIQKLPDNLGSTPVLYLTLANNKFTGPIPRSIGQACKSLREVLFLNNQLTGCLPYEIGLLSKATVFDVSKNQLTGKIPHSFGCLAKIEILNLAQNQFYGAVPELVCKLCNLKNLTLSYNYFNEVGPECKKLIEKRVLDIKMNCIPGLPMQRSAEECAAFFCKPRSCPDEKSLGFVPCSVGSYKQNQQNENENAPAPRTYGALKPHSL >Solyc06g070900.3.1 pep chromosome:SL3.0:6:43673635:43675105:1 gene:Solyc06g070900.3 transcript:Solyc06g070900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 17 [Source:UniProtKB/TrEMBL;Acc:G3BGV7] MEGGGGDDHLHHHHHNHQHHQHHQQYRPNNFPFQLLEKKEDEPCSSSSAANNINYPSLAISPSDTNTNINPNSNDLQITVASTETAKKPAPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLSQRRSLFQGIGLSSDRSATTTLLNFQTGNSNLHQFQAKQEMRDNSLDLTETSIEESLSRKRRQDLDLQQEQQQNQQQQNEQQMGSYLLQSSSSGTMPTSHSSIPANFWMLTNNNTQVLGGDPVWTFPSVNNSGAAAAALYRSTMSSGLHFMNFPTPVALLPTQQFGAGSNGSTLAGEGQLGMVTGLNPYRPCSGVSESQASGSHSHHGGGGGGDDRHDSTSHHNS >Solyc02g091790.3.1 pep chromosome:SL3.0:2:53644173:53648194:1 gene:Solyc02g091790.3 transcript:Solyc02g091790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPIETSESFKPYELKFTLSGHKRAISSVKFSDDGKILATSSADKTARTWDVSDGSLLHEFLGHEQGISDVAFSSDGRHLVTASDDKTVRLWDVSTGSHIKTLTGHTNYVFCVNFNPQANMLVSGSFDETVRLWDVKTGKCLKVLPAHSDPVTAVNFNRDGSLIVSSSYDGLCRIWDASTGHCMKTIIDDENPPVSFVKFSPNGKFILVGTLDNSLRLWNFSTGKVLKTYTDHVNSKYCISSTFSITNGKYIVSGSEDNCVYLWELQSRKIVQKLEGHTDTVISVSCNPTQNMIASGSLGNDKTVKIWTQGGD >Solyc12g009747.1.1 pep chromosome:SL3.0:12:2984205:2985949:-1 gene:Solyc12g009747.1 transcript:Solyc12g009747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRKRNVPFFVCLFSANNRMLNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSNPIDTPSAANIHLTAMFAPQSEEEKKGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc01g074020.3.1 pep chromosome:SL3.0:1:81224223:81230480:1 gene:Solyc01g074020.3 transcript:Solyc01g074020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEQESNPNPISSVECEECKLNPWKYKCPGCSIRTCSLPCVNSHKQRTACNGKKSLTEVLPLSQFDDNILLSDYNMLEDVKRFAESARRMRQRLCGYSRFKLPFPLKNLRRAADSRRTKIHFLSSGMSKREKNQTYYNNRNKFISWTIEWRFNSTDVVLIDHGVHENTSLISVLETHLKPGPWNHPLKQFCEEPLDSLKLFIRKHSKGSKSPFCELISKAPLREQLANKAILEYPVIHVFLPSHNYDFEVIKSSVPQKVERKVLHCSDSPSPKGAMFREEDIGDDGSSDPHISDLLSFDRLNTTFQTSNKSSDVLSVVEEDTASVLGDTLISFDSQVMSTKEMDPIGEKYWSLLGDILPMEDELEEGEIAPL >Solyc03g112460.3.1 pep chromosome:SL3.0:3:64344300:64349425:-1 gene:Solyc03g112460.3 transcript:Solyc03g112460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKMANTKSGVKNQTALLAAGHWLILSVAINVGLLLKLIHDGNLRIDWYGLQNVQDNGGRRSSYAAERKPDGQIQNEYINLDHGDPTMYQSYWKQMGDRTDVVISGWQSVSYFSDTKTVCWFLEPGFANAVTRLHKLVGNAETGNRHIVVGTGSTQLFQAVLYALCPYDAPEPMSIVSAAPFYSSYPLITDCLKSGLYKWSGEVDDFNKEAPYIELVTSPNNPDGSIRGAVFNGSGQILVHDVAYYWPQYTPISSRADHDIMLFTLSKSTGHAGMRLGWALVKDEAIAKKMVKFIEISSIGVSKDSQVRAAKILDVISDSYEDSKTSNESKRFFDYAQEEMAKRWSQLREVVNKGQAFSLPILPAGECNFSHHTFATQPAFAWLKCEHVDDCESFLKTHKILTRGGVHFGSTKKYVRASLIGREEDYNEFIRRLSLINSAESP >Solyc08g062110.2.1 pep chromosome:SL3.0:8:50465287:50474576:-1 gene:Solyc08g062110.2 transcript:Solyc08g062110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRPSIEPILCFLLKLLTRSKRWGGGGIFRKEKKTLKKTLPLLLSSISSILSFVPCSPSTPSLSSLPLQQPLPLPPNTPQPSSHPPSYSSPFPLHLPSSHSFSSPHPLLSLLLPSSSRLPLSSSPSHSSIHHLIVPP >Solyc07g008650.3.1 pep chromosome:SL3.0:7:3586514:3591537:1 gene:Solyc07g008650.3 transcript:Solyc07g008650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYVKETFEFMKLALEQANDALANLEVPVGCVFVEDGEVIASGRNRTTETRNATRHAEMEAIDFLVQQWQKNGLSPLEVSERFSKCTLYVTCEPCIMCAAALSYLGIKEAYYGCANDKFGGCGSVLSLHTSNSNLPTSELASSKKGFKCTGGIMASEAVSLLRSFYEQGNPNGISLSP >Solyc05g016470.1.1.1 pep chromosome:SL3.0:5:17095792:17095977:1 gene:Solyc05g016470.1 transcript:Solyc05g016470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENGGTRKSASTTSLLKECIYVISCCYEDETKWGKEVLILINHLKFLTLQSCSKCSDCSI >Solyc07g017610.3.1 pep chromosome:SL3.0:7:7658117:7671210:1 gene:Solyc07g017610.3 transcript:Solyc07g017610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGNGVVGILSEATNKWERRAPLTPSHCARLLHGGRGKTGVSRIIVQPSTKRVHHDALYEDVGCKISEDLSQCGLILGIKQPKLEMILPDRAYAFFSHTHKAQKENMPLLDKILAERASLFDYELIVEDTGKRLLAFGKFAGRAGMIDFLRGLGLWYLNHGYSTPFLSLGSSYMYSSLAAAKAAVISVGEEIATMGLPSGICPLVFVFTGSGNVSRGAQEIFKLLPHTFVDPRKLPELHEMARDLTQSKQPSKRIFQVYGCVATCQDMVEHLKPSKSFNKADYYTHPEQYKPAFHEKIAPYASVIVNCMYWERRFPRLLTTKQIQDLMKNGCPLVGICDITCDVGGSIEFINQTTSIDSPFFRYEPFNDSYHYDLEGKGVMCSAVDILPTEFAKEASQHFGDILSHFIVSLASFRNLEELPAHLKRACIAHYGGLTQLYEYIPRMRKSDLDDPSIVLSNSNGNGRKYTVLVSLSGHLFDKFLINEALDIIEAAGGSFHLVKCQVGQITTDSSYSELEVGAEDKSVLDKIVDSLTSLANSSNSLGSQDKENNISLKVGEFQETIMDEKYDSKKVLILGAGRVCRPAAELLASIGSTTSRQFPKSSVTADFEEQNCVQVIVGSLYLKDAEEVTKVIPNAKAIQLDITSHESLSSWIAEVDVVISLLPPSCHGVIAKACIELKKHLVTASYVDDSMLKLDQDAKSAGITILGEMGLDPGIDHMMAMKMINEAHAAKGKIRSFVSYCGGLPSPAAANNPLAYKFSWSPAGAIRAGWNPAAYRYQGEIIHVEGQNLYDSAAKLRLPDFPAFALECIPNRNSLVYGDLYGISEEASTIFRGTLRYEGFSQIMGTLVKIGFFSTESTLILKDGIRPTHSTFLLGLLGIDGKMLPESVIDEKYITNRILALGRCTDKDTAVNTAKTIIFLGFQEPTEIPSSCKSPFEVTCLRMEEKLAYSKTEKDMVLLHHEVVVDYPDDHAETHRSTLLAMGRTESGKTTMAMALTVGIPAATGALLLLANKIKANGVLRPIDPEVYESALDILEAYGFELLEKIE >Solyc09g057780.1.1.1 pep chromosome:SL3.0:9:51169136:51169432:-1 gene:Solyc09g057780.1 transcript:Solyc09g057780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGRIHNVKCVLRLQDEYPLVHELETRISHALELSMQSVEYIKLSESGVSEFIKFMHPVKCAIRHQEAFGSPESFLFLMQDEVERFEIKGVHRILVTC >Solyc07g032390.2.1 pep chromosome:SL3.0:7:38320183:38320849:1 gene:Solyc07g032390.2 transcript:Solyc07g032390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGGAGSIKGIFLEKFSAKICDDGGDLTMHVRNVNFKFYFNELQY >Solyc11g039535.1.1 pep chromosome:SL3.0:11:44255874:44259436:1 gene:Solyc11g039535.1 transcript:Solyc11g039535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGTMQIYVDYRALNKANVMKKYLVPLVEDFMDRLSTSCWARKKDLRSVYWQIKFLGHLLSKNKVPMDSKKVQDTVDLQAPRHVKDLRKFIGGYSKSASPLTDLLKKDMKWVLYERCGEAFKNSKEAIASDPILKLPDFELPFEVHTDVPEKANGGVLVQEELNLEK >Solyc03g053105.1.1 pep chromosome:SL3.0:3:24262681:24265496:-1 gene:Solyc03g053105.1 transcript:Solyc03g053105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDQRHRVWCHFELDSHITVLYVYGVECAVVCEFVVAPCARAPSENCAVVTDGNKLCCSLNLAISSYFFVTYPDIDYRKLTYLSAADVSTEKLIKSCCPQHGLYKCLIHDAAILDEKIGKLITIVPTWHCNGRDFEFDVSEGVRDTLQQEEKIEFYGGTTKEPKVLADVMESIMGVAYLDCGFDVNDVWVVSFFFTI >Solyc07g065540.1.1.1 pep chromosome:SL3.0:7:67387394:67388629:1 gene:Solyc07g065540.1 transcript:Solyc07g065540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPSSATVAAVVLSVVLFICATEAHNITHILADHKQFSTFNHYLTTTHLAAEINRRQTITVCAVDNAGMSDLLSKQLSIYTIKNVLSFHVLLDYFDAKKLHQITNGTALAATMFQATGSATGSSGFVNITDLRGGKVGLSPADYNGPPPAKFVKSIAEIPYNISVIQISTILPSDEAEAPTPGPSQMNLTSLMSAKGCKVFAETLLASPAEKTFEDNVDGGVTIFCPRDDAMKKFLPKFKNLTAEGKQSLLEYHGIPIYQSISNLKSNNGDMNTLATDGAKKYAVVIQNDGEDVTIKTKIVTAKITATVVDKLPLAIYSLDKVLLPEELFKASPTPAPAPAPEARAESPKHSKSPPAPASPAESPADSPADGPNGDADDLTDSGAVKYNAGASLAAVFSLWFAFNVLMEV >Solyc01g067325.1.1 pep chromosome:SL3.0:1:75591993:75592611:1 gene:Solyc01g067325.1 transcript:Solyc01g067325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSTAFEMKDLGPAKQILGMKISRDRSELYIEKVLSRFRVNDAKPKTTPLANHFKLSKEQSPKTAKERNVTLQGFVDADLGGNVDSSKSTSEYVYTIGGTAMSWMSKLQKCVSLSSTEA >Solyc05g047716.1.1 pep chromosome:SL3.0:5:60122638:60122879:1 gene:Solyc05g047716.1 transcript:Solyc05g047716.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKGNYALIEDDTKRKISYDKRLKGLLKKSNELKTLCDVEVATVIYGPYRNEPYAFPNNNVVCNTFIKVADIGQI >Solyc09g082890.2.1.1 pep chromosome:SL3.0:9:68993674:68994822:-1 gene:Solyc09g082890.2 transcript:Solyc09g082890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQANLHYLCMHITLDLPSEVDFSSKKRWHLAFATIYCSRAFEIKTSTKALHGAAYNPRKARHVSTDTIAIDVVQHHPFFSGIDQSNLSKLVKDKNIDKLANLGGVQGVAASLKSDTTDGVSGDSEDVARRHEAFGSNTYCKPPSKSFFIFVWESFKDPTIIILLLCASLSLGFGIKEHGLKEGWYDGGSIYVAVFLVIAVSSISNFRQNRQFDKLSKVSKNIPVEAVRKGRRQQISIFEIVVGDVICLKIGDQVPADGILVQGHSLQVDESSMTGESDHVAINLRQNPFLISGTKIADGYGMMLVTSVGMNTTWGEMMSQISSDSNEQTPLQERLNKLTTSIGKVGLLVAFLVLVVLLVRYFTGTTKDEYGNKEFNGSKTS >Solyc06g082390.3.1 pep chromosome:SL3.0:6:48263416:48268757:1 gene:Solyc06g082390.3 transcript:Solyc06g082390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVGESSSVPAKEDKLVVVRVKRKAFQSRLDAFWLEINERPAKRPLLDFAKLSIDESSSRVEELKSRKVLVQHVETVTSSEVTVDIVKSFVSAPAVSSEVEEKSEVRRSFRTEKKQDQLLAKAKQTQEDLSKNARFEQIWKSRKEKRKLMHNEELNEMCKLYDVIRVDTEEKGREVQEETTELEDHKMMSQYLPLLREVMPSAAEEIESEIHNYVVKQGYANSCAASSDGYVYDYYTVKTDNNPDEDIACPFPLVQVDEDDDYYDGPDHSDYESDDSNAEHNPWNDYPDEEESEDEDETQASNDESEASSSTSEEQFGSETVGKDHSRIMFNVPYYFPNRRLWLVAGLRSAHWAIETISLTQEITNGASEK >Solyc01g038235.1.1 pep chromosome:SL3.0:1:39004825:39009393:1 gene:Solyc01g038235.1 transcript:Solyc01g038235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYPVGNIQRVVQRQASNVIIACGLHTSTHDRTTSGMAYHHRPWIAYMAWNSIISLGQHTRSNDVGRGMPSSHLANIHGQKNSSDSNDVRRGMPSSYLDSKHDRMMTGVACLYGPWETH >Solyc11g072680.1.1 pep chromosome:SL3.0:11:56186516:56187718:1 gene:Solyc11g072680.1 transcript:Solyc11g072680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMALRTSVVVTIATILFLLYVLIYTSFLVRNANRVQNLIAVDDQEYDLDLYQETEYKTPLRNFNLKVNNGGNEMLECLNQSRLHIVSLASVHDLSSISNLKVNNGGIVEQEYLNHSAPVHLISFASVSPTMPPTFPPPTPSFSTTATSSPPPPSLTQRQIQEQQLNNILQALIGAGDFVGWANLLSSADLSSLPLTATFFIPGKTAMADHQTVGVQNLDPLLIAYHIIPQRLSFSDLQQLKPNTRIPTLLPSKFIIVTNNSLSNFTIDGSQITYPDLYVSLAFTFHGVDKILEYSVNNAEPLLSPPVESPPIPDAHSTPSPPLKPKFPPFFPARGRGSGSNIPFSIEKLMVFLSVSIFLLQ >Solyc04g064943.1.1 pep chromosome:SL3.0:4:56114383:56116300:1 gene:Solyc04g064943.1 transcript:Solyc04g064943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLGGAPRGWGLMGGLWVGLLEGEGREGGRVWGPRCWVPRGRGARCIEAGVGVPSVQSKQEIKKPKYVQDSVGSCSHLKQVMLTAENRASHLDGALKEWMRQIRNLKEEHDKFHKEETKILKEALAHQNSELQASRSIFCKTSSKLLSLDAQLQPKFE >Solyc09g089530.3.1 pep chromosome:SL3.0:9:69700968:69708887:1 gene:Solyc09g089530.3 transcript:Solyc09g089530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTHHTIKLNKSKMEGKRIKLSHVLAFLLLASLFQTLMARDLISDGIEVLQLPVENDGEFVFCPGKQSWPELVGKSARYAKQVIQKENPIVTQFTLLFPGMPKPAAYICGRVYLVVNWKLIVQVTPSMAFETLNAQRNGLEFIKLVKELKSVHEPKPNLECQGKLMWPELIGVPAQYAKGIIEKENPLTHVQIVLNGSPITTDLSCNRVRIAVNILDYAVSMPVVG >Solyc12g009990.2.1 pep chromosome:SL3.0:12:3131434:3136533:1 gene:Solyc12g009990.2 transcript:Solyc12g009990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEQLLIFTRGGLILWTCKELGNALRGSPIDTLIRSCLLEERSGAASFNYDVPGAAYTLKWTFHNDLGLVFVAVYQKILHLLYVDELLSMVKQEFSEIYDPKRTVYNEFDNVFQQLRKEAEARAEEMKKSKQVSKPVNHNLGKKQGQVQKGIMDGGNQKKSGAESGNDSGDGDKVNSRAMENGNGRSKVSKGNGVVQANGKENRSSDSGAFDVNKLQKLRAKGGKKTDTIVKDSKAEPTKKAKKNRVWDDSPKESKLDFTDPMCENANGNTAVVEAVQGESMMDKEEIVSSDSETEEDEEPGKDSKVEAKKKGWFSSMFQSIAGKANLDKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLGSFTRISSTVQAAMEEALVRILTPKRSIDILRDVHAAKEQGKPYVVVFVGVNGVGKSTNLAKVAYWLQQHKVNVMMAACDTFRSGAVEQLRTHARRLQVPIFEKGYEKDPAIVAKEAIQEANRNGSDVVLVDTAGRMQDNEPLMRALSKLIYVNSPDLILFVGEALVGNDAVDQLSKFNQKLGDLSPSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGAPVMFVGCGQSYTDLKKLNVKSIVKTLLK >Solyc07g039265.1.1 pep chromosome:SL3.0:7:46777207:46782238:-1 gene:Solyc07g039265.1 transcript:Solyc07g039265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKINQSYAQGYSFIYVVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSRRSYIQMVLARFGMSSSKTIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTCYSDSDYAGDVDTRRSMTGYVFTLGGSVVSCKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLNLNLNYAITVTVL >Solyc12g016125.1.1 pep chromosome:SL3.0:12:6139451:6141308:-1 gene:Solyc12g016125.1 transcript:Solyc12g016125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPVPTKDNKGVYGSLYGSAILALGRDFGKFKASKYYTVSYILTEYTRGGSVCQFLQNQVVPLKLAVKLVLDVEHVHGLNFIHRDLKSDNLRIGADKSIKIADFDVARIQVDKMLEAAGRQKS >Solyc10g075040.2.1 pep chromosome:SL3.0:10:58793583:58800445:-1 gene:Solyc10g075040.2 transcript:Solyc10g075040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVSKSKKKKSVHSIHIKRVNPQEQSPTALPEPQRQTRSLQSAPPSFRTRVKPVQSNNGVTTSRARTLSAPSSLDTADQDALASNECEEHEELSSRAGSIKEYRSSVPQPLPLPSPQNAAALRTMKSFKVGNTSSPLNASGPLPLPPVLPPTLPSTGTLRNFAFDEISAACHHFSPERCVSEGLSSVIYRASFGDDASGTKKLEATITRLHPSSQGLKEFVNEVNTLASLQHSSLCKLIGFHAREGSEHRMLVFERLFHGSLDRLLFGRSDGPSIDWNARTKIALCAAQGLTFLHEEGPFQAMFQEFSTGNIQIDKDFSAKLSGYGCITNIQETEISCNSIALANLSQETLERGLITPKSNVWSFGIVLLELLTGRKNLDGRYSKEERNLVKWSRPFLADDGRLSLIMDPQLKGRFPAKAARTVADIAQRCLQKDPSERPTMRTILDQLKSVQVMKCPSRFPLQEPAVVGGKHMSKSPSMNGIITPVPRLSFSPSLPLTRTSASPSKVTSSPLL >Solyc01g111170.3.1.1 pep chromosome:SL3.0:1:97436698:97437213:1 gene:Solyc01g111170.3 transcript:Solyc01g111170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/Swiss-Prot;Acc:P21568] MANPKVFFDLTIGGAPAGRVVMELFADTTPKTAENFRALCTGEKGVGKMGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFNDENFVKKHTGPGILSMANAGPGTNGSQFFICTAKTEWLNGKHVVFGQVVEGMDVIKKAEAVGSSSGRCSKPVVIADCGQL >Solyc07g053680.2.1.1 pep chromosome:SL3.0:7:62247658:62248194:-1 gene:Solyc07g053680.2 transcript:Solyc07g053680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTNLYADNDPWQIFEGAKSYTRYFITPQKKQNSQRVSRTVGKGTWKPQGKGKEVFDNKGRLIKYVKSLKYTYGKSDNKNANGEWLMTEYYLNDGYLDAREIKNKDYVICKIKKKRNPNDNENRVSNENMNDVEELIDSSLQLEDDINVEEDDVGNEVLTILDKEDHGDPNVECLKEYP >Solyc07g019430.1.1.1 pep chromosome:SL3.0:7:11120259:11120459:1 gene:Solyc07g019430.1 transcript:Solyc07g019430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFFIMRALSSLAASSKLGTQHLKMKENRERGRVEGNSLLGLGSGSFSVTISPEKEKSEVVLVVR >Solyc02g068080.3.1 pep chromosome:SL3.0:2:38693397:38697509:-1 gene:Solyc02g068080.3 transcript:Solyc02g068080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:K4B7E0] MEEPRLVEEATTNDINGQLNEEERDPESNSLRQPLLKRNRTLSSSPFALVGAKVSHIESLDYEINENDLFKHDWRRRSRVQVLQYVFLKWSLAFLVGLLTGVTATLINLAIENIAGYKLRAVVDYIDNRRYLMGFAYFAGANFVLTLIAALLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGATTLFVKIIGSIAAVSASLDLGKEGPLVHIGACFASLLGQGGPDNYRLKWRWLRYFNNDRDRRDLITSGSSSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVILRAFIEYCKSGKCGLFGKGGLIMFDVSGVSVTYHPVDIIPIALIGIIGGLLGSLYNYVLHKVLRLYNLINEKGKLHKLLLALSVSLFTSISMYGLPFLAKCKPCDPSIQGSCPGTGGTGNFKQFNCPNGYYNDLATLLLTTNDDAVRNIFSINTPGEFQVSSLIIYFVLYCILGLITFGIAVPSGLFLPIILMGSAYGRLLAIAMGSYTKIDPGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMLVLLISKSVGDCFNLSIYEIILELKGLPFLDANPEPWMRNITVGELADVKPPVVTLRGIEKVGRIVEVLKNTTHNGFPVVDEGVVPPVGLPIGATELHGIVLRTHLLLVLKKKLFLHERRRTEEWEVREKFSWIDLAERWGKIEDVAVTKSEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLIVPKYQAAGVSPVVGILTRQDLRAHNILTVFPHLVKSKSGKKH >Solyc04g009630.3.1 pep chromosome:SL3.0:4:3007824:3012797:1 gene:Solyc04g009630.3 transcript:Solyc04g009630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNHTTNKSCIIIIILSKYLILFFIFLVPFLALITKSEQVGYGYNVRSIGVDSSGRTLTAHLQLIKNSSVFGIDIQNLTLTACFEAKERLRVRITDADHERWEVPREFIPRETHLPPRSSLLEKRSSTSFPLSEETHYFHTDTVSDLAFTLYNTTPFGFTITRHSTGDVLFDTRPENDSPDTFFIFKDQYLQLSSSLPANRSSIYGLGEHTKRNFKLKHNQTLTLWNADIASANADLNLYGSHPFYMDVRSHPGGGTSHGVLLFNSNGMDIVYAGDRITYKVIGGVVDLYFFAGPVPELVMEQYTELIGRPAPMPYWSFGFHQCRYGYKDITEIKNVVAGYAKAQIPLEVMWTDIDHMDGYKDFTLDPINFPLDQMKKFVDTLHQNGQKFVLILDPGISINSSYETYKRGMQADVFIKRNGVPYLGEVWPGKVYFPDFINPRGRVFWSNEIKIFHDLLPIDGLWLDMNELSNFISSPPSPSSTLDNPPYKINNSGGLRPINEKTVPATSVHFGNTLEYDVHNLYGFLEAKTTNAALIDVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYSIPSVLSSGLFGIPMVGADICGFGRNTTEELCRRWIQLGAFYPFARDHSEKFTIHQELYIWDSVAATARKVLGLRYRLLPYFYTLMFEAHTKGIPIARPLFFSFPEDANTYTIDSQFLIGKGLMISPVLTSGAVSVNAYFPSGTWFNLFNYSNYVNMKSGSYISLDAPPDHINVHLREGNIVVMQGEAMTTRAARDTPFELVVAINNWGNSSGEVFLDDGEDVEMGGEGGKWSLVKFHTNVVNKKLYLRSNVVNEEFALSKNWRIHKVTFLGLKKGVSKINAYNLTTKIRTKIDKSAFGVLEMGGLSVLIGKEFTIELTL >Solyc03g113630.3.1 pep chromosome:SL3.0:3:65190472:65195310:-1 gene:Solyc03g113630.3 transcript:Solyc03g113630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSYNTFSQVLTPVPPSHRYLFPAKIPNYYKLPGLHRRLLLTVAVRAKPKDLILGNPTVTVEKGKYSYDVETLINKLSSLPPRGSIARCLDTFKNKLSLTDFSLVFKEFAARGDWQRSLRLFKYMQRQIWCKPNEHIYTLMIGILGREGLLDKAFEIFDEMSTHNVARTVFSYTAIINSYGRNGQYETSLQLLEKMKQENIVPSILTYNTVINSCARGGYEWEGLLGLFAEMRHEGIQPDLVTYNTLLSACSSRELEDEAEMVFRTMNEAGVLPDVTTYSYLVETFGKLGKLEKVSELLMEMEAGGTSPEVTSYNVLLEAYAHLGSMKEAMDVFRQMQAAGCVANAETYSILLNLYGKNGRYDQVRELFLEMKTSNTEPDADTYNILIQVFGEGGYFKEVVTLFHDMVEEKVEPNMETYEGLIYACGKGGLHEDAKRILLHMNGQGLVPSSKVYTAVIEAYGQAALYEEAVVAFNTMNEVGSRPVVETFNSLIHTFAKGGLYKESEAIWFRMGEVGVPRNRDSFNGMIEGYRQGGQFEEAIKAYVEMEKARCDPDERTLEAVLSVYCFAGLVDESEEQFQEIKSLGIQPSIICCCMMLAIYAKSERWDMARELLNDVMTNKTSDMHQIIGRMIHGDFDDENNWQMVEYVFDKLKSEGCGLSMRFYNTLIEALWWLGQKERAARVLNEATKRGLFPELFRRNKLVWSVDVHRMWPGGACTAISIWLNDMEELFHKGEELPQLASIVVVRGQTEKSSVTRDLPVAKAAYSFLKDTISSSFSFPGWNKGRIVCQKTQLKRTFSSAEPSVEASKGDRLIPLSNSLISLLGTQTSMSVAKRSESVNADSERSTRPDPELMTSSV >Solyc04g056505.1.1 pep chromosome:SL3.0:4:54351058:54359526:1 gene:Solyc04g056505.1 transcript:Solyc04g056505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNCFSQPVSELIRNFSKSSRNFKLTSEEMSNFAPNQEVLVEIVKDMSLPGLLRSWSSSGFLDKVYVLLRQISIHVSGYLNVPSANFGSNLSKGLNNLLSSNRLVRSSPKIGSNSAKVEILVSGFFGNIMEEFLTVDGHVNGTGNSGNTVEQVIAYIVAEEIRFLFASEKAMISHGNADKKNGEVDLTVPGDLAILAFIENSRYGRSTTGGRTELHHVFGGLAAIPLDRTFYALHMITTYQENVEDFPMEFPNVNLTADAIILDKSFNDNFSNPINDDAFQNP >Solyc05g043350.3.1 pep chromosome:SL3.0:5:56858412:56861486:1 gene:Solyc05g043350.3 transcript:Solyc05g043350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLFNSIDVRDLLSSPDIDDVNSPLSAPDLRLLIDRLQLRSVDIKSKVRQYILSHHSEFSTLFSQCSDVVSKSENLTSQVSDLIQLISDHPVEAQTKAVIDEILVKNREVKEKRELLELLNVILELSDRLRFVKEEIKVGRVEQAAEALRELKAVLVTSNDEEKQPLVYGLLKDEWTECFEERCFVESYRSEANHLRGPRIRCTILQPSSRLSMLSSSQSCKFPLNSGAQVLPVLFCDIVKSGLLEIRKNCDKQYEELYSPAMSFQNLTLVTLPTRSMLCDHVELFESEMGLNRTACIEKILIAKVKLVSAWHT >Solyc04g049750.3.1 pep chromosome:SL3.0:4:43261007:43263741:1 gene:Solyc04g049750.3 transcript:Solyc04g049750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRASSKNIVTWVHPFKSFTMDNNCFKEMGEFREWVNEGHRPRNKDREIYVTLFAKRIIRDSNVVDHEWDGNTHHIKFDSLLWKYGKMKLEKHITNNESESS >Solyc01g095305.1.1 pep chromosome:SL3.0:1:86503728:86504537:1 gene:Solyc01g095305.1 transcript:Solyc01g095305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQTNQIEKKKRFSEQFYKERKRSLSTEEVGLVERFVLEIELFGFCGTDWTELLFFLNCYKYGPLFPSCYFTLILRVILYTHKREA >Solyc12g038145.1.1 pep chromosome:SL3.0:12:49931110:49931400:-1 gene:Solyc12g038145.1 transcript:Solyc12g038145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTKIDDQRIRVDFSQSVNGSVDAMKQPKEDNKHHGRDGNSKYSKFLRTIKETRKHKGRKHRQITNPC >Solyc02g068970.2.1.1 pep chromosome:SL3.0:2:39483775:39484188:-1 gene:Solyc02g068970.2 transcript:Solyc02g068970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQTELHGIWKFQCITCNKLFSSSQAIAGHTRIHFKEGRVKGTHQKKVFVPFPNSQQPESSTTIDLSSTHHQQQIFSTNISDNDSPNSHQLGLSPVPTSPKKDLRLRDMKTLARLRGRLTKEEDKVVMLLLDMAKK >Solyc06g067970.2.1.1 pep chromosome:SL3.0:6:42243438:42245537:-1 gene:Solyc06g067970.2 transcript:Solyc06g067970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDRMVNSSSFNGARASPYDCSSKTTDLPPVESEREWEEARCAICMEHPHNAVLLLCSSGEKGCLPYMCDTSYRHSNCLDQFCKLSSGTQSEVPQERSTVSGTMLHRGNQVQPSSRTTWFAGGQQPELVCPLCRGQIKGWIVVEAARKFMNSKHRSCSLETCNFNGNYGELRKHARLEHPSDRPAEADPQRLSDWRRLEIQRDAGDTLSAYQTPLGDLVPPDEFFEMPAGMFDFLDDVYSEPEDGFSPDINLLLDLEFEFSFLNDLPYVTWSYEEMMMLLD >Solyc01g060340.1.1.1 pep chromosome:SL3.0:1:70216565:70216873:-1 gene:Solyc01g060340.1 transcript:Solyc01g060340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKDNLQMPRHNNMTWIRNSRLPEGKYVALTMLRILLEVFHNVGDGLQLSLSIKCDVSMLSWIVRENHAYYEVVGEHNHVNDGVIAAFIMIFIPRILCLWEC >Solyc08g075270.2.1 pep chromosome:SL3.0:8:59499128:59500853:1 gene:Solyc08g075270.2 transcript:Solyc08g075270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKKKDDQPFGPLHEEIISLKNSQKLIFHRLQELGFSVSKLHNFNNARRSTECPAFTVECDAVVVGSGSGGGVIAGILANAGHKVVVLEKGSYLARSNLSLLEGPSMDQMYLGSGLLITQDMDIMILAGSTVGGGSTVNCELYKEAMKIVCEKMGVQSEIEDEGMGCKNGKKKGTAETWLVDLVKSGNGAILPECEALEVIHEEKNDNSLKHKAIGVTFAFQNIEGMREICMVKSKVTIVACGALTTPSLLKKNSPSKSNDIWPEAEKKSYEGGIMTAMSKVVANFEGSGYGAVIQTAGLHPGMFSALMTWVSGQDIKMRMSKYSRTAYILAALARDIGSGKAFSPYSISYKLDQLDEEKLKAGLEKTLRILAAAGAEEIGTQQEKGRSLKVNEASSKEFERFVKEESSIEIGKHSVPICSAHQMGRCRMGTDPTNSVVNSNGETWEVEGLFLGDSSVCPTAIGVNPMVTIQDISYCTAQSVLQLLKNQKLG >Solyc05g032840.1.1 pep chromosome:SL3.0:5:44158122:44159870:-1 gene:Solyc05g032840.1 transcript:Solyc05g032840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEIGVIHISLLSYVYGNGFIAIVINYCNTLSVATIILLSRTTLFCGCCSSCEPFCWPELESPKQKEIASELLPRSSLIAEWKIEDRTLNISLILLFINISFGKEKRMEGGNRDIAAAGVVVLSRPRRKRERGDSALRSLSRACYLRRRSLLNGACSCFFWSSEKKEAVMGEWGEERGRR >Solyc11g030385.1.1 pep chromosome:SL3.0:11:21789350:21790422:1 gene:Solyc11g030385.1 transcript:Solyc11g030385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEIAALEQNQTWELVPKPKDVKPISCKWVYKIKCHTDGLLERHKARFVARGFPQEYGLDYGETSNPMGDLHGSTNGFPESKVPWECLDLLKKFGMLECKPIATPIEPNAKMCAHEGKDLEDVTMYRQLTRPDISFAVGVMNRYMHKPKKQHMEVVRRILRYVKSTIGYGLVFKKGEECKLVGYYDSDYARDHDTRRSTTGFTFKLGAGAISWCSKRQPIVSLSTTEAEYRAPAVAD >Solyc06g060760.3.1 pep chromosome:SL3.0:6:38907903:38909201:1 gene:Solyc06g060760.3 transcript:Solyc06g060760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 23 [Source:UniProtKB/TrEMBL;Acc:K4C6Q6] MVKIAFGSIGDSLSVGSLKAYLAEFIATLLFVFAGVGSAIAFNKLTSGAALDPAGLVAIAVAHAFALFVGVSMAANISGGHLNPAVTLGLAVGGNITILTGLFYWVAQLLGSTVACLLLKYVTNGLAVPTHGVAAGMSGAEGVVMEIVITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVAGDFSQNWIYWVGPLIGGGLAGFIYGDVFIGCHTPLPTSEDYA >Solyc11g011610.2.1 pep chromosome:SL3.0:11:4668149:4670684:-1 gene:Solyc11g011610.2 transcript:Solyc11g011610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGTENLSEKMVHPAVKPLSDLLGTWKGEGEGFFPTISSFNYSEELQFTHSSKKPVIAYSQKTWNLKSGQPMHSESGYWRPKPDGTIEVVIAQSTGLVEVQKGTFDMKEGVVKLKSELVGNASKVKEITRVFKVENCELSYVVEMATSLTDLQPHLRASLKKL >Solyc09g031528.1.1 pep chromosome:SL3.0:9:31154581:31159071:-1 gene:Solyc09g031528.1 transcript:Solyc09g031528.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIQSSSLWPSPVDPFLKTIPQFTNVKPLRPKRTPFISASSTTVSAPTREKDPKKRVVITGINLTPPPPSIMSTAALERCKKRIELIANTLQLEGFSRIDVFVHADTGEVLIIKVNTVPRMTPSTVFIHQALSEQPPLYPQYFFRTLLDLASERSM >Solyc02g077930.2.1.1 pep chromosome:SL3.0:2:43320809:43320955:-1 gene:Solyc02g077930.2 transcript:Solyc02g077930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding WEGEEERESDGRERCAWKLLLPAAAWRGRGGRRERERERRRRRSLEGRG >Solyc05g018525.1.1.1 pep chromosome:SL3.0:5:22168394:22168759:-1 gene:Solyc05g018525.1 transcript:Solyc05g018525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNEVNKKVEAYLRELFAIYVSKYGKGSHNQPSSFDSSNSSACGLSPNVSKNSLRTKLHMKKQKQYSGSLRVKSELDKYLLEDQEPESGDFDILIWWKVNSPRFHILPQLTRDVLTNSMSR >Solyc05g025800.1.1.1 pep chromosome:SL3.0:5:36772078:36772230:1 gene:Solyc05g025800.1 transcript:Solyc05g025800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQNFQGKTVAKNLVQMDSKYKYLKGEITVLEWKMNFEILKLSSNSNV >Solyc08g006590.2.1.1 pep chromosome:SL3.0:8:1184762:1185586:-1 gene:Solyc08g006590.2 transcript:Solyc08g006590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TINSIATEPGEAPPSLHRRINSSYFHRKMCSAKQKEKEKLNQHRSKGGGRDWREEVISGGSLKCVDLNKGTNGWASPPGDNFNLRGTNYFTKKTKIPSGEWLLQPAGVDWLRSNSKLDHVLSRNDNRVMNALKKSHSQGEFLKTFVLAVNLQIPGRDQHSAVFYFATEQNRPLEPGSLLYRFVHGDDSYRNSRFKIVNRMIKGPWIVKTAVGNYSACLLGKALNCYYHKGPNYLEIDVDIGSSAIATAILHLALGYVTSVSIDMGFLVEAETAEE >Solyc03g112995.1.1 pep chromosome:SL3.0:3:64779685:64781877:-1 gene:Solyc03g112995.1 transcript:Solyc03g112995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRRRSNNNSRFTQDEISDLVLKLQSLLPNSFSRCTTTVTSSSKILEEACNYIRKLHNEVDDLSEKLSQVLPSVDSNNIDLIHTLLSLLQQ >Solyc01g056660.2.1.1 pep chromosome:SL3.0:1:55520813:55521664:-1 gene:Solyc01g056660.2 transcript:Solyc01g056660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDRIMKVLPQDVVIYIFLKLPVKFLLRFKCTCKTFCNIINSSTFIHLHIHCSNDELIIFKHSIKQEDDDLFKNILSFLSSEENCFDFKAVSPDFDVPEVTTTSACTFVQVIGPCNGLIAITDSFATILFNPTTRHYRSIPACPFGIPKRYRRSSSGIGFGYDSIQNDYKFIRISEVYEDYMDKDMKVDIFDLSTDYWRELNGQQVPLVFWTSCSEILYNNPCHWFASTDDTIILCFEMNTEEFYHLELPESCHWYDGKSDGLIIVNNCLSYIRYPDPLSDRPA >Solyc07g052070.2.1 pep chromosome:SL3.0:7:60751039:60760286:1 gene:Solyc07g052070.2 transcript:Solyc07g052070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAYFLVLFLLAITFVIYAWRLLDWAWFRPRKLDKCLRQQGLKGNSYKLIFGDIKELSKSIEDAESKPLDVSDDDLTPRILPYFVQTIKKYGKNCFIWIGTKPLVIVGDPEVIRDVFNKHALYQKPKSTPLTKLLAQGIVSYEEVKWAKHRKILNPAFHMEKIKDMLQAVHLSCSEMVSQWEEAVSMKESSTELDIWPYLQRLTSDVISHTAFGSNYEEGRKIFELQKEQAEHVIAVSRTLYIPGWRFLPTKRNRRMKEIEGKVQTTIRGIIDKRVKGIKAGEANTDDLLGILLESNFKEIEQHGNKDFGMTIKEVIEECKLFYFAGQETTSVLLVWTMILLSRHPDWQVRAREEVLQVFGDGMPGFDGLNRLKVVTMILHESLRLYPPVGALGRRITTKTKLGELNLPAGVMLSLPTILVHHDKEIWGEDATEFKPERFNEGISKATKGQMTFFPFGAGPRICIGLNFAMIEAKMAMAMILQRFAFELSPLYTHAPQSVITMQPKYGAPLVLHKLLIFGDIKELSKSIEDAESKPLNVSDDNLTPRILPYFVQTIKKYGKNCFIWIGPKPLVYIGDPEIIKDVFNKHALYQKPKSTALTRLLGRGILSYEEDKWAKHRKILNPAFHMEKIKDMLQAIYMSCSEMVSQWEEAVSMKESSVELDIWPYLQKLTSDVISRTAFGSNYEEGRKIFELQKEQAEHVIEVFRTLYIPGSRFIPTKRNRRMNEIEREVKATIRGIIDKRVKGMKAGEVANTDDLLGILLESNFKEIEQHGNKNFGMTTEEVIEECKLFYFAGQETTSVLLVWTMILLSRHPDWQVRAREEVLQVFGDGIPEFDGLNRLKVVTMILHESLRLYPPASALRRTITTKTKLGELTLPAGVLLSLPTILVHHDKEIWGKDATEFKPERFSEGISNATKGQMTFFPFGAGPRICIGLNFAMIEGKMAMAMILQRFAFELSPSYTHAPQSVITMQPKYGAPLVLHRL >Solyc05g010350.3.1 pep chromosome:SL3.0:5:4497929:4500655:-1 gene:Solyc05g010350.3 transcript:Solyc05g010350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDPEAKKQCCESLPFDVLSNLPENVIDIILMCLPFRDAVRTSILSKVWRYKWCRLPELTLDDAFWKTKNNLICHTTAITKIIYHILIFHEGPITKFTLCVPKSRSCPMIDDLIYFLAKNGIRDLVLKLPFKSEPYELPPSFFKSLHLKNLNLQNCSIVRPPTFKGLDRLIRLELCEVSISSEDLGSLISHCLLLEHLVLYIAETYSNLIEINAPRLKSFYFAGSVRSIILKNIPHLAKLLLASSDTDYFGEEKWDIVKFFESFESFSALEHIYLNMLFAAEASEVPKRLPFNLNSVKRLDISVDLYNSVEVSCALCLIRSFPYLQYMDISEAYPKYDMEEAYQLKLFRLCEVVWGSIGEK >Solyc01g011320.2.1 pep chromosome:SL3.0:1:8583165:8584199:-1 gene:Solyc01g011320.2 transcript:Solyc01g011320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IAVVVSPSAKPIKSAIFLENIAEVLPTISLNFAGDASMNLTPTDYLKDMGFVDVAVKWCIHFIRRDLSLTTIGDVALKDRIIVYDLARQRIGWANYNCSLHVNVSITFGTYDVTQASTIYHMLGLILFILNLFWSQ >Solyc03g119670.3.1 pep chromosome:SL3.0:3:69713820:69726011:1 gene:Solyc03g119670.3 transcript:Solyc03g119670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQEEGENFVFLLLLLLLSLATGEEIRRLNEKIGALTSDLKKTKADIKLYGKICYVQDYNSERVISRGSKKYAEDLGSGFSSDEESKYKKM >Solyc10g084470.1.1 pep chromosome:SL3.0:10:64109940:64112551:1 gene:Solyc10g084470.1 transcript:Solyc10g084470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTSDDMPEKEVNRAAKILMQMAKSRTCTSFNIGERSEESRAVNSLQMIHPCNKEIRACLRKEEALRYTQPEMDFSYTAVDGHKSIVAPLKRLGAKPLKRILHHDILKSNRPTHFSLHCLVRDAAARLSRGIYRKKMAKSTSDDMSDKEANRAAKTLMEIKSNKSTNLGERLEESRAINSPEMIYPCSEEIRACFRREEALRYTQPNKAFSYIAVDGKKVVVAPLKNRGGKLFKRICHYDILKSNKPVFFTLHCLVRDAAARLPGGVGTRDAVCVLARDSQFIVEDISDSQLRKAVKRGLDRLLYEDDPCVKYEKERHQWTYLHGDRKVEDFEDEST >Solyc01g080070.3.1 pep chromosome:SL3.0:1:79076735:79079067:-1 gene:Solyc01g080070.3 transcript:Solyc01g080070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTIVSEKVDYFTLFCVGFGWLCLCMQTCVLKVNIHCDGCKHKVKKILQKIEGVYKTNIDSEQGKVTVSGNVEAATLIKKLAKSGKHAELWGAPKAVNNQQNQHNNGKGGNNKGQGQVQVQKGGNNQPKGGGGGGGGAQMPNQQQLQQLKNLQDLKLPPQFKDLKLPPMGKDQNPKAVKFTMPEVDDLTDDDFDEDDLTDDEDDDFDEDELDDELDDIPVKMKGMMGPGAGGAAQMKLPMMGGNGGGGGAQMKPPMMGGNPGAQMKQMMGGNGGGGQMPNMMMMNGQHPQLMKGGNGGNNGGNNGGGGNGKKGGGNVPVQINMGGNNGGKKGGGNGGNPNQGGGPPAQKGGKNGGGQPSGGGQNKNGGGGGGAGNIPNMNGNGAKKGGGGNDGMPQGMPNRMMAMSGGVNMGQLGNMPNPMGQMGQMGGLPVGQMGGLPMGQMGAGPEVMAGNPYYQQQQMAAMMMQQQQQRANGNERFQPMMYARPPPAVNYMPPPAPYNPYYYGHPPPPSDNYSTFFSDENTNSCSVM >Solyc11g006990.2.1 pep chromosome:SL3.0:11:1486680:1489869:-1 gene:Solyc11g006990.2 transcript:Solyc11g006990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNSFLLHILLFFVLILSLCDGILSQYELEELTWLDDKDDEISMFHSQHSSMRRCDFTSGKWVFDQSYPLYDSTCPYLSTAVTCTKNGRPDSDYEKWRWKPHGCEIPRFNALEFLGRMRKKRIMLVGDSIMRNQWESLVCLVQSVIPMARKTVTYVGPTMAFHAMDFETTIEFCWAPFLVELKKGPENKRILHLDMIEENAKYWRGADVLVFDSAHWWTHSDKYSSWDLIMEGNSFYRNMNPMVAYEKGLMTWAKWVDLNLDPRKTRVFFRSMSPRHNRENGWKCFNQREPLEFFSHPHVPEPLLVLKEVLRGMSFPVSFQDITTMTALRRDGHPSVYSKFVSQTGKQHLGDYKSDCSHWCLPGVPDTWNEMLNVML >Solyc01g103695.1.1 pep chromosome:SL3.0:1:92131235:92140266:-1 gene:Solyc01g103695.1 transcript:Solyc01g103695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKKPQSSKGKGSSSTGPKLQISAEDENRLRRLLLNSGHSTQSPTPIPAEDALSKAQKAKKLRSIYEKLSCEGFSNNQIELALSALKERATFEAALDWLCLNLRGNELPLKFSSGTSQSNEGSVGIISTARQDWVPSADSSVAEVKEEKIPTVSVVPKGRSDSEFLRTVERAQADWIRQYMEQQEEDEAESWESGFSDDGSLEQVPRKKRSVESIVQEFHTARLEAIHAKERGDKKGQEQASRIIRKIKQEISSLGLPDDILESAHESASDHAVLDRSSEKLDVDDVTSPNFRTSDIHEHEIGMDEVSVNNSSNEFIENNPSSVPLGDKAASGGEPEDVELGDFLFEEDSSADVLATVLELQKKEKMRELCSQKNLEKLEGIWKKGDPQKIPKAFLHQLCQRSGWEAPKYAKIPGKGNLTSYSVSIMRKASGRGKSRKAGGLVTMELPSQDHASSTAEDAQNRVAAYALHRLFPDLPVHMPITEPYASLILQWEEGDSVKDIVDDQVERRAYFVDSLLDASGSETITLSDVSNNASKEKFLHPHTTEDKTVPVDYTAKNPLKEAESLSLKKEQEGRKKLKKYQEMLKSRAALPIADLKGEILHSLEENDVLVICGETGCGKTTQVPQFILDDMIESGRGGHCNIICTQPRRIAVSATIHLPYIYLH >Solyc01g105823.1.1 pep chromosome:SL3.0:1:93753123:93755904:1 gene:Solyc01g105823.1 transcript:Solyc01g105823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKTRSDSRNPGKNNDLSVFDFSPEDERIEKRSKKLLQTFKIQKPNNKFHSPIDKYCFLREISSIKNDPVIEILHIDDSDDVAEKNILESGSTVASRSSNLKPSTDDWLCHLESKCDTSGTKSHITRVKTPECSITDGETFGRRDFADVPNFLNEPVILDLDDATDVESSKPACCLLENKGSGNQQELMQSPNLCDTLMLHNLPAFSFTAKLEVVKGQEESL >Solyc10g005800.3.1 pep chromosome:SL3.0:10:626339:631246:-1 gene:Solyc10g005800.3 transcript:Solyc10g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDIAAHTTLKPRKEGQDTQDELQKRNLRDELEDRERRHFSKDKSYNDDRDRRKGSQLFVEGAKREIEDRIVPRSADADDADVDVKSGDESDDDDDDDDDEDDTEALLAELEQIKKEKAEEKLRKERLEQEEELKAKEAELLRGNPLLNNNQPTTFSVKRRWDDDVVFKNQARGEMKAAKRFINDTIRNDFHRKFLHKYMK >Solyc02g078570.3.1 pep chromosome:SL3.0:2:43802251:43804631:-1 gene:Solyc02g078570.3 transcript:Solyc02g078570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIEHKYIEVNGLKLHIAEIGSGFSPVVVFLHGFPEIWYSWRYQMKAVAKAGYRAIAPDYRGYGLSDQPTQPEKTTFLDLVSDLLALLDALNISKVFLVGKDFGSFVISYFSILHKERVSGFIVMGVPFLPPKPLEFKKGLPEGFYISRFGIPGRAEADFGRLDAKTVVRNVYILFSRSELPIANEDQEIMDIVQPSTPLPPWFSEEDLAAYGALYEKSGFRTALQVPYRSLHEQVNITDPTVHVQALYIKGEKDYFLKFPGIEDYISSGVLKSLVPNLQIVNLPEGNHFVQEQLPDEVNQLVLEFLTKNSKVQ >Solyc05g053830.3.1 pep chromosome:SL3.0:5:64706257:64714241:-1 gene:Solyc05g053830.3 transcript:Solyc05g053830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4C263] MATEMAQPDAEGIDGVRMTWNAWPRTKVEASKCVIPIASSIHLIRPHTDLPTLPYVPLRCKTCTAVLNPFARVDFQALIWICPFCFQRNHFPQHYSGISETNVPGELYPQFTTIQYTLPSQTPQSFNPNPQNPLPESSVSPIYLFVLDTCMLEEELEFAKSALKRAIDMLPDNAMVGFISYGTQVQVHELGFADMSKVYVFRGSKELSKDQVLDQLGLGTAGGRRTGGAPGMQKGGGPTGVPNLGVARFLLPASECEYTLNSLLDELSTDQWPVPQGNRALRCTGVALSVAAGLLGACVAGTGARIMALVGGPCTEGPGTIVSKDLSEPVRSHKDLHKDAAPFFKKAVHFYEELAKQLVSQGHVLDVFASALDQVGVAEMKVSIEKTGGLVVLAESFGHSVFKDSFKQIFEDGEQSLGLSFNGTLEINCSKDIKIQGIIGPCTSLDKKGPAVSSTVTGEGNTTAWKLCGLDKNTCLTVFFDVSSSEKSDPSGNVNPQLYIQFLTSYQSPDGQTKLRVTTVTRRWVDAAVSNEELVQGFDQETAAVVVARLASYKMEMEEDFDATRWLDRNLIRLCSKFGDYRKDEPSSFTLNPSFSLFPQFMFHLRRSQFLQVFNNSPDETAYFRMLLNREGISNAAVMIQPTLTAFSFNSLPFPALLDVASIAADRILLLDAYFSVVIFHGMTIAQWRNMGYQEQPEHQAFAQLLQVPHDEAQAIIRERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMAAGSDVIFTDDVSLQVFFEHLQRLAVQSS >Solyc01g066010.1.1 pep chromosome:SL3.0:1:72676501:72678019:-1 gene:Solyc01g066010.1 transcript:Solyc01g066010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTISKTRPSCSRIKVMVDLLADLPHSMIMDIENEETGEQSCFSRWRSRRLHLRHLQSPQQQRSHSRAAAALMMGDDMHKLSRSRFERGDFGLNGGVGITNPGSRQIYLTFPADSTFKEEDVSNYFLYYCTYGPVQDVRIPYQQKRMFGFVTFVYPETVKTILAKGNPYFVCDARVLVKPYKEK >Solyc08g074270.3.1 pep chromosome:SL3.0:8:58487920:58495109:-1 gene:Solyc08g074270.3 transcript:Solyc08g074270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQPFLLTKFTPFSSKSKHTLFTFHCNFSIKMASLTARTTPTVQNVPGLTPEEMERVCEQTFQRYESGGLGKRKGKGVAIVWFRNDLRVLDNEALLRAWVSSEAILPVYCVDPRLFGTTHYFGMPKTGALRAQFIIECLNDLKRNLVKRGLDLLIQHGKPEDIVPSLAKAYKAHTVYAHKETCSEEVKVEKMVTRNLQKLVSPSSGGIGNDPGSGNTTKLELVWGSTMYHIDDLPFDCESLPDVYTQFRKSVEYKSKVRNCTKLPTSFGPPPEVGDWGHVPQVSELGLQQEKAGMNFVGGESAALGRDLLKVYKETRNGMLGADYSTKFSPWLASGSLSPRFIYEEVKRYEKERLSNDSTYWYIFLLSFSSYSGYACELVLFELIWRDYFRFLSIKLANLLFQAGGPQKVNINWSQDQTMFDAWRRGQTGYPLIDANMKELAATGYMSNRGRQIVCSFLVRDMGIDWRMGAEWFETCLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQNYDPEGEFVAYWLPELRALPREKRHSPGMMYLNPIVALKHGYTKKTGYTLNKNMNITHIKKNVVISSFILLITAFEAILAPWDLWGSSRGSSQLSGSLPIAHALTITLALSGTVYPSISVCSLHSLGPNKGTGGCILSVSLITDFKYGNLSRSFSSKFSLSLKTCLTSACALAITFGCFIISVNAHIIAVYEVSVPAINKSCKNEGNKSHTSNPPDNANISLISMISSSRSLHIFPNANLQVMQLVNQRSFSVMLTAEPVAKRIDERSFDSSSFRIMLYDLTILLLSSSCVNTLRICLQ >Solyc09g098280.3.1 pep chromosome:SL3.0:9:72646744:72658807:1 gene:Solyc09g098280.3 transcript:Solyc09g098280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTSFSLLESAHDGEKINILEENFCSDFDDIIYNEDEDEIFDVWRNGEGNKNLVNVDTCGAIGDGTSDDTKAFEDAWKEACSKRRSVFMVPSGRTYLVNATKFNGPCANGLIIQIDGTIVAPSDPENWDPKSSKAWLVFSNLTGVTFQGNGIIDGSGSKWWEASCKKNKSNALTIDLSSVIRVKGLTFQNSQQMHFVISRSNSVRVNGVMVSSPEDSPNTDGIHITESTNVVLQDCKIGTGDDCISIVNASSNIKMKKIYCGPGHGISIGSLGKDDSIGVVNRVVLDTAFLKGTTNGLRIKTWQGGSGYVRTVRFQNVQMEDVSNPIIIDQFYCDSQKPCQNQTSAVEISEVLYRNVSGTTKSKKAMKFACSDTVPCSHITLDNVNLEARDGTAEVYCNSATGIIAGYVHPEAECLNSNDKKIEQKIEECNEWAGPLIVIAAFVSSPHFVPPAIRVLDFGFASCGIAPKSRSFGFSQFSAQFLSSWQILAINPFNLIDTATGRSFLQVAATEEAVAPPLRVVQIEGLFPEVNSVIQVILKIIKHCQEFSPALVTGQLLGLDVGSVLEVTNCFPFPVREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSTLFGSYQTVELIETFMNYQENIKRCVCIIYDPSRSNQGVLALKALKLSDSFMELYKSNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELEPDTPVTQCDYERLQLSTNPYLERNVEFLIECMDDLSMEQQKFQFYYRNLSRQQAQQQAWLQKRRTENMARKAAGEELLPEEDPSNPVFKPLPEPSRLDSFLITNQISNYCNQINGVAGQSLSRLHLMKALHEN >Solyc04g015400.3.1 pep chromosome:SL3.0:4:5609355:5613021:-1 gene:Solyc04g015400.3 transcript:Solyc04g015400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAAVSVVDKLKSFSKSTQDFASGVVRNREGSNPIEILKRLQREAFSDIMKLRDRQEKLERVLAFCKSSKGSPFQESSTLVRGEFDAVGALLMIGTIDNSKRNAIERAIRTGIDSRLTFETTIREKDTLVAEFVGSERGQMDILGSPLSLAKVLYAAHISDWCSAVAIPVGGLCRDVAVPTSSREERGLTDYSSFGPPLLNQLNGSGIAVTVKKSNTVSSLAQFVSALPRDGSLLYCFGTFGQVVCQLSSNTKLSILGIHKMANLSRPQPELAAMSLPFSFLQRSGHPDAAIVENSVLDGYLAMTLESEVYESTKIGGWVEMKRSNPKYLQWAVTMSDTSVDDFGWSLSLGGLLGGPRKWDHFQVESSLNFNVGKKCKLQPGLLYVIDGATQFPALMFRTNWSL >Solyc07g054540.3.1 pep chromosome:SL3.0:7:62959517:62964391:1 gene:Solyc07g054540.3 transcript:Solyc07g054540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lipoyl synthase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CFZ6] MNSRFTSLVSRSLKSNRHHYLLPQLFSSSTATPASPPQYPQTLEGLRHRLAAESPTLSDFTRLQSDNEYSVEVGTKKKPLPKPKWMKEAIPGGEKYTQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPANVAEAIASWGLDYIVITSVDRDDLPDQGSGHFTETVQKLKTLKPHILIEALTPDFRGDPGCVEKVAKSGLDVFAHNIETVEELQSVVRDHRANFKQSMDVLKMAKEYAPAGTLTKTSIMLGCGETPEQVVRTMEKVRAAGVDVMTFGQYMRPSKRHMPVTEYITPEAFENYQVLGTQMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASLS >Solyc07g042090.3.1 pep chromosome:SL3.0:7:55140817:55146002:-1 gene:Solyc07g042090.3 transcript:Solyc07g042090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHGIILHLSHVNIYRDLHEPALVIGGSFVLVALILSLLLIFQHLRYYTNPAEQKWIVGVIFMVPVYATESIVSLWNPNLSLACDILRNCYEAFALYSFGSYLIACLGGERQVVELLEDESRKQISQSLLGGEEKPVAQKRTLYNFIFHPYVVGKQLFTIEKFGLVQYVCQRGRKFNSYIAMILKTVSAFLALILEIFGVYGDGKFDWHYGYPYITVVLNFSQMWALYCLVQFYNVTHHKLQSIKPLAKFISFKAIVFATWWQGVGIALLCSLRVLPKERKFQTGLQDFLICIEMAIAAIAHVFVFSSKPYHYVPTSVYEEFTAQKAETTLNIEDGEKPAVVEKIETKVEVPGTSVRESVQDIVVEGGQKVVKDVVLTINQAMGPVEKGMTKIQETFHQTSV >Solyc05g012820.1.1.1 pep chromosome:SL3.0:5:5974052:5975026:-1 gene:Solyc05g012820.1 transcript:Solyc05g012820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNTSTENGYNLWSEIIESSRTTFKSHVRHFHAISILFLFPIILSLIVYPSFELAIFHPDYHFTSYAQLQFPQLFAISSFETILLVLYALFLTFFFICGVGTTTYSVVQVIYDRPINVFSSIKSMRNSFFPLLSTFIVSQTIFISSTLLFALILVFVVRILQSLGLIELKSNSDHLLFLVIFWLIVIVPILIWLQVNWCLAYVITMVESKKGYETLRRSAKLVEGERWVALKILMYYGTVIVYMVVWYAMFLAKRETWRSFAGILVTVCNSAMGYILMNQYLMANVVLYMHCKELNDEKLMSKTAAGEYVSLSVEEEETNHDAV >Solyc12g010040.2.1 pep chromosome:SL3.0:12:3180683:3187564:-1 gene:Solyc12g010040.2 transcript:Solyc12g010040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Neutral leucine aminopeptidase preprotein [Source:UniProtKB/TrEMBL;Acc:Q8GZD8] MAALRVSSALACSSSSSFHSYPSIFTKFQSSPIWSFSISVTPLCSRRAKRMAHSIARDTLGLTHTNQSDAPKISFAAKEIDLVEWKGDILTVGATEKDLARDGNSKFQNPLLQKLDSKLSGLLSEASSEEDFSGKAGQSTILRLPGLGSKRIALVGLGSPTSSTAAYRCLGEAAAAAAKSAQASNIAIALASTDGLSAELKLSSASAITTGAVLGTFEDNRFKSESKKPTLKSLDILGLGTGPEIEKKIKYAADVCAGVILGRELVNAPANVLTPAVLAEEAKKIASTYSDVFSANILDVEQCKELKMGSYLAVAAASANPAHFIHLCYKPSSGEIKKKIALVGKGLTFDSGGYNIKTGPGCSIELMKFDMGGAAAVLGAAKALGQIKPAGVEVHFIVAACENMISGTGMRPGDIITASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIVDLATLTGACVVALGPSIAGIFTPSDDLAKEVVAASEVSGEKLWRLPMEDSYWDSMKSGVADMVNTGGRPGGAITAALFLKQFVNEKVQWMHIDLAGPVWSDKKKNATGFGVSTLVEWVLKNSTN >Solyc08g082380.1.1.1 pep chromosome:SL3.0:8:65329675:65330103:-1 gene:Solyc08g082380.1 transcript:Solyc08g082380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFANFFLKGKRSNSKKTNKVESSNTNTTTTYVCRDELDKKKPKLKKQVKFDLEPKYQHQVLEEEINKVDDDDNVINNNRGVRVKILMKKEDAERLLLKCSEGGVVEFMDVAHELVSSSNVRVISSPIHNYCNYGSLKAIPE >Solyc02g021590.3.1 pep chromosome:SL3.0:2:23722503:23729046:1 gene:Solyc02g021590.3 transcript:Solyc02g021590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLAMGGRWNTYGFKLSYLALLIILYDVHGCSSLNSEGLALLGLRSKVDCDPYGVLANWNPDHCDPCMWFGVQCLEGKVQMLDLHGLSLEGTLAPELGNLTHLKSIVLSENNFFGAIPKEFGRLQRLEVLDLTDNNLSGRIPAEIGNLYALRSLLIRKNNLEGKIPFEIGKLRLLSELQFDEVLIPAAGGTCCLHRKFAHCICHGSLIPLKTIDSFIGPLKGTLLRYFTLFSRQLESGSLDNQTGYSSDNLPSSTRPHIIHTIQNQANIARRKLVEQSSNLAAVPANGGKPLGPIASVPRPRSSGSFRAVPNTQGTPPTPLPASQRQPEFQPKPNPMGQSSSVKQPTNRQAPPAQKSGDTWKYIVGGFGFFLLIAAVVVFLVYKSRAAKTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIISSYDAYIIYKGTLSSGVEIAVASTTLTSLEDWSESSEMAFRKKIDTLSRVNHKNFVNLIGYCEEDEPFTRMMVFEYAPNGTLSEHLHVKETDPLDWSARVRAIMGTAYCLQYMHDLNPPVPHSSLNSNAIFLTDDYAAKITEIDFWSELIAKSKDSRDDLENSELPALADPETNIYSFGILLLEIISGKSPYSEEQGSLLNWAEQYLKDKTNVSSLVDPTLKSFKNNELMAICVVIEECLEENIRKRPTISEVIAKLRETIDISPDAAVPRLSPLWWAELELLSSEAA >Solyc01g016900.1.1 pep chromosome:SL3.0:1:22702037:22702905:1 gene:Solyc01g016900.1 transcript:Solyc01g016900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSQLLNDSPGIVQVDMITPMCSQLTYEGLLDEVKLFLGNNNGVMQLDSSIMGVKTEGSKIKIHFNLCQHLTTFLSKPSFHV >Solyc11g065010.1.1.1 pep chromosome:SL3.0:11:50622974:50624017:-1 gene:Solyc11g065010.1 transcript:Solyc11g065010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSSPPSTSPYVTAPTSPTSHMVQYYHSAPASPGKRVDEGGDCGLTQNDFEFETSKKFDTSCVEFETCHENFDQSWDEKRRERGGSLPEMAFADELFSNGHVMPLKLPPRLQCEGDIKSYTSQRSITCSTISPSAMVKSSFARRDVDPFVVAMQKVMKEDNRGRYSTPNNHHRRTRSHSPFRTQNLEWTIEEMRKEIQPSSPNTREPIESKAKGASYGRWVLNHSMTIGPTTKESKNPKNLLFGTKEPKLKSTSNGVAQKTNEGNVFVQTKIQKFKGMLVRYATFRKENNEGNMINTISALWKPNYFKKLSFKFKGNKKVNGGESKLVIVKYKPAICLGYGLESPR >Solyc10g080200.2.1 pep chromosome:SL3.0:10:61677096:61680660:-1 gene:Solyc10g080200.2 transcript:Solyc10g080200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCFVDDCRQIDFLPFTCDCCHQVFCLEHRSYNRHHCPTANNNDVTVVVCPLCAKGVHLIPDEDPNITWESHVNTDCDPSNYEKATKKRKCPVPGCREFLTFSNTIRCRECTVDHCLKHRFGPDHKCPGRKKPEAAFSFMNFRTGSRNGEPNKAPATSSSSWASSFFKAAEAGMAKLGSGRGQSSNATNHSGSANRQVEQCPQCTLRFSSVTALVSHVQKVHEKNDVMNLTVDVCPRCSKGFRDPVSLVEHVEREHKGTSKA >Solyc12g006510.2.1 pep chromosome:SL3.0:12:1015299:1049113:1 gene:Solyc12g006510.2 transcript:Solyc12g006510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKLKIAKGQDDRYLYSTNNYIGRQIWEFDPNAGTIEEQAKIEEARQHYWNNRYKVKPNSDLLWRMQFLREKNFKQRIGAVKVEEGEEISHEIATIALRRAVHFFSALQATDGHWPAENAGLLFFLPPLVMCMYITGHLNTVFPAEHRKEILRYIYCHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGERNACARARKWILDHGSVTAIPSSGKIWLSILGAFEWLGTNPIPPEFYILPSFLPMHPAKMWCYSRIFYMPLSYLYGKRFVGPITPLILQLREELYDQPYNEINWKRVRHLCAKEDLYYPHPLVQDLMWDTLYFFYEPLLTRWPFNKLRNKALEVTMKHLHYEDENSRYITVQCVNKVLCMLACWVEDPNGSYFKKHLARVPDYLWVAEDGMKMQNSGSQSWDTSFAIQALLASEMNDEISDTLRKGHDFIKKSQVVKDNPSGDFRGMYRHISKGSWTFSDQDYGWQSSDTTAEALRCCLFFSTMPSKLVGEAMEPARLYDSVNVILSLQSKNGGLAAWEPAGTSKYLELLNPTEIFEDVVIEHEYVECTGSSIQALVHFKKLYPGHRTTEIDNFIDNAVKYIENVQRPDGMVSGVCALHMLPGLLLEGLLQQARVTATLQLFVKALTFCYKDKGLMVVGEKATILVPTRELETEHSNLVQTAWALMGLIHSGQADRDPKPLHRAARLLINSQMEDGDFPQQEITGAFMKNCMLHYATFRNIFPLWEEDIKKMWKLKIAKGQDDRYLYSTNNYIGRQIWEFDPNAGTIEEQAKIEEARQHYWNNRYKVKPNSDLLWRMQFLREKNFKQRIRAVKVEEGEEISHEIATVALRRAVHFFSALQATDGHWPAESAGPLFFLPPLVMCMYITGHLNTVFPAEHRKEILRYIYCHQNEDGGWGLHIEGHSTMFCTAMSYICMRILGEGPEGGVNNACARARKWILDHGSVIAIPSWGKTWLSILGAFEWIGTNPMPPEFWILPSFLPVHPAKMWCYCRTVYMPMSYLYGKRFVGPITPLILKLREELYDQTYDEINWKKVRHVCAKEDLYYPHPFVQDLMWDSLYICTEPLLTRWPFNKLRNKALEVTMKHIHYEDENSRYITMGCVEKVLSMLACWVEDPNGDHFKKHLARIPDFLWVAEDGMKMQGCGSQSWDASLAIQALLASEMNDEISDTLKNGHDFIKQSQVKDNPSGDFKVMYRHISKGSWAFADQDLGWQVSDCTAEALKCCLLFSTMPPEIVGEAMDPVRLYDSSKNGGLSAWEPAGAPEYLELLNPTEFFEDIVIEHEHVECTSSAIQALVRFKKLYPGHRTTEVDNFINNGVKYIEDVQEPDGLAAVGLSYSNCAAVRKSVEFLLRTQRSDGGWGESYRSCPDKVYRELETEHSNLVQTAWALMGLIHSGQVERDPRPLHRAAKLLINSQMEDGDFPQQEITGVFLRNCMMHYALYRNIFPLMWKLKIAEGQNGPYLYSTNNYVGRQTWEFDPNGGTIEERAKIEEARKQFWNNRYKVKPSSDLLWRIQFLGEKNFKQKIPAVKVEEGEEISHEVATIALHRAVNFFSALQATDGHWPAENAGPLFFLPPLVMCMYITGHLNTVFPAEHRKEILRYIYCHQNEDGGWGLHIEGHSTMFCTALSYICMRILGEGPDGGVNNACARARKWILDHGSVTAIPSWGKTWLSILGVFEWIGTNPMPPEFWILPSFLPVHPAKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYDRPYDEINWKKVRHVCAKEDLYYPHPLVQDLMWDSLYICTEPLLTRWPFNKLRNKALEVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPNGDYFKKHLARISDYLWVAEDGMKMQSFGSQEWDTGFAIQALLASEMNDEIADTLRKGHDFIKQSQVTNNPSGDFKGMYRHISKGSWTFSDQDHGWQVSDCTAEALKCCLLLSTMPRELVGQAMEPGRLYDSVNVILSLQSKNGGLAAWEPAGASEYLELLNPTEFFADIVIEHEYVECTASSIQALVLFKKLYPGHRTKEINIFIDNAVKYLEDVQMPDGSWYGNWGVCFTYGSWFALGGLVAAGKSYNNSAAVRKGVEFLLRTQRSDGGWGESYRSCPDKVYRELETNDSNLVQTAWALMGLIHSGQADRDPKPLHRAAKLLINSQMEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWGLAEYRKNVLLPLENN >Solyc01g006300.3.1 pep chromosome:SL3.0:1:888483:891334:-1 gene:Solyc01g006300.3 transcript:Solyc01g006300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4ASJ6] MSFLRFIFPLFFLISIFVASNAQLSATFYATTCPNVTEIVRGVMQQAQSTDVRAGAKIIRLHFHDCFVNGCDGSLLLDNAAGIESEKDAASNVGAGGFDIVDDIKTALENVCPGVVSCADILALASEIGVALVGGPTWQVLLGRRDSLTANRSGVDSDIPTPFESLDVMRPQFTNKGMDITDLVALSGAHTFGRARCGTFQQRLFNFSGSGSPDPTINSTYLPTLQATCPQGGNNGNTFENLDKTTPDNFDNDYYINLQNQEGLLQTDQELFSTSGSDTIAIVNRYASSQSQFFDDFASSMIKLGNIGVLTGTNGEIRTDCKRVN >Solyc06g063180.2.1 pep chromosome:SL3.0:6:40015805:40035636:1 gene:Solyc06g063180.2 transcript:Solyc06g063180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPRCSFLILFIQLVSIISFCSYARQIRGEDNKTSAIEVDVGIILDLETNVGKVMNISILLALADYHANASRGAIKIVPHFRDSKRNDVEAASSAINLLKDVQVQAIFGPQMSTQTDFVIDIGNRTKVPIISPATSPSLSVKENPFFIRGALPSSSQTKAIAAIVRNYDWRQVVIIYEDSSYGTGIVPHLTDALLEINTLVSYRSVLSPSANDDEILKELYNLNTKQTRVFIVHLQPYLASRLFLKAKEAGMMNSGYAWIITDVLTSLLDSVDNSVIESSMQGVLGIKPYIPRSNELNNYTRRWRKRFRQEYPDMDPVQLNVYGLWAYDSITALTKAIAKVGTTIIPKFKKADTRENLTDLDALGTSEFGSLLLDSMQNTTLETGLSGEFRIFDGELQLYTYEIVNIIGKGERSVGFWTEKDGILHKLKINSKTAKSMNEQLAAIIWPGESTIVPRGWEIPTSGEKLKVGVPVKGGLEQFIKVEINAKTQAVTVTGFIPDVFKEVIEHLPYAIPYEFIPFPIDSPTSQDYDNLVYKISSKEYDAVVGDVTILASRAKYVDFTLPFSESGISAVVSVGNDDRKNAWIFLKPLKSELWITTGGFFIFIGFVVWVLEHRVNKEFRGPKHKQVGMIFWFSFSTLVFAHRERVTSNFTRFVLIVWVFVVLVLTSSYTANLTSMLTVQQLQPSITDLNDLIKNGEYVGYQEGSFVKDILKHMKFDSSKFRSYSTLEEYSDALSRGSKNGGVGAIVDELPYLRLFLNKYCRKYIMVGPTYKAAGFGFAFPKGSPLVPDVSRAVLLVMEGEFMNNIIQKWFGNETECPKQDGMVIASSLTLDSFKGLFLIAGVSAGSALLLFFLIFLYQNREILATDDSVWQKLCAIANAFDKEKDNPNSMSQKPSEGNEIQTATLCHFLILFIQLISIISFCHYVRGGDNNTSAVKVDVGIILDLERDVGKVMHISILLALEDYHANTSRGDIRIVAHIKDSKKNDVEATSAAIYLLKDVQVQAIFGPIMSTQTNFVIDLGNRAKVPIMSPATNPLLTVKENPFFIRGALPSSSQTKAIAAIVKKFDWKEVVVIYEDSLFGTGIVPHLTDALLEIGTSVSYRSVISPSANDDRILSELYKLQTMQTRVFIVHLRPKLAKRLFLKANKAGMMSSGYAWIITDVLTSLLDSVDTSVIESSMQGVLGVKPYIPRSDQRNSYTRRWRKRFRQEYPDMDQIELNIFGLWAYDSITSLAEAVEKLGTTAIPKSKKPDTRENLTDLDALGTSAVGSLLIDSMRNTELKQGLSGDFRIIDGELQPVPYQIVNIIGKGEKNIGLWTKRDGISCELKMNGKTAAKCNNTQLGAIFWPGETTIVPKGWEMPTSGKKLRVGVPLKGGLEQLIKVDRDPQTQAVTATGFCADVFKEVILSLPYALPYEFIPFPIQDPLTLPDYDDLVHKITSQEYDAVVGDVTILASRSEYVDFTLPFIGSGISVVVPVRDDDRKNAWIFLKPLKSELWITTGSFFVFIGFVVWVLEHRVNKEFRGPKRKQVGMIFWFSFSTLVFAHREKVTSNLTRFVLIVWVFVVLVLTSSYTASLTSMLTLQQLQPTITDLNDLIKNGEYVGYQEGSFVKDAFIKHMKFDSSKFRSYNKLEDFDDALSKGSKNGGVGAIVDELPYLRLFLNKYCRKYIMVGQTYRAAGFGFAFPKGSPLVPDVSRAVLKVMEGEFMNSVIQKWFGNETDCTQNDETDITSDSLTLDSFKGLFLIAGVSAGSALLLFFLNFVYQNREILATDDSICKKLTAIAKVFDQEKDDSNSTSEEPSESNAPKLLAASEASPEILPDLPSQSPEIRISDELGASPDAEGFFTTETWNSIFQMHNPRCKFLILFVQLVSIISFCDYVIRIRGEDSKHSAVKVDVGIILDLETEVGKVMHISILLALADYHSRGAIRIVPHIRDSKKDDVEAASADITWFSYFERITYSLDCVCAAIYLLKDVQVQAIFGPQMSTQTDFVIDLGERVRVPIISPATSPSLSVKENHYFIRGALPSSSQTKAIAAIVKNYHWREVVVIYEESPYGTGILPYLTDALLEINAFVSYRSGISPSANDDQILRELYKLKTMQTRVFIVHTQENLASRLFLKAKEAGMMNSGYAWIITDVLTSLLDLVDTSVIESSMQGVLGVKSYVPRSNERDMFTKRWRKRFRQEYPDMDQVELNIFGLWAYDSITILAEALEKVGTTSIQKLRKPDTRENITDLDALGTSEVGSLLIHSLTNTELKPGLSGDFHIVSRELQPSPYQIVNIIGKGEKIVGFWTEKDGISHKLKTNGKTAITNNKQLGVIIWPGESTDVPRGWEIPTSGKKLRIGVPDKGGLEQFIKVVRDPKTQAVSATGFGPDVFKEVILSLPYAVPYDFVPFPIAHSPTSQNYDDLVNKITSKEYDAVVGDVTILASRSEHVDFTLPFSESSISAVVPVRNDDRKNAWIFLKPLKAELWIATGAFFVFIGFVVWVLEHRVNKEFRGPKRKQVGMIFWFSFSTLVFAHKEKITSNLSRFVLIVWVFVVLVLTSSYTASLTSMLTVQQLQPTVTDLNDLIKNGEYVGYQKGSFVKDVLTRMKFDSSKLRSYRTLEEYDDALSRGSKNGGVGAIVDELPYLRLFLNKNCRKYIMVGPTYKAAGFGFAFPKGSPLVPDVSRAVLKVIEGDAMNEIIQKWFGNETECPKQDGMAIASSLTLDSFKGLFLIAGVSAGSALLLFFLIFLYQNREILATDDSIRKKLCSIAEVFDSERDNSNSQSTKPSEGNESLTAVFAESEASTEISPNLPLQIPEIGISHGLGESPATEGFSTTEPGTPVHETMSLSFLKQQVPPLSVKENPFFIRGELPSSSQTKAIEAIAKNYNWREVVVIYENNPYVTGIIDRSLAGKQQFRCSSCTYNHLLPPAFSSRPKKPGWIITDVLTSLLDLILQGVKSYVPRSNELNMFTKRRRKRFCLVYPDTDPVELNVFGLWPCDSITAL >Solyc03g063750.3.1 pep chromosome:SL3.0:3:38184614:38190833:1 gene:Solyc03g063750.3 transcript:Solyc03g063750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLFNQKRMFSWSTVTIIVLIVTLVSVVHLFFYPFVPSFDYFRQYQNSCIPINSTKSTHNNIISNQTKFAVDLHNGVVYRGAPWKNEVGQWLAGCDSVTSAVKVIEQIGGKSCRNDCSGQGICNRELGQCRCFHGFTGEECAERQELSCNYPRSKEKPFGHWVVSICPAYCDTTRAMCFCGDGTKYPNRPLAETCGFTINPPSKPGGAPVTDFTKADLDVFTTNGSKRGWCNVDPEEAYASKVLFKEECDCKYDGLWGRFCEVSVLSTCINQCSGHGLCRGGFCQCDSGWFGTDCSVPSVLSSIREWPLWLRPAQVTVPENVNSKGNLVNLDAIVEKKRPLLYVYDLPPDFNSLLLEGRHFKLECINRIYDQRNATVWTDQLYGAQMAIYESMLASPHRTLNGEEADFFFVPVLDSCIITRADDAPHLSMQEHIHGGLRSSLTLEFYKKAYDHIITKYPYWSRSAGKDHIWFFSWDEGACYAPKEIWNSIMLVHWGNTNSKHNHSTTAYWGDNWDPISSDRRGNHTCFDPDKDLVLPAWKRPDESSLSAKHWSRPREERKTFFYFNGNLGPAYENGRPEDTYSMGIRQKVAEEFGSTLNKEGKLGKQHAEDVIVTPLRAGNYHDELASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENFLNYESFAVRIREDEIPYLLNILRSFNETEIKFKLENVKKIWQRFLYRDSVVLEAERQKAIRGSVEDWGLKFLQLEEDDVFATFIQVLHYKLHNDTWRQKLLQKKEFGLPKECLIRTE >Solyc10g008010.3.1 pep chromosome:SL3.0:10:2165246:2178357:1 gene:Solyc10g008010.3 transcript:Solyc10g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDETSVQKIQTLTPNIGVVYSGMGPDSRVLVRKSRKQAEQYYRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGYDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKSQSEVAFMFTGEFLLAFKIDIQVSARYTEELELDDAVHTAILTLKEGTAEKTNIPWTEMTKEILNSDVYKELESIENPAIVYPDYYLNPFHAYDEGNLSWLAAAEADAATMSMVRRAIPNASSLDEANQIVRGNWLDAIEKHHQQYSADFSIRDILDIGCSVGVSTGYLADRFPSAKVTFHECPERAIRNIVKESFRLLRPGGTVAITDNSPKSKILQELPPVLFTLMKSTEPFLDEYYLTDLERVIKETGFANVQTVLTDPRHRTVTATVPY >Solyc12g017390.2.1 pep chromosome:SL3.0:12:6640895:6643091:1 gene:Solyc12g017390.2 transcript:Solyc12g017390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSMKFFVKFNRIWLKCCSGVKYISSMQMSTMSLDETFHPNKVSESTESSSSSTTPLKPHTGGDIRWDAINSATGRGGPPLNLSNFRLLKRLGYGDIGSVYLVELRGTNAFFAMKVMDKGSLASRNKLLRAQTEREILSLLDHPFLPTLYSHFETDKFYCLVMEFCSGGNLHTLRQKQPNKHFTEEASRFYASEVLLALEYLHMLGIVYRDLKPENVLVRDEGHIMLSDFDLSLRCSVNPTLVKSSSVHGGGGGGNPSSGSGILDNDNAVQGCIQPSTFFPRNILPTKKNRKSKSDFGLFVGGSLPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGAGNRATLFNVVGQPLRFPETPQVSAIARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGVNWALVRSAVPPSIPEPVDFAQYASKDASAPCSDKKMPDTESHDKSKNTCTDSSYIDFEYF >Solyc01g102430.2.1.1 pep chromosome:SL3.0:1:91121271:91121715:-1 gene:Solyc01g102430.2 transcript:Solyc01g102430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFQGRYERLVEERVIVIRPRRCWLIKKMNGRFRGFKLSKSRKLNWKSFSSFVILPKRVARIYGEIVKRMKNMEDVCPAFVLSCQWGLPVLSHSPVKSPKNFF >Solyc04g055260.3.1 pep chromosome:SL3.0:4:53838313:53841423:-1 gene:Solyc04g055260.3 transcript:Solyc04g055260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTKVLHMNGGMGDASYAKNSLLQQKVILMTKSITDEAISSLYNNLSSRETICIADLGCSSGPNTFLSVSQFIQTIDKERKKKGRHKAPEFHVFLNDLPSNDFNTIFRLLPTFHQSLRKQNMGEDGSLFDPSNCFVTGVAGSFYTRLFPSNSLHFVHSSYSLHWLSQVPDGIKNNKGNIYLTSTSPASVHKAYYEQFERDFVTFLKYRSEELMKNGRMVLTMLGRKNEDRFSQGCSYEWELLATTLKLLIAQESIDAEKVDSFNVPAYNPSPSEVMHIVEKERSFTIDILKTSEIQRNSCDDEKYDMAKSFRSVAEPLLVSHFGHDELNMDQVFHKYNQVIANDRKAMEKIMFVNVTISLTKIN >Solyc01g090470.3.1 pep chromosome:SL3.0:1:84027930:84035267:1 gene:Solyc01g090470.3 transcript:Solyc01g090470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSKKSRKVEESESNSEFENDEILNEMSDDAENFDDDSDENNDYTDNDDDVDHYSNEDESGEQEEEEDEEGDQEEEEREKLTEEHKNAEMEELEKEYMELRHKEQDLLKNLTQHKDEDLLKGQAVKNQKALWDKTLELRFLLQKAFSNANRLPQEPIRSSFCDSEDTVEDAYTDLIASSRKTLDSILELQEVLLEKNPSITKSMDVNSGKRSKLLEDSVKSGEVDDDWQKISQMHSRMAAFRDKSIDKWQRKTQVTSGAAAIKGKLQAFNQDISQQVAGYMRDPSKMIKGMQQSRSAVALFGTVPDATGNGEGTNMDGDPELLDDSEFYQQLLREFFEAVDPASSETAFYALKRLQTKKRKIVDRRASKSRKIRYNIHEKIVNFMAPNQPVNLPEMAPKLFENLFSGGSTHRPLA >Solyc11g045690.2.1 pep chromosome:SL3.0:11:28264884:28266138:-1 gene:Solyc11g045690.2 transcript:Solyc11g045690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIKSSTSSSTSTSRKPRKNNGTSNQSVKQKTEEQQSNFRYLGVRRRPWGRYAAEIRDPNTKERHWLGTFDTAEEAALSYDRAARSMRVNNKSNKPNRTNFVYSDMPHGYSVTCIISPDDQYQHHHHHHHQQQQQQQQQQQQQQQQQHLLVFDQTENAPAPNADYGAHFSQFSLSNMNNVGGDSCDGVEFVSQQYYNPNYDILSKNKTTTELPPLPEDITSSGNYYNLNSEFPNSEMGYDSKNEMIIGTNNEYGASTMTTTTAGNFSYFGFDDCLQPLQDDPSNNNSLGYWFS >Solyc01g103457.1.1 pep chromosome:SL3.0:1:91944556:91945789:-1 gene:Solyc01g103457.1 transcript:Solyc01g103457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVEEPVLCGSPLGSSPTSPKSRIKFLCSQGGKILPQPADGHLKYVGGETRVISVPRDIKLSELMKKLTPQIEGDMVLKYQLVHEELDALISVKTEEDLRHMLDEYDRCESAGIPRLRAFLFPAKPVVVDHHTTPPEPLEQRYIDAINGIVRAREAGIRIQQPPQPALSISQASFGFSSACSSPRSPDSCTTDGVNHESLLQSIFQNRSQLHKVQSSPSFYNVSNQQQHGHGPGDPIKGPDRLFSVRSVGRAEGLRYHMDPNQHYYQSPSYRHSRGGGCCIKCMHFDDYERRNGSISPCSYSIEIGNGNGCASPGGYSVERRTSSLSPSPIPLSPRFSNMAGSADT >Solyc10g086120.2.1 pep chromosome:SL3.0:10:65181602:65187230:-1 gene:Solyc10g086120.2 transcript:Solyc10g086120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4D446] MMSGPAVHPVEAPPMTMAVPMVRMKDFQGMPGTLGSLFLRLCQFVFAVISICVMVTTSDFPSVTAFSYLVAAVGLQIIWSLGLAIADIYAILVKRSYRNAAIVSLFVIGDGITSTLTFAAACASAGITVLISNDLEKCKVNHCTRFMSATAMAFLSWFAASPSFFMNFWSLASR >Solyc10g047200.1.1.1 pep chromosome:SL3.0:10:40136305:40136634:-1 gene:Solyc10g047200.1 transcript:Solyc10g047200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGVVVVDGSAAVLVVVVGSGGCLDGGGCGGRRRVAGDLGIQLVIHWDWRGGRSEFRRSLRCAKWTLFFFKLIFSPDVCLSSFGFWKGKRKRNNTLKTVLIIFTRFCTF >Solyc07g017935.1.1 pep chromosome:SL3.0:7:8587724:8589629:-1 gene:Solyc07g017935.1 transcript:Solyc07g017935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSPKKKIKGQLMLVLMFKNLPFLKIGFSKENVPFLTLLYSSVSMFLAPFTLYLLVLHPWVPNIIHPWKTRIYQLKKVLVNPSLQAIVHPRESSLPSMILS >Solyc04g074120.3.1 pep chromosome:SL3.0:4:60208162:60217426:-1 gene:Solyc04g074120.3 transcript:Solyc04g074120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLGLSGMKGLDHFKSLSGSGVGAAKTMSIPTRMSSDMVSTGSFANLKLTAEKLVKEQASAKTDLQLASSKLKKLTEEVHVLEEKLKNAYNENAKLKVKHKEDEKLWKGLESKFSSTKTLCDQLTETLQHLAGVVQDAEKDKASFEDRQSAISVVVDNLQDDLKSLSLRLESSEETVRNCKRELNELGIEKEKMKNCFMVEQSKCTSVIEEKDAMIKEFEVTVAVNGLAVENLKNKLEELHIESRLKEVKLEDLRTAKMNVEKEKSDLVSKNNEFAEQLDTSLQEIKNLNEFVNEMVVKLTDLDSQSLAFAEKIIQLTALFDSGFEMMRERGELAAQHAQQKFGKLQDQYTSITSEKNALLLANKDLKDKVSALQKEQEHAMVQHAQESLLAEDQIRKLESEVELLLSKKEKMELLISKLQENIVTLSDSSKLSENEMQNLSLKLSEMETENKDHIGKLQSDMQKKEDEIHVLRKEIDNYTETVDSLEKHVTEINNKLEEKDQLVQELQDKEKQLEADREKIQASLLAAESKLTESKKQYDQMLESKQLELSRHLKELSQKNDQAISDIRRRYDLEKLESVNLEKEKAEKIVGEMEKNCELKLSECREESKQNLKRVQEEHANLVCQIQQAHSKKEMSLVASHNEELKSSHFHYENELREKTNSMRNEHEAQLRALRLELEDNSRRLQEELYMQKSKEEKQRALLQLQWKVMGDNPEEEEVTSKKNYCCSVTKRNPPDSGKPPVRAEAKDVDSHYLVGNQIPVSNLLRKVEQVNSGSLPQHSRKVTHHEYEVETTNSRIITKRRKTKSTVMFDDPSKHKKRRTPKVKTPKEIIGVVIKGQPKPANIGDLFSEGSLNPYADDPYVKKVLLQSVYYK >Solyc04g071340.3.1 pep chromosome:SL3.0:4:58352844:58358201:1 gene:Solyc04g071340.3 transcript:Solyc04g071340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILASTACPISCTKIESTMDHAADAHRTDLMTITRFVLNEQTKHPESRGDFSILLSHIVLGCKFVCTAVNKAGLAKLLGLAGETNVQGEDQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPANRGKYCVVFDPLDGSSNIDCGVSIGTIFGIYMIKDGHEPTLDDVLQPGMNMLAAGYCMYGSSCTLVLSTGSGVNGFTLDPSLGEFILTHADIKIPKKGKIYSVNEGNAKNWDGPTSKYVQNCKYPADGSSPKSLRYIGSMVADVHRTLLYGGIFLYPGDKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPEKIHERSPIFLGSYDDVEEIKNLYAAEEQN >Solyc02g080650.3.1 pep chromosome:SL3.0:2:45387475:45389377:1 gene:Solyc02g080650.3 transcript:Solyc02g080650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDKVVTMDDQIVSELTIPENVAKELLLVSNSSSLETALDKLIQLSKEGGGRLDLSSKNVVTTVLHLCQSLSSISYRNLLLLSLKVLRNLCAGEIRNQNGFLQQRGVEIVLDVIMSVGLSPDPDCMIIRVGLQLLGNYSVGGGERQCDVWYQLFPHKFLKIARVRNQEICDPLCMVIYTCCDGTDGLLTDLCSEQGLPILFEILRTASAVGLKEVWLKLLLSKLCIEGSHISSIFFKLHSYPSVEDNGVVTHVADQFVIEQPYLLSILSEILNERVEHIVVSHDFARSIFGILKSASGVVDFSIRGKSDLPVGSAPIDVLGYSLTLMRDICASDHLSSSKEESSKDVVDVLVSSGLIEFLLNLLRDLEPPTTIRNAMKPDQIKEGTIPSSFRCCPYQGFRRDIVAILGNCAYRRRHVQDEIRDKNGILLLLQQCVIDEDNPFLREWGIWCVRNLLEGNAENQGAITDLELQGTVDVPELVRLGLRVEVDPVTRRTKLVNSS >Solyc01g094330.3.1 pep chromosome:SL3.0:1:85719790:85727615:-1 gene:Solyc01g094330.3 transcript:Solyc01g094330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELRGDTPDTFDCEIRLRVNPQRRKEKVYVGCGAGFGGDRPIAALKLLQRVRELDYLVLECLAERTLAERYQAMKCGGEGYDPRIGIVSEWMQLLLPLAVANGVCIITNMGANDPFGARDEVLRLANGLGISITVGLAHQVAVVRSDLEEHLKHVDVRFGNMQFFQWYMSASFIPSSTKIMLCSVYLGAAPIVECLQNFRPNVIITSRVADASLFLAPMVYELGWNWDDLHLLAQGSLAGHLLECGCQLTGGYYMHPGDKYRDISLQDLLDLSLPFAEVSFDGKVCVAKAESSGGVLNPCTCAEQLLYEVGNPSSYITPDVVVDFQDVSFQTLSRSKVLCAGAKPSASAPNNLLLLASKDKGWKGWGEISYGGYQCVKRAKAADFLVRSWMEEVYPGISKLIVSYIIGLDSLKAVSIDEDLPRDSQDIRLRMDGLFENKEQAMHFTKEFIALYTNGPAGGGGISTGHKKEIILEKALVKRKYVQWHMTATRNKIMQSDGLASPKNVIRTTSFHESVLQSLATETTLNHKEGSPQIELISPAPHDRKIPIYDFAHSRAGDKGDDINFSLIPYFPPDIERLKKIVTQEWVKKVVSCLLNPSSFPTSDDIERRDKWVTEHVEVEIYEVRGIHSMNIVVRNILDGGVNCSRRIDRHGKTLSDLILCQKVLLPL >Solyc01g106540.3.1 pep chromosome:SL3.0:1:94272184:94277506:-1 gene:Solyc01g106540.3 transcript:Solyc01g106540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPPFSLVIEKTPTKARVSCLFLSISHTQITVLTLQLMAEDKHQPEIEPEMDIDEGGEDEDCDDEVFYERIEAPKFVDFSTPDYYRPDDRYWFCLRVGCDQKHEEEMDSEKIYKDFVLRVMAARSPNVRLQKALSRHAAGKNIKCPLTVPAKSSKSRLSKLASVSTISRKLIEDKEKTSSCKLIEDKEKIGHLSKPTANPKIRGRQVAAKYLTTPRNKKCLPNPNSFHSVQNPKPAALDVPKNRIIAKALVFHSPKKAISLKKSVELRIPLTKLCQGIKKLEITDQKKRLLKYSGKSKDTKPNCRDAMMNKNPQKDKSKTPKNTGKSQTRVPRESRPLHSTKIQNQAKLGKQCHSKNMAENGCSKTEVDLALRDSNKEIATALDNHENSAHPEANFSSTLHSTKNMDLALADAVTDELKCDADQKYLVGNDLPQSQLPTREDHSSTELNTMDHSICFQTSEGAGHHNCEGVDSDDKENVSVPDENRSPTNNLNQAGQKVLGVQKIKKIVKKNSQAAANNLKESLLSTNAGASGMKPKKPKPTNPKPFRLRTDERGILREADLQRKKQGNVEDPDNENRCTKDNPEDNERDSKGLQNDLSTESGIKISKTSDGKVRLRKSSITPERSNATQLKTANLRNAKSPCLRQGQQLTAIQEASANNSKAKALTPSRMLSHGRRPLTIPKEPHFHSTHRPKSCTRNLVEQVPM >Solyc04g047750.3.1 pep chromosome:SL3.0:4:36896794:36936153:1 gene:Solyc04g047750.3 transcript:Solyc04g047750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESENPAADQLPEAESLPDGFSSTTDPLPPQPHHKEENIIHPQPTNCEKSHPKYMEDSGGDPSQNISAVKEISLPESVENKKVDASETKRKMVKRTFKSEKEFLEFTLKYQQVLAERDSAIAVRDKLESLCRELQRQNKVLMEECKRVSSEGQNLRLDLSNKFQDAIKDVSSKLEEQKDECLLQLKENEMLKSKLKQLADQYTLSENQFANKMKQKSLELQLADLKIKQHEEKSQQEQSQMKIYADQVSQLLATEKNLRLQLTADGEKFQQFQEALLKSNEVFETFKQDIEKMAKSLKELKKENAFLKGKCDKSDVTLIELAEEREFLKKQLEKTRNQKEKLEALCRLLQAERKAQSLASSNSNSVKA >Solyc02g077580.1.1.1 pep chromosome:SL3.0:2:43041399:43041830:1 gene:Solyc02g077580.1 transcript:Solyc02g077580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRTTSHRSEGGSSRSTHRKSPSSPPPPPSDPSKRNVRKTGILKVVAENPLVIVAVRGCFMCVTVNGLVQRLGVNPKIVEVEEVKKIAILVKLSKIEGSDGGPWELPAVYVGGKLLGGVDKVMEAHVKGELVPMLRAAGALWL >Solyc03g094020.3.1 pep chromosome:SL3.0:3:57171786:57175894:-1 gene:Solyc03g094020.3 transcript:Solyc03g094020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLKISKKHHKHFNNPFPSTPNSPFIYGTLILNSHKLPSHQIYPIGKDFQLNWSSKNGGFLSISHKSEPTRPIWSTLPGEPFISAAIAETQVEESRGSFVVKDKHVHSLSSNQTIDDVKIINESDKDQLFSSYPLFPVLMITGKVFGVSKRKKKVGFSRRKDSEKENSTCARYWILFDQKECHQVGFQVRIGKTDLQLPKRVSPTSYRIFSLKFGRIRRRRGGWFGGLKKSVTVSSFAEEKIVMKNSEGVVNNRICLTYSSEKNEKIFGFGEQFSHMNFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSMYLEGYDYSVFDLTKDDRIQIQLHGDSLEGRILHGNSPTELIECFTRSIGRPPLLPEWIISGAVVGMQGGTDTVRSIWNEMQRYDVPVSAFWLQDWVGQRETVIGSQLWWNWEADETRYSGWKQLIQDLNKQHIKVMTYCNPCLAPMDKKTNIRRHHFEEAKKLDILVKDKNGELYMVPNTAFDVGMLDLTHPRTANWFKQILREMVDDGVRGWMADFGEGLPVDACLYSGEDPIAAHNRYPELWAKINREFVDEWKNTHVGKEGEDPEDSLVFFMRAGYRDTPKWAMLFWEGDQMVSWQKNDGIKSAVVGLLSGGLSGYALNHSDIGGYCAVNLPLPFFKYQRSEELLLRWMELAAFTTVFRTHEGNKPSCNSQFYSNNRTLSHFARLAKVYKAWKFYRIQLVKEASQKGLPICRHLFLHYPEDEDVHSLTYEQFLVGTEILVVPVLDKGKETVKAYFPIGERLSWKHIWTGKLYSTHGSEAWVEAPIGYPAIFVKEGSSVGKTFLEKLREYNVL >Solyc03g078650.3.1 pep chromosome:SL3.0:3:52728935:52733811:1 gene:Solyc03g078650.3 transcript:Solyc03g078650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNLDREDGLETVLEVPIPEEMFASPKQRTRHNMKYHSDEKSAASVFGSRDAEIQLLLGVVGAPLIPHPIRYQHSINTKINDHPIEASMAKYIVQQYIAAAGGEGALNSMDSMYAMGKIKMVASEFSAGDGMGLNKGNMMKIKSTVKNGSGEMGGFVLWQKRPDLWSIELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPARPLRRSLQGLDPKSVANLFSTSICIGEKTVNEEDCFVLKLEAEPSSLKARSSSKVEVMRHTVWGYFSQRTGLLFQLEDTHLLRIKAPGNDVFWETTMESLILDYRTIDGVNIAHAGRTSVSLFRFGENTEGHTRTRMEEVWTIEEVDFNIKGLSADCFLPPSDLMKEDEMGHDVNKKSRLGLKTPKIRSCRRSASKIMSIDEEDLEDYEADEES >Solyc04g008075.1.1.1 pep chromosome:SL3.0:4:1736662:1737327:-1 gene:Solyc04g008075.1 transcript:Solyc04g008075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNYLKLLHILQILFSLSYLTTSQQPSTTPITTTTSVLDIGGHELQIGLKYTILPINQNGSSSGGVGVLALEAKNRPCPFYVIQKNIESSNGLPTRFIPIDNNQQVINLSTDLNIVFVASTICVQSTAWKVGGADERTGRRYVMSGGTIGRPGIETISNWFKIERYGNNESYKIVFCPRVCSSNCKIVCGNVGVFNENGKKWLGLIDEPLVVRFKKVLN >Solyc07g065410.1.1.1 pep chromosome:SL3.0:7:67309826:67310131:1 gene:Solyc07g065410.1 transcript:Solyc07g065410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSWVITIANTITLSNSKSQSSIEFNKNQTNKSIISISNEKNFEFFQMPLHYPRYTKHDYEKMEEWKIDALLREYGLDFQGSVDEKRRFAIGAFLWPNQL >Solyc03g034050.3.1 pep chromosome:SL3.0:3:5782439:5786193:1 gene:Solyc03g034050.3 transcript:Solyc03g034050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIGLCLREKLKNCFPLHFKVDIKVSPGSHADEESVNKQLNDKERVAAAMENPNLRQLVDECLYSSEL >Solyc03g113730.3.1 pep chromosome:SL3.0:3:65275681:65277008:1 gene:Solyc03g113730.3 transcript:Solyc03g113730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNRWLRPEVYPLFAAVGVAIGICGLQLVRNMRINPEVRVNKEKRAAGILENFEEGEKYAEHAIRKFARSRPPEIFPNLNRFFSDPQKN >Solyc03g034080.3.1 pep chromosome:SL3.0:3:5802953:5808642:-1 gene:Solyc03g034080.3 transcript:Solyc03g034080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIWERAVETALDGERDFGSVRTLTLDGAVKCIHGKLAPPSIFERFQNLEHLSIANTGVTSLEQFPRLQNLQKLNLSDNRIAGGLEFLVEAGLNSLRDLDLSNNRIQDIDDLRPLAELRLVSLDLYECPVTRVKDYRSRVFGLIRSLKFLDKMDAEGNERPESDDEEEDEEEDEDDDPGSGEVDGEDRPFRMTNGHRVENEGVVDVDEEESDADEEETEITRVTNGSKGDGSSHSNGFRVEAASDGEDDDDDDEDDEDEDFVEEIDEEGDEDDVVEVHEIEDTDEDEDGVEDDEDDDDDDEDEEEVDNDDGDFAEPESTGRLNSTEGEIDGHEHGEDDADEDDDGETGEEELGVEEDGDFEDDEDAEDEEEDNGNGYLVQPVGQVVVDDTEGSDVEAVIGDEDDADLEEDVDDDEEDDYGEVQEQPPSSSQKRKRDDEDDDGGDNNDDGNAEEDYSKSSKHR >Solyc08g007920.2.1 pep chromosome:SL3.0:8:2424966:2427593:1 gene:Solyc08g007920.2 transcript:Solyc08g007920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASKWFKALLGFKKNESSISSTNKKKWGDVKSYKDKDFQQHHEKSHYMNSRAGVDLAIYEVHSSLTTSSVIRTTTWNSEEWAAVVIQSYFRAYLSRRALRALKGLVKLQALVRGHIVRKQAADMLRRMQALIRAQSRARLGRSMVFESPPFSTKSTQSIHHGPTTSSRCTRSRTGPFTPTKSSTRSYTSDEYSNNHPNYMSYTEAAKAKTRSMSAPRLRSQYDKKYARSNMQ >Solyc02g071160.3.1.1 pep chromosome:SL3.0:2:41221246:41222367:1 gene:Solyc02g071160.3 transcript:Solyc02g071160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTMGLNLLLLVAMVATNILSLYHLSSNIQSKPPVAPPVPDHLLHQLQTIRATINHLTRLQPPAPPSGKAKKSTVTIPSDLLLYTHLSPIASSCKDNPDLLHQYMSYTPFSLCPSDSSVAESLILRGCHPLPRRRCFSRTPSSIPASLPKTPFSTIPEKALLWNNYTCKSFSCLSQSNPNLGFDMKVEQSRFLDFKSDLDLPIPQFLQLTKTSKSVIRLALDIGGGTGTFAAQMKLHNVTVVTTTMNLGAPYSETVALRGLVPLHVPLQQRLPVFDGVLDLVRCGHAVNRWIPVTMMEFLLFDVDRVLRAGGYLWLDHFFSKRADLEKVFQPLIWKLNYKKVKWTVANKNDASGVKNGEVYLTALLQKPLSR >Solyc11g065480.2.1 pep chromosome:SL3.0:11:51213977:51217322:-1 gene:Solyc11g065480.2 transcript:Solyc11g065480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SEKAWHHRKSYIESWWRPNITNGYLLLDVPPKGDLLPWSSNSPPYRISDDVPKLVNETKHVDATVLRLVHGIMEVFREGHEGVRWLVMGDDDSIFFVDNMVDILAHYDHTKYYYFGGHSEFILSNYWYSFNQGFGGAGFILSYPLAKALARDMMSCLKRYAYLNAADRTTMTCIADIGVNLSPLQGIHQIDLRGDLSGFLSSHPKSLLMSLHHFDMVDPIFPFMDRAQSIFHLQNAAKYDQSRMLQQTICHHRSKSWTFSVSWGYSVHIYEKIMPRSWIQRPIETFKPWQNSPNPPRYMFDVRSTSRDPCEAPHIFFFKSVEKTPKNEIVTTYSRAWPRGIGNCSFTGNYSAEYVSEIHVYSPSTKRIQIDRCECCDIVHKDGSNKAEIKYRECKEDEIIA >Solyc01g107900.3.1 pep chromosome:SL3.0:1:95179613:95185077:-1 gene:Solyc01g107900.3 transcript:Solyc01g107900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITDGDEPLTPAGRLFVQPGMEQIINCAVSVDDPIDVDAVKLEISNSILVKHPRFSSIMLKDTCGRERWRKTEVNVDDHFIIRHEPLTDDPSIADEDAVNDYLADLCVSTPLSLTKPLWEFHLLLAHNWAVLRLHHALGDGISLMSMFMSCCRRADDPNQRPTTHGIGTSSSSNNLNVWSLLKKLVMVIWYTLVYVTEFGLRSLWLKDKKTAISGGAGVELWPRKLATAKFKIDDMKTVKKAIDNATINDVLFGVISFGLSRYLDLRSPKATKEGLQMTGVAMINLRKQSGLQDFSELMKSKSGARWGNKFGMLLLPVYYHKGGSDPLQFVKRAKAMIDKKKLSLEGPCSYKIGDLIMSIFGAKLATLLNYRIISNTTFTISNVIGPAEDITFGGNRISSVRVTSTALSHAITMHMVSYAGRAGMQILVAKDIIPDPKVLAKCFQDALLEMKEAAQAVSKN >Solyc07g066320.3.1 pep chromosome:SL3.0:7:67918209:67923014:-1 gene:Solyc07g066320.3 transcript:Solyc07g066320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTFPVKAQLFGSKIGHKSLSYSTSVKFYQKVSRPQFSACGIRVRSEFDHKINGAFSPDSDARILDRQKALDAAMNDINSSFGKGSVTRLGSAGGALVETFPSGCLTLDFALGGGIPKGRIVEIYGPESSGKTTLALHAIAEVQKLGGNAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICIDSVSALTPRAEIEGEIGMQQMGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSAKGDEEVGIKVRVRVQKSKVSRPYKQAEFEIMFGEGVSKLGCVLDCAELIEVVAKKGSWYNYGEHRLGQGREKALQYLRENPLLSEEIEKMVRSSVLETNGFLGSSSLKHPLPQLLEEDALQEMQ >Solyc02g090830.2.1.1 pep chromosome:SL3.0:2:52948972:52949229:1 gene:Solyc02g090830.2 transcript:Solyc02g090830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCAKMFLQKRNLETKIGGGTGVRFCKLRGIWRMQLLCVWGGGGWGGGTRGGWGIFLLRVGLCGQISIWHWLIWLLFILGKLCG >Solyc01g109470.3.1 pep chromosome:SL3.0:1:96325970:96327478:-1 gene:Solyc01g109470.3 transcript:Solyc01g109470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRPTLSILLHALLLCQFVSESRARDIAKTSPEETLNPQLNIFFTPKDLKIGKMMPLFFALKDPSTSPHLLPRKEANSIPFSSSNLTYLLKFFTFSKDSPQAKAMEDTFFHCEISAMNGESKFCATSLESMLDFVQEILGFNTKLDVYTTEFLKKSPVTLQNYTILQKPKEILVPKLVACHTLPYPYAVFYCHMQKGENKLFKISLLGENGDRIEAAAICHMDTKEWNHDHVAFRVLKVLPGSSPVCHFFPVDNLVWVPSLSM >Solyc04g072380.3.1 pep chromosome:SL3.0:4:59495525:59503635:1 gene:Solyc04g072380.3 transcript:Solyc04g072380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEDAIMEGSVNHPAAEKEANEPILNNESVKNKVSNQRNLGSIPDVLQEANTVNDRMDEQEKKISVDNSILHSGAEKSQVDTINEPGKENSLGESTSSSLLANKNEIDKPNLDQVLILGELESLKSGQQRSEAEMSGLIGKQTDCVKVDLKSPCKTDKNLNEVVYEEEAEPVFDGTEEPGMGVNRSLSARSVHRDSEAQGYVWPEKAVALTNFVRSKSTVAMSTVLRRLSGKSDDGQDVTAEEDKSKCFEKSAVASQEYETQAVSQKTAERTGWNPLSLIGILRDDTRNRLVETEVSPEAVLPIAMKGRIILYTRLGCHESKEARLFLRRKRLRYVEINIDVYPSRKMELEKIAGDSVVPRVFFNEVLIGGWSELKSLDESGKLSEKIEYVVDEAPSFEAALPPLSGEDDLSSSGSIDELAVIVKKMKQSIALKDRFYKLRRFTNCFLGSEAVDFLSEDQYLEREEAVEFGRKLAINLFLQNVLDENVFEDDNSLYRFLDDDPFVSQCQNIPRGLTEVKPKPIIEISSRLRFLSHAIFEAYASEDGRHVDYRSIHGSEEFARYLRITEELQRVNLKDMPREEKLAFFINLYNMMAIHAILVWGHPSGPMERRKLFGEFKYVIGGCTYSLSAIHNGILRSNQRPPYNLIKPFGVKDKRLKVALPYSEPLVHFALVNGMRSGPALRCYSPGNIDKELVESASDFLRDGGLIVDLSTKVAYVSKILRWFSVDYGNNEVEVLKHAANYLDSSVSQAMLELLANGQLKVVYLPYDWGLNN >Solyc02g063140.3.1 pep chromosome:SL3.0:2:35757876:35760426:1 gene:Solyc02g063140.3 transcript:Solyc02g063140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4B6K1] MPQVVPNFSNSVKLKYVKLGYQYIVNHILTFLLVPTIIGVTIELLRIGPEDLLNIWNSLHFNALQILSSSFLIISIATVYFMSKPRSIYLVDYSCYKPPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPHSTHYIPPTPTMDAARGEAEEVIFTSIDDLMNKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSYNLSGMGCSAGLISIDLARDLLQVHPNSYALVISTEIITPNYYRGSERAMLLPNCLFRMGGAAILLSNKKRDQYRAKYRLMHVVRTHKGADDKAFKCVFEQEDSQGKVGINLSKDLMVIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSGEHVEASRMTLHRFGNTSSSSLWYEMSYIEAKRRMKRGDRVWQIAFGSGFKCNSAVWKCNRTINTPNDGPWQDCIDKYPVHIPEIVKL >Solyc07g055430.1.1.1 pep chromosome:SL3.0:7:63601554:63601919:1 gene:Solyc07g055430.1 transcript:Solyc07g055430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGTPGYIAPEWLSSIITEKVDVYAFGIVLLEILCGRKNLDWSQSDEEDVHLLNVFTRKVEQEQLTDMVDRNEDMQLHREAVTEMMSLAAWCLQADFSERPSMSLVVKALEGLVTVETNLD >Solyc06g075513.1.1 pep chromosome:SL3.0:6:47038838:47040761:1 gene:Solyc06g075513.1 transcript:Solyc06g075513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRLAVLIISRSYFIAHKMGSKIFPNFVSFMESKDSSDCTEQALFDELKALEEHLKAHGPYVNGQNVCSVDMSLAPKLYHLKVALGHFKKWSVTESLTHVRNYMKVCNLFLSVSFCACMAGICFSMGFENIFALEWPELSKLMTPQKEGLLTSATRKKGVVELSVQLCHLLKGPASFVWQS >Solyc08g067640.1.1.1 pep chromosome:SL3.0:8:56710028:56710246:-1 gene:Solyc08g067640.1 transcript:Solyc08g067640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKAMIIVFFFLVLFVCSNFGDSSFEDSKVKGHFDVVNEDKIVKSPLGTMQNRRLFPRLCNWWCFPICQC >Solyc07g006640.3.1 pep chromosome:SL3.0:7:1506409:1518012:1 gene:Solyc07g006640.3 transcript:Solyc07g006640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVFSRLFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVVAKEEFHAILEEEELKGAVVLIYANKQDLPGALDDAAVTEALELHKIKSRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Solyc03g082685.1.1 pep chromosome:SL3.0:3:54015508:54019124:-1 gene:Solyc03g082685.1 transcript:Solyc03g082685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETAGEILFETRHHASSPYISNYPPNHQQLNQDAKGSYLSRLLSSSGISQLKEKWRKHGHPAKVRRYASLFVSPRGDLVAVASGNQITILQKDGKSITSFHCGAWSETHDVLGVADDSDTIYLIRANGEEITRISKGHIKSSSPIVGLMVQDDADLKKSCLCTFTIITADGLIHDIEISQDPSASVFSPLASSSGTMLKKFPQDTICLDYQPEMSLFSIVSSAGGLQLTTNGLYSLSLCRKRGNLALEVVVSTQFEGIYSIPKDYVGHITSSKVSISPQGRFVATLDMGGSLNTFKFDEEQRSLSKCSYGEGNELHQGNKQSNKGNILVNGVTDFAWWSDGILAVAERNGNVTMINICTGAKLCKKDETMYSLPLLERVPQLSGKLFLLETKPSIQNNGSTKEIRASNFQLMECDYGDMNNKFDWANFRWSLVSFSERSISEMYDIFISRQEYQAALMFSDQHGLDKDEALKAQWLHCSQGVNEINTLLSNIKDQVFVLSECVGRFGPTEDAVRALLDLGLRITDRYRFSEPEVDDHSKVWDCLVARLKLLQYRDRIETFLGINMGR >Solyc12g041955.1.1 pep chromosome:SL3.0:12:56500992:56503873:-1 gene:Solyc12g041955.1 transcript:Solyc12g041955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPHNSNAHMSLAAVEYMFQDEHSELSPSPNSCCPGVAALRKSRYQGLVFIFSLQKQHVSLSCPKVYLLRFSPTIGMTTIWFHLYKSLAVVAFPCVDFVADFGIATYVSLT >Solyc01g088490.3.1.1 pep chromosome:SL3.0:1:83147984:83148985:-1 gene:Solyc01g088490.3 transcript:Solyc01g088490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKLQFSVRPLYRSFISSARMLEESIKAMVEDKRYEQIPDILSSSEGFHRTSNPFSFLSNFPENTSVRIVDEILQSFTPIRPRYRPQIAYSCLLSYSLQTSNPLPLALAILQRTLRSGCIPVPQTHLLLSAAWMERRSKSHSVSSILLEMQDIGYAPDCGTCNYLISSLCKVDQIDEAVNVLKGMGRAGCCPDLDCYGSLVDNLSELRLTSAIIKTLNEMVAIFGLSPRKETLVKALAAIRANKEIRRAIEVIEFLTNEGVHVGFECHQSVLEGCLESRQFLLAGKFVIEMTKRGFIPYIRSRQKVVEGLTSIGEWKLANAVRQRFAELRS >Solyc06g073960.3.1 pep chromosome:SL3.0:6:45842949:45858641:-1 gene:Solyc06g073960.3 transcript:Solyc06g073960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMSSESDHDQDKGVAPEQLNGQSSAGGSLPETPKDEQQPATSQQQQGSTLVAGPRCAPTYSVVHAVMEKKEDGPGPRCGHTLTAVPAVGEEGSPNYIGPRLILFGGATALEGNSAGSGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSSRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDTTSVVTSPRTGRFSADAAGGDAAVELTRRCRHAAVAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAVNIQAGRLTGRYGFGDERTRQTDPEAVNDGSVVLGTPVAPPVNGDMYSDISTENATLQGPRRLIKGVEYLVEASAAEAEAITATLAAAKARQQGNGEVELPDRDRGAEATPSGKQASTLIKPDSALSNNSVPAGVRLHHRAVVIAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSINSVPKKVISHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFASEPSVIQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDISYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPLNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRFNRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPIAMDAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPETSPERHIEDTWMQELNANRPPTPTRGRPQVANDRVICT >Solyc12g098500.2.1 pep chromosome:SL3.0:12:66969649:66972367:1 gene:Solyc12g098500.2 transcript:Solyc12g098500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenosylhomocysteinase [Source:UniProtKB/TrEMBL;Acc:K4DHM3] MALIVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMACRTEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAATARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEEYAKTGKFPDPNSTDNVEFQLVLTIIKDGLKSDPLKYTKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVALIAGYGDVGKGCAAAMKQAGARVIVTEIDPICALQATMEGLQVLPLEDVVSGIDIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETYPGVKRITIKPQTDRWVFPDTKSGILVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWKEKASGKYEKKVYVLPKHLDEKVAALHLGQLGVKLTKLSKDQADYISVPVEGPYKPAHYRY >Solyc05g024360.1.1.1 pep chromosome:SL3.0:5:31369898:31370092:1 gene:Solyc05g024360.1 transcript:Solyc05g024360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKSIEESQVALVVFSKNYAKSRWFLDELLKILDSKTQYGQTVVPVFYDVDPSEVRNQKERFA >Solyc05g054800.2.1 pep chromosome:SL3.0:5:65473113:65475019:-1 gene:Solyc05g054800.2 transcript:Solyc05g054800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSSSTTGGAGSDDNTSIRRNSNRPKYSRFTQQELPACKPILTPKWVISVFLLVGIVFIPIGVVSLLASRDVVEIVDRYDSECVPSNMTNDKIRFITNDSFDKTCIRTLTVPKKMKQPIYIYYQLENYYQNHRRYVKSRSDQQLKSASRRDDTGTCDPEDRVNGLPIVPCGLIAWSLFNDTYNFSIVNNINKRLDVNKNGIAWKSDRDHKFGKDVFPSNFQNGTLIGGGKLTNESLDKQENLIVWMRTAALPTFRKLYGKIEVDLEAGERLNVTVLNNYNTYSFDGKKKLVLSTTSWIGGKNDFLGIAYLTVGGLCFFLAMAFTIVYLVKPRQLGDPTYLSWNRNPGVLMERRNLCFLQLAGLVERTIFFGIAYLTVGRLCFFLAMAFITVYLVKPTYLSWNQKQGKKKLVLSTTSWTDDVAYLTVGGLCFSLAMFKPRQLGDPTYLSWNRNPR >Solyc02g064720.3.1 pep chromosome:SL3.0:2:36393418:36397552:-1 gene:Solyc02g064720.3 transcript:Solyc02g064720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLGSEQTTQPSTHVNRKVLLSNVMKRTSEWILSQDIPSDITIHVGRTSFALHKFPLVSKCGYIRKLVSKSNDAKLSTIEIPDIPGGPEAFELAAKFCYEINFEISPENIALIRCVAEYLEMTDDYAVGNLVGLTETYLTEVGLKSIASSVSILHSSEKLLPIAEDIKLVNRSIDTIAYIVCKDSQYGLNSSTFDWWADDLTVLRIEFFQRVLIAMMARGFKQYALGPILMLYAQKSLGGLDISGKGRKQIEARIEHEKRVVLETIVSLLPREKNALSVSFLSLLLRAAIYLETTVSCRVDLERRMSLQLGQAVLDDVLFPSYSLTGDTFFDVETVKHIMMNFLEYEMVGNRLGFNDEENMFPSASDMEKVGKLMQDYLAEIASDSNLSVSEFISVAEVIPEQLRITEDMMYKAIDMYLKAHPALSDVERKKVCSVMNCQKLTREACAHAAQNDRLPVQIVVQVLYYEQQRLRQVMDVSLVGADPPLPPPRPSKFNWFSSGISPVTDEISSLKRENQDLKFELMKLKMKCREIEKCDDKSAASTPLGITTPPSDKPRLPRKSFITAVSRKLGKLYPSFGADEVTIPSPSKRKNKPRRDRRNSIS >Solyc08g007711.1.1 pep chromosome:SL3.0:8:2207414:2208809:-1 gene:Solyc08g007711.1 transcript:Solyc08g007711.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVHQDLVYAYGWPSRLFRPILKDSDDKNAKFFPGRLSRPFLCIWLAIKACTTHLKGQTNPEASIPFTHHFLGDLDSDMKNAKFFHGRPSRPCICIRLATTACPTHLKGQTSPEASIPLISTIFVCYSTPFLGDLDSDVKNSNFFCERPSRPCLCIRLAITACTTNLEGQRAPKRPYLSFRRFSCAIAHHFLGDPGFRRQKCQIFSWTSVKTLSMHTIGHHGLSDPFGRSNEPRSEHIPHFDDFHSDIKNAKFFSGHPSRPCLCIQLAITAFPTHLEAHHFLGDPDSDVKNAKYFHGRPSRHCLCMRLAITACPTHLEALHFFGDLDFDVKNVKLFRGRLSRPFLCIRLAITACTNHLEGQTTPEASIPLISTIFVCYSTPFFG >Solyc01g016720.2.1.1 pep chromosome:SL3.0:1:21668049:21668327:-1 gene:Solyc01g016720.2 transcript:Solyc01g016720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRWRFFIRYIFWQRIERKKFWKDFLGKEIRFFRLKKQGILTAFEEALDQYGLKLNSACFFWLSSVSAPS >Solyc05g015175.1.1 pep chromosome:SL3.0:5:10009147:10012178:1 gene:Solyc05g015175.1 transcript:Solyc05g015175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYKYIYVHPGPVEHDVLKIQVHHGSEGIWNGSIKKRGLAYIRVVIWAWKRIIPLQPLPKPLRTNQLEASTVLARKWTRRRNHQNEARTVIGVIRDVLDNLTDEQFIWQPYSEDVINELPEWYRSGQRVWMAQVPLIYGIYREWHMVDRGVRQCDRVSNFSNIILSVTKDPK >Solyc06g073740.3.1 pep chromosome:SL3.0:6:45645596:45650948:1 gene:Solyc06g073740.3 transcript:Solyc06g073740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFAITMMGFLLLCFCAEAVYMKYKDPKQPLNVRIRDLMNRMSLEEKIGQMTQIERHVASPQIMRKYFIGSVLSGGGSAPFPKATAADWVKMVNEIQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRDPQLVKRIGAATALEVRATGIPYTFAPCIAVCRDPRWGRCYESYSEDHRIVQAMTEIIPGLQGDAPANSRKGVPFVGGKTKVAACAKHFVGDGGTTKGIDENNTVIDMNGLLNIHMPAYFDSILKGVSTIMVSYSSWNGKRMHANRDLITGFLKGKLKFRGFVISDWEAIDKITEPPRANYSYSVQAGVLAGLDMIMGQENLVEFLDDLAFQVRNNIIPMSRIDDAVMRILRVKFVMGLFENPLADLSLANQLGSQEHRELAREAVRKSLVLLKNGKVTNQPLLPLPKKVTKILVAGIHADNLGYQCGGWTISWQGIGGNDLITGTTILNAVKKTVHPSTQVVYQENPDVNFVKSNHFSYAIVVVGETPYAEMFGDSAKLTIAEPGPSIISSVCGVVKCVVVVVSGRPVVIEPYLANIDALVAAWLPGSEGQGVADVLFGDYGFTGKLARTWFKSVDQLPMNVGDRHYDPLFPFGFGLTTKPVKS >Solyc08g005318.1.1 pep chromosome:SL3.0:8:263718:275727:1 gene:Solyc08g005318.1 transcript:Solyc08g005318.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKRIMAIEDGLSDFPEAILVHILSMLPDGKEIVRSSVLAKRWRYLWRSVPISLDFSHPENAYFGRPELGELEIFDFVNSIHRELLYWGSGQKIRKFKMAVNFSAPDRFDKDIDLWVYFAMKKANVEDLTFECFSGYKLPQFAFESPLVRNLNFQYCKMKLEPSVNVNWRNLVSLSVGYVKLTEGVMRKILSGCPNLECLLLDFVWGFDRLEISNVKLKKLTLNSYETSDGDVWLEILAPHIQNRKPNIIKARNSAHDIFQQNSEGKRNNKHTAATDLAKIMNF >Solyc05g018480.1.1 pep chromosome:SL3.0:5:21862206:21862579:1 gene:Solyc05g018480.1 transcript:Solyc05g018480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGKNPSSYAKRRPRSSKVLELTGIASRNNNKTRSLQGIFNKLLGLIKS >Solyc02g062070.1.1 pep chromosome:SL3.0:2:34194801:34195828:1 gene:Solyc02g062070.1 transcript:Solyc02g062070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNFDDEGLLNYLINFVTVKEKKRNGKNFNRGIVGGGGSWKGVNNSRPVYNKKGLMIGFKKTFRFDENNHVWFMKEYRLCDKLLEDLRLHGKIRYEDYVLCSIRMKVNWGHFRQNLLASSSSQCQETVKSSAANVIPSDQLNSTLNGNIRPVTLVFKESNVTQWPATIQEECFVPVIHQEIPSVSFGAIEEDCSVPVVHPETHSVSFAATEEECSVPVVHQEIPSVSFVAKESNVSASKEVEECSVPVETRPDNDIATYHKELDAYAASILETMVPYIPEVQEDEDDIPLFSEDFYIGHTNLWS >Solyc08g083035.1.1 pep chromosome:SL3.0:8:65742671:65744998:-1 gene:Solyc08g083035.1 transcript:Solyc08g083035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLTSTAALLLSTSPPSLRSSLLPSLRPLLYRARLRNKLNLRRLGNGTCRAEFANDVPYAAAIGACILSSWVFPTTYTEEDDGESTIDSADARFAVMAIISFIPYFNWMSWVFAWLDTGKPRYAVYALVYLAPYLSNNLSLSPEDSFLPIASILLCIFHIQLEAFQKDGNFQVLDKFTETGTSIAGKKDVRMSEKEETYDLKKLSSADEKRSWEISRRPENREHLNEDGEDPTSRKH >Solyc05g009545.1.1 pep chromosome:SL3.0:5:3756329:3759038:1 gene:Solyc05g009545.1 transcript:Solyc05g009545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQLTGKSKCKSTPQFKKFSSPINPPKAEAQSSDAKLRLSLNTLNPAYVDSLQVSAFDESLSKAKK >Solyc06g064470.3.1 pep chromosome:SL3.0:6:40263276:40270922:-1 gene:Solyc06g064470.3 transcript:Solyc06g064470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKWLTLVLTLMVIFEGNLIMANLMEVKCIKHCMKDCKKVGIPSVSCLKFCPLQCVPPPPVLSSELRHCDARCILDRCLDYKNGFVHQIVVADSTGKNGMLSTMAPKKGVAVAAKKKPEKSKVVNPLFEKRPKQFGIGGALPPKKDVTRNVRWPRNVTLQRKKRILKMRLKVPPALNQFTKTLDKNLATTLFKMLLKYRPEDKAAKKERLVKRAQAEAEGKTPETKKPIIVKYGLKHITYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASALCLTTVKNEDKMEFSRVLEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAKERVLAKEAAQRLN >Solyc01g111990.3.1 pep chromosome:SL3.0:1:98036950:98045908:1 gene:Solyc01g111990.3 transcript:Solyc01g111990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable alanine--tRNA ligase, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4B461] MTSLNFPKTGHGRDSILLFPTSCFQSKPFLPSSKGYRYSSGLIVRTQTLIYASSLSNGRTKIKGPREIQFVAKAQPVAVELVEGKLRDPQTSGDAIRQRFLDFYAARGHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGKVPREVPSAATSQKCIRTNDIENVGRTSRHQTFFEMLGNFSFGDYFKKEAIKWAWELSTSEYGLPADRLWISVYEDDDETFALWHDELGIPKERIKRLGEDDNFWTSGVTGPCGPCSELYYDFHPERGTSDVDLGDDTRFIEFYNLVFMQYNKKDDGSLEPLKQKNIDTGLGLERMARILQKVPNNYETDLIFPILEKAAELANVSYALAGDSTKTKLKIIGDHMRAVVYLISDGVNPSNIGRGYVVRRLIRRVVRTGRLLGVKGDGMGDLQGAFLPILAKKVIELSTNIDADVKTRSSRILEELRREELRFVLTLERGEKLLEQMLADALLNIQGTETAPCLSGKDAFILYDTYGFPVEITKEVAEERGISIDMNSFDIEMEKQRQLSQAAHDTVKLAVENGANHAEDIPDTEFLGYNTLHSKAVVEGLLVNGSPVTQVSKGGEVEILLNRTPFYAESGGQIGDNGFLYMMEAENGQKAIVEIKDVQKSMGNIFVHKGTITEGTIEVGREVEAAVDANLRQRAKVHHTATHLLQSALKRVIGQETSQAGSMVAFDRLRFDFNFHRSLQDKELEEIEGLINQWIGDGTILETKVMSLTDAKGAGAVAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTAEIRGFKIISEQGIASGIRRIEAVAGDAFIEYVLTRDNYMKQLCSTLKVKAEEVTGRVDGLLEELRLTRNEVSAARAKAAIYKASTLASRAFTIGTSKSIRLLVEFMDDIDADSLKSAAEYLVDSLKDPAAVVLGSCPGEGKVSLVVALTPGVVNLGIKAGEVIKPLAKSCGGGGGGRPNFAQAGGRKPENLLGALEEAREQLKNLLEK >Solyc08g061935.1.1 pep chromosome:SL3.0:8:50149864:50151864:1 gene:Solyc08g061935.1 transcript:Solyc08g061935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQHLKGTDEISVSHEIADLHSSIQQLVASMATLVQPTRVHTVDLNRYRERRRGVEALTNETTPIRKWLVDFWISGLRPKIKSPVVAHEPTKLDQAMSLYLVHEKRLSSKKWSNQPAFARTNLIQPNPTSMHHSSKNSSSTLVRAAPPRVPPNRIPFKRLSPVKLQSHRERGLCYNCDEKFSPSHKRKALPQLLLLTEDSESRAKWPESFESDGVLAEDLQLLEVQAHSSISYESLSVGSGQNLCCDGVVRGVPLDIQGYDLPMDLYVLSLHGADVILDVSWLQTLEPVVTNYATRIFELSLNGSPVRWVGNSPTEMQPVQLHSLRCLATTDSIASCCCLTKHPGLPPMRFQDHAIHITPGTAPSFECHYLRDRFPIPTIDELFDEHHGANYFSKLDLLSRYHQIRVKPDDITKKLFKLIRGTMSSW >Solyc07g055750.3.1.1 pep chromosome:SL3.0:7:63809340:63810431:-1 gene:Solyc07g055750.3 transcript:Solyc07g055750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDSNILLLFIATVALFSSVNLAFDDSENALKSQNVLSKSEIIQLNGAIGPESVAFDPNGEGPYIGVADGRILKFQGSHWADFAVTSSQRESCTLPFAPEMEHICGRPLGLRFDTKTGELYIADAYFGLQVVGPKGGLATPLVQILEGEPLVFTNDVDIDDQDDVIYFTDTSTRYQRRQFVDSLLSRDATGRLMKYTKSTKTTEILIRGLAFANGVSLSKDRSFVLVAETSNFRILRYWLKGPLEGTHDTFAELPGFPDNIRMNSKGEFWVAIQAIRSQSSFSNSEMGNELLKLRFIAQKFNNLLRGGLLHATAIKLSEDGRVLEVLEDVEGKTLRSISEVHEIYDKLMFGSVIMPFLGVYEL >Solyc11g007560.2.1 pep chromosome:SL3.0:11:1805320:1807470:1 gene:Solyc11g007560.2 transcript:Solyc11g007560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKNNNDYKGKQLTQIEKWIRKHKLEYSAATRHPFIYSIHDGSIDFSCYKKWLEREYLFVKTALVPFAASVLVKAWKESIDSHDVEVILALLAYMNDEVSWLKQEAPKWHISLTSVVVDDKPLLDYFSFFERLTSPEVKYTEAITILWAVESVYYNGFKHCLEGGNNTPNEMKEGCKIWGNDNFKQYYESVENIANRTLGEALDEDVSKIEVLILEFLDNVVHFWNMNLGGT >Solyc11g008590.2.1 pep chromosome:SL3.0:11:2778580:2786464:1 gene:Solyc11g008590.2 transcript:Solyc11g008590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGAMPEIGGFRQKSRRSFVTLILTVLTSSQSILIVWSKRAGKYEYSVTTANFLVEALKCALSLVALLRIWRTDGVTDDNRLSTTWDEVKVYPIPAALYLVKNLLQYYIFAYVDAPGYQILKNLNIISTGILYQIILKRKLTEIQWAAFILLCAGCTTAQLNPSSDHVLQAPLQGWVMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMIFNAFAIMTQDFDAVMNDGFFHGYSLITVLMILNHALSGIAVSMVMKYADNIVKVYSTSVAMLLTAVVSVFLFGFHLSLAFFLGSTVVSVAIYLHSTSKARR >Solyc08g023240.1.1 pep chromosome:SL3.0:8:29924765:29925337:-1 gene:Solyc08g023240.1 transcript:Solyc08g023240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNHFVVVYLSVIILDNSVIF >Solyc03g095377.1.1 pep chromosome:SL3.0:3:57878167:57882200:1 gene:Solyc03g095377.1 transcript:Solyc03g095377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVVNVKDFVDNVAFSYLTLMAKATSHFHFHLLLMIGFVFCELRNGPRELPSAHSRRRNGVELAADSFIQPLSRKDRHYGPVGLENFWKSTQ >Solyc03g077910.3.1 pep chromosome:SL3.0:3:49948590:49953323:-1 gene:Solyc03g077910.3 transcript:Solyc03g077910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYNKQMRLFTIFCSKMKKLNLKYPAITERKWIFPLAIASPLFLFIFFITTLISPNATTPPSSASIFVESKLQFLPISKLSSPPRFAYLVSGSAGDGAMLKRTLQALYHPNNQYVVHLDADSSPQERLHLHKFVVNNPIFRKFNNVRMITKANLVTYRGPTMVANTLHAAAILLKQGGQWDWFINLSASDYPLVTQDGFNLNIEFSDLIHAFSDLPRDLNFIDHTSDIGWKEFQRAKPIIIDPGLYMNKKSDVFWITQRRRSAWMALSRPFIDFCIWGWDNLPRTVLMYYANFISTPEGYFHTVVCNAQEFRNTTVNSDLHFISWDNPPKQHPHYLTLGDMQKMVDSNAPFARKFHQDDPVLDKIDNELLFRGQGMFVPGGWCTGSLENGTDPCSVVGNATVLRPTAGAKRVKTLTGSLLSNDSFRPRQCI >Solyc11g068680.2.1 pep chromosome:SL3.0:11:53610413:53617232:-1 gene:Solyc11g068680.2 transcript:Solyc11g068680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLCIPNHSHFISPLSSVEFSPPPPQRRRARPRFTTVLSVLKTSRPVSEVTVDDALRDFLRDRELKGDVISRISDRIWLRNVAGFDSAEAGSGTSEATQSEEVSGEDNEGGFLKLKSTKEWLSGDATAPVNKKRTFKEIQDDRERTKRLNFLQYEALKRELLFLTVGIGTACTGYCLVVLSVQAALSYATGVVFSCLYYQLLCQHADNLSQETVPDIFTKKKLKKIGIRSEDLQDFFEKSVKGSSIALSSPRLVIPAAIYGLWELSQHFAHDLFDFQLVPAMVGLFVYKAACLVQVYRDNEDLQFLFPGNEEGSGD >Solyc08g083060.3.1 pep chromosome:SL3.0:8:65762070:65766199:1 gene:Solyc08g083060.3 transcript:Solyc08g083060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSNRELEEVAMDEDEDLSESAMRIQPWTKQITLRGIIASTIIGSIYSVIQMKMNLTTGINPNLNVSAALLAYVFIQAWTKIIKKLGFVSVPFTRQENTMIQTCSVACYSIALGGGLGSYLLGMDKKTYELAGVGTVGNTSDSYKKLEIGWMIGYLLVVCFIGLFVLVPLRKVLIVDYKLTFPTGMATAVLINGFHGKNDKKARKQVKGFLKFFSYSFSWAFFQWFYTGKQDCGFQQFPTFGLKAWKQTFYFDFSLTYVGTGMICPHIVNISLLLGAILSWGVMWPLIAKLKGEWFPADISESSMKSLNGYKVFISIALLLGDGLYNFAKILYFTLSSVHERFKRKNLSPDISAAGVRQEKKSEDVKYDEAFVRERIPMWIGGVGYLALGTIAVIMIPLIFHEIRWYWVILAYLFAPSLAFCNAYGSGLTDINMAYNYGKVGLFMMAALAGKEHGVIAGLAGCGLIKSVVNISCILMQDFKTGHLTLTSPKTMFLSQAIGTALGCVIGPLCFFLFYNAFDIGNPNGEFKAPYALIYRNMAILSVQGVSALPQHCLQLCYGFFAFAVAINLVKDLSPEKIGKWMPLPMAMAVPFLIGGYFGIDMCIGSLVVFVWHKLNSKKAKVMVPAVASGLICGEGLWILPSSILALARVAPPICMKFLAS >Solyc09g005230.3.1 pep chromosome:SL3.0:9:174850:180267:-1 gene:Solyc09g005230.3 transcript:Solyc09g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLQFNNPISTFSCSNLYNGNRTQPFKFLCTSSPQSDSSNSKEEAIQDSSWENDKGYVVLVEKYRNGTLKRYVIDNDSEMKMFLEEHVPTTSRSQDLDISGMELSWLPKVIKDFVLPSGFPDTVSDDYLDYMLLQFPTNVTGWICHTLVTSSLLKAVGVGSFSGTSAAASAAAIRWVSKDGIGALGRFFIGGRFGNLFDDDPKQWRMYADFIGSAGSIFDLCTPLYPSYFLPLASLGNLAKAVARGLKDPSFRVIQNHFAIAGNLGDVAAKEEVWEVAAELLGLSLGILALDTPGISKSYPTLALTWLSVRILHLWFRYQSLSVLQFNTINLKRARILVNSHVLHCTVPGINECNRMESILLWERFLRPRIIFEISLEEMINAGKYRSKQIKKLIHMYKEENYFLVVNEQKAADFQVFVSFKEGASSLSVFRSIWQTYWLYQNWGWSDNIFDRLEQSLVELRDRFPDLLQQLSDAGWDTNNLSLKVPKEMSINELS >Solyc10g005160.1.1.1 pep chromosome:SL3.0:10:133858:134931:-1 gene:Solyc10g005160.1 transcript:Solyc10g005160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLGHEVETGFSKETNTSYNMFLLVINYMLLFIGSISSSLVSKFYFNHKGDSRWVSTWVQSAGFPLLLLPIYLPFYVFKSTNRKPFTKFTPKMLLLSIVIGFFLGLNNLLISWGNSYLPVSTNSLVLSSQLVFTLITSVIIVKQKITFANLNCVILLTLSSVLLALGSSHDKPNGLTRRNYFIGFFSTIGAGLMFSLYLPLMEKVYGHVYCYSMVVEMQMVMELTATILATLGMIIDSGFYEMKKEAKNVFDLGEKAYWLTVMVNVVTWQFCFMGTAGMVFLTSSLTGGVCMTALMAVNVLGGVIVFKDNFGGIKVVSTLLCIWGFSSYLYGMYNMKKKEEKKEDSIGIEEQQFVA >Solyc08g062000.2.1 pep chromosome:SL3.0:8:50363301:50364767:-1 gene:Solyc08g062000.2 transcript:Solyc08g062000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding INVKAAMYPHQRGGFEFMWKHIMVDITFHNLNIKYFFLKKDDGTVGVFHCLSGAAKKSTPCTDGVAEILAKSKSLLGIRYDLFRILTGEDGKGYKKALREILLKFPRLLVYEEGQTSRNENNLVWKALRKVEIEKRILLSQTPFQNNINEIHNTLCVVSPNIAVYLKQKWASLSNSIDKNARAFENLRDIRSPFVHKCSENIKKKELLKRVPENPGSFYEQNLMSLISVHPSLVENMKELSELESQLKERRCRLDTNIGVKMKFVIELIRICSGWKERVIIFSREILYIDGKHDVNQRQISINFVNDPKSDFKVFLASTKACSEGISLIGSSRVVLLDVLWNPSIEQQAISRVYRSGQTKFVHV >Solyc01g097290.3.1 pep chromosome:SL3.0:1:88071879:88074392:1 gene:Solyc01g097290.3 transcript:Solyc01g097290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVLGAECDKIRLDYEAETELRLGLPGANGNELESSNKNNGKRVFSETVDLKLNLSNSKDSTLMDNININQVDNMKEKKNNIVVPSSNDPAKPPANVDGAPYLRKVDLKMYKSYQQLSDALGKMFSSFTIGNCGTQGFKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVAPRAVEKCKNRS >Solyc06g016680.1.1.1 pep chromosome:SL3.0:6:12571894:12572331:1 gene:Solyc06g016680.1 transcript:Solyc06g016680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITCHDRHHATVYDVQGRRRNATPVEFELVYVAQGQLWLAMPDVYRPSVLSTGNDSIPCSMLFDRVCCLQAKIACHARRRPTNYVVQGQRCRITTDFIRPRVFPNSDDGVPHKTSSDRVCCPREMWACHANVSRSCVLSKGDDNI >Solyc01g101160.2.1.1 pep chromosome:SL3.0:1:90879102:90880606:1 gene:Solyc01g101160.2 transcript:Solyc01g101160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQNFPNSSTVAPLPVPTTCGNCNVEERWFLHNVRHRGLYRRLCTNCVLRLHIQSFCPTCLLVYNPTPPPNAASNGLVSCSKCYSYSHNSCVGVNPPHPYHCPLCVNPNTPLFSLKKGREVGLGNEESRVIDMKAAKVLLAAAKIAAGTVTKAALAARVEAERRAKEAAFTRKRAREAIDHVAYLTARDKLKKKDILQYPSNGPGLGYGNGSNRGSNQCLSMVVAALPLEEENVMNAERLDGSSEVLVALNSVDLKGQNPTLGLPGENNGSAMDVEMNGVAMVTPSPAFRGGLMQNHNGAIGVEHEKSGELVRENGQREMINHGMVAEKDQDPRMVNSSARKGNGSLPQL >Solyc03g007150.3.1 pep chromosome:SL3.0:3:1735324:1741193:1 gene:Solyc03g007150.3 transcript:Solyc03g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKEGVNGSGVTVKGDEAPESYRVAPRSESNNIEFSGSIVATTPVNVTEETKKKRGRPRKYGPDGKPAVALSPMPISASIPLAGDFSAWKNSGSRPVDSFKKKNKFEVGAPGEGMAYSVGASANFTPHVITVNAGEDVNMKIISFAQQGSRAICVLAANGAISNVTLRQPNSSGGTLTYEGHFEILSLTGSFMPSDNGVTKSRSGGMSVSLSGPDGRVMGGGLAGMLVAAGPIQIVVGSFLPGQQLEQKPKKQRVERAAIPAPPISEERTDVASSGTSPRVAASISFPGDNLITSNSIYSSRISASQNNISLPGTVSKEQSLSS >Solyc10g085715.1.1 pep chromosome:SL3.0:10:64925607:64929581:-1 gene:Solyc10g085715.1 transcript:Solyc10g085715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENAAAYHTALNAAQALGRGFDVNYDTRLLYCKGVAGSRVVEIDEDHTRDLSLYDNLVLPNVSRDINNFQESGGRDGSSACNYNEMLEYFNRKANIPGNAPLGSFNVAFSFTGAKHFDATTTKTLCMDGFFIPLARLQLMNSSLVLQESVKRAVPASWDPPALASFIENFGTHIITSVTIGGKDVIYVKQHLSSPLSTMEIKSYVHDIGNQRFSSTENLTSSGLLKYKDKASSIIFFVFKLYNLFLFVCYFYKNRCFRRFLK >Solyc03g111597.1.1 pep chromosome:SL3.0:3:63724397:63726537:-1 gene:Solyc03g111597.1 transcript:Solyc03g111597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPALGALGDSHNDEAFFLKEKQPMYSRPTDSGSKHEPDGRVILAEFETFRILNTYVPNNGWKEEESSFPRRRKWDKRMLEFVLATSDKPLIWCGDLNVSHEDIDVSHPEFFSAAKLNGYTPPNKENKCFWGLEGHSNLIGSERAERTALTCQEFAYSRVSCHSEQG >Solyc11g072710.2.1 pep chromosome:SL3.0:11:56202904:56217853:1 gene:Solyc11g072710.2 transcript:Solyc11g072710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEENKQSPLIPPLPRDPRGSLEVFNPSTYSSRSTNPVFRSQPSWKNWTAADPITSSTIPETEEKTEQIAIPKSSNENEQIATSWMAIAPASTKLASPITQKSITGGEKVNSKAAVDEVGAAAQRAAEWGLVLKTDDETGKLQGVKVRTSGDDTNGKTETSRRDSGNSGRSSGEFSDDGAGKERGIPRVSEDLRDALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFMQGSGTDPEDVATIREALQSGSTYCGRLLNYKKDGTPFWNLLTIAPIKDDAGKVLKFIGMQVEVSKHTEGSKEKTVRPNGLPESLIRYDVRQKEMASNSVNELLEEIKNPRRARALSESTNNRPTFMRKSEGDQVEQDKQDTHKLNLVNKAPARRHSHAGTRTTTMKMEKINEVPEKKPKKSARLSFMGFLQGPETDPATVKKIRQAIDNQTDVTVQLINYTKTGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSQHVEPLQNSIPEDKATESAKLIKETAGNVDEAVRELPDANSILESGEPIGLKHFKPIKPLGSGDTGSVHLVELCGTDQHFAMKAMDKSIMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYYPGGELFMLLDRQQTKVLKEDAARFYAAEVVVALEYLHCQGIIYRDLKPENVLLQSGGHVSLTDFDLSCLTSCKPQLLVPEINEKKKHQKGQHNPIFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFSNILHKDLKFPGSIQSSLHAKQLMYRLLHRDPKNRLGSREGANEIKQHPFFRDPTQFSFSVSAIALSLELRAFHLHQAQILTPLMASPSNNKSKNQLVSTMIKQGFISNPYLSPSPSPSPPRTSNPPSPIQIPTRPSNSSPTLFEMMSEEQTRESKHSLESRYRTQERVSRVLADAPFPAVGDVRLTIGARDGFKVSMDVHRRVLVGRSRFFAEKLQRNGSHSVEILDCDDVEVYVETLVLMYCDDLKKRLMGENVNKVLGLLKISSAIMFDDGVLACLEYLEAVPWSQQEEEKVVSHLSQLQLPDSAAGILQRLVAEPSTSSRSDDILQRLLTGVLHAKDDKARKEMKKVISRLLRENTSNSSSHESNLDISRDTLYHFCHRCLTSLILSLSEATSVDDNRQQDRGVLMGEIAREADNLQWLVDILIDRKMGDEFVKLWAEQKELSILHSKIPTMYRHEISKITAQLCIAIGRGNLLVPKDVRYCLLSTWLESLYDDFGWMKRAGRSIDKKLVEEGLGQTILTLPLAQQQTILLNWFDRFLNKGDDCPNIQRAFEVWWRRSFVKQYTVDSQLQLAIFDYTE >Solyc08g062100.2.1 pep chromosome:SL3.0:8:50465277:50474393:1 gene:Solyc08g062100.2 transcript:Solyc08g062100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDDKKQQFQPSNHSRHLSALPFQFPHTLLLPLCPHACSKFTADSLLISSSAASFFGATATPFFGAIFMEERLGGGWRSEKGRKKEEGGWKREEGVREGDGERKRNGTTGDGGGREKSKREGERMAGGCLEEGEGAAGEEGTKGKGLLGEQGTKERMEEIEERRRGRVFF >Solyc11g018805.1.1 pep chromosome:SL3.0:11:9627579:9629024:-1 gene:Solyc11g018805.1 transcript:Solyc11g018805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFIAIFSLLLLSTMQCHAQLSSTFYDRTCPNALTTIRTSIRQAISRERRMAASLIRLHFHDCFVQGCDASLLLDETPTIVSEKTALPNLGSVRGFGVIEDAKREVEKICPGVVSCADILAVAARDASSLVGGPSWTVKLGRRDSTTASHTVAETDLPGPFDPLSRLISGFANKGLSTRDMVALSGSHSIGQAQCFLFRDRIYSNGTDIDAGFASTRRRQCPQEDQNGNLAPLDLVTPNQLDNNYFKNLRQRKGLLQSDQVLLSGGSTDDIVLEYSNSPRAFASDFAAAMIKMGDISPLTGQNGIIRTVCGAIN >Solyc06g008480.2.1 pep chromosome:SL3.0:6:2368192:2375948:-1 gene:Solyc06g008480.2 transcript:Solyc06g008480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERVGHFLWEDQTDEDSRLSELDEDDQNDRDSRLFKLLLKIVPTELEEEIELVRQDLEFIRSFFVDAEQGLYKDIWARVLDVAYEAKDVIDSIIVRDNGLLHLIFSLPITIKKIKLIKEEISALDENIPKDRGLIVVNSPKKPVERKSLTTDKIIVGFEEETNLILRKLTSGPADLDVISITGMPGSVTGNG >Solyc10g045580.1.1.1 pep chromosome:SL3.0:10:34550183:34550335:1 gene:Solyc10g045580.1 transcript:Solyc10g045580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKEIVVASVLAFAAGGAFKMWHWDMQKRTKNFYYQLDRGETTVVAQDY >Solyc02g065170.3.1 pep chromosome:SL3.0:2:36900128:36904587:1 gene:Solyc02g065170.3 transcript:Solyc02g065170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLALFHLLCGILIFWAVSVVKAEDPYKYFTWTATYGMASPLGTPQQVILINGQFPGPRLDLVTNDNIVLNLINKLDEPLLLTWNGIKQRKNSWQDGVLGTNCPIPPNRNYIYKFQPKDQIGSYTYFPSTQLHRAAGGFGVLNVYARSVIPVPYAKPAGDFSLLIGDWYKTSHKVLRQILDSGKSLPFPDGLLINGQKQSTFTGDQGKTYMFRISNVGLKTTINFRIQGHKMKLVEVEGSHVIQNLYDSFDVHVGQSLSILVTLDQSPKDYYIVASTRFTTTVLTSTSVLHYTNSQTPVSGPVPAAPVNQFQWSLMQARTIRWNLTSNAARPNPQGSFHYGKITPSRTILLANSAPLINGKQRYAVNGISYINPDTPLKLADHFNIPGVFTLDSIRSAPTADSPHLATAVLPSSLHEFLEIVFQNNEDTMQSWHLDGYDFWVVGFGSGTWTQASRKGYNLVDALTRHTTQVYPKSWTAIYVSLDNQGMWNLRSAMWDRQYLGQQVYLRVYNPTASLANEYDIPTNALLCGKAAALRHP >Solyc03g116360.2.1 pep chromosome:SL3.0:3:67314193:67326037:1 gene:Solyc03g116360.2 transcript:Solyc03g116360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVQRNSLGERNVEQAITALKRGSYLLKYGRRGKPKFCPFRLSTDETRLIWYVEKEEKQLQLSQVSRIIPGQRTANFLRFPRPEKEYQSFSLLYGKSSLDLICKDKEEAEVWFVALRALTSRVDCQKWTSDIRYDIAYSDGSTSATQRRSHSALSSSSGSSSTPYEDPKKNLLGSVPSQSPPRKRLERAFSDYLLYNSAAKCSSHREFATSSFNSRSYGNLDDEIGQSSTDTIRSSFSSAISSSSHGSFANADTLCDILIWGEGIGDGLLGGGMCGLGKFETARRDAPLPRTLESALILDAQYVACGSRHAVLITKQGEIFSWGEGLSGRLGHGVESDISSPKLIDSLCGLNVTSAACGDYHTCATTITGDLYTWGEGTFNFGLLGHDTGISHWIPKKVRGPLVGKHVSYVSCGPWHSAVITSVGQLFTFGDGTFGALGHGDRSSIGIPREVETLQGLRTVRVACGHWHTAAVVELSFDDSSSCNSPPWKLFTWGNGDDGQLGHEDNASRHTPCKVVQLDGINFSRVACGHSITVALTTLGQVYTMGKVDYGQLGIPGSTGKFPSRVQGKITDCFIEEIACGSFHVVSLSSNSELYTWGKGGNGQLGHGDNHDRNTPTLVEALKAKKVKDVVCGNNFTAAICLHREVSLADNSICAGCQSPFNLRRKRHNCYNCGLVFCTVCTSKRSVRASLAPKMNKPYRVCEDCFTKLNKGLDIGLTCLPPKVTTGSLQKNTGERRKETSPSKQKGLLSRLSSFNSFRSDDKRFRKNQKQDSNSENVSPIPNGNTQSEVSQTSSQLLSFSSCPEILSVSFVGSTSHSQAGSPASFESCSSNSVLLRSAFAAQANHEVDLDDSKQTSESLKKEISILKEQVDILTQRSLFLEAELEKKSTQLQEKSEEAITETDKNNAAKEVIKSLMTQVKGNTARAPQDGSAENLIHSTMTVP >Solyc12g005400.2.1 pep chromosome:SL3.0:12:236026:241961:-1 gene:Solyc12g005400.2 transcript:Solyc12g005400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EKEDDNSNDYNICSSRSRGGGLTDFFSWKVIDPRAPWVQEWNRVFLLVCATGLFVDPLFFYSLSISETCMCLFIDGWFAVTVTVLRCMTDAMHLWNMWIRFKMHKLRPYNEKMDENQITSSSRGPRLHQDQSFRCFVALRYLKSKKGFFLDLFVILPLPQIVMWVGIPGLLEKGYTTTVMTVLLIMFLFQYLPKIYHSVCLLRRMQNLSGYIFGTVWWGIALNLIAYFVASHAVGACWYLLGIQRAAKCLKQQCRVTNGCSLRMLACEEKIFYGTSSLVKHRSRVIWGESKIARSTCLASEHNFDYGVYKWTVQLVTNENRFEKILFPIFWGLMTLSTFGNLESTTDWLEDVFIIIVLTTGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNVEWWMRRRRLPQGYKQRVRNYERHRFAATRGVDEYEMISNLPEGLRRDIKYHLCLDLVRQVPLFQHMDNLVLENICDRVKSLIFTKGETITREGDPVQRMLFIVRGHLQSSQELRDGVKSCCMLGPGNFSGDELLSWCLRKPFVERLPPSSSSLVTLETTEAFGLEADDVKYVTQHFRYTFVNEKVKRSARYYSPGWRTWAAVAIQLAWRRYRHRLTLTSLSFIRPRRPLSRSSSLTEDRLRLYTALLTSPKPNQDDFDF >Solyc09g010510.3.1 pep chromosome:SL3.0:9:3883219:3888061:-1 gene:Solyc09g010510.3 transcript:Solyc09g010510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSITGKPGPSGFGSASTAEQVTHGIDGSNLTAIVTGGASGIGLETSRVLAMRNVHVIIAARNMEVANEAKQSILKNNKNARIDVEKLDLSSIRSVKSFADNFKALDLPLNILM >Solyc06g075780.2.1 pep chromosome:SL3.0:6:47233894:47237689:1 gene:Solyc06g075780.2 transcript:Solyc06g075780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKRRREEEIVTVETFAMANCVSILEKNSSLSRRFFECKTCKKQFESFQALGGHRASHKKPKLLVLPSDSIKSNAKKHECSYCGEEFVLGQALGGHMRKHRDKTKEKNTYKSPEKKFCLDLNLTPYENDQLTEDKGEVEAQAMANCLMLLSSIDRDRRNSFECKTCKRRFSSFQALGGHRTSHKSTIDIGKFLHGKISKKRKVHECLICGMEFSMGQALGGHMRRHRAPVNEIEHSAKRIPVLKKSNSNERIFCVDLNLTPDENDDFHFWPMPPVPPFLSS >Solyc03g120840.2.1.1 pep chromosome:SL3.0:3:70575499:70576152:1 gene:Solyc03g120840.2 transcript:Solyc03g120840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKSVFDSSNKLPEEKKRRTKGTKKQKSINNNSESRHQIYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAAVSIKGNSAILNFPHLIDSLPRPLSKSPRDIQAAAALAASMRDPPSSSSSVSSSITTTISAGSEELCEIIELPNLEESDDSKTDLTLSESVEGLLYSPWWADHSTDFCGYFLEQSAAGAGESLISCSFETLKWAC >Solyc05g051420.2.1 pep chromosome:SL3.0:5:62571593:62574133:-1 gene:Solyc05g051420.2 transcript:Solyc05g051420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKYKECLKNHAAGIGGHAVDGCGEFMPSGDIFKCAVCNCHRNFHRKDTVHHHHPCGYFPHIMPRRRSLVLPSTSRGGGFREDQELLEMCNPNKNIIGTLLKKRFRTKFSQEHKDKMLRLAEKLGWKLQRHDEGVVQQLCNEIGIKRHVFKVWLHNNKHTLGKKTNP >Solyc06g033870.1.1 pep chromosome:SL3.0:6:23417768:23419168:-1 gene:Solyc06g033870.1 transcript:Solyc06g033870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVFQLQHGKNMGYVKKGAWSPQEDKKLIDHIAKYRIWNWSQMPKFAGLSRTGKSCRLRWINYLRPDIKRGTFSLEETQTIIKMYQSLGNRRSAIAKELPGRTDNEIKNFYHTHLKKHVGTKVEVKPKSRKKAKQIEMSTQKKPLITTNCPNIQSFDFTNSSSSSSYIIFDENYDFLETYNQENDVTSIVNQVDDENIVILESNPESISTSSVDLYIQDFMDVSIDSSNVDFWLELYMAADNLNT >Solyc02g077460.2.1.1 pep chromosome:SL3.0:2:42961383:42962305:-1 gene:Solyc02g077460.2 transcript:Solyc02g077460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLEVWKMGTVNYLEALKLQEKLATDRKALKITDTLLSLQHPPTYTVGKRETVHNLLIPDSELKSMGAELHYTQRGGDITFHGPQQAILYPIVSLRDIGLGARMYVEKLELTMIELASMYGVKAQAGQKCETGVWVEDRKIGAIGVRISSGITSHGLAFNMDPDLNYFKHIVPCGIVNKGVTSLKNETDVELPAEDVIQEQLIACFVRIFGYNDVVLKDKSVL >Solyc01g058003.1.1 pep chromosome:SL3.0:1:64692089:64695334:1 gene:Solyc01g058003.1 transcript:Solyc01g058003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPPLNEEVQYITNNCVLQETFEISNLEEQNAEGIEDSLEDIESNMNAVVSFVPQLGEQELREPYIGMEFQSLDTGFKFYLDYAHRNGFSVRKNRISRSRKDKSIIGQEFVCSKEGFRSKKCLESNKQRDETRERCKVMIYMSKKEEEKWVIARLVLNHNHELASPNSQKFLRSKRKKSEAQKNLIDLLNNSGIRPSKIASVLTTQAGGIENLNITGRDIQNYLSTKRQNCLEKGDAQLMLKYFQKRQSDSPGFFYAIQMDVEGHLANCFWVDARSRIAYKNFGDVVLFDPTYLTNKYKILFGCSLLWDETEETFQWLLHTWQEAMFGISPRTIITDQDAAITNAVAKVFPNSAHHFCMWHIEKKIPEYLSHVFHAFDDFKNKFSKCLHCTTTPEEFEIAWIDIMKMYNLEEHIWLRKIYIVREKWIPAYVRTTFCVGMSTTQRSESMNKYFKDYLNSSTPMSVFVTQYDKAVDARYDKVREKDYKTKHSKAILKTLYPMEDEAAKIYTRKIFQKFQEELIQSQKFISEKIEVQDGIHIYKVHLFQRETPIYIVRLNLELKNATCSCHKFEFMGILCRHVLMIFIKKQIHSLPPCYLLDRWTRYATTEKANDISSAGSLAYNLKSSTIWFNNIMTHSLGLSERATRSEKHYKFTYQNLLQLSKELDELPYEDNDNVCDDQVNESNNDLNSSEQREKFSLLDPPCVATKGRPRSLRMKSGLESSQKVKRSSSLKSKRETKIRKKAHISIICLIFSSHINVEKEFNSRETIINADPTMVRFYFDKLSYSEPFEGQSGRVDSYPTSFMVGLFYLLFQDLMRATSYPYQRGF >Solyc02g093050.3.1 pep chromosome:SL3.0:2:54634844:54636846:1 gene:Solyc02g093050.3 transcript:Solyc02g093050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVELMMDYRSTRNNNNTNCINFVAKLEEKSVVQEAASGLESVEKLIRLLSQSQSQQIQQQNKSPMEIEMVADAAVTKFKKVISLLDRNRTGHARFRRAPLANNNSPLPSNSSKDFVDTKVYSPTPIQQVPLITYDHFNPLVPKTISFSYSPEMSRTNSFNISSLTGETESKQHSSSNSAFQMTNLSSQVSNSAGKPPLSSSSLKRKCSLSENAVSGKCSGSSGRCHCSKRRKLRLKRVVRVPAISMKLSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPTMLIVTYEGEHNHSLSVAETSSLILESS >Solyc09g014977.1.1 pep chromosome:SL3.0:9:7355597:7358801:-1 gene:Solyc09g014977.1 transcript:Solyc09g014977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNLTLKISGSRYVKSNLHFLEICQVGVYLNQLISNEDHVLAKMAENMKEKFDKHWGDTEKMNKMVFIPCVLDPCHKFITLGFALRKMFGEKGAALEIGVRTYMESLFNEYTKPVDSDKNGQFSSTEVGTSDSRSVDSRSGGEFGNFFEELQKHTSEKGGASSKSELVKYLDEEIEVGKSDFDVLLWWKVNSPRFPIHSEMARDVLSIPVSNVASECAFSTGGRILDSFRISLTPKLVQALVCLQDWLRSEPQPISIEEDLDFLEQLEEDFIMPQLHGSNARSPIWNHYEKLEEKEDGSWTVKCIHCGRVAYYRTIMELPP >Solyc04g016470.3.1 pep chromosome:SL3.0:4:7303620:7305238:1 gene:Solyc04g016470.3 transcript:Solyc04g016470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLSNFNFFTLILYGVLTLVTPDFTGISLLCSNWSLLWKLGNGLPSPADVVSLCNRNNIRRMRIYEPDQLTLQALRGSNIEVMLGVPNTDLENVGASQDNANTWIQNNVKNYDNVKFRYIAVGNEVNPFNENSKYVPVLFNAVRNIQTAISGAGLGDQIKVSTAIETGLTTDTSPPSNGRFKDEVLRFIEPIINFLVTNRAPLLVNLYPYFAVVDNPVIKLEYALFTSPEVVVNDNGRGYKNLFDAILDATYSALEKAGGSSLQIVVSESGWPSAGAGQLTSIDNARTYNNNLIQHVKGGSPKRPSGPIETYIFDLFDEDQKNPEIEKHFRLYSANMQPKYQISFN >Solyc05g041360.2.1 pep chromosome:SL3.0:5:52167167:52168672:-1 gene:Solyc05g041360.2 transcript:Solyc05g041360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVGSRGPGKMLVRRSLITSKKPSYSFSKKKINQRFFFFLYNSYVYECESTFVFLQNQSSHLRSTSFGALLEQIYFYGKIERLVEVFAKDFQVTLWLFKDPFMHHVRYEGKSIPASKRTFPWMNKWKFYLVNFWQCHFSMYF >Solyc01g057380.2.1 pep chromosome:SL3.0:1:60803576:60805231:-1 gene:Solyc01g057380.2 transcript:Solyc01g057380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLQTLTKSKCLNLDKRTIILKM >Solyc08g061037.1.1 pep chromosome:SL3.0:8:46499476:46501730:1 gene:Solyc08g061037.1 transcript:Solyc08g061037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQTTSNDNSNIQKQASKESQNKKSHVHTPLPAHWIRRPGPFNTSPYMTSFGSSAGTSSVQPTIFELKHPFIFDLISGNRDIIMWDAHRSWIREGLLAKHENKRHDQVRYKKGKARISVPLDFGVDIVDNKNWFYNYSKGQLLNDSANVL >Solyc04g014650.3.1 pep chromosome:SL3.0:4:4918502:4921504:1 gene:Solyc04g014650.3 transcript:Solyc04g014650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILEIPACVITNPGKQSTLTEVVIKIVTPLIIASFLIFLLVAIWIMKRHKKGKSKDVEKVLEIGTHQLVSYYEIQRATNNFDESNLIGEGSSGSVYKGALFGGTAVAIKVLDLENEQVCKSDYIRAFVLQFMPNGSLENWLYKEDRHLNLRQRVAVMLDAAMAVEYLHHGHVTPIVHCDLKPANILLDEDMVAHVGDFGISRILAISKSMAYTETLGTPGYIAPEYGSEGIVSASGDVYSYGIMLMEVLTKRRPTDEEICSDNLDLRKWITQSFSGNMMDVMDANLFSEKEQITSKSEMCIASMIELGLDCTKERAESRVTMKEVVKRLCKINNAFLET >Solyc12g088530.2.1 pep chromosome:SL3.0:12:64829211:64836704:1 gene:Solyc12g088530.2 transcript:Solyc12g088530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQENAVRVTRLAKKRAAEAMVQQLQQPNKKRVVLSEIQDLCNVGINQIEDKVFVSEPLRPKCKQIIKRELKTSDDDPQMCTAYASDIYDYLHQMEIEKKRRPLPDYLEKVQKDVSTNMRGVLVDWLVEVTDEYKLLSDTLYLAVSYIDRFLSVNVIPRKKLQLLGVTSMLIAAKYEEIKPPSAEDFAYITDNTFTTKDVINMEASVLQSLKFEMGNPTTKTFLGRFTRIAQEGYENPDLQLEFLGYYLAELSLLDYNCVKFLPSLVAAAVIFLSRFTLQPKSHPWSLALQCCSGYRPVDLKECVLIIHDLQLSRRRSNLSAVRDKYKLHKKRAAEAMVQQLQQPNKKRVVLGEIQDLSNEGIIKSRKTIKRKVKRSVAEKDKESDVDVNLDDDPQMCGAYASDIYDYFHQLEIEKKRRPLPDYLEKVQKDVSANMRGVLVDWLVEVAEEYKLLSDTLYLTVSHIDRFLSVNVIPRQRLQLLGVSSMLIAAKYEEIKPPHVKDFCYITANTFTKKDVVKMEASVLQSLKFEMGSPTTKTFLRRFTRVAQEDVKNPDLQFEFLGYYLAELSLLDYNCVKFLPSLVAAAVIFLSRFTLHPKAHPWSLALQRCSGYRAADLKECVLIVHDLQLSRRGSTLAAVRDKYKLHKFKCVSTLSSPLEIPDSFFEGTV >Solyc08g006790.3.1 pep chromosome:SL3.0:8:1346806:1347987:-1 gene:Solyc08g006790.3 transcript:Solyc08g006790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSRNVFVMAILFFSLLSFTEARDHLVGGKTNSWKIPSSESDSLNRWAEKTRFLVGDSLVWKYDGAKDSVLEVSKKDYVTCNTSSPIAMHNDGNTKIVLEHFGAYYFISGVKGSCEQGQKLVVVTLSKRRYADAPVPSPVEFDGPAIAPTSN >Solyc08g059710.3.1 pep chromosome:SL3.0:8:44853521:44856641:-1 gene:Solyc08g059710.3 transcript:Solyc08g059710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTRKYKVKIRPLKVELEVDDFDKENEESRKVKMMTIEVKWKGESKFGLVQFYKYKKDFTSRRFMKDNCVKWDNDADEFENVCCFTENQSLKKYSAWDVTFNVLYATNFQKMMLIGKVVVNVAELGARRMCFVQEKVPITLNIGKTSISAMLHVNITFGEIKDSVESRQLKWLSKSSSVCERKLDESSTFEAEVPKGIESSSQTQPDLVKKVGWFSWKRRRLSREEALINRSCSFGVESPLNQQNVEKPGPCGAESRDQNEGSRKKPDWEVTELQSRDRQTKLKTDVFFASFDQCSDKAAGESACTALVVVFSHWLQSNRDAMPTRSEFDYLILHGSSEWRKLCQNDTYINDFPNKHFDLETVLHAGIRPIYISHDQSFVGFFSPEKFDSLQGVMSFDQIWDKISSVTADGIEFEPKVYIISWNDHFFILKVEANAYYIIDTLGERLYEGCSNAYILRFDDNSMIYENIVAEEEKSQKNDKEEMIWKGKECCREFIKRFLAAIPLKEVEEQEKKETVSYVSLHHRLQIEFNLSYLL >Solyc04g078170.2.1 pep chromosome:SL3.0:4:63061295:63064403:-1 gene:Solyc04g078170.2 transcript:Solyc04g078170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNISLKKKEKIPIPTMPSLGEKCCYNNHLGCFFCIMKETNSSIRSNGIKNYFKKMHLIDEDQELVLVLSGLWTMAMSQPNDKELPSLGIFECMASLINKGINQKSWLQKDQNIYIPYYASHIIGSYTMNNVEFAIKALDCGVLVPLLDLLRGNMTWVEQRVAIRAIGHLASYEKTFKSVAIYEEEIVKLAMNLASTCLDVVYKEFVGVKDLNMRLKYHCDLLTRGVGGLEMENSKAEEWASQLQCWSLHLLNCFAIKQRCLNIICEQSFLKELSEMWGGLANDSSPGGVGLIRVLCYNKDGRRCIAESKDVIKNLCNLARSSDDWQYMGIDCLLLLLADSGTRYKVLQVASMCLVDLIELRTLGGRSNVGNTITRTLLSDFKQRKVKIKNTGVQQVLEEIWGLKVDRKKREQNMSDEKLEEKRVMVSLMKQQGNNSFWLGKIEEAMMKYSEALELCPLRFRKERIVLYSNRAQCKLLLGEANDAISDTTKALSLSSPTNSHAKSLWRRSQAYDMKGLAKESLMDCIMFVNCCFKMDHTSLSSSSSMKKNMRIPYYAVRMINKQMESTWLFKNAQLKTFVDLSEKTNQPHDDMRATRILLQKKGFIKGLSSISEEPQLIEKGEFSRRNHEIKDREKQKNEFTQSV >Solyc03g096870.3.1 pep chromosome:SL3.0:3:60673137:60675637:-1 gene:Solyc03g096870.3 transcript:Solyc03g096870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSHSASSNKKQSRCPLQEQLLQRKNSRDNLDRFIPNRSAMDFDYAHYMLTEGRKGKENPAVSSPSREAYRKQLAETFNMNRSRILAFKNKPPTPVEAIPNEIASVQQNKTAKPRRYIPQTSERTLDAPDIMDDYYLNLLDWGSSNVLSIALGGTVYLWDASDGATSELVTVDEENGPVTSVKWAPDGRHIAVGLNNSEVQLWDSTANRLLRTLKGGHRSRVGALDWNNHILTTGGMDGQIINNDVRIRNPIVDTYQGHHQEVCGLKWSASGQQLASGGNDNLLHIWDRSTASSNSTTQWLHRLEDHTAAVKALAWCPFQGNLLASGGGGSDRCIKFWNTHTGACLNSIDTGSQVCSLLWNKNERELLSSHGFTQNQLTLWKYPSMVKVAELTGHTSRVLFMAQSPDGCTVASAAGDETLRFWNVFGTPEAAKPAPKANPEPFAHLNRGIR >Solyc06g007390.3.1 pep chromosome:SL3.0:6:1419688:1422011:-1 gene:Solyc06g007390.3 transcript:Solyc06g007390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKRERLDEIIGKKLKIENIDASSKLHMQQIMGRRHIILEPSCTKLNTIIPCAACKLLRRKCAEECPFSPYFSPHEPQKFAAVHKVFGASNVSKLLMEVPESQRADAANSLVYEANVRLRDPVYGCMGAISTLNQQVQSLQVELNATRAEILRYKYREVTNNLIIASTGIGATVAVAELPQAPSTPTHPPRPPPPQESFGLVVPSCSSPPSTQSVYATPSSRANFSVISNNNVPYFD >Solyc04g074740.3.1 pep chromosome:SL3.0:4:60750422:60758339:-1 gene:Solyc04g074740.3 transcript:Solyc04g074740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLAVTFLFMCCVVPSLAKVYTVGDSSGWGLGVDYTTWASGITLNVGDSLVFNYPSGHTVDEVSSSDYSSCTTGNSITSDSSGATTIPLKTAGTHYFICGVMGHCSGGMKLAVTVAAAGGSGGATTPSTGTTTPTTGTTAPKTETTNTTHPSASVTLSPFIPLLISGVVAIFSYFVTI >Solyc02g071730.3.1 pep chromosome:SL3.0:2:41659488:41667297:-1 gene:Solyc02g071730.3 transcript:Solyc02g071730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AG1 description:Floral homeotic protein AGAMOUS [Source:UniProtKB/Swiss-Prot;Acc:Q40168] MDFQSDLTREISPQRKLGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALVVFSNRGRLYEYANNSVKATIERYKKACSDSSNTGSVSEANAQYYQQEASKLRAQIGNLMNQNRNMMGEALAGMKLKELKNLEQRIEKGISKIRSKKNELLFAEIEYMQKREVDLHNNNQYLRAKIAETERAQHQHQQMNLMPGSSSNYHELVPPPQQFDTRNYLQVNGLQTNNHYPRQDQPPIQLV >Solyc01g005160.3.1.1 pep chromosome:SL3.0:1:137971:139251:1 gene:Solyc01g005160.3 transcript:Solyc01g005160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVIPSQFTCPISLDLMKDPVTLSTGITYDRENIEKWINEGGNQTCPITNQELKSYGNGIVDPVLIPNHNIRKMIQQWCVENKEHGIDRIPTPRIPISSSDVSELLAKITNSSKLEMEKSSSCEELVTSVKNLASESDRNKCCFVTNGIGKVLSSAFLELSKGKNAKNASTEEVILSTLTLFLPLDVKSKTILGSISSLRSIAWFLKNGSLSSRRNAVVVLREIMKLEEQEKVEILLNIEGALEGLVKLVKEPICPNTTKASLLTIYYMVNNSSSQSSRSRFVDVGLVEMLIEILVNCDKSICEKALGVLDGILRYEEGVKRASSYALSVPVLVKKLLRVSDLATEFSVSILWKILCKNENNGDCGILVEALQVGAFQKLLVILQVGCSETTKEKASELLKLLNVHRDRAECVDSLDFKSLKRTF >Solyc07g040915.1.1 pep chromosome:SL3.0:7:51289178:51291763:-1 gene:Solyc07g040915.1 transcript:Solyc07g040915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPVPSPSMPKSVASCDAPHAPRRTTRSLHTPAYLQDDKYNLTNLHSSTSVASSSHRQQHSLASLTTNHDIYVDDVILTGTDLEEIESLMSFLHDQFKIKDLGKLLISWGWRYCIGMMSFDFTKEIVSSPFDCTEKLKATDGKLLTDPTLYRKLVGKLNFLTTTKIDISYSVHYLSQFMQTPREPHLKAAYHVLKYLKQYPSLGIFISNTPAFTKSKKQYTISLSSAEAEYRAVRQVAGELSLNSHSMKQHSFPILRKYDKDKCGRVSGDKDKIFFTQQQNMKDYLKDKE >Solyc03g120320.1.1.1 pep chromosome:SL3.0:3:70233128:70234195:-1 gene:Solyc03g120320.1 transcript:Solyc03g120320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIPTLPYDIGLECLIRVPYNNFSSLTSVSRNWKLQIELPEFWRRRKATGSTRQVILMAQARIDPRLKLGSFKYSAFSFYKLTLYEPESGNWAELPPVPGISDGLPMFCQLVGVGLNLVVMGGWNPLSWEPSNAVFVFSFVSATWRRGADMPGCRRSFFGCASDSERTVYVAGGHDEEKNALKSAMAYDVARDMWVPVPDMTSERDECKCSFFEGKFHVIGGYDTSMQGQFGTSAESFDPSTWQWDQVNEHFFESATCPRTCVEGGDGKLYLCRDGDVLALGKSTWQAVAAIPTELRSVAFVTAWRGKILMTGSMGFNEPHNTYVLDLKSYKWTKMDTPVYFSGHVQSGCCLEM >Solyc03g025380.3.1 pep chromosome:SL3.0:3:2839502:2841963:1 gene:Solyc03g025380.3 transcript:Solyc03g025380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BF11] MVSLNRNLILAFLCVLLCFVVSFSNAQLSANFYGTSCPNLQTIVRNAMTQAVNREARLGASILRLFFHDCFVNGCDASILLDDTSTFIGEKNANPNRNSARGYEVIDTIKTQVEAACPNVISCADILALAAREGTVLLGGPSWAVPLGRRDARTASQSAANTQIPAPSSSLATLISMFSAKGLSARDMTALSGSHTIGQARCTTFRNRIYNDTNIDPQFAATRRATCPASGGDANLAPLDIQTPNRFDNDYYQNLVVRRGLLHSDQELFNGGSQDALVRSYSNNGASFRSDFAAAMVKMGNISPLTGTNGEIRTNCRAIN >Solyc12g006895.1.1 pep chromosome:SL3.0:12:1332761:1334519:1 gene:Solyc12g006895.1 transcript:Solyc12g006895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQNIFVGQEFPDVKAFRNAIKEAAIAQHFELRIIKSDLIRYIAKCASEGCPWRIRAIKLPNAPTFTIRSLEGTHTCGKNAQNGHHQASVDWIVNFIEERLRDNINYKPKDILHDIYKQYGITIPYKQAWRAKERGLQAIYGSSEEGYCLLPAYCEQIKTTNPGSHAEVFTAGSDSRFQRFFISFYASLHGFLNGCLPVICLGGIQLKSKYLGTLLSATSFDGDGGVFPLAFGVVDEENDDSWLWFLLELRKALEMSTEKIPPLTFLSDGQKSIADAVKRQFLSCCHAICMQYLSESISRDFKNSRLVQLLWKAAYSTSTRGFKEKMAEIEEVSSDAATWLQQYPPSRWALIHFDGTRYGHFSSNIYEFNKWILEARELPIIQVIKRIHSKLTEEFELRRSRSSTWSSTLSPSAEKRIMDGRTLASTYQVLRSDEVEFEVISAERSDIVNTDFTAASYRESYSKEVHPIPDKLEWSRTRIVRPPKFRRPPGRPEKKRLCVEDLNREKHTVHCSKCNQTGHYKTTCKTEVVQSTQQF >Solyc02g073570.1.1.1 pep chromosome:SL3.0:2:42311474:42312313:1 gene:Solyc02g073570.1 transcript:Solyc02g073570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFNNNVSDKEAQHSCFEEWMELQQQDLLELIHNSDSSSSSSTSNTSASSDFNLKQLIEKSIKHFQEYIDTRRHLACSDVTAYLAPTWCTTLESSMLWISGCRPSSYIRLLYAMSGKEFESHLSNYLQGNRSGHLSGLLTVQLNSVDELQRKTIRQEDQLSNKLASLQEEIADQPFAIIANEVGNPGEICREAEEALDRHAKFLVNVVEEADKLRMVTLKELINILSPLQAVDFLIASKKLHLCVNAWGKKRDHQHGRNRETKQERNTCPTLSGLKN >Solyc04g081550.3.1 pep chromosome:SL3.0:4:65580391:65582905:1 gene:Solyc04g081550.3 transcript:Solyc04g081550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKLLCLFALFLTITQLFIAGVNSAATFTMVNKCQQTIWPGLLSNAGIAPLSTTGFVLQKDESKTISVPTSWGGRFWARTHCTEDSTGKFTCATGDCGSGKLECAGGNAAPPATLAEFTLDGSNGMDFFDVSLVDGYNLPMLVVPQGGSGNNCTSTGCVVDLNGACPSELKVMSLGGENVACKSACEAFRKDEYCCAGAFNNPTTCKPSSYSTLFKKACPSAYSYAYDDKTSTFTCAGANNYLITFCPSPNTSQKSSSSSSGQNQKPEDSNNQNDNDNNNEPSTINNSMVYDGAWDINSASSTTTCMHVFSSPAIAGAVAFLTASFQLHRQLY >Solyc12g006997.1.1 pep chromosome:SL3.0:12:1438340:1439963:1 gene:Solyc12g006997.1 transcript:Solyc12g006997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKINFGKVLDCFSTISSSGSCFCINEYGVHDDDGFEKKPLMNNSLSNQDDEPKLMRLKDVINVGPPTLAFQLKPKIVVLRVSIHCNGCARKVEKHISKMEGVDMYQVDLETKKVVVIGDIIPFQVLESVSKIVKNVELSTWNTPEC >Solyc01g099690.3.1 pep chromosome:SL3.0:1:89733057:89748960:1 gene:Solyc01g099690.3 transcript:Solyc01g099690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCLRLPMASSIPCSSSSSMTLKHRSFNFRCAAYSNSSSNIPMPPFNPKDPFLSKLASVAANNPDALFSRPQNSDMPPFLDIYDSPKLMATPAQVERSVSYNEHRASTPPPDLPSMLLHGRIVYIGMPVCNSSSSDIFCVTLCLLVTSAMFWHNLHKKPNKKCVDEKGHFLVGILVLNGECNVVLCREDSSRSMEGENWLVHTMLLKLLVSAVTELVIAELMYLQYMDPKAPIYLYINSTGTTRDDGETVGMEAEGFAIYDSMMQLQNEIHTVAVGAAIGQACLLLAAGTKGKRFMMPHAKAMIQQPRAPSSGLMQASDVYIRAKEVLVNRDNLVKLLAKHTENSEETVSNVMRRPYYMDSIKAREFGVIDKILWRGQEQEQIIASVDAPEIKLRASPSVPKASVADLLALLGPPQQALAVNSQEARQLRSCFKFLVPFSPTPNRRSLSDHRRSINQQNELIWWPPAPVMELARIAVDSGGDTASVQRTLDPTVIPVPDIEGSKEDRCELTRTPYGRLFINEEINSYLESLFEMIVARGPSVGLNVSLSRFDFFHGHVFLTRDSGRLGILFHAKEYPAYDKEVFPCNMGYCQIGVLVVLDARPTGVIYKDLIPEYVQIARTLYEDDFGDVAFDVNYLNTGGEPPKFQIFIC >Solyc01g008560.3.1 pep chromosome:SL3.0:1:2590640:2598580:-1 gene:Solyc01g008560.3 transcript:Solyc01g008560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKQTPMSPNKHTSNGSASVLPLENAFSESLAMLNSEKAVEELIQQPILHGIDDHLIEFAEALRTVAKALRQAAEGKASAQAEASEWKRKYELERTRNLQLENKAMPSEKHLDENGRVVHLTNKPLLSDGAVEKSDRCCGEHGICSHQVLRDGEHDHDASVIRNKMTRKASFKLSWRCKGEKSDQKKHDIVSFEKGNITTAERSSKQISLKWESPPQTVLILTKPNSTAVRILCSELVRWLKEQKSLNIVVEPRVRTELLTESSYYQFVQTWENDKEIMSLHSKVDLVVTLGGDGTVLWAASMFKGPVPPVVPFSLGSLGFMTPFYSERYKEYLDSILRGPISITLRHRLQCHVIRDSAKSDLDSEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEYVTIRVQVPFNSRGHAWASFDGKDRKKLAPGDALVCSMATWPVPTACQADSTSDFLRSIHEGLHWNLRKTQSFDGPRET >Solyc04g050155.1.1 pep chromosome:SL3.0:4:45644598:45645489:-1 gene:Solyc04g050155.1 transcript:Solyc04g050155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VEREGLIQWMEVLLSSIVFQKMLALAFLKKICPQIKFL >Solyc10g061860.1.1 pep chromosome:SL3.0:10:22504367:22505847:1 gene:Solyc10g061860.1 transcript:Solyc10g061860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTIAIEDVRREVKILCALTGHRNLVQFYDAYEDEENVYIVMEVTCHSSIFFMLCKGGELLDRILARGGKYSEDDAKAVMVQLLSVVSYCHLQWVVHRDLKPENFLFVSKDENSPLKAIDFGISDYVKPACHSLTNGNI >Solyc05g051480.2.1 pep chromosome:SL3.0:5:62683624:62684516:1 gene:Solyc05g051480.2 transcript:Solyc05g051480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKVGNVVPHIVPYILIILPKNNSQIPIFTHFLHDFSSKRIKGCGV >Solyc08g076530.3.1 pep chromosome:SL3.0:8:60633958:60640431:-1 gene:Solyc08g076530.3 transcript:Solyc08g076530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTVKVSNVSLGASERDIKEFFSFSGDIEYVEMQSDTERSQIAYVTFNDSQGADTAVLLSGATIVDMSVTVTLDPVYQLPPTAFTASVPTGKKSAGNSESAFQKAEDVVSSMLAKGYILGKDAVGKAKSFDEKHQLTSTATAKVASLDKKIGLTEKISIGTSIVNDKVREVDQKLQVSDKAKSALSAAEQTVSSAGSAIMKNRYVLTGSTWVAGAFSKVAKAAGEVGQMTKEKVGMTEDEQRQKMVTDFAQVHLSESPKASEFTEHQPAKPAPVQGLVL >Solyc11g056590.2.1 pep chromosome:SL3.0:11:46386046:46389076:1 gene:Solyc11g056590.2 transcript:Solyc11g056590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEGTPWTGNNVIDHPRNIQNGGLDTNGKELPRLVYVYGEKKCGFKHHKKAGAMNALMCFMMDPTLEKTIRYVQFPQRLDGIDTNDPYANRNTVSLMGGDGILCRCKCKLYTACDTVNAGETQTFSAATVVATPVDVLTRKQPTQPIFRTVQALSQVAYIKRRSYACQKNT >Solyc02g070040.1.1.1 pep chromosome:SL3.0:2:40398799:40399824:-1 gene:Solyc02g070040.1 transcript:Solyc02g070040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPWKKLKKTSISQLVKDHIHSQKPLMVETGFPSSLVDLFVKNRRKFMKTSKKKRAPPVVAELPLSSLSTPPSPVCCIFEKSELKGCQEKDERFDLDEAVDKNTVLVALLKVFLVVFLVLGTKKLVMGITMSAFLLYFIEYSGECVYRWIMTVAGAQRRSLLMVQQVWRSSGVEMVELEEKDCVFKTRLQQEESSSSESSRYDNLIREGIDSTEEESRSHDLLESKRKKSQKSKMKRFIAKKFKRKISNQVIPVRENDDETQLDARKSAEEVLDATTVKVSSITRKEQSSASIDLLLVVLVGLTGGRIFALVFTVTWCMMSKQVLLKTLVRIFQFICGKL >Solyc12g036070.2.1 pep chromosome:SL3.0:12:44995050:45001305:1 gene:Solyc12g036070.2 transcript:Solyc12g036070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAYLESSTTIEAYLGSSPTFEAYLYSSQTVEAYLAYSESSPIVEAYLESSQTIEVYLESSPTIEANFESSPTLEACLESSPTVDTYLESSPTVEAYLKSSRVVEKYLDSSPSVEGYLESSQTVEAYLESSQIVEVYLESSPTIEANLESSPTLEACLESSQPVETYLESSPTVEAYLESSQVVEEYLDSSPSVEAYLESSQNVEGNLESSLIVEAYLKSSPTVETHLESSPTVEGNLESSSTVKAYLRSFPTIETQMESSPTVETHLESSPTVEAYFETSPTVEAYLESSPTVEEYLESSPTIEENLDSSPPVEAYLKSSTIVEANLESSPIVQACLESSPTVETYLKSSPTIEAYLESCQVVEEYLDSSPSVEAYLKLSPTVETHLE >Solyc02g014590.1.1 pep chromosome:SL3.0:2:18023824:18025242:-1 gene:Solyc02g014590.1 transcript:Solyc02g014590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATRSMRHDSFKASSKLLDLEAQRLARLPKCLERSNLPPGMPQAVGITVAGSSCCFSSSENLGGALWVSHLMLCKPRWRSGRRSSRSRIMGCAQGASPQDQGTWVVHSELRASSSR >Solyc06g074170.3.1 pep chromosome:SL3.0:6:46005967:46010518:-1 gene:Solyc06g074170.3 transcript:Solyc06g074170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEHVSSSSRNETTPRNNANTEISIATASSMFPGFRFSPTDEELISYYLKKKLEGSDKCVEVISEVEIWKHEPWDLPAKSVIQSDNEWFFFSPRGRKYPNGSQSKRATESGYWKATGKERNVKSNSNIIGTKRTLVFHTGRAPKGQRTQWIMHEYCMIGNTNYQDSMVVCRLRKNSEFHLNDTPRNQRNQLVAIESATALSGAGQLGSLELVNVGECCSKEGSSSFHSHSVEQIDSGSESDKPTKEFSHHDSSGHFKDFDVEDDWFADIMKDDIIKLDDSSLNPRPVSMIPDRPESSEISNHEAQAAMSSVVPFQGTANRRLRLVREIVMKCGVKESKPYKAIKKNKVGVGTTSSVRWLRNMFSVKWMRQYVITIFVATLIFLVMLLSLLGVSQQVKQRRHLLVLASS >Solyc03g058917.1.1 pep chromosome:SL3.0:3:28957388:28959232:1 gene:Solyc03g058917.1 transcript:Solyc03g058917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDQLRNQRVQPQPDPIRLLPRPEERSSEKRQAQHEKSSSYHDMKPRRFVKDSGINPERRLSLSPFGMGQEPPKKFVQPFLLKILEIRTNSSKSQFAAHKKPTPNSLYVVVIAFVIDGIKE >Solyc01g088370.3.1 pep chromosome:SL3.0:1:83010362:83016964:1 gene:Solyc01g088370.3 transcript:Solyc01g088370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit B [Source:UniProtKB/TrEMBL;Acc:K4AYM7] MSEVVSMDEIRAISERLGVDLAKINLDSIHLPPGDDMGIPSDDEDLLKEDALEEDQGFGNILVVDNLPVVPKEKYEKLEGVVRKIYSQLGVIKEGGLNMPVDPVTQKTLGYCFIEYNTPQEAELSKEKTHGYKLDRSHIFAVNMFEDIEKFLKVPDEWAPPEIKPYVPVEMLQKWLTDDKARDQYVIRSGGDTEVLWNDARQMKPELVYKRPYWTESFVQWSPMGTYLATVHRQGAAIWGGATTFNRLMRYAHPQVKLIDFSPGERYLVTYSSHEPSNPRDTHRVVLNIFDVRTGKVMRDFKGSADEFAVGGTGGVTGVSWPVFRWSGGKEDKYFARIGKNVISVYETETFSLIDKKSIKVENVMDFSWSPTDPILSLFVPECGNQPARVSLVQIPSKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDNPRPDVSFYSMRSGTNTGRVSKLTTLKGKQANALYWSPGGRFIILAGMKGFNGQLEFFDVDELDTMASAEHFMATDVEWDPTGRYVATAVTSVHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPPSFLSKEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRKKLKEEWEAWISKWKRLHEEEKMEREKLRDGEASDEEEEYEAKEVEVEEILNVEEVVIPFEDSQQ >Solyc12g017437.1.1 pep chromosome:SL3.0:12:6710821:6711391:1 gene:Solyc12g017437.1 transcript:Solyc12g017437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVVQQNGNFMMIVSTPQPILTGCQSAKCILPASPEGIVLRCEDSSKIDRQHVDTRKSDTCVIIGGITKTL >Solyc10g079430.2.1 pep chromosome:SL3.0:10:61089910:61094783:1 gene:Solyc10g079430.2 transcript:Solyc10g079430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:U3 small nucleolar RNA-associated protein 11 [Source:UniProtKB/TrEMBL;Acc:K4D2I4] MSSFKNAIPRRAHKERAQPQARKKFGLLEKHKDYVVRATSFHKKEQTLQKLKEKAAFRNPDEFYFKMVKTKTVDGVHKLESQANKYTPEELMLMKTQDIGYILQKVQSEKKKIEKLTAMLHSLDNKPSNKRVYYAEDREEAEELASKASERGNLAASENLPSSIRRKTATSYRELEARKSRVRDLEKVYMDMAMQKELQKSGRKRKLREEELVNPTTKPVFKWRQERKR >Solyc02g086280.3.1 pep chromosome:SL3.0:2:49622703:49628109:-1 gene:Solyc02g086280.3 transcript:Solyc02g086280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQSFYFFSLFTLFSSLVLIHVPRGSSDPDEFYQTCGKTYTCGNIKGLSYPFMSVNDPFFCGYPGFELNCNKDGSTTMEIENIKYRVLNVLPTTQTIRILREDIMKSTCPVDLVNTTLDYSLFDYASGYTNLTFLYDCPVASHFAEMDGTFTCRNSKYHNVFVLPGAVGPGKCDASVTVPVPQMSAGNVGSLDTSGLAQVLQEGFDIRWKLESKTCSKCIQDKGRCGYDEYSKQTTCFCPGPPYASSSCPVATFGLPTYGYAPPLPISIPSPSPGGANSLNQTEMHPYFLLFTSTIFILIYIPGSFCQDDEQYRSCGEPFRCGSMDIVYPFWGGRKPEYCGHPSFEIKCENNIPKITIESTGYEVIGINTPNRIVTLARDDLLSNICLDNPENASFDLNTFSYLSSDLNITLYFGCTLRPGSQLPASSPNRFNCNSNIFGIYTLINVPFDLSLVTCQEEIIVRVNQTNAVALASPTASVEVLKRAIAGGFSVNWTATIDSKCNQCDRSGGRCGSNPDSGDFACHCENGTHPNDCNDGPNQAGGNLFSIITHNLLRSSVSR >Solyc08g021940.2.1 pep chromosome:SL3.0:8:34176797:34183040:1 gene:Solyc08g021940.2 transcript:Solyc08g021940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFLGFFLGAALGIGLIVCFARFQNNRSTARIDLAKSITAFARMTVQDSRKLLPPEAYPSWVVFSQKQKLSQLNWLNHHLEKMWPYVDEAASELIRTSVEPILEQYRPSILAALKFSKLTLGTVAPSFTGVSLLDGDPGEIIMELEMQWDGNPNIVLDIKTLVGVALPIQVKNIGFTGVFRLIFKPLVAELPCFGAVCYSLRHKKNLDFTLKVVGGDISAIPGVSDAIEGTIRDAIEDSITWPVRNIVPILPGDYRCSNFIINIIFIALHFLPIKTKGFFCLNM >Solyc02g021255.1.1 pep chromosome:SL3.0:2:22826399:22833729:1 gene:Solyc02g021255.1 transcript:Solyc02g021255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLTNAPAIFCTLMNKLFHSYFDKFVVIYLDDIVVYRNNMEDHVEHLCKVLKVLRDNKLYVKREKCSFAQPTVHFLGHTISHDEIRMDSNKIDAIKNWEAPTKVPELRSFLVLANYYRRFIFNYSAIATPLTDLLKKDPAFERLKTAVTEEPVLSLPDFSKAFEVHTDASDFAIVAESLNDFYGDATKGRDNRSKTIPPKVDNNNKGRSKLNPNRGSDTRNYAHDQPSNFRKNYEDRKRGAPQREGCYICGETTHDARYCPSLRKLSAMVAAEKQQEKATAQAGSSAGEQCGQSSGSDKGKNVVVGMFNHMALINHISIAALAPKPASVRPRESLDVMPTELPQRLPPLMDVDHQIELVPGAKPLSMTPYRTAPPELEELRKQLKELLDAGHIRPFKAPFGDEPKTACVTRYGAFDWLLMPFGLTSAPATFCTLMNKLFHSYMDQFIVIYLDDIVVYSNNKEDHVENLCKVFKVLRDNELYVKQEKCSFAQPTFHFLGHTISHGEIQMDSDK >Solyc04g045670.1.1 pep chromosome:SL3.0:4:35405219:35405680:-1 gene:Solyc04g045670.1 transcript:Solyc04g045670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKYRPLCLYVLAYAIKGSYIIFFRYEGLGLGIICVV >Solyc02g090930.3.1 pep chromosome:SL3.0:2:53035823:53044670:-1 gene:Solyc02g090930.3 transcript:Solyc02g090930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSCNKSFCSNYMLLKPEECSVSDLAKILFSSKNLGQKEFVDVDIPNEELNMTKEPLSRRWVFFISILVQKVLIKISKPLAGFGNTIEYWLNLQNVNGGFFRLIFNSLRGRAVIPDKESAEYLSFIGNLDKRFELDFSKIVEEVGGCKRYDEAISIMAAKAAYENKAWIQNTVNQHWKMDFVGSFDFWNDYQEKATTQAFVLQDKNVDPELIVVAFRGTEFFNSDDWISDFNLSWYDIPGMGKVHAGFMKALGLQKSLGWPKEIEQTDTNNINQPSPAYYFLRKLLKQLLEKNENAKFVVTGHSLGGALAILFPAILAYHEESWLLKRLGGVYTFGQPRVGDENFVDYMKEQLAKYEVPYYRVVYSNDMILGEEPDKNGLALLLFLPKMLNAGWELIRSCILPCVNGWKYQEGGLLLFMRVVGLLLPGIPAHCPQDYVNASRLGSLKTSQSSKRLYMASNSCNKSFCSNYMLLKPEECSVLDLAKLLLSGKKLGQKDFIDCPNEEMTKEPLSRRWVIFLSILVQKILLATAKPLAGLGNAIEYWLNLQNVNGGFFRLLFKSLICKAVSPDKESAAYLSFIGNLDKRVDLDFSKNVGGRRRYDEGISMMAAKAAYENKAYVETTVNHHWKMDLVGSFDFWNDYQEKATTQAFVLQDKSVDPELIIVAFRGTEFFNSDDWISDFNLSWYDIPGMGKVHAGFMKALGLQKSLGWPKNIVQTDTNNINQPPPAYYFLRKLLKQLLEKNEKARFLVTGHSLGGALAILFPAILAFHEESWLLKRLGGIYTFGQPRVGDESFIEYMNGQLTKHEVPYYRVVYSNDMVPRLPFDNSTFLFKHFGTCIYYNSLYREKILVEEPDKNGSFLLMFIPKMLNAAWELIRSCILPCVHGRKYQEGGLLLCMRVIGLLFPGMPAHCPQDYVNSTRLGSSDHSTEDVAKYQKIGIVPA >Solyc07g056610.2.1 pep chromosome:SL3.0:7:64557840:64561967:1 gene:Solyc07g056610.2 transcript:Solyc07g056610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKRNEFRMYQRTIHKTLLILYFLLSYNRVEGQKKLSKLEDVELEKQLKILNKPAVKTVKTKYGDTYDCIDFYKQRAFDHPLLKDHNFHPKMKPTLSRIKKDSTFSSTTNRSSTIWSKDGGCPFGTIPVKRITKDDLIRLNRMPPPEDVTFDNEYDVVAITQISYNPNNKFAGAGMDTTVYNPQVNGQQHSGSRLKIHKGSDIVQAGWRVDPTLYGDTNTRLFIHFQAGEIRCFNTLCPGFVQVNHDIPLDNSLNDTISVRGGKLWGLVVHIERDLSGNWWFLLRKDFTRIGFWPQSLFTDLKSFATNVDWGGVVYSPSGVPKPPMGSSYFPIENTSYDSYCTDLAIVNEKGKTIEVDTTVTHTDNPYRGEFKLLSRGTQNKYFFIYGGPGESTHV >Solyc03g114290.3.1 pep chromosome:SL3.0:3:65789824:65791935:1 gene:Solyc03g114290.3 transcript:Solyc03g114290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTDSQVSQMDSFHSPLHFESQLHFDDRETKSLSSKALVSVDKYYSPVRSPHKLSCENLSLPPTPTPPSEAGNKDRKSQGVYFGRPGREDVTNGVTKVGPVRGGDVEGGEMEGERPPRTVRSKMELTLTRAALGFRVCEFVLCLISFSVMAADKTAGWSGDSFDRYKEYRYCVAVNAIGFAYSVFQVFDLIYNLASRKHFLSHYTRYHFDFLMDQATSSSAATRAYDWISNWGKDEFTEMASASIGVSFLAFIAFAFSSLISGYNFCNRNAS >Solyc10g047950.2.1 pep chromosome:SL3.0:10:43079894:43086633:-1 gene:Solyc10g047950.2 transcript:Solyc10g047950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATVRVSANNTITASLISKSPLQKPSIFHLCFRNGAAAAQRNRLFSCSAIYNPQIQIKQHGQPETLDYRVFFAEDSGKKVSPWHDIPLNLGDGVFNFIAEIPKESSAKMEVATDEQYTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANAEVEGAFGDNDPVDVVEIGESRAKMGQVLKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDIDDVEKHFPGTLTAIMDWFRDYKIPDGKPANKFGLGNKPANKDYALKVIMETNESWAKLVKRSIPAGDLSLI >Solyc01g091420.2.1 pep chromosome:SL3.0:1:84924902:84929440:1 gene:Solyc01g091420.2 transcript:Solyc01g091420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNHSSSTGGVSAPGGGVTSGSTSSGGGSGGGGGGGGPCGACKFLRRKCVAGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVLTICFEAQARLRDPVYGCVAHIFALQHQVANLQAELSYLQAHLTTLELPTPPPLPPPPPPPPQQPQTMLHQPSLTIADLPTAGPSLPAAYDLSSLFDPMVIQPSWAMQQQPRPPPPLPLDPRHFGGARAPMDMSPSTGGGGGDLQELARELLHRHGSATVPCTESSALPPQTK >Solyc04g014200.1.1 pep chromosome:SL3.0:4:4507696:4508676:-1 gene:Solyc04g014200.1 transcript:Solyc04g014200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISSLMIFLLVLIWVMKRQNKGKSKDVEKIQRSTNNFDESNLIGVGSSGFVHKSTLSSGTAVAIKVLDLENEQVCKRFDTECKVMRNVVLVITTCSSDYIRAFVLQFMPNGSLENWLYREEYHLNLLQRVSIMLDVAVAIEYLHHGHNTPIVHCDLKPANVLLDKDMVSHVGGFGISKILAVRKSMAHTETLGTLGLHCTSYRIMLMEVLTKRRPTNEEICNANLDLRKWITQSFSGSMMDVVDVNLFF >Solyc08g063097.1.1 pep chromosome:SL3.0:8:52787163:52788544:1 gene:Solyc08g063097.1 transcript:Solyc08g063097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFYLSSGSNNSYIQETIKLLGDRFSIKDLGHLHFFLSVVVIQHANGFTLTQSTTSDVLSLYDGSFPTDTIIYRQTCFAVNKLAQFQSSLSAKHLQATKRLLRYLKDTITYGLHFKHGNSSQLLAYSDADWDGILDTRHSTFQRIVGSVVAKLNWLMNLLQELRVKMCRNPVFHNLMKHFAINSHFVRNQVESRQISVHHIPTGAQLANALTKALPKRSFVNFVSNIGLKQIEPILRWSMWVSFMIDWGCDNTPLQRRHSRRNISSRQVIPV >Solyc07g043360.1.1.1 pep chromosome:SL3.0:7:57174500:57174907:-1 gene:Solyc07g043360.1 transcript:Solyc07g043360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S ribosomal protein L27 [Source:UniProtKB/TrEMBL;Acc:K4CEJ5] MVKFLKPNKAVVVLQGKYAGRKAVIVRAFDDGTRDRPYGHCLVAGVAKYPKKVIRKDSAKKQAKKSRVKTFIKLVNYNHIMPTRYTLDVDLKEAVTVDCLQSRDKKVTAAKEAKAKFEERFKTGKNRWFFTKLRF >Solyc11g012320.2.1 pep chromosome:SL3.0:11:5177522:5178924:1 gene:Solyc11g012320.2 transcript:Solyc11g012320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEVESAPVAPVETTPAEVEVTPAPEAEEPAPAVEKDVEVESAPAPEEAAPAAEEVAAPVAEEPAAAEPVAAESEEPVAVAAEPVAAPVEEPVAAEEPAAAAEEPVAAAPVEEAPAPVAEPEAAPVAEPEAEKTEESAPASEEPEKVEE >Solyc12g038720.1.1.1 pep chromosome:SL3.0:12:51712093:51712383:-1 gene:Solyc12g038720.1 transcript:Solyc12g038720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLAVSKSLIQRVEDVMNLKWALGLGSHFLLSFLEKSFTVFCESLLMVGNRPSPLRLSSLIRKSFCLRGFTQLNIALTHFAIMLLLNSAFSSHPP >Solyc10g061995.1.1 pep chromosome:SL3.0:10:23916268:23916582:1 gene:Solyc10g061995.1 transcript:Solyc10g061995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPVHIWLPEAHVEAPTAGTVILAGIALKLETYGFSIPMFPEATLCSTPFIYTLCVIIIAYSIAHTNLVIIDMFSWKASVRSPIF >Solyc05g046140.3.1 pep chromosome:SL3.0:5:59170995:59172894:-1 gene:Solyc05g046140.3 transcript:Solyc05g046140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHGKLVSEIEIKCDGDIFYEVYRHRPHHISTMSPNNIQNVDVHEGEWGTVDSVIFWNFIHDGKEVVAKEKVEEIDEENKLIKKKIIEGDILEYYKSFYITVHVETKGENNLVTLIIEYEKKNANVPDPHTFMELILNVTKDIENYHIK >Solyc07g017540.3.1 pep chromosome:SL3.0:7:7480987:7484713:-1 gene:Solyc07g017540.3 transcript:Solyc07g017540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQHRNQKSMQDQNDEIEDVQHGPFPVEQLQASGIAALDVKKLKDAGLCTVESVVYAPRKELLQIKGITSKLVPLGFTSASQLHAQRLEIIQITSGSKELDKILEGGIETGSITEIYGEFRCGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRSSTSNSTHMFFWYYEVQTVVISGVKCCRYGLNGPDVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAVFAGPQIKPIGGNIMAHASTTRLALRKGRAEERICKVVSSPCLAEAEARFQISVEGVTDVKD >Solyc12g042560.2.1 pep chromosome:SL3.0:12:58832653:58833633:-1 gene:Solyc12g042560.2 transcript:Solyc12g042560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEGKAIGIDLGTTYSCVGILKVFIGDAAKNQVAMNPQNTVFDAKRLIAYFNDSQRQATKDAGAIAGLNVMRIINEPTAAAIAYGRLSKEEIERMVEKAERYKCEDEAMKKKVEAKNALENYTYNMRNTVRDEKISGKLDSSEKQKIEKAVDETIEWLDRNQLAEVDEFENKLKELEKLCNPIIGKMYQGGAGDDYGTGNSGAGLNRLRM >Solyc12g040690.1.1.1 pep chromosome:SL3.0:12:55783118:55783345:1 gene:Solyc12g040690.1 transcript:Solyc12g040690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGLLQAFSSVSRNSFQASLLNHGFKIGAQSFWIQGAHIFLCFFQNKLETFQVLDASFTVQIDVVRRTQNNQTA >Solyc03g119730.3.1 pep chromosome:SL3.0:3:69762728:69776713:1 gene:Solyc03g119730.3 transcript:Solyc03g119730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:K4BMC2] MILVQKCVTNSEGNEISESSKMSNLTGGKTETNLPNQSGVALCQDLNGRLDGVGSAVVCDYTCHNDGQSGEVSQLGTRDSDGISGLNADPAAITKSAKHESDMTLEDMYNARYNFDEDDDDSDWEPSEKQIEVLKWFCVNCSMINVEDVGNCEVCGEHRESGILRHGFFASPYLPVEDINQDELPVTEDSEDSCKQSSLSSSTAVGFDERMLLHTEVVLKSHPHPERPDRLRTIAASLATAGIFPGKCHPIPAREITREELQMIHSEENIEAVDNTKRMHASYFTPDTYANEYSACAARLAAGLCADLASAIYSGCVKNGFALVRPPGHHAGVKQAMGFCLHNNAAIAASAAQAAGAKKVLIVDWDVHHGNGTQEIFERSKSVLYISLHRHEGGRFYPGTGAADEVGSMGAEGYCVNIPWSRGGVSDNDYIFAFEQVVLPIALDFNPDFTIISAGFDAARGDPLGCCDVTPAGYASMTQMLSALSGGKLLVILEGGYNLRSISSSATAVIKVLLGESPVIDIDKAVPSKAGLRSVLDVLKIQMNFWPTLEANFTKLHSQWGSYAFQDTREQSKKRRRTGLPIWWRLGRKRLLYRVLSKQLRAKSSCNFSC >Solyc03g052990.3.1 pep chromosome:SL3.0:3:23589695:23593246:1 gene:Solyc03g052990.3 transcript:Solyc03g052990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHISNWANVLLLVFKIYATVKSGSLAIAASTLDSLLDLMAGGILWFTHLSMKSINIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLVQALEQLIKDTPLDKMTEEQLCWLYAIMLTATGVKFVLWIYCRSSGNNIVRAYAKDHYFDVVTNVVGLVAAVLGDRFYWWIDPVGAIVLAVYTITNWSGTVLENAVSLVGQSAPPEFLQKLTYLVLRHDPQIKRVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKIEELSEVERAFVHLDYECDHKPEHSILSRIPNSPP >Solyc11g032180.1.1 pep chromosome:SL3.0:11:25883751:25884089:-1 gene:Solyc11g032180.1 transcript:Solyc11g032180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKGVGDKELNTLEKAVKSKLEEILKSTLEVLVIPAFEMLCKAIFEQVNSTFQKGIAYHTVFVQKQFEFVHSPLVFALRFHQFNIDNDTRH >Solyc03g025330.3.1.1 pep chromosome:SL3.0:3:2794697:2795752:-1 gene:Solyc03g025330.3 transcript:Solyc03g025330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMDLREEYAHAFRTESYTDFWTRVLALSEKISSPTKIVGSTSAARLPSYRLFVEHLLDPDQPTVTRILNLIRTHQDNHSLLSQYFTQTAEASLLCSLLLKDVQRTRSRYKSLKSALDSLQKTPYSPKKHMPKILTRLTKFCNSLNPLVSSTSSPLRFKTVQANCSQLLKRIELKRDKTKAKLRIINKFKRGSAVFVMALTVSLTVIVATHALALLVAAPTVMMASFQLMSTKKLASWSAQLDVAAKGTYILIRDLDTISRLVGRLNDELEDLQAIVRFWLERGGDPLQLELQASGEVARQLKKNYANFVEQLDELEEHLYLCFMTINRARNLVIAEIMNSSPYLLTQIKV >Solyc07g040847.1.1.1 pep chromosome:SL3.0:7:50505405:50516758:-1 gene:Solyc07g040847.1 transcript:Solyc07g040847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding QKTICGGVAF >Solyc01g109495.1.1 pep chromosome:SL3.0:1:96340092:96340480:-1 gene:Solyc01g109495.1 transcript:Solyc01g109495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRECEAPPIKGDTKLHCATSSQAILDFKQEIIRGNTQIKAMSTTHVSSSTPLQKVEAPNIVECCTMTYTYAIFNYHYTIIKNKVFKGFIQGEDGDRVEAIAICHLDTSEWSPSHVTF >Solyc10g008160.3.1 pep chromosome:SL3.0:10:2280726:2285553:1 gene:Solyc10g008160.3 transcript:Solyc10g008160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHGLTRHNIASHLQKYRAHRKHLLAREAEAASLNHRKQMYSGATTIGGGGKRILMNPWPAPPTMGFPPMAHHVRPLHVWGHPHVNNSFWHPHYQRVSNSLVPGTPCFSAPITSARFAAPLMVPGIPPSPAIIKVDTVASDLHPSNESIDAAIEDVLSKPQLPLPIGLKPPSIDSVLNELQRQGITKIPPT >Solyc09g083210.3.1 pep chromosome:SL3.0:9:69303107:69307023:1 gene:Solyc09g083210.3 transcript:Solyc09g083210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKPIFIFSLLFFLSFILNQNIICYAQEPPSITGYTCNPEQFNPCQTYVLYRARAPEFLDLASIGDLFSVSRLMIANPSNISNPNTTLVNDQPLFIPITCSCNNINTTFGSISYAGFNYSFKSGDTMYGVSTSKFQNLTTYQSVEAVNPTVVPENIDIGQAIKFPIFCKCPNTTSSQNQPRLLITYVFQPNDNISSIASRFRVTPQSITQINGNNTKILDTLFIPLSNLPNLTQPTSSNTPPPPPTPSAPVNQEKDRKGTVIGLAIGLGVCGVLLILVLGLFYKEKTGKKEGYGDVERQKSLYLGSKKGSFVDKDVEVNLLADVSECLDKYKMYKMEQIWEATDGFDEGCLIQGSVYKGTIDGEVFAIKKMKWNAREELKILQKVNHGNLVKLEGFCIDPKEANCFLVYEYVENGSLHSWIHGEKPEKLSWKTRLRIATDVANGLLYIHEHTRPRVVHKDIKTSNILLDSNMRAKVANFGLAKSGCNAITMHIVGTQGYIAPEYLTDGIVSTKMDVFSFGVVLLELVSGKEAIDDEGKVLWANIGDFSEGSEERKVRKLQEWMDGSLLREELIMESVVNVMSVAISCLNKDPSKRPGMIEIVYALSKSIDLFTDVSEEGLSPRQVTAR >Solyc11g062155.1.1 pep chromosome:SL3.0:11:49307726:49312566:1 gene:Solyc11g062155.1 transcript:Solyc11g062155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGGTQCTINSKNKRADLSQELEAFTVGIEIGEPTDATTKGTTLDEVEEEAHSKAQKRSIWDDFDIAKISNARYKLEYVAPLKVREKLVVEIDIEDIKTEIDFRSKVVDLSLSSKRFKPDLHLQPEIAAQSESEIFSRPTPLEPHRRSTRVSQTPKRYGFSSTLSTISIPTGYSQAPSLNVGVFLNQHKYTQDLISLTGLQDSSSVDTPLELNVKYRHEEADLLPNPTMFRQFVGSLNYLTITRHDISFAVQQVSQFMQAPRHLHLVAVRFMILYLLGTSTRGLFFPSGSPIRLNVFSDFEWAGCPNTRRSVTEAEYDPCLLLAPR >Solyc06g048717.1.1 pep chromosome:SL3.0:6:31624674:31628289:1 gene:Solyc06g048717.1 transcript:Solyc06g048717.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRMQYDAKCRVQLCKLSFEAIFGATEFISTFLEVFCGIRNFSADVRRTSNDKVALTCLNSDHESMLLKKKNLGHFHSVNGIAVTNFIRRHRKTKLDQIVVAFNRAFSFMHKCLICMCNFLAACMQMTCSEQKNKLPYL >Solyc06g006015.1.1 pep chromosome:SL3.0:6:1029902:1036175:1 gene:Solyc06g006015.1 transcript:Solyc06g006015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVESKTAPTPMAVRPPSTSDSRLFDNPTLYRSIVGGLHYLAVTRPDIQYAVNRVSQSMHAPIEQNFQALKRILRYLKGSLRRGLLFTKGNLELSVYSDSDWANDKDDRRSTTGLKDDSFIYLVLYVDDMLIAAKKKYDIHKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDNPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKVTLQPTVTLSTTEAEYMALTEVTKEGIWFKGLHQQPTQNTPSAKLNSIREQTGN >Solyc01g090840.3.1 pep chromosome:SL3.0:1:84418644:84420690:1 gene:Solyc01g090840.3 transcript:Solyc01g090840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YSFFIASFHSLPKTHTQQWWGGEMEKHKICKLCSRKFPNGRALGGHMRSHMMNLQLHQHETESIPSSSSWSDEEEEKGKILNSSDVDADDSVVVLPDKESETESSKNPIRFKRSKRVRKSRKPNFMKITEYYSSVVETEPVSSISENSPEEDVAHCLMMLSRDKWNKNEQVDFYSDEEFKEENSAEDSGGEVKVTTKSSRGRGKYRCETCNKFFRSYQALGGHRASHKKIKLSNEVIESAAHNNNNNNAVIEEKIHQCPVCYRVFPSGQALGGHKRSHTIGAGVSTSVTVLPPPAPAKLELSRTGGTSLIDLNFPPPMEDDDEIISQVEVSAVSDAEFVNTINNQR >Solyc03g095620.3.1 pep chromosome:SL3.0:3:58216919:58228916:-1 gene:Solyc03g095620.3 transcript:Solyc03g095620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTVLRGVSHGRLPLLTHSQFPIVTPTNIAYRNRPNVLRVFARYSQTQDLFTSRLQDRLENLPKLVEDIVQTSLSTGPRGALRLAQGVQAVVGVGSEWLQDVSKTANSSTGLPTQLQLGLLSPLYLRKLFERMGATYIKLGQFIASAPTLFPPEYVQEFQYCFDRAPAVPFEQIQTILREELGRPIDTVFEYIDPTPVASASIAQVHGARIRGTGEDVVIKVLKPGIEDILVADLNFIYIAARILEFLSPDLSRASLVAIVKDIRESMLEEVDFNKEATNVESFRNYLEAMGLTRQATAPKVYRQYSTKRILTMERLYGVPLTDLDSIKSLVSSPETSLITALNVWFGSLLACESFHADVHAGNLWQLRDGRIGFLDFGIVGRISPKTWAAMEVFLQSIAIEDYESMASALIDMGATGKDVDTKAFARDLEKIFLSIQDLDTEIIVAAARDTNTNATTVAANVAFDERQMNALFLDVVRVGESYGLKFPREFALLMKQLLYFDRYTRLLAPNMNMYRDQRINVVSKQRQQRNIYQ >Solyc05g055270.1.1.1 pep chromosome:SL3.0:5:65829589:65830194:1 gene:Solyc05g055270.1 transcript:Solyc05g055270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPHDDQTNIQNQQHEYENFCYHDPPESFWLSKDSERDWFDQNAAMQRKSSMKFAFSGKANNKNSKILLHNNQNPSLFSIPKTRKSTSGDGNPGRINKAVKSKLKSRFSRSRSEPGRKTVLVSEPGSPKVSCTGRVRRSKSKKESRTIRTGFWKKVRAALKIRSGEKGGAGVQTAEPDRSGSGFGSAELKRSTTRRWSE >Solyc02g067870.3.1 pep chromosome:SL3.0:2:38533897:38535685:-1 gene:Solyc02g067870.3 transcript:Solyc02g067870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative chalcone isomerase [Source:UniProtKB/TrEMBL;Acc:Q6V7U9] MPTTMEDVTAKIDAVEIDSKSVVALKEKAIEGEVKTTETTQEKTNEAKSNPEKESNGATFKEEEVPVEVEPKTGVSFPVKLEDGKQLKAVGLRKKSMLGMGIKIYGFGIYADNEKLKDLMQSKIAKAPAKPTKEMYQMVIDSDLGMMMRLVIVFSNLTMNMVRKNFDEGLGAAIKKLTGGKNEELTKKIMGEASDDIKLNSGSVIEISRLPGYVLQTKVKGEIVSKVESELLCRAFIYMYLGDDPFDKEAKEKFGTSMLSLF >Solyc02g069810.2.1 pep chromosome:SL3.0:2:40198479:40212323:-1 gene:Solyc02g069810.2 transcript:Solyc02g069810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEEKIESRPTTAVDSIADGLRKNLSFNASDSTPRQPMKVCAKIELAPAASIIRERLSRNWKMVDYLHCSRFESALLFCVHITVVHCTYLLCFPFRSLSRQLFLFFSRYLSSFDNHGTPFLSLRITIIGTFLQEKQKEMVPSIHDSSPAAYVFPFQIFERLTSNCDKYQMFPRQEAVEEDSPEFSWGAKKGKGALNKDVQFYKSFTYAGVEFNLYDCVYMYRHGEEEPDIGKIVKVWETKTRKRLVKVVWFFRPTEVTHWLGNTKVLDNELLLASGEGVGLSNCNPLEAITGKCNVVCISIDRRNPQPKDRDLETAEFLFYRTFDVGTQEISEEFPSSIAQIEDLKQPLHYGGKASLDKPHSFGGATVKTTLKDDQPGRNSQSTLPRVALVKQTKSSTKDVAMARTDKCTTISQCQVTELVERGGQGRQGNVSKTDKTVARTEVKHSYCTPSTNALKKRKLQHLSDTKAFDQHKIMKSTNQVIEVTTRAKEAASTWFNEGPWYDRLQAAQKRGTLVLLENLDPSYASTEVEDIVWHALRQKRYTFLVQLAAQYPFETEPVVARRGTIQEPVKSKDFPGHLSIEKINFQKLGEEMRKAVSTSHYAQSNTIEHELAVEWRVLQEQSNRWWKALHQEQAAEIEQLSSKLKNPIKNSDSFCWVSCVQEAYRCVDPFWIWGFAP >Solyc03g070435.1.1 pep chromosome:SL3.0:3:18418041:18419007:1 gene:Solyc03g070435.1 transcript:Solyc03g070435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVACHHCHWKTYTIILPHTVKKYRAWHDIIALGKHTQSEGVDHEMPSSPLDNTHSRTILGVACLHRLWIAHTVRRRQALHDRMGLGQHKWPDDVGLHMPSSPLGITQGSTHGRTTSSVACHHHPWAAHTIRSHQVWHAIIALGKHTQLDGVGRGIPSSPLENIHDQTTSGVAWHNHHWEAHTHTQSDDIGRGIPSSLLGSTHDRMTLSVACHYHPWRAYTIGRRRVRYVIIALGQNTQSNDIARGIVASTLGNTHDRMTSGVAFYHHPREVHTVGRRWT >Solyc10g005890.3.1 pep chromosome:SL3.0:10:675886:684254:-1 gene:Solyc10g005890.3 transcript:Solyc10g005890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI111 [Source:UniProtKB/TrEMBL;Acc:G8Z278] MKITVMTTDEQIVTLDVDRDESVENLKALLEVETQVPLQQQQLLYNGKEMRNSDKLSAVGVGDGDLVMMVSSAASQSSAPANDLSFKQDGSAVNPSAFQQHLRNDSNLMAQLFQNDPELAQAVLGNDLNRLQELLRLRHQHKSELRRRQEEEMALLYADPFDVEAQRKIEEQIRQKGIEENWAAALEHNPEAFGTVVMLYVDMEVNGHPLKAFVDSGAQSTIISKSCAERCGLWRLLDTRYKGIARGVGQTEILGRIHVAPIKIGKIFYPCSFVVLDSPNMEFLFGLDMLRKHQCMIDLKDNVLRVGGGEVAVPFLHEKDIPSHFLDERKAEASSSGAQATSGATGKTDPTKGSPSGSAGGNLTQGPEFEAKVAKLVELGFGREAVVQALKFFDGNEEQAAGYLFGG >Solyc01g112240.3.1 pep chromosome:SL3.0:1:98191172:98192204:-1 gene:Solyc01g112240.3 transcript:Solyc01g112240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKENTHFRRLGKTKREPDLHNCDLNKPMTSYVYKEREQQFRLIWQDTFERAGTKSLISSSCIQHFNVNGRNSLPTVGVDEM >Solyc03g053027.1.1 pep chromosome:SL3.0:3:23868973:23883272:1 gene:Solyc03g053027.1 transcript:Solyc03g053027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVMVVNELLKHGFDPGKGLGIFLLGRFYTVCPQKSIDTFGLEYEPRVEDRMMAKNKKRDVWVLGPEVLGLDLSALLAGRPWLRSTRDGFGRSAVVIEFVVALFSDTSQFFSGKQSRCARDPSENCAVEEITNTAIPLRAMGGLLNKLTYLSAADVSMEKLVRVAVQHVLYKCLIRDASKSR >Solyc10g078990.1.1 pep chromosome:SL3.0:10:60765418:60766341:-1 gene:Solyc10g078990.1 transcript:Solyc10g078990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEREALDFMNVESSSQLPITLPVNEKPIRLFGKEFGGGDSINMTATNMSEFIENNPFYDKPNIVKENHIKKNVEIMRKYECHYCFRSFPTSQALGGHQNAHKKERKNAKRHDQPSIYGITNRNRLGEATVSTRTHYHSTWTNINNNTPRFDGNGHNVNVISPINGNPLTFWQIPPAFNQYISSSSDNNNNLVFSNDDLIRNPPMVNISNNCEYKPKGEVQDHVSLDLHL >Solyc03g013450.2.1 pep chromosome:SL3.0:3:45953939:45954645:-1 gene:Solyc03g013450.2 transcript:Solyc03g013450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHGNADKKNKEAGLTGDLAPAIPGIHPRLYQNADVAQSILGSGEKENVKSTMQELYLEGLARPLLSLGMCTRVFQFDVSFCARDISMEERQDDTNLYINRRILNGPTVSLYRSSHNISEEQTGTKGKQENFSRNKSHSSMVVYVLVDGSGIIGPNSVSRIFIVVLIDSFKYVTYSCYFHLLIVFI >Solyc04g026255.1.1 pep chromosome:SL3.0:4:18187894:18189023:-1 gene:Solyc04g026255.1 transcript:Solyc04g026255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMKTLDLHKRIFSEFSDEQSRVSYTAKIYQEQIKAAKGRLPDSSVKQLGVWQLHVFLKRCEKAPNQDNTTSGIL >Solyc07g052980.3.1 pep chromosome:SL3.0:7:61528033:61529822:1 gene:Solyc07g052980.3 transcript:Solyc07g052980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CFJ6] MVSFNWVFSSFVMLFMVGLVSSAKFEELYQPSWAFDHLTTEGEILRMKLDHLSGTGFQSKSKYMFGKVTVQIKLVEGDSAGTVTAFYMSSDGPTHNEFDFEFLGNTTGEPYTVQTNVYVNGVGNREQRLKLWFDPSKDFHSYSIMWNQRQVVFLVDETPVRVHSNLEHRGIPYPKDQPMGVYSSIWNADDWATQGGLVKTDWSHAPFVASYKGFEINGCECPATVAAAENTRRCSSNGQKKYWWDEPVMSELNLHQSHQLIWVRANHMVYDYCTDSARFPVAPVECQHHQHKTNHN >Solyc06g074400.3.1 pep chromosome:SL3.0:6:46162119:46169831:-1 gene:Solyc06g074400.3 transcript:Solyc06g074400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAKNKKKNLTPEGQFRSSLDNCSKTKDLSTAISLYESAISDASTIRLSSNHFNSFLYICSNSFSDPSTKNDAIQFGFRVFEHMDSCNITPNEATVTAVARLAAATDDGDRAFELAKGVGNCGKLRTYGPALFCFCKMGEADKAYQVEEHMRSLGLQLEEAELAGLLKVSVEKEREEKVYQYLHKLRMSIRSVSDSTAEIIQSWFGGEMATKVGLSNGDMDQVKEVILQNGGGWHGLGWLGKGKWLAQRSQIAPDGRCLSCGEQLVCVDIDRSETERFAESVASLAMEREVHSNFKEFQDWLEKHSDYDAVVDAANVGLFQQNFAAGGFSIAQQFVAVVKELHSRSNKWPLVILHKKRVRTLLEDAGYRELLEEWINEKILYGTPFGSNDDWYWLYAAVKCKCLLVTNDEMRDHIFELLGSSFFARWKERHQVKYTFVKGEIKLLMPPTYSVVIQESENGSWHVPLAGEVVEESSRTWLCITRQASCESDNKQLASVVEASEVSEPDQIAACCHSDSFTSQNGGSLNKCSGLAGKRKERSPSPTPSHSSLQS >Solyc07g065020.3.1 pep chromosome:SL3.0:7:67087285:67093871:-1 gene:Solyc07g065020.3 transcript:Solyc07g065020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CHI1] MSDLDRQIEQLKRCEPLKESEVKALCMKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKIFSVHGGLSPTISTLDQIRTIDRKQEVPHEGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHTNNIDYICRAHQLVMEGYKWMFNNQIVTVWSAPNYCYRCGNVAAILELDENLTKQFRVFEAAPQESRGAPAKKPPPDYFL >Solyc09g059175.1.1 pep chromosome:SL3.0:9:53979543:53980822:-1 gene:Solyc09g059175.1 transcript:Solyc09g059175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEELRRQLKEILEAGHIRPSKAPYGAKRDESKTACVTRYGAYEWLVMPFGLTNTPATFCTLMNEILHPYLHQFMVVYLDDIVIYSETLEEHVVHLKKVFKILRENHLYVKREKCEFAQPKVHLLGHVITQGELRMDEAKSDTFEEHVVHLKKDFKILRENQLYVKREKCEFAQPKVHFLGHVVSQGELRMDEEKVKAIQDWKAPTKVTELHSFIGLANYYRKFISGYSTKVAPLTELLKKNKPWVWSQECQGAFEGLKTAMPLILPLGDS >Solyc01g017775.1.1 pep chromosome:SL3.0:1:25284528:25304903:-1 gene:Solyc01g017775.1 transcript:Solyc01g017775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKISFDAEIRLGWQEQHLLGYGEVAKPTVKIQETDKLKPRTKLPLTWTNSSELGKQFVHQGYEKGKELVGSE >Solyc06g018110.3.1 pep chromosome:SL3.0:6:17111814:17117347:-1 gene:Solyc06g018110.3 transcript:Solyc06g018110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSNKKSKQKLRAAKAELLASCEVKEEEGSMNEKTQQKQKKRKRDETDGELRKPMEKKKKKKKKKRKGKKKEEDGQVVQVASAAQTTKTEEREESVDISNKVYVGGIPYYSTKDDIRSYFEGCGTIMEVDCMNFPDSGKFRGIAIITFKTEAAAKRAMALDGSDMGGLFLKIQSYKSAKANKVSNFSPNMVEGYNRVYVGNLSWNVTEDDLKKVFSDCSISSIRFGEDKETGEFRGYAHVDFSDCVSVNMALKLDQKIVCGRPVRISCAVAKEGAVKKGGATDSRPMQKDQNVDSVATSTVSAKIRRRTCYECGERGHLSSSCPKKHEIDQKEQVAS >Solyc10g049230.1.1.1 pep chromosome:SL3.0:10:44573835:44574017:-1 gene:Solyc10g049230.1 transcript:Solyc10g049230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRLTRSLLVHFKKRAFVDGEVPEEVVSMEKNIVEMCQGPPLAESLLGGLLRNKEKHEW >Solyc03g044980.1.1.1 pep chromosome:SL3.0:3:11250115:11250381:1 gene:Solyc03g044980.1 transcript:Solyc03g044980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFQNFQPTHLSSTLVTQAFPLNSPNFARSNPFSSSPREYPKRTPKSATLRASSKRRGQRLLRTPNVSFGSNDFFCWPPAASVLVAA >Solyc03g121060.3.1 pep chromosome:SL3.0:3:70781886:70784450:1 gene:Solyc03g121060.3 transcript:Solyc03g121060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGYSQKWLMQRGEEINHGNSEEKKLELRLGPPNGDWSCGEKDESFYPFSYMSTTKGNNRDNHAQNFSSFLQLQSTAQKQSQRVQESVCTKTADLQSTEKKKAFSQTATVQNSAQKRTAPAPVVGWPPIRSFRKNLASSSSVKSASETQNVVPNKSANKKPMEICQKGLFVKINMDGIPIGRKVDLKAYDSYHKLSSAVDQLFRGLVAAQNDSSAGGNNEKKEDEEKAISGLLDGSGEYTLVYEDNEGDRMLVGDVPWQ >Solyc01g056200.1.1 pep chromosome:SL3.0:1:52409892:52411753:-1 gene:Solyc01g056200.1 transcript:Solyc01g056200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVLGDAEAKAVALKTQMDKSENHCEDLESTAEKLNLQKKVGTRRHKWSSGLLHNAKPSSNFSIVKS >Solyc08g006720.3.1 pep chromosome:SL3.0:8:1275094:1279523:-1 gene:Solyc08g006720.3 transcript:Solyc08g006720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CIG0] MASFASSSTFSPICTFFSKPKLNLYCNSSSSSSYKPTCNSSKSSFFQNGFSLLTAKSFGSSLKSKNMANTIYARAATEKTIYDFTVKDIDGKDVPLSTFKGKVLLIVNVASRCGLTTSNYTELSSVYEKYKNQGLEILAFPCNQFGAQEPGSNPEIKQFACTRFKAEFPIFDKVDVNGPNTAPVYQFLKSSAGGFLGDLVKWNFEKFLVDKNGKVVERYPPTTSPLQIEKDIQKLLAA >Solyc05g054960.3.1 pep chromosome:SL3.0:5:65586718:65597510:1 gene:Solyc05g054960.3 transcript:Solyc05g054960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxisome biogenesis protein 12 [Source:UniProtKB/TrEMBL;Acc:K4C2H2] MLFQVGGQGTRPTFFEMAAAQQLPSSLRAALTYSLGVLALRRPFIHKILDYEDECFALLTLVLETHSLRTTDGSFAESLYGLRRRAVNIKVKGDNKGLDAREEINHTGLEKRQKVLSVVFLVILPYLRSKLHSIYNKEREAALQASLWGTEDERFGDTDYFDGNGNSIVSTSSSDTEESVRDRLRKKIRKIVAVCYPWIHAGNEGLSFAYQLLYLLDATGFYSLGLHALGIHVCRATGQELMDTSSRISKIRSRERERLRGPPWLKAVQSALLSCAYGVLDYAQTGLIAAVFFFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKDGIPLPTDRTLCPLCSQKRANPSVVAVSGLVFCYSCVFRYVSQYKRCPVTLMPATVDHIRRLFHDV >Solyc10g081370.2.1 pep chromosome:SL3.0:10:62587411:62590359:1 gene:Solyc10g081370.2 transcript:Solyc10g081370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPVNPKPFLNNLTGKPVMVKLKWGLEYKGYLVSVDSYMNLQLANAEEFTDGVSSGSLGEILIRCNNVLYLRGVPEDEELEDADRD >Solyc10g038090.1.1 pep chromosome:SL3.0:10:19545574:19546611:-1 gene:Solyc10g038090.1 transcript:Solyc10g038090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPCTTLGAVVLTPLLKMILAGTYVPVDAVKLSISTLQMSATLVGLAEPQKRVISIEVGMQNSSLGVVLATAHFTSPLVASNVSGHYEHNGQYFRFLLEIYSNITSLKS >Solyc01g013990.1.1 pep chromosome:SL3.0:1:10719358:10720289:1 gene:Solyc01g013990.1 transcript:Solyc01g013990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCWLLLHTGLDLARKNFMPKVLVKLKERRTIGNELS >Solyc03g114480.3.1 pep chromosome:SL3.0:3:65954238:65955494:-1 gene:Solyc03g114480.3 transcript:Solyc03g114480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTKTQRNMVRVSNFIITFLNCVTLLVSLVAIGFSIWLNFNHSATLCQKVLQKPLLILGVCLLVVSILGLIGSLCRVSFILWIYLFLLFLLIVGLLCFTLFAILVTNKNVSKALSGRGYKEIKSGDYTNWLQKYVVNEENWGEIKSCLVDTKFCQHIPTGKGADFYKYRLSPIQSSCCKPPTYCGLVFHNATYWTMPKAGPAVADDDCKIWSNVQSELCFNCQSCKTSFLDHIKRDWKTCSLVNLGLLLLVLFVYGVGCCAFRNTKSKGKE >Solyc03g034415.1.1 pep chromosome:SL3.0:3:6418916:6424686:1 gene:Solyc03g034415.1 transcript:Solyc03g034415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGHNTCRIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFNMDKAKVVSTPLAMHFKLSTKQCPSRKPILCGYTDSDMAGDVDTRKSTSGYLVAFAGGAVSWQSRLQKCVALSTTEDELIAIVEACKELLWMKRFLGELGCAQERVLVSLFRYSSRSSDLYSRNETPAGRFRCRTARTPAATDLISDDDRSVAADSWSIKSEYGSTLDDEQRHADATEALTAVNNRVASDYKLLIGAEPTHTELVLIKPEDQDAEGVSSMLGSQSYWDSAYADELANYLEHGHAGEVWFGADVMEIVASWTRGLCTGICKNHLSNHVGDGEQVGVHEKDLADWSVLDIGTGNGLLLQEFAKQG >Solyc02g020920.1.1 pep chromosome:SL3.0:2:20832489:20832813:1 gene:Solyc02g020920.1 transcript:Solyc02g020920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVFLPFPAIFEIIVLLSLYLFVVVFALENLEQNLVYYQNIASLFAIFYCDITVMPQTPTLLLVNVLNWLIKI >Solyc05g012240.2.1 pep chromosome:SL3.0:5:5517407:5521271:-1 gene:Solyc05g012240.2 transcript:Solyc05g012240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSTGHAAEKVAKAFVAQYYNILQTRIDQSYRFYKEKSILSWPSSDGEIMSVTTSDGISDFIMSSHFKGSKVEVKNVDSQSSVAGGVLVIIMAYLIGQDKSRKRFSQTFFLAPQETGYYVWNDIFRFIGEEEKSSTIVEENGSIDTPLAIQNNAENNVQSKAENNVQSKAENNVQSKAENNVQSKAENNVNDKVDQKPSSPKEREQKKKDPVGPAIVENEAPKITYASMIKQGRSSPPKNGGLLSAPKKPQPNNLVKSSSTGVLKVASTHSIKVARDNYDNDIEYKSIFVGGLLPNTTKNDLYAVVKEFGPLHIQDVQLKAYEAYQDGYCCGFVHFQDAISAQKAVYTHHIMVKGKRAYMRYKRHNKVHGDRANSPSERGEFQGGRRSRSRPQSSDGRWGEGYQQKYYN >Solyc01g009000.3.1.1 pep chromosome:SL3.0:1:2946502:2947458:1 gene:Solyc01g009000.3 transcript:Solyc01g009000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGSYTHWCHRCRQPIRPRGTTCLCPNCGGGFIEELDDMVGTRSNIESDPHFGLMDPFPDPRFGIMDALAAFMRQRMAGRNPNFDIRTRSGVVPGSGRGFGSGPWLIFHGQTPVSMTENDAFEYFFSNGSPAMGHRRPNFDDLMGPGLQQLIEQLSVNDRQGPPPAPRSAIDALPTVRITQRHLSIDSQCSVCQDNFELGAEARQMPCKHLYHSDCIVPWLVRHNSCPVCRLELPSRASASAPANWSSRTGNVSNGSNGSSRENSSQNQGRRNPFSFLWPFRSSNQNTSNYPERGGGSSSTSPYEENNEANYPAWRF >Solyc08g065610.3.1 pep chromosome:SL3.0:8:53811248:53817065:-1 gene:Solyc08g065610.3 transcript:Solyc08g065610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSVAGVLFLIALSLNVSVSESRNFLKLPSEGSRFFDADEIDSVGTRWAILLAGSNGYWNYRHQADICHAYQLLKKGGLKDENIVVFMYDDIANNEENPRQGVIINSPHGEDVYNGVPKDYTGDDVTVDNFLAALLGNKTALTGGSGKVVDSGPNDHIFIFYSDHGGAGVLGMPTNPYLYANDLIDALKMKHASGTYKSLVFYLEACESGSMFEGLLPEGLNIYATTASNADESSWGTYCPGEYPSPPIEYDTCLGDLYSISWMEDSERHNLRTESLKQQYHLVKERTASGNPAYGSHVMQYGDVHLSKDAVFLYMGTDPANDNSTFMDDNSLRVSKAVNQRDADLVHFWYKFHKAPEGSVSKTEAQKRLNEAISHRMHLDNSIALVGKLLFGIKKGPEVLTSVRPAGQPLVDNWDCLKSYVRTFETHCGSLSQYGMKHMRSVANICNAGIKMEQMVEASAQACPSVPSYTWSSLHRGFSA >Solyc08g083360.3.1 pep chromosome:SL3.0:8:65951414:65952524:1 gene:Solyc08g083360.3 transcript:Solyc08g083360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAIEIYWYGGLAASTLSQSSLSCISKVHQRCLRTKIKAVASSHEKEEACVKFAFVSSVLLPDGTPDVQLRKACGGQKLRDIMLDANVELYGPYARPLLNCGGGGTCATCLVEVVEGKELLNPRTDKENENLKRHPNNWRLACQAIVGKPDSRGMMTIQQLPEWKAHEWNYRGLPPNEEEEEEAASISSSSA >Solyc08g065530.2.1 pep chromosome:SL3.0:8:53707980:53719613:-1 gene:Solyc08g065530.2 transcript:Solyc08g065530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKYKVCVALVVVLSICVNIEGRSVSKFLTEETVGTKWAVLVAGSNGWWNYRHQADVCLAYQLLKKGGLKDENIFVFMYDDIANNTMNPRPGVIINNPHGQDVYKGVPKDYVGEDVNAENFFNVILANKSGITGGSGKVLNSDPNDHIFIYYVDHGGPGIVSMPTGVVYANDLIDVLKKKLGSGTYSKLVFYLEACEYGSMFDGLLPEGLDIYVTTASNPNESSWGTYCGVGDARDPCLVACPPPEFKVMFMIDKLKLWMIIMFHYDQIADRTAANLTYGSHVMQYGDMLLSVDALFQYMGVASINHSHVSMNSYKSSSQNVERRETELFYWQSKYDDAPEGSDDYFEARAKLINVVAHRSQVDNNVKHIGDLLFGVKYGNEALQTVRSSGQPLVDNWDCLKSYVEIFEAHCGKLSSYGKKHIRGIANICNAGIEREQMTAATADVCHAYQILKKGSLKDENIIVFIYDDIADNTMNPRPRVIINSPHGQDVYKGVPKLDYVGEDVNADNFFNVSLANKSGITRKCEAEDRQTETLNDRYNAVANRTTANLTYVSHVMQYGDTVLSVNVLFQYMGAASYQDAPEGSDEHFETHVKLIKTIAERSQVDNSAKHIGDLLFGVEKGSEVLQHVRPAAQPLVDNWDCLKSYIETFEAHCGKLSSYGKKHIRGIENICNDG >Solyc08g082740.3.1 pep chromosome:SL3.0:8:65551501:65554253:-1 gene:Solyc08g082740.3 transcript:Solyc08g082740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEIKNIKKWNILMFKSLKDAMCLKSVLDKAYPRDFMQRGRVRVLLKREDGTPYNPVIPSRLYIAGKQLMINVAELVRRHPNRTKKQEPAASSAAGSSKSGKGGKKKR >Solyc06g076110.2.1.1 pep chromosome:SL3.0:6:47402400:47403665:-1 gene:Solyc06g076110.2 transcript:Solyc06g076110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTISLSHLTLPTFLYFLLLSTFHLPIFAINITNLLSSYPEISDFANLFATTSVAADLTQRSSLTLLVVPNTFLRSSDLLNNRSPPSSSSSNLGDVLRYHVLLEYFSWSDLRLIPPTGKLVTTLFQTTGRAPNNFGSVNITRNVNSNSVTVHSPTSNATILGLLKTLPYNISVFKVDSLLVPNGFDLMASETRPPLGLNITKTLIDAHNFNVAASMLTASGVEEEFERDEGGAGLTLFVPTDEAFSDMSSSMKFQSLPAEKKAVVLRFHVLHSYYPLGSLESIVNPVQPTLATEQNGAGSFTLNISRVNGSVGINTGIIQASVTQTVFDQNPVAIFGVSKVLLPQEFFGKNPIGSGGGGEPVVASPPEISLSPVNSPEIFSPPSHLSSPPILGKEVSSAANRKKGIFLWCIGFFYLLAIN >Solyc07g007060.2.1 pep chromosome:SL3.0:7:1836748:1837995:-1 gene:Solyc07g007060.2 transcript:Solyc07g007060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQNLEHGQVIEVSVDITGQEKGTKICGSGPCGFSDVNTMSKDAQERSASMRKLFIAVVLCIIFMAVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWEANPRQSYGFFRIEILGALVSIQMIWLLAGILVYEAIARLIHDTGEVQGFLMFVVSAFGLGVNLIMALLLEHAHSHGDHEHAHGEHKHIHGISVSRHHHHNEGPSNRDQHIHAHDADNTVPLLKDSCEGEGEKKKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDPICTLIFSVIVLATTIRMIRSILEVLMESTPREIDATRLEKGLCEMEEVVAIHELHIWAITVGKVLLACHVKIKPDADADMVLDKVIDYIRREYNISHVTIQIERE >Solyc09g015900.1.1.1 pep chromosome:SL3.0:9:11312180:11312476:1 gene:Solyc09g015900.1 transcript:Solyc09g015900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGKKVAIDFQSYDLTRIANIRVADMVLAEAAFPGCGIERTMPFFLEKPPHQLSIFRTTQGFSVQLSYLNHFEISLLSLCNFPCPGILTMPEASILN >Solyc04g009310.3.1 pep chromosome:SL3.0:4:2760834:2765597:1 gene:Solyc04g009310.3 transcript:Solyc04g009310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4BP87] MRTQIVHKLWNRRINGTPLNSKRFYGLIPMVIEHTSRGERAYDIFSRLLKERIICINGAIDDATSHVVVAQLLFLESENPSKPIHMYLNSPGGAVTAGLAIYDTMQYIRSPINTICLGQAASMGSLLLAAGAKGERRSLPNASIMIHQPSGGYSGQAKDLTIHTKQIVRVWDTLNDLYAKHTGQPLDIIQKNMDRDYFMTPEEAKEFGIIDEVIDERPMALVTDAIANETKEKGSS >Solyc09g025200.2.1 pep chromosome:SL3.0:9:65270127:65273023:-1 gene:Solyc09g025200.2 transcript:Solyc09g025200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERTIRLLSLWVLVTDNVWAYEVPELKVCALRFTMTVRARIEKAGGECLTLDQLALRLLLDKTRCRGTCW >Solyc08g079355.1.1 pep chromosome:SL3.0:8:63049657:63050964:1 gene:Solyc08g079355.1 transcript:Solyc08g079355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSEQTFLTILNVMTSMLWGGTVKGEERASVGAEFRHVVTGIAQLVSIPNLTDFYPGLAWFDFQEMVLAKIAKTFLQVLLKLKDEADPKIPLTMTDIKALLMEMVLGGTGSTSNTIEFAMAEIMNKPDVLRKLQQEVDTVVGKDNIVEESHIQQLPCIYAVMKEVLRMHPATPLLVPHCPSETVTVGGYTVPEGSRVFINVWAIQRDTSIWKSPTEFCPERFLENKWDYSENDFNYFPFGSGRRICAGIAMADRMFTYSLASIIHSFDWKLPQGETLDVTEKFSSILKKKIPLVALPTPR >Solyc05g015160.3.1 pep chromosome:SL3.0:5:9962503:9964406:-1 gene:Solyc05g015160.3 transcript:Solyc05g015160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKISSLWISLLMSLILFCGEIHVRAYLGINWGRMATQKFVPSMVVDLLLQNGIPELRLFQPSFNVLDAFAETNIGLGITLQENFLRNIVEQKQMDDYIHERVKVYTDQGVKFRYVYVGNEPFTKSLYMKKQFNGTIRYLKMARDALDKFNLPDVKATTPHFTDILTNVSKPSEGDFREDIKGKMLEFLDCINRTGAPLFIHIFPIYSVNLYGFDVDFAFFDNKSKFKVVDGKNTYTNLFAFIYDTLVSALAKAGYGDMEIVVGQIGWPTDGYASASEKNAERFYRGLLQYIAKKEGTPLRPNRDIDMYLLGLTDENMVVTDYGPYQRHWGIYKHDGVPKYKIDFTLQDRDIKPSVAKGTVRMPNRWCVFDGKTDHNETLVLKDYDFACAKSDCSAFGAGATCDHLSFTEKVSYAYNMLYQMGNQDMKKCKLLGATLTTNNPSTPDCDFPIEILTAEVVNSGSGLTKKY >Solyc03g059060.1.1.1 pep chromosome:SL3.0:3:29715967:29716128:-1 gene:Solyc03g059060.1 transcript:Solyc03g059060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTKLETTRGVCNIHGVPIQYAMINQYQTIQYKDTIWSILYSNILSNYCIRL >Solyc11g020354.1.1 pep chromosome:SL3.0:11:11064163:11064728:1 gene:Solyc11g020354.1 transcript:Solyc11g020354.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKLNQIQDFTAENFCLQAVVYIEKILKTQRVPIIAGGSNSYIEKLVEDPLFMFKHMYDSCFIWIDVEQSVLNRRVDMRVDQVVKAGLVDEVRQIFIPDADYSKGIRRSIGVPEMDRYLREETNIDGDDESKHMILQASI >Solyc05g010090.1.1.1 pep chromosome:SL3.0:5:4280324:4280509:1 gene:Solyc05g010090.1 transcript:Solyc05g010090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWYKVPIQKKKEKIYQTDCCLSFMSFNYKCGNNQTINLTLSMNLFQIITKDLKLINKNKP >Solyc09g056180.3.1 pep chromosome:SL3.0:9:47559095:47566759:-1 gene:Solyc09g056180.3 transcript:Solyc09g056180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDSSDCTEHALFDELKALEEHLKAHGPYVNGQNVCSVDMSLAPKLCHLEVALGNFKKWSVTESLSHVRNYMKNDIKSAFWSMLLCYIEQHVSHSLFSSMQ >Solyc03g096950.3.1 pep chromosome:SL3.0:3:60761156:60770036:1 gene:Solyc03g096950.3 transcript:Solyc03g096950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAHDTEQLIVSSIDKGENSSSEINIVREPLLEGEHHAENYSVLSAVLPFLFPAFGGLLYGYDIGATSSATISIESATLSGISWYDLSSVQTGLITSGSLYGALIGSAVAFQIADWLGRRRELIVSALFYFLGALVTAFAPVYVILVIGRLLYGVGIGLAMHAAPMYIAETAPSQIRGQLISLKEFFIVFGMLAGYTVGSLLIETVAGWRYMYGISAPLAVIMGIGMWWLPSSPRWILLCAMQGKGELQGLRENAICCLCQLRGAAIGDSASRQVDDILSELSQLSEEKEATIGEMLQGKCLKALTIGAGLILFQQITGQPSVLYYAAKIFQDAGFSAAADATRASVFLALLKVLIMTAVAVVVVDKLGRRPLLLGGVSGIAMSLFLLGSYYTFLGDVPAVAVTALLLYVGCYQLSFGPIGWLMISEIFPLRVRGRGLSITVLVNFGANALVAFAFSPLQDLLGAGTVFFIFGGIAVLSLVFIFFIIPETKGLTLEEIEAKYL >Solyc01g090780.3.1 pep chromosome:SL3.0:1:84308652:84333913:1 gene:Solyc01g090780.3 transcript:Solyc01g090780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFGSAASTQIRFLFQSLNGSNSDTVIHELRQYLSYGVEGSILLLRTCIDHLNVYGKDSKNTQLDPVFASIFRCILDKPNFSTVLSESLKDTAISEEFLVNLSNAFHLAISEKIGVGLALSDSENVDVKKCGTNYCMGQIAELRSTNSSLDDAKQIQNVLLFLNQSEGLSKHVDLFMHLLSLVPSKEAQFILTPLLSDEFHEANFLRNLDFLNDDGEDDFDVLLADMEKEMSIADIMKELGYGCTASILQCKEMLSLFLPLTEVTVARILGMVVRTCSGIEDNLNMFSTFRTALGSISATDPSPLNSWNADILIDAIKQFAPELNWVTVLDNLDHEGFYIPDEAAFSFLMSIYKHACQDPFPLETICGSIWKNAEGQLSLLKYAVSVPPEVFTFAHSRRQLDCADAVNDHKIQNGHANHAWLCLDLLEVLCQLAEIGYASSVRSILEHPLKHCPEVLLHGMAHINTAYNLLQHEVAAAAFPVMLKNAAARGMMILHLWHVNTSILCWGVVEALDVDLDNMHTVLDACQELKILSSVLDRIPFAFGIRLAALASRKELLDLEKWLSNNLNTYKDTFYEACLKFLREIHLAALDDASNHFDPPSALLTIYSVLKSHSSLVSSCHLSEELDKLHIRYMDAKLRLKSVGGADTSISDGGGSDAIEAEANVYFHQMFSGQLSNDATVQMLARFKESTEKREQAIFECMIANLFEEYKFLSKYPERQLRIAAVLFGSLIKNQLVTHLALGIALRAVLDALRKPADSKMFVFGILALEQFVDRLIEWPQYCNHILQISHLRVANPELVVFIERALARISLAHSESEVGHSPAVDQFHGPIPSSPMNSEGQTFQVVGQSGLQQSTQGPSSSQLPLRQQSPIEERKPSAALSGYLKPALSPAVQPATVPSSDNAGIQKPQGPSSTSAVLTSSPGFLRPSRAITSGRFGSALNIETLVAAAERRETPIEAPASEIQDKISFIINNLSATNFEAKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKANSKSLFKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKITIGKNHVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKILEPCQSSLAYQPPNPWTMGILELLAEIYAMPNLKMNLKFDIEVLFKNLGVDLKEVVPSSLLKDRVREVEGNPDFSNKDAGGSSQPQMVADAKSGIISSLNQVELPLDVASPHPSGPSRILTQYAAPLHLPSAPMTEDEKLAALGLSDQLPSAQGLLQGQSPFSVSQLPATASNIEQQVVVNPKLHALGLQLHFQSVLPMAMDRAIKEIVSSIVQRSVSIATQTTKELVLKDYAMESDETRIRNAAHLMVASLSGSLAHVTCKEPLRGSISGQLRNLLQGLTIASDLLEQALQLVTNDNLDLGCAMIEQAATEKAIQTIDGEIAQQLAIRRKQREGPGASYFDASPYTQGHMGGLPEALRPKPGRLSHSQQRVYEDFVRLPWQNQSSQSSNAVTAVPSISSSSVGVSRAYMSGTGQLNSNVYSSGLVNAAITAVPQPLEISEETDTSSQLNSASSPHLGTGDNVTSSSFETEAIVEPFTSVSAPESHPVEPSSLAKESGASLQPSNATATSERVGNSISEPLLTTGDALDKYQIISEKLENLVSEEAEEAEVQAVIAEVPVIILKCISRDEAALAVAQKAFKRLYENATNSAHVGAHLAILSSIRDVSKLFVKELTSWVIYSDEERKFNKDITVGLIRSELLNLAEYNVHMSKLLDAGRNKSATEFAVSLIQTLVISDSRVISELQNLVDALAKIAARPGSPESLQQLVEIAKNPGANAAALSSVSFGKEDGNKQSRDKKIAVTATGTREDYGVSECIEPDSASFREQVSMLFAEWYRICEIPGANDATHAHYILQLNQSGLLKGDETSERFFRRLTELSVSHCLSSEVMSSTPQSHQAQPLSFLAIDIYAKLVFSILKFYPVDQGSSKLLLLPKVLAVTVRFIQRDADEKKMIFNPRPYFRLFINWLVDLSSLDPVFDGANFQVLTALANAFHALQPLKVPGFSFAWLELVSHRSFMPKLLAGNAQKGWPYIQRLLVDLFQFMEPFLRNAELGEPVQFLYKGTLRVLLVLLHDFPEFLCDYHFSFCDVIPPSCIQMRNIILSAFPRNMRLPDPSTPNLKIDLLAEISQSPRILSEVDAALKSKQMKGDVDEYLKTRQQGSPFLSELKQKLLLSPSEAAKAGTRYNVPLINSLVLYVGMQAIQQLQAKTPHAQSMPSSVPFAVFLVGAALDVFQTLIMDLDTEGRYLFLNAVANQLRYPNNHTHYFSFILLYLFAESNQEMIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWSRPFTRCAPEIEKLFESVSRSCGGPKPVDENVVSGGIPDIH >Solyc11g008040.2.1 pep chromosome:SL3.0:11:2250380:2267380:-1 gene:Solyc11g008040.2 transcript:Solyc11g008040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALLSSTPFSAIFAPFELTRFLLPAQSRLQLSSLTRYRSGFKSATSRSLNLRCCSTMPVQDQSESPSCSQDSLFYSRAFWVTKSIIAWNVGAGEGECYLYASRKAGLCVGDDGIQGHDVKIKLERTNYGLPQQVIEKFPHIRDYAAFEVPATLDFQSLVKCQLAVGIFNSYGKCASATGLQLPGILDDLFSYTGPLGGIFATEAVSLYLWAPTAQAVQALIYKSPSEADPVEIVQLKELDGVWSAKGPRHWEGCYYVYEVSVYHPSTLRIEKCVSNDPYARGLSADGKRTLLVNLDSDDVKPEGWDNLQDEKPNLLSFSDVSIYELHVRDFSANDPTVPHEFQGGYLAFTSQCVMGGATNDGRWLERNGDLDWYTDLMVYLRFVVRTHTHAQKRDSAGVQHLKRLSNAGITHVHLLPTYQFAGVEDEKHKWKYTDIEKLNSFPPDSEEQQALITAIQDEDGYNWGYNPVLWGVPKGSYASNANGPCRIVEFRKMVQALNRIGLRVVLDVVYNHLHASGPFSDFSVLDKIVPGYFLRRNADGGIENSTCVNNTASEHFMVERLILDDLKCWAVHYKIDGFRFDLMGHIMKRTMLKAKSFLNSLSTEENGVDGSSIYIYGEGWDFGEVAKNGRGINASQFNLFGAGIGSFNDRIRDALLGGSPFGHPLHQGFVTGLYSEPNGHDLGDKANVERMLTVSKDHIQVGMAANLKDFVLTNCDGQEVKGSEVLMHDRKPVGYASSPVETVNYVSAHDNETLFDIISLKTPKDISVEERCRMNHLATSVIALSQGIPFFHAGDEMLRSKSIDRDSYNSGDWFNRLDFSYNSNNWGVGLPPKEKNERNWPLIKPRLADPSYKPQKSHILAAVENFLNLMQIRYSSPLFRLKTANAIQERVRFHNTGPSWIPGLIVMSIEDGHQGIPGLSQLDPIYSYIVVIINPCPTDVSFANLALRAKSLQLHPVQMNSTDIIKNSTYDATSGCFNVPARTTSVFVESR >Solyc05g051410.3.1 pep chromosome:SL3.0:5:62562278:62566909:1 gene:Solyc05g051410.3 transcript:Solyc05g051410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENKDLLCTETESFDFDDLGSLGIGQKKNEINNKDLIFGKNGSRSEVLIDLPKISEESFSFMVKREIDFLPKDDYLKRLRSGDLDLSVRREAIDWIWKACMHFGYGKLNFCLSINYLDRFLSLYELPRGKTWAVQLLAVACLSIAVKMEEIHVPLIVDLQVGNPKFVFEGKTIQRMELLVLTTLKWRMLAYTPCTFIDYFVRKMNDDQIPSERLISKAIQLILCSIRGIDFLEFRSSEIAAAVAISVSKEMQANDIDKAMPCFIHVQKGRVMKCLELIQDLTLVSGTVTTATTITTTASVPKSPNGVLEAACLSYKSDESIVGSQTSPENKRRKLDTSLQEGT >Solyc09g014633.1.1.1 pep chromosome:SL3.0:9:6658350:6658697:1 gene:Solyc09g014633.1 transcript:Solyc09g014633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAGARGKCAACKYQRKWCQENYPLAPFFPSNKFEDFKNINRLYKVRTIIEMLNSVADNEKKAKTVETLILEAKNRSENPVYGSIAIQNKLRLQIEETMKEIDLVTKTTAYFKEL >Solyc01g059882.1.1 pep chromosome:SL3.0:1:68899715:68907659:-1 gene:Solyc01g059882.1 transcript:Solyc01g059882.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMFWWSAWSIYGEEFEDQLKSMGSVSKKADEGLLWYPPQHWCRAFLNTVCKNYSCDNNFTESFNKWILEARAKPIIKMLEDIRIKVMKRLKKLEEESKKWTEEYSPYSVDLYHDFRMIAQGCQVVANGDLGYELQEGRQVLPDEPILMPTLEFVASSSQQSSHQSSEEFNEVAGPSKSKRKNVSKDKVDALPKRSKTMEKKKLLHLRLQLLIRMKLKTDDENGVVVPTNLPYSPKKLTWKGKAFVTSSQLKKDKEKKIGKLKSLSSTNGADTLFADITHFCSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFCTLGRGLLIRPGDLELWGFSDSDWVNDKNDRKSTSGFLIFLGPNLISWCTKKQPKVSRSSTEAEYRALALLAAETIHNNNQHHLHIKSK >Solyc06g075470.2.1 pep chromosome:SL3.0:6:46971563:46980406:-1 gene:Solyc06g075470.2 transcript:Solyc06g075470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRHIGPNFQIPAGSILVFVMVSTALFLALFDKFLFPTWKKLTGKSLTPLQRIGVGHLISFVSMGVSALVESKRLNVAKSNQGSKIVPMSVLWLVPQLAIVGIAEAFHFPGQVTFYYQEFPITLKNMATAMIQVIVGVSFYLTTALIDVVRRTTTWLPGNINNGRLDNVYWILVVGGILNFGYYVTCAWFYNYKTMKEVVDHSEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIKLWFKPEELVRYTSNAEKWIYASFVGLALAFVGWTSNLIVYLIKEFDVESIDAAQITNLVNGAGSLIPVVAAVIADSFLASSVGFTLAFGGLTSNLIVYLIKEFNVESINAAQISNLVNGAGSLAPVVAAIIADSFLGCFTIIWISSIISLLGAILLALITTVDSLKPTPCEFGSTSCTPIPKIQYVVLYIAIALATLGNASLRSSLTTMGANQFDKPKDQGIFINWFFFFVAASTIVASTAILYVEDNVSWKAGFFICVAANVLGAAIFLLGTRFYNNSKPEGSPFTSLARVVVASIRKRKLPLPSTSEDLYQGLMLVEPSKTCRFLNRAAIKSEGDMAQQLSHGNFVQSKKLKISKP >Solyc11g069770.2.1.1 pep chromosome:SL3.0:11:54696999:54697535:1 gene:Solyc11g069770.2 transcript:Solyc11g069770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DLIMARLGRRRIRIEKIENKKKRSVTFSKRRYGLFKKESELSMLCDSPNATVVFSPDDETCVYSIGYPCVNSVLDKFMDVNPPQNLDDTGSLSVHRRNAIREGVLALMEIEEEFEEEKKREKSLDTGISYENLNSSVYQNFIEKIEIGYMEAEQLAIELKERNVPFPYSTFGDALAPK >Solyc11g033270.2.1 pep chromosome:SL3.0:11:26479065:26514988:1 gene:Solyc11g033270.2 transcript:Solyc11g033270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQMANAAFHKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNVIMQEIDLLKNLNHKNIVKYLGSLKTKSHLFIILEYVENGSLANIVKPNKFGPFPESLVAVYISQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCLPPYYDLQPMPALFRIVQDDHPPIPDSLSPAITDFLRQCFKKDARQRPDAKTLLSHPWIQNSRRALQSSLRHSGTIRDIEEDGSAIREASNEEDKGAAGSSSSDKAKESSTTLASPEVLETSKSEEVDGSSSIRIEGRTDKIEDQFMSDPVPTLAIHEKSPIQNNTDGLAVNKESALQSSTDLSEPDKVFANGELESSESRGRNTVGRKVEDKGHGVNAYSASSSSGQKNTDYSPRKAVKTSVVPQGNELSRFSDPPGDASLDDLFHPLEKNLENRAAEVSLSASSSQIAQNNAIAETGKNDLATKLRATIAKKQMESESGPPNGGDLLSIMMGVLKEDVIDMDGLGFDDKLPTENLFHLQAVEFSKLVSSLRTDESEDVIVSACQKLIAFFHQRPDQKLVFVTQHGLLPLMELLEVPKTRVMCSVLQVLNLIVQDNTDSQENACLVGLIPVVMSFAAPDRPREIRMEAAYFFQQLCQSSPLTLQMFIANRGIPVLVGFLEADYAKYREMVHMAIDGMWQVFKLQRSTSRNDFCRIAAKNGILLRLINTLYSLNEAARLASASGGGGFPPDGLAPRPRSGPLDHGNSSFMQTEVPPYGTDQPDMLKIKNGDRVLPSGIPEPSRNSASHSPDSPFFRQDGERPRSSNATVEASGLSRLPDGNLVTKDRESLDRYKNDLFRAEIDLRQQRGGNTSRISTDKGSKQMEGASYGFPASTASQQENVRPLLSLLEKEPPSRHFSGQLEYHNLPGLEKHESILPLLHASNEKKTNGLDFLMAEFAEVSGRGRENTNLESLPRSPHKAATKKVGGAASTDGIASTSGFASQTASGVLSGSGVLNARPGSAASSGILSHVAPPWNADVAREYLEKVADLLLEFAAADTTVKSFMCSQSLLSRLFQMFNKIEPPILLKLLKCINHLSTDPHCLEHLQRADAIKYLIPNLDLKEGPLVSQIHHEVLNALFNLCKINKRRQEQAAENGIIPHLMHFIMTSSPLKQYALPLLCDMAHASRNSREQLRAHGGLDVYLSLLEDELWSVTALDSIAVCLAHDNESRKVEQALLKKDAIQKMVKFFECCPEQHFLHILEPFLKIITKSSRINTTLAVNGLTPLLVSRLDHRDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQTSGGQVLVKQMATSLLKALHINTVL >Solyc10g046933.1.1 pep chromosome:SL3.0:10:38120870:38256230:1 gene:Solyc10g046933.1 transcript:Solyc10g046933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTLIQYMTHLFASCSIHLPLSPKFHVTIGCGCIVQPKRHSSERKGPIWTSESGFLLIFWCNRNLIIPRIPIKKIIPPFSGKSIQHLIHEGHRKMHFTFLWKVHLVRLDDTWTLILLLSFLLWFSYKALSLPNFLHVFGGHLHLSGFISVKWFPEHRLRVHGAVRQESYEIVEQGSCFHEDVKEWFLCEGYSDWNSTKLVTSPHSKTPANVEASEDPSDTWTLTVSSLKTQYSDM >Solyc09g011890.1.1 pep chromosome:SL3.0:9:5168339:5169051:1 gene:Solyc09g011890.1 transcript:Solyc09g011890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFGSSISENGTRNNIKKLELKLTVRKSTNMVLCAEADNDFVDSLFNFLTIPLGSIEDVLKGNSGLGCIDNLYKSVENLDSKWFNTPPPDMNIHRHEKTLKMILLKPDQMISVGELEALSLLKASLTSTSSALTAGLNHMLKNPRDKDVMSNAKKLLCSG >Solyc11g070130.2.1 pep chromosome:SL3.0:11:54949360:54952820:1 gene:Solyc11g070130.2 transcript:Solyc11g070130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Profilin [Source:UniProtKB/TrEMBL;Acc:Q8VWR0] MSWQTYVDDHLMCDIEGNHLTSAAIIGQDGSVWAQSANFPQFKPEEITAIMNDFAEPGTLAPTGLHLGGTKYMVIQGEAGAVIRGKKGAGGITVKKTNQALIIGIYDEPMTPGQCNMIVERLGDYIIEQGL >Solyc03g031660.1.1 pep chromosome:SL3.0:3:4135642:4140324:-1 gene:Solyc03g031660.1 transcript:Solyc03g031660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRNSMVNGSATCCTVEQELKEEKTRNVNIAEISAELQREKQKNAKLMKRISVLESHIQGKHKDINFSNGNASSQSIDERHFRKLKRQRVAQSIDIDPNEDRTRKMDSEVKDHTEIFLTKDVHTEHCLVNWMSTDDTQFLNFERSKDSDSAEDNNPDDSEDEDDQESEDSGTYTDIVDRGNVKSLQIDKESDELKEDTGEASDPPVLESCDHHADMDVCKNAETNMLEAYEELSKACPIDHEIRRPGSASSHKKSLKMAFCPKEVKKMLGSKELSLENAQSHTMRKILVFTPLGTRHGSEDMYELDFNHFSILHKGEPYIDSKNPGEHVLYDNPGFRRKIFYPNRHNPTLCPVKILEEEKSMRPSDATCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPVICRMAMLIHIRSGSFFFKALGITLLFMAGFPNDLVQRETKYKNLDLLQKYYRTDEDAEKEELFLSHTETDAINISPTSEQGKKASTKSSKVKREAISKTKTSEKATTIHSKPSCSAPPPEPFGLMGYASSPSQMTIPIPSTQAPADTRKPEMANTAANIHYHNQCSYPVFPMYPPNSVMPFVYWPQPNAIAPFPYPSSYGYIAPGSCITLHPYSSYYNPFIPLTLGTDEKQNGALDEAKRESNSSSSSTDSRQK >Solyc04g071990.3.1 pep chromosome:SL3.0:4:59098772:59140862:-1 gene:Solyc04g071990.3 transcript:Solyc04g071990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATCERWIDGLQYSSIFWPPPQDAQQRKAQITAYVEYFVQFTSEQFPEDIAELIRNRYPSKENRLFDDVLATFVLHHPEHGHTVILPIISCIIDGTLDYDKSCPPFASFISLVCPSSEKEYSEQWALACGEILRILTHYNRPIYKVVQQGGEADRSSQGIDASTSKSADSGPSMPSVHHERKTLRPLSPWITDILLTAPLGIRSDYFRWCGGVMGKYAAGELKPPSTASSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTPMDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYATNLRLPRNWMHLHFLRAIGIAMSMRAGIAADAAAALLFRVLSQPALLFPPLRQVEGIEVQHEPLGGYISCNKKQRQVPLAEATVEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLTSSAVDLPEIIVATPLLPPILSWNLYMPLLKVLEYLPRGSPSETCLMKIFVATVEAILQRTFPSESSREEIRRNRYNMFGPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVTHEAKTNGSRRPVGKDPHHVSAMGSESLEVGGKQKEKIPKKLKKQGPVSAFDSYVLAAVCALSCELQLFPLLSRGSNYSDPKSILVAAKHANDSSMELKNGIHSAVCHTRRILTILEALFSLKPSSIGTSWSYSSNEIVAAAMVAAHISDLFRHSKACMHALSVLIRCKWDNEILSRASSLYNLIDIHSKVVASIVDKAEPLEAHLIPVPVLKKRSSGLNGKKHNKYSNCTCLTAEQSSLLECKHSTDCKTLTMSEKVLHSSEAAQCTSGKGIASFPLDASDLANFLTMDRLIGFNCNAEDLIKSVLTENEELCFSVVSLLWHKLIASPEIQPSAESTSAQQGWRQVIDALCNVVSALPAKAATAIVLQADKELQPWIAKDDDLGQKMWRINQRIVKLIAEVMRNHDTPESLVILASAPDLLLRATDGMLVDGEACTLPQLELLEVTARAVQPVLEWGESGSVIVDGLSNLLKCRLPATVRCISHPSALVRALSISLLRAIMQTGSIKTRANRADVNGIHGPAYKYLNIGTINWQRDIEKCLTWEANSRIENGMCTQFLDMAAKELGCTISI >Solyc01g008800.2.1 pep chromosome:SL3.0:1:2764933:2774775:-1 gene:Solyc01g008800.2 transcript:Solyc01g008800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVVELEIEGSELLNNFQDLSIGEQTEVESNSEERYQVDCENNYHGDCAICLNKIVLQETALVKGCEHAYCVTCILRWATYKNEPTCPQCKHPFEFLHIHRSLDGSIQDYMFEESVCLLLRASWFEPFVEEIDDYMDYEDDEELEDFHVTSSPSLRISNRRWGNNGYVRAGRQEASPAHPPSSHDSSAGSSRQTTKNETAVSKVTVGRRAKRTLKREAADKAAAKKHQSHLLRLGEDTRKTFTSHLYQALKNKGILTFQDDKRLEDGDSISKELSKAIKESQVALVVFSKNYATSRWCLNELVKIMECYKDEDGKTVIPVFYDVDPSHVRYQSESFAEAFAKHELQFKDDVEGMQKVKRWRTALCEAADLKGHDIRQRVESENIQRIINQVLSKLCKTSVSYLQDVVGINIHLEEVKSLLKLEVNDVRIVGIWGMGGIGKTTIARAIFDTLSYQFEAACFIEDVKENRFGMHSLQNILLSELLREKDSYVNNKEDGKHMIARRLPFKKVLVVLDDIDHRDHLDYLAGNPSWFGDGSRIITTTRDKHLIGKNDVVYEVSTLVDRHAIKLFNQYAFKEEVPDECFEKLSLEVIRHAKGLPLALKVWGSFLHKRDITEWRSAIEEMKNESNSEIVEKLRISYDRLENIQQEIFLDIACFFRGKVKDHIMQILESCYSGANIGLRVLIDKSLVFISDNNTIQMHDLIQEMGKYVVKMQKHSGEASRLWDVEDFEEVMVNDTGTKAMEAIWLQYIQNLCFSEKAMKNMKRLRILYIGGFQIHVDSIEYLPNSLRWLAFYHYPWESLPENFEPKRLVHLNLRFSLALHHLWTGIKHLPSLRTLDLSYSTNLMRTPDFTGMPNLEYLNLSYCSNLEEVHHSLGCSRKLSLLYLCFCTLLKRFSCVSGESLEYLYLHDCYSLDTFPEILGGVKPELKIKMERSGIREIPSCIQYLTHITKLNLKDMKKLVSLPSSICMLKSLVELDVSYCSKLESLPEEIGDLLKLEKLDATCTLVSRPPSSIVCLNKVKFLSFAKRNSEVGLEGGVFFVFPRVNEGLSSLEDLDLGYCNLIDGGLPEDIGSLSSLKKLHLDGNNFEHLPRSIAQLGALRFLYLSDCPNLKEFPQVNDGLRSLEDLDLSYCNLVDGGLPEDIGSLSSLSKLHLDGNNFEHLPRSIAQLSGLRFLDVSYCTRLKELPDFMLMPDLYFLHLIDCMSLEEVHHSLGFFEKLTHVCLYNCKRLKRFPGMCIDSLKCIRTWGCSSLESYPKIIGTIKVESEIHMLDSVMCDLNSNSSFPHSLSQRIVSLQHDISASDFLSLIRVFTVHYPEKKIPSWFHHQGMDTSVVSISLPENWYAPDNFLGFAVCYSSELIDITAHLIPLCDDGMSWMTLELNLSRDSKCYTEFSDYSECETESSDYSECATELTLHFFLVPFSSLWNTSKANGKTPNDYRLITLSFSGEMKKLGCRLLYKDEPALVETLLQMRENNDEPTERYIGIRSSRYNNSEHHDSVTNEASCSSSMKQRSRSNIRGSVARLFRNIATLSCKSRNSA >Solyc06g061030.3.1 pep chromosome:SL3.0:6:39185345:39201980:1 gene:Solyc06g061030.3 transcript:Solyc06g061030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCTENELLEWKDFPKGLKVLLLDTDSNFASQMRSRLQQMDYIVYTFCNENEALSAISSKSEVFHVAIVEVSAGNSDGGFKFLESAKDLPTIMVSDIHSINIMMKCIALGAVEFLQKPLSDDKLRNIWQHVVHKAFHSGGKSVSESLKPVKESLLSILELQPVKHEADNENTNEAEPLISVVENQKASSSCCDKYPAPSTPQQKQGVRSVDDVDYQDHTILSNEQDSGMHEGDTKSVETTSCDSVAETTVLADSSERLGEAITKEEHYSAADQHMEDPIATCSPSNDNGSTCSADPNKASGLHSSSGTKANKKKMKVDWTPELHKKFVKAVEKIGIDQAIPSRILELMKVEGLTRHNIASHLQKFRMQRKQILPKEDERRWPRPQPRDPVQRTYYPHKPVMAFPTHHSNHATTAGQFYPAWIPPGGYPNGAHMWNSPYYHGWQPPETWHWNPQPGLYADVWGCPVTPPSLGSCTPYPQNASGFHRAEGMLNGYSIIQKSVDLHPAEEVIDKVVKEAINKPWLPLPLGLKPPSTESVLDALSKQGIPAVPPRHHRSHRPH >Solyc07g040715.1.1 pep chromosome:SL3.0:7:49542156:49542519:1 gene:Solyc07g040715.1 transcript:Solyc07g040715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHPSKSPYSALVLFQKKQDGTLSMCVDYRALNKTIMKNKYLIPLVLDRMDRIVDGDEPKTTSITRYRLYEFLVMTFGINNAPTTFYNLMNDILFEYLDEFMA >Solyc02g065720.3.1 pep chromosome:SL3.0:2:37438349:37449191:1 gene:Solyc02g065720.3 transcript:Solyc02g065720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLRDECEDFANPNSSIYRNCICFPTLIQNFLHVYASLFHRGERHVIPSSDQGAASLSSTATLDDSLSDMYRSPPRPLPYDADPRYFRLQQDGQVSRREKGSSHSQEETEPLQRSFNDPESLSDVNKWSLPTFEEGSKEFNKSSVEFSTAKMTSGDAHSYYYSEDEDVCPTCLEEYTEENPKIITKCSHHFHLSCIYEWMERSDSCPVCGKVMAFDETT >Solyc10g086670.2.1 pep chromosome:SL3.0:10:65570913:65572703:-1 gene:Solyc10g086670.2 transcript:Solyc10g086670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKEKTIGVAVDFSRSSKEALKWTVENLAEKSDTVYLIHIKTHTLSESRDQLWAKTGSPLIPWVEFRDPEVMKYYDIKKTDVQVVDLLDAATKQKQINIVAKVYWGDAREKVCDSIEDLRLDSLVMGSRGLSAIQRIMLGSVTNYVLNNAACPVTIVKDPDFHKH >Solyc09g092480.2.1 pep chromosome:SL3.0:9:72036747:72046299:1 gene:Solyc09g092480.2 transcript:Solyc09g092480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLKNDCHVLLVTFPGQGHINPSLQFAKKLVNLGLNVTFSTSLTAFNRISKLPNIEGLSFTPFSDGYDGKFEGSLDEFESFYSSLVSHGSEFMTQIIESRAVEGRPFKRVIYTTLMAWVGIVAKGINVPSTFFWIQPATVMDIYYYCFTDYADCFKNCSEDQVVDLPGLPQLSPRDFPSFVFTDVNSKYGWGVKSIIDQIELLNSEENPRVLVNTFDDLEFDALRALKNLTMVGIGPSIPSAFLDGNDPLDKSFGADLRSSSENYMDWLDTMTKESVIYIAFGSYSEISSQLMEEIGQGLVKCGRPFLWVIREEKEGGHPEEKLTCKEELEKQGKIVRWCSQVEVLQHPSLGCFLTHCGWNSTLESLSSGMPIVACPLWTDQGCNAKLVQDVWKIGVRVNASKEGVVERDEFKRCIEIVMKDGEKRVELKKNAKKWKDLAKEATKENGSSNVNLKAYVNEILLTQGHINPSLQLSKRLIDLGIKVTLSTSLSAFNKIKILPNIEGLSFAPFSDGYDGNFKGSFNEFHLYYSSIKSHGSEFIFNLIKSNAKNCTPFTHVIYTVVMEWTALVAKELNTPSTLFWIQPATVFDIYYYRYTDYSDYFKNCDSNDKIIELPGLPPLSPIDFPSFVFDNVECNNWAAESIKTQIDLLNSEKNPKILVNTFDDLELDALRILNNVTMIGIGPLIPSIFLDDNSFRADMIEVSSNNYMNWLDLMTKGSVIYVAFGSYTETSSQLMEEIGQGLLKCGRPFLWVIREGQNRKNLTCKDELEKKGKLVSWCSQAEVLKHPSVGCFLTHCGWNSTLESIASGVPVVACPIWNDQLCNAKLVQDVWKNGVRVNIGDKGIAERDEFERCIEIVMGNSEEGGKLRNNVKKWSNLAKEAMKKNGSSRLIEMGIEVTFTTSVFAHRRMAKIAASTAPKGLNLAAFSDGFDDGFKSNVDDSKRYMSEIRSRGSQTLRDVILKSSDEGRPVTSLVYTLLLPWAAEVARELHIPSALLWIQPATVLDIYYYYFNGYEDEMKCSSSNDPNWSIQLPRLPLLKSQDLPSFLVSSSSKDDKYSFALPTFKEQLDTLDGEENPKVLVNTFDALELEPLKAIEKYNLIGIGPLIPSSFLGGKDSLESSFGGDLFQKSNDDYMEWLNTKPKSSIVYISFGSLLNLSRNQKEEIAKGLIEIQRPFLWQGKIVPWCSQLEVLTHPSLGCFVSHCGWNSTLESLSSGVPVVAFPHWTDQGTNAKLIEDVWKTGVRMRVNEDGVVESDEIKRCIEIVMDGGEKGEEMRKNAQKWKELARAAVKEGGSSEVNLKAFVLQVSKSC >Solyc05g008310.3.1 pep chromosome:SL3.0:5:2684723:2689644:-1 gene:Solyc05g008310.3 transcript:Solyc05g008310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BX05] MSPLFVFLFVLSFSSLINLSKSNNFTSQNSSIIGNTIGGNKWISIASTIVSSSGNFEMGFFTPGNNSNYYIGIWYKKISKQTIIWVANRETPISIYEMDFAQFKVDNGNLVLTNGTRHIIWSTNINYTTNFNNSQVVATLYDDGNLILSNNSTNHLWTSFDHPSHTFMPGSKFGYDKRTRLKQVLTSWKNANDPSPGPFTHEVDMENKYIGQGVNMWNHSVVYWNSGPWTGNNFTGVPYQPNPMFNYTYVNNEDEVYYMYNFFNPSLISNFIMDVNGQTKQLLWMDSTNDWNVFYTDPKQVCDVYSYCGSFGICNEVNSTSTCDCLSGFKPKFEKDWKLSSFSSGCMRKTSLNCGDFGEKDRFWRYKNMRLPTNNESLRVGNEVECENGCLEDCDCVGYAYGNGNIGCLIWKREMLNLQQLAQDNVNGSTIYVRLASSEFSSNQDQKQTSTKLKIAIPIGVIAALLILSCFFIYYRKRRNSKVKEGSTKFHRQNTEGEGLELIDIQDDDIEVPFFSFESILVATDDFSEQNKLGQGGFGPVYKGIFSGGREIALKRLSSHSGQGINEFKNEVMLIARLQHRNLVRLLGYCIQSSEKILLYEYMANKSLDTFIFDRKRSAILDWRKRIEIIEGIAKGLLYLHHDSRLRIIHRDLKTSNILLDEELNPKISDFGLARVVEGKITQANTNKVVGTYGYMAPEYAIDGLFSIKSDVFSFGIVILEIISGRRNTGFFHQEEASNLLGLAWRLWTEDKSMDLVDQKLHESCNKEEAIKLINIGLLCVQEDPKDRPNTSNIIMMLGSENSNIISLPRPNQPAFMTRKCGNNNNTTSSSNAKSDGVSNNQLTVTIEMGR >Solyc08g007260.1.1.1 pep chromosome:SL3.0:8:1836516:1837133:1 gene:Solyc08g007260.1 transcript:Solyc08g007260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGWGRFTQTLSLPLRGREGPSAYLFQSFVKSNCKSFFLLFFPPDKKESLPKHLKYWRDKDESIRIYGPLPHRVSKVMSYPEHMKSSKYCLCPMGYEVNSPRIVEAIYYECVPVIIADNFALPFSQVLNWTAFSVVVSEKDIPRLKEILLSIPLRRYQAMQNNVKMLQKHFIWNSTPTRYDLFHMILHSIWFSRLNQIQVSQIS >Solyc07g065690.3.1 pep chromosome:SL3.0:7:67493676:67498825:1 gene:Solyc07g065690.3 transcript:Solyc07g065690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKASNSPLMANRDDFINPNTSSPVTVSDADSFLLDSSQIGSASGSFQNEGFLSGADGGAAAAADAEFGFSRPDFRQTQLVGTVDFYERHVFLCYKNPQVWPPRIEAAEFDRLPRLLAAALTARKNDVKKQTRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGNPEALTGWYIFVCCHGSRDRRCGVCGPAIVSRLLEEIESNGLQGKVSVSPCSHIGGHKFAGNVIIYGRNTHKEVSGHWYGYVTPDDVPQLLEQHVAKGEIVDWLWRGQMGLSEDEQKASQQHRLSIYGGTDVDRGTINSNDVGIRTCGSQLEGMGCCQANGNVSCCQTTQPPVDADNFNLNQENAEFTTEKKSSFKRQVSRSSSGKGTRSRKVCSMPTWYESWEREDTYAVLAVVGAAISVAYAFNCYRQLK >Solyc07g066100.3.1 pep chromosome:SL3.0:7:67752686:67755189:1 gene:Solyc07g066100.3 transcript:Solyc07g066100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCRYCFSCIFLLFSFFISASASAYLSDGIFVNEGLTGRNLLQAKKPCPISFEFQNYTVVTTQCKGPKYPAKQCCEALAEFACPYSEFVNDLTTDCASTMFSYINLHGKYPPGLFASECNKDKNGLPCPDSASSESASANNSHMSCRLSPILMIATALTGFFLLLL >Solyc08g023650.3.1 pep chromosome:SL3.0:8:26790687:26791888:1 gene:Solyc08g023650.3 transcript:Solyc08g023650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PHCKGMTRISETRATPRRMLERSLPDSFGGSPNRGMPSSLYRTEGWPGLAILIESLLMANKDFRHGGLLMVNSVSDSLCNTEVHQAYSPPHDRSLSGFWNYATT >Solyc01g108220.3.1 pep chromosome:SL3.0:1:95464623:95472015:-1 gene:Solyc01g108220.3 transcript:Solyc01g108220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRATTRSTHSIDRIHFTGDRSTVISTR >Solyc06g072000.2.1 pep chromosome:SL3.0:6:44505140:44517796:1 gene:Solyc06g072000.2 transcript:Solyc06g072000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKKSSTSRPPTGNKRSSSSLDDKSPPSQRPKANDDKLNSSEKSMTPSEKAKELCSTDQSKLGGNNSTNEKIGCAGTEKPWCRLISEFPQNPTVEISEPRFMVGVGKDLPENAMYQNNWVAIIERKANRKPVRVNGHKLDRNTSGLLNSGDEIVLGQKGKYAYQLLFSPPNVNVGSSSSSAADVGQGKMLEQRRLTEESMHGSTSSGMSCVLREQIRATIIDGIQVSFDDFPYYLSETTKAMLIANTYIHLKHREQLKYVSGLPAVNSRIMLSGPTGSEIYQEMLVKALARYYGAKLLIFDSNAFLGGLSVKETEPMKEACSAHKSSSSGASNVFRTGDRVKYIGSASGAQHLGPVWCPKVGSTGRVALSFNDNLFAKVGVRFDNPFIYGIDLGGLCDDSHGYFCKVSELCVDAPAVEDPYTLLTNTLFEVVFNESLYSPFILFVKDADKVMAGNAKLYSTFKSRLEKLPNYVISIGSHAHSDNHKEKDSPEKQHDKGKEVAKNSKFLTELFPNIVAIHMPQDSPEKQHDKGKEVAKNSRFLTELFPNIVAIHMPQDEALLSIWKQQLEQDAYTLIMKENFNSLQTVLSRNGLKCNGLQTLCITDRNFSVESAEKVVGWALSHHLMQNKSVDPDEALVLSPVSIQYGLKVLLNQNDTKSLKKSVKDVATENEFENRILDDVISPGDIGVTFDDIGALENVKDTIKELVMLPLQRPELFCKSQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKLFGEGEKCVKAVFSLASKIAPCVVFVDEVDSLLGRRKNSREHKAMRKIKNEFLVNWDGLRTKDSERVMILAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLAQDVDLDSVASMTNGYSGSDLKNLCVAAAYRPIKEIVEKEKKEHAAARADGRPPPAPYSSADLRPLNMDDFRYSHQQVCASVSSESVNMTKLLEWNDLYGEGGSRKKQSFSYYI >Solyc09g090440.1.1 pep chromosome:SL3.0:9:70432759:70434479:1 gene:Solyc09g090440.1 transcript:Solyc09g090440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKCRTLNHLKELHCQLLKLYLPETPSAIAPLLSFAVNSRIPSFFNYSRIVFQNLGYQSTFLYNTMIRGYMQSEMPIPAIICYKDMLRDKLIVNNYTIPPLIKACSMVSNEFSLLGFSVHAHSLKLGLQYDRFIVASLIEFYSLHLEMDRARILFDEIPDRDVVLFTTMIDGYGKIGDVGKARLLFEDMPERNVISWSAMMAAYSRASDFKEVLCLYRRMEEDGLKPNESILVSVLTACAHLGALAQGFWVHSLAKHYSYESNPILATALVDMYSKCGRIELASSVFEEMNYKDTGAWNAIISGFAMNGDAMKSFQLFYRMLASGNQPNETTFVAVLSACTHANLVEKGLSLFERMSSVYGVEPRFEHRACVVDLLARAGKLEDAEKFIIENMGGIEKGDANVWGALLGACRVYGDLKVGDRIWRKLSNMKVADYGTYVLAYNMYKEAGWEMEANCVRKLIEQMRIKKQPGCSIVEVNGVVKEFLASDLLHPKSRIVHDVLESLPNIMDKSIAVLFVFMYSEARIAPCYAKWPVDIGNEIKNGSYAELFGSQDCSNSMN >Solyc12g035313.1.1 pep chromosome:SL3.0:12:39753599:39764613:-1 gene:Solyc12g035313.1 transcript:Solyc12g035313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVLRVTHLVNPDSCKSITGSKKNDFRDEARPVWCDVDPSVVDTIIAESHDINDKQVEIKRTIREGSAESKDLSTKGVFVGGIPTVMDDDEFKGFFSKYRKKAEPKKPSRPASGPAYGNESRGRAYNDIYDGGFGNSYNNFGNGWGFVLAPYRSSRGMSYTFCEYGYGDGESCGR >Solyc08g078670.2.1 pep chromosome:SL3.0:8:62570510:62572436:1 gene:Solyc08g078670.2 transcript:Solyc08g078670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVFFALVIFISMFLFYSASAALVFPLTHSFSRTQFNSTHHLLKSTTVRSASRLHHHRHPHRQVSLPLTPGSDYTLSFSLGSQTISLYMDTGSDVVWLPCHPFDCILCEGKYNPTSIPNPGPINLTSAVPVSCKSRACSAVHSSLPSSDLCTIAKCPLEDIEISDCKSYSCPPFYYAYGDGSFIAKLYISHTFDTNQVHRPSPLILGRYSIDEKMKQMKNYYSDYAYTPMLENPKHPYFYSVGLEGVSIGKTKIPAPASLRRVDRRGNGGMVVDSGTTFTMLPLKFYETVVTEFDRRVGPVLKRANPVEEKTGLHPCYYMDSGSKNVPQLLLHFGGNSSVVMPRRNYFYEFVDDEKVKRKVGCVMLMNGGDEGESGPAGILGNYFQQGFEVVYDLEKKRVGFAKRKCASLWDNLNQH >Solyc08g015825.1.1 pep chromosome:SL3.0:8:5987567:5998534:-1 gene:Solyc08g015825.1 transcript:Solyc08g015825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMILDLLRDAFEFAKIPDSVYEAKKTINKLLGHFKSLIGNKSQAKGCIAEGQKIEEALTLYSRYFEDIKSRVNRPKRVNDETNHDEVPERSSMFPRQGKVVGGFITFALTHLEKTQAHRYVLHNCASAKPFIDEFRQHIKRSSRGRKPSITEVENRINIEFTDWFPKRIMNPDIEDTISHDIKFLAQGPAPYARRFTAYNINGPLLSRIGTKRMVGTTNIIL >Solyc07g006510.3.1 pep chromosome:SL3.0:7:1308820:1317748:1 gene:Solyc07g006510.3 transcript:Solyc07g006510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKERFVRFYSGEKQQGSFLGGIADSSNVEKKFSPPLLNSDGKYKVADTLKFGRPKKVYPEDHESWRKRILDPGSDLVIQWNRIFIISCLLALFVDPLYFFLPIIQGTKESSCVKTDLNLRIVVTIFRTIADFFYLLHVFIKFRTAYVAPNTRVFGKGELVMDPGEIARRYLKSDFFIDLIATLPLPQIVIWFIIPATRSQHANHNNNALALIVLIQYIPRLYLIFPLSSQIIKATGVVTKTAWAGAAYNLLLYMLASHVIGAAWYLLSVDRYTSCWKSICRKEDSSTQCVLRYLDCDTFDLNPRKSWANITKVFESCDPDGDSTFKYGIFASAVKKDVVSSSFIAKYFYCLWWGLQQLSSYGQNLSTSTFIGETSFAILIAILGLVLFAHLIGNMQTYLQSLTLRLEEWRLKRRDTEEWMEHRQLPEDLRKRVRRFDQYKWVATRGVDEETILHGLPTDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFIIRGRLESSTTNGGRTGFFNCITLRPGDFCGEELLAWALLPKSTTNLPSSTRTVKALVEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSHHWRTWAACFIQAAWRRHKRRTMAKDLAALESFSLDESTVDETEQNEEHDQGNANSNPSPRISHLGVTILASRFAANTRRGAQKLKEVRLPKLQKPEEPDFSAEPDD >Solyc11g013230.1.1 pep chromosome:SL3.0:11:6137953:6138465:1 gene:Solyc11g013230.1 transcript:Solyc11g013230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYISKDILPILRAETSPSNFWYWLTGLLNWSR >Solyc09g013090.3.1 pep chromosome:SL3.0:9:5495235:5500181:1 gene:Solyc09g013090.3 transcript:Solyc09g013090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFSNETVLDELRHGFAQFELVTSPVASVSASSYRPNQRGNPFFASSAATGHQFFARIGPSLGGASPALKKVERYSVQKITGDGRCMFRALVKGMAFNKGVKLHPRDERDDAGNIVHKLMKKSSFPFVKDELRMAVKEAICDDEKERLKYEEALVAITVEESLRRYCQRIGRSDFRGGESELLVLSKLCCQPIMVYIPEQEHGGRGSGFIPIAEYGAEFRKGSKNRKARKVVRLLYSGRNHYDLLL >Solyc06g007590.3.1 pep chromosome:SL3.0:6:1582958:1596443:-1 gene:Solyc06g007590.3 transcript:Solyc06g007590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATSTATALRRLSLISSHFRTHCSSLQVSPFSCSSTDGENHENGCVFCMIVRGKAPALKVYEDDVCLCILDANPLCFGHSLVIPKSHFTSLQETPSSVVAAMSSKLPLISSAVMKATGCDSFNLLVNNGAAAGQVIYHTHIHIIPRKASDCLWTSETLSRCPLKSDEAQKLADGIRENLSISSNIEDSKGQGSSLVVN >Solyc04g076070.1.1.1 pep chromosome:SL3.0:4:61118592:61120127:1 gene:Solyc04g076070.1 transcript:Solyc04g076070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIGHTKCSLCSSSRLFSSSVQWISPLDYQGRNSLRPGAPIERDGTSEQVPRKRKYISHESAVNLIKQEKDARRALEIFNKVSDQKGFNHNNSTYAVLLHRLAVCKKFETVEAIIHQMKYETCKFHEGVFTNLMKHYSRSSLHEKVLEMFDAILPIVREKPSLNAISTCLNLLVEAKQIELAKEFLLNVQKHLYLKPNTCIFNILVKYHCKKGDVDAAFVVVEEMRKSRVSHPNLITYSTLMDGLCRCGRLQDALDLFEKMLAKDQIPPDALTYNILINAFCRAGKVDRARNIIGFMRKNGCQPNIVNYTALMNGFCKEGRVEDAKEVFHEMKGVGLKPDVVGYTTLINSFCRAGKVDEGIELLDEMKDKGCKADDVTIKIILGGLCRASRSSEAFNMLERLPYDGVHLSKESYRIVLNFLCKEGELVKAMDLLGLMLARRFVPHFATSNELIVQLCEAGKAADAALALFGLLEMGFKPEPQTWSMLIDVICRERKLLPAFQLLDELVLQ >Solyc02g081350.3.1 pep chromosome:SL3.0:2:45898055:45900512:-1 gene:Solyc02g081350.3 transcript:Solyc02g081350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPKCGANYVPLTPLTFLTRASNSYANRTSIIYANIRFTWRENHERCRRLASSLKSLNIVKNDVVSVLAPNVPALLEMHFAVPMAGAVLNAINTRLDAKNVALILKHSEAKIFFIDYEYIDKAKKAIEILMSDFQMPIPLVVVIDDLDSPAGIRLGELEYEQLPKGVVYSHRGAFLSTSSLILGWEMGTEPVYLWSLSMFHCNGWTFTWGIAARGGTNICIRNTTAQEIYSNIALHKVTHMCAAPIVLSIILEAKPHEQRRITTPVQVLVGGAPPPAPLLEKIERVGFRVVHAYGLTEATGPALICEFQANWNKLPREEQARLKARQGLGILTLADVDVKEFKNMESVPRDGKTAGEICLRGSSIMKGYLKNEKANSEVFKNGWFFTGDMGVIHPDGYLEIKDRSKDVIISGGENISSVEVESAILKHPYVVEASVVAMPHPRWGESPCAFVILRKDSNLKESDVIAHCRKNLPGFMVPKKVQFVEELPKTGTGK >Solyc09g008525.1.1 pep chromosome:SL3.0:9:2008870:2012393:-1 gene:Solyc09g008525.1 transcript:Solyc09g008525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPMAESPVIKAGAGIGTGTGDPCCIEQESNGSVHGVRCNEVASIKDRYRNGVVVYRRNKRLKRAANVDGGESTDSKCNSGVIKDDIKGISGNVESVKEMVEVEVKEESTLTVNCATVAGRRLTRSVLKLNVEPLDMSNENLEVLDGKLITCNGASPAEESEMEISKKISIIGRPTTVKELFETGLLEGYPVFYNGGKRGIPLRGTVKDIGILCSCDLCKSIRVVPPGKFEIHACKTYRRASQYICLENGKSLLDVVKECRKGSLKNLETTVRSFIGPIPVKENIICLNCNGSFAATSVGKIDQICDSCIISLRSEATPSQSIKVEAGYLILS >Solyc06g060400.3.1 pep chromosome:SL3.0:6:38529162:38531843:-1 gene:Solyc06g060400.3 transcript:Solyc06g060400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L15 [Source:UniProtKB/TrEMBL;Acc:K4C6M0] MGAYTYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVVYRVRVKRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWINEDSTYKYFEVILIDSAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >Solyc04g056370.3.1.1 pep chromosome:SL3.0:4:54093466:54098601:-1 gene:Solyc04g056370.3 transcript:Solyc04g056370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKLAIITTLARQISQLTVNRSSVLTCSYSTDVWHSISNRGDAETTGSLGDRFGYKSLSSLAGKPIGGNSKPQVGENVSRKDKVSFLVNTLLDLEDSKEAVYGALDAWVAWERNFPIGSLKQVLLKLEKEQQWHRIVQVIKWMLSKGQGNTMGTYEQLIKALDMDHRAKEAHEFWNKKIGSDLHSVPWRLCSLMISVYYRNHMLEDLIKLFKGLESFDRKPPDKSIIQKVADTYEVQGYVDQKDRLLEKYKDLFTETWNGNPKGLRGSRPQRKEKQAQED >Solyc08g041850.3.1 pep chromosome:SL3.0:8:25584987:25585282:-1 gene:Solyc08g041850.3 transcript:Solyc08g041850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELADVEDLFVIISTNPQARVCCTVVAIRPVLFPFNIKIIDEDCALNIFAEVKKINMWHLFSTLKHSKLKAYRKRFNE >Solyc05g051850.3.1 pep chromosome:SL3.0:5:63112792:63116913:1 gene:Solyc05g051850.3 transcript:Solyc05g051850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIENFKVESPNVKYIENEIHSVYDYETTELVHEERNGTYQWIVKPKTVKYEFKTDTHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKEKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSLLPMVNPDDVVFGGWDISNMNLADAMVRAKVFEVDLQKQLRPYMESMVPLPGIYDPDFIAANQEARANNVIKGTKKEQVEQIVKDIREFKEKNKVDKIVVLWTANTERYSNVVVGLNDTMENLLAAVDRNEAEISPSTLHAIACIMENVPFINGSPQNTFVPGLIDLAIKRNTLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVASNAILYESGEHPDHVVVIKYVPYVGDSKRAMDEYMSEIFMGGKSTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENIMRACVGLAPENNMILEYK >Solyc12g096420.2.1 pep chromosome:SL3.0:12:66324074:66329271:1 gene:Solyc12g096420.2 transcript:Solyc12g096420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYPVKRAGNGLVAARRGLRDTAENKDKNVQYCSRLGCSGRVNYTKSTRVGGMEKPRPLRPTFGSSNGKEVVGSSSVTSSGMTTARRSGKESHKKYSSNIEDKRSDTSSLRKESQVLKQMQSSTERQFQFDSAKRDTGSSKVVFTEVGCSSGTSNSRPRKIFGHGPGSSNQKSPMNSSISSSSKSISAGTRSSSSGEGYRLRNLKCNSTPDVLPYSSSSSESSISRRETVKRRNTEGESSSSSKGKKMSGASPNEGRAVRPATGISISDSRSSRSSDFSDGNRAVSVRTRRSMNVSTRLRGPVQDSLHTKSSGLSQNLPEHGTPNLDMPSSSSQLFMDSSSSDYSTYSLPANDYDDDDEDEDEDDDLPGVVPFTSAEICINGMNREALQRYNMDGVAQVLLALERIEQDEELSYERLLALESNLFLSGLNFYDQHRDMRLDIDDMSYEELLALEERIGSVSTALPEEELSKCLRRNIYQGMASETETLEADEDGDDIKCSICQEEYVIGDEIGNLGCEHGYHMECIKQWFKLKNWCPICKAAVESSKPTS >Solyc10g017540.3.1 pep chromosome:SL3.0:10:5494113:5495683:-1 gene:Solyc10g017540.3 transcript:Solyc10g017540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEVATQIKQEPPLASITTPNDVVTPTPPPPPHHTTTTTLPHQPLTPQDTDVSSKKSSKGSLDRGTFYVQPFYSDIALSQLENEKRSSFIKAWEESKKSKVNNKAQKKLSAVATWEKTHTAKLEAKLKQLEDQLEHKKVEYAEKIKNEVALIRKEGDEKRAEVEARRGEELLKAEERAATYRATGQTPKKKLLGCCSC >Solyc02g093100.3.1 pep chromosome:SL3.0:2:54660447:54666540:1 gene:Solyc02g093100.3 transcript:Solyc02g093100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMLLLCFLLQLIYTFYSCSSSSAAAAATTTPSAPFNSLLPSDAVSLLSFKSKADLDNKLHYTLNERFDYCQWRGVKCVQGRVVRLVLQGFSLRGTFPPNSLTHLDQLRILNLRNNSLSGPIPDLSGLLNLKTLFLDHNFFSGTFPLSVLSIHLLVILDLSRNNLTGSLPARLTVLDRLNYLRLDSNWFNGSIPPLNQTQLQIFNVSNNNLTGPVPVTPTLKKFNVRSFLRNPNLCGEVVDKPCRSAPFFDSPSSAASPPTPLYQNAQSQGILITPPPQHKHKKVGVVLGFVVGTLILIAAVLCLFASVKRRKEETEIESKETKCTIETITNSAANATVSEPDDSSQEIKLEKEVKVLQAPKQQMKSGNLIFCSGETELYSLEQLMRASAELLGRGTIGTTYKALMASQLIVSVKRLDAGKTSITSAEAFEQHMESVGMLRHPNLVAVRAYFQAKQERLVIYDYQPNGSLFNLIHGSRSTRARPLHWTSCLKIAEDVAQGIAYIHQASKLTHGNLKSSNVLLGSDFEACLTDYSIIALADISSEDDPDSARYKAPEVRKSARRATPGSDVYAYGILLLELLTGKPPSQHPHLSPPDVPDWVRAMREDDNEEDRWLAMLVDLASICSLTSPEQRPTMRQILKIIQDIKDSAMVENNKRDAHNGYS >Solyc09g008480.3.1 pep chromosome:SL3.0:9:1919381:1921012:-1 gene:Solyc09g008480.3 transcript:Solyc09g008480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPGVKLAIAPPPTGGGGGAVLGQGNMPKFVTQLCNPEMSLYILGTPPFSNNPNNNRSLPVGLQHETFLAKTITSV >Solyc12g098210.2.1 pep chromosome:SL3.0:12:66798330:66806573:-1 gene:Solyc12g098210.2 transcript:Solyc12g098210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEFVPQRTAAYISQHDLHIGEMTVRETLQFSARCQGVGSRYEMLAELSRREKTANIKPDPDIDVFMKAAATEGQEANVVTDYVLKILGLDICADTMVGDEMVRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTYSIVNSLRQTVQILKGTAVISLLQPAPETYNLFDDIILLSDSVIVYQGPREDVIGFFESMGFKCPERKGVADFLQEVTSKKDQQQYWVRRDEPYRFITSKEFSEAYQAFHVGRKLGNDLAVSFDKRKSHPAALTTEKYGIGKKQLFEVCKEREYLLMKRNSFVYIFKFCQLLIMALISMTIFFRTEMKHDTIDDGGIYSGALFFVIIMNMFNGMSELGMIIYKLPVFFKQRDLLFFPAWAYAIPSWILKIPVTFVETALWVFLTYYVMGFDPHPSRLFKQFLLLIIVSQMASGLFRFIGAVGRSLGVASIFGSFALLLQFALGGFVLSRDDVKSWWIWGYWTSPMMYSVNAILVNEFDGKRWKHIPPNGTEPLGAAVVRGRGFFPDASWYWIGFGALVGFTIVFNICYTIALTYLKPFGKPQAMIPEDSEDAQTTSAETEDSNSESQNKKKGMVLPFEPHSITFDDVMYSVGMPQEMKDQGATEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKKQDTFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPHNVDTKTRKMFVEQVMDLVELGPLRSALVGLPGINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSCHLIKYFESMPGVSKIKDGYNPATWMLEVTASAQEILFGVDFTDLYKKSDLYTRNKALISELSVPRPGTKDLHFDTKYSQPFWTQCIACLWKQHWSYWRNPTYTAVRFLFTTIIALVFGTMFWDIGGKVSKSQDLFNAMGCLYATVLFLGTQNSSSVQPVVAVERTVFYRERAAGMYSALPYAFGQISIEIPYVFMQSVFCGAIMYAMIGFEWTVAKFFWYLFFLFFTLLYFTFYGMMTVAVTPNVSVAQIVGSFFYGVWNLFSGFIVPRTRIPIWWRWYYWCCPVAWTLYGLVASQFGDLQNKLTDEETVEQFLRRYFGFKHDFLPIVAVAIVGYTVLFGFTFAFAIKAFNFQTR >Solyc05g016490.1.1.1 pep chromosome:SL3.0:5:17371757:17371936:-1 gene:Solyc05g016490.1 transcript:Solyc05g016490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASGKGRLPIASIINQIMHVLAPAYAHRLGYFSVDKRQKASAKASALHPNDISQQYTT >Solyc03g117340.1.1.1 pep chromosome:SL3.0:3:67974712:67975257:-1 gene:Solyc03g117340.1 transcript:Solyc03g117340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWFSWLSKTELDPSLVYEYGLALAHNELEYDDVVYFNHEFLQSIGISIGKHRLEILKLAKKERGVIPNSMSRFLQIIKRTKRRLSKYIRNWGHSEELALALVPKRISSSRWKNSMLKRRSTRVAATKQNTLLITNGSPNFNSDSRMNSFSNGEKTGDDYWASCGVEEIRWDTMFQNLKPT >Solyc10g052840.1.1 pep chromosome:SL3.0:10:53685807:53686143:-1 gene:Solyc10g052840.1 transcript:Solyc10g052840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERWHSTDFTTIFFETRKKDNELVEPKAIEKQAQLEEMVHEDPSLTTEELVENFCGPQTRSHVFGFGGGVKAEDLKGGTSSES >Solyc02g078170.2.1 pep chromosome:SL3.0:2:43505731:43508581:-1 gene:Solyc02g078170.2 transcript:Solyc02g078170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQFYPKILLSYLLLALFSCNQSVAETDFVFNGFKQSDVSIFGNVTIESRILTLSNDSTFSIGRALYPSKIVTKEANSSKVLPFSTSFIFAMAPYRDRLPGHGIVFLFVPHTGIDGTTSAQNLGFLNFTNNGNPDNHVFGVEFDVFKNQEFNDINENHVGIDVNSLASEFAHEAGYWPDEKIKYNSDGSLNEESLETLKLNNGRNYQVWIDYVDFNISVTMAPVGMKRPKQPLLDFHLNLSQVFEEEMYVGFTAATGELAQSHKILAWSFSNSNFSIGDGLITQGLPSFELPEDPVYRSKGFIAGMTVSLLFLVVVIAVASWFLIKRNRRMKKEREDMEDWELEYWPHRITYQEIDAATKGFADENVIGIGGNGKVYKGVLAGGSEVAVKRISHQNSEGARQFLAEISSLGRLKQRNLVSLRGWCKKDRGSMFVVYDYMENGSLDKRLFESNDRNMLSFEDRIRILKDVASGVLYLHEGWEAKVLHRDIKASNVLLDRDMNARLGDFGLARMHDHSQVASTTRVVGTVGYLAPEFAKTGRASTQTDVFGYGVLIMEVMCGRRPIEEEGKPPLVDWLWELMSRGELINAFDSRLRTNQDFNEEEALRVLQLGMICASLDAKARPSMRQVVKFFDRNNDIDEYETEDMDAYLLESLRSNTMLSNFSLSLSHGSHPTFDEIREGG >Solyc02g076740.2.1 pep chromosome:SL3.0:2:42423526:42424695:1 gene:Solyc02g076740.2 transcript:Solyc02g076740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGAKGMAEEVMEEAEEGEGVKGMVEEVMEEAEEANGMAEEVMEGAKRMVEEVMEEAEGAKGMAEEVMEEEVVEGAEGKVKEVVEAMVKEVVEQLETGKVRVEDATEGMVEEMVEQLEMDKDMVQKVAEGMGVEGIVERLEITEGMVEEKVVEGDKGMEKVVERLKMGERAEGMVVEVVEQPEMDKEIVQKIIPS >Solyc09g007570.2.1 pep chromosome:SL3.0:9:1163141:1164601:1 gene:Solyc09g007570.2 transcript:Solyc09g007570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTNKTCNSSFWTREEDKIFENTLAIYFNNNNLLRMMEEALPQKSLQDIKDHYNILLEDINAIDSGCVPLLNYLEMQSNANQNLKADAKWRRGTPWTEEEHRSFLRGLDIYGRGDWRSISRHCVITRTGMQVASHAQKFFKRLEAANKGERRASILDITSADAEAAGTSQVPKTEDMIGPACGGSQVVPNMSPQESTNAEQQMTTVAEGESSGHNAAFIDVINSLIPDVNVEFFSDIDDLMTELEDATAASSGTYSHAITRIGSELEALLAEPMDVDNAISSIFDDEKTPASRYAVVEAAPPYLPPFTPSSNTTVDDEGIFDTDDLFIDQMF >Solyc05g009230.2.1 pep chromosome:SL3.0:5:3371853:3373336:-1 gene:Solyc05g009230.2 transcript:Solyc05g009230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNNNILSNKDELFELRRGPWTLEEDNLLIHYISTHGEGRWNALAKCAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARQLKVDSNSKKFVEAIKNLWVPRLLEKMEQCSSSSSIEKKLPNLPLINNNQEPCTKHNKSHDIAANNNNTTWGSQESSLDSMHVLNENMNSTHQYDECYHVGSFIQQPEHLTYQEMSISECDVAEADWLNDEGSLWNMDEFWQFKKLGDVDI >Solyc01g096650.3.1.1 pep chromosome:SL3.0:1:87571066:87571584:-1 gene:Solyc01g096650.3 transcript:Solyc01g096650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLPQKKMSISNLPADSAFSLKYGIAIAVAILILISIIMFASYACLRVKLAGDLTPPSSSSNETSNNNYNIYGYFFGLDRPVIESFPKVVLGESKRLPSSISDTNTCAICLCEYCVKDSVRCMPECNHCFHADCVDEWLQKSGSCPICRSSPVSTSAGSTPLPVPNYYRFNFV >Solyc11g020870.2.1 pep chromosome:SL3.0:11:12841549:12848196:-1 gene:Solyc11g020870.2 transcript:Solyc11g020870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSVLTRTLLQNPKLFTFNFLKHQSPLAFSLMATANSSSFSPVSSPSNHVSPKRVGTHHGSFHCDEALGCFMIRLTNKYYNAEIVRTRDNQVLETLDAVLDVGGVYDPSRDRYDHHQKGFEEVFGYGFTTKLSSAGLVYKHFGKEIIAKELQVDEEHPDVHKLFLAVYKSFMEAIDAIDNGINQYDTDQPPRYVNNTHLSSRVGRFNLDWTEPDQSSERENEAFQRAMDLAGSEFLDSVRYYARSWLPARSIVLECVSARHKIDPSGEIVVWSTFCPWKLHLFELEGEMKIDPPIKYVLYEDDRSKGWRVQAVAVAPDRFESRKALPSQWRGLRDDELSKETGIPGCVFVHMSGFIGGNRTYEGALAMAKTALKL >Solyc12g096300.2.1 pep chromosome:SL3.0:12:66261443:66264178:-1 gene:Solyc12g096300.2 transcript:Solyc12g096300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:K4DHA3] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLGKDDDVRKYVNTYRRTFTNKKGKEVSKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRLSAASKPSIAA >Solyc02g010680.1.1.1 pep chromosome:SL3.0:2:13530392:13530589:-1 gene:Solyc02g010680.1 transcript:Solyc02g010680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQGILIRADLSQVETVSFYSLISMVEVALPLIIVTVKISRMIKTLKFVSVFFAFHQIVLQVIC >Solyc05g054720.3.1 pep chromosome:SL3.0:5:65427013:65430998:1 gene:Solyc05g054720.3 transcript:Solyc05g054720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNGYSLRSGGICKPYKSCSSKSYGSASSCNPLPPSKFLVACVRRSPAPHQYPTSCSKGFNFLDLSSASSLLSTGEYGGLSNAIPQLPRRSQLSMTPRASKDVPYSYRFPPMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLRAIGRLPGWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKVGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD >Solyc11g028163.1.1 pep chromosome:SL3.0:11:20555922:20559709:-1 gene:Solyc11g028163.1 transcript:Solyc11g028163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPELEELREQLKELLDVGHIRHLKVPFGAPILFQKKKERTQRLCVDYRALNNVTVKNKYPIPLIVELFDHLGQAKVFTKIDLRKGYYQPFGLTNAPATFYTFMNKLFHPYFDQFVVIYLDDIVINSSSMEEYFEHLGKVFKFLGHSITHGEIRMDRDKMEAIRDWKAPTKAIAAPLTDLLKNNHELKWTDSCQNALENLKAAITEEPVLALPDFIKAYEIHTDTSDFSIGATENPEIVPQAGSERLESRKALDRCTDIEKDIRLANTCKSLSTTPL >Solyc10g048100.2.1 pep chromosome:SL3.0:10:44055207:44055740:1 gene:Solyc10g048100.2 transcript:Solyc10g048100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLFSQMPSYFLLDYLDAHNTARAIVGVGPLTWDDNVGAYAQQHASQLATDCNLVHSHGQYCEDLAAGSGDIMKATNIVEIWVDEKQYYYHESNTCSNRGYVVSCNYDPPGNFICQNTY >Solyc09g042210.1.1 pep chromosome:SL3.0:9:22664573:22665189:-1 gene:Solyc09g042210.1 transcript:Solyc09g042210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNYYTPHKKQKLTLETRSYYDQTSLIASHQDCVSGIQFFVDNEWYSLSPNFNHFVVNRRQIYGMKIYALSDGRYKSSLHREVVNNKIPRKSHALILYPYEYKVVNPPTKWVNTNKLKNISLIHMAYLL >Solyc02g011910.1.1.1 pep chromosome:SL3.0:2:14162774:14162935:1 gene:Solyc02g011910.1 transcript:Solyc02g011910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMVGRPMFLDFNRTLRIIVSIYYYLKIIKLLMTGRNQEITSHVQNYRRSPLR >Solyc02g067690.3.1.1 pep chromosome:SL3.0:2:38385229:38386602:-1 gene:Solyc02g067690.3 transcript:Solyc02g067690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTIHVVVFPFMSKGHTIPIFDLARFLLRRNISITIFTTPANRPFFSDSLSGTDISIIEIPFPQNIQGLPPGVESTDKLPSMSLFPTFVNATKLMKPHFEKALESLPSVTFMITDGFLGWTLDSANKFGIPRLVYFGLNAFSLVLTISAASVLRTQVSDDELFEVPDLPWIKITRNDFDLPFRDREPKGPLFDLVKEQSMATSKSYGLLVNSFYELESVYVECCNRISSPKSWCIGPLCAFHEPARVSEKPSYIKWLDRMLEQGKQVLYVAFGTQAELSPEQFKEIKIGLEKSLVNFLWVVRRSVDDVEDGFENRVKNRGLVVTEWVNQREILSHGSVQGFLSHCGWNSVIESICAKVPILAWPMMADQHLNARMVVEVIKIGVRAETCDGSVRGFVKWEGLEKSAKELMEGDEGKEARKKVMEIGEAAINAVKEGGSSWQTLNELILELTESKSV >Solyc10g005060.3.1 pep chromosome:SL3.0:10:53301:56068:-1 gene:Solyc10g005060.3 transcript:Solyc10g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTANIQLATQSEDEERNCTYAMQLLSSSVLPFVLHSTIQLDVFDILAKDKAATKLSALEIVSHMPNCKNPDAATMLDRMLYVLASYSLLDCSVVEEGNGVTERRYGLSRVGKFFVRDEDGASMGPLLALLQDKVFINSWFELKDAVLEGGVPFDRVHGVHAFEYPKLDPKFNDVFNQAMINHTTVVMKRILENYKGFENLKTLVDVGGGLGVNLKMITSKYPTIKGTNFDLPHVVQHAPSYPGVDHVGGDMFESVPQGDAIFMKVMSKSLAEAWILHDWSDGHCLKLLKNCHKALPDNGKVIVVEANLPVKPDTDTTVVGVSQCDLIMMAQNPGGKERSEQEFRALASEAGFKGVNLICCVCNFWVMEFYK >Solyc08g042070.2.1 pep chromosome:SL3.0:8:23966024:23975305:-1 gene:Solyc08g042070.2 transcript:Solyc08g042070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDIFDITCAKRQSLHVNHVHEMLSNKLFQIQLRKSSWGTSNNTQETYSIISYMEKQHTPPTTIDRNFKKIRPLEISEMEVTETTTATGSSNATLKFEPPTPTKKL >Solyc03g118325.1.1 pep chromosome:SL3.0:3:68722122:68722569:-1 gene:Solyc03g118325.1 transcript:Solyc03g118325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVDGFALCGEMTGEDANKNISSEQIKCLVSIFQLGLACSAETPQERINMEQVYRELIIIRTDDKIHHKAQARPILLYLQSATATPHYLLIKT >Solyc01g110200.2.1 pep chromosome:SL3.0:1:96883491:96884732:1 gene:Solyc01g110200.2 transcript:Solyc01g110200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVFRSLLNPNAPEYIPISTPPPLPPHRTVDSLPQESVRSRLGPNIPPRLRNDYFARRGGGRGFSRSSNGNRGVFERGNCSNTEGCLVWRRKVVNHDQRPSVCHNELKKHDVIPISWTRKNNNTTIMIKNIPYHYNREMLMQFLDEHCYLENQKTRDSNGENIHVFAYDFLYLPMDFKKKRSKGYAFVNFTNQGSVWSFFYAFNDKLNVFPGSTRSVKIVTAKIQGKEALVNRFKQTRFECESEGFLPVWFSPARDGSGESVQMITVGQYKVTRKP >Solyc04g017710.3.1 pep chromosome:SL3.0:4:7786862:7792428:1 gene:Solyc04g017710.3 transcript:Solyc04g017710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAEADGWERSDFPIICESCLGDSPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKVRANDTILKLQRTTPYYKRNRAHVCSFYIRGQCTRGLECPYRHEMPETGELSQQNIKDRYYGVNDPVAMKLLNKAGEMPSLEPPDDESIRTLYVGGVDARISEQDLRDQFYAHGEIESIKMVLQRSCAFVTYTTREGAVKAAEELANKLVIKGLRLKLLWGRPQAPKPDTELSDEARQQAAVTHSGLLPRAVISQQNQLPPPPGTQEQPPQPMPYFNIPPMLQQERAYYPSMDPQRMGAVVSSQDGASSSAAGSGPENKIGSEKHPQGQHYAYPPAPPPQGQFYPPYYPPPPYGYMPPPPPPHYQQYPPPYQGARPPPPPPTGEQAAFQQKPQPAGAAAQP >Solyc12g013580.2.1 pep chromosome:SL3.0:12:4417442:4424133:1 gene:Solyc12g013580.2 transcript:Solyc12g013580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPLSSSIFSPNFTHLYQNKAFFHSHLPLRSPIYATLNRIALKKNASMELTKRLTAPSILSTYLESTVKQFQSLMAVIIIFTEIASPIPLYGLQDLSISPAEAVLYSPETKTLPRTGELALRRAIPANTNMKTIQDSLEDISYLLRIPQRKPFGTMEGNVKKALKIATDEKASILASIPAELKEEGSVLYTSLVDGKGGLQKLLQYIKDKDPDKVSVCLASTLDTIAQMELLQAPGLSFLLPQQYVKYPRLTGRGIVEFTVEKVDGSAFSPESAGEAKSTAKIQVVIDGFSAPLTAGNFAKLVVDGAYDGMKLTCANQAILSDSEVGKTTGYSVPLEIKPAGQFEPLYRTTLSIQVPTCFMDNNRLIFGVYIFCACAYGLFLSLRKDGELPVLPLSVYGAVAMAHSDVSDENSSPSQFFFYLYDKRNSGLGGLSFDEGQFSVFGYTTVGRDILPQIKTGDIIRSAKLVEGQDRLVLPPQDN >Solyc07g006010.3.1 pep chromosome:SL3.0:7:852695:856730:-1 gene:Solyc07g006010.3 transcript:Solyc07g006010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLTSSSQNLQVHRFPQDYYIDSLRWLPQLSAFHRHIILAAFDSESSTSSLQILNSSNSSSNTPELTFQSSLTTPSRITSLTTSHNPNKPLIAASTFSGSLLLYTADLVNGSLEFVDSVDEKGFHLGRINGIDVSENGSEFVSVGEDGRINWVSFVGGGLSTRKVFDSNGLVSYGAVKWASPVEFVSGGLGFGLQWWDQRRPGGPVSQFKANWTRGTTSGIVHSIDIHPSRKHTCLAGGSSGTVFAWDLRWQQQPIMLSGVGTSDLSALSPLESDVWEVQYDNYTTSSNYRNMSESRVLPAMICSEDGILAVIEQGEEPVELLAEPCAINSFDIDRQNPSEIVCSLEWESIAILTRS >Solyc10g047776.1.1 pep chromosome:SL3.0:10:42405784:42406880:-1 gene:Solyc10g047776.1 transcript:Solyc10g047776.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMADMNDWIIYLKLNENDSELSIGRATYSKTLYLWDKASVNVTDFSTHFSFRINSQGRKLYVDGLTFFLSPTSSVIPDKHFSAGEGLGLASVDQQYSSKSHHFVVVEFDIFWNSYDPQGDHVGIDINSMQSVANVNFSCGSPDGTRTDT >Solyc02g064795.1.1 pep chromosome:SL3.0:2:36510499:36511880:-1 gene:Solyc02g064795.1 transcript:Solyc02g064795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVWCVVALKLVLSKSRCSQKDSSANSIVSLLVYSNSRLSYLLLNLTPIVHHDTLSIDFLNAKDGNFYTFLVWTRKLDNDFIESTHRNRRIGSPKVENMKPFEKLRKFSFEANIYEECGKLLIVTTNIYI >Solyc01g068100.3.1 pep chromosome:SL3.0:1:77005827:77013470:-1 gene:Solyc01g068100.3 transcript:Solyc01g068100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASVGERFYHMGGTDLRGLGKRSLEWDLTDWKWDGDLFIATPLQQNPSNYQSRQFFPVETGNLASSNSSSSCSDEVNHGMEQQRRELEKRRRVIVVDEDDSGPLSLKLGGQGEPAADAGREMSNWDGAAGKRTKLAAPAAARAVCQVDDCGTDLSKAKDYHRRHKVCEMHSKASRALVGNVMQRFCQQCSRFHALQEFDEGKRSCRRRLAGHNKRRRKTQSETVANNNSLNDGQTSGYSLMSLLKILSNMHSNGANHTEDQDLLSHLLRSLASQGPTNGDKSLSGLLQESSNLLNNRSILRNPEIASLISNGSQAPPRPKERQFTNSAAEMPQKRLEDARTASSQSPGILFPIQSNSQAYTPGRESTTGRSKLIDFDLNDAYVDSDDCGDDIDRSPVPECPSWLQQDSHQSSPPQTSGNSDSASAQSPSSSSGDNQNRTDRIVFKLFGKGPSDFPFVVRAQILDWLSHSPTEIESYIRPGCVVLTIYLRLPESAWEELSYDLSSSLSRLLDVHGGDSFWTKGWIYIRVQNQIAFVCDGQVLLDMSLPCVSNDGSTLLSVRPIAVPVSDRVQFLVKGYNLTKPSTRLLCSLEGNYLDPEADNEVEEQVAGGDKDDKLQSLNFTCSIPAVGGRGFIEVEDHGVSNSFFPFIIAEEDVCSEIRMLESDLELTSLDYVKGQTNNIEARNQAMDFIHELGWLLHRNNLRARLEHFGPNAVLHPLKRFKWLVEFSVDHEWCAVVKKLLNILLDGTVGGDDSSLKYALTEMGLLHKAVRRNSRPLVELLLTYTPTNVADDLCSEYQSLVGVGGQFLFRPDCVGPGGLTPLHIAAGIDGYEDVLDALTDDPGKVAIEAWKNTRDSTGFTPEDYARLRGHYSYIHLVQRKISKKANSGHIVVDIPRVPSVVENSNQKDEVCATTSLEISMTERKAIPRPCRLCDRKLAYGSRSRSLLYRPAMFSMVAMAAVCVCVALLFRGSPEVLYIFRPFRWEMVDFGTS >Solyc03g118945.1.1 pep chromosome:SL3.0:3:69193051:69193493:-1 gene:Solyc03g118945.1 transcript:Solyc03g118945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPLLARSNFIDGLQCVLSGALFGWQKIGAIVNLGSYYFVGIPRAVLMAFVLHIGGKLGIICALLVQVLCLLFITLRANWEEEVSNSHNTMNAT >Solyc08g076180.3.1 pep chromosome:SL3.0:8:60321699:60324651:-1 gene:Solyc08g076180.3 transcript:Solyc08g076180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVSRTGHRRAQSALDETEVLPPNTETIGAVTLAGASSINPHGIEIAVEFKPVEHPTEPLHNDRPILCPLPEPSILNDGRIWKERVSAVRRRADIPVMQEGTTAAPESAGTKPRPSMNRVILPSISAPEHSILKLLEESGI >Solyc01g095450.3.1 pep chromosome:SL3.0:1:86564248:86567190:-1 gene:Solyc01g095450.3 transcript:Solyc01g095450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRAMILLLLLFMVATTECNKCAFKAIFNFGDSNTDTGGFWAAFPAQAPPHGMTYFNKPVGRATDGRVVVDFLAQALDLPFLSPYLQSIGSDYKHGANFATLASTVRLPQTSLFVTGVSPFSLEIQLRQMKEFKAKVDELPRKGKTSLPSPNIFGKSLYTFYIGQNDFTGNLARLGISGVKEFLPQVVSQIASTIKEIYALGGRTFWVLNLAPIGCYPAFLVQLPHNTSDIDQFGCLISYNNAVADYNNMLKAALAKTRKELVDASVVYVDTHTVLLELFQHPTSNGLRYGTKACCGYGGGLYNYNQQVFCGNTKQVNGTTVTAKACKDPQNYVSWDGIHATDAANKLTAYAILNGSYFDPPFPLHKYCDIQPIG >Solyc09g056340.3.1 pep chromosome:SL3.0:9:49012157:49014205:1 gene:Solyc09g056340.3 transcript:Solyc09g056340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEQEYLFKIVVIGDSAVGKSNLLSRFARDEFDHNSKATIGVEFQTQVVEVDGKEIKAQVWDTAGQERFRAVTSAYYRGAVGALIVYDISRSTTFENIKRWLDELNTHCDTTVARMLVGNKCDLENIRDVSVEDGKNLAEEEGLFFIETSALDSTNVKTAFEIVIREIYKNVSRKVLNSDSYKAELSFNRVSLANGTDMSKQKTSCCSS >Solyc02g094300.3.1 pep chromosome:SL3.0:2:55509441:55511921:1 gene:Solyc02g094300.3 transcript:Solyc02g094300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEYAKLIRRMNPPRVVIDNDSCENATIIQVDSVNKHGILLQVVQVLTDLNLVITKAYISSDGGWFMDVFNVTDQVGNKVRDEQIISYIQKTLENDEVLLPSLRGTVGLIPSEEHTSIELSGTDRPGLLSEVCAVLADLHCNVVNAAIWTHNARAAAVVHVVDDVTDCAIEDPKRLATIKKLLRNVLKGNNDLKTAKMTLSPPGFTHRERRLHQIMFDDRDYVKVRKTEQGNIEDLKSGPCVTVYYCSEKDYTVITMRSRDRPKLLFDIVCTLTDMQYVVFHGVVHTGKNEAYQEFYIRHVDGLPISSEAERERVILCLEAAIERRTSEGLELELCTEDRPGLLSDITRIFRENSLCIKRAEISTQGGKAKDIFYVTDVTGNPVDQKTVDSICEEVGPNMLHVKWSRCHSEKLPEEGTISYLFGSLFKVRTLQSLKLIGSYT >Solyc03g005900.3.1 pep chromosome:SL3.0:3:609499:612682:1 gene:Solyc03g005900.3 transcript:Solyc03g005900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCGDLKIMCVFLLILNLLGDNYGAKGEPQVPCYFIFGDSLVDNGNNNVIRSLARADYLPYGIDFPDGPTGRFSNGKTTVDVIAELLGFDDYIPPYATARGREILRGVNFASAAAGIREETGQQLTLYNYGARKFVLIGVGQIGCSPNALAQNSPDGRTCAQNINVANQLFNNKLRALVDNLNRNTPNAKLIYINAYGIFQDLIDNPFAFGFRVTNAGCCGIGRNNGQITCLPFQNPCQNRNEYLFWDAFHPGEAANIIVGRRSYRAQKSTDAYPFDIQRLAQL >Solyc09g060020.1.1.1 pep chromosome:SL3.0:9:57623350:57623577:1 gene:Solyc09g060020.1 transcript:Solyc09g060020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLEDALRSYMAKKVGGSINLDAHYLCRHCDSRMDLVRHALKLINTCTYVSSRADIEKILNIGICILSSSQRGS >Solyc12g099150.1.1 pep chromosome:SL3.0:12:67388175:67388430:-1 gene:Solyc12g099150.1 transcript:Solyc12g099150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSMCSSAPDLEMWIIQSTLAWIDGAIRMRSNVDPIFDSLVGSERSGGTTTAPLFSRIHTFLISVC >Solyc03g120610.3.1 pep chromosome:SL3.0:3:70441896:70444646:-1 gene:Solyc03g120610.3 transcript:Solyc03g120610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c-type biogenesis protein [Source:UniProtKB/TrEMBL;Acc:K4BML0] MEGEEDQVTKQRLVEARARNISHNVRCTECGSQSIEDSQADIAILLRKLIRDEIKAGKSDKEIYTKLEDDFGETVLYAPKFDMQTAAIWLSPLLVAGAAGGLWAYKKHRQKTNVHIMALNLVRGIPLTPKEKETMLDVLTPPPGGTSSLSWWKRLLQQ >Solyc07g008890.2.1 pep chromosome:SL3.0:7:3858994:3862384:-1 gene:Solyc07g008890.2 transcript:Solyc07g008890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPEILGSLLHHCSKTKAFHYGLSLHAAAIKSGLQGDVLISNHILNMYAKCGNINFASQIFNEMSKRNLVTWSAMIAGYDQDGKHLMAVSLYSQMPLEPNEFVLSQSIKLGCSSISFVSNSLISMYMKNGQCSDALSVFALTPSLTDVSYNTIIMGLVESNQREKAFEVYRSMCQQGLVPDRFTFVGLLGTCNTAHDLGKGMQLHSQTIKLKLDGTAFIGNIIMTMYSNLNLLNEADKIFRSIKEKDVISWNTLIAACSRCDDHSKALVVFREMVQYFDGGPDEFTYASLLSACAGMGSLQFGRQIHAHLIRKSSSVDIGVVNALVNMYAKCGCIQYAYTTFRLMTFRNLVSWNSVIAAFANHGHGKKVMKLFKEMMSDGLKPDSVTFLGLLIACNHAGLVDEGLYYFNTMNEIYGVTPDIEHFSCLIDLLGRAGRLKDAEEHMQRYPFGNDSVVLGCLLSACRLHGDVVIGERMAKKLLQLQPVSTSPYVLLSNLYASDEKWDSVAEARKMLKSCGLKKEAGHSLIEVKGSVEKFTIGNFANSRIEEIVNVLGTLGCGWDEEIILLDSA >Solyc03g093310.3.1 pep chromosome:SL3.0:3:55912473:55915910:-1 gene:Solyc03g093310.3 transcript:Solyc03g093310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSPEKSNFRSPLKGPPSPSWNDLLLKNKKALNHVLFTMHLQSRSNKQTPSSSPSPKLRKSLDFTLPSLVSDPISLLSDEILLSILSKLPDSQRNSNSLVSKRWLNLQGRLVRSIKLLDWDFLVSGRLFVRFPNLIHVDLVNGSLISPGNAGVFCSNRLLSSHVDCNADAKDWFLKERFVLPSDEIDNGLRILAGGCPNLRRLLVVNASELGLLGVAEECPTLQVLELHRCNDQVLRGIAACQNLQILRLIGNIDGFYKSSVTDIGLTILAQGCKRLVKLELSGCEGSYEGIKAIAQCCQMLEELILRDHRMEGGWLSALSYCENLNTLRFMSCKSIDHCGWFDEDVGSCPTLERLHFEKCQLRNKESLRTLFLLCQEVREVTFHNCWGLDNEMFSLSTGLRRVKSLCLESCSLLTTEGLESALLSWKEIQSLKVISCGNIKDSEISPALSTLFSMLKDFRWRPDTKSLLSAGLVGTGMRKRGRKLFKKTCDWKSLPGA >Solyc04g049445.1.1 pep chromosome:SL3.0:4:41848274:41848966:-1 gene:Solyc04g049445.1 transcript:Solyc04g049445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGGRCSTSRVNMPTVIISITSSQQGGISSSNGPDHIIQVQTLDPTIFPHVQISNHGSTPSVHLETYRVIPNRSNTIGEGASTDQRNIIGWNLQVLAVADYVSLSRVS >Solyc02g082890.1.1.1 pep chromosome:SL3.0:2:47085302:47086078:-1 gene:Solyc02g082890.1 transcript:Solyc02g082890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDPVQNNCSFCDRYELDMYRDDNIMNNWDWSGCGENVFYIECKVDYVLEKIDNDDEKVVHELIESAVKPYVFKFDFLTQCRIKARVICNMLGISEDSASDVAEQVSTFATSQINDPQNSASKIVPIVMSFTIIYVQQDGEDINTARARVLLNTNTEFRLPPIVPPPNPTNRGVNPFSFEILYRWTLKHGDPVHPMCSICINDPSLGETIAILPCWHSFHLHCIIKWLEINHRCPMCRWSFSKKIANIGTDNAPFD >Solyc05g017790.1.1.1 pep chromosome:SL3.0:5:18365089:18365268:-1 gene:Solyc05g017790.1 transcript:Solyc05g017790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVEESYSVVRIQVVFSFFFSLARNFNCLGIEGKSRLCPFPAHFENPSSLINIIDLVLC >Solyc02g086510.3.1 pep chromosome:SL3.0:2:49819812:49820685:-1 gene:Solyc02g086510.3 transcript:Solyc02g086510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTNLGAQNFLLKCEEVDVLKEHFSTFGDLLSVELEDLEPQDCHNGSEMLNTSARVSFRSRRSAERAYLNGKCWQDQTLHFMWLQSSNSAKEIGVGENVTPASKQSSDVNGQSIANSGLAGFQEGSGAGNGEPENQERGEKE >Solyc11g010700.2.1 pep chromosome:SL3.0:11:3734886:3741016:-1 gene:Solyc11g010700.2 transcript:Solyc11g010700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEMERNNKVYVAINSDIHDGFLTLQWALKRWSPHSITIVILYASNNICKDYVITPMGKLPRGSVNEEKLKDLEKLEEAKNQNILWRYKVFCGNVKVEAIKIERYDESIQKFMVDLIYGLKITKLVMSLTFMKPSSWKSRSAISGSFFVHRQKPEFCELFIICGGKLVFLREGNNEGLIEDDEGIMVAKSRSMRQSFRDLVVKMFPENSSKLLKNQSDLSSSSTSNIEFDQWEKYKKEIDNYMCQLLSSNFEEIDDFVADEILHKNIITELVMAENMTMQEKKEALRIKFLEVKEAIQLSRDEAKSHVEAQAKAQWAITLCTRRAEEIDGCINDELARKPDLKRELDATKDELSELHTEVEVTKSKLSSSFELQHELSTKMQLSSVARSRAEVQVEKLLKQRMDTVQEIEEFRRQRDVLRRRITFCREKDAIGNASMLIEPRLEYKEFSAAEIRTATDGFSNLMRLKSGGDWTDVYKAKLHHTSVAIKLYSSADVDSEDTFQAKVKFLSNMRHPHMLSMIGYCSELRCIVFEYMHNGCLRDILFSGTRGSKRRNKGLNWQARICIVANVCTGLCFLHHAKPTPVAHGNLNPSKILLDHNYMAKIHGVRTPLSCDRSNMRSDIRAYGNLVLQILTGRNWAGLVEEAIMMDQSKLIEVLDPMWNKVFVCS >Solyc10g049370.2.1 pep chromosome:SL3.0:10:45417518:45420853:1 gene:Solyc10g049370.2 transcript:Solyc10g049370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D094] MVISNTFCGNIMAWFVAATIVLCCQSQLIPETPHVVVCQDGTGDFKTITGAILSAPNKSVQPYYIKIKQGTYREYILVDKKKTNIVLIGEGMGITIITGNRSLYAGNKTYDTATVAVRGSGFTAQDITFRNDAGPAEYQAVALRVEADLASFYRCRFDGYQDTLYVKKNRQFFSDCEIYGTVDFICGNAKALFQNCLIEAYIPLVRQHNTIIAQKRDFKKNATGIVLQNCTIKASRDLENMDNVTTYLGRPWGKYSRAVVMESYIDHFISPKGWTKWTKSPKKPIVHRHPYFLEYKNRGPGAVTRDRVRWASHTTNPSIASHFTVRNFINGDKWIPTNIPYYLDFS >Solyc01g110195.1.1 pep chromosome:SL3.0:1:96862986:96866011:1 gene:Solyc01g110195.1 transcript:Solyc01g110195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKEHCRITKEPKETTVVISSFYLCKNLSTNSGQQEGLPSESQQQRLHDPRSHVPSPSRTTPGTSLSRSETRLLRPCHRFSPCFRWRTLPVCAMVLLEFNACRAANSSFSSSLFCIDRLVTSPAKFLSSTAAADANSFAYEVSSNNFWFCTRNALLSSTL >Solyc03g031790.1.1.1 pep chromosome:SL3.0:3:4302585:4303607:-1 gene:Solyc03g031790.1 transcript:Solyc03g031790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISKTLTLIAILLVLVPINHSFSSSSHEDLLPELINLRSQSPTGVIHLSDHLLRRILSAKSPRSFSFLIFFDAKQLHSKPELSLPTLKNEFSLLSSSFLANNPGNNKIFYFTIEFGDSQASFALFGVNSLPHLRLVPPSATDMKKDSIQMDGSDLARLAESMAEFVEAKTKLTVGPIHRPPMISKKQMAVIIAAGLVLSPFLVKRVLAGGTLLHDKHVWMAGSIFVYFFSVSGAMHNIIRKMPMFMMDREDPGKLVFFYQGSGMQLGAEGFAVGFLYTIVGLLLAFMTNVLVRLKSRTVQRVVMLFALFVSFWAVKKVIQLDNWKTGYGIHAYWPSSWK >Solyc04g045650.3.1 pep chromosome:SL3.0:4:35237823:35246525:1 gene:Solyc04g045650.3 transcript:Solyc04g045650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNDRMSKSLVCLAVFILFLFASLFYTGTIDYRSSFSLFDSSLSKPNPCFTEESDIEPLKVYMYDLEMKYNVGFLKGSSHYNAPPVTIDTLPKWPKYTGLRKQHSVEYWLLASLLSSVDDQTREAVRVFDPESANVFFVPFFSSLSYNTYYRHGNDSEVKYDDQLQAEIVDFLQKSEHWKRSAGRDHVIPMHHPNAFKRYREKVNAAIFIVADFGRPPPTVSNLRKDVVAPYAHVVETFEADDNSDPYESRTTLLFFRGRTDRKDDGKDRQQMKDMLSGQKDVVFEAAGISGKGVNESTNGMRLSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDKIELPFEDELDYTKFSMFFSREEAKKEGYMLDQLRSVSKARWVEMWGYLKNISHHFEYQYPPKKGDAVNMIWREVKHKLPATQLDVHRNRRLKVPDWWR >Solyc02g076690.3.1 pep chromosome:SL3.0:2:42400685:42403399:-1 gene:Solyc02g076690.3 transcript:Solyc02g076690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQKSYLFLLLSFPLFLILATLSSQVSAFTTYFPELDKPQELLTEERVFQLFQEWKQKHGKIYKNEKEEERRLENFKRNVKYIVDKNSKRRSESDHLVGLNNFADMSNEEFSQVHTSKIKMPFKQQNKTTISANSCDAPPAKDWRKHGVVTEVKNQGACGCCWAFSACGAIEGINALVTGELISLSTQELVNCDTSNKGCEGGLMDPAFKFVINNRGIDSAADYPYTKSRGSCSYNKLNKKAVTIDGYQDVAQEESALLCAVARQPVSVGIDGKSLDFQLYAGGIYDGECSSNPDDLSHAVLIVGYGSEGGVDYWIIKNSWGKSWGMEGYAYIKRNTVLPYGICGINSLASYPMKESSSAPPSPPKPNICEDGLHYCPEGQTCCCGLDFFGKCLVHGCCPIENGVCCENSRLCCPQDFPYCDVLQGLCHKDYGDKIGVAARKRTMAKLKLTWSSATKEIDEMDQTFQWNRRNQFAVMR >Solyc09g011820.3.1 pep chromosome:SL3.0:9:5091217:5097491:-1 gene:Solyc09g011820.3 transcript:Solyc09g011820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLFFNLSLHISHFLQISNAFLPSKIFLHFPSVFFFQMQKPKMGRQSKSRKTENIGKGKVTPMQIAFIVDRYLSDNNFTETRTTFRSEASHLLAKSPVNEAPRSLLSLGDMLDEYISLKEQKVFMDQEKCRLDHEKTRVQNLLNGMQHVMNAYNATTNLTLPSSVGASSSIPKTGALPSTVNGSSPVTSGYYSAHTSGALMSASMPSNTALDTMKFSSPNSIPSTSKRKGLKDVSAAPITAKRSRKHLITNQLPLKDPSTDVQPTSSFKQNNIVNNSAVQLSDPATASDRTPVQGSNVVKSLFSQQIQSPPTNSSGPKTPPRASSSQTDKSISPVEVCSTATSTKNITPSLVTATNRTIISSETIRVSPSKQIFYSIERNHCISTTSPVKNNMKRSIRRDQVKSRLDFGASDNIPCNSEMAAGPDMTSTSESEKEGDIFDMDLSSLDALGVGFNLSELLYDFDLDGDGIDHSCQPTLHSSPDSFSGSPDDSGNVNTDANQMTSHISSTVTEVFSEQGTNVLGSDSLTTTKSVTKCIQILSPVKNIRSLKD >Solyc01g094945.1.1 pep chromosome:SL3.0:1:86221648:86222571:1 gene:Solyc01g094945.1 transcript:Solyc01g094945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILFFSVLHDKDSFIVLLQLGAPGSLPANFAHLGTHYWLLVLPFQNQLQIYDIKVEVVKAKLQNII >Solyc04g024640.1.1 pep chromosome:SL3.0:4:29735283:29736272:1 gene:Solyc04g024640.1 transcript:Solyc04g024640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDTLIDDLGNIDAVFLIGHLPYANYGYTSRWDQFTAQVEPINSISYLQGMFIHTTQKYTISVLLTLYNLFSGGNHERTWENSGSIYNGLASGGECVTEHDWREGSERYKLIEQCFASANKHKQSWLIFAAHHVLGYSSNEWYVDEGLFEEAMGREHLQKLWQNYKVDMTFFGHVHNYERVCPIYQTSHYSDVVNGTIHVVVGGGGAHLNRFTTINTTRSVLRD >Solyc02g082670.3.1 pep chromosome:SL3.0:2:46870653:46873288:-1 gene:Solyc02g082670.3 transcript:Solyc02g082670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWEKQQQQPPVSAPQQTAEELNGTVSGGMFVKVMTDEQMEVLRKQIAVYATICEQLVDLHKSMASQHDLAGARLGNLYCDPLVTSAGHKITGRQRWTPTPMQLQILERIFEQGNGTPTKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQQVAATNNTESEVETEVESPNEKKTKPEDLQSSHMPTSMAEDLGYENPDVSSGMHSLDPRTSKPEPMFPSDGSSKPAASYGQMSFYGMSNPRMDQLMGKMEVPGSYHPYIHADDYNMTG >Solyc03g058640.1.1.1 pep chromosome:SL3.0:3:27456378:27456605:1 gene:Solyc03g058640.1 transcript:Solyc03g058640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGYNFLLIFIAVQRSSFFIILILESYMAIFIHLLIILLLHLMINSTCLFLCCYFLLLSILFLLNLSFDLLLLL >Solyc02g069760.2.1 pep chromosome:SL3.0:2:40149592:40152913:1 gene:Solyc02g069760.2 transcript:Solyc02g069760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNNSHIDNSTFSGNSTPANVPRDVGTTESIVGIEEQRAAYQRLYHTYLALFPTQNVIPERFGGDGQEILELHAQIAARLRLLAFHLSIKVHTYQLPKSTCLDGKERCSICLDDYYDKEKLIQIICGHLYHLDCIREWIKLKNFCPICKRDACARIN >Solyc10g047980.2.1 pep chromosome:SL3.0:10:43311721:43314688:1 gene:Solyc10g047980.2 transcript:Solyc10g047980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNIPYKINPIGAIERIRELLKGYHSLILGFNAYLPNGYEIMLSYEEMTSLKNTTNYEEERNFVENIKVAVLFNDHHDLLDEFSEFLKYSDNPNPLSSLLLVLDSLFPCGYDIIFNDEVKPLKKSIHFKQVSVLLSERPDLVDEFSGFLPDLVTTNMLSNLDGTKN >Solyc10g051135.1.1.1 pep chromosome:SL3.0:10:51477251:51477529:-1 gene:Solyc10g051135.1 transcript:Solyc10g051135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKGLEIESEETENEQIGNKWCVESKKVWHIAGPAILNAVSLFSLEFVTAAFAGRLGDLELAAVSEVHNVIAGFVYGVMVFDCIFTAFIPL >Solyc03g020030.3.1 pep chromosome:SL3.0:3:62653113:62654041:1 gene:Solyc03g020030.3 transcript:Solyc03g020030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKDVTFLASLLVLGLMFLHVSAEIDQGRDIINPKPCTRECGNFSYAICPRSEGSPKSPICTTCCAGYKGCKYYNANGTFICEGQSDPRKPNEHCPKECNRKIAYSKCPHSEGPTKIIPTKCTTCCTGYKGCYYYGKDNKFVCEGQSNEPKVCTQQCDPKVAYMTCPPESTKLTRVCVNCCTAKPGCKLYGHDGSLICIGGVKPH >Solyc08g060900.2.1 pep chromosome:SL3.0:8:45807563:45808439:1 gene:Solyc08g060900.2 transcript:Solyc08g060900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGNVMRCSLQAKGMVGTNVHAPNPDPFLIEANCFIHFVEHMRINMDMNVELATTDDISVPPSSLSSRSVTKDLMSEKDTKDQKVE >Solyc09g056455.1.1 pep chromosome:SL3.0:9:49598503:49600282:-1 gene:Solyc09g056455.1 transcript:Solyc09g056455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNILSLNSGSNKKVIVFSYTYGDIITNVYCMYTFFYIYKKCIYMYCKNREKRTIHMQCYTQINTLNELQNKLPLNQYNRICTSSCFAQLTTMRRCHVQAQLFRCFMLRENGTTLRFTIREFAIISGLNCSDNGADFYFDTDQPNRIIAEYFPRNSLVTKARLAEAFKAKVWSDNKEDAYKFGILYYIHEFIMSAKSTTTTIDRLDFDLVETGRFMDYSWGRKTFNELSKSINNKIKPTGHYYRIQGFPLPMQVWFYECCSYVDDKIAVKVSSHIPRIIIWVTKNDHPQFDYFMKTIFKDTDNPIKFRNIERTAMEIKKPPTFSIDRPINFSGPAN >Solyc03g044667.1.1 pep chromosome:SL3.0:3:10340901:10344907:1 gene:Solyc03g044667.1 transcript:Solyc03g044667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTALFTSRSGGKNFSGQSNRSGNVKFNKQRSGYLYCDHCDMKGHNRADCNKLKYCIHCHKHGHLKESCYQLIGYPTNYKGKRQENIMTTDYNPQFNNPGNSTNGNVVDQMQQFKSGGAHKMSQQYESNPSSSGSGAVLSQHFTPDQYQQVLQMMNKSLIHEGIQYLPIVVPIQQDQPTKDDPIDNVDNGFANDLEDHHQQDQPTMDDSLLSSNRRQSTRTSRHPLWQKDFVTTFKNREGIIMHQRKYALEIISDLGLGGSKPIATPVEMNGKLTTAVFDKHVGITSDPVLSDIGEYQRLVGRLIYLTITRPDLSYAVQNLSQFMNAPKQSHMNAAIRVVRYIKQQPGLGILLSAQDSGSLQAFCDADWESCPDTRRSITGYMVTFGESLLSWKSKKQSTVSRSSAETEYRSMASTVAEVTCLYIVK >Solyc12g011350.2.1 pep chromosome:SL3.0:12:4193269:4194473:-1 gene:Solyc12g011350.2 transcript:Solyc12g011350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKMYRHNITILEPQLPPYYQISQPRFINQLGGDTLITKDE >Solyc10g052600.1.1.1 pep chromosome:SL3.0:10:52910813:52912006:-1 gene:Solyc10g052600.1 transcript:Solyc10g052600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQNPKFLTSYLFFAFLLASSNLLTFFISTSFDSSCTFNQQTNTPSTVLAKPTPKYEAIQESSDNTQTDENLPSEFLAFTSPQKLPRGFNKNFNSDEIMPPIGRPCTLFRDLLDRYMSYNVNGSCPDDELLAQKLLLKGCEPLPRRRCHPAAPQEYVEPYPFPESQWRTPSDFSVVWTAFTCKNYDCLVNRARNQRAFDDCKDCFDLNGRERRRWTAKNGAGLDFSIDEVLAVKKAGTIRIGLDIGGGVATFAVRMRERNVTILTTSMNLNGPFNSFIASRGVIPLYVSISQRLPFFDNTLDIVHSMHVLSNWIPETLLHFLLFDIYRVLRPGGLFWLDHFFCVGDQFEKVYAPLIESIGFNKVKWIVGRKFDRGPELNEMYLSALLMKPLKNSW >Solyc02g086880.3.1 pep chromosome:SL3.0:2:50122391:50126777:1 gene:Solyc02g086880.3 transcript:Solyc02g086880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formate dehydrogenase, mitochondrial [Source:UniProtKB/TrEMBL;Acc:Q5NE18] MAMRRVASTAARAIASPSSLVFTRELQASPGPKKIVGVFYKANEYAEMNPNFLGCAENALGIREWLESKGHQYIVTPDKEGPDCELEKHIPDLHVLISTPFHPAYVTAERIKKAKNLQLLLTAGIGSDHVDLKAAAAAGLTVAEVTGSNTVSVAEDELMRILILVRNFLPGHHQVINGEWNVAAIAHRAYDLEGKTVGTVGAGRIGRLLLQRLKPFNCNLLYHDRLKMDSELENQIGAKFEEDLDKMLSKCDIVVINTPLTEKTKGMFDKERIAKLKKGVLIVNNARGAIMDTQAVVDACNSGHIAGYSGDVWYPQPAPKDHLWRYMPNQAMTPHISGTTIDAQLRYAAGTKDMLDRYFKGEDFPAENYIVKDGELAPQYR >Solyc07g052207.1.1 pep chromosome:SL3.0:7:60844399:60846030:-1 gene:Solyc07g052207.1 transcript:Solyc07g052207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQKKVVGLLLVLSIFLELSAITFGDDKFEESRWGNDYGCGRFGRRGCGGRDPGGGRGVGGGFGGGAGGGGGLGGGEGGGVGGGGGFGGGLGGGAGGGGGLGGGEGGGVGSGGGLGGGAGGGGGLGGGGGGLGVGGGAGKGVGVGSGSGSDGNGR >Solyc04g014690.3.1 pep chromosome:SL3.0:4:4950291:4954992:1 gene:Solyc04g014690.3 transcript:Solyc04g014690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSCFNPFKLRRSRSKPLSVPSSSSRTQWNSANIETMEKKRFDSLESWSMILESDNVEAWETSKEDQKEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTHKEETRAKLEQQFKSEVALLSRLYHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSSNLLLNDEMRVKVADFGTSCLETQCREAKGNMGTYRWMAPEMIKEKPYTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPMPASCQLALAQLIKRCWAANPSKRPDFTYIVSALEKYDECVKEGLPMTLHSGLVSRNAIIERLKGCVSMSSSSIPVNV >Solyc07g018003.1.1 pep chromosome:SL3.0:7:8737083:8737702:-1 gene:Solyc07g018003.1 transcript:Solyc07g018003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYKLVFTMIHKVDGSIRTFIARLVAKELTQTNDVDNHEIFAPLAKVNVVRIHLSCTANLDLDLQQFDVKNELLQDTTANLFNLYKDHLLQKFRDLQPSVKLLMADRHRPVKETRDKLRTADHQHRHSNLGNEAFAQLLDKKLL >Solyc08g077160.2.1.1 pep chromosome:SL3.0:8:61187788:61188051:1 gene:Solyc08g077160.2 transcript:Solyc08g077160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADLYFLVLIRLLTSVVSIYYYLKIIKLLMTGRNKEITPHVQNYRRSPLRSNNSIKLSMIVCVIASTIPGISMNPITWVLPITKKCIYI >Solyc08g008550.3.1 pep chromosome:SL3.0:8:2927686:2938184:-1 gene:Solyc08g008550.3 transcript:Solyc08g008550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGQKSYSVNPSDYKLLEEVGYGASATVYRTIYIPSNEVVAVKCLDLDRCNSNLDDIRREAQTMSLIGHPNLIKAFCSFVVDHYLWVVMPFMAEGSCLHLMKISYPDGFEEAVIGSILKETLKALEYLHSHGHIHRDVKAGNILLDTNGVVKLADFGVSACMFDSGDRQRSRNTFTGTPCWMAPEVLQPGTGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTINSAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHIFFKKVKPPELSVKKLFADLPSLGSRVKMLQLKDAAQLASKKMPSSEQEAISQSEYQRGVSAWNFDLEDLKFQASMVQDDDEIPEIREEDESIKSYMNYKVPSNENSVSALNAGKSSLKQDIISSEHGSVGEVTLAECQRKKGEDLECNKLDSDHQEEGGLKKNSSKTELPPLTSDKEAVQAKSKCQTAKTCRSQSGPLMPGVELSHSASERSANFERSKIENQQAEKAHQVRRAPSFSGPLMLPNRASANSKSAPINSSGGFKDSSEDKSTANLVQIKGRFSVTSENVDLVKGSQLRKTASVGDWIMESKLMPPSRIPKELGLDNIPASVLMPHLQNLFQQTSIQQDLIVNLLSSLQPPEAGDAPQYGKSSSQCVAENNGSVDAAVSERERMLLVKISELQARMSSLTDELTTEKLKYMQLQQRLNSMSNQGEDWDRRESES >Solyc11g028040.2.1 pep chromosome:SL3.0:11:20123967:20124904:-1 gene:Solyc11g028040.2 transcript:Solyc11g028040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSICFMALVVLAIMPFVFYEVQAQYMCKSTSQTFKGLCFTDSSCRKACVTEEFTGGHCSKLQRKCLCTKVCVLEKDSNEVKTTLVGEAKTLSETVLEEEIMME >Solyc06g050480.1.1.1 pep chromosome:SL3.0:6:33218977:33219225:1 gene:Solyc06g050480.1 transcript:Solyc06g050480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWFCRWSSPSLCVKAPFGGICLVFASSMAKDGIRRLIEKMRQGASRQLSSRRGRLDGTLGSRGEGEERGSSFCGGALTGSH >Solyc11g007690.2.1 pep chromosome:SL3.0:11:1924056:1934430:1 gene:Solyc11g007690.2 transcript:Solyc11g007690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTNLLLEEPIRMASILEPSKPTFFPAMTKIVGTLGPKSRSVEIISSCLESGMSVARFDFSWGDAEFHQETLENLRAAVKKSKKLCAVMLDTGGPELQVVNKSEHPISLQADSLVVLTPDQDKEATPNLLPINFSGLSKSVKKGDTIFIGQYLFTGSETTSVWLEVTEVKGEDVVCLIKNSATLAGPLYTLHVSQIRIELPTLTDKDKKVISTWAFRNKIDILSLSYTRNAEDVRHAREFLSKLGDLDQTQIFAKIENVEGMGNFDEILEEADGIILSRGNLGIDLPPEKVFLFQKAAVHRCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDDMLNTCGRYKRAFFVLVSKMLHINFLSSQVVMQFF >Solyc04g026223.1.1 pep chromosome:SL3.0:4:18191683:18220208:-1 gene:Solyc04g026223.1 transcript:Solyc04g026223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAPEAYFSSTIMNFYIRYLQKTKHHADVDEYHFFNTYFYQKLKEAVLSKSSLEPGYNLHTR >Solyc11g005550.2.1 pep chromosome:SL3.0:11:403598:410220:1 gene:Solyc11g005550.2 transcript:Solyc11g005550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVQLPKQKVHVLEGHAGAVLAARFNSNGEYALSCGKDRTIRLWNPHRGVHIKTYKAHGREVRDVHVTQDNSKLCSCGGDRQVFYWDVSTGHVIRKFRGHDSEVNAVKFNEYASVVVSAGYDKSLRVWDCKSRSTEPIQIIDTFLDSVMSICLTKTEIIAGSVDGTVRTFDIRVGREISDNLGQPVNCISLSNDGNCILASCLDSTLRLLDRSSGELLQEYKGHICKSFKTDCCLTNSDAHVAGGSEDGYIYFWDLVDASVVSSFRAHDLVVTSVSYHPKENCMITSSVDSTVRVWKA >Solyc09g031524.1.1 pep chromosome:SL3.0:9:31060292:31061244:-1 gene:Solyc09g031524.1 transcript:Solyc09g031524.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIFKDLKRLKYFLGLEISYKDSGVLISQRKFTLDLLNEFECSSYSPVTSSLESRVKLKASEGLLLKEPTYYKKLVGKLNFLTNRRIDIAFSVQHLSQFLQNPREPHLKASYHVLRYLKNDPCLGIYLSNNANCSITAYCDSDWAVCPDTRKSVSGYVVLMGDNSISWKSKKQTTKSLSSAHAEYRAIRKVVGEIVWLERLLTELNLTCTLLIFVFCDSQAAIHIAKNPVFHERTKHIEVDCHFVRNKLQEGLIALHHIPIGNQLTDVLTKGLPNVQHSKILHKLFVIFPPTT >Solyc07g008495.1.1 pep chromosome:SL3.0:7:3397922:3399290:-1 gene:Solyc07g008495.1 transcript:Solyc07g008495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIEQIQNGSLVTHEFEDSQVNPEEADGGLVKIGQEVSILKPKWPGWPGSCVFRLIVPVLKVGSIIGRKGELVKKMCEETGAKIRVLDGPPSSPDRVNPWSATFAGIVMHSLNERLNLVNMKRGSMASD >Solyc04g072680.2.1 pep chromosome:SL3.0:4:59725501:59730409:-1 gene:Solyc04g072680.2 transcript:Solyc04g072680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFLTDSEVHGLKRKMGSIVIMLESLFICDVPALREELKRRNLLTKVLKDDLVNRLNNIIHRERGEPEVEESTEINPDVLECNNKSMGHPQNKVIKGLIVILILLTPPKTWIRLQLGVPMTFLGQKMESSNKDLSDVGSIYPLDEQMPNEMQGDVGEIVDDKSLEPSLSPKKVEVSAEDKHCIAASSDDRKGMETLPSNNENDWSLPFIKLVIPDLMCDVSVHAVLSKISEVVDDQNMEKINLDQSSVDDSMKEDVVETKHVAFDHISNENDKTEESITGMTQDPKVVRNGSSDATQQDNPSENVESPQKTKYRFLELLEKGTFQGYEIKEPAKRQCKWNTENLCTAKPQNLSIALSENLVLTIPVKPIFARTDSTVSKDAPKEPWCSSVEEAIENRNAIYNLQWPPKEGRLLVANFVDPQQVPGQWLLQRLQ >Solyc07g007710.3.1 pep chromosome:SL3.0:7:2362309:2363388:-1 gene:Solyc07g007710.3 transcript:Solyc07g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLSLNFSLSLNMGNSLRLFATFFLVAMLLLATGPTTSVEARTCESQSHHFKGNCLSDTNCGSVCRTEGFTGGNCRGFRRRCFCTRNC >Solyc03g111240.1.1.1 pep chromosome:SL3.0:3:63344550:63344939:-1 gene:Solyc03g111240.1 transcript:Solyc03g111240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPHPHPRVLKAPCPEDPPSPPPQGLQGVVPPSPLLYPTPRSLRYRAPRTPCPHPQVLEVLCPEDLLPPSTPWSSRTPCLSPPPLSSRRRALKFPCPPPPTYVVEVVVGHRAPRSPYPSPPGSSRHRAP >Solyc01g090550.3.1 pep chromosome:SL3.0:1:84080393:84086852:1 gene:Solyc01g090550.3 transcript:Solyc01g090550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGQAPRRSDNSKYYEVLGVSKSASQDELKKAYRKAAIRNHPDKGGDPEKFKELGQAYEVLIDPEKRDIYDQYGEDALKEGMGSGGGGGGGGVHNHFDIFESFFGGSFGGGGSHFRASRQKRGEDVVHTLRVSLEDLYNGTTKKLSLSRSILCPKCKGKGSKSGASGACYGCQGTGMRVTRRQIAPGMIQQMQHVCPECRGSGEVISDRDRCPQCKGNKVTQEKKVLEVNVEKGMEHNQKITFEGEADQAPDTITGDIVFVLQQKEHPKFKRKFDDLYIEHTLTLTEALCGFQFVLTHLDGRQLLIKSNPGEVIKPDHYKAINDEGMPNFGKPFIKGQLYIHFDVVFPESGVLSPDTCRSIETILSSKPGKSSSEMKLDECEETIMHDVNIEEEMRRKEPRQHQEAYDSDNDDPNLHRVACNQQ >Solyc08g077830.1.1.1 pep chromosome:SL3.0:8:61860826:61861830:1 gene:Solyc08g077830.1 transcript:Solyc08g077830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Deoxyhypusine hydroxylase [Source:UniProtKB/TrEMBL;Acc:K4CNE2] MEVRVGEIGDVVGVEDQDDLPISSFKVSQEMEKFLCDRLLDQEQPISERFRALFSLRNLRGSGPRNALISATRDPSNLLAHEAAFALGQMQDADAIPALEEVLFDFSLHPIVRHEAAEALGAIGKESNILLLERSLASDPAQEVRETCELALSRIKELKNVGTDDGSSTMAPSPFLSVDPAAPASYSSVEDLKDVLLSEGKGMYERYAALFALRNNGGEEAISAIIESLGSKSALLRHEVAYVLGQLQNKRASDALSMTLKDVNEHPMVRHEAAEALGSIADAECLALLVDFAKDPEPIVSQSCEVALSMLEFEKSGKSFEFLFMQMPHAEQVS >Solyc01g017823.1.1 pep chromosome:SL3.0:1:25520155:25528171:-1 gene:Solyc01g017823.1 transcript:Solyc01g017823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCKSLRTVDRRTKVWNLKNLKILADHFSDTDFVKCTFIDRHSVIHYASPSLAKLSRSLHLFQSSSQSSFISFSSLFTRGIDNQAVNVASNFDFPKNSETYLHRVRQSERYVKLGLAVSQALDITGSTVKAVKATQ >Solyc05g013730.3.1 pep chromosome:SL3.0:5:7030858:7040371:-1 gene:Solyc05g013730.3 transcript:Solyc05g013730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELRHSSSIGNRVTASPRKRDDVTASSSPLVPDSAPNVDDDDDDYRGRYPRDRFRSFLSSHLQPIFPFFFPDESRSHPHKFKISLFLLVVLILSLVVLISSVVHRLNAPYLCKKDGITLQCPPVKEPSSLWENPYSSTTSWKPCAERREGLMSDIPPENSTNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKLEDIFDVDHFINYLKDDVRIVRDIPEWFTDKAELFSSIRRTVKNIPKYASAEFYIDNVLPRVKEKKIMSLKPFVDRLGYDNVPPEINKLRCRVNYHALKFLPEIEQMADQLVSRMRNRTGSSNPFMALHLRFEKGMVGLSFCDFVGTRSEKDLMAAYRKKEWPRRFKDGSHLWALALQKRKEGRCPLEPGEVAVLLRAMGYPKETQIYVASGQVYGGQNRMAPLRNMFPNLVTKEELATKPELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGHRRYMGHRLKSIKPDKGLMSKSLGDPYMGWASFVEDVVITHQTRTGLPEETFPNYDLWENPLTNCMCKA >Solyc12g055960.1.1 pep chromosome:SL3.0:12:62897181:62900712:-1 gene:Solyc12g055960.1 transcript:Solyc12g055960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESANSKLFIFTLLISSFIIISHKYPLFQIVKSPSILFVESKLHTSPLRSNTTIPRLAYLISGSIGDGERIKRTLKALYHPLNQYVLHLDLETSDEERNELVKFVKREALFVNVRVIERSNLVTYRGPTMVSNTLHAAAILVKDGGEWDWFINLSASDYPLVTQDDLLHSLRNIPRYLNFIEHTSDLDWKEEYRAKPIIIDPGLYSLNKSNIFFVEPQRSVPTAFKLFTGSAWMMLSRPFVEYLLSGWDNLPRTLLMYYTNFLSSPEGYFQTVVCNVQEFINTTVNTDLHYIAWDNPPKQHPHYLMMDDYREMVDSNAPFARKFGKNESVLDKIDTELLGRKPDGFVPGGWFEYTNITLLRPGPGAKRLKSLISGFLSDKDFDKKHCL >Solyc03g063161.1.1 pep chromosome:SL3.0:3:35681360:35682635:-1 gene:Solyc03g063161.1 transcript:Solyc03g063161.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFRQSPARWEKYQECCEDENLAKKSLCLDVPTRWSSTYMMLSSFIEYEGAIVEYADRDIGLALCLKFVDKNSTVGVYLNQLISNEDQVFAKMAENMKKKFDKYWGDAEKMNKMVFIPCILDPRHKFSTIGFALKKMFGEKGAAIERFCRRIWNFFEELHNHKSEKGGASSNSELVKYLDEETEIEKSDFYVLLWWKVNSPRFLSLSKMARDVLAIPVLSVASECSFSIGGCILDSIRSSLTPRLVQALVCLQDWLWSEPQPISIEEDLDFLEQLEEDFAKLGKELCIDDM >Solyc10g081640.2.1 pep chromosome:SL3.0:10:62801094:62806842:1 gene:Solyc10g081640.2 transcript:Solyc10g081640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVGPKLANNGFLQSVTAAVWKPNQSENLPLPNAGEPNSENSVDSSKGAVDGSGIQGNPPPHLMINADTQMGNNDVVIANNVTVTDKPPVEGVKQNKPTHVRRTSSIGVQIESVLGRKTANLKEIYSLGRKLGQGQFGTTFLCLGKSCGKQYACKSIAKRRLTTEEDVEDVRREIQIMHHLAGQPSVVQIVGAYEDAVAVHVVMELCAGGELFDRILQRGHYSEKKAAELARVIVGVVEACHSLGVMHRDLKPENFLFADQEEDSTLKAIDFGLSVFFKPGEIFNDVVGSPYYVAPEVLRKHYGLECDIWSAGVIIYILLSGVPPFWDETEQGIFEQVLTGELDFASEPWPAISESAKDLVRKMLVRDPKMRLTAHEVLCHPWVRVGGVAPDKPLDCAVLSRLNQFSAMNKLKKIAIRVIAESLSGEEIAGLKEMFKMIDADNSGHITLEELKTGLEKVGANLNDSEIVSLMQAADVDNSGTIDYGEFIAAMLHLNKIQKEDHMYAAFSYFDEDGSGYITQDELQKACDKFGLSNIPIEELMREVDQDNDGRIDYSEFVAMMQDTGFGDKGSKSVL >Solyc02g086795.1.1 pep chromosome:SL3.0:2:50046505:50047895:-1 gene:Solyc02g086795.1 transcript:Solyc02g086795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKKAKICSMFLFSSKIALSVLECTQASASSGRLHLVFDYFGTPLHFGIVLRTVDGNIVFEGGQGKSLRSRGSSSHEVAGAIKYLVDHKQNVI >Solyc05g006837.1.1 pep chromosome:SL3.0:5:1466973:1468730:1 gene:Solyc05g006837.1 transcript:Solyc05g006837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDIRQDLVYAYGWPSQLVRPIWKVKQAPKRAYPSFQRFLCPIAHHFLGDPNSDVKNAKFFRGRPSRPCLCIRLAITACPTHLEAYHFLGDPDFDVKNAKFFRGRPSRPCLYIRKVKRVPKRAYPSFRRFSCAIAHHFLGDPDSDVKNIKKFCGRPSRPCLCIRFAITPCPTHLEGQTSPEARIPLISTIFAVKNAKFFRRRPSRPFLYIRLAITSCPTQKVKRSPKRAYPSFRQFSCAIAHHFLGNLISTSKMQKIFMGVRQDLVYAYGWPSRLVRPIWKVKRVPKRAYPSFRRFSCAIAHHFLDLVYAYGWTSRLLRPIWKVKRAPKRAYPSFYFLGDPDSDVKNAKFFRGRPPRPYLCIRLAITACSTHLEAHHFLGDPDSDVKNAKFFRRRLSRPCLCIRLVITACPTHLEGQTSPEASIPLILTIFVPCLCIRLAITTCPTHLESQMSPEASIPLISMIFVCYSTPFFG >Solyc04g015770.1.1.1 pep chromosome:SL3.0:4:6067901:6068566:-1 gene:Solyc04g015770.1 transcript:Solyc04g015770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIILLFIVIFMLLSSSIDANGITRKLGVHYTTVTRLPKSNEAIFDGSEHKYFSISSSSMHSKEATPRKVDSRFGSVTSLTNTNKATSGGSGCQDSFSSSSLLHTKEVTPQTLKARYNAVTNLQNTNQATSDGSGCRYSPISSTTTHSTLANPRKLGTHHNMITSLLNTNEAIAHTLGGRGNLVSSLHNTNEATHRKLQTRMSGIQYVPTRTTKMTFHNI >Solyc05g051470.2.1.1 pep chromosome:SL3.0:5:62674217:62675257:-1 gene:Solyc05g051470.2 transcript:Solyc05g051470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKGPILYWPIRNLDMCNGPSGTKKKNLNGLGPLKAPFPMHEVMGHEYGPGPKNIVGGS >Solyc05g054230.3.1 pep chromosome:SL3.0:5:65036981:65044161:-1 gene:Solyc05g054230.3 transcript:Solyc05g054230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKFICRSTFLSPRTSFFSSKRHFFSCRPVKLSFCQNQGKLTKIRCGIINLNTRSSMSCSATPAMAKEKEMEQVDKILAAKEDDYGGVTVEMTNEPLDPSVFASLLRASLSHWRQQGKKGVWIKLPIELVMLVEPAVKEGFYYHHAEPKYLMLVSWLPGTANTIPANATHRVGIGAFVVNERNEVLVVQEKSGRFRGTGVWKFPTGVVDEVNAKFVELLAFRQSHKSFFDKSDLFFVCMLQPLSHDIQMQEREIEAAQWMPFEQYAAQPFVQGHDLLRYISDICSAKMEGRYTGFSPVPTVTGFSAKKTYLYMHGNVRTTGSSNDP >Solyc06g050260.1.1 pep chromosome:SL3.0:6:32915519:32915655:-1 gene:Solyc06g050260.1 transcript:Solyc06g050260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKVVPCNSMKHTNKDIFVISSFFPNI >Solyc02g081860.2.1 pep chromosome:SL3.0:2:46199508:46201270:-1 gene:Solyc02g081860.2 transcript:Solyc02g081860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFYSFRTKQFTSKLIPCKSFSNFTINRVFSALSNPSHTDQEPEIDYSISTLKTEMGLPKLSSCGTEMEIPISDNSMKIAPIPKFNSCVTEVEEPLSDKSFKVTLKPNLGSCETEVEVPISDKLFKEAPKLGSFKLGDSTFYSLIEKYANSEDFTSLEKVFGRMKCEKRVFIEKSFILVFRAYGKARLPEKAVELFERMVDEFQCKRTVKSFNSVLNVIVQTGLYHRALDFYADVVNNRNIMPNVLSFNLVIKTMCKLRMVDRAMEVFREMPTWKCEPDVYTYCTLMDGLCKDDRIDEAVILLDEMQVEGCLPVPVTFNVLINGLCRKGDLARAAKLVDNMFLKGCVPNDVTYNTLIHGLCLKGKLEKAVSLLDRMVSNKYIPTDITYGTIINGFVKQRRATDGVQILLAMQEKGHLANEYVYSALVSGLFKEGKPEEALKIWKEMIEKGVKPNIVAYSAFIDGLCREGKPDEAKEILSEMNKMGCTPNAYTYCSLMKGYFKTSDSNKAILLWKDMATSGITCNEICYSVLIHGLCQDGKLKEAMMVWKHMLVTAFAMLVLWTRD >Solyc01g110370.3.1 pep chromosome:SL3.0:1:97001608:97006412:-1 gene:Solyc01g110370.3 transcript:Solyc01g110370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHVRVGLADPSKIQRCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLIRQRIEFPGDKLGPSNEQGLPSTEQGDVRRETAQPFKLPMIDNHQPNRETAMTAVENNVNNSVKVENELIDLNSRPQRMHGQTSNNQEQVMDMLGGSNHESVGVVPDGPFKREPEK >Solyc08g066720.2.1 pep chromosome:SL3.0:8:55606291:55608411:1 gene:Solyc08g066720.2 transcript:Solyc08g066720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHALYFTREKGRGTWNIFYNNKHVQTDTFKMEIHRKKPGFLPAIEGDSPAILMAYILNVLRFGVENKYLSNTNIFEHSKKYYSIAENHLPQEIDIYSLETLGNWNVNGAWNRPFTSHPKKAPGASELVIMGIYPRKPYFEIGVISADGKKMVHKVDLKFNRCSLCHDMGVTERYNVIMDFPLTIDINRLIRGDSLIKYDKDGYARIGVMPRYGDANSVRWFDVQPSCVFHLINCFEDNDEVVVRGCRARESVLPRPGSKDEKYKRFFEESEETSSTKINNESLEESFFYRVCEWRLIMRTGEVKEKNVITNFFMEFPMINEKFIGLKNKFCYLQVVDIEASSISDGLVKYGGLAKFQFEDDMELIKVEYHMLAEGNFCSGTTFVPKPQGVDEDDGWLVTFLHNENTNVSHVYIVDAKKFATHPITTITLPSRVPYGFHGAFMPL >Solyc08g079470.3.1 pep chromosome:SL3.0:8:63107444:63112938:-1 gene:Solyc08g079470.3 transcript:Solyc08g079470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLKRSLHFFKPKNSTFINSSIRFSHQSQLENAGPMASRLKTRSVIRFTGPDTVKFLQGLVTNDLRRLENPQPEDRTTLATTNAPFVSVSPVYAALLTAQGRFLYDMFLYRPPRADEKLDRTGSGPGPDSGELELFADVDGSELDELLQTLKKYRLRSKVEIESVVDDFSCWQRFGQYLTQKSSEEPDAASLGWGGGFDRSGQSSSQGNNCGWLWYRDPRLDCLGFRGIFPSNTTPPLVEADKETNEENYLLWRLEKGVAEGSAEIPKGEAVPLEYNLAALNGISFDKGCYVGQELIARTHHRGVIRKRLLPLKFVNDSGEEVEQKVAPRSEVIDASSGKKAGTVTTALGSRGLGLLRLDDAFKGSLTIRGSDDVKVQAIKPEWWPAEWLSDQQEQSATA >Solyc04g079690.3.1 pep chromosome:SL3.0:4:64141950:64150505:1 gene:Solyc04g079690.3 transcript:Solyc04g079690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPPKPQRRTQSMPRGISPNLADKVIVAVKAEKVINKTALAWALTHVVRPGDCITLLAVFSDEKSERRRFWGFPKMRGDCRSNERANSHDRIGQITESCSQMVLQFHDRIDVRVRIKVVSALCAGVVAVEAKSNAVSWVILDKKLKLELKHCMEELRCNIVVMKGSKPKVLRLNLGSSEELQTPFFSANSSPVLDSRDLQDERMKHSTPVSSPEDQRTSYIRTSLLNSLTDPNTFLLYERNPLYEGLDKETFSPVHKQRGRDHPVNDLPSFGERIITLSTVPISQNHNYKTILWIPQNDIISDNYSAVENCKSTSLSVTSRNENKNFIGYNKNLSTQRNKLNRDTDMDYLNSSIREAVSLGRTSSIPPPLCSFCQCKAPSFGKPPKLFRYEELEEATNGFSDRNFLAEGGFGLVHKGVLGDGLVVAVKQLKFIGPQADADFRREVRVLSCAQHRNVVLLVGYCIQGNRRLFVYEFICNKSLDFHLHGTKETALDWSSRLKIAIGTARGLRYLHEDCRVGCIVHRDLRPKNILLTHDFEPVVADFGLAQLYNEWEVSEDDEHLIRTSRYLAPEYSNDGKVTEKVDVYAFGLVVLELITGRKTTDLQCYRDQHLLPGSLSPISGKGPYLSAFKNQLLDSNLTSSQLENFPYELQAMSHAAYMCLQEDPHLRPPISKVLKILEGGSAILDSNSFGSRSGYIQGPNSKNHSVSKRHSRRLSY >Solyc04g049925.1.1 pep chromosome:SL3.0:4:44765026:44765961:-1 gene:Solyc04g049925.1 transcript:Solyc04g049925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding APYGAPVMFQKKKDGTRRMRVDYRALNKATVKNKYPVPLVQDLMDRLSEACCFTKLDPREGYWQEPNHVKYLRSFLGLANYYKKFIVSFTKRVATLTHLLKKDTKWVWSERFGKAFQNLKEVIASKPILKLPDFELPFEVHTDASDKFIGGMLLQEVHLVAFKSQKLNDVEQIYSTYEKEMVVVES >Solyc01g028860.3.1 pep chromosome:SL3.0:1:40991055:40995946:1 gene:Solyc01g028860.3 transcript:Solyc01g028860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGAPPADQAADLLQNLSLDSRNKTLEIADTKKKPSGNPTDGANGQLQSADRSVTPVLPEFMDPNLCYVPNSYASTAYYYGYDASANEWEDYARYTNPDGVEMPGVYGDNSSLIYHHAYGYAPYSPYSPATSPVPTVGHDGQLYGSQQYHYPYFQPLPLTSTSNTSSAALPKGEIATSAAAADQTSLSVDSAKGNSNVIVNGGVKGNTGHMPVRPAFQNSSLNANGSYGPGTLPGGAASGYQDPRLGFDGVRSPIPWIDGSMFTDGQARPVVNNSFTPSFSNGYAAPSTKNQNVHPHVMGMHHPRSSSSVNTTNGYMNRMYPNKYYGQFGNSFNSGMGFGPNRYDTRATGRGGWMTVDNRSKPRGRGNSFYGYGNENMDGLNELNRGPRGKGSKNQKSSTPVALAVKGQNIPLAVTKDAEEDKPSLMPDREQYNHPDFPVTYADAKFFIIKSYSEDDVHKSIKYNVWASTPNGNKKLDAAYQEAQQNSGGCPVFLFFSVNTSGQFVGVAEMVGPVDFNKNVEYWQQDKWVGCFPVKWHIVKDVPNSLLKHITLENNENKPVTNSRDTQEVKVEQGLQVLKIFKDHISKQCILDDFEFYEDRQKRIQDKKAKQQLFQKQSQVWEGKATTEEKNRDHSKVDLKPQKPTEVSACLNKENLPAAAQTSTVEVKLTEIVPVTKTGDDVKDVKPVTVSEKKPVANGASNGC >Solyc04g016070.2.1 pep chromosome:SL3.0:4:6741227:6743365:-1 gene:Solyc04g016070.2 transcript:Solyc04g016070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNILARYNPNSEPAIVETVTTKEEPQTELDNLKEEIAVLHRMQSGLLAKKLDGLSFTELQQLEHMLKEGALCIKDHMERKLMGQFESYMPEEEAMTEATILPEQVAMKENFLDEADTSMHLRLAVDTNLKLEPSKSE >Solyc05g024300.1.1 pep chromosome:SL3.0:5:31069212:31069919:1 gene:Solyc05g024300.1 transcript:Solyc05g024300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYECRRLKMFPSLNVESLKSLDQHYCFSLEKFLEILGIMKLLSIIHLEPPLTELDFKPRTSSKQRFNEFPNLNMAEGFCSFKNLNLSGNNFEHLPRSIVQLGALRSLNLTD >Solyc04g011730.3.1 pep chromosome:SL3.0:4:4184413:4187056:-1 gene:Solyc04g011730.3 transcript:Solyc04g011730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRLQMSLMCFIVLVISTQVNSFVGITWGRLQTQQLVPSMVVDMLLQNKIPQLRLMTSGYDIVEIFSGSNISLSVTMGNQYLSQANRNDLAYVWVNDRIKDPINKGVNIVEVTIGSEPFSNSFFKEANNTQIMPVLKLIRDTLDDMKLGHVRATTGHGMDVLKVTKFPSEAEFRDDIKKPMLESLQEFNRTGAPFVIYMFPIHFVKEIMNYPMEFAFMNNKSGFKIVDGNITYTNAVELMIDSLAWALKKAGYPNMKIMIGQIGWPTDGYPHANIKNAERFHKGLLKYVASKKGTPLRPGPLDIYLHSLSDENKFRTVYGTFQRHWGIYRADGNPKYKIDFSLQDRDEYPTQAKGIVKMPNRWCKFNGDTSDMNLVNKNYDLSCDAADCTRLEKGASCDGLNFESRISYAFNAYFQKNKQSLKKCDFDGLGQIVATNPSVGNCEFPIEILAFQDQIVQNGMVLRI >Solyc01g103390.3.1 pep chromosome:SL3.0:1:91878110:91882287:-1 gene:Solyc01g103390.3 transcript:Solyc01g103390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSNSAVPLCTPYKLGRFKLTHRIVFPALTRNRSQNNTPQPHLTEYYSQRATNGGLIISEAAAASDISKECPNLPGIWNEEQVEAWKPVVNGVHEKGGVFFCQIWHSGRLSVPTVSALFFSIGIGWSTRPDDKVYAKPTPLPLESDKIPCIVNDFRIAARNAIKAGFDGIEINASSGGYLIDEFMNDQVHGWTDEYDESIKDRCRLALEIVEAVANEIGADKIGIKLSPFDGKKDSNSEALATYMANELSKLGVLYLHVMEPRETVNRSLLPIRKAFKGTLIASGGYGKSDGEKAIDENYADLISFGRMFLANPDLPKRFEVNAPLNKYNRSTFYTNDPIIGYTDYPFLEVAS >Solyc01g066795.1.1 pep chromosome:SL3.0:1:74799712:74800137:-1 gene:Solyc01g066795.1 transcript:Solyc01g066795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DRLRHTVIIAGIRGKDAYIGDDVFLFQPSLGGTEAAGIHDKAYNSIMRCDIDIRKDLFANIVLPRIAERMSKQISALYLKGSTTPRYDDQF >Solyc04g074905.1.1 pep chromosome:SL3.0:4:60901218:60902228:-1 gene:Solyc04g074905.1 transcript:Solyc04g074905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRFFFFLYKQKRAIANAMKKRPPIDTPTAIIVVCFPPPPEGGATSILLLDGGGGKVVEGKVGAGSGVNAEGDGEGEGTLPPSSGDGANLGDCEFFGGIEGGGPGDEVGDCAAFDGEEVGEGGILELLGGELGVCGGEMGLLEEFGGELGVCGGEMGLLEEFGGELGACGGEIGLFEEFGGELGACGGEIGLLEEFGGEVGVCGGARGLFAVFGGEFGVCGGEIGLLEEFGGELGVCGGERGLLEVFGGELRVFGDGGGLGGGALVDPGFELDGGEGNNGGSVGGFEGDILLPPPGGVKFGGGVIGAATAGGGGFGLFTGIGGGGGE >Solyc03g115630.3.1 pep chromosome:SL3.0:3:66761111:66769488:1 gene:Solyc03g115630.3 transcript:Solyc03g115630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAARTHSVVFSALFPKNSANSRVFTVKCSSKNLSLDGASSGLVERPWKVADARLVLEDGSIWKAKSFGARGTQVGEVVFNTSLTGYQEIITDPSYAGQFVLMTNPHIGNTGMNFDDEESVQCFLAGLVIRSLSIRFAPQIGDAQRPLVTIWLKGTSWVYVSPIRVVYKATSHFHFKLWNSSSLIYQPLYHATDDVDTRAITRRLREDGSLIGVLSTENSKSDEELLEMSRTWDIVGVDLISGVSCKSPYQWIDRTGSDWEFNDNGRNKETFNVVAYDFGIKHNILRRLASYGCKITVVPSTWPASETLKMNPDGVLFSNGPGDPSAVPYAVEAVKELIGEVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLRNGCVEISAQNHNYAVDPESLPDGVEVTHVNLNDGSCAGLASSKMKLMSLQYHPEASPGPHDSDPALSVVTEIGMDKALTTVVSLKSSTFWVSKKAKQEISNISNDLSNLSNTVEEKAKWIFNKLKGKPTKSLPDLLREYNLPCGLFPQNITCYEFDESNSKLIVYLPSTCEICFKDSSVMRYATRVKGTLSRGKLAGVDGMKTKVLVWVKVTSINVESYKSDKLWFNAGVKKSRSKVAYEIPRDAVKVEEF >Solyc01g057330.1.1.1 pep chromosome:SL3.0:1:60492095:60492481:1 gene:Solyc01g057330.1 transcript:Solyc01g057330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILGVEGFSALPRHCYCLQLCYGFFAFAVLANLVRDMAPERVGKLVPLPMAIAVPFLVGSSFAIDMVAGSFIVYVWHKLNSKKADLMVLAVASGFICGDGLWILPSALLALLKVRPPICMSFTAGKT >Solyc10g039363.1.1 pep chromosome:SL3.0:10:21425365:21426102:1 gene:Solyc10g039363.1 transcript:Solyc10g039363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNVNSETNGKTALAVVPTKKKNELSVSSSKDRHTKVNGRGRRVRMLALCAARVFQLTKELGHRTDGETIEWLLRNAEPAIIAATGTVTTTSENIPLSQSQPSVLAPLTRATPVSGFPVGGGFFSMTPQSQPNCRLDLCQPSLEFSGNAYRHMPFTALLLQPVTADDGEEKVAEEDEKQ >Solyc05g007890.3.1 pep chromosome:SL3.0:5:2340247:2342600:1 gene:Solyc05g007890.3 transcript:Solyc05g007890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHQNAQQNKDMNLVLSSDAKPRLKWTPDLHQRFVDAVSQLGGPDKATPKSLMRVMNIHGLTLYHLKSHLQKYRLGKSSVTDQSFDENKQEVKLDLCEIVPNDDDTKGNEISRGLKQRTYFDTELPQSDHKLSLGVCDGSQNHMNESFQIARALQMQMDVQRKLHHQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYGTSSGVELAKAELSQLVSMVNMGCCPSSSLTEIDCSISKDIENKTSKEGILCSIESSLTSSESSARKEGQNNSMNNKNTTCIGLPLNQESKGKKRGRHNICGDEQSSAKRYLETIDLNRKCPNEYDENVTKVIDLNEYS >Solyc05g052470.3.1 pep chromosome:SL3.0:5:63536338:63540146:1 gene:Solyc05g052470.3 transcript:Solyc05g052470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferritin [Source:UniProtKB/TrEMBL;Acc:K4C1S8] MLLKVAPAFALLNTHEENLSSVISFSSHGSALKNFSAKNGNGLVVCASKGSNSKPLTGVVFEPFEEVKKELMLVPTVPQASLARQKFTDQSEAALNEQINVEYNVSYVYHAMYAYFDRDNVALKGLAKFFKESSEEEREHAEKFMEYQNKRGGKVKLQSILMPLSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHAVATRNNDVQLADFVENEYLQEQVEAIKKISEYVAQLRRVDKGHGVWHFDQMLLHEAEALA >Solyc05g052350.3.1 pep chromosome:SL3.0:5:63455860:63459062:1 gene:Solyc05g052350.3 transcript:Solyc05g052350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQDQVQDFTCFYQYSFGVFKFIIQERDMGCVKKIPFVQILLFLQLMICGAQLALGQVWDGVVISEANYQALEALKHELVDPKGYLSSWNDSGYGACSGTWIGIKCAQGQVIVIQLPLKGFGGRITESIGQFQALRKLSFHDNEIGGSVPSSLGFLPNLRGLQLYNNRFSGSIPGSLGLCPVLQTLELSNNSLSGAIPDSLVNSSKLYRLNLSYNLLSGSIPVSITQSRSLVFIDLKYNNLTGSIPDSWGGNGDRQFKLESLTLDHNSLSGGIPVSFGKLSELLEISFSHNRIVGVIPNDIGRLSVVRDLDFSYNEINGSLPESITNLSSLMVLNLESNNLDGEIPLDVKRLQKLSFLNLRNNRFRGDIPAAIGDISGLVEIDLSLNNLTGEIPESVSELPNLSSFNVSYNSLSGPVPTYLAKKFNSSVFVGNVQLCGYNTSNPCPVTPSPVTRSRKRSIKDIILVVIGCLIIVLFLLCCILLCCLIKKRGEAKKPKDVKGVPPTAGEVEAAGGDNGGKLVHFGGGMMFSADDLLCATADILGKSTYGTVYRATLEDGSQVAVKRMREKITKVQREFEKEVNVLGKIRHPNLLAIRAYYLGTKGEKLLIFDYMPKGSLSNFLHARGPDTPIDWPTRMRIAKGTTRGLLYLHTNVNIIHGNLTSSNVLLDENTDAIVSDYGLSRLVTAAANSNVVATAGALGYRAPELNKLKKANVKTDVYSLGVIILELLTGKSPGEAVNGVDLPRKVASIVKEEGTKEVFDLELMKDASIIGDELLVTLKLALHCVDPSPLARPELQQVLQQLEDIRRETPTAGPSTSY >Solyc04g008580.3.1 pep chromosome:SL3.0:4:2185093:2191466:-1 gene:Solyc04g008580.3 transcript:Solyc04g008580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGADEVTVEQTPSGTTSESIEALLEAARYDDLDDVMSLASSGVSLDSKDSEGRTALHMASANGHCGIVEYLIRNGADVNASNVEKNTPLHWACLNGHIEVVKTLILAGATVSALNSHERTPIDEVVCRGKMNVIDAINEAVAQLELTGTTVS >Solyc10g049330.2.1 pep chromosome:SL3.0:10:44982403:44984376:-1 gene:Solyc10g049330.2 transcript:Solyc10g049330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEHVLQHMRRLWKNWRGSLHKIMKSKPFGDALRDVPRGVDKSVWEWLVNEHFLRKQVKETLSTSSS >Solyc05g056460.3.1 pep chromosome:SL3.0:5:66590752:66594161:-1 gene:Solyc05g056460.3 transcript:Solyc05g056460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFTLFVIMGRSISRSPSYSRRSRSPVSRRRSSRRRRRDRSRSPYSSRRKSRSGTPHRLRSRSPATRHKRSRSPTTRRHRRRRSHSSSESPIPKSRSPSLTSTERKSAAEKLKKEEEEKKRLQLEAELKQLEEETAKRLEEEIRKRVEEKLSSEEVKLEIERRIEEGHRKLFEDVEIQLEKEKQAALTEARLKEEKARKEREELDKMLEENRRRVQEAQRREALEAQRKEEERLRELELIQRQKEEAALRKKLEEENLMKLSSKNKSRTNSIGL >Solyc01g111580.3.1 pep chromosome:SL3.0:1:97721096:97728536:1 gene:Solyc01g111580.3 transcript:Solyc01g111580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGELDISTQEMLSSSNFGEFSSSMDSFFNEILKDAHACTHAHTCNPPGPDNSHTHTCYHVHTKIVPTTDDDKNPSDDTAESAENKGKKRPVGNKEAVRKYREKKKARAASLEDEVVRLRAINQQLLKRLQGQAVLEAEVARLKCLLVDIRGRIEGEIGSFPYQKPMKSGNTYQHIVNPNFPGAYVVNSCNLQCDDQVYCLHPGAEGKNSDGTVLNGQGFNNCEFETLQCLGNQTSGLEEVPGCVVGNSTPTDNTSGRSKRKGGARTTS >Solyc09g037140.1.1 pep chromosome:SL3.0:9:21450703:21451046:-1 gene:Solyc09g037140.1 transcript:Solyc09g037140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILRQNIVTNSLTTIISNDVVVVCSPSLVEPIPIHGSTFTSTYVDRSSIREPDPQSPESRFTGQHPIFGFSSLDLTLVSLFGLATSAIFQ >Solyc01g087600.3.1 pep chromosome:SL3.0:1:82428253:82435757:1 gene:Solyc01g087600.3 transcript:Solyc01g087600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVQDHSSPKPSKPLFMKHKVSHYSETKNLDFSTWVSENYVKLFVVSLLTISVAALFYLRTSSVPTTVLCFQNSHQSQPQKPKLPKLDLDSVQPIVDKSSPFSSFHSEQWIIVSVSDYPSGPLQSLVRLKGWQVLAIGNSRTPKDWNLKGAIYLSLEQQASLGFRVTDFLPYDSYVRKSVGYLFAIQHGAKRIYDADDRGEVIGGDLGKHFDLELDGAAAKQQRILQYSLEIENKTVVNPYIHFGQRSVWPRGLPLESVGFVNHEEFYTEVSGGRQYIQQGISNGLPDVDSVFYSTRKVGSEALDIIFDEHAPKVALPQSLMVPINSFNTLFHYNAFWSLMLPVSVSTMASDVLRGYWAQRLLWEIGGFVVVYPPSVHRDDKVEAYPFSEEKDLHVNVGRLIKFLVSWRSEKQGLYEKILELSHTMALEGFWNENDVKFTAAWLHDLAAVGYQQPRLLAVQLDLQKATVQRGDKKEFVPRKLPSVHLGVEESGTVNYEIGNLIRWRKNFGNVVLVMFVTGPVQQTALEWRLLYGRVFKTVVILSTQADADLAVDQGQLDQVYKYLPRIFERFNSTDGFLFLQDNTILNYWNLLQADKSKLWIANKVPTSRNMINGKDSSWFLKQAELVKKVVGTMPVHLQVNYKESGPTDQSIALCGSEVFYVPRRFVQDFVDLVDLVGDLDIHQKIAVPMFFMAMDLPQNFDTLLNKMVYKTGASSTNSLKLYSAQVPAVHPLAVSTESEFIKLIRLMAAGDPLLMELV >Solyc01g104413.1.1 pep chromosome:SL3.0:1:92684479:92696133:-1 gene:Solyc01g104413.1 transcript:Solyc01g104413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGADLPDGISSAVSRGTAIADRGVERSVVVVGDTSSIAPRPISLGGMSGGKAVAKICMEGEAGKLKFEIAKWKSSEEVIVELKNHPVQKPLHQLPAFGHHEITDAASSQKSTVESTQKDKLVDKLKSHPVQKPLRQLPAFGHHELRDAASSQKISNLGKFGYFVFQ >Solyc11g011200.2.1 pep chromosome:SL3.0:11:4255038:4260845:-1 gene:Solyc11g011200.2 transcript:Solyc11g011200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADCYWITFLVVLFCLVGAFDASAGDADPLYRACFGQCKETGCAGETCFGHCNTPLNNSSSDDNWYLKEPLYLWLKQANCLSDCQYHCMIQRETERATHGFGPVKYHGKWPFKRVFGLQEPVSVAFSALNLAMHLHGWLSFITLIYYKLRSNADETTCYSYTSLWHIYAFLSINSWLWSAIFHGRDMDFTEKLDYSSAVALLGFSLIVSVLRSFSVKNEATRVLVAAPLLAFTTTHILYLNNYQMDYGWNMKVCVVIAVSQLLIWSIWAGISHHPSRWKLWTVVVGGGLAMLLEIYDFPPYQGLVDAHALWHATTIPLTYIWWSFIKDDAKFGTSNSSKKDE >Solyc00g007225.1.1.1 pep chromosome:SL3.0:2:32550091:32550612:-1 gene:Solyc00g007225.1 transcript:Solyc00g007225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMIFIASVLDPRNKFVYVSFALEELLGEKMGNVVNTKVEVYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSATGISQNMSKNSLRTKLHMKKQKNDSGSLGVKSELNKYLLEDQEPESEDFDILSWWKVNSPKFPVLSQLARDVLAIPMSSVASECAFSTGGRILDIPLEVH >Solyc01g033990.1.1 pep chromosome:SL3.0:1:35318301:35318857:1 gene:Solyc01g033990.1 transcript:Solyc01g033990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVLNQLQPAYVANMWNEALNNRLGTKNLSLPYVLVEVEKCGFSFAKLLPIPEQDDWVYSDGKLTSCVAIYLDESKTTFTFLLVAILLVTDYLRIIDLLCCA >Solyc11g005720.1.1.1 pep chromosome:SL3.0:11:572336:573031:-1 gene:Solyc11g005720.1 transcript:Solyc11g005720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPPDFFAGVSDGRPSPTRRELQGPRPAPLKVNKDSYKIKKPPVAPPPHPPPHTAAVAPAATTQNPQTVIIYAVSPKVYHTTVSDFMSVVQRLTGSTTSSMETSTSGSGSGSADGNLSPAAKLASMEKASSPSTTVPPPAAAAVGMETFDSMDILDILGNSSVEMCQIPGILSPAPATLPPVSPPGLFSPFPTDPFMIMLSPSPSTLFSAPLISPSPSASDLFHPFFDF >Solyc02g091900.3.1.1 pep chromosome:SL3.0:2:53755949:53757316:1 gene:Solyc02g091900.3 transcript:Solyc02g091900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKFLTTALRRTVPKPCHGTRRLRLFSTAAAAVGEPYEEDTTGITMKGVKISGRPLYLDMQATSPMDPRVLDAMLPYHISRYGNPHSRTHYYGWESDQAVEAARAQVATLVKASPKEIIFTSGATESNNISVKGVLHFYKDKKRHVITTQTEHKCVLDSCRHLQQEGFDVTYLPVESDGLVDLEKLRAAIRPDTGLVSVMMVNNEIGVIQPMEEIGKICKEFNVPFHTDAAQALGKVPIDVEKMNISLMSLSGHKIYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGFGAACELAMKEMEYDEQRIKALQERLLNGIRSKIDGVVINGSVERRYAGNLNLSFAYVEGESLLMGLKEVAVSSGSACTSASLEPSYVLRALGVEEDMAHTSIRYGIGRFSTEQEIDRAVDLTVKQVEKLREMSPLYEMVKEGIDIKSIQWAQH >Solyc04g005280.3.1 pep chromosome:SL3.0:4:204903:212306:1 gene:Solyc04g005280.3 transcript:Solyc04g005280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWVPSGSIFFPSLKSSSPQRNSHWRMQSLPAQPHALKNGSGPESTYLGRFDENLATVTSTMQPNQQNPIESHTSRDVSFKALDQQNELANKSITTPIPLQAGMKMSVPNNSAFSEPQPRPVSDQCPNTIDALNHDEDDVIDGGRISLSSSYSQGFLTSLSQALQSTGLDLSKATISVQIDFGKRANQAMTSGPSIAKDDENPTLSGHQHTDHFREASNDEDMNQAQKRLKI >Solyc02g083920.2.1 pep chromosome:SL3.0:2:47724951:47727051:1 gene:Solyc02g083920.2 transcript:Solyc02g083920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEEGVVVRGRVEIDMRQPFQSVKEAVMLFGEKVLAGEIHAKQLQQSKASRGEQNQFKFGAARVELEETKQNLQKAKEEGDFMAHCLQSLREELEQTRREIHELKSTRDVVLEKKVPLTDFDDDDEIHEQLKFIKQPVEVKTQIGEDDDHEIEFEKKRSVKFASPPMLTKIIAVNKLEEYVKKETYEKSKKKLKRKPLIRALFPKKKGNQEK >Solyc10g084620.2.1 pep chromosome:SL3.0:10:64199905:64204241:1 gene:Solyc10g084620.2 transcript:Solyc10g084620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNSTTPNFENLLLQSLMGRLQIRPPPLPSSNPSFLSPQSLEDLLFNNLPSDEEEDQDYNLNGSSSKSQLSIEESRLEKQIIRTILTGKIDSLKPNSGQAVTIGEHHICVAFHEDPGTDYRVWEWHGHLMLFDEENGYTPEYIYGNYFERVSVKLTKKKKEEEEEEEEDVEKEEKVGNLGLKELIESGESNSEGRILRRNMNAGSPRV >Solyc06g068195.1.1.1 pep chromosome:SL3.0:6:42368275:42368595:-1 gene:Solyc06g068195.1 transcript:Solyc06g068195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYIVKEREVENVNEAKDYEVTFDTAVADVLCECGLFNLKGFLCRHTSCVLSQNGFKEIPPQYILSRWRMDIHRSYVLDYGCNFINTKNPVHRYDNLYKCAVKLVE >Solyc03g063515.1.1 pep chromosome:SL3.0:3:36830456:36832007:-1 gene:Solyc03g063515.1 transcript:Solyc03g063515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFLGLNSINCDDFEEYLNQSLENLEIKDDNEDLLGWWSRRSDAFPTLSKMVCDVLAIQASSVASEAAFNGEYAAEQPLVFPTVIAELIRWAARNLELTFKSGTNIGSRLS >Solyc01g099520.3.1.1 pep chromosome:SL3.0:1:89618271:89618615:-1 gene:Solyc01g099520.3 transcript:Solyc01g099520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSSYLIVCVLVGAFFISMAAAGDSGSYDWMVPARSGECKGSIAECMAEEDEFALDSESNRRILATKKYISYGALQKNSVPCSRRGASYYNCKPGAQANPYTRGCSAITRCRS >Solyc05g008480.3.1 pep chromosome:SL3.0:5:2827858:2830293:1 gene:Solyc05g008480.3 transcript:Solyc05g008480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNITNVAVLDNPAPFLSPFQFEISYECLDALKDDLEWKLTYVGSAEDDTYDQQLESVFVGPVNVGKYRFVLQADPPEPSKIREEDIIGVTVLLLTCSYVGQEFIRVGYYVNNDYDDEQLKEEPPQKVLVDRIQRNILVDKPRVTKFPINFHPENNEDGEQAPPDNATEEKALREEPVSSPKQCNEQCPQT >Solyc07g052020.2.1 pep chromosome:SL3.0:7:60687938:60691070:1 gene:Solyc07g052020.2 transcript:Solyc07g052020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPIPDLRDENTDSDRRYRDMLRRIDQRRNQREWMQNIRGLVLTWKIMEQEMNEFLLENYSDGLDLDIQNVSLAETAEPPSDFLLPLLRYQKEWLAWSIKQETIFKGGILADEMGMGKTVQAIALVLAQRELKKATNGSTILLSSPGTSQELPTIKGTLVVCPLIGAMQWIHEIERCTTRGSNKILFYHGTNREKCMYKLKEYDFVITTYSTLQADYMPKKKKQNSSVGEDVSTRNSVLHSVKWDRIILDEAHCIKSVHSNFTNAVLALESFYKWALTGTPLQNRIGELYSLVRFLQVPPYACYFCEDCNCTGLYFSFYDACPQCSHQPASHFLWWKKYIEEPTWLFDDEGRDAMVWYNHKILKSLLLRRTKKERAVDLALPTKTVIVRKDSLDDRENDYYKTLCRRSQEQLDIFVQDGTMINKNCHIFAIITRLRQVPRECSII >Solyc01g097140.3.1 pep chromosome:SL3.0:1:87973330:87977036:1 gene:Solyc01g097140.3 transcript:Solyc01g097140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding WRNLTSQRQEEPMARSISYITGSQLLSLKRRPNIAIVDVRDDERSYDGHIAGSLHFASDTFLDKIPSLIQAANGKDTLVFHCALSQVRGPKCARRLAEYLAESKDDVGIKNIMVLERGFNGWESSGRPVCRCAEVYCKGHTEPA >Solyc07g006490.3.1 pep chromosome:SL3.0:7:1266878:1270553:1 gene:Solyc07g006490.3 transcript:Solyc07g006490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSATVPIINGGINIRAKSEFHHSPVRKFEYGSTQNTELASWKTRSPAIRVLANPNASPGRERTKKEVIMVDPLEAKRLAAKQMVEIKAKERYQRRRQIEAINGAWAMIGLTVGLIIEGHTGLSIPSQLASYVSAVVGIFTK >Solyc09g057883.1.1 pep chromosome:SL3.0:9:52006844:52010219:1 gene:Solyc09g057883.1 transcript:Solyc09g057883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLILDIFHIKDLGQLKYFLGIKVSRSKKGIFLFQRKYILDLLLETGKLAAKPCSTPNGS >Solyc06g075990.3.1 pep chromosome:SL3.0:6:47321150:47322092:1 gene:Solyc06g075990.3 transcript:Solyc06g075990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLGPAFAYTVVYCKDVAKSVAFYAKAFGYNVRRLDENRKWGELESGATTIAFTPVHQHETDDITGHVQTPQSRGDRQPIELCFDYVDIDAAYKRAIENGAMAVSEPEEKKWGQKVGYVRDPDGNIVRLGSHVKS >Solyc06g069330.3.1 pep chromosome:SL3.0:6:43195610:43200052:1 gene:Solyc06g069330.3 transcript:Solyc06g069330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSISGLNGLYDAVNGGGDVWINENRFKIIRQLGEGGFAYVFLVKEVLSDPSNPGISKKFKDPSHISDDGTYAMKKVLIQNSEQLEMVREEIRVSSLFSHPNLLPLLDHAIISVKVAQDQSLKHEAYLLFPVHLDGTLLDNAKTMNAKKEFFSTSDVLQIFRQLCAGLKHMHSLDPSYAHNDVKPGNVLLTHRKGQPPLAILMDFGSARPARRQIRSRSEALQLQEWAAEHVSAPFRAPELWDCPSQCDVDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPTKPKSPYPEGLHQFVTWMLQPQATVRPRIDDILIHVDKLISKFSH >Solyc03g078843.1.1 pep chromosome:SL3.0:3:53043462:53044032:1 gene:Solyc03g078843.1 transcript:Solyc03g078843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQFCKRRLKQNIALMHRLRALNKVTIKNKYPIPLIADFFDRLGQAKLLRWTFEKSTTKVIYNNTLEENVEYLKKAMSSSRVKYVWMSLRSGRPKSGSRPPK >Solyc09g007270.3.1 pep chromosome:SL3.0:9:865196:869351:1 gene:Solyc09g007270.3 transcript:Solyc09g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKCYPTVSEEYQKAVEKCKRKLRGLIAEKNCAPIMLRLAWHSAGTYDVKTKTGGPFGTIRHPNELKHGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPDIPFHPGRQDKTEPPPEGRLPDATKGSDHLREVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGAWTNNPLIFDNSYFKELLSGEKEGLLQLPSDKALLEDPVFRPLVEKYAADEDAFFADYAEAHLKLSELGFADAE >Solyc04g009730.3.1 pep chromosome:SL3.0:4:3052246:3060708:1 gene:Solyc04g009730.3 transcript:Solyc04g009730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRRRGPGEISRRFWKYSRRIGRRLFGLLRESRRKEFGLMVNYYARRGDMHRARETFEKMCARGIEPTSHVYTNLVHAYAVGRDMEEALCCVRKMKDEGIELSLVTYSILIGGFAKVGNIEAAERWFEEAKKRDLTLNAIIYGNIIYANCQTFHMDRAEELVREMEEEGVDAPIDIYHTMMDGYTMIGNEEKCLIVFDRLKECGFTPSVVSYGCLMNLYIKIGKVSKALEVSEMMKLAGIKHNMKTYSILMNGFINLKDWANAFAIFEDVIRDGLKPDVVLYNNIIRAFCGMGNLDRALRIVEEMKKERHVPNSRTFMPIIHAFAKAGEVRRALDVFDMMRRSGCIPSVHTFNALVLGLVEKRQMEKAVQILDDMLLAGVGPNEYTYTTIMHGYASLGDIEKACEYFSKIKNEGLELDIYTYEALLKACCKSGRMQSALTVTKEMSAKSIPRNTFVYNILIDGWARRGDVWEAADLMQQMRQEGVPPDIHTFTSFINACCKAGEMQRAMKAVEEMETVGIKPNLKTYTTLIHGWARASLPEKGLKCFEQMKQSGLKPDKAVYHCLMTSLLSRAAVADQDYIITRIQHVCEEMVESGLTVDMGTAVHWSKCLRKIERTGGDITKSLQKTFPPDWSSHRTLNGTSNDNEIEEGSDSSDDERSSFYEIKKC >Solyc02g086670.3.1 pep chromosome:SL3.0:2:49957509:49964742:-1 gene:Solyc02g086670.3 transcript:Solyc02g086670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGSIMSSAAGKPITDVVLIDNLPKEINAMKINDDKEGKEMEAAVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPSIVSLKHCFFSTTEKDELYLNLVLEFVPETLYRVLRHYSKANQRMPIIYVKLYTYQIFRALAYIHGIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTNAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVIRLLQYSPNLRCTALEALGHAFFDELRDPNARPPNGRPLPSLFNFRPQELKGASSELLNKLLPEHAKKQCPLLGV >Solyc09g007370.3.1 pep chromosome:SL3.0:9:967431:970949:-1 gene:Solyc09g007370.3 transcript:Solyc09g007370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANETMAEQQKKRTMEALERRFAQAKAEIHQQQHKNKRVAVTTTKTLAENNIGVTTQSINSSPSPLKSTTTSSAPSSKKGHISFSGHTSAQGTTFLHVELNNPAYLQISHSVDDNLLKITTEISGKNTTANDILHDLLQHGDSAQKYMQGSKNVKVDNWILLDSFVQKSSIATGARNRALQRQSKRSKRCMSIKQHKKFGSFDLPQEYHNYDIFKPMHDRWKDYVTKLLKNIGKNQLSQCLLNADLHGALILGEV >Solyc09g018255.1.1 pep chromosome:SL3.0:9:13995603:13996510:1 gene:Solyc09g018255.1 transcript:Solyc09g018255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGATIARAAWDKLVAAYASGSRPYICELKSQLHTLLRDNVSIESYVQKTKGMADKLATLHHPVPNDNLIEFVLAGLGPFYRPFTRDKALTVIVPTTQYTQSSFSTTRGRGRGRGRGHSSNHNFQSSQNHGSHNYAHKNSTSSQASDMSAIICHNCEGKGHIASVYPSPKSNIRNKVSGQPISNLARTPSPQNWLIGSGTTHHLTANLENLGIHSEYQGPEEVTISNGSKISISHIGKSSVVISGKKNRS >Solyc08g016360.1.1 pep chromosome:SL3.0:8:7353327:7353884:-1 gene:Solyc08g016360.1 transcript:Solyc08g016360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALMLSTNENVMNVDNDLAKEQEEIKKCQAADVEKHLFEKDLSTFKQEKTSLQQQQQKANEFVDQFKKEEWVKQRLLQQADSLKDEREQLLFTKKCSKIILEKR >Solyc05g018860.1.1 pep chromosome:SL3.0:5:24303565:24305297:-1 gene:Solyc05g018860.1 transcript:Solyc05g018860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIKARITLWEDQGKILYPYIYPIHFRPYIVIVTATTLNNSEMDNITALLHKFSKKSVDDHTIESANASNVSIAEAMFENRMNIAELVDSDWSTDIAEHLADDDASSLWHIEKDIHKLGVLFSFTR >Solyc06g069550.1.1.1 pep chromosome:SL3.0:6:43422097:43423539:1 gene:Solyc06g069550.1 transcript:Solyc06g069550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose 6-dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4C8C8] MVKICCIGAGYVGGPTMAVIAFKCPAIEVAVVDISFARITAWNSDQLPIYEPGLEDIVKQCRGKNLFFSTEVEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKNDKIVVEKSTVPVKTAEAIEKILTHNSNGIKYQILSNPEFLAEGTAIQDLFNPDRILIGGRETPGGQKAIQALKEVYAHWVPEDRIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSHAVGKDTRVGSKFLNASVGFGGSCFQKDILSLVYICECNGLKEVANYWKQVIQVNDYQKNRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDNANLSIYDPQVTEDQIRKDLSMKKFDWDNPIHLQPMSPTVMKQLNVVWDAYAATKGAHGLCLLTEWDEFKTLDFKKIYDNMQKPAFVFDGRNIVDEQKLREIGFIVYSIGKPLDGWLKDMPAVA >Solyc05g017840.3.1 pep chromosome:SL3.0:5:18473544:18476527:1 gene:Solyc05g017840.3 transcript:Solyc05g017840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHGFGNMYVHIATFILYGSFLEVRTGNSYDIVEDTFPRKPDESISPLPREDGRYKYTSGPPLPTIKSSTPSPNKFADEVHSPDRQQPFVEDESHTRNQNVIMIPLTPSVIQRENDNLNHKFPLDVIVSIAA >Solyc01g010440.3.1 pep chromosome:SL3.0:1:5287463:5292953:-1 gene:Solyc01g010440.3 transcript:Solyc01g010440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPVSELSDGPVLSVINKRLRALRKKHSRILQMEESRTKGKTLNKEQEETLRSKSAVIAAIDELEKLRQPLAAAVVEEINLSTGQHQVSPAESAVNISIDSTDKGEPAREGVLVVEDLLNLLYFGSMFDVKSLQSDFTPTMLTRTMERACCLSYDCMPDDESTDVMDLLGERDLDLISMLSGLLVSRPVNSPLSHKHALQKCIEHAKLWLSKSEQPIEPNSDATYAGLRSKLDKIIASLYFTTDPVKVEAAAGKYGSYSVPVEEHHVEAVPVDVPLQVDTPSVQYEQKEEAVSSQAIETNDNHISNNIELQQGGQDINQPAEPEEVVFEVEGVDNMKDADFNEQQSVPRRSYQNQNYRGNRGGSVGDRRGYSNGRGGRGRGGSYQNGRNQYYDQSGNYQQRNYNNYRGRGGRGTGGGGGYNHYTSGDQAGSYSADLR >Solyc08g007805.1.1 pep chromosome:SL3.0:8:2325216:2326730:1 gene:Solyc08g007805.1 transcript:Solyc08g007805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVDAAGNPNPDVDGVDAGAKIRRFSGREVDRCVPIMCRLKDLNQKCTKEMDAYAGCMYYNTNEFNMCRKEQKEFEEACPC >Solyc08g081520.1.1.1 pep chromosome:SL3.0:8:64674731:64675948:1 gene:Solyc08g081520.1 transcript:Solyc08g081520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVTDNQGSFLNRISIRRNQVSNVENNHEQDQEDLELFQKHVAERFADLLPSDSLTTADSPAKDQSPLFSISWYRKLLDVFLCCEAEFKALVLIGRDPVQFSKPPLDRLVPDILDRSIKSLDICNAVTHGLELVRHWQKLAVTAVTALERKPMGDGQVRRAKKALNTLLTSMMLDDKENNYHAKVAERTWSFGRRSGAAGNNNANNKDRTNGTFRSMSWPVAKSWSASKQVQAMASTLVAPRGGESTGLALPIYIMSTIFVFVMWGLVSAIPCQERTGLMTHFPMMRNLNWGQSLISLQDKIAEEWKKKEKKGTTGLLDELQKMEKVAQNLVDFAENFQFPAEEEKVEEVAGQVAEMAEICRKMEEGLAPLQQQIREVFHRIVRSRGEVLEVLDQVGKMSTPVLY >Solyc01g094900.1.1 pep chromosome:SL3.0:1:86178174:86179169:1 gene:Solyc01g094900.1 transcript:Solyc01g094900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNIWTPKIIAHTSNSTYFGAQGIYITTRFNFRRNLMIKTSKGLYYHIWLGHIVMTLFSAHGICYIIYWASTHQLSEMLKWGKTDISNLAGELALLSGLVLWIATFPKIRRKMFELFFYTHHFYILFVVFFVFHVGVSYACIMLPGFFLFMVDRFLRFLQSRSNVRLVSARVLPCETLELNFSKTKGRLFERKE >Solyc03g051885.1.1 pep chromosome:SL3.0:3:23311481:23312340:-1 gene:Solyc03g051885.1 transcript:Solyc03g051885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPESSIVLENRSLHVTVSNSEIALRLKLSAAWTYKRALQPPSLVGRQLSQLCLNVLELVYK >Solyc12g040390.2.1 pep chromosome:SL3.0:12:54711170:54713662:1 gene:Solyc12g040390.2 transcript:Solyc12g040390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSILMPGRSFTMSRNQARNKETRSKNQKNPEKKIKRNPINSTISSTHEWPTTPIAKKKKNFTPFRCVGCRETSQLSVPAPIADSQSKKKKKKLRTKTLNFFDGDFDDFVVSRKPHSSKGRVDADKITPKEQSMQRMVTGSEDYPVLDTATLERPHSRADWFGFGHHSHFDFSEGLSEFVMLQNSFMGGRTDCPDRYRSLRLNVDNMSYEASHFLGSLCPCNSICVLTISSLCLQELVELGDRIGYVSTGLKENEITQCVRRTKPVSLNNFSHLHTVVEKNCSICQEEYEADDEMGKLGCGHFYHIDCIKQWLMHKNNCPVCKSVAMSTC >Solyc12g033010.1.1.1 pep chromosome:SL3.0:12:37777679:37777933:1 gene:Solyc12g033010.1 transcript:Solyc12g033010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNGVKKNSCLLTMNNNESTYFRSKTKYPVAKNGRKITQSFMTIAHFKHKEFSSYPYELDNTMLFLIVQFMIQFIVQFMIINE >Solyc10g018817.1.1 pep chromosome:SL3.0:10:10511849:10567849:1 gene:Solyc10g018817.1 transcript:Solyc10g018817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCCYIFMVTKFALMEKRGWEKLDKKGPIHVLYDRDDKVKIYEKNFIGWLRFKKSNDAMSSHVYAGEGYTRFSRGTRQAVTHGRNESKRTHVSTVLMNLKSNVVTVNVLTHKA >Solyc06g068000.1.1.1 pep chromosome:SL3.0:6:42268021:42268665:1 gene:Solyc06g068000.1 transcript:Solyc06g068000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGGVGGSGHDGASRRGVGLGARRCLEAGWGRGPQVTLVPRGEGRVPRGVGVGSSGHDGGLRPGWGGVASGHDGRLEVGFGGWETSKARRCLEAGVGLGAPLCASRLGGRCTFVCLEAGVGGPWGTFVCLEVGGWGVSVHIRVPRGGGPRGTFMCLDVGGGGLGARRCLEARVGWGASVHEGALLIMFAVSGNIQKMILRTCNKMLLSSSFKC >Solyc06g082580.3.1 pep chromosome:SL3.0:6:48395174:48401324:-1 gene:Solyc06g082580.3 transcript:Solyc06g082580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNSLAAQKHYSPNSIHTEKACISIGREDKRIIRLKDLSAIMAEEENQNELVADIAPFDPTKKKKKKKVVIQDPADDSVDSLAEKTENLSVSEGLEATFSGKKKKKKPGHSDLLSDEKESIGEDVDDQPGDDEEAGEIVLQQLPWEGTDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQPEHVMTFLLAEMGTSGSLDGQQRLVIKGRFAPKNFEGILRRYVNEYVICNGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRKAGT >Solyc02g083785.1.1 pep chromosome:SL3.0:2:47610207:47614813:1 gene:Solyc02g083785.1 transcript:Solyc02g083785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAFSSDKKRRRRLFNRLVDNEMIFFSLCNFPLFCLRLRLQLFPRVKCESKWTFGILHVSKPRCSDVGKAIRFLHEVHSY >Solyc10g076500.2.1 pep chromosome:SL3.0:10:59574828:59597692:-1 gene:Solyc10g076500.2 transcript:Solyc10g076500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRNRSVPYSLLNLEIPDLGTLVGSLANLRELYLDGVNVSLKFCFGIFCGLWPHNLAAFAKKSLTFNSSRSTKLVKWDVNTDCCLWPGVSCDQEGHVLVLELDHEVITSRVPEFIANFTKLSLRSCKLFGPFPSKIFQVQTLQELDLSYNENLTGTLPDFPQKSALREVVLRNTGFTSPLPDSIANLRNLTRLDIGSCNFSGDIPSKMGSLTDLVYLDLSFNSFTGSIPFFHKAKKLVYINLSDNNGPFSSTQTQIAVLLSLPSLQFLSIQNSRLSGEIHEFSNASSSVLDTLDLHNNHLNGSIPRSIFQLKSLSELVLSSNSFSGTINLEAISGLPRPTTLDLSYNNLRIVSNSTSFPFPAKMHHLRLASCQLQKFPDLKNQSFLFELDLSDNKIRGGIPNWVWKVGILNLSHNLLESLEQSHMSTTPLSIDLSCNRIKGKPPFLPPDIAATYHLSRWGGSITFLSLANNEFTGSIPSFICNLYQLKFLDMSNNSFNNKIPSCLFQKADRFVVLNLGRNKLSGTIPDTFPLNCSLRTLDLSSNILEGTVPRSLLTCQPLEVLNIGNNKIEDTFPCMFHYFSNLHVLVMRLNKFYGDLQCSVANQTWSSIQIVDLAYNNFSGALLPQYFSNLKGMMQSRNLDPGEHYLHVDSLYQDKVTLTIKGLTVEYVKILVVLTSIDFSCNNFQGEIPETLGDLKSLIHLNFSHNALTGRIPKALGKLTQFESLDFSGNHLSGRIPDELVSLTFLAFLNLSFNQLSGRIPSGNQFQTFSADSFEGNIGLCGVPLKKTCSETKVNGSSQPNNHSEHEIDGKYISFSLGSSMAFGIIPMELSRLTKLMFLDLSNVALKLERGDLQTLVENLANLRELYLDEVYISLKRIEWCSTLSSSLPQLRVLSMKDCGISSPFDPVLLNLHFLSVIRLDGNNLSSIVPTLQELDLYGNEKLTGTLPDFSQNGSLRELGLGSTNFTGPLSSTHFGGLSGLSVYTCKTILSDSNSTSFPFPQMSELNLASCQLQKLPDLKNQLLMIALDLSYNNISGQVPNWIWSISLSYLNPFCNFLEAQEEPYDTSSELWSFIDLHNNRIKGNIPIVPTTLIYLSIAYNKFTGSIPSSICNLYQLQFLDVSNNSINSKLPPCLFQMFDYLSVLNLGRNRLSGIILDTFLSNCSLKTLDLSNNNLEGKVPRSLQRCAFLEVLNIGNNKIRDIFPCMLKTLPSLHVLGSTATTLLLELGRHDEEQKSRATTTVLES >Solyc05g025580.1.1 pep chromosome:SL3.0:5:34104347:34104538:1 gene:Solyc05g025580.1 transcript:Solyc05g025580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGRLVACMARARHMRGARVQWRV >Solyc02g032300.3.1 pep chromosome:SL3.0:2:28599260:28613228:1 gene:Solyc02g032300.3 transcript:Solyc02g032300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:K4B5H6] MSRLSFRPRPLDIHKKLPIVKSVKDFEDDDSQSNTRNQIIRLAAEAADIEVQQTSSKKFIPEIPIPEYVVVDTYERDYSRTFAQPTSYIHARGARAEIGEFVEYDLDNEDEDWLQDLNRERKALAAEKLETILHKLEVLDHKARERAGVITPTLNSPIPVLLSFDASVEALQSLTIKYGVFQSIYTYWKDKRERWQKPILRRLQPPPPVSDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFEKLRQVRRSLDQSKTILEALIKREEKKREVVESEVSLQRLQMKHKNEIELFEDTLALPGFPSFPSMFGSSEEEYVDSDDAAISRPHAQFDVSPNLTFVDSKPVMVSAGSMRQERELKRRHVPPGWLHKLDPNEPLLLFTKPLDPEKLAAASIKPPGSSMENGLAGRTFNSRGRIGRGGRIVFDRWNPLMHTPIECADALNSAHP >Solyc11g011110.2.1 pep chromosome:SL3.0:11:4195109:4197999:-1 gene:Solyc11g011110.2 transcript:Solyc11g011110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLGQVIVGLLIIGIVACVDSAEEQVVTYVFGDSLTEVGNNNYLQSLAKSNFPFYGIDYEGGKATGRFTNGRTIGDIISAKLGVQSPPPYLSLAPNDDAILKGVNYASGGAGILNDTGIYFIQRMTFDDQIKSFEKTKEAIKAKIGQEAAEKHVNQAIYFIGMGSNDYVNNFLQPFLAAGQQYTHDEFVELLTSTLGEQFTNLHQLGARKMIFHGLGPLGCIPSQRVKSKRGICLKQVNLWVQEFNSKVEKLIVTLNKHLPYAQISFANTYPIVLDLIENPTSYGFKISNTSCCNVDTSVGGLCLPNSKLCNNRTEYVFWDAFHPTDAANAVLADKIFTKLFHQASS >Solyc11g065545.1.1 pep chromosome:SL3.0:11:51346895:51354431:-1 gene:Solyc11g065545.1 transcript:Solyc11g065545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVVNKFFITSLIMWAAPVAILYAFNHNLIPGVTDMSPHSMTLVSGFVAVISVNVVIAFYICLAMREPSDKHEPDPKFLAEAKASVKQLGQNQEGDSSNSRTKKE >Solyc05g023870.1.1.1 pep chromosome:SL3.0:5:29824960:29825277:-1 gene:Solyc05g023870.1 transcript:Solyc05g023870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFATFLASYYRSILGIPTLIPIPLLVEKEQYTNLEISSFESSAYANVLRNCTKNTDFIIGKELDCDVLKRGGWLDLFGKNNLVNLYVKSELLLFSVQLCHEMPT >Solyc10g008570.3.1 pep chromosome:SL3.0:10:2669446:2673500:-1 gene:Solyc10g008570.3 transcript:Solyc10g008570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFSPSLKKYQDLKQQVQMDDLELGTGGTGPSHNESIDLAKFFEDVENVKEDMKEVEKLHKRLQDSNEESKTVHSAKKVKDIRARMDSDVTLVLKRVKIIKGKLEGLERSNVANRKNLGCGPGSSADRTRTSVVSGLGKKLKVLMDDFQALRAKMNSEYKDTVARRYFTVTGENADDELIDNLISSGESESFLQKAIQEQGRGQIMDTISEIQERHDAVKEIEKNLIELHQIFLDMAALVEAQGQQLNDIESHVAHASSFVRRGTEQLTEARELQKSSRKCTCIAILLIILLIIKLHLQIVSMFLKLLGHRHYSSVTFFTNPPSKESEIIHLCRSDLLSQAIKLLKSTEKISSKPIVYATLIQTCTKSHSFNHGVQFHTHVIKTGIETDRFVGNSLLALYFKLGSNFLETRRFFDGMVYKDVVAWSSMITGYVRIGKPKISLELYGEMIDLGFEPNGFTLSAVIKACSDIGKLKLGSGFHGVVISRGFEENNVIVSALIDMYGKNYASGDALKLFDELPEPDAVCWTSVISSLTRNELHEEALGFFYTMHRKNGLAPDLFTFGSVLTALGNSGRTRQGREVHAKIVTAGHCGNVIVDSSLVDMYAKCGLVDVSQRVFDGMDKRNSVSWCALMGGYCQKGLAALKSGKEVHCQYLRRGGWSDVIVESALVDLYAKCGFDNYAYVIFRQMNVRNSVTWNSMISGFAQNGKGAEAIAVFKEMISEGVKPDYISFIAVLFACSHNGMVDEGRKYYLSMINEYGIKAHIEHYSCMVDLLGRAGEVEEAESLILGSEFRNDASLWATLLGACTSNTNPTVAERIAKKMMELNPDYHLSYVLLANVYRAVGRWADALEIRRQMQEKRVNKITGKSWI >Solyc08g065340.2.1 pep chromosome:SL3.0:8:53314257:53317834:1 gene:Solyc08g065340.2 transcript:Solyc08g065340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQTCNAPVSPSVKTRRVKHIKQPVVIVTKPAIKQLPLKRKKSNATGVTTSNNDSSQINISRAEFDSFKLSDSDLEVPSKSSIGGVPQSPISSGLQRPNEMNNLENSEVLIMDKLSPGVSITGGLSLDQKKEEALITGELSPDVPITGGLSLDQEKEEPVGQSLKVPITIKLSPLFFKVVVIFDQKHQFDSISGTHDTSLYIDFWIWLRQDYDSFDIVYVDDILQQPLGSLNCVLYISAYTEFLSDDNGIPTGPFDLDLMRSIYTTLL >Solyc10g055473.1.1 pep chromosome:SL3.0:10:56821377:56825794:-1 gene:Solyc10g055473.1 transcript:Solyc10g055473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFEMKDLGPAKQILGMKISRDRSAGTLNLSQELYIEKTAEERDHMTLVPYASAVGSLMYAMVCTRPDIAHAMGDVSRYMANPGKEHWEAVKWLLRYLRGTSSTSLCFGKVKVTLQGFVDADLGGDVDSSKSTFGEGEENERGVEGKTGRRRLAVVAAAGVGVVVFAGEEERRTAAGAAGWRRGFRRRLVAVAVT >Solyc09g015110.2.1 pep chromosome:SL3.0:9:8010343:8013244:-1 gene:Solyc09g015110.2 transcript:Solyc09g015110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFICSSPFYFLSCFILLSFMTSQNDACVGSSSGQHIGKSIFQHSYQQIIEKLEEVFSQNPNPGNDVIDQLSEDLGLDATQVKIWFYDKGNDIKAQQIMEEQSKIEHLKNKIMYSENFQMCLNLKQQFCAASNNPEQQRAILQDLLNEYAKFGEDVMMISKIIDHFIEDMEGYHDILFSEFESKLDSLSNYKDPKGLDLELRLGYYKDPNGLDLELKLGLKSD >Solyc09g056017.1.1 pep chromosome:SL3.0:9:46959856:46960092:1 gene:Solyc09g056017.1 transcript:Solyc09g056017.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding HGMHSICPAVWSKHRRWLLMSMICLNPLACLLSLTLC >Solyc01g107273.1.1 pep chromosome:SL3.0:1:94770829:94771024:-1 gene:Solyc01g107273.1 transcript:Solyc01g107273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETYEPERLGSESFLDGEKRTSVDAYIIEVGPRLSFTTAWSANAVSICQACGLTEINRMER >Solyc07g053525.1.1 pep chromosome:SL3.0:7:62075064:62076032:-1 gene:Solyc07g053525.1 transcript:Solyc07g053525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIRSMTSCASAAITHEKSKHDLYIKPFKLKTFIGSLGTTILQLQLSSIVDLHVILMELSSPLPMRMERSFLRQIGLQDQLWMDVNGC >Solyc04g074710.3.1 pep chromosome:SL3.0:4:60716349:60724548:-1 gene:Solyc04g074710.3 transcript:Solyc04g074710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Queuine tRNA-ribosyltransferase catalytic subunit 1 [Source:UniProtKB/TrEMBL;Acc:K4BU09] MALRFEILGRFNRARAAQLILPHYECQTPLFMPVGTQGTIKGLTTHQLEDIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRALLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKRPHEQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTAALPEHKPRYVMGVGYPLDILVCSALGADMYDCVYPTRTARFGTALVPEGVLKLKHKAMEDDLRPIDPTCDCMVCKTYTRAYIHCLVTKDAMGSQLLSHHNLHYMMKLSRDLHSSIVEGWFPEFVCQFLQKMFPQGDVPEWVCNAMEVAGIDISSCCAPFSSLSDKVEDTQNQEVKQ >Solyc10g018120.2.1 pep chromosome:SL3.0:10:6691442:6693682:1 gene:Solyc10g018120.2 transcript:Solyc10g018120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYYYTCNFPDIYSWINNLPPTSKWKTDSISICISPSCSSQPSLKLSIAKNYHFSIVADYNLPISLWTSKQLRVKYSTTKLLDDESVFSFLINIVRDVLNYGPNKNYNSLFLKIPRMDFNNSDFKEIFNFSFLTLAFIICIYEAPADLRSTCIIALKNQFSCSQSRQASKLLVRILGSNTEEQWMRSVNLAITNWILEINSSSNHHDHAMRTPCPLFSYSFSTQGLWKVQLYCPVIAMEVETSTYSSLSDESLRFSLNFHQLEGVIQLNHRVIVREKWIEVMVNTDNIRCDVVRLVNESLMAERGAGVSEKHFPSRISLQLTPTLQSNVLSISVNKSSDNPLREIGIEKTVEAGFDPPNTYMGLKVSAGETVVTTMKPWKFEQSVNGDGANLNWFLHDSGNGREVFSSKPSVFSLIQPKAWFKNRYSSVNRPFTKQGGVIFAGDEYGESVCWKVDKRAIGKTMEWELKGRLWLTYWPNKHITPYAETRRLEFREVLHLKLA >Solyc09g009220.3.1 pep chromosome:SL3.0:9:2594095:2598314:-1 gene:Solyc09g009220.3 transcript:Solyc09g009220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQKHLHELLQEDQEPFKLKHYIADRRCQLNKNPSKYSLQTVKKQKSISSPSNTSTLLCKHACFFTSIRKKSDGGSSERLSPINFPASTKSPVRKVFLHIPASTSSLLLESAMRIQKKQKNRPKLKKTQMGFGLFGSILKKFMNKKREIGMKRNEIVAELTSGSCSCNHSRLSSAGWSESNEEKSMDFETSSSCRSENEEIEDIELEMSVVDWLPDAGFFIAGSISGSPQNRGAQQQLLSKLRRFEKLAELDPIELEKLMLEEEEEEGNNDLEYDNDSLLSYRDRDFETFASEVTFPFDMKRLVSDLIYEEKTETNNSNNREEEVVFGRVCKRLDSWQHVRSDTIDMMIESDFKTELDDWKKFHEQREEAALEVEVSIFRLLVEELAEEIVHFGGHHW >Solyc10g005480.3.1 pep chromosome:SL3.0:10:351327:352818:-1 gene:Solyc10g005480.3 transcript:Solyc10g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGKKICENVGFGLVRSTSFGRKRVCLPNIRDLDFISTTPTKKICRNNSFSTCVKSPLEALPQDILIRIVCGVEHEDLKRLFHVSKPIREATLVAKRWHFEYSTPKKTLGFKNATDMDDWNESNDVELPNAPRQAKFPRTRLSQKKLADISVALFTSDSEENWPRRELFMQMDTEL >Solyc01g111275.1.1 pep chromosome:SL3.0:1:97497957:97501999:-1 gene:Solyc01g111275.1 transcript:Solyc01g111275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGGRDGGGYGGGGGYGGGGGYGGGRGGNRGYGGGDSGYGGGSRGGGGYGGGGGYGGGGGGGGGCFKCGESGHFARECGGYGGYGGSRGGSRGYGDGDSGCNRGYGGGRGGGGGCCYHCGESGHFARDCNQNEGGGGRYSGGGGRGGGGRCYKCGEDGHFARECTSGGQ >Solyc11g020890.2.1 pep chromosome:SL3.0:11:12898001:12900124:1 gene:Solyc11g020890.2 transcript:Solyc11g020890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHSNIWNAHPKYYGPGSRTCRVCGNPHAIIRKYGLMCCRQCFRSNAKEIGFIKYR >Solyc08g081530.3.1 pep chromosome:SL3.0:8:64676863:64684198:1 gene:Solyc08g081530.3 transcript:Solyc08g081530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVVIRRLMATTPNTMSIKQGLSLWCPQSAVLNRISRVSVPSRSFRRSFVAASSFDNENREYVVVGGGNAAGYAARTFVEHGQANGKLCIVTKEPYAPYERPALTKAYLFPLDKKPARLPGFHTCVGAGGERQTPDWYKEQGIEMLYEDPVTGIDIEKQTLTTNSGKLLKYGTLIIATGCTASRFPEKIGGNLPGVHYIRDVADADSLISSLGKAKKLVVVGGGYIGMEVAAAAVAWKLDTTIIFPEEHLLSRLFTPSLAQKYEQLYQDSGVKFVKGAKIKHLESGPDSRVTAVKLEDGSSIETDTVVIGIGAKPAVSPFDMVGLNNTVGGIEVDGQFRTNIPGIFAIGDVAAFPLKIYNRIARVEHVDHARKSAQHCIKSLLTAHTHTYDYLPYFYSRVFEYEGSSRKVWWQFFGDNVGEAVEVGNFDPKVATFWIDSGKLKGVLLESGSPEEFQLLPKLARSQPSVDIAKLQNASSVEEALEIAQASL >Solyc05g054450.1.1.1 pep chromosome:SL3.0:5:65224432:65225121:1 gene:Solyc05g054450.1 transcript:Solyc05g054450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQKLKEKEKIKKQKHKHPNDESPKPTSDFTFKPISDVKGLRFGGQFIVKSFTIRRARPLELLRILSLSPPSTKNSSSSFNFTSTTAYLPTNFTILAHHAWHTLTLGLGTKKSKVVLFVFESEKMKSEIDRVWPVEIPLGEVNKKMIRGLTGTEMARFKFRKGCITFYVYAVRRIGDLGFTCAEDLKLILQSVVALKDFFDHTAMLAMPNQRSINFPSEIQQMGIAH >Solyc10g079020.1.1 pep chromosome:SL3.0:10:60798498:60799000:1 gene:Solyc10g079020.1 transcript:Solyc10g079020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVITKGEGIITLFPQLKYLYLGHLPKLGHFFLTECALTFPFLKVVDIDDCPEMKTFVQHGISVSTPSLKCDNEVKVDDLNKWIQQRFNNKHSLFPAGTKASDDDEASDDNKASEEDKVSDDDEAEPSHARS >Solyc11g016940.2.1 pep chromosome:SL3.0:11:7602011:7608795:-1 gene:Solyc11g016940.2 transcript:Solyc11g016940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4D6K0] MYSANWTGFGLAVASSAFIGSSFIIKKKGLQKAGASGTRASSGGYGYLREPLWWIGMVTMIVGEFANFVAYIYAPAVLVTPLGALSIIVSAVLAHFILKEKLKKLGVLGCLLCIVGSVVIVFHAPREHDLNSVDEIWALATQPAFLLYTASAVAITLVLVLYCEPRYGRTNIMVYIGVCSIIGSLTVMSIKAIGIAIKLTLEGSNQAANFQTWVFVMVSVTCIITQLNYLNKALDTFNTAVVSPIYYAMFTSLTILASAIMFKDWSGQSASNIISALCGFLTVLSGTMVLHSTRDPDPPPSTDLYAQLSPQISWLIHANGEIWKQKEDGLHSEFVAIIRQDHFK >Solyc12g096710.2.1 pep chromosome:SL3.0:12:66499421:66503591:1 gene:Solyc12g096710.2 transcript:Solyc12g096710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTNFRTFLGFLLVLLFSRICVCCASESDVYCLKSIKDSLHDPFNSLGSWEFSDATEGFICHFVGISCWHVDENKVLSIQLPGFGLIGEFPRGIQNCTSLTSLDLSGNSLYGTIPSDISAIVEHVTILDLSNNTFSGYIPSDIANCQYLNGLKLDNNYLEGEVPARIGYLPRLKTFSVANNYLAGPVPLIFGEGFGVESFENNSELCGKPLKGCEDSWIWKHVDRASFIKAFVIGWVLLFTLVLVFCLFILPTKAINKIVSLNIWKLRKKEHLTLGREEELSSQHKMLKLEKFVTRMSFTELENATSGFSEDYLVGNGMLGKVYKAILPNGWILAIKKLNDWENLEDEFVSEITTLGGLRHRNLLPLIGFCAEKQERLLVYKYMSNGSLDEWLHSNEVKAKILDFPRRAKIALGIAKGLAWLHHGYELHVTHGSISTRCILLDQNLEPKIANFWEAKFWSKNDSALSWSLFPVAEYSGLGSYKQDIYCFGVVLLELVTGKEPHELTSSRNLFDHSPCLLDADRDLLGKGADDLILQFLELACDCVKFFPNERPTMLEVYDRLKNISQGRRDWIQKIPLLTDISNLYLSGNNLYGTTPSDISVILKYVTILDLSYNTFSGYIPPDIANCQYLNVLKLDNNNLEGEIPRRIGYLPRLKTFTVANNYLTGPVPSFVSENITAESFANNELCGEPLKGCEDSWIWKHVDRASFIEAVVCDSLVEHLEAEEKGLNFRYEEELSNHQKKLKLEKFVTRMSFTELENATSDYSEDYLVGNGMLGKVYKAILPNDWTLAIKKLNDSENLEDEFV >Solyc05g006250.1.1 pep chromosome:SL3.0:5:917899:918367:-1 gene:Solyc05g006250.1 transcript:Solyc05g006250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCIYEPKGINLPDPIESICTKWGSGPFLFGSYSHVYVQSSGSDYDILTENLGATMHGDYLSGIRETSHISQFIKARKIFKGKLYQSMLDKEIIIGRIVQNPDLAFAKFLFVFDPLTCDSKSLGLSESYFWKTLNLIHKR >Solyc03g112090.3.1 pep chromosome:SL3.0:3:64096068:64099182:-1 gene:Solyc03g112090.3 transcript:Solyc03g112090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSGIFVASAIVFSFLWNYDYLCCSFLFPSANYPNQFFTFTKTSCTDSNYKTVDVKLCYAPVSQLDRGWRKSNNNLKKDKTCQINLVTIPYQPSSNNFTWDYRQGCSCCYLFH >Solyc01g009310.3.1 pep chromosome:SL3.0:1:3419721:3429000:1 gene:Solyc01g009310.3 transcript:Solyc01g009310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENKLVHSPLITYGSMLSLLSFTPPFVILMWYTNVHADGSILKTFNHLRENGLQGLIDIWPKPTAIAGKLIICYALFEAALQLLLPGKTVEGPISPTGHRPVYKANGMAAYAVTLITYISLWWFGIFNPAIVYDHLGEIFSTLIFGSLVFCVLLYIKGHVAPSSTDSGSSGNIIVDFYWGMELYPRIGKHFDIKVFTNCRFGMMSWAVLAVTYCIKQHEEYGRVSDSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSIYTSPGMYLVKQPVNLGLQLSLYILVAGLLCIYINYDCDRQRQEFRRTNGKCTVWGKTPSKIVAAYTTTSGEKKTSLLLTSGWWGLARHFHYVPEILAAFFWSVPALFNHFIPYFYVIFLIILLLDRAKRDDDRCKAKYGKYWKLYCEKVPYRVIPGIY >Solyc02g085610.3.1 pep chromosome:SL3.0:2:49069500:49075075:-1 gene:Solyc02g085610.3 transcript:Solyc02g085610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVGSLFTSFLMFDQETPTAPSYPDWSSSMQAYYSAGATPPFFASPVASPAPHPYMWGGQHPLMPPYGTPVPYPALYPPAGVYAHPNIATPAPNSVPANPEADGKGPEGKDRNSSKKLKVCSGGKAGDNGKVTSGSGNDGATQRSDYESYFCKNSSLWIIHASDCFIFFVNSDESRSEGTSDTNDENDNNEFAANKKGSFDQMLADGASAQNNPAKENHPTSIHGNPVTMPATNLNIGMDVWNASAAGPGAIKIQQNATGPVIGHEGRMNDQWIQEERELKRQKRKQSNRESARRSRLRKQTMDNHMREKFELGNTNERMAECEELQRRVEALSHENHSLKDELQRLSEECEKLTSENNLIKEELTLLCGPDVVSKLERNDNVTRIQSNVEEAS >Solyc05g042186.1.1 pep chromosome:SL3.0:5:56310460:56311087:-1 gene:Solyc05g042186.1 transcript:Solyc05g042186.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLFSLFAPVIHASSSVWPPLPKSLAVRSGSFATGESVQKWPGWPWDNVFKLVVPIAKVGGIIGRRGEVVNRMCKETGASIRVLEGPDADQIVLISGRENPNAEVSPAMDAAIRNFKCVVGLNNDDPGAVAAFVSSKLLVA >Solyc11g007850.2.1 pep chromosome:SL3.0:11:2065316:2074777:1 gene:Solyc11g007850.2 transcript:Solyc11g007850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTIKGGWVGQTFALATCNDSGGRKTRIRRSKEERKSMVETFIKKYQKSNDGNFPSLNLTHKEVGGSFYTVRELVREIIQENRVLGPAKLSPEEQNNIMFAEEYPLGSISTEPQSLSLSGETHVMSSFAPNHSMGKSEEADFGVNRQLDMMVADGLQTSTSDISERIKQSNESHIIDSESDHQKNKDEVLHSSGINGVDHEMFNEQMAGDSETTKETEISGKSDLLNTLSFKHQNTNAQVLDSTEIISESVNVSLLSGVDACRPTVENNGTYGEPISTELVVGENVDVEGGLSDLEVSKAGIPLKTSELLVEKFPLRPISKKINDLDSGLNETTSVAKTLEEIEHEHDRITSLEKAAEHITEPVDMMIADRTTEKSSKLLNEKAEAKAGEASLEISTSSEGVAIATDVGVKASSTLSETVNASCPMPNETVGSSTNSASGTSKKPAADELIEDKGKASIQHSSNHQKGVNPPLDRIHLETWKDTSTKSGERETNPFLALLKACVTAFVKFWTEE >Solyc11g071380.1.1 pep chromosome:SL3.0:11:55170343:55170897:-1 gene:Solyc11g071380.1 transcript:Solyc11g071380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLINAKYFNLFVLLICFLVIQESHGLSLKEVAPVKLLNRKVLERQWAAFGKVYYKHAEKINEKFADWELRGVPAGPDPLHHNGASPKKPKTP >Solyc02g083050.1.1.1 pep chromosome:SL3.0:2:47170656:47171471:1 gene:Solyc02g083050.1 transcript:Solyc02g083050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALDCSKTKTFRSISLPNRSHPTTQRVEEVLNKLKGLETSVAPTAETICNSLLGLEELHKCMDDLLKSTQTLRILSQHQHVKWFEELLGNSIRILDVCGTTRELVSHYKENIRALQSSFRRRKGDSSAEAGIARFTTFSKKMKKDAKRLALSLKQVNCETLTAAFLEADQETIAVIRALREVNAVCILIFQMLLPFLSVPLLKPKQPKWSLVSKLIHNGKIEHEGLENSRNMETKVETFEAQLDSIEKRLEGAFRSLIRSRSSLLNVFSS >Solyc07g049165.1.1 pep chromosome:SL3.0:7:59547199:59552429:-1 gene:Solyc07g049165.1 transcript:Solyc07g049165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRHGKETMKYGAVLNRFLPGILENEEATKTTSQDDISQNVEEVQKIIGYDFNDRNLLRQAFTHTSYHKDCISYERLEYVGDSVLNFMITKEHFSKYPDLPPGLLSPLRAANVDTEKLARAAVKHSFHKYLQHGKPILTRRIQSFINVIPEYPLHSHGLIDAPKMLADVVESTIGAVFIDSNSSIDTTWEVAKILLEPIITPEMLETNPVKKLYETCQKHKLKVRVVDMWSHDGSFEVFVDDQMRGKGMCHVKKEIALNRAANKAYNEVIGMLSVGNINI >Solyc03g095380.2.1.1 pep chromosome:SL3.0:3:57916159:57916176:1 gene:Solyc03g095380.2 transcript:Solyc03g095380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ELMIF >Solyc06g006080.3.1 pep chromosome:SL3.0:6:1105996:1111283:1 gene:Solyc06g006080.3 transcript:Solyc06g006080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQTALTSLLCKKGNNNPQVNVPRSSFLPGFDLTGQVAVTGRRETRFNSFSSPRATLTFDPPSTDNKEKTKPKHTVDPNAPDFLPLPPFEQCFPKSSKEYSEVMHEETGHVLKVPFRRIHLSGDEPHFDTYDTSGPQGVNPRVGLPKLRTEWINRREKLGGPRYTQMFYAKQGIITEEMVYCAAREKMDPEFVRSEVARGRAIIPSNKKHPELEPMIVGRKFLVKVNANIGNSAVVSSIEEEVHKLQWATMWGADTIMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDISLSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVISYKIAAHAADLAKGHPLSQAWDDALSKARFEFRWMDQFALSLDPVTAMSFHDETLPADGAKVAHFCSMCGPKFCSMKITEDIRKYAENHGYGNAEEAIQQGMDAMSAAFQAAKKTISGEQHGEVGGEIYLPENYINSLKSQRNV >Solyc03g118620.3.1 pep chromosome:SL3.0:3:68950730:68958680:-1 gene:Solyc03g118620.3 transcript:Solyc03g118620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVTGDRYLDSLVKFVENNVESLIEGTLVLKLNPIGLHYVHSRLESLLELESLISGAPVDYLRAYVSDLGDHRALERLRRILRLLASLKVVSVLPPPGRDPTPLSLLPFGRLKVLELRGCDLSTSAARGLLELRHTLEKLICHNSTDALKHVFASRIADIRNSPHWNRLSFISCACNGLVLMDESLQLLPAVETLDLSRNKFAKLDNLRKCTKLKHLDLGFNHLRNIVSFSGVSCHIVKLVLRNNALTSLRGIESLKSLQGLDVSYNIISNLLEMEILVGLSSLQSLWLEGNPLCYSRWYRAQVFSFFPSPEKIELDEKKICRSESWQRQIIIASRQKRPASFGFYSPARDGAKLEGSINTKRKRLSRVVSIETEEQNTSICSDIESVSVDIDNQTKEENALSDEEAEIVELMNRIENMKKERSDEWLREFKDWINDSSDNFIGVARGKENVSSNYRADEVKNQTRDKQLGETSKYLSDSMLVSGDDTSTNILESDNSFAETSTNISMFHYPNQIGEAASIFPRNHTGDSIQITRSQRQDKFSPLNNEVLLHPNTMSPQSESFSIQRSLKMSAKINIPPATGADNILDSRSSLASTGSPPHYKEDILHRRQNLEEELLQMSADSFSVASSDSDTSCSDDDCLDLTSMHLVDKSLVDSVSEMSVESPSPVLLSMDVCHELYPIKINCRFPARLGTKGTSNCTVVRESGTSSQQGHFSTENVSVDSVQVVKQDPDWLEKKKRRRKPARRIISLSEEHMEAEPKKSNVDTNGIQDRGIGTFSRSEMRKSLDSCGAEELIKNYFNNKAADSGIYESCQRYILCNCLLEKDSQFSESKVAVTLSSEHKLHLLLLENSCDGSGSRLKLVGCHGTQQTREIFVGLGLQIVRVCFERDTTYLFVTRNIDVSRELLSILGFTDSHVMENNCSLRSLEKVQADLFERHVCGGLKMNILQYAMVMFWCNYSKEDSWMGRSLFVLGRHLLLCREDVILLGSLSESASCSSYFSLDCCCSIVSVSEVVIETADCYCVSLTLEGVMSEFPLSLKEGKVVKNTKLMKRKPVSGPLKWKLKWFSEESLFKFVALLKALRSETTTSGLLVYCH >Solyc02g086095.1.1 pep chromosome:SL3.0:2:49504379:49508531:-1 gene:Solyc02g086095.1 transcript:Solyc02g086095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEEGFKGLLRLIGSVKNYDWGRTAKESCVGRLYRLNSRTKIDEKQPYAEFWMGTHDSGPSYIVVERGGRIQNGHANGGGIRDKCSLKDWIQKNPSVLGETVLAKWGTQLPFLFKVLSIEKALSIQAHPDKDLAILLHKEQPLVYKDDNHKPEMALALTKFEALCGFISLEELKVIVQTVPEIVEVVGNALAELVLDLSEDDEEEKGKLVLRKLFTEIMSASKDVITEVLAKLISRLNIKNKVRVLTDKEQLVLGLEKQYPSDVGVLAAFLFNYVKLNPGEALYLGANEPHAYVYGECVECMATSDNVVRAGLTPKHRDVRTLCSMLTYRQVLLELVLKAFFCSPCLSTVVGNPEILHGTAINPYTVRYLPPFDEFEVDHCILPPYSTVTFPSAPGPSMFLVMGGEGTMTTSAEVIVVEGDVLFAPANTNITIATSSGLHLYRAGVNSRFFEE >Solyc12g062875.1.1 pep chromosome:SL3.0:12:35641774:35647786:1 gene:Solyc12g062875.1 transcript:Solyc12g062875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIPSFDLGITQIKTQISGYTAAMELHKKSKDEIVRTPSKRKVGLTVKAIQKNCYKRRKVKNVVSDMIGEHAVNEVQDEVSEELKGIRFHVLARPIKPPRMQVYVNHNIVTDLKGKLTTTQFNHFKDTCFGAYTKMHVCGAQPQMFRCFMVCELEDSSTDELLFHINHTTLRFGIKEFAIITGLKCFGNKDDFLFDTRKPNRLINQYFEGKSIVIKVDLISKYKKKVWGGNDDDVVKFAILYFICTFIYSGEKKSSSIPRIHFDLVESGRYHEYSWGKDVFYKLLKSVTKKMDEKKKYYRIDGMPLAMQIWIYECCSAVDSSIAVKKSNRIPRIVNWMTRNSKIHYEFLMEECSVITLKFKNIEPSLKEIAFYQLESKSNANTENTFQIVSDKDDDEDDDFTSKPPSHKPHNKEKGKQKAYVLRSTLIKKSNLHAGSRLKDKRPTVLNGCRKAKSTTLNPDSNPLEDNVSVQEMHNRPDDSANRTPPRSSKEPQDTKADEIGLLRQDLASFKNYVNNEFKELQLLIMENFRQVMDALNRSCREYGAPNQEDATESPSHVPNWSNNNQISNIMDKPHCDANEVRTPRFVLQEHVKINVKEYLQPVQIHIQDSLTVHEQLNDINVFQNHDIQQPQSQIELIDALLPDFDAINPKKNDVVHSEVVVHPEGVVYDTTPVLVKRIRHPDRLTGDNNVIQNDGIQQPQSQFELLDALLPDIDTIYPKKNVVVHSKVVVRSEGGIYDNTPVPVQSIIHSDQLICSPYSTNIGSSSVGSNFCVANVESAIRDYIKGYRLMAAIPWNTIDNVFIPVNVEHKNHWVLAVLSLIDKHIYVYDSYRAAGHNYHVREEIQKLAQLLPMYVSMEIGNGSDDAEDNHIAYDVTYVEDIPQQGSDFLDCGIYLLAFAEYLSEGEGIPVKYLDSKLYRIR >Solyc08g006880.3.1 pep chromosome:SL3.0:8:1422508:1451420:-1 gene:Solyc08g006880.3 transcript:Solyc08g006880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKPSDWYCQPVANGVWSKAVENAFGAYTPCGTNTLVISVSYLVLLALCLNRVWKMMKDLSVQRFRLRSNYYNYLLGLLAAYCTAEPLFRLVMQISALNIDGQPGLAPYEIISLTIEVLAWFSILVMTVVETKVYIREGRWSVRFAVIYCLVGDVVMLNLILPVREYYNESVLYLYISEVAVQVLFGLLLLFYVPDVDPYPGYSPLRSDSFDNTAYEELPEGEQICPERHANILSQILFSWMNPLMQLGYKRPLTEKDVWKLDTWDRTETLNNSFQKSWAEESQRPKPWLLRALNRSLGGRFWWGGFWKIGNDASQFIGPLILNQLLQSMQRGDPAWIGYIYAVAIFIGVVVGVLCEAQYFQNVMRVGYRLRSTLIAAVFRKSLRLTHESRKNFASGKITNLMTTDSEALQQICQSLHTIWSAPLRIVVALVLLYQLLGVAALIGALLLVLMFPIQTFVISKMQKLTKEGLQRTDKRIGLMNEVLAAMDTVKSYAWEDSFQSKVQDVRNEELSWYRKAQLLGALNSFILNSIPVVVIVISFGVFSLLGGDLTPARAFTSLSLFAVLRFPLFMLPNIITQVVNANVSLKRLEELLLAEERILLPNPPLEPGLPAISIKNGCFSWDSKAEKPTLSNINLDIPVGSLVAIVGGTGEGKTSLISAMLGEVPAITDSMVVVRGTVAYVPQVSWIFNATVRENILFGSAIDAARYDRAIDVTSLRHDLELLPGGDLTEIGERGVNISGGQKQRVSMARAVYSDSDVCIFDDPLSALDADVGRQVFERCIKGELKGKTRVLVTNQLHFLSQVDKIILVHDGMVKEEGTFEYLSNNGILFQKLMENAGKMEEYTEEKENDDDDNANDKSSKPIVNGETNGVAKEDGKGKKEGKSVLIKQEERETGVVSSNVLMRYKNALGGSWVVLVLFMCYFLIEALRVGSSTWLSFWTDQSSSTRYSAGFYNLIYSLLSLGQVMVTLMNSFWLITSSLYAAKMLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDIGDIDRSVAPFVSMFLGQVFQLISTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSISRSPVYAQFGEALNGLATIRAYKAYDRMANINGKSVDNNIRFTLVNMSGNRWLAIRLETVGGLMIWLTATFAVMQNGRAENQEAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNAVERVGTYIELPSEGPSIIEGSRPPPGWPSAGSIQFENVVLRYRPELPPVLHGISFTISPSDKVGVVGRTGAGKSSMFNALFRLVELERGRILIDDYDVSKFGLTDLRKVLGIIPQAPVLFSGTVRFNLDPFNEHNDADLWESLERAHLKDVIRRNSLGLDAEVSEAGENFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFKSCTMLIIAHRLNTIIDCDRILLLDSGQVLEYDTPEVLLEKEESAFSRMVQSTGAANAEYLRSLVIGGGEGNSVVKDKQLDGKRRWLASSRWSAAAQYAISFTLSSSQNDLVNSEIVDEDNILKKTKNAVITLQGVLEGKHDKEIEETLEQYQVSRDRWWSSFYRMVEGLSVMSKLTRKRFHPEYRAEDPNIEERTIHWDRAEM >Solyc04g016210.3.1 pep chromosome:SL3.0:4:6974358:6976091:-1 gene:Solyc04g016210.3 transcript:Solyc04g016210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSNIQQDEVAIAMVPFPAQGHLNQLLQLSCLISSSYDLPVYYVGSAMHNRQARVRANALNPSDIAKIHFHDIPTPEFASPPPDFNALSKFPSQLQPLWDASSRDVSSLLNAESYVFNCISVFTLYCFLSLPMGMHVQLEEGLLKKLPSIEGTLTDKIKNLGASQRPYMDIRSGDIHNTSKVIKGEFLDLLVQVASIKEKKQWAIGPILPMKLDYVLNKNDICLEWLNKQPPRSVLYASFGTTTSFSDREIKELAMGLEQSKQRFLWVLRDADRGDIFTGGARRLELPEGFEERVKGVGLVVREWAPQPEILAHSSTGGFMSHCGWNSCIESITMGVPIAAWPMHSDQPNNGFLVTELLKIGLIVREWEKREELVSASTIENVVRKLMASEEGIVSFGAPVAAWTIHSDQPRNSQLVTKYMKIGLIVRPWTRYDELVTSES >Solyc03g005930.3.1 pep chromosome:SL3.0:3:628603:631927:-1 gene:Solyc03g005930.3 transcript:Solyc03g005930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNVQIKEAETNKTDVYSVWAIPSEDVSVRVKKLMGSLRSEFGGPQFEPHVTVVGAVRLTEEEARDKFRKGCEGVKKVYSGTVEKIDIGTFFYQCVYLLLHPTNEVVEASARCCSSFGYNSSSPYMPHMSILYADLTDEEKKKAQEKAYILDESIGNLSFQISRLALYKTDTEDKSLKSWEKIEEYNLS >Solyc05g015410.3.1.1 pep chromosome:SL3.0:5:10463374:10467666:1 gene:Solyc05g015410.3 transcript:Solyc05g015410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAHRNWMMYDRTHPNRSGLRVEFMDGVKEFIAKAKTENDFLIEDTIRCSCAKCKCIKLLKQDKVELHIIKRSLWIIIMCGQFMERMRSM >Solyc05g023680.3.1 pep chromosome:SL3.0:5:28966317:28970641:1 gene:Solyc05g023680.3 transcript:Solyc05g023680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHDSDLPCDGDGVCMACKVKPSENESLICKTCATPWHVSCLSTPPDSMGDAVNWECPDCSMPPAAVVVPVKQNAVATSSSEGRGDLIAAIRVIESDSTLTEQEKAQKRQDLMSGSSKDEDVAVEGANQDKDVLNILDGSLNCSMCMQLPERPVTTPCGHNFCLKCFQKWVGQRKSTCANCRTPIPAKMASQPRINSALVIAIRMARMMRSGASSGSSKVSHFVHNQNRPDKAFTTERAKKTGKANACSGKIFVTIPGDHFGPITAENDPERNVGVMVGETWEDRFECRQWGAHFPHVAGIAGQSDYGAQSVALSGGYEDDEDHGEWFLYTGSGGRDLSGNKRTNKLQSFDQKFEKLNEALRVSCLKGYPVRVVRSHKEKRSSYAPDKGVRYDGVYRIEKCWRKPGKQGFKVCRYLFVRCDNDPAPWTSDEQGDRPRALPSIKELKGATDMTERKGSPAWDYDEEKSCWMWKKAPPPSRKPVQCENEDGTKVRPVRPKRLTMSVKQRLLKEFTCHLCQEVMNNPLTTPCAHNFCKACLDGAFAGQSFTRQRTCEGRRTLRAQKNIMKCPSCPTDISDFLQNPQINRELMNVIESLKRQIEEENAALSADVDDGSLDGNEILAETDKSMEDDEEGAQPVNETEAKQTNKRKRSGSIDNASSPKKSIDKSDAVHNLEEVDPAHT >Solyc06g011495.1.1 pep chromosome:SL3.0:6:7950715:7952466:-1 gene:Solyc06g011495.1 transcript:Solyc06g011495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYTSNCVSLQPFEQPTQQPARPFQQPPVRQPSQPPMRQPSIGNVSSLCAETSKPGRSSERLISSGTGVEFKDASQTNVDLGFKKPSLKCKGKNAMTGNQLQQLSKKKVQSKSKEKWVP >Solyc06g071590.3.1 pep chromosome:SL3.0:6:44233508:44236029:1 gene:Solyc06g071590.3 transcript:Solyc06g071590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSSNSKSFSIIFSFVGFLFLASTFATVISDPTVQHSNQTFKPKQELIKLKRLRTYLKNINKPAVKTIQSSDGDLIDCVLSHLQPAFDHPQLKGMKPLEPPTRPKSNESTEIRSYQLWRVSGESCPEGTVPIRRTTEKDVMRASTLQRFGKKIIRGVRRDTMSNDHEHAIAFVNGEEYYGAKASISVWTPKVTDQYEFSLSQLWIISGTFGDDLNTIEAGWQVSPELYGDIYPRFFTYWTSDAYQTTGCYNLLCSGFVQTNSKIAMGAAISPTSSTDGSQLDIGIMIWKDPKHGNWWLELGSGQLVGYWPSVLFSHLQEHASMIQFGGEIVNSRSSIQGLHTLTQMGSGHFADEGYGKASYFRNLQVVDWDNNLVPLSNLHLLADHPNCYDIKAAKNNVWGDYFYYGGPGRNSNCP >Solyc01g081020.1.1.1 pep chromosome:SL3.0:1:80179523:80181397:-1 gene:Solyc01g081020.1 transcript:Solyc01g081020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSFFSSPPLYTTSTTTNYSHSPSPDRLKFLIDKSKNIRQLLQIHAFLIRNGLESDPVLNFRLQQSYSSLGHLQHSVKVFKRTHSPTVFSYTAIIHNHVINDLYEQAFVLYIQMLTHNIEPNAFTFSSMLKTCPLESGKALHCQALKLGYESDTYVRTALVDVYARGSDIVSACKLFDTMTERSLVSLTTMITGYAKNGHIQEAGVLFEGMEDRDVVCWNAMIDGYSQHGRPNEALVLFRKMLLSKVKPNEVTVVAALSACAQMGVLESGRWIHAYVKSNRIQINKHVGTAFIDMYSKSGSLEDARMVFDQMRDKDVITWNSMIVGYAMHGFSLEALQLFNEMCKLGLQPTDITFIGILSACANAGLLSEGWTYFQLMEKYLIEPKIEHYGCMVNLLGRAGQLEKAYEFVKSMKIDSDPILWGTLLTACRIHGDVRLAEKIMEFLVEQDLATSGTYVLLSNIYAASGDWDGVAKVRALMKRSGVDKEPGCSSIEVNNKVHEFLAGDMKHPKSKEIYIMLEEVNKLLEAHGYLPQTDIVLHNLGEVEKQQALAVHSERLAIAYGLISTQAGTTIKIVKNLRVCPDCHAVTKLISKITGRKIIVRDRNRFHHFVDGSCSCGDFW >Solyc05g008560.2.1 pep chromosome:SL3.0:5:2865163:2882405:1 gene:Solyc05g008560.2 transcript:Solyc05g008560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVENPAEQAQILLRTRLCNPNFIYTIFSDSPDSNYSKLKYIMSSSVTEACNNSALLLGPRGCGKVAILEMVIKDLLQEYPDTICVIKLNGLLHSEDNCALKEIARQLCIENQLVFSKMASFDDNSQFVIAMLRECGLAHKTIIFVLDEFDLFTQGKQRLLYSLLDAMQSVTSQAVVVGVSCRLDADQLLEKRVRSRFSHRKLLFLPPSQEDLQKFASVSHMDTKSGFLSLDNFKTSLSSIQRQPKLEALQDCTILELYILVCLRRLEGKEQETTNFNSSTKTYIIRSKHQTIMHGMYASGCAFEHLLQRQLISLVDNRGHSQSLEFRPVKLLISSHELHQGLKAYRSCPFQCPSKIKINNITRTGSNNGGNGIRENPFTDSDIQRLILNKIEERGWVTGEEFWVVPDCFHSLIYDSDLLVKILSSIRCRPRVALRLFRWAEGQKGFKYSEFTFCTILDILIQNGWVKSAYWVVERVISSNMHKVVDLLVDGYLNLKVSVEILNLFLRIYTKNANVDQCLLVFQKMLRNEMMPDVKNCNRILRNLRDRNLVAKAREVYKMMGEFGIMSTIITYNTMLDLFCREGEVEQALDLLSEMERRECYPNDVTYNILINGLSKKGEFNHARGLIGEMLNKGLRVSAHTYNPLIYGYCIKGMVVEALSLGEEMEVRGVSPTVSTYNTFIYALCRQGQASEARYWFSVMLKKNLVPDIMSYNPLIYGYCRLGDIDEAFSLLHDLRSRGLFPTVITYNTIMDGLCKKGNLEDAKQMKEEMMRHGISPDVFTYTILVHGSCKAGNLPMAKELFDEMLQRGLEPDCIAYTTLIAGVLSLGDILNACKLQEEMSTKGFPPNIIIYNVFVDGIAKLGNLEEATELLQKMVGDGLMPDHVTYTSIIHAYLEFGNLKKARELFDEMISKDISPTVVTYTVLIHAHAGKGRLELAHMYFSEMQQKSILPNVITYNALINGLCKYRRINEAYSYFAEMKTRGIIPNKYTYTILINENCDLGNWQEVLRLFKEMLDDGIQPDSFTYSAMLKNLGRDYKSHAIEYLDFILLGDESDEGTAEAKS >Solyc04g079645.1.1 pep chromosome:SL3.0:4:64124270:64124622:-1 gene:Solyc04g079645.1 transcript:Solyc04g079645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPVAVEQSYYYSPPKLDGLVKYFYNRISNEGIFKSGAKRGARRTSSGEALSTVNPKLSLISIGSSEGLFMLKPCSNFAITKGKT >Solyc06g036555.1.1 pep chromosome:SL3.0:6:26745056:26752043:1 gene:Solyc06g036555.1 transcript:Solyc06g036555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECEYGTDAETESACSDDEYNFDELELIKMHKSKEVNVDLSHYKELHPSMTFKDLNEARKIVNLYYLANYKPIVVEKSGRTRLRIEAYANELRQSNLDSDIVINLSKDALEQDSQNCFYPLAWVVVDKETTRTWIWFLQLLNNSLNLKDGENVTCMSDMQKELINAVKYVLPSSHHTYCVRHVEANWMKRFRSGETKKLLWWAAWSTYEEDFKDQLNALGALSKACKPQYLERIINHVSLLFLFEFQTRKAAKHVIMVDWRVQEDNRKLDWWSPTRGAFHESTRFDWVWIRALGLPLNLWNKDVVKKIGDACGGWLENEEETNIKNHLRWLRRPSNRRWSRKGENNKLEEKAEASNVSDDDRPSRHGKEKQNVQDSYVSDDSRPSRHGKGKEKVQEMYVQTNGYNNEAKSFQTSHVEREEGPTWI >Solyc08g041925.1.1 pep chromosome:SL3.0:8:25187915:25189137:1 gene:Solyc08g041925.1 transcript:Solyc08g041925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVANYRKPSSDGNLPHISLANLATKHGPLMHLKLGESSEIVVSSPQLAKEIMITHDITFANRPQLSVAKIMCYNFQDIDFSRYGDYWKQIRKICVMELLSTKNVTSFFPMMLDETNILVNIIKDMYGRPINFSEKMYLLTSAIICRASIGRTSFAGVFNVVDLFPSLKILHFISGTNRKLLQLFVAGSATSSTVLGWSMSELMKNPSMMRKAQTEVREAFNRKATIDQTDFKKLKYLKMVVKQTLRFHPAGPLPLSIPRESTQQCEINVYTIPNKIIGIVNTWALWRDSEYWEESEKFEPERFNGSPLDVGGNHFQLIPFGAGRRICPNMNFSIASMELCLAQ >Solyc04g011370.3.1 pep chromosome:SL3.0:4:3845977:3848750:1 gene:Solyc04g011370.3 transcript:Solyc04g011370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKEIKNKNPSSSYLVSFSPKYQFYRSPKFSPFFSSFSNLFSRLNHG >Solyc10g012080.3.1 pep chromosome:SL3.0:10:4396499:4399512:-1 gene:Solyc10g012080.3 transcript:Solyc10g012080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDAEMEKMKFRQNYQNHWHTDLLRAPQSDPLFCCFSLWCGPCASYILRKRALYNDMSRYTCCGGYMPCSGRCGESHCPELCLCTEVFLCFANSVASTRFMLQDEFNLQTTKCDNCIIGFMFCLQQVACIFSCIACITGNDELQEASRVLNCCSDMVYCTVCSCMQVCDNLNICII >Solyc02g078030.2.1.1 pep chromosome:SL3.0:2:43410859:43411359:-1 gene:Solyc02g078030.2 transcript:Solyc02g078030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGSSETAKVGANSGRTEPVRNPIPPIKTGTKKEKSSSKLYERRNSMKNFKISPLGPGVVNKSIFSAGFSGSPRTATPEILSPSILDFPALALSPVTPLIPDPFNRSPHSAASTENLDLEAEEKAIATKGFFLHPSPASTPRESEPRLLPLFPVTSPRVSGSSDS >Solyc02g083000.1.1.1 pep chromosome:SL3.0:2:47141947:47142804:1 gene:Solyc02g083000.1 transcript:Solyc02g083000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSKSNKRSISLPSRSHPATENIEEELTKLKTWEFSASPTAEAVYNGLVGFGEVHRCMGDLLNLPSTLQALSQCQNKKWVDEILDKSVRFLDICGTTRELVSQLEENVKDVQSSLRRRKGDLSINNYTTFRKKMKKEAKSLITALKRMDHEEVVDVMEIDDQLVSAVIRVLREVATIGISVFQMVLSFLSAPICKPISKWSLVSRLVNKGGDQENVNEIESVDAALSTLPKCDPNETEKIQFVLSKLERVEAHFECIENGLDNIFRCLIRSRSTLLNIVSCQ >Solyc09g065450.3.1 pep chromosome:SL3.0:9:63785893:63786888:-1 gene:Solyc09g065450.3 transcript:Solyc09g065450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKLVSVFLMCMIVLSVVHVSKAVTFDSCNENCQKDCTSNGHGSTYCALRCETDCGYKALKGEFESLTS >Solyc11g072350.1.1.1 pep chromosome:SL3.0:11:55849846:55850244:1 gene:Solyc11g072350.1 transcript:Solyc11g072350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLINLRHLDTTGTSLLKMPLHPSKLKNLHVLVGFEFILGGCNDLRMVDLGELRNLHGFISVLELQNVVDRREALKANMMIKEHVEMLSLEWSESIADSSQTEGDILEKLQPNTNIKELEIAGYGGTKLPN >Solyc08g005570.3.1 pep chromosome:SL3.0:8:424196:429005:1 gene:Solyc08g005570.3 transcript:Solyc08g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYAGHPLSLSRLTSPPFPLLPPPTTAVFSAATGYTPPFTPVKFQRLSLLRRSSSRDNYIGNGGGGAGGNGKNNGGSGGGDWWNNFFNFDKILLLPIFRDEDTFIDSVLSCKPLLLFLVSASSSITCCLLLASFVQAKTNNGEIVYEIRGGKRFELVPDYSKDEFVLTKTMWSQLWPDSTSGSFVSNLWMQCKELTTTLFLPEGFPESVTSDYLEYALWRGVQGIAAQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKILLSNYGRHFDVNPKSWRLFADLLENAAYGLEILTPAFPHLFVPIGAVAGAGRSAASLIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKAIGIMLGIALANYTRSSTSLALASFGVVTWIHMFCNLKSYQSIQLRTLNPYRASLVFSEYLLSGLVPSVKEVNDEEPLFPAAILNLKAAYETQTEVLSVHAKQAAAGIVRRLQLGSKLSDVATSQEDVLALFELYKNEGTVDHILAFPEGDCSYYN >Solyc11g013720.1.1.1 pep chromosome:SL3.0:11:7030844:7031242:1 gene:Solyc11g013720.1 transcript:Solyc11g013720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHHLEKMCERGEIVMIDGGWFLLPGESIHLNSKSKGKSKRRGSSSNTQKKQQPKEKEEDLEQPKKQGRGSLAKNKEDGAKKDDGTTSALSTKEPDDQHERDPKGQEDGVDPGAVLLKDLRLSRRRKTSKD >Solyc09g092550.3.1 pep chromosome:SL3.0:9:72073592:72080900:1 gene:Solyc09g092550.3 transcript:Solyc09g092550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQDHKMTTPSGHENHGTHLCHKCSWPFPNPHPSARHRRAHKKVCGKIEGYKFSESEAGNSTHSAVSDDEHHSDGDQQTPSPIGKKISVKNGSSGDKSYRSEDETFSDAFMEFSDSGISPGMEERLESVKSLNMNVKKDDDELLKGDAIGGISVSLNDNHLTAEVNDPESPESATNQPVADKSLGSKLDRSVDLQVDASAVKSEIPGDASMQEMNAAESIEAKQMQMSSDQPNDLKAIEDINANEVLADAVEASVEVSQSVVSEKTSNNESYESKPQEAEGKFSVVESKLLEAEDQATENVPNKAELQHNERVPDSTELKLAFPEAEVKSLDGVNVDKDHERHDKAEQDEQRISTELSPNAPTLELEAVSPNEIDCGCQMELSDSFKAGEGMEDVHVMSLAKDLPALDNPELLKDFKDSNKYKSSFPLDLGSSEEIFSVKDDVFAASEVTQSFVGTGRSDGSISSVALDASGDQVSEEKVAVSAEAITDSSELSSNPNAFECGVSSILNSNGLQEPEDTSKNSLSDAKQSTEVDDPVVERTKETSLTMEEENKGGHPENELLANNETTPVAISCLSEAIQTTVTLGGSDHGEHEKVGIECPIVAGGETEKERTEEKLAGVSEGDKTICPVGGHNDRAIVTGTTVHESREEIFHEASEEVSISNDAKAVESAGATGLDSKVISDCDVKEPTDLNSRVVDTDLNNCVVDTDLNNHVVNTDLRNRVVDNSPAGDVLKSATGVLSSALQNEGDDKLIKQNETVSAMDTPISSSSRADSLDANWGSISVLSTQSESTAIPDAETTDTQRLEKSEHDLQKPTSESEECHADKSDVYEPPSFMTLVESGESSANKKATASEIETQLNAQQPKTESLKAGWFPSITNVVNESQGRKKNEEIIAKVTNWSTGKQQHTPLKSLLGEARSPNVKQVPPSANKKDETASTKTTTVNSILSSEAPTAVSKEAEKEWNSPARYPVDIKKEKRKTKPYWVPFVCCSSVHQDA >Solyc07g048070.3.1 pep chromosome:SL3.0:7:59380165:59382318:1 gene:Solyc07g048070.3 transcript:Solyc07g048070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVGSQCLVAFRNSSGEIHAYTSPVSSYATQLTEGALSFNVPRIEAEYSNNEFIIFATLQLPAGRTSFNQAWQNGAVSGQALTAHTQSGDNMRSFGSVDFANGELGGGGSSVTSRQRRRNVHGVLNAVSWGVLMPMGAVFARYLKVFKAANPAWFYIHVACQTSAYIVGVAGWGTGLKLGSDSTGIEFTTHRNIGITLFCLGTLQVFALLLRPKPDHKYRLYWNIYHHAVGYAVISLSIANVYKGFDALNGQKNWKRAYTGVIIAIGAIAVLLEAFTWFIVIKRKKTDSNKHTQNGTNGTVNPYGNGTHQQA >Solyc01g074010.3.1 pep chromosome:SL3.0:1:81214993:81222780:-1 gene:Solyc01g074010.3 transcript:Solyc01g074010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRFKPFMPKEQTGLEGRSIDIGNIKVHVRNAIAEGGFSCVYLARDILHGSKQYALKHMIVNDEESLDLVLKEISVMKSLKGHPNVVTLHAHTILDMGRTKEALLVMEYCEQSLVSVLENRGAGFFEEKQALLIFRDVCNAVFAMHCQSPPIAHRDLKAENLLLGADGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLYRRELINEKVDIWALGCLLFRICYFKSAFDGESKLQVLNGNYRIPELPKYSTSIIDLIRDMLQSSPDARPDITQASALLDWPFICINLDELQKSLPERPPEMQQQGIDGHEGFPRAAGKTSPMPSRNPPPPPSAAEPNHNASPASHNSKAGGATGPIGAFWNTQHATNASVSEETSRPKFDEEIRHSSSVHDKSHPNKVSVSNRNSPLKEESLSSHPMQNNVRPKLTNRAGEAPSRDFEINFFQDGSGQSVGNNKSSKLEGPTAPQGEGFNSFVAEFCINKPSPGNNTKQTEKKELMEAEVEKLKQQLSRANMEKAEITSKYEKLSAICRSQRQELQELKQALAARTPSPHVDTTRDHHASPGSQPSSTPPKKDNIEGTVWELQQGLFGQSQVSSDSKSWQAFADDPKQQATPVNSTPRSVRTKNGHQNRETSETNTSAFGTDSFRAVPAFSSQIKATFNESKNSQRFGEPKNIDSKSASQPAGWADFEPIISYPLASGVALICYRQLIIVIYCYYVDQAYALLQINLDQTYRMSFQKHFPIYHTIKMARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc05g054610.1.1.1 pep chromosome:SL3.0:5:65334915:65335226:1 gene:Solyc05g054610.1 transcript:Solyc05g054610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc01g056573.1.1 pep chromosome:SL3.0:1:54708105:54708573:-1 gene:Solyc01g056573.1 transcript:Solyc01g056573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCNHILTAAASSSKLSSSDGTLFHDETLYSSTVGALQYLTFTPFAVNKVSQLMHSPREFHWAAVKRILRYIQSISSHGLFFARQNTKLLHSYSDVNWGGSLDYRKSTTDFAIFLGSHLISSASKKQRAVARSSTEAEYRALANTASELT >Solyc11g066090.1.1.1 pep chromosome:SL3.0:11:52065129:52066664:1 gene:Solyc11g066090.1 transcript:Solyc11g066090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDLMTSLSIDNYQLSTFLSMDSNAIAAAAATSNEESDRDMNKEIVISRPPDINLPLCMERSPTPRPPPPPLSWNHDLFDMMEIGLGNQINECFELLDLPNVGRNCDKRMDSVWGAWFFFTFYFKPVLKEKSKCKVVRDSNGVSGFDKSDLQLDVFLAQHDMENIYMWVFKERSENALGEMQLRSYMNGHSKQGEHSFPFSVDKGFICSHKMQRKHYRGLSNPVCLHGIELVPSPNLLCIDEEEQKKWMELTGRDLNFSIPPEARDFSSWRNLPNTDFELQRSIPLPKSNSHLPSKKLLNGSSLNLSTRPSNHVNSNGLELSSDCGKKRKDFFSHGSDEDCFLSANPSLNRHQDGQPHTIAPHWMNEFSGVMKDVYGPAVAAKVIYEDSEGYVIMITLPFVDREKVKVHWWNDTSHAIIKITFVSTACPPFMVRNGRTFKLTDPSPEHCPCGEFTREISLPTRIPDDAKLEAYFDTSGAVLELKVPKYGTTPEVHEVPVSLRPPNEFMLS >Solyc03g118510.3.1 pep chromosome:SL3.0:3:68837583:68840671:1 gene:Solyc03g118510.3 transcript:Solyc03g118510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFSGRMLGFYRAFMMQKLSMLLFFVLFYSITSSADLNSDRDALLALRAAVGGRTMLWNASNTTPCNWAGVLCEDNRVTVLRLPAASLTGEIPVNTISNLTKVKTISLRFNRLSGSLPSDISKLVELRNLYLQDNEFVGSVPSSFFTLHLMVRLDLSNNNFSGEIPSGFNNLTRLRTLLLENNQFSGSIPELKLSKLEQFDVSGNSLNGSIPKSLEGMPAGAFGGNSLCGKPLEVCPGEETQPAIATGGIEIGNAHKKKKLSGGAIAGIVVGSVLGFVLLLLILFVLCRKRSGNNARSVDVAAFKHPETELSAEKSNVDAENGGGGNSGYSVAAAAAAAMTATGKGGEIGGNGIKKLIFFGSDRPFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLKDVTISDMEFREKIDQVGQMNHENLVPLRAYYYSREEKLLVYDYMPMGSLSALLHGNKGASKTPLDWKVRSGIALGTARGIEYLHSQGSTVHGNIKSSNVLLTKSYDARVSDFGLAQLVGPPTSPTRVAGYRAPEVTDPRRVTQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSIVQDQWTSQVFDIELLRYQSVEEEMVQLLQLAIDCSTQYPDNRPSMSDVVERIQELRLSSLRVTQEQSDSVNESD >Solyc03g026400.2.1 pep chromosome:SL3.0:3:3872627:3875043:-1 gene:Solyc03g026400.2 transcript:Solyc03g026400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDSSVRRWEDLDINILVMIFLSFGLFQLIYAIPQVCRAWRLTCCDPHLWKTLDLSLIYTIPQICRAWGLTCCDPRLWKTLDSSVLQSNFIRLPLMSYVYVDSPSEERLTRVLNNCLNLSRGSIQTLIFHPNLYVNDNQLTFAAERCPQLKLLVMPSWNKIKKRTICRAIHISKDLESLMMPRINNHARVIEEIGRRPCDKLFASALASFLLNLEILSLRCMVLSKPALALILEELKKLKVLNISYCIVTEDPPLEPMRILTELDKSILEKAYRFDKFLTCMSDSCIIALNDQGFMRWYKYEEDIWKVDE >Solyc01g105650.3.1 pep chromosome:SL3.0:1:93630449:93648110:1 gene:Solyc01g105650.3 transcript:Solyc01g105650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADALTEDQISEFRQAFWVIDKDCDGVIRIEELASVIQSLHENPTREEMQEMMNEVDPDGDGTIDFEDFLNIMAKKLKDNVTEELKEAFKVFDRDQDGFISANELRNVMMNLGERLTEEEAQQMIREADLDGDGLPLKIKIVLSVRPSSSNLSAIFVYSVRLKKSNRQFRLLCPPFSSNILESSDDLMDVVNLTTLQAIAIIHQTHTKRIKATYKNMKSVKLVSELPNLKAVPCNYYVDNHLKYSSPSIIDTNHDDSLIPIIDFSLLSSKDPYQHSKVIQQLGRACQQWGFFMVVNHGIEESLMKELIGVIKEFFLMGEEDKWRYKGEKVFDPIKYGTSFNCTSNHDITTTSYWRDYLKLSLHPQLHCPDQPNNFREVLGEYGEKSRKVARKLLSGISESLGLEEELMNKSLDLDSGYEVLVANYYPACPQPELVMGLPPHSDFGLLTFLIQNDVGGLQIQHNGKWININPIPNAILVNTGDHLEIFTNGKYKSVLHRAVVNKMERISIGIANCPAMNATVSPAASPLIQNERFPPLYLPKKYSEYVEMQQTNPLDGKSCLDKIKINSPPLK >Solyc04g005900.3.1 pep chromosome:SL3.0:4:581413:585434:1 gene:Solyc04g005900.3 transcript:Solyc04g005900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDSDSDLLSDLPQSIIESILVKVPLVDAVRTSILSRKWRYKWAAITELVFNDTCLTSGHDKSIISCNLVNFITRCLFLHDGPIHKFELNTSYSPASPDLDQWLLFLSRKDIKELIIDIGEDDWFRAPSCVFFCPKLTHLVLVRCELNPPPNFKGFLCLKHLSLQQVIIPPHDIEVLISSCPLLESLTLSYFDSLELTIRAPNLKYLNLEGEFKDIRLENTPQLIGISVAMYMTDDIAEHFEQCSGCNFDKFLGGVPCLERLIGHIYFTKYLSIGNEQGNFPVTYQNLKFIELYQVSFEDMKELFVVLRLIVSSPNLEELQISSSSITTTTDIYDLEFWERDWPADCIFGKLKIVHMTDFSGLPHEIAFIKFLLGHSPVLEQMIVAPTIYVTDKVVKMLIDLLTFRRASPQATVKFIQEPL >Solyc01g021623.1.1 pep chromosome:SL3.0:1:32044388:32046208:1 gene:Solyc01g021623.1 transcript:Solyc01g021623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSKDRNNKQVKLLVETKERDQETQIDESKDVDLEELASNEPYTIAKGRDKVQIRKPERLIDQENQIAHALVGAEEEIKCLESSLSVFDSCVYHKKMSGNSMIYLLLYVDDMLIAANNIIEVNILKKLLSQEFDKKDLGVCKENSWNGDFKRKWCCTSFSKESYKCLSQWMMEHMSKVPYASAFGSIMYAMICTCPDIASSEALKCILRYLKGSPNVGLTFRKSEGISFLGYVDSDYEGNLDRRSSTTGYIFTLIGSVVSWKSTLQSIVTLSITEGEYMAATMAVKKAIWLKEEGVIKVEKVITDDNAANMLTKIVPLAKFARIKDLAGVYIK >Solyc03g123400.1.1.1 pep chromosome:SL3.0:3:71767256:71768884:-1 gene:Solyc03g123400.1 transcript:Solyc03g123400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEPEPNSNSDPISEWLASTLSDVPSFFHEPYSYADDLNFYADPWWVPDQEDQIVNHNIIIDNTCNSFNISSPVNTAINNIPLEPIILDHPQPVDLSKKRKKSDQNPKASKKNHKLQINEAAHAPTIVDQEGVQLKKSIGPKRVTTGNNSNNKEGRWAEHLLNPCAAAITVGNMNRVQHLLYVLHELASFTGDANHRLAAHGLRALTHHLSSPGSSSASSGTIGVTNFSSANHKFFRDSLINFIDVSPWFRIPNNIANSSVLQIIGQQDRLKNLHILDIGVSHGFQWPTLLEELTRRSGGPPPLVRLTVITPTTENGELTGTPFVIGPPGYDFSSQLLAYAKAININLQINRLDNFPLQNLNSQIINSSSDETLVICAQFRLHNLNHTIPDDRTDLLKILKSLDPKGLVLSENNTECSCNSCGDFATTFSRRVEYLWRFLDSTSVAYKGRESEERRMMEGEAAKALTNMGEMNERKEKWCERMRSAGFVKAVFGEDAIDGARALLRKYDSNWEIRVEEKDGCVDLWWKGQPISFCSLWKI >Solyc01g112050.3.1 pep chromosome:SL3.0:1:98076814:98086302:-1 gene:Solyc01g112050.3 transcript:Solyc01g112050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCATSKHDDLPAVALCRERCAFLDQAIHHRYAFAEAHLAYLHSLKSVGASLHRFFQNDLDLSTSSSGSPLSPILPHPKDETSSALPHPVKKIHHSHSSSGSHLHFHSESDEDDDSGSDSLHHHTETPTHGQFTYGDHQNLGFGAPPYQVGGVGGFGPPYQVAGAGGFGPPYQVAGTGGFGQPYQVGGGFGAPYQVGGGGGFGAPYQVGGGGLGAPYQDGGGGSGGFMHMNYMRKQTTPSVTYHQRPISPETVRMGEASSSYYPYPYPNSSNPNSYNYPNYPNYAGEFFSSSIQRPYGDSSPPAPSGAGPSSAPSTSKPPPPPPSPPRSSPWDFLNPFETFESNNYPTPYTPSRDSREVREEEGIPDLEDEDFEHEVVKEVHGHQKFVEGESEVHGGNHSKAVAEEEREKQSDSESLYHGRPSASMENEQVEFEVHVVDKKVVDEEGKSGHGGNVAGFKARAFKDDSDVVKEIQVQFEQASESGNELAKMLEVGKLPHNRKNATYQVSSKMLHAISPSLSVVSSQPSTSNNAAIQINDPAALDVEGDVSSRYKNLSSTLNKLYLWEKKLYQEVKSEEKIRVLHERKSEKLKRLDQKGAEAHKVDMTRQLVRSLSTKIRIAIQVVDKISEKINKMRDEELWPQLNVLIQGLSKMWKGMLECHRNQCQAIGEAKRLDAIASHKHLSDAHLEATLQLEHELLNWTLRFSCWVNAQKGYVRALNTWLMKCLLYVPEETADGRVPFSPGRIGAPPIFVICNQWSQTIEGVSEKEVIDCMRDFASNVLQLWERDKHEMRQRMMVHKDMERKVKNLEREDQKIQKGIHALDKRIVLISGDETGLSLNRHVVYQSDTSKNSSLQVGLRHIFEAMERFTAKSLKVYEELLQRIEEDDLA >Solyc02g067040.3.1 pep chromosome:SL3.0:2:37853439:37858477:1 gene:Solyc02g067040.3 transcript:Solyc02g067040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSTPATTIRARKKHHRRHRKYHRKNSNSGIIGTRKRNSDARVTDIAVSEFVHTTTTTCRTSEVSNSTFHLTQLQWQHNQIDGNVVCQEEAWFDSHSIFESDSDDDFSSVHGDSFPNISNGQVVQYETSSCFMDSKHKYKEYHEKYLKIDGLSKDGVQDPSGTAVVTAQEYERPSMGKGEDFGTKKKKNLDRAYASFKNVKKEILQMQEKTQETVFKSVLPKLVNTLSFNDKIINGQNSAPHSQVKKSTIIRLSMKRTSVDGEENEFCSSRKFLRRPRAGLLIPCCTEEKSIAGSWSKIEPSNFKLRGDSYFKDKRKAPATNVSPYTPIGVDLFVCPRKINHIAQHIELPSIKGDGRIPPLLIINIQLPTYPAPMFVGDADGEGLSLVVYFKLTETFEEDISPQFQDTIKRFIEDDMEKVKGFAKESTVPFRERLKIMVGLVNPDEIVTSSTERKLLNAYNEKPVLSRPQHNFYQGPNYLEVDLDIHRFSYIARKGLDAFRERLRHGILDFGLTIQAQKPEELPEKVLGCVRLNKIDFVDHGQIPTLVRVEEDSCSE >Solyc05g046100.1.1.1 pep chromosome:SL3.0:5:59067904:59068137:-1 gene:Solyc05g046100.1 transcript:Solyc05g046100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGQSRDDDSNTNANRVYDSDDEMWAEKRSKRLHDPLAMKNKNVVEPKKKLEEILKKRRKKVAHVVSRPILPKVCV >Solyc11g040270.1.1.1 pep chromosome:SL3.0:11:38239403:38239588:-1 gene:Solyc11g040270.1 transcript:Solyc11g040270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRYESSNFKSLSSFIILYTFLYAYSHFLSVIFYLLLSPFQLYFFSKIFLFLLSKKFSED >Solyc02g066930.3.1 pep chromosome:SL3.0:2:37751372:37755139:1 gene:Solyc02g066930.3 transcript:Solyc02g066930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFNRIGNMLKQSVSRHTNLELCATRTSLYQAIRSMSSSKLFVGGLSYGTDETSLREAFSQHGQVIEARVIFDRDSGRSRGFGFVTYTSAEEASSALSALDGQDLHGRRIRVNYATEKRPGGFGRGSGGGGGFSYGGGAGGYSYGNYGGGGNYGSNNSYPAGGGSYGGDSFGAGYGGGSSGSYNAGVSASNDFLNNSEFGGSPGTSHNGGEEQLSADQGTESVDNDFTPGVERSSSDDNDEPKDYANSRSS >Solyc02g078370.1.1.1 pep chromosome:SL3.0:2:43650626:43651570:1 gene:Solyc02g078370.1 transcript:Solyc02g078370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPTCLNICFMVLLSLAICSAQPIEVDHHHNHKAHALSNIGGGSDGGLGIGGGGGGFGIGFGVGVGVGGGRFGFGIGGGVPGYIPGFDFPVYSPDCGYVCLVNNPSGEVTEFKISGLSHFTKPYRCKPGPNMRGDKDFNHELLLNFVSTMLDKQQHLHSGDVGHHVGGARRLGFGRGSSRGTGGSDTSDQYATEDPGWSIEGCGYVCPGNSPSGGLTEFHISGISHFSEPYRCSPDVCDTEECGEFLLRFVSPMHNKHENQHGHQSEQQDKHENKHGHQLDQHDTHENQHGHQSEKQEEDITNNIPDPPTPQS >Solyc06g050240.1.1.1 pep chromosome:SL3.0:6:32866382:32866630:1 gene:Solyc06g050240.1 transcript:Solyc06g050240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNQIRSSLFKMLNLSTALENYIIKKKIYNISGTSLCGAGRCGLRIENILSGVGGAKFCRLSFYPPRTRLNPSQLAPLSSL >Solyc11g012950.2.1 pep chromosome:SL3.0:11:5796167:5798396:1 gene:Solyc11g012950.2 transcript:Solyc11g012950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIENPNSGNRTFHRYWCYQCHLIVRVSPENPSEIICPRCFGHFLCEINETNPGQILDFTAFDPSPEARILEALTLMLDPNLFNTSQANVVPIFRRSDGTETLVPPPTRGRERIRDFWLRPRRIYSFLNDTDEFTPESGILARPRSWIVIRPSLGGPGPDRRERRRLGPPDVNNNRDYFTGPDLENLIEELTQNDRPGPAPAPEPIIHSIPTVVIAAAHLKDDSECPVCKEKFKIGGEARELPCKHIYHSDCIVPWLRLHNSCPVCRNPVPVTSEAQVDSSDDCVEEEVRMNRQRRCFRLRQMAASLWPFRSRYRAMRNHDEPSVQSSCNIL >Solyc01g008478.1.1 pep chromosome:SL3.0:1:2500579:2501463:1 gene:Solyc01g008478.1 transcript:Solyc01g008478.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHLLGDQDSDVKNAKFFRGRPSRPCLCIRLAITACPTHLEGQTSPEHTIFEVIRIPTAKMPNFFVDVRQDLVYAYGWPSRLVRTICKVKRVLMRAYPSFRRFSCAIAHHFLSDPDCDIKNAKFLRGRPSRPCLCIRLAITACPTHLEGQTSPEASIPLISTIFVCYSRPVFG >Solyc07g006630.3.1 pep chromosome:SL3.0:7:1494884:1496758:-1 gene:Solyc07g006630.3 transcript:Solyc07g006630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKKKMGIFREAPNCFPGGWNIGAAARMAKSCEYCHLAAALVFCRTDNTFVCLSCDTRLHARHERVWVCEVCEQAAASVTCRADAAALCVACDRDIHSANPLARRHERVPVVPFYDPVESVVKSTAATLLVSINGTTTTATTTATITPELGKVDTCIGHHENNNDPWIPPNTITSKLPLNTEMKGMDFIFTDSENFLDFDYPACVDTQSQPHYNSSNDSVVPVQANTPIKSLPFHHQEKHFEIDFTQSHIKSYNTPSLSVSSSSLDVGIVPDGSSISEISYPYIRTMNNSNSSIDLSNSANHQGEKLLGLDREARVLRYREKKKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDGSAGAGEFDDVDGIFSGTDFIAAESRYGVVPSFLT >Solyc01g007760.3.1 pep chromosome:SL3.0:1:1851709:1857280:1 gene:Solyc01g007760.3 transcript:Solyc01g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSSASTGGAAPPTAQSSSAVVNGGGRSQISHPIKRYLPFASMRPPFVTPEDYHRFSIPGVDSRIGSASLQPEAIVVKSPALKRKTGYIKEVESSDWTANSGYADVANSPLGTPVSGKGGRAHGRSRATKNNKSGPQTPVYNAGSPSPLTPASCRYDSSLGLLTKKFINLIKHAEDGMLDLNQAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGVESSRPGEVDNDASILKAETDRLSVEERRLDERIREMQEKLRDMSEDENNQRWLFVTEEDIKSLPCFQNETLIAVKAPHGTTLEVPDPDEAVDYPQRRYRIILRSTMGPIDVYLVSQFEEKFEEMNGVEPPTTIPVASSSGSKDYPTMEISTVSNSVTENEGQTHNVDQLSSDLGTSQEYNGGMMKILPSDVDNDSDYWLLSDANVSITDMWKTDSTVEWDGETLLHDFGIDDLGTPRAHTPPSTNADVPDPMNVPPR >Solyc06g075790.3.1 pep chromosome:SL3.0:6:47238461:47241833:1 gene:Solyc06g075790.3 transcript:Solyc06g075790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFHDKDFWIPKCGGHLSDGEAVFDSSSRIDVKRAHQLFSSSAETELFPNKKQAVHTLLGKSTSEIEVTNSTCWEAASDLPSGANQFIDRLFRVDTTRQVDLTERSTGTSTIRKKVIEDQIGDDPLVGLSMSYTIEEQQICLSDSRIRNLNVNQVEDSEIAFHSPIENNINMSISQVHNRASETSFLSMGQAYGKEDESQTYNPGDISRSIRSNVEKSHSTTPIADSYTRGDSDTIFGFELVSDIDALARPISGYDYLHYQSSVDASESHCDKQLDGSNGSAVDFSSQTSKPRTDSLPKTKSESKPAHKGAPNSFPSNVRSLLATGILDGVPVKYVLSRQELRGIIKGSGYLCGCQPCNYSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQITQELRSTPQSLLFEAIQTVTGSPINQKSFQIWKESFQAATRELQRIYGKEELNL >Solyc06g072600.3.1 pep chromosome:SL3.0:6:44913618:44915543:1 gene:Solyc06g072600.3 transcript:Solyc06g072600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSLQSPELQNFLNQQKERAMINEMVGKLTSACWDKCITSTPGSKFSSSESNCLSNCAQRYMEMSLMIVKRFQSMQ >Solyc12g100330.2.1 pep chromosome:SL3.0:12:68070711:68077604:1 gene:Solyc12g100330.2 transcript:Solyc12g100330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKRKSSPATKPESSSGSRKSKRLVVERPDPVVAQPSDSDFEPEPVLSSKKKSTRRTTAESSVVACQSESNNKKLKKPTVEKAESGVASPADRDFVSESDSETPSKKSTRRAAVKVEPLVDSVAGSDFVEEEEVDGMELGSLKKSLSISPSKRKPKRAEKVKDEECVLAGDPVPDAEARLKWPHRYNKGKENGTKSLNGQDDPDQLIQAKCHFSRADVDGQIYYLEDDAHVKAADGEDDYICKIVEFFEAVDGVQYFTAQWFYRAKDTVIKSHDQFIDKKRVFLSEIKDDNPLDCLVTKLKIVPVPSNATSQFKENVKSNCDFYYDMKYLLPYSSFISLPPDTTSPVSSSSTISSDIDAGEVKEHNLEKKLLDLYSGCGAMSTGLCLGANSKGVKLVTKWAVDLNKHACDSLRLNHPETQVRNEYASDFLSLLKEWVQLCVSCSLIKGSVPPHPHLKVTDEVDEDEENDDEGEDSGDDKEGEIFEVEELLEVCYGDPKENNKPGLYFKVRWRGYGPEEDTWEPIDGLSDCPKKISEFVVKGFKANLLPLPGDVDVVCGGPPCQGISGFNRFRNKENPMQDPKNKQLDVYMDIVDFLKPRFVLMENVVDLVKFSNGFLGRYALSRLVGMNYQARMGMMAAGAYGLPQFRMRVFMFGALSSEKLPQYPLPTHKVIVRGVIPVEFESNTVAYDSVRDLELKKELFLGDALSDLPLVENNEPRDEMPYTDEPKSDFQHFIRMGRDGLLGSVLYDHRPLQLNEDDHQRVCQIPKRKGANFRDLPGVRVRPDNKVEWDPDVERVKLPSGKPLVPDYAMSFVGGSSSKPFGRLWWDETVPTVVTRAEPHNQTIVHPLQDRVLTIRENARLQGFPDYYKLIGPIKERYMQVGNAVAVPVARALGYSLAMSIKGLSGETPLFTLPKNFPSHEDQNCNEVSQ >Solyc09g014980.3.1 pep chromosome:SL3.0:9:7373339:7382259:1 gene:Solyc09g014980.3 transcript:Solyc09g014980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNRYQIRNEYSLADPELYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFASEIFHDLHEEVMATAARGHSLTVRVKQLEADFPLIESAFLSQTDHSSFFYNAGTDWHPNLRIDQNMVTRGDLPRFVMDSYEECRGPPRLFLLDKFDVAGAGACLKRYTDPSSFKVETSSYAFTTSDVQREKKTRKTKKRGSRWRNGETPEVLPTSHAKLHQLFLEERIENGINVPAHRVKLKRKLNGFPFDPRTGKSYMNKFLEISSPEHKVVHEVGIDSSPLRLPSTDACETLAETEDIRPPSPDKEVMRRNKRASLSPSPPQSAENNSLRPCLDEVNGDLSCYRVRGISRRSHRSQTTDILPSIHSLVDEKEITVDGESRTEKGIGYESDDVASEIDNYVDALTTMEAELETDSEQRDRRDLPFLNSKKQVLCLSSSSEKLQTQSSDSHSIENSTLSDDGNSYSKKEISSFSCSDSPSTSVESVLLESEISSKGAKTSDTSCEQQSVNEETQLPQPPEGGVYDRKCIIVAREPSGSCDSGEKTATLNFTDSSPMPIHAYTEIFVEVAGMRAETNENFITHGKSEDPLTTIAEDASSLHVSLPHAPVILDAPEQNGDDSPSRASIDIKLTDGLVDQNLKLDENVSCASSHSDVPYHATDNMPESESPVIQHESNLYNDASLVNNLPITSELLNIPSEDRHEVLSADYQQLPNLDGEDPSVGDDSASLYNLPNCPSSEEGHTSPSLLAVNHPNHVDNGLDNENLNGSSVGSVQILDVLGASDTDCGKHFTMSHDEIAEDACMKPHNISTKDIEAGDADKDHEDTCGAFSDGVMSEPGDLSTNCGGDGLDFVDVLNSQTSEIPNDIQSLESGELNISCSRQENPVEVSSLTKIDEKGSIAPSELLSGTVSTGSIASRHLKSLTNKGILSDETVNKIDKSDVTDETASLLAALADKENFDDLSSSLDHKLFSEESVCSIGHSSQRELEIDLSNSHAESKFMTQRANTPDSNSFVLDTSNCHHPESAVLDTPSGSELSFDAENTMDSSAAPSQALLKKWCLDTEEVLSRRRNVADLTEDASSLQISPEEGKDELVDNQPNEELLHKVDLDQSPLLEKIQSHVDQVSDASSLSFVANLPSQDAIPDVLAHNSNLVSEPLLTDYCAEETAESAIHEQVKREVLDSGEAKAEPLPQLTQSQLVDCFDIEQSAEASSISSQTVRPSHPSFPELLSQSNQDSLSSLYKKDEEIASKVSDTERLIDEDTAKEVLLPQFEEARLSNHVDIVGALDASSVPFIANVPSQSPVSNPLSLSSHNVNPFEMGNTPTSPGFALLPDEAQTSLVEMPPLPPLPPIQWRMGKLQASPDLDGDPTQHYIGANPSSLASRTDQDPRPVNQNMLSAVATESSELIDLYSADSVAQSGLLTLPPTVLGGNSSIRFIDPVYKHYTKTHFPLAGQYHEVQLPSLHAIERGVAQPINWIPGVTSLDKPSIDVLGSSEELIQQQNQVAPELLLEKQGSAHLEGNLPLPVSDGIKPKALPADIVITDASESLFHEPSQPQHQPLHQLAPETCLDRSNLEETLTSLEKNVVTRGTVIPSYTENAKPDNSGPTTEAEIIWPAVEEGNTNEIRIVKLQRPRTPLIDDLAAHDKSKLRKVTERVRPETQKVDERDPVLQLRKVTERARPEIQKVDEKDSLLQLRKVTERAMPEIPKVDERDSLLEQIRKKSFNLKPTVATRPSIQGPQTNLRVAAILEKAKTIRQAFAGSDEEDDEDSWSDS >Solyc04g016480.3.1 pep chromosome:SL3.0:4:7305290:7315850:-1 gene:Solyc04g016480.3 transcript:Solyc04g016480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSTVCLISLILRLILTVHSHTSPLDEREMRRKISLHMLPQSPENSTTLHLRPSGSSFKIALFADLHFGENAWTDWGPRQDVNSIKVMSTVLDEEKPDFVVYLGDVITANNIPIQNASLYWNQAISPTRERGIPWASVFGNHDDMPFEWPMDWFSSTGIPPFCCPMNASYPSESEGGMGCSFKGTTRLELMTNELEMNKKSYSKFGPKDLWPSVSNYVLKLSSTDDPESVIAYMYFLDSGGGSYPEVISNAQAEWFSRTSQEINPNSRVPEIIFWHIPSQAYKTVAPRFYAHRKCIGSMFVEEVASQEAELGMMKLLEVRSSVKAVFVGHNHGLDWCCPYKNLWLCYARHTGYGGYGNWPRGARILEITQQPFSLKSWIHMEDGHKPKRWKWFLGRFKFKQCPPAIEAPQQTLTEVTEQQKKHAVAVALATATAAEAAVAAANAAAEVVRLTIAPYELERKRRNAAIRIQTAYRAHLARKALSALKGLVKLQAVIRGELVRRRLVSKLKFMLPFQMSKPKVYHIRVPTVEEYYESIEKKLDDSLKESVKSNEIKLKCNSQRTWDFSLASKEEIDSLFLRRQEAFAKRERMMKYSFSHRERRNDHVMQDPSIIKENRRGSRLDQWAEIEAQRKAELFEQLRSFANSSSPLVGMNQMRQARKLDVTEDLNSPSSLPRRSFSHVKQKSIGDDSSLPSSPMFPTYMAATESAKAKTRSMSTPKQRLILNETYSVQHSPYMLNHTSWTSYNGEVKKSTKKSEISQKTAINIKGF >Solyc03g118760.3.1 pep chromosome:SL3.0:3:69070993:69072719:-1 gene:Solyc03g118760.3 transcript:Solyc03g118760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:K4BM25] MREILHIQAGQCGNQIGSKFWEVVCDEHGIDPTGKYCGDSELQLERVNVYYNETGNGRYVPRAVLMDLEPGTMDSIKSGPFGQIFRPDNYVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENSDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASALFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPRGLSISSTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEENSDYEDETGED >Solyc08g016310.3.1 pep chromosome:SL3.0:8:7194776:7199917:-1 gene:Solyc08g016310.3 transcript:Solyc08g016310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANCWFDWEGYNTNGFTDCCFWKSVKCNLATGRVIKLDLKAGFGTGDGWIFNASLFLPFKSLQVLVLSSQNIIGWTRNEGFSKLRQLPNLKVLDLQYNPIHPKVLLSSLCWISSLEVLKLGVDVDTSFSIPTTYNSTSMKSKKCGGGLSNLRELWFEGYGIDDINILSALGRLRNLEKLNLNDNNFNSTIFSSLKIFPSLKHLNLAANEINGYIEMNGLLRLFHPNQISILFLGISNLFICVDIIALSNLKHLDLSDNNIESFVTDKGSKKRSTSFRSLLLGSSNSNSSRVIQSLKSFSSLKSLSYENSDLTAPTIIYALRNLSTLEYLYLDGSSINDNFLPNIGHMTSLKVLSIAFGSNNDTIPNQASHSILRRLTSLEYLSIEDNQFDVPLSFSQFYNYTKLIYLDVGDSTIIPDTEFQNWIPNFQLEFFGIYGCIKLQKLPSFLHYQYDLRILVIDENQLQGKFPTWLLENNTRIAGVYSRDNAFDGPFKLPSIVHLYLKTIDVSNNKLNGHIPDKMSLAFPKLTSLNMSQNFLEGPIPSKISGIHLETLDLSNNLLSGEIPSDVVIGSPQLLFLRLSNNKNNFTGEIPRWITDNTRLLLLDLSKNHLTGSIPVEICKFKLIQVLAISENRLSGFIPSCVSSLPLEHIHLEKNQLGGGLEHVLFNFSSLITLDLRYNNFTGHIPHTIGSLNSLNYLLLSHNQLEGQIPIQICMLNMLSIMDLSFNKLYGPLFPCLGNLTQAKKDAKKRITYYFYKTYWNPWLTFLRWIWLERHYHNRHGILVDTLLMDAETVVQFSTKRNSYTYKGSILKYMSGIDLSSNRLTGEIPIEIGNMSNIHTLNLSHNHLIGRIPNTFSNLQEIESLDLSCNRLNGSIPVGLIELNSLAVFSVAYNNLSGAVPDFKAQFGTFNKSSYEGNPSLCGYPLDNKCGMSPKLSNISNINGDEESSELEDIQCFYIGLVVSYGKSMV >Solyc07g008300.2.1 pep chromosome:SL3.0:7:3070573:3078401:1 gene:Solyc07g008300.2 transcript:Solyc07g008300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVQNLSSFNQSIKITPLKIHIFTCSVKPRRHYHSSQISCNYYRKLVLEFDPKIPIEEAITPPSSWYTDSCFHTHELNQVFFKGWQSVGYAGQIKDPGQYFTGRLGNVEYVVCRDDAGNIRAFHNVCRHRASPLASASGKKSCFVCPYHGWTYGLDGALQKATRITGIKNFRVNEMGLVPVRVAVWGPFILLNFENGVLPENEADFDLVGNEWLGNSSQILIDGGVDSSLSFLCRREYTVECNWKVYCDNYLDGGYHVPYVHKSYASVLKLDSYSTTILEKVSIQRCDAENDLDFDRIGSKPALYAFVYPNFIISRYGPWMDTNLVLPQGPRKCLVIFDYFLDSSHKSDESFIAQSLEDSETVQIEDMKLCEAVQRGLESPAYCSGRYVPQVEKAVHHFHSLLYERYTEQIKEPRQYFTGRLGNVEYVVCRDDGGKIHAFHNVCRHHASLLASGSGKSSCFVCPYHGWTYGLDGALLKATRITGIKNFKVNEMGLIPMRVAVWGPFILLNFENGVLPEQESDFDLVGNEWLGSSSQILADGGVDASLSFLCRREYAIDCNWKVFCDNYLDGGYHVPYAHKGLASGLTLESYSTTIFEKVSIQRCETGSAGKDQDFHRLGSKALYAFVYPNFMINRYGPWMDTNLVLPQGPRKCLVIFDYFLDSSVKVNVCFSYLNDKCISLSNFIFSVHRVMRVLLPRVSRIARQYRYSKNMHDLIVTSIAYIRYNFCMFSYALTHYNIADYNEQMEDIKLCEGVQRGLESPAYCSGRYAPQVEKAMHHFHSLLYENLSD >Solyc10g075067.1.1 pep chromosome:SL3.0:10:58838151:58842331:1 gene:Solyc10g075067.1 transcript:Solyc10g075067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTWSSRFSLFSEILSIDDFGWGMQLLLIEFDEHVLQLVADYFTSLNLKEILALLKENMAYIPEKSLLRLGYAFLHSYFYGKLVHFKLAFLDVDNVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLCCRILLLQKVHFLGTNTEKKLKCSSM >Solyc06g063360.3.1 pep chromosome:SL3.0:6:40153193:40166066:-1 gene:Solyc06g063360.3 transcript:Solyc06g063360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATSLYVSEMLGSPVKFSGVARPAAPSPSSSATFKTVALFKKKAAAAPAKAKAAAVSPADDELAKWYGPDRRIFLPEGLLDRSEIPEYLNGEVPGDYGYDPFGLSKKPEDFAKYQAYELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLILAVVAEVVLVGGAEYYRIINGLDLEDKLHPGGPFDPLGLAKDPDQAAILKVKEIKNGRLAMFSMLGFFIQAYVTGQGPVENLAAHLSDPFGNNLLTVIGGASERVPTLFWAIDRPNMMMMISPCTFLCWIILTSSFNIDVVLSKTDSQQVSALNDMYQYLKPHSKLDGWKSNGGNPCDDSWTGVKCSGSDVTEINLSGLGLTGSLGFQLDKLDKVTYFDVSKNNLKDNIPYQLPPRTQHLDLSGNQFGGTVPYSISQMTELKSMNLNHNKLSGSLSDLFGKLTKLTEMHIQNNQFTGSINVLADLELDDLSRANLTASIFLRNVANNQFNGWIPNELKDIKKLETGGNSWSTGSAPPPPPGQKAKPHTRNSDKESGKSGLGIGAIAGILLGVLLLLSVIIALLSRKKRSSSSSHFIEEDKFSRRQTFTPLASQELSSSVRANMQEDFRVAEKHLQTSSSMVLKRLNSERHKSFNEKEMKNVPPSDHVKSANDKEFANPMKQNSSVKLDHYPLVDLQNATSNFASSRLLGEGSIGRVYMAKYPDGKVLAVKKIDSSFFRDGQCTEFTEIVGNMSKLHHTNIAEVIGYCSEQGHNMLIFEYFRNGSLYEFLHVSDDFSKPLTWNTRVRIALGVARALEYLHEVCSPSCLHGNIRTSNIVLDAELNSRLCECGMAMFHQRSSKNLDAGYTAPECTKPSAFTLKSDVYSFGVVMLELLTGRKPFDSSKPRLEQCLAEWASPQLHDLDALEQMADPALRGLYPPKSLSRFANVTALCVQPEPEFRPQMSEVVRLVQKSNMSQRGDDDDY >Solyc03g114190.1.1.1 pep chromosome:SL3.0:3:65682079:65682498:-1 gene:Solyc03g114190.1 transcript:Solyc03g114190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEIVVSVMLLFVGIAVLVFIHVCIVGRAFSNRNGINATILNPTNLNVRTSMSQEDIKKLPCFDYKMESTSPADCAVCLDNFKVGEKCRTLPKCNHSFHVQCIDSWLKKTAVCPICRASAKSIVETEEQRNDFALQMT >Solyc02g091250.1.1.1 pep chromosome:SL3.0:2:53248152:53248544:-1 gene:Solyc02g091250.1 transcript:Solyc02g091250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLLISPPSVILKRGVINARFDQYNGGGRSKNMVDENMIVLRMRIKDMKILETEKSGPPSNWMGWEKKYFAHYNEDICEAIGLLQMYLMETRPALAMGMLGLICLSLSLSTYSMVQQLMEIAKFILQPN >Solyc08g068230.3.1 pep chromosome:SL3.0:8:57395765:57401257:-1 gene:Solyc08g068230.3 transcript:Solyc08g068230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFRKERSNCENSRRKSGMKTLIRKEFLHPFSIKEKDLEDKMPLRPTPESSLCAFCVNGNSCRTVRARTKLMNTVLERGRPEEARLTFDSLIKGGHKPSLITYTTLLAALTVQKRFDYIHSIMSEVQESGMKPDPVFFNAVVNAFSESGNMEEAMKTFLQMKESGIKPAISTFNTLIKGYGIAGKPEESIQIMELMSREVNVKPNLRTYNVLVKAWCSKKNFTDAWNTVDKMIASGLQPDVITYNTIAAAYCQNHQTEKAEGVILEMQRNNVHPNERTCSIIIAGYCKEGELKDALRFVYTMKDLGMHPNLVIFNSLIKGFLDMSDSDSVDEVLTLMEEFGSKPDVITFSTIMNAWGAAGYMVKCREVFDDMVNAGIPPDVHAYSILAKGYVRALEPEKAEEVLNDLIKSGNRPNVVIFTTVISGWCTAGRMDYAMRIFEKMCDSGISPNLKAFETLVWGYAENKNPRKAEEVIQIMETFNVQPEKSTRLLVAEAWHGVGLAEAANGVSNSGEKQRSTSKLDMEDETEVKNLEKVYQKDAVHHCYPKCLQIPNELINEPKGAVTALKRSRLVLRETEFSCDTLSAATRSLHISCRFGMRAPVICQRQFQVQLCASGHVGHSSTAVFLY >Solyc07g055060.3.1 pep chromosome:SL3.0:7:63313951:63321321:-1 gene:Solyc07g055060.3 transcript:Solyc07g055060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKIEKMASIDAQLRLLAPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDIRETVQDCYELSAEYEGKHDPHKLEELGSMLTSLDAGDSIVVTKAFSNMLNLGNLAEEVQIAYRRRSKLKKRDFSDEASALTESDIEETFKKLVGQLNKSPQEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARIRDCMTQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNDELRVRAEELHRTSKRDAKHYIEFWKKIPPNEPYRVLLADVRDRLYNTQERARQLLANGYSDVPEESTFTNLEQFLEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDVITRHVGVGSYKEWSEEKRQEWLLSELSGKRPLFGPDLPKTDEVADVLDTFHVISELPADSFGAYIISMATAPSDVLAVELLQRECHVKSPLRVVPLFEKLADLESAPASVARLFSIDWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKEFGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFTAATLEHGMNPPVAPNPEWRALLDEMSVVATKEYRSLVFQDPRFVEYFRLATPELEYGRMNIGSRPAKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIEKDIRNLQMLKDMYNGWPFFRVTLDLLEMVFAKGDPGIAALYDKLLVSEDLWPLGERLRSKYEETKGFLLQVAGHKDLLEGDPYLRQRLKLRDSYITTLNVSQAYTLKRIRDPNYNVKVRPHLDKEIMESSKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGMQNTG >Solyc05g025510.3.1 pep chromosome:SL3.0:5:32946750:32959449:-1 gene:Solyc05g025510.3 transcript:Solyc05g025510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAEDDEPPPKRVKVSSRKGDLPKSTLLTDPASCSLNDLMAHPLVRQGDDEVVGAKGVVKKVEFVRIVAEALYSLGYNKAGARLEEESGIPLQSAEVKLLMQQILDGKWDESVATLHKIGLIDEKIVKLASFEILEQKFFELLDGKNVMDALKTLRTELGPLCVNNDRVRELSLCILSPLQQVLAGMSGQDVVRPKSRTKLLEELQKLLPPAVIIREQRLVHLVEQALDLQLDACRFHNSLVGEMSLLTDHQCGRDQIPSQTLQILQDHGDEVWFLQFSHGGKYLASSAADCLVIIWEVKLDGFFCVKHRFSGHQKPVSNISWSPDDHQLLTCGVEEVVRRWDVESGECIHIYEKNGLGLISCGWAPDGNRILCGVTDKSISMWDLEGKELECWKGHRTIRISDLGITSDGKHVVSVCKDNMILLFGWESKAEKVIQEDQTVTSFVLSTDNKYLLVSLWNQEIHLWDIEGTAKLLSKYNGHKRSRFVIRSCFGGLNQEFISSGSEDSQVYIWHISSGELVETLAGHSGTVNCVSWNPADPHMLASASDDHTIRIWGLNQVKMEHNDTVSNDVHYCNGGT >Solyc12g096910.1.1.1 pep chromosome:SL3.0:12:66617017:66617457:-1 gene:Solyc12g096910.1 transcript:Solyc12g096910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATWLNWEEAVGTIVGQSIGEPGTQLTLQTFHTGGVFTGGTAEHVRAPSNGKIKFNEDLVHPTRTRHGHPAFLCSIDLYVTIESEDILHNVNIPPKSLLLVQNDQYIESEQVIAEIRARISTLNFKEKVKFVFPKKIEIRIVGSTQ >Solyc06g074700.3.1 pep chromosome:SL3.0:6:46368830:46373714:-1 gene:Solyc06g074700.3 transcript:Solyc06g074700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEERNGGDGSETSDYTSEDEGTEDYKRGGYHAVRIGDTFKLGRYVVQSKLGWGHFSTVWLAWDIQKSTYVALKVQKSAQHYTEAAMDEIAILKQVAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGLPIHKVKEICFHVLVGLDYLHRKLSIIHTDLKPENVLLLSMIDPAKDHTKSGTPIILPSNKSKIVSESGASKAVKSLNGDLTKNQKKKIRKKAKRVAQKCADKEASEETEPHNETTGPEDSARDEKPEAKSVDEQTSIEASGDVSTKEGHQKIQRHKRGSRSDRQKLLADVDLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVILGAKYSTSADLWSLACICFELTTGDVLFDPHSGDGYDRDEDHLALMMELLGTMPRKIALGGRHSRDFFNRNGELRHIRRLRFWTLSKVLMEKYDLCEQDANEMADFLVPILDFVPEKRPTAAQCLSHPWITGGPRHLGSSTNSLSEATENHGPKNNREKDEREAMEVRVGNIAIDGAPAPVKASQSSDLAKKT >Solyc04g005425.1.1.1 pep chromosome:SL3.0:4:294952:296112:1 gene:Solyc04g005425.1 transcript:Solyc04g005425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDVIGKSRNIDLFWEVIQEIGRCQLVTPKTYVVGVKTLASARELKKCVGVFHLMNGYGYGYSLDVLNKVVEALCRSKLVEEAEHVVLKLKNWVKPNEVTYRWLIYGFCDVGDVIEASKIWNLMVDEGFEPEIASIETMIEILFKNNRYVEALKVFQSVRVNRMSELGVSTYRLVISWLCKKGKLGESYLVFEEMEKRGIKADNLTLGSITYGLMSRGRVMEAYRVVEGIEKPDISVYHGMIKGLLKLKRASEATEVFREMIKRGCEPIMHTYVMLLQGHLGRRGRKGSDPLVNFDTIFVGGLVKAGKTLEANKYAERLLYRKVEVPRFDYNKFLHYYSNEEGVVMFEVMSNKLREVGQFDLADIFARYGERMATRDRRRIRTTES >Solyc01g107250.3.1 pep chromosome:SL3.0:1:94757965:94760550:-1 gene:Solyc01g107250.3 transcript:Solyc01g107250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPSSFLFFFQIILCIPSAFSTNSEGTALHSLRTKLSDPKNVLQSWDPTLVNPCTWFHVTCDSDNNVIRLDLGNSNISGTLGPELGELKNLQYLELYSNNLEGEIPKEFGDLENLISMDLYGNKFEGNIPQSFAKLKSLRFLRLNDNELTGSIPRELTTLPNLKVFDVSNNNLCGTIPADGPFGSFPMEGFAHNRLNGPELKGLVPYDFGCKEVEV >Solyc01g028870.3.1 pep chromosome:SL3.0:1:40937583:40946144:-1 gene:Solyc01g028870.3 transcript:Solyc01g028870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAASVLSSSSTSSFSLSHVLLSSYTLKPHVRFIFNSLSKCTRGSPLLFSSNHSVERFSLLRPSALTFEETVDTFSVQPKIDKSGRFCSPRAARELALMTIYAACLEGSDPVRFFEKQLNIRREPGYEFDKDWLMKYNHMSFGGPPVKTETVEEADELLKADENDSVIEAEVLSAPPKLVYSKLILRFTRKLLVAVEEKWDSHVLVINKVAPDNWKNEPAGRILELSILHLAMSEISVLGTRHQIVVNEAVDLAKRFCDGSAPRIVNGCLRTFIKDLEIVKDARVNQSLFT >Solyc04g026090.1.1.1 pep chromosome:SL3.0:4:18978877:18979107:1 gene:Solyc04g026090.1 transcript:Solyc04g026090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVDQPVASSLLYQVEIGGYVDYRSELACNLICTKRGLLCSLGGGYGGPVDCPTSSMLTCNVKQYNGTVRWLHVF >Solyc11g028070.2.1 pep chromosome:SL3.0:11:20168511:20169924:-1 gene:Solyc11g028070.2 transcript:Solyc11g028070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSICFMALMVLAMVLFVSSEVQAQQMCKSTSQTFKGLCFTDSSCRKACVTEEFTGGHCSKLQRKCLCTKVCVFEKDSNEVKTTLVGEAKTLSETVLEEEIVME >Solyc08g074640.1.1 pep chromosome:SL3.0:8:58891399:58895222:1 gene:Solyc08g074640.1 transcript:Solyc08g074640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSILSLCATNIPSSFSNNTNSSFLSKPSQVFLHGRRSQSFNVSCNANNNSSEHEKNNVDDVVDRRNVLLGLGGLYSATNLAPLATAAPSPSPDFKSCSTTTITGGPAVKYTCCPPPLPKDTSTIPFYEFPPMNKLRMRSPAHLVDEEYIAKYNLAISRMKALDKTEPDKPLGFKQQANIHCVLNALNRTRYSKELQVHNSWLFFPFHRWYLYFYERILGKLIDHPTFALPYWNWDNPKGMRLPPMFDREGTSLYDERRNPQVRNGTVMDLGTFGDTVDTTQLLLQTNNLILMYHQMVSNAPCPLLFFGGRYVLGTNIEAPGTIEKIPHIPVHIWTGTVRDVNAPKGKPKYGEDMGNFYSAGLDPVFYCHHGNVDRMWNEWKTLGGKRTDLVTEPDLMNSEFFFYDENKKPYLVKVGDCLDTKKMGYDYVKSPTPWRDFKPNKNYSSGKLDTSSVPPASKIFPIFKLDVNTSFSLDRPASLRTQVEKDNKEEILTFSYIKYDSREYIRFDVYLNADKNVKPEDLNQTEYAESYTSLPHTHKPGDDDYVSTATLQLAITELLKENGLEKEDKIVVTLVPRKGGEGLAIGCVEIALVDC >Solyc11g007430.2.1.1 pep chromosome:SL3.0:11:1747809:1748219:-1 gene:Solyc11g007430.2 transcript:Solyc11g007430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGNSQLKYITLILQIIFLQTSNAWKINCPDSACGDIRSIKHPFCLNTDPKHCRVFSGFELACEGNQTVKWSSSKKFHVQGIDYANNTIHLVDPTLQTHDLCSLPPSKLNFHQYNNFFYEYNWYREPTPIFMFNCP >Solyc01g010220.3.1.1 pep chromosome:SL3.0:1:4939361:4940192:1 gene:Solyc01g010220.3 transcript:Solyc01g010220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTYKINLTKNIHFNNSMESTTSIPFPSSITDSSSKDEKAITIQQLKMQFHGTRKGDLDTIESYINHLQSIADSLSANENPLSDSDLVLQLLAGLPSPQYSPYQNRISSQSPLPDFSTASSLLYMYESLLNHTSKDQNYDNKEMLHKIVDVFSTVTSAVATVMDVWSMFGKSATTTSSTGNRRNSRRRNRGRGRR >Solyc02g091330.3.1 pep chromosome:SL3.0:2:53284561:53299690:-1 gene:Solyc02g091330.3 transcript:Solyc02g091330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAALELVKHGATLLLLDVPQNTLIGIDTQMFFSGPNFKGVKMIPPGVHFIYYSSSNREGNEFSPIVGFFVEASPSEVIVKKWDSKDERFVKLSEEEGERYAQAVKKLEFDRQLGPYALDQYGDWKRLSNFITKSTIGRIEPVGGEITIISESEMVGNVHKTAMEKVLAEQLKSSKFSKPDKKSPSNSCYYTSIPRVIKLKGVSGQDLTNMNLDKTHILETILTKQYGGSEDSLLGELQFAFVAFLMGQSLEAFLQWKLLVSLLLGCTEAPLHTRTQLFTKFIKAIYYQLKIGFQKDSKDTSRAEKGATASLDESLLSADNFLRHLCKDFFSLVLDAPMVDGDLLTWTRKLRELLEQTLGWDFKLDSSVDGMHLKEDDEFAPVVEILDDPDH >Solyc09g074175.1.1 pep chromosome:SL3.0:9:66326868:66327621:1 gene:Solyc09g074175.1 transcript:Solyc09g074175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAMGSLFLAKKLDSSSSGATKKSLQSKVKILVKCNQPLAKESFDSPCLSSPIAPARDTSKVPPIQDNINEKDLDSLSMEPKSSKKVLDSTTISSAVQDYYVGIPYDESLGKYVPQNKRDEIILLLTSHLKTMQKQLQGWSDWAKALKRGITQLTKGLPTYAESSDSNVVKVERECVMCMHGHILVVFLPLHIKSFVKIAMCFAKRKEWMNAILVGHQLRSGLMSTFLISNS >Solyc05g042077.1.1 pep chromosome:SL3.0:5:55721845:55725689:-1 gene:Solyc05g042077.1 transcript:Solyc05g042077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVCGLPYSFSSNPIFVKYIQQTYNPDYKGFSRNTVKTDVSEYQDYYMIIDKMMSVALDNTSNNTNAANIVKFEYVVTWIFHTNNAARIREFNERCSLCELSPRKIPKHIKTRWNSLYEMLSDSYKYRRPIQMVFNAHNADPLDRTCDEDWEETKELLQFLRLFYDATTIVAIEVFLTATLLHHDYKLQGVQGLVDTFYETLKILPEEIPNCQVCKSSIKVEAKVLYEKYRTTENFQGEVGQTSNVEIDSSVPISCYMRGFLGLNSTNRDDFEEYLNQSLESLEIKDGNEDLLGWWSRRSDTFPTLTKMVRNILAIQASSVASEVAFNEDNNTNKSNPQEIENTPTETERETTDTPTSESTPNPQVHGWKHQSSLPIQNILTPLELGIHTRSRV >Solyc08g076760.1.1.1 pep chromosome:SL3.0:8:60817326:60817634:1 gene:Solyc08g076760.1 transcript:Solyc08g076760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETAAKLFGLNWCKNRGYDIVWGETDYMLLTIWIKKEWRPPWALENQIQEIQKLVDYHGFNISHCFREANKPADKLAALSHGLVGYMSSTPSRNFLDILRV >Solyc04g082640.3.1 pep chromosome:SL3.0:4:66340554:66343813:-1 gene:Solyc04g082640.3 transcript:Solyc04g082640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSNSLILSKPRSPSLHFSSGSCLKSLDQNVSATKLSFSPSHGGKSYSSKRSLIIQASYSDGGRSSGSGAGIFVGGFVLGGIIVGTLGCVFAPQISKALAGADKKDLMRKLPKFIYDEDKALEKQRKKLAEKIDQLNSAIDDISTQLRSDDTPNGAAVNSDDVEAVV >Solyc03g120460.3.1 pep chromosome:SL3.0:3:70329820:70333755:-1 gene:Solyc03g120460.3 transcript:Solyc03g120460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding REIGLQPSIHSRNYLLNCLAVANQGENLARLFETMKNFGPSPDVMTYAIMMNFYCENYPGTQKVSIKEAYKILKEKREKEISLSAATYSVWLHGLCRIGCPDVALKFIRKLRYGLCAAGAAKIAKDCFHYLKNSGCKVDQTAYNILITEFCAQGDLNSADELLEEMISNDLAPDASTCLKLIRASCDMGSVDKALKYRIMMVQKDYLSDTITCNFIVKQYCTDGLVMEALHLIDEMVDRGIIPNLFTYDVIVQQLCKDINTKKALELITVMLKRDMFPNVTILNTLLDGFVKESHFNKASLLYMGMLKLEMTPNIITYTILIDMLCKRGEVKKSARQIKVIQAHKLFMKMVREGMSPDNICYTSMISGFCEIKDMSMACALLLDMQKREVLPTVGTYTCLIDAFCKLDQMDEAKRLFRMMVRQNISPDVYIYNCFIDKYSKLRRMDEAQRLFDRIRETNISPDLVTYRIMIKGYKLVKNFDLAYDMTDEMHRVFNIPQNALLELNMMDSTDPRRVHARAITTGAAKANRAVLNNIITLYSKSDLRSDAARVFRSIPSPNVVSWTALTSAFSNSPLSFHHFISMLRHPSRILPNSHTLTSLLKTCATLPSLTFGAQLHSLAVKLGFSSELFTASALVSLYFKTGLSNNAKKVFDEMSVRDEVCFSSVIVGFAQNYKPIDALSCFIEMRRSGMASTMVSVSGALRAGSDMAMLEQCRIIHGHAMVTGLNLNVIVGSALIDGYGKCGLVGNARGVFDELEMELNIVGWNAMMAGHAQQGEHGNVIELFTLMEERGMVPDEYSFLATLTAFYNAGLVEETEIWFKRMTEDYNLEPCLEHYTCLVGALGKAGRLEEAERIALTMPFKPDAALWRVLLSKCAYHVNMDIAWRMSDRLLEINPMDDSAYVILANAYASAGRWDEVREVWKRMKDKKVRKEGGKSWIEALGEVHMFLAGDRRHERTDEIYAKLTELMEEIEKLGYVPVWTEMLHEVEEKEKKKSLWHHSEKLALAFGLLNGTAPPGKALRIVKNLRICRDCHEAFKYISRLVEREIIVRDVNRYHKFLNGSCNCGDQW >Solyc01g100900.3.1 pep chromosome:SL3.0:1:90671053:90674111:1 gene:Solyc01g100900.3 transcript:Solyc01g100900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNELMIFMVMVIVQVAFAGMIIITKLVMDSGMDPFVQSAYKPIFATISIAPFAYVLERKTRPKMTRSTFFQIFLCSIFGITANQYAYFIGLNNSTPTIASAIDNLIPAFTFIIAVPLGVEKLGLRSIAGQTKFWGTIVCVGGAMLLSLYHGKVVIGQLGFHWKYEENTGKDVNSSHSNFFLGPFLLIMASLTYAIWLIIQGRVSEKYAAPYTCITLMCFMASVESVIIGFCVVPKLSEWALNPIRAISVVYNGVVSTSFAYFLSSWCIEKKGPLYVSMFNPLLLVISAFLSWTLLREKLYLGV >Solyc05g026517.1.1 pep chromosome:SL3.0:5:41728691:41731622:1 gene:Solyc05g026517.1 transcript:Solyc05g026517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDSEYKLDTGPLESNVLTGQLTHRSQDIWEGNVNMILNTRREDGNFWKLIEKYPIHPRVLEVIRLSGLYGVYKSNRPAIDRSLITALVERWRPETHTFHFRTGEATITLQDVEVLYGLPVNGDPLLGNEMIRTIEDWQNICQKLLGFVPSREDFKTNFIKVAAFNSHMLSQPYFSNMATQDMVNQKATCFMFWMIAGMMMADTSGGYLKLMYLPMLEDVDKIGSYSWGSATLAYLYHFLCKASQSTQNEIAEFLPLLQIWAWERVTVLRPQIVAHRDARTICHVGLPRGPHATRWFAHLSWTNTTKHVLKVYRDALDSMIEDQFIWEPYSDDLIESLPLYCHAGRDIWRVRVPIFCWDVVEVHLPDRVMRQFGLQQAIPTPFPFDSNHFRHDHRGRPNTNWELEHAHWLSFWNQRLQYSCDAPPVLLKIGAAETFCGC >Solyc09g008520.3.1 pep chromosome:SL3.0:9:2003668:2008318:-1 gene:Solyc09g008520.3 transcript:Solyc09g008520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYLSLVWSSNSSTIGSLGVSVHSRTQWKITKKDQKMHWLVFEEGGLPDGTEVAYYSRGKKLLVGYKQGSGIVCSCSQFEAHAGWASRKKPYGYIYTSNGVSLHEFAMSLLRGRKSSVRDSDDLCIICADGGILVLCDGCPRAFHKECASLLAVPRGKWYCKYCENKFQREKFVEHNANAIAAGRISGIDPIEQISKRCMRTVKNPEEAEVIACALCRCYDFSKSGFGPRTVILCDQCEKEYHVGCLKKRKIADLKELPKGRWFCCADCKRIYSALQNSLHSGEERLSESCLGAVRMKLKEKHMDFVGDLDVRWRLISGKVTSRETRVLLAEAVSIFHDCFDPIVDSATGRDFIPSMVYGRNIRGQDFGGMYCAILTVNSIVVSAGILRIFGQDMAELPLVATRIGSQGQGYFQLLLSCIEKLLAFLNVRRFILPSAVEAMSIWTEKFGFKEIPPDLLVSYKKTCWQLITFKGTCMLEKMVPKCRIIRHDVTEAETETETESDEPDE >Solyc03g044190.3.1 pep chromosome:SL3.0:3:8459455:8463391:1 gene:Solyc03g044190.3 transcript:Solyc03g044190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTPLLPFPFISVPKNSPHLKSPSFFLIRSSSSQPMDTNPQPKKVAVCGGGVIGVCTAYFLSKNGASVTLIEQSSVACAASGKAGGFLALDWCDGGPVASLARASFNLHRSLAQELNGSESYGYRPLTTLSVSITESSSSSSNRTGPIPSWVDGPAKSPRTIGSTDSTAQVHPEMFTKRLLSKAMEDYGVELVIGKVERVEMAEGKTRGVVLEDGREIDAAAIVLAVGPWSKRFSILGSLFRVYGIKAHSIVLEPKEANRITPHALFLTYYPAQGGKPMDPEVYPRPTGEVYICGMSAQAEVPDDPEQITPVPESINTLKRVASSVSSHLVEGEATVKAEQACILPCSEDDVPIIGEVPGVEGCYVATGHSCWGILNGPATGAAMAELILDGRASIVDLSRFSPARFASAVKK >Solyc07g042905.1.1 pep chromosome:SL3.0:7:56551526:56556804:1 gene:Solyc07g042905.1 transcript:Solyc07g042905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYTLSFSITRKSLLIVAIVTTVSAFLSSFSPNYISLLILRMLVGTGLGGGPVYGSWFLEFVPSRNRGMWMVIYSTFWTVGTILEALLAMIIMPRLGWRWLLALSSIPSFAAFLLYIFTVESPRYLCAEGRITDAHDILRKIAVVNQTKLPPGMLVSDQVIELDEEQKLLRPRANKIFNFKTGLSSSLMLLSPQLLRITLLMWVVYFGNSFAYYGIILLTSQFSAGENRCFSVALHVKNDSSLYTDVFITSLAELPGLLISAVVVEKVGRKYSMALMYIFGSLFLFPLVVPQNEALTTALLFGARIWFIGTFTLAGVYCPEIYPTSVRSTGCGVASAVGRIAGMVSPIVAVQLVRGCHQMAAIILFEVVVILSAISVLLFPVETKGRELVDHVSV >Solyc12g098560.2.1 pep chromosome:SL3.0:12:66985299:67011986:-1 gene:Solyc12g098560.2 transcript:Solyc12g098560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNNQISVVAANQTAANQWVRDNVLPYYPNTMIRYILVGNEVLSNKDDQGLWYDLIPAMNNIRNSIDQHNIHNIKIGTPFAMDLLETTFPPSSGEFRLDISRNNILMPLLRFLNWTRSYFFLDAYPYFSWSQNTSFISLDYALFQGSQTYVDPVSGYVYTNLLDQMLDSVVFAMQKLGFNNIRLAIAETGWPNGGDYDEIGANIYNAATYNRNLIRRIVSKPAIGTPARPGLAIPTFIFSLYDENQKEGPGTERHWGMLNPNGRPMYDIDLTGQTLDTEFIDLPQPTNNGPYHGKLWCVVTNDTMNEMDLGQALEFACRRNETCDDISPGKSCYQPVSIVSHANYAFSSYWAKYREDGETCYFNGLAVQTNVDPTGRAEIFKASRNESNPCALEGFEVKAPKKGSSLKKCRNLTRQALRIKAQCKNKNCTFNGVWNGGGGDGQKTIHASSFFYYIGAQVGIVDTKFPSVLAKPIQYLNAAKVACKTKATDVKSVFPQTQDKNVPYLCMDLVYQYTLLVDGFGLNPYKDITVMNKTEPGLSSYAEDPKTAANSLEPLLDGAKGVVPQELQSQTPLELGAAINYLLGNLGKDYKSTTATIDLGGGSVQMAYAISNEQFANAPKNEDGEPYVQQKHLMSKDYNLYVHSYLNYGQLAGRAEIFKASRNESNPCALEGYDGYYSYGGVDYKVKAPKKGSSLKKCRNLTRQALRIKAQCKNKNCTFNGVWNGGGGDGQKNIHASSFFYDIGAQVGIVDTKFPSALAKPIQYLNAAKVACQTNVADIKSVFPKTQDRNIPYLCMDLIYEYTLLVDGFEKPLPPPPPSVEVLPSQVSSNVEFNVEPIDLDGLTLLKGRVSTKEVFGLSNSDLIPGKYEGGLKLWEGSLDLVKTLSSEMQSGKLSLTGKRVLELGCGHGLPGIFACLKGARAVHFQDFNAEVLQCLTIPNVNANIQQNLSATDDNSSPEVRFFASDWNEAHLILPHVLADDDGTKNDQTVDQAAGYDIILMAETVYSISTLPSLYKLIKKCLCSPHGILYLAAKKHYFGVGGGSRRFISIVEKDGVLAASLVSEVTDGSSNVREVWKLYFR >Solyc01g095745.1.1 pep chromosome:SL3.0:1:86820616:86828846:-1 gene:Solyc01g095745.1 transcript:Solyc01g095745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQKKLYVFEVEKAKEVRSNGRPSRGPVYRNVLAKDGFRPLSQSLQSCWDIFCESVRKFPHNRMLGEREMSHGQAGKYIWLTYREVYDLVLKVGASMRVCGVKQVRLSYCKIKDIQGGKCGIYGANCSNWVISMQACNALGLYCVPLYDTLGAGAVEYIICHAEVSVAFAEETKIFEVLKAFPNAGKFLKSLISFGKVTQEQKDMAGNFDLKLYSWDEFLLLGMQEKFDLPAKKKTDICTIMYTSGTTGDPKGVMISNESILSLISGVNHHMETVGEEFTDKDVYLSYLPLAHIFDRVIEELFISKGASVGFWHKDVKQLIDDIKELKPTVFCSVPRVLDKIYSGLVEKISCAGFLKHKLFNFTYNYKLGNMSKGYRHSEAAPIFDKIIFNKVKEGLGGNLRLILSGAAPLSSTVETYLRVVTCANVLQGYGLTETCAGSFVARPDELAMVGTVGPPLPIIDVCLESVPEMGYDALGDTPRGEICIRGKCLFSGYYKREDLTKEVLVDGWFHTGDVGEWQPDGSMKIVDRKKNIFKLSQGEYVAVENLEGIYSLASSVDSIWIYGSSYESFLVAVVNPNMEALRSWANENGMTGDFDTICENPKAKAYILSELTNIAKEKKLKGFEFIKAVHLDPVPFDMERELITPTHKKKRAQFLKYYQNNIDTLYKNTR >Solyc04g064920.3.1 pep chromosome:SL3.0:4:56057471:56061381:-1 gene:Solyc04g064920.3 transcript:Solyc04g064920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRRDMEVESTEITIGIEELSMMKLIKVKLIKHDQQQISDANHHDDHKDYNYHIPTMPFLSISNLVLQVLDKIGPTMAVLRQDIFQNIQKLEKMHDSDPALYSNMVEILKKEVISEGKGTKCPKTCSKALLWLTRSLDFTLALLQLLADDLERNMVQAVQESYTNTLKPWHGWISSAAFKVGLKLVPDSKGLITILKGKDKNNDDFKKELRTFISLLAPLLKEIHEVLGAYGLDRLKST >Solyc03g033660.3.1 pep chromosome:SL3.0:3:5275553:5276881:-1 gene:Solyc03g033660.3 transcript:Solyc03g033660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLEKALKTSYFRPETAMKMTHNQPSIDDFFVDNLLDLSNGFAEDEIEQLNEHPNGFNTQNLCSVSPQKKMEDENGDFGCELSYPENGLDNLEWLSQFVEEDSHSGYSLIGKLPVKKNKSVTENPVQVNSCFTVPVQTKPRTKRRRIGGRVWSFTGSSTSSASSSTITTTAESIVRFPASVSNRRKMKTEKPVQPRRCSHCGVHKTPQWRTGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSSERHSNNHRKVLEMRQKKEERTGGDRFAPPVHSF >Solyc11g017077.1.1 pep chromosome:SL3.0:11:7884101:7888019:-1 gene:Solyc11g017077.1 transcript:Solyc11g017077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSAYQLDPGPLEPSVLTQQLTHRSRDIWNGSVNMILNTRRCDGKFWDLVKKYPIHPRILEMIELSGLYGVYRSNRPSIDRSLITSLVERWHPETHTFHFRTGEATITLQDVEVLYGLPVNGDPVLGDESIRTIGDWQNICQRLLGFIPRHQDFNRSSLKVTALNAHMLEQLQLPDLTTQEMIDQMARCYMFWMIAGMMMADTSGNYLKLMYLPMLEDLNVVSSYSWGSATLAGPRATRWFAHFSWTDTTKHVLKIFRDALDSVTEDQFIWEPYSDDLIESLPIWRVRAPIFCWDIVEVHLPDRVMRQFGLNQTIPTPFLFDATHFHHDRRGRPNKNWKLEHAQWLPLWNQRLQYVCDAPLVDILDNPW >Solyc01g074040.2.1 pep chromosome:SL3.0:1:81240992:81254154:1 gene:Solyc01g074040.2 transcript:Solyc01g074040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQGSFWAISLLIFLANFLSMIEFSKAANAKVPSHTISNPFNRTIFSPDFLFGASTSSYQYEGAWNEDGKGPSIVDTFVHTHPEGSVKKGINQAGIDYYNSLINEIVALGIKPLVTLFHWDLPQALEDEYLGFLSPKVVDDYVDFVEICFKNFGDRVKLWATMNEPWIFTSTGYDSGSLAPGRCSAWMNNNCTIGNSGTEPYIAGHNILLAHAAASKLYRQKYKGQIGTIVVSHWFEPASNKPEDIKASIRALDFMLGWFMHPLTYGDYPTSMRKLVGKRLPKFTPKESMLVKDSCDFIGLNYYTSNFAAHISKPPNTVNISSGTDNLVNQTTSLNGKLIGDPTGVSIFYVAPKGLYKLLVYIKKFYKNPIVYITECGMGESNIDDVAKGINDAQRVDFYQRHIKALYRAFREGVHVKGFFAWSFYDNFEWGSGYTQRFVPFNKTSFPSDFIFGSSSAAYQYEGAANEDGRGPSIWDTFTHNHPDGKLCRGVNKQGIAFYNNLINELLAKGIQPMVTLFHWDLPQVLEDEYLGFLNTQIIYDFQDYAELCFKEFGDRVKLWTTINEPSGYAITGYDIGIFPPMRCSSWRTTACFAGNSSTEPYIVAHHLLLAHAQTAKLYRDKYQVSQKGEIGIVLVASWFEPYSKRMKDIDATQRAIDFSLGWFIHPLIYGNYPEIMCKIVGNRLPKFTIEEAEMVKGSSDFIGLNYYTTMYAANLNVTPNKENISYLSDFQTGSAVFHVVPRGILEVLVYTKEKYNNPKIYITENGMSDANVTMVEKGVNDLQRVDFLRRHLKGVDVKGYFAWSFLDNFEWSSGYTQRFGLNYVDYNHNLNRYPKRSALWLKKFLLN >Solyc01g058740.2.1 pep chromosome:SL3.0:1:68168347:68177182:1 gene:Solyc01g058740.2 transcript:Solyc01g058740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLISTLANKQSNNQEIMGNLICTRETNDNFKHTPWKSAKEVGEKLRIFLYKMPSVFYDGPNGKKTKGYLLYSFTKSERFEDSLCLSC >Solyc02g065460.2.1.1 pep chromosome:SL3.0:2:37175553:37176614:-1 gene:Solyc02g065460.2 transcript:Solyc02g065460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSGMSTRSEKDQFLSSLGDDGNSESWLVGNSPQKNVKIETGCNDETDLVDRISHLPHALIVQILSRLSIIDAFRTSILSKDWRYFWTAIDNLVYDNEEFNCSDSSMVHKFISLTDNVLPLLSSSSIKKCSLNFVFRHNDEVSYFPVIDKWLEFAVNKKVEGLSLKISYDTDDIENDQPYSLPKVFCSSSSIQKLKCQNCRILDDCVLNWTSLKILTLEDLLIQDKHISQIMSNSPQLESFSLYKFCGFNYLHMTSPNCKKLKLIDHYHPTGDWFSFEGDCCFEVVAPYVEHLTIFGDFDYTIIELKDLSSLDHAKLDLCSDEFDSLDEGILIDLLVSVGCANELILSSWFIKVS >Solyc01g056460.1.1 pep chromosome:SL3.0:1:54302340:54303136:1 gene:Solyc01g056460.1 transcript:Solyc01g056460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPHELDFLRNVWRENWIWLESVCLVNKYWFFFQDRIKLKFLNKVRDESKKIYLLVLPPIFYEENESFSQRIRENGLGFPEGMIWKFQNQK >Solyc09g010390.2.1 pep chromosome:SL3.0:9:3771745:3776040:1 gene:Solyc09g010390.2 transcript:Solyc09g010390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNATTPNFDNLLLQSLVNRLQIRPPSNPTSSFSPQSLEDLLFNTLPFSDEDEDNDDDDNNNESSSSKSQLAKEETRVEKEIIRTILSGKTDSLKPNSGQAVAIGEHHICIGFHEDTGSDYRVWEWHGHIMLFDEENGYSPEYIYGNYFERVNGKLMKKKQEEKEEEEESEKEEKESDEKEEKVGNLGLRELISSGDSNNEGRILRRNMNAGSTRV >Solyc10g083110.2.1 pep chromosome:SL3.0:10:63106067:63108653:-1 gene:Solyc10g083110.2 transcript:Solyc10g083110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVIGRCHFGVEAAGSKSRRTAVWRSPRAAVIPSFHLPMRSYEVKNRTFAEDIKALRLITAIKTPYLPDGRFDLEAYDALVNLQIENGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGGSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSIEGMISHFESVLPMGPTIIYNVPSRTGQDVPPRVIQTLAESPNLAGVKECVGNDRVKQYTSNGLVVWSGNDDECHDSRWDHGAAGVISVTSNLVPGLMHELMFGGKNPALNLKLMPLVEWLFHEPNPIALNTALAQLGVVRPVFRLPYVPLTRAKREAFVKIVKEIGRDNFIGERDVQVLDDDDFVLLGRY >Solyc01g098320.3.1 pep chromosome:SL3.0:1:88755571:88759053:1 gene:Solyc01g098320.3 transcript:Solyc01g098320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNGSAMEVDVVNVSPCLTSFVDDGTVESHRYFLARKTMLEMLKDRGFAIPNSEIETTLQEFREKYGQRPDVLVIFCGPNSVKMNVIRNILSQIMNKESLSRLILIIENPMTNPAMKVVEDSPFKTEIFQITDLLVNITKHVLKPKHELLTNAEKEQLLKKYNLEEKQLPRMSQKDAIARYYGLEKGQVVKVTYNNEIIETHATYRCV >Solyc08g079440.1.1.1 pep chromosome:SL3.0:8:63084684:63086021:1 gene:Solyc08g079440.1 transcript:Solyc08g079440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLKPILMHLDSIPSTPGKFKPDKSSPYNLYRLRFHPTLFPRFTLWSFFFIFFIVLLIFFSSPSNPTAGNSRRSLKNSLSPSPALGPNWERRVRASARPRSKTGFTVLVTGAAGFVGTHVSLGLKRRGDGVLGLDNFNQYYDVGLKKARQSLLERSGIMVVKGDINDAVLLRKLFDAVAFTHVMHMAAQAGVRYAMQNPGSYVHSNIAGFVSLLEACKMANPQPSIVWASSSSVYGLNSKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKDILRGKEIKIFETSDQGSVARDFTYVDDVVKGCLGALDTAKKSTGSGGKKKGAAQLRIFNLGNTKPVPVGRLVSILEKLLKVKAKKKVIQMPRNGDVPFTHANITLAHTELGYKPTTDLEMGLKKFVKWYVSYYGSKKKSSW >Solyc03g083410.3.1 pep chromosome:SL3.0:3:54706790:54716928:1 gene:Solyc03g083410.3 transcript:Solyc03g083410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone-lysine N-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BIB7] MIIKKSLKTVMPSLKRCRVSDSGADEDDFSGNNNRKKRKSSGGYYPLHLLGEVAAGIIPFNGYRIQTILAAGGDGGAAAAAAASWCTEVSRCAGEAEMNSPPKQRSNPVNEASRPPLVRTSRGRVQFDEAIDLSGTDAMVMQEGGRRAYRYGHGGFNSGDIVWAISGRHCPAWPAIVLDSETQAPQQVLNYRVAGTVCVMFFGYSGNGTQRDYAWIRRGMLFPFQEHVDRFQGQTDLNDSTPADLRSAIEEAFLAENGVVEMLMVEINAAAGNLDYLRSLPRGVFEACDSNQDQECNSPSQARFKGLLKKKELDSCDACGSRLSSKPSRKLNDSTLRSHRLCTACARLKKSKHYCGVCKKIRNPSDSGTWVRCDGCKVWVHAQCDKISSRNLKELSTSDYYCPECRARFNFELSDSENMNSKAKNNKNDTQTVALPDKVSVICSNVEGIYFPRLHLVVCKCGYCGAQKQALSEWERHTGSKIKNWKTSVRVKGSLLPLEQWMLQMAEYHAQNVVSTKSVKRPSLKVRRQKLLSFLQEKYEPVYAKWTTERCAVCRWVEDWDYNKIIICIRCQIAVHQECYGARNVRDFTSWVCRSCETPEIERECCLCPVKGGALKPTDIQQLWVHITCAWFQPEVCFASDEKMEPAVGILRIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCSEKNGKQVTRMVSYCAYHRAPNPDTVLIIQTPKGVFSARSLLQNNKRTGSRLISTSRLKLEEAPAAEIEEIEPFSAAKCRVYNRLRDKGTGETAIAHHVRGPCHHSSSSMRSLSIIREVRGSKTFSTFRERLRELQRTENDRVCFGRSGIHRWGLFARRNIPEGEMVLEYRGEQVRRSVADLREARYRVEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGADESRIVLIAKANVAAGDELTYDYLFDPDECEDFKVPCLCKAPNCRKFMN >Solyc05g050402.1.1 pep chromosome:SL3.0:5:61388491:61394991:1 gene:Solyc05g050402.1 transcript:Solyc05g050402.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMYAMVCTRPDSAHAVSVVSRFMGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLTKITNQPFQPNPFFCSLCQGHVLRFRSRQSHCRLQSCLPTDNRSSKGKHISSHRSSCVHISSIVRIRIASNQALSITSINETNVRCTSKLSTYHLHKAPDR >Solyc05g018870.2.1 pep chromosome:SL3.0:5:24438275:24440788:-1 gene:Solyc05g018870.2 transcript:Solyc05g018870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDNLPVAVPRQKVDRMGEKSHERGFQVGFKGTFEGKSVLQRKEKSYFINNHLNFKVMYHEDLEARTARVAGFEVTPLSSINHEYNKWDEENTKLTTCKHGKPTVFGTNSVPQEIVAHNEVVFTYDVTFESSNIRWASRWDSYLHTNGDQIHWFSIINSLIIVLFLSGIVAMIIMRTIYRDIANYNQLAQDEVQEETGWKLIHGDVFRPPENSSLLCVHVGTGVQVFGMSLVTLIFALVGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLYKMFKGTDWKKMSLKTSLMFPTFLFAVFFVLNALIWGEKSSGAVPFGTMFILVLLWFGISVPLVFVGSFLGYRKPAAEDPVKTNRIPRLIPLQPWYMSPLISIPFGGILPYGAVFIELFFILTSIWLNQFYYIFGFLFIVFAILIVTCSEITIVIAYFQLCTEDYRWWWRAYLTSGSSALYLFLYSIYYFCSELEISKLVSGILYFGYMLIGAYAFFVVTGTIGFLACLWFIRKIYSAVKID >Solyc01g102720.3.1 pep chromosome:SL3.0:1:91331152:91332841:-1 gene:Solyc01g102720.3 transcript:Solyc01g102720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLTSSPAPCSRSWSISEDSLRRYVFYASENCIQELLSASDSKSCNDGWKILGVDNGVEIAKRRSGSLHTFRSRWLLKSVSPQQFITVANAIDAARQWDGDLVEARYIKDLEDNLSIIRLRFGENSKPLFRNREFIVYERRETMDDGTLVVAVASLPKEIAAGLHPKQNNAIRGLLLQSGWVVEKIDHDSCMVTYVVQLDPAGWLPKCFVNRLNTKLVMIIDNLKKQVLSSPTNSDDST >Solyc09g008840.3.1 pep chromosome:SL3.0:9:2258817:2266168:-1 gene:Solyc09g008840.3 transcript:Solyc09g008840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:K4CQR9] MHSNHLLLEEPIRMASILEPSKAGFFPAMTKIVGTLGAKSRSVEVLSACLKAGMSVARFDFSLGDTKYHQETLENLKSAIKSTKKLCSVMLDTAGPELTVVNKSEKPISLEANATITLTPDEGQEASSEVFPINFGGLSKAVKKGDTIFIGQYLFTGSETTSVWLEVDQVKGDDIVCIVKNSATLTGSLFTLHAAQIHIDLPTLSDKDKKVISTWGVQNKIDFLSLSFTRHAEDVREAREFLSKLGDLSQTQIFAKIENEEGLKHFDDILQEADGIILSRGNLGIDLPPEKVFLFQKAAVYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGTDAILLGAETLRGLYPIETISTVGKICAEAEKVFNQDLYFKRTVKFVGEPMSHLESIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFTGAFEARQSLIVRGLFPMLADPRHPAESTNASNESVLKVALDHGKASGVVKSHDRVVICQKVGDASVVKIIELEG >Solyc01g010970.3.1 pep chromosome:SL3.0:1:6567740:6572078:1 gene:Solyc01g010970.3 transcript:Solyc01g010970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGO7 [Source:UniProtKB/TrEMBL;Acc:K4ATU0] MEHTENSNKCNSKSTTAKICPNNNNNNNNNHYIAFGFHHNTNNNQYHETYPPLLPLPPLLPPLQLPFPQNHNFRSRTHLHKPSFNQNHPFLATPSDFKIQQISASKVLQRQNDVSKQKYGRRVRAAATTTESVVVARRPDSGGVEGPVISLLANHFLVQFDPSQRIFHYDVEISPHPSKDIARLIKKKLVEDHSVMLSGALPVYDGGRTIYSPIEFQNNKIEFYISLPIPSSGSNKSGEIVKLQKEGQQIKLFRVNIKLISKFDGKELNSYLNKEGDDGGSPLPQEYLHALDVVLRESPTEKCITAGRSFYSSCMGGQKDIGGGAVALRGFFQSLRPTQQGLALNVDFSVTAFHESIGVITYLEKRLDFLHDISHRKTRGLTNEEKKEVEKALKNIRVFVCHRETVQRYRIYSLTEEVTENLCFQDRDGKILRIVSYFKDHYNYDILYRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPRERKAIIDRVVTGLVGPTSGNHASDFKLQISKEMTQLYGRILQPPKLKLGDRGQVRNLIPSRHDRQWNFLDSHVFESTRVERWALMSFGGTSDQKSHVPKFINQLCQRCEQLGIFLNKNTVLNPQFEPLHLLNNVKNLESKLNKLHRASFNNLQLVICVMERKHKGYADLKRIAETSIGIVTQCCLYPNLGKISSQFLANLALKINAKVGGCTVALYNSLPSQIPRLFKHDGPVIFMGADVTHPHPLDDFSPSVAAVVGSVNWPAANKYVSRMRSQTHRQEIIQDLSAMVGEIIDDFYEELLKLPERIIFFRDGVSETQFLKVLKEELQAIRLACSRFPGYKPPITFVVVQKRHHTRLFPCELDPSTTKNTLFNENILPGTVVDTVITHPSEFDFYLCSHWGVKGTSRPIHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPISLVPPVYYAHLAAYRGRLYLERSDLSTLTRSSNISRAAPPKTTPLPKLTENIKRLMFYC >Solyc02g093630.3.1 pep chromosome:SL3.0:2:55056069:55066141:1 gene:Solyc02g093630.3 transcript:Solyc02g093630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPRGVFDSVWNFIRFLPYFISLLILGVLKGVIVFPIVLIILTVGNCSLILGLWPVHLFYTFYCIWSTKQLGPALKFLVGICALVILYLWPFIGIATSIIGGAAYGFLSPVFATFQAVDGRATNAFYHSIYDGTWDTVKGSLTIVRDLKDVLYHSYFSIMDDFRLQEPPDGKCYEIRVLYIPLALLAVELGLVVDMPMIMLIAACKFPYMLVKGWRRLFQDCIGREGPFLESICVPFAGLAILLWPLAVIAAFFGSILASVPLGAYAGVVVYQECSLWAGLCYIVASLSIYDEYSNDVLDMPEGSCFPRPQYRKKTASSTNSRADSLSRPDSFRNPPSSTNAINVPILELKPLELLDGLFKGCQRHGEIMVSQGVITQKDIEDAKSSKDSGQVISIGLPAYCILQTLIHSAKANSAGILLNDDTTEITSTNRPRDTFAEWFFNPLLIIKDQIKAGNLSDSDEEYLGKLVLLSGDPERLRDLNIGSPPESELRRAEFEALARRLRGITKSISRFPTFKRRFESSIKVILEELAKKNGDSRKSEDAGRTPRSKSMFVRMFSEKSFKNRNGKSDQEAQLVDTDRDVEIQ >Solyc02g090430.3.1 pep chromosome:SL3.0:2:52627878:52632625:1 gene:Solyc02g090430.3 transcript:Solyc02g090430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWWQSAFSSSSGSSSSSSSCSSSKVYSDDSLLASGGGIRYTIKSAFFFARKRRHARAKKLQNLTEHDGDDWRSHCSNDNLPSKYSSDRPPPQPLPLPELHLVLRHESDAVSNEPNVPLPSPSDAHLHHRTGEDSSTRKDGVASHGRLSSQDGRKKKKEHLGSRLSRKTPQKLHVADRASDIRISAPISAPTTPYASPGVSPLKAGDLLNHNYMAFPGAFQVCSAPEMPPSDTLQYPGFSYHVLPEKNAFSVDNSPHHSPRVSPQRSGKIASGPASPLHQLLPNENSTARRESSAQGNVHPLPLPPLGATPSHPTSIPPVPSNAELTPIKGQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVELLPDDPKSAESIRQLEQEINVLSHLKHPNIVQYYGSEVVGDRFYIYLEYVHPGSINKFIRDHCETITESIVRNFTRHILCGLAYLHSKKTIHRDIKGANLLVDAYGVVKLADFGMAKHLNGQAANLSLKGSPYWMAPELLQSVMQTDTTTDLAFATDIWSLGCTVIEMLNGRPPWSEYEAAAAMFKVLKDTPPIPETLSREGKDFLRCCFCRNPAERPSASMLLEHRFMRVSHQPDVSSFIKPVGVIRVKEKSNSQKEQTTYNLHQGRLSLER >Solyc06g071510.3.1 pep chromosome:SL3.0:6:44171361:44176388:1 gene:Solyc06g071510.3 transcript:Solyc06g071510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPQIVIFGDSITQQSFKLGGWGAALADTYARKADILNRGYGGYNTRWALFLLHHLFPLNAPTHPVAVTIFFGANDAALLGRTSERQHVPLEEYKENLRKMIQHFKKCSPSVLLLLITPPPIDEAGRFEYARSQYGDKAMQLPERTNEVAGEYAKQCVELAKELGLPSVNLWSKMQETEGWQKKFLSDGLHLTPDGNAIVYQEVIKVFDETSLSAEKMPADVPHHSTIDGKQPEKAFQLQCPAT >Solyc04g012075.1.1 pep chromosome:SL3.0:4:4397699:4400695:1 gene:Solyc04g012075.1 transcript:Solyc04g012075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGGNNGDVVVVPWIFDQEECRKALCRMVIIDELPFRFVEKEGFKQFMKVAQPFFHIPSRTTVTCDYFIPFDEEKRNLMAVFKETQQKVSLTTDTWTSIQRINYMVITAHWIDKNWTLHKRIINFCPITSHRGEDLGKSISKCLHEWGLHRIFTVTVDNAGSNSVAITELSKQLTNWETNLMGGSHLHIRCMTHTVNLILQDGTKEANVSIERVRQAVRYIRQSPARWKIFQECCEDENLVKKSLCLDVPRRWNSIYMMLSRVIEYEGAIVEYADRDIGLALYLSLLIKILQILLNLEKNFALMTCKIGGRKISSCIDHLFGITMKNLKKMKMDRGSQVAFSSFCPGDIVGEQCRGQVVILLSACKQHGNTEMGVRFANRLLILGPKYPSTCILLSNTYAGATPWDNVSKLRKQLANLEVRKEPGYNYKVYIKNYKPKNCH >Solyc11g006090.2.1 pep chromosome:SL3.0:11:876923:878506:1 gene:Solyc11g006090.2 transcript:Solyc11g006090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPWWTGQVGLQGVETSSSAGSPSLKKADLGVSMNDNSGGSGSHDEDRDHSDDPKEGAVEVATRRPRGRPAGSKNKPKPPIFVTRDSPNALRSHVMEVANGADVAESIAQFARKRQRGVCVLSATGTVTNVTLRQPSAPGAVMALHGRFEILSLTGAFLPGPAPPGSTGLTIYLAGGQGQVVGGSVVGSLVASGPVMVIASTFSNATYERLPLEEEEEGGGPAAQGQLGGGGGSPPGMGGSGGGQQQQGGGGGGMGDIPSSNMPVYNLPPNLLPNGGQMNHEAFGWAHGRPPF >Solyc08g023595.1.1 pep chromosome:SL3.0:8:27230128:27230527:-1 gene:Solyc08g023595.1 transcript:Solyc08g023595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVGRKVEFSHTESNRNYFSNANLARSHTDRRSTSGYYSLVGENLVFWKVRNKMWLLDLVQKLTIELWQWQHVNSYGSNNY >Solyc10g012210.2.1 pep chromosome:SL3.0:10:4615666:4621746:1 gene:Solyc10g012210.2 transcript:Solyc10g012210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELELDLRKILMKISDEKKNENEEYVNVDLKKSEFDAAADERLFNRIEDDHFVFSNRQSVKFDGKISMDLNECSTVKDVDDTSNVGHEFVSGAQSDDCGIVDIGIMKSSVVREDENNRKRKRESYTDLLRWVTNVARDPCDPAIESLPERSKWKFYGNDVCWKQVLLLRDEMLLKKSADTSAHCSLWQIKQKMHPSMYDDNASLERVRCSKRVLLAKNPAKKTHFSSVSSSSSPSDEDPIDGPADSSEESGLSLLWNQRRKRIPVGPQFQADIPKWRHEKCESDSKWLGTQIWPLDKQEQKRMLIERDPIGKGRQDTCGCQYPASYECIRFHLAEKRRKVKLELGSAFCRWKFDLMGEDVALSWTKQEEQKFQDIVKMNPLSTDKSFWNEIFKFFPNKSRETLVSYHFNVFLLRCRGHQNRTAASTIDSDDDEPQYGPRTNCFGRDPQFSIFCSPRKAHLDPR >Solyc03g062900.3.1 pep chromosome:SL3.0:3:34648008:34654459:1 gene:Solyc03g062900.3 transcript:Solyc03g062900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTYHTTETSLERKPKFSVKIAATGKTNTVPSTSERKDFNFRSNFRHNNIVQMENNLSARTTKASNSSMDVAVDVTGFARSSDIRLATKADPDETEYSSSFVDTSSENDNGSSDAEVESRFYDDSGLSSSFDGFSSLFPIRKKKLTSHWRDFIRPIMWRCKWAELKMKELQLQEAKYNREISAHDRKRHREFDQASLDESGSKSLPFIHPRHRKKAMKRRKRKRVEHGTDIATHMSTHNLFSYFENKRLDLDVIPPGDDISNAALAEQKTNGQDEFKIDDDLSILGSSNGYLEQILRKIELEHSRVHKLKDQLDTVMTKNAIKFSSSENLMSFDGQVSSIPSPTFSACNGDTTSAGGLYGTSQHVVDYDLGDFIMPDSAISSYGEAMPIPDIIESTVGLLSSVDVTQHQAQVGDSSERIVDNILIHNEVSEVGHILAINHDISFDKNQDVGNNAEEESFNPAPPASEANAAGKASTAQEQSTLKSRLASEIHFPKNKRKRGERKAGSGGWNRRMPGEPDSQ >Solyc01g095330.2.1 pep chromosome:SL3.0:1:86507524:86508906:-1 gene:Solyc01g095330.2 transcript:Solyc01g095330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNDQAFCFYIANPTFLFLSESFNGFTQADPAAGTLFRFNFSFFFLDNLFSSSLTLPERLDMALSCSFPDLINFSIISLNFSFSSIIFLLLSDRASLSQDTWLAVGDAGNMSIFFHSLSSFAFLPGTSIVTGSFACISKFSTCRCSTTTLCSFPSLS >Solyc09g098390.3.1 pep chromosome:SL3.0:9:72717676:72724267:-1 gene:Solyc09g098390.3 transcript:Solyc09g098390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEEVKGKRCGANLNSVFYAESYHPIQAGSIDGTDILPHDNAIYRALLGSNAGLYDPFGDPKAIGDPYSTLFVGHLSHLTTEHTLQKEMNKYGKVKNLRIVRHIVTGASRGYAFVEFETDREMRRAYTDAHHKVIDDSEIIVDYNRQRLMSGWIPRRLGGGLGGKKESGQLRFGGRERPFRAPLRPIPWDDLKRLGIPPPPEGRYMSRFQAPSPPRRARSLEDAGDSSHKHDKRSNHSHRQDRSISRERSSGRQERSMDMEDFSHRQRTHEKKRGDRRSPSHDYSSDRSSMDRSRHSRMRQKSSRDRQDMKSPSLDHSSDETSKYREQRRQSHNARSHGDDRWSPRTDDPFGET >Solyc02g080260.3.1 pep chromosome:SL3.0:2:45086221:45091492:1 gene:Solyc02g080260.3 transcript:Solyc02g080260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNNHQHLLDISSSAQRTPDNELDFIRDEEFDSNSGADNMEAPNSGDDDQADPNQPPNKKKRYHRHTQNQIQEMESFYKECNHPDDKQRKELGRRLGLEPLQVKFWFQNKRTQMKAQHERCENTQLRNENEKLRAENIRYKEALSNAACPNCGGPAAIGEMSFDEHQLRIENARLRDEIDRITGIAGKYVGKSALGYSHQLPLPQPEAPRVLDLAFGPQSGLLGEMYAAGDLLRTAVTGLTDAEKPVVIELAVTAMEELIRMAQTEEPLWLPSSGSETLCEQEYARIFPRGLGPKPATLNSEASRESAVVIMNHINLVEILMDVNQWTTVFAGLVSKAMTLEVLSTGVAGNHNGALQVMTAEFQVPSPLVPTRENYFLRYCKQHGEGTWVVVDVSLDNLRTVSVPRCRRRPSGCLIQEMPNGYSRVIWVEHVEVDENAVHDIYKPLVNSGIAFGAKRWVATLDRQCERLASVLALNIPTGDVGIITSPAGRKSMLKLAERMVMSFCAGVGASTTHIWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVSPKRVFDFLRDENSRNEWDILSNGGIVQEMAHIANGRDPGNCVSLLRVNTGTNSNQSNMLILQESTTDVTGSYVIYAPVDIAAMNVVLGGGDPDYVALLPSGFAILPDGPMNYHGGGNSEIDSPGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVEKIKGAVTSANA >Solyc07g062070.3.1 pep chromosome:SL3.0:7:65026189:65030803:1 gene:Solyc07g062070.3 transcript:Solyc07g062070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTPKQNFEEEKRDTVENMKGLATPLQSKYWHPTAQNNLKNPESFNLYSHPVQFNGKNNNPISRKVKPISCFASENQNQPILKESKPPLVVVGSANADIYVEIDRLPKEGETISAKTGQTLAGGKGANQAVCGGKLDYPTFFIGQVGEDAHGRLITEALESGGVCVDHLTTVANAPTGNAVVMLQSDGENSIIIVGGANMSCWPEELSYEDLEIVRSAGIVLLQREIPDFVNIQVAKAARNAGVPVILDAGGADSPIPLELLRCVEIFSPNETELARITKMPTGNFEQISNAVEQCYDMGVNQVLVKLGANGSALFTKGEEPLRQPIIKAAKVIDTTGAGDTFTAAFAVALVEGKSKEECLRFAAAAASLCVQVKGAIPSMPEKRAVFNLLQSA >Solyc01g010933.1.1 pep chromosome:SL3.0:1:6389421:6391807:1 gene:Solyc01g010933.1 transcript:Solyc01g010933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKMDGKWWEVGLDLGVVGPQTDEKLWETDGKWWEVGGAADGWEMVDDENGRVEKKIEVVVVDSHFFRQRRWCGVVAAEVNLKKNDGGGRRDQEWLKGRLMKPLLRQQKY >Solyc11g019960.2.1 pep chromosome:SL3.0:11:9836433:9859492:-1 gene:Solyc11g019960.2 transcript:Solyc11g019960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPNKPSRVFSFFNITAGIGGGGGGGGSVSGRRSNATDLQQPQLKLEPDREVYRPGDPITITIEIKNPTTSSSLLIEKLGFEMKGIEKLDTQWFSTTKPSPDFKKRRGEYVFMDNLAPALISNQIVSAGSSRKFMVRTILPSTMPPSYRGATIRYLYYVRSILSGKYLIMENGHFSEESIQDLAELETRIPLQLWVTQKSNGLQSEEGRSSGIVPASTLLLDVYWKEMDADTDWAKINETFDGVEEGDESSRDEVSSVSSYNPMKDNIHRTFGSSLSLRSSLARTSSKDLSYLEGRSSISSQLALPQIAVADVLYDSSGADALSPSQQLKSTKAFSKYDDSMVPSVSGMGESGAYLHDNWSPTFSAAEGFIRGRSYNIRLDDQVLLRFSPKNSESTYYFSDMIGGTLTFFHEEGSRRCLELSITLEMTETISRRYVHPSRRHAPSITKVHSDHHEVVADLIQTSFLFSIPMDGPMSFSTHYVSVQWALRFEFFTTPKNADLSRYEHPLLVEGREKCEWVLPITVHAPPGGAPAAQTRNDSLYTFRKVKMLGSITVEISHRQPLELAHPLVLQDLISERMNSNCVSIMDTTISVLTNKLRDASDWVTWISEAPFARAVVFGVNIGGHLFVEGLLLVVILFLLSQKSYKPPKRPLTKKEIDELCDEWVPEPLIPTITDEMKSEPPVLESAAGPHTTINGKEVINFTSANYLGLLGNEKLLETCTRALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSILYSYGLSTMFSAIPAFCKKGDVIVADEGVHWGIQNGLQLSRSTIIYFKHNDMESLRNTLEKITQENKQAKKLRRYIIVEALYQGTKENSGQIAPLDEIIKLKEKYRFRVLLDESNSLGVLGSSGKGLTEYYNVPVDKIDIITAAMGHALATEGGFCTGSTRVIEHQRLSSSGYVFSASLPPYLASAAVKAFDILEENPGLITKLRKNINTLFKGLKDIQGMEIMSDPLSPIVFLRLKKSTGSSKSDLKLLEDITDRVLKEDSVFVVTSKRSTLDKCNLPVGLRLFVSAGHSVSDMEKASKSLKRVAASLLTDQS >Solyc09g056070.1.1 pep chromosome:SL3.0:9:47312605:47314094:-1 gene:Solyc09g056070.1 transcript:Solyc09g056070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNSLQKKQASTLPMTTKSSLWEVKEHPTQRGLSFPCFVVPPPTSTPRLRGTTKRLSFPPFVARYADNLLLRILGCVDLLIEIQKHIAHFLQSGLNLWVDFAGSTTIAAWIAVEFLGTVIREVPLMKTPIQFLREMEKRLRAKHRIHIRAYHLRSAIISKITNLGNSILIKEMTKGMSGRGSLLDAVQLAETLGTTGVRSPQVRVLWGAVKHIRKGPREILLLHSSGQSKKAVGEGGGHWVRSISSKFPIQIEAPIKKILRRLWDRGLINRRRPWLIHVACLTNVSDGDIVNLFEGIAISPLSYFKCYDNVYHVPTIVHHQIRWSAILIPAYKHKSSARNIILMYSKDSNIVNQEGGKTLAEFPNNIDLGKLESGQDQNNNEHSTTSKRGESGL >Solyc04g080270.3.1 pep chromosome:SL3.0:4:64590838:64603774:-1 gene:Solyc04g080270.3 transcript:Solyc04g080270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAFAIENGGKFTKMDSGSSRNPVLTFLHQFSQRSMDISKTDRRTYHIPNSQFPSVSQVHINEVSKGIQKLNQILKACSNGLNFDRNSIEVGQDLLKGAMDLEESLRMLVNLQEASDHMIKPQNKNRITLLDEDEDEDAKIVDQKQLDLPRFSFDKPSKNSYVAKGIGKNDIKQRLMALSYPDQTPKLHEKQPLSRNKSMSHKRSASCAPDFRNLDQKNQLKGTKSGSEKGRISNVIAKLMGLDELPQKQDNKASRKGSDPKKKQEPVLMRSAGFIGTRDAENRSSLNVDKNMISDILPVQDAKFMRKAEITRASPSRNNDMDSSGRVQQQEERSIAVNKDTGTVPSGLPMINNMMDKPHSKNIQLNQFNFQQKQKEQNQTSVKGKIIKTVEIKETISQTKKLQTSRVPPIDIVLQEDIIQKETDKFPLSNEKKALAKDEVHHMQKLKKSEGQDEKHQAGKKEKLPSNKTMQARTHKANQVETISSPKSRSSAASLKKKQSSRNQSILGTKNPTKSKNGAPAKDSSNGINQALAKHRNSSTFVGMQSSENKNTDQNVLSKEVLSRSEKLNNISQSSKQEKPVNLPSTDRMDHHNKIHRTETSPKIDELSPTLQDTELQKDDKSCSGGAEQSTESQTREANADNIGSNEPDVSMEILDFQTELLGKIENSTSCNTIMEKECDNLTDSGTVISNENHQEKTPQEVEISMDQKVREDRPKILQATDQFNGIHQEASQNSKLFYDEQNKSFPAKFTGKGGIKISNVVRNDQETALTLVVQEPLTVPEKHFKETVIKNQLFLNTAEALFKLNIPISILHASDQNNQGEDVKLMIDCGYEIMKRKAIRQELAVHPYATISIGYTKTRSLDNLIKQLCNDFDTLKSYGGNEHMSDERDEADYLHNMIGKDMSNRNPDVNSMWDSGWSTEQIMFSFLEKDDVVKDVEKHLLNGLINEITMDLLRIAISV >Solyc04g051580.3.1 pep chromosome:SL3.0:4:50809678:50818745:-1 gene:Solyc04g051580.3 transcript:Solyc04g051580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPFALALDTKNSFATSSSATDASGRKMDNLQMVPKKFFASINNGEEKPFDFLRILFEGVIAGGTAGVVVETALYPIDTIKTRLQAIASQQAFYGFSRLLAPYVDRLEQRDKEMVARGGGQIALKGLYSGLLGNLAGVLPASAIFVGVYEPAKQKLLKMFPENLSAVAHLTAGALGGIAASFVRVPTEDIPFVNLLIALGFLTLQVIKQRMQTRQFASAPDAVRLIVSKEGFKGLYAGYGSFLLRDLPFDAIQFCIYEQLRIGYKLAAKRELNDPENAVIGAFAGALTGAITTPLDVIKTRLMTQGSANQYKSIVDCVKTIVAEEGPPALLKGIGPRVLWIGIGGSIFFGVLERTKRYLAQNRPDNATSKKD >Solyc05g052850.3.1 pep chromosome:SL3.0:5:63888544:63891679:-1 gene:Solyc05g052850.3 transcript:Solyc05g052850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKAEQNGYAAAGEGHGGDGGCSLVDAGDAMLNGGRGSRVSSGRVKEPWSPEEDAVLTELVNKFGARNWSMIAQGIAGRSGKSCRLRWCNQLDPVLKRKPFTDEEDHIIINAHGVHGNKWAAIAKLLPGRTDNAIKNHWNSTLRRRFAGVWRVKPIRSMMLDDSSIYKARALSEETMSCEGVNQTKPLEMLEVSRTECIPSQSENKAQIYEMCCIPEETPHFTSERSRPTSIGNSDPPALHPTQKIGAFNVYNPSVTSRIVPMQGPLIHTFSPDFDICKLLEGVSGETIVPQGCGHGCCAATSKSSSKSSLLGPEFVDYEEHPPLLSHELASMATDLNNIAWIKSGLEKAGKLSSLATSQRNSQRSSAQVGFG >Solyc06g063380.1.1.1 pep chromosome:SL3.0:6:40169634:40171127:-1 gene:Solyc06g063380.1 transcript:Solyc06g063380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEEEGYRFHPTDSELLTYLLRFITENNLCDNGFITECDVYNQQPWITYGYGRHCGGQDDGDTNNFRYFISPRHKKSDNRFSRHVANGLGTWKQRDKGKWIRSKQSKPLNMGLKKSLDYDTNICCPHDGKWLMKEYVFCEAILKKFKNSNYKDYCICAIKRKNSSNPSPSSNASTTDLNEHKLDTEVISGINSVEPRMDQAITPINIVEPVLRIQESLSDEEKINALLIEYNIDPELTKMRNEDYGMINHPINVVENPAMEAEVQGNGVSEFENTIPENNLPVHQQQGFIVDVNEDCNAYSEFQANNSSFVGLLTGYGDYAIRNNWELNTFSPIQEPMIPDFANVKSNITMPEIYGTLTEYMDADVLMNPENAFDFSKQSIVESNQVQITQEAAAGEANRLLGQTAPFFQIQEFVMPEMFDMGYTLLETQQEPKPQEECKTLCETEKSSFLDDLTEALLDDVPLNDRLNINRESKITLLLDQQSWESNAHLQDMLL >Solyc01g111370.3.1 pep chromosome:SL3.0:1:97555799:97560014:1 gene:Solyc01g111370.3 transcript:Solyc01g111370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEDPNKLSSTLADPTTQPLLSKPYPVDDSITPHQYPGQSSLDPDDQTQFLQISYNFGTRPFKDLPFLILFVLLVLCTFGFGIFASVHRNPHHSQISSFTYNITSSSCSIGSSTSESSSDFFSLYSSDSSFLKSLIWTLVVTLILSIPFMLFVLFLLKRYTKQIVYASLPFFVIVPVFLDIYWFVACTVSSKCSEDFPLAYRILVLFFVLLLIGLLVWIFVANWHRIELTIKIIGVASSALSSNLGLFGVLPSLTLGLLAYYAPIVVFLVFARLNGQVVPKEKHGEYYCVWKQDSWVPAYYTFAILTMLWSATSMIEAHVYVISGTIAQWYFSKDESGPKRSMRSALRNAFGPSSGTVCFSGLLIAVVRVVRSIVDNANQEDSGIVNLILRFCANTLLSAVEFVNKFTINFAAITGEAYCTSAKMTYELLKRNLLSPVFVETVSTRLLAGIIFVLSTIYAILVFVVVRAASNLDVESYLIAVLAWLLLMVILGFFVFVLDNVIDTVYVCYAIDRDRGEVCKQEVHDVYVHLPISRSHSSASYGARSPLLV >Solyc09g075110.1.1.1 pep chromosome:SL3.0:9:67351021:67351212:-1 gene:Solyc09g075110.1 transcript:Solyc09g075110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRSRASEMIDLVNFKQKWLNNIMSNKLKPRVTKMMHNVLFPSGEEIINDDHTITSRNQTIH >Solyc07g062180.3.1 pep chromosome:SL3.0:7:65116040:65120536:-1 gene:Solyc07g062180.3 transcript:Solyc07g062180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTLSIPRLPLLLHIQRPKFSFLTSKLQTPFDQFPHLSTLNPRHHQRSSMKAQASVSDLGIVERAIQLIQSSPPTWQSALLSNIIIFSLGSPLLVTGLSLSGIAAAFLLGTLTWRAFGSSGFLLVATYFVIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSINGVGGEAFTRLWELAFVASFCTKLSDTVSSEIGKAYGKTTYLVTSFKVVPRGTEGAVSAEGTLAGLLASVLLAFVGYLKGQVLSHDLTLKPLRSTMVQEDVIRILTRFFFQINVPGAAICVVAAQIANFGESLIGASLQEKEGFRWLNNDVVNVINISLGSILAVLMQKIILQS >Solyc03g117950.3.1 pep chromosome:SL3.0:3:68408218:68414680:-1 gene:Solyc03g117950.3 transcript:Solyc03g117950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSCSSPLSVNSTISFNRYVSVYPHRRCQSVLSLFPYYPSSSSHVATTATASAPCSTSSSSSTLFGISLSHRPSSSVHRKIKRSMYIVSGVFERFTERSIKAVMFSQKEAKALGKDMVSTQHLLLGLIAEDRSPGGFLGSRITIDKAREAVRSIWLGDSEDDTTKLGSQDSSSATSATDVAFSSSTKRVFEAAVEYSRTMGYNYIAPEHIAIGLFTVDDGSAGRVLKRLGANVNRLAAEAVSRLQGELAKDGRDPISFKRSREKSFPGKITIDRSAEKAKEKNALEQFCVDLTARASEGLIDPVIGRETEVQRMIEILCRRTKNNPILLGQAGVGKTAIAEGLAINIAEGNIPAFLMKKRVMSLDIGLLISGAKERGELEARVTTLIKEVKESGHIILFIDEVHTLVGAGTVGRGNKGSGLDIANLLKPTLGRGELQCIASTTMDEFRLHIEKDKAFARRFQPILVNEPSQADAVQILLGLREKYESHHKCRYSLEAINAAVELSSRYIPDRYLPDKAIDLIDEAGSKSRMQAHKRRKEQQISVLSQSPSDYWQEIRAVQTMHEVILASKLTENADASRLDDDSELHLQPASSSTSDQHELPLVGPEDIAAVASLWTGIPLKQLTVDERMLLVGLDEQLKKRVVGQDEAVTSICRAVKRSRTGLKHPNRPISAMLFCGPTGVGKSELAKALAASYFGSESAMLRLDMSEYMERHTVSKLIGSPPGYVGYGEGGTLTEAIRRKPFTVVLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALIVMTSNVGSTAIVKGRQNTIGFLLAEDESAASYAGMKAIVMEELKTYFRPELLNRIDEVVVFRPLEKPQMLEILNLMLQEVRARLVSLGISLEVSEAVMDLICQQGFDRNYGARPLRRAVTQMVEDLLCESVLSGDFKPGDVAVIHLDESGNPVVVNQSSQSIQLSDTNGNPVVTNR >Solyc06g072890.2.1 pep chromosome:SL3.0:6:45085685:45086939:1 gene:Solyc06g072890.2 transcript:Solyc06g072890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDSSSSSQSCERNETVRSRWNPKPEQIVILESIFNSGMVNPRKDETVRIRKMLEQFGAVGDANVFYWFQNRRSRSRRRQRQIQASLSAVSNSNEEQSARSSDGGFITVFINGVAAQVPRGPLDMKAMFGPEDLVLYHSSGVPLPVNEYGFVVQSLQHGESYFLVSSHQPQVAGGRVSNKNMN >Solyc06g072300.3.1 pep chromosome:SL3.0:6:44710986:44721105:-1 gene:Solyc06g072300.3 transcript:Solyc06g072300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRKRRTDVPGGAESSESHETGGGRGGVQRPPPQQQQGGGRGSGPQRGGYGGRGGGGAPRGGIAPQQSYGGPPEYYQQGRGTQQYQRGGGQPQRRGGIGGRGAPSGGSSRPPVPELHQATETPHQPVPYGRPAETYSEAGSSSQPPEPMTHQVTQQFQQIAVQPEAGASQAIPPVSSKSMRFPLRPGKGSNGTRCIVKANHFFAELPDKDLHQYDVSITPEVASRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGPLPFVQKDFKITLLDDDDGPGGARREREFKVVIKLAARADLHHLGMFLQGRQADAPQEALQVLDIVLRELPTSRYCPVGRSFYSPDLGRRQPLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIEFVSQLLNRDISSRPLSDADRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTMKAVVEYFRETYGFVIQHTQLPCLQVGNTQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERENDILQTVRHNAYSDDPYAREFGIKISEKLAQVEARILPAPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGTVNNWICINFSRNVQDSVARGFCSELAQMCMISGMIFNPNPVLPPVSARPDQVERVLKTRFHDAMTKLQPNGRELDLLIVILPDNNGSLYGDLKRICETDLGIVSQCCLTKHVFKMSKQYLANVSLKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEITKYAGLVSAQAHRQELIQDLYKTWQDPTRGTGIADFLPSSDWTEASENNILPVFMINPSMPAICNFCFPLGLKLVVFSPLRDGVSEGQFYQVLLFELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANNHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFSADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDGGSVTSGAAPYRGGVGAVGRSTRAPGVGAAVRPLPALKENVKRVMFYC >Solyc11g010920.2.1 pep chromosome:SL3.0:11:3970372:3978115:1 gene:Solyc11g010920.2 transcript:Solyc11g010920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIQFNPFEQNLETPFPDLPSNFEWEEKPLHQETAVSVMDHGKNFQFLADSMVCDSGSRLIPSGFTRSSCTEDLVLFVNAGSETSVELDSSLSFLADNFYQGGEPFQTEEFITEGGEHAFIYQSARLGNFCYQIDNLTPGNYFVDLHFVEIINVNGPKGMRVFNVFLQDEKVLSDFDIFSVVGANKPLQFVDSRVSIKDNGILLIRFEGIIGSPVVSGICIRKAPKASASQAEHDRLTCKNCATEIDFPSAQKKVARLQSTAKYENKIQELGELLKRKTDECYQSWMSYTAANQQLEKVRMELDNKTFHTYSLDQKFEEQAKTITEISTKYERDKNYWHMAINDLEMKVKKMKQEHSQLSRDAHECTDSIPDLNKMVSAVQSLVEQYEDLKMKYNDEQAKRRKLFNEVQEAKGNIRVFCRCRPLSKAEVSDGCSTVIDFDVAKDGELGILNGSSTKKTFKFDRVYTPRDDQGDVYADASPMVISVLDGYNVCIFAYGQTGTGKTFTMEGTKGNRGVNYRTLEELFKIVKERNETFTYDISVSVLEVYNEQIRDLLAPPTTSKKLEIKQAPEGLHHIPGLVEAKVENIEEVWNVLQTGSSARAVGSNNVNEHSSRSHCMLCIMVTAKNLIDGECTKSKLWLVDLAGSERLAKTDVQGERLKEAQNINRSLSALGDVISALANRSSHIPYRNSKLTHLLQDSLGGDSKALMFVQISPSDKDLSETISSLNFATRVRGVELGPVRKQVDTGEIQKLKTMLDKAKQETKSKDESLRKLEESLQNLESKAKGKEHVTKTQQDKIKELESQLNLKTSLHGQSEKQLSQLSERLKGREETCATLQQKISELENKMRQQRQFESESLNNKVKDLEDKLKEREQKFVSQSDILQHKVEELEETLKAKEQNAQECILLHQKIKELEDKIKDQEQQLARMVADSDATKSLRSSPLESSKCPSRDDLTNDIEQRILKSSNAINRQASQGSNLLKGKDTVQQVRRKRLSTNSEAENNGVLPTSIQDRTEQDYLQEARRKRLSRNGEVEKNVTPSISANDRRTRQSDPPRPVTRGMKPTTTTTTTNAQRPLIRNKTSRETVQAVKERDAKKRMWTR >Solyc05g047495.1.1 pep chromosome:SL3.0:5:59847756:59848061:1 gene:Solyc05g047495.1 transcript:Solyc05g047495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLYGPFLNRILNYWKEIIEKLDKVLFLINLIQLKHLAEFLKYLFVIEEENFGVADGILKVCSFRNLRNLEVNKKGKSLAGVKNKNLFHRGEVQD >Solyc05g048740.3.1 pep chromosome:SL3.0:5:60156545:60158418:1 gene:Solyc05g048740.3 transcript:Solyc05g048740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSDHKTTTTISSMNSSSSTFASTISTTPTSGLLSPKSSILLSTPPMNVVLSPCAACKILRRRCVEKCVLAPYFPPTDPLKFTIAHRVFGASNIIKMLQELPEEQRVDAVNSMVYEANARIRDPVYGCAGAICQLQKQISELQAEFAKAQAEILNLKCQNSNLLALVCMEVSENSSNSLLLSSDHINDYENNNDNTSMFLEDNNLYGAWEPLWT >Solyc02g067610.1.1.1 pep chromosome:SL3.0:2:38316483:38316806:1 gene:Solyc02g067610.1 transcript:Solyc02g067610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRILHKIEEKLHIHHKHGDDDIPAAQEIPVIKDESNVDKKEDDVVDDHKKRHLVKKVGKIAKKLLHGHNKTTKEEEEEAEAEAEAEEGEEVEAEEGGFEFELNFDF >Solyc08g044345.1.1 pep chromosome:SL3.0:8:21536328:21545843:-1 gene:Solyc08g044345.1 transcript:Solyc08g044345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATGSSSLPEPESYRHLVKEVRSHEVAIAELSNLPDSRAVYQRNGNLFFRTTAEKATMSEQKQVDMVKSKLQKLSS >Solyc03g121700.3.1 pep chromosome:SL3.0:3:71266030:71269838:-1 gene:Solyc03g121700.3 transcript:Solyc03g121700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSNKDQFDMSDLGGSLPAAAAALSAEDRAGLVNALKDKLQNLAGKHMDILETLTPPVRKRVDVLRELQSQHDELEAKFFEERAALEAKYQKLYEPLYSKRYEIVNGVVEVEGVDEAPMIQGDDNETENVPEKGVPNFWLTAMKTNEILAEEISERDEEALKYLKDIKWCKLDDRKGFKLEFFFDTNPFFKNSVLTKTYHMIDDDDDPILEKAIGTNIEWYPGKCLTQKILKRKPKKGSKNAKPVIKTESCESFFNFFNPPQVPEDDDDIDEDAAEDLQNLMEQDYDIGSTIRDKVIPHAVSWFTGEAAEGDEFEDIEGDDDDEDEEDEEEDDDEDDEDEEEEKSKKKKSGKAQAGEGQQGERPPECKQQ >Solyc07g053910.3.1 pep chromosome:SL3.0:7:62441897:62449159:-1 gene:Solyc07g053910.3 transcript:Solyc07g053910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVCGKPSSPVQNRRDRQKRSEFTKGTTVASRPLRAVSSKREESFRVKDKLVTGDVKLGLVDRKSNGSRKVRDDHYEQIKEKLGLIVNGCPVNGVVPKALEGELIAAGWPSWLSSVAGEAINGWLPRKVDTFEKLDKIGQGTYSSVYKARDLINNKLVALKRVRFDNMDIESVKFMAREIVILRKLDHPNIIKLEGLVASRSSCSLYLVFEYMEHDLTGLASLPDNRFTEPQMKCYMHQLLSGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLATFFDPHQSVPLTSRVVTLWYRPPELLLGASHYGVAVDLWSTGCILGELYAGKPIMPGRTEVEQLHKIFKLCGSPSENYWRKEKLHHSTAFKPLHPYRRRLGETFKDFPPSAVRLMDTLLSIDPELRGTAVSALESEFFTTKPLPCDPSSLPKYPPSKEIDAKLRDEEARRQGAAGVKNHFDEGHMRGSKEPRAVPAPDANAELARSIQRRQSSSNPKSRSEHFYPSKEAHCGFPIDQHKALQPSKEKGGNHLENHSERISHSGPLAPGFGWAKSGKKYDHDISVGSNRADLSKFSTLVASRSVITGDARDRFVASQLESGRQVERPVQLLDEHPRKQDWKRQMQNHASSRQLDNGRASIKEQHLRGHAHGHKGNSIHFSGPLLVQPNKVDQMLKEHDRRIQEAARRARLEKARAGKGQAQVMPRTTNSFYVTSLGSR >Solyc01g066770.3.1 pep chromosome:SL3.0:1:74764224:74767816:-1 gene:Solyc01g066770.3 transcript:Solyc01g066770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCILVQWMLAFKLNFHLFWIIIEVEIRMDGNKDEALRCISIAKEAIVSGNKKKALKYIGIACRLDSKLDVDDLLVACEKLDASTYDHPSEIGDVSSEKHVKSDEERNYTEEHVHLVTQIKSIKDYYAILGLEKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKVSKAFKCLSDDDSRRQYDETGLVDEFEFNQQYNLRRRRRRMDHEFFEDDFDDDEIIRAFFGQSEMFRTSYVYRSRTNVRHQRQNLGSSGPNLILLLQMLPFIIIFLLAYFPFSSPQQYSLQRNYSYQFKKMTDKYGVEFFVKSAEFYKNYPLGSPARENIEDNVIRDHKSTLGRYCHIELQRRQWNRNYPTPHCDRLQNFGVVDCVHGAIRWWELKICSIL >Solyc08g078600.3.1 pep chromosome:SL3.0:8:62491923:62500133:-1 gene:Solyc08g078600.3 transcript:Solyc08g078600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDFDGPSKVPTRQSRFAPKSSKLKPQPETKPKIETLPQSDSAISTKKEELTVHLAENRAVTGNDDVPLEGETTGNDLGEDEEQVTDSDHDEIVREIDVCINPSFDPSTQLYVFQYPLKAVWRPYELEERCQEVRLRPSTAEMEVDLAIDLDSKNFDRDSVHAATVKKQMLSTSWIPLPTCTSGYAVGVLIGDKLHLNPVHAVVQLRPSKRNLKESELKKNIITNNDEKSVENEDVKEKRPVGPSKKQNKSPGIEKDIGEHWLHLKYHGARSDISARYLQKMSMEEGSPVPFSMSPVDYLNAFCPGRPTDTDRLKILRTRLSQLPLEERVRIWLLEGPPIHRFDALMHLAPDNSADEIIRVLQIYAQLVQGLWVPKSSLVYGTNSGVEVLARNFVLYEFTKGILIKKSVFGRRPEFLKAATPALKSLAVERPDLNDWKLKEHPDKKFENLYGDVVREQQATWECLGKQINDVLPGGRTRPTMKNPINRNADITALPSGDKPTSSSLLRTSMSEEIREALPKALQEVFRTYKVCSFQQIRQGLRKLGVSKSYPPKGAPRKAITASVDVFDAPQEEIQAVINQVAVNIHGVYVLKSSPDNPQYDTLRKIVIDLFMAEGPSAKLKKASVTEAAKLQLNRDITNVEFLKVMKELCHSEKSAWVLRSGDGKPE >Solyc04g013200.1.1.1 pep chromosome:SL3.0:4:4495349:4495846:-1 gene:Solyc04g013200.1 transcript:Solyc04g013200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRGELERDVERDLEDEIKEGICQLALRLHRLYKHQEENNTKKSLSIDDHGTRDITRGTTTKALSEVNINIKMEGGTKIEIKETKKEAHRPRSNKVSSNMEGMVSTRLPKFDWTQSLRSGQTPITGYDKIDTSRKQIKNISSKNGQQNVKVIKSVGGKSTRGLK >Solyc06g082155.1.1 pep chromosome:SL3.0:6:48106214:48111832:1 gene:Solyc06g082155.1 transcript:Solyc06g082155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIHAVQNMSSVLVNTHLTPRPILLTRHGESLYNVRGRIGGDTAISETGELYAKKLANFVEKRLKNEKAASIQMGTDLQHLIEQSLGELGRAGRKIGLKAIIWTSTLQRTILTASPIAGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYDSRKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVVSHQAVLRALYAYFADRPLSEIPHIEMPLHTIIEIQMGVTGVQEKRYKLM >Solyc12g010840.2.1 pep chromosome:SL3.0:12:3772925:3778928:1 gene:Solyc12g010840.2 transcript:Solyc12g010840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPATTSFAISTSSSSAVSSSSKSLKRALSSNLGFLSTSSLSLKALRAKSYSYNVSSSGGPLSVRMVAAPAAVKAPMSLDFETSVFKKEKVTLSGHDEYIVKGGRDLFKLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEANSDIVVKIGLRKGSRSFAEARAAGFTEENGTLGDLYETISGSDLVLLLISDAAQADNYEEVFSHMKPNSILGLSHGFLLGHLQSLDLDFPKNISVIAVCPKGMGPSVRRLYVQGKEINGAGINASFAVHQDIDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVESLFRRYTENGMSEELAYKNTVECITGNISRTISTKGMLALYNSLDAEGKKVFATAYSASYYPCMEILYECYEDVATGSEIRSVVLAGRRFSEKEGLPAFPMGKIDQTRMWKVGERVRATRPSGDLGPLYPFTAGVYVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQALVAVDNNLPINMALMTDFVCDPVHEAIEVCARLRPTVDISVPADADFVRPELRQTVN >Solyc03g082810.1.1.1 pep chromosome:SL3.0:3:54100406:54101065:-1 gene:Solyc03g082810.1 transcript:Solyc03g082810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENENDWDLWAVVRSCGKRNNSVHDDMNVNNTSVLEDPTHVTDRVGDFTGLEHRHYFGLDEIISLSNNLNTTNSKIENQTETIPNTPLVVVEHEEKKKKKVRFSMQISSTEAGNTFSYRGKSTERYEILAEKLSEADQWRWRKYGMKRTGDSTFLKSYYRCNEANDCPARRHIQKSSTDPNKVIVTYRGQHNHPHIAMVHGSPNAAAPLEEPSFPSST >Solyc06g008050.3.1 pep chromosome:SL3.0:6:1915305:1917072:-1 gene:Solyc06g008050.3 transcript:Solyc06g008050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFNDHDLFSSTSRCVWVNGPVIVGAGPSGLAVGACLKEQGIPFVILEKSDCIASLWQKKTYNRLKLHLPKQFCQLPKFPFPQHYPEYPTKKQFIDYLESYAKRFDINPMFNECVQLAKYDKICKLWRVKTISPNGLEVEYICQWLVVATGENAEKVVPNIEGLKEFGGEVIHACDYKSGEKFSGKKVVVVGCGNSGMEVSLDLCNHNAQTSLVCRSSVHVLPREIFGKSIFELAMLMMKWLPLWLVDKILLILTWFILGNIEKYGLKRPKIGPLELKNTQGKTPVLDIGALEKIRSRKINVVPGIKRFSCGTVELVNGEKLEIDSVLLATGYCSNVPFWLKVRKCSI >Solyc02g090060.1.1 pep chromosome:SL3.0:2:52348311:52349694:-1 gene:Solyc02g090060.1 transcript:Solyc02g090060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSICQGGTRWDVSKIHFPCKKPCL >Solyc05g010270.1.1.1 pep chromosome:SL3.0:5:4431864:4432292:-1 gene:Solyc05g010270.1 transcript:Solyc05g010270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPKHPGGIEECNSNESGWTMYIGSPYREYNDEDDDEGTPMKGDDHVEDGGSDDSMTSDASSGPSHQGVVLCTNIEQIYGKHVEKDNRKFSSKEQLQQQKQAKKKLSDKNTKAAKEDSGHKAKSGKGYGYCRSTTRGKHVS >Solyc03g059295.1.1 pep chromosome:SL3.0:3:30681932:30682558:1 gene:Solyc03g059295.1 transcript:Solyc03g059295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDIFPLHLFLGIEVKYFQSGIHLDQRKDDFGKGCNSLAQNMVCMKLWEVLIIVGSLQYLTFTRADITIVVNLASHFIQSPNIEHLQGIKRILRYIKDILHFGLKIISKSLCWGGCTTSWILTTCYNIYLGANCICWTSKKQTTIARSSAEVEHRELTSTAAEMT >Solyc10g024490.2.1 pep chromosome:SL3.0:10:14851859:14855783:-1 gene:Solyc10g024490.2 transcript:Solyc10g024490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLNIEKMCEKEKEEYTFDGSVDKHGEPAVRAKTGRWFAGVLLLVNQGLATLAFFGVGVNLVLFLTRVMGEDNAEAANSVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIYVAGLVSLSLTSYIFLIKPNDCGDEDSPCGSHSTMSVALFYLSIYLIALGNGGYQPTIATFGADQFDEDHPQESHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWTIGFWASAGSAILALVLFLIGTPRYRHFKPTGNPLSRFCQVVVAATKKWNVEQPSRGDELYEGDEQDLPLNGNRRILHTQGFKFLDRAAIITSKDGGGNNQWRLCAVSQVEEVKCILRLLPIWFCTILYSVVFTQMASLFVEQGAAMKTALSGFHIPPASMSSFDILGVASFIFIYRRLLDPLVARLKKSSPRGLTELQRMGVGLVIAIMAMVAAGIVEHFRLKSAEKDCSHDCSNSSSLSIFWQVPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVSVVMKISTTDNVPGWIPGNLNKGHLDRFYFLLAALTSADFLVYLICAKWYKYIKFEERSVEKKNGEKQGDFRV >Solyc12g062165.1.1 pep chromosome:SL3.0:12:30779412:30779778:-1 gene:Solyc12g062165.1 transcript:Solyc12g062165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GHLRHIISSQGLFVDPEKVESIKQQAPPYTLKEVYSFLGLADYYRRFIHHYASIESTITDFLKRAQIAFETLKTKLGTTSVLALPNFNQEFQVETNALGKGMRHSISKRAPDHIF >Solyc11g056365.1.1.1 pep chromosome:SL3.0:11:45629044:45629166:-1 gene:Solyc11g056365.1 transcript:Solyc11g056365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGCCQSYQGLYGFLFREARGTGQKPRMGPGRERHKGNRVR >Solyc07g066510.3.1 pep chromosome:SL3.0:7:68050234:68053726:-1 gene:Solyc07g066510.3 transcript:Solyc07g066510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTPHDHDYYTTQGLFSNEFINSSLVTTNPLPQICNSQISPYEHEQVVMNINSLDHYVPPSISMMPWFPERLGVSDMTVPVLPPASSTTYAVENNNNNKGCIGQHHEGCESWDETTDHSFESNFWSSCPLATSNNWGSQRETSPKIKGTPSMKIGRYSEEERKDRILRYLKKRNQRNFNKTIQYACRKTLADKRVRVRGRFAKNNEDHQLLINGNIVNYHQHKDVCYDQIQMKHGDHYNEDNWLEEAITNFMYIPCSSYEGNFIS >Solyc11g010540.1.1.1 pep chromosome:SL3.0:11:3589581:3590705:-1 gene:Solyc11g010540.1 transcript:Solyc11g010540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQRFKAKDTHNAKFKTYLTASEYFLQQRNFEDCRNYALKAIEIDSNQTSPSQILAIANVLLLSTTINEHPNHYSILNLPLYTQNPQLIKTQFTNVTNLLNPNKNRYPFASEAFGFVLKAWSVLSNPTQKTRFDNDLKNRGEQKGSFWTVCPYCYYVYEFLKDYEDCCLRCPNEKCRRVFHGVPIVGPSPPPQVAEKGEYHCLGFSVLGSGTHPLWSPFVATKTNKNNVESGIAKKNNVDEFIEISDDDEDDNGGNEKSLGVEELFVETNGVKVDTGGDFKEKRRKMEGKSLNKVLGKGNKVKINEIVYNDDFETNENDVEFGNAGEKINENDVEPGNAGEKTTENTVEFFTRDDIYVMMQEEFDIGTLFLYE >Solyc08g082720.3.1 pep chromosome:SL3.0:8:65534716:65545125:-1 gene:Solyc08g082720.3 transcript:Solyc08g082720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKKKWSVTYTKHLKQKRKVYQDGFLELQSSSHKVMLYDDCEKLLCVKILKNDNDVKTGETLAFDSYLVDIGDPHGDYKPISVLNSTKLMKKEVAEESGLRHSGKRSAAADNRKSNLGKRIALASTLSPSQKIIRGSSDDLCPSISVKCNNMLISLVTVSDMMKFTA >Solyc01g107010.3.1 pep chromosome:SL3.0:1:94590270:94595892:1 gene:Solyc01g107010.3 transcript:Solyc01g107010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEASVGSEDPSSSRPWESYHTVFTNAKAGMEGVDKEKVQRIVYEMSKGSKYFENEEKKEAYTKQKIENMRIQYSKLTAQDISHHQKIADKRILELEATRDLSRIWLHVDMDAFYAAVETLCNPSLKGRPMAVGSMSMLSTANYEARKFGVRAAMPGFIARKLCPELLFVPVDFQKYNHYSNLTRKVFQKYDPNFLAASLDEAYLDITSVCKESGITSGEVAKELRESVHLETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLPNDRSAVVTFISSLPIRKIGGIGKVTERILKDVFGIATCEEMLQKSSSLCGLFSRSSADFFLSVGLGLGGTDTPQYRMRKSISNERTFSATSDEALLFQKLVDLSEMLSADMIKEGLFGRTLTLKLKTASFEIRSRAVTLPSYISSSEEILKHASKLLKAEFPVSLRLMGLRMSHFSEDKNGIPPDPTQKTLSSFIFSGDASGGKTSDYRPLVSNVCDNTFSVDENCCPTYCPETSCDLRVSSMENQASDSTYSCHAIGNINEELNETLVPQSSEPQPKVHEPTDTDHTIESDKVDSYVRQLEASSCDRWEVGVNCANDEAGSASNQKQLFLWVDDYKCSICGIELPPSFIEERQEHSDFHLAEKLQGEESGNHHRSFMPQQRAAQRGHTGSSSRQKKKQKPSPTASKYVPIDAFFVKTNQNF >Solyc05g005090.3.1 pep chromosome:SL3.0:5:86910:95610:-1 gene:Solyc05g005090.3 transcript:Solyc05g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEIMYGFNSTRDDYADKALMSPENLMMQTEYNNFHNYTNSSIMFGSDPIQLSSEQTLQNNIYRGNCCGGSGSGGDNNNNNDEDGSNIIKAKILSHPYYPKLLNAYIDCQKVGAPAGIVNLLEEIRQQNDFRKPNATCLCIGADPELDEFMETYCDILLKYKSDLSRPFDEATTFLNKIEMQLGNLCKDDDEEEEEELSCGDASSSMRRSEDNELKDRLLRKFGSHLSSLKLEFSKKKKKGKLPKEARQMLLAWWDDHFRWPYPTEADKNSLAESTGLDPKQINNWFINQRKRHWKPSENMQLAVMDNLSAQFFSSDVD >Solyc02g092330.3.1 pep chromosome:SL3.0:2:54063100:54067385:-1 gene:Solyc02g092330.3 transcript:Solyc02g092330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADPDNLSISSSELHDLLSKPSLSGIPLLVLGNKIDKPDSLSKQALTEQMGLKSITDREVCCYMISCKNSTNIDSVIDWLVKHSKSKS >Solyc08g044380.2.1 pep chromosome:SL3.0:8:21158801:21164706:-1 gene:Solyc08g044380.2 transcript:Solyc08g044380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPVQNTAVNMTKCGITDGGLLSRMNPQAFIRLSIGSLALRVPGITLNGAKSGRSALCFPCVCEIRLRGFPVQTATIPLVSSTTPDANNITSSFYLQESDLKALLAPGCLLKHHACLEIVVFTGHNETHCGIGIKRQHIGTFKLEVGPEWGNGKPVILFNGWIHIGKNKIGGAELHLRVKLDPDPRYIFQFEDKTKLSPQIIQLQGTIKQPIFSCKFSQDRVSPRDPLSNFWSSSFDGSELDVGKRERKGWNVKIHDLSGSAVAAAFITTPFVPSTGCDWVSRSNPGAWLIVRSDVCRPESWHPWGKLEAWRERGIRDSICCRFHLLTEGQELGDLLMSEILISAEKGGEFYIDTDKQVRAASSPGGSGDFAALSLAAGGFVMSCRVQGEGKCSKPLVQLAMRHVTCVEDVGIFMALAAAVDLSIEACRPFRRRLRRSASHSW >Solyc05g050650.2.1.1 pep chromosome:SL3.0:5:61637743:61638645:1 gene:Solyc05g050650.2 transcript:Solyc05g050650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IDRKTFHLSKLLWGNQQQQQGSIQQQRLRETILVQVS >Solyc08g075380.3.1 pep chromosome:SL3.0:8:59634440:59640833:-1 gene:Solyc08g075380.3 transcript:Solyc08g075380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFDDEDEPLVQFLESEIFTLSDQDEEIVEDIMDEGDVKRKGKRLKVEDGNDGFEGEEERVTKKMRVLVDEDESEYEDEIEGKEKREQDEEEEEEKREAKVMKIEEEEEDEEEKVKALLSSPSLSAPAEVECASSPPRMSQSVMDNNNSVASTSDNMSQVPSRIETGILSKVPPELLRHILKFLSPEDLVACTMVCKFLNFAASDESLWRWLYRMRWGLLLPTRKPRDCAWKKLYIQRDADDMMEFVRNTPTEFKEYYIQMQAAKRSQAPPPSQVNDDRIILDKTVADQVSTWKKSKGLGDKVVIDHVCSGETCTYYQIGDVYVCEKTGYVHVCDDTCKEVVSDPINGLLVCTISGHCFDRMLSPSEMELDGEQQQVGTTDEAEPFMGSGRFARAYLLGYNCDDEKELEDALRFC >Solyc11g012970.2.1 pep chromosome:SL3.0:11:5799516:5808300:1 gene:Solyc11g012970.2 transcript:Solyc11g012970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDHFHRLPVFFFLLSLSFSQSIHENEESDTPIHRFQQYLRINTAHPNPNYTAAINYLTNFANSIPNLHSKIIHLTPTSPLLLLTWPGSNPSLPSILFNSHLDSVPAEPHKWTHPPFSAHKTSDGRIFARGAQDDKCIGMQYLEAIKAIQMSDSKFVPLRNVHILYVPEEEVGGFDGMGKFVESKEFGELNLGFVMDEGQASPNDEFRVFYADRTPWHTVIKAVGMPGHGSKLYDNSAMENLMKSMEVITKFRESMFDMVKAGVAANSEVISVNPVFLNAGTPSPTGFVMNMQPSEAEAGFDIRMPPTADPELMRKIIEEQWAPAWRNMTYKITEKGFLRDIMGRPLMTLASDSNPWWSVFNEAVTRAGGKLSKPEILASTTDARFMRRLGIPTFGFSPMKNTPILLHDHNENLKDTVYLEGIKVYECIIKSLSSFEGSMNTNAMGF >Solyc05g049860.2.1 pep chromosome:SL3.0:5:60461754:60463179:-1 gene:Solyc05g049860.2 transcript:Solyc05g049860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERKERRLRIKWTPNVNKKFNEAIRRLGEKATAIPILEYMNVPQLTRKQVENRLQQYRDRMT >Solyc12g036830.2.1.1 pep chromosome:SL3.0:12:48402627:48402800:1 gene:Solyc12g036830.2 transcript:Solyc12g036830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQAKTLMFVQLNPEVGSHSETTSTLKFAERASRVELGAARSSKEGRDVRDLMEQVFF >Solyc08g006560.3.1 pep chromosome:SL3.0:8:1170558:1175197:1 gene:Solyc08g006560.3 transcript:Solyc08g006560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTMAAVQIFGISRMRQHQAAPTFRIMCSNTAKGAEEFSRLVNTGCKLVGCGSAVPSLRVTNNDLAKFIDTNDEWISTRTGIRNRRVLSGKENLTDLAIEAAQKALEMAEVDPKDVDLILMCCSSGDDRFGSAPVIQKALGCKNHPLAFDITAACSGFLLGLFSASCYIKAGGFKNVLVVGADAVSRFVDWTDRGSCILFGDAAGAVLVQACDIGEDGFFGFDLHSDGDGKKHLISTFKENETDDASNQNRSMTSFPPKCSSYSYLQMNGKEIFKFAVRVVPQSIEAALEKAGLAGSNSFDWLLLHQANQRIIDGVATRLEVPSERVISNVANYGNTSAASIPLALDEAVRGGKVQPGHVIAAAGFGAGLTWASAIFRWG >Solyc12g035500.2.1 pep chromosome:SL3.0:12:41208362:41254051:1 gene:Solyc12g035500.2 transcript:Solyc12g035500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFPDQIIYSMRRVIKLLNLPSLCHCYCYNFHPLCIVGFTDLVCQSGPGSWPSYVYAQSALYPMANSAAQDIFGIIPGDTDYRMFAQDFGDIPGLDIIFLLGGYFYHTASDTVERLLYASQLPGSIQARGDNLLRIIKAFTNSSNLQNAHQRKLRSAVNRSDNERAIFFDYLSCFLSRKQAMFLHCLPVVIFFLVPLLLRFPTWGLTYCFAAFYDFLKGMLCHAFAILLAIVFPVAFAVIRLLFAGQSMNWFSTPYLAFMMFIPSSLAGMLIPRMLWKSFPLTQDVSILKLSKEELVSEARFWGAFGLYSILTMVYLVVGLSGGFLTFVMSAFMLLAWISFRLSMKSFIVGSFRSTACYVIPLIPCIMYMVYFGGFLVAFVIEKMGMTGSLPPPFGYFIPDVIVAAIIGLVTSWSVGPILPVVAHWLARSSILHFLLHSSILALALSSQFFPYSTDAPKRVIFQHTIRNAGASKIMETTYDFAVVDSNTLPFVFKHAPEVANTLHINTELSFDAVKQSHQEEWMGIFPISSLFSRCMKFPAKGSDVSAEYNHFPHLTTNKPQESLSGGSRRIYLEFSLGSLKEVWVAVLNITGSLSSWSFADNVLPVPEKTGNGPPSYICRLSGAGDKNWTFWLEANSSESIKIDVAVVDQYLTESAAKLKAIFPDWVDVTAFSSFISAYVF >Solyc05g014085.1.1 pep chromosome:SL3.0:5:7794006:7796332:1 gene:Solyc05g014085.1 transcript:Solyc05g014085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCDSSSPYLLHLKPLKLLIGRQVISHNCVRSSVLPRTCLEVLGLPLLQNAYREDISVYSTRETMEPSSKERKIVTVEVPEKAFFDILVRSPIKSVLRNMLVSKGVASIITSTDFIESHMERSGRSYELVTFANDSKKYGYASVLLSEEDPDRSESVDLLNFEFLSTCRGLVLLVDNRSAVKQQLYVSNPAIKKTVALPIINESFYIFMAGLGFNAE >Solyc12g006090.1.1 pep chromosome:SL3.0:12:660713:662626:-1 gene:Solyc12g006090.1 transcript:Solyc12g006090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRLWGNMSSVTILLIFLHFLQGIYGIRFVIDKEECLSHNVHLEGDTIHVSFVVIKADTPWHSSNEGGVDLMIKGPSGELIHGFRDKTSEKYEFVAYKKGIYQFCFTNKSPYHETLDFDVQVVHYAYYDQHAKNEHFDPLLDHISKLEAALYNIQFEQHWLEAQTARQASLNEGMSQRAITKALLESVTLIGVCFLQVFLLQRLFENKLQKVRV >Solyc07g064960.2.1 pep chromosome:SL3.0:7:67035462:67042902:-1 gene:Solyc07g064960.2 transcript:Solyc07g064960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphatidate cytidylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CHH5] MHDHTMPSSPSTPTRIRRRRTQDVMVVVIQIIMTSELFNLLRRTDEDKCLPGFRQLNWYFFFTGMLFVYGRILSQQLVNTATIDEFSYKLVSKIVKYQMVFCYFLYIAGLVWFILTLKKKTYKYQFGQYAWTHMVLFVVFTQSSFTVANIFEGIFWFLLPASLIAMNDVSAYFFGFFFGKTPLIKLSPKKTWEGFIGGSVATMITAFLFANVLGRFQWLTCPRKDLSTGWLQCDPGPLFKPEYYSIAGLTRWFPLKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKIKDFGYYIPGHGGFTDRMDCQMVMAIFVYIYYQSFVPQDYSIDMILDQIVRNLSFEDQKTLYYRLGQIFRQRQMKNY >Solyc01g007070.3.1 pep chromosome:SL3.0:1:1601533:1605813:-1 gene:Solyc01g007070.3 transcript:Solyc01g007070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASYFHGNSEIQEGNDGLQTLILMNPGYVGFSEAQHHHAPPPPPQGGGGSNIVFFNSNPLGNSINLSHAPPPPPSQQQQFVGIPLATAAFTAPSQDSGNNNNNNESFSGLHGFLARSSPYGFYNPANDITAAREVTRAHQQQQQGLSLSLSSSQQPSFGSFTAAREIVSSPTGSGSASGIQQQQQQQQQSICSVPLSSKYMKAAQELLDEVVNVGKSMKSSNSTEVVVYNDVKKSKNMVDMDVQLDGVGPAEKDGAPTNELSTAERQEIQMKKAKLVNMLDEVEQRYRHYHHQMQSVINWLEQAAGIGSAKTYTALALQTISKQFRCLKDAIIGQIRAASKTLGEEDSLGGKIEGSRLKFVDNQLRQQRALQQLGMIQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKMMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEHEQNTLGQEKTSKVGEQNEDSTTSRSIATQDKSPGSDSQKQDNPASSIPMSNATSIPPIGMNIRNQSAGFNLIGSPDIESINVTQGSPKKPRSNEMLQHSPNSIPSINMDVKPNEEQMSMKFGDDRQDRDGFSLMGGPMNFMGGFGAYPIGEIARFSTEQFSAPYSTSGTVSLTLGLPHNENLSMSATHHSFLPIPTQNVQIGEPNHEFGSLNTPTSAHSTSNVYENFNIQNRKRFAAPLLPDFVT >Solyc07g065380.3.1 pep chromosome:SL3.0:7:67295863:67304417:-1 gene:Solyc07g065380.3 transcript:Solyc07g065380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYALARSRFRILRTNRRFLSTVLLQDQHLPPPPLPEPSSAAAAGAENKSWNFLKYSLVAALTGGAATAGYATYAYSLNEVEERTKALRTSANYTVGDSASGLDDYSEPSSDKLLPDLHPLEQHVFTIVLDLSETLVYSDWKRERGWRTFKRPGVEDFLERLAQFFEIIVYSDQQNMYVNPIVDSWIQSSVSGIIYVSGNALESSLQPENCVEIKPWKGDVEDTTLLDLIPFLESHGGDHDGDADSDSDLSEQSDKPHLRSRPLVLVKIWCLIIVFFATFIGGVSPYFMKWNEGFLVLGTQFAGGVFLGTALMHFLSDANETFGELTSKEYPFAYMLACAGYLMTMLADSVICFVYAKQNNNNNDVQLQDTENGKSNGAVAQGQSQVSDGRENDYSKAPLATASSLGDSILLIVALCFHSVFEGIAIGVADSQADAWRALWTVSLHKIFAAIAMGIALLRMIPNRPLLSCAAYAFAFAISSPIGVAIGIIIDATTQGVVADWIFAISMGLACGVFIFVSINHLLSKGYKPQKMVKIDKPHFKFLAVLLGVGIIAVVMIWDT >Solyc03g114510.3.1 pep chromosome:SL3.0:3:65969137:65979149:-1 gene:Solyc03g114510.3 transcript:Solyc03g114510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQRIRGLFTMTKKSPCSIQALFDLCKQTFAPSATSSPSSQAIHKLYSLLDTIGPEDVGLKDESREDERGHGLFGLNVFNRVDRWAQPITYVDIHEGQNFTMCMFCFPTSAVIPLHDHPGMTVLSKVLYGSLHVKAYDWVEPACIRKSERAGHPTVRLARLAVDKVVSAPHGTSVLHPKSGGNLHCFTAVTPCAVLDILAPPYLEAAGRRCTYYHDYPYSSFAHGDEIVDNGKEQEYAWLAVVDTPEDLYMRPGRYMGPDIQR >Solyc12g088020.1.1.1 pep chromosome:SL3.0:12:64509178:64510656:1 gene:Solyc12g088020.1 transcript:Solyc12g088020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLYLILSLILISTATTVAVDLESSPPTVCIIGSGIGGSSVAHFLRNYSSSVIGKIRIFERNVYVGGRTATVTLAGETFEAGASILHPKNYHTLNYTKFLYLSVRRPPSAESDSGFGIWNGREFVFKTLSFNSDLPIIKRLVSFANSVLIFFRYGFSLFRLNKFVDNTVDNFLKYYEDFESRPVFETVEEMLKWSGLYNLTRRTLQEELLDLHFSPRIIEELITVITRINYGQSISISGLAGAVSLAGSDSGVWSVEGGNWQLAAGLINYSNIEMHLDEEVESVSSIEQKYQLNTTKGKSYQCEITVVATPLDEVNIQFLPGISIPERKLQHTYTTFIRGLLNPAYFGLSSVSEVPQLVGTVETPDVPFSSISVLKQHNENDMSYKVFSRKPLDDVLLDQIFSVRNETIKIDWGAYPHYHAPEVFAPFILDGQHLYYVNAFENAASTMETSAVAAENVARLILSRLSGQKPASTVTLKSFGDDAYDVHADL >Solyc03g115950.3.1 pep chromosome:SL3.0:3:67010679:67012444:1 gene:Solyc03g115950.3 transcript:Solyc03g115950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPFFRLFISFLCFSYTINSFINAVPVTRSKSLVLLDISQEHNVLSENIILMDKTRREVLEAEEIINDYPGSGANNRHTPRPQLGRGCVEC >Solyc07g049790.1.1 pep chromosome:SL3.0:7:60325616:60328835:-1 gene:Solyc07g049790.1 transcript:Solyc07g049790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSSSAAVEQLQHSLHAFAAEIPCYFYPFLKPCGDDKHMECVRTTTLGVLNDLTKFDDPHGSHALCFFLESEVVPLCLKCIDVYDKKSQKLATLIVMNILTQESGLTYCSATPECFFSIVQVLRRVVEKLSLKTCLLHLEYVIQCYVCVSKIYRSIGPCDELIRQIPPQLFDNTFRRTLLHNHEASWMLQVLLSIFMDVYIFRQLKREKGLQRRLHQVMHQVQRVRERERSEVVL >Solyc01g102760.3.1 pep chromosome:SL3.0:1:91368119:91376813:1 gene:Solyc01g102760.3 transcript:Solyc01g102760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative alfin-like transcription factor [Source:UniProtKB/TrEMBL;Acc:C9EID2] MENTVPRTVEEVFNDFKGRRAGLIKALTTDVEKFYQSCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFGKSERKRLFQMTNDLPTVFEVVTGAAKQARDAAHNNSSKSKSSGKPRQPEPQPKEVKVSPPTMEDESGEEEEEEEEEQGATLCGACGDNYATDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRARV >Solyc01g067404.1.1 pep chromosome:SL3.0:1:75720433:75724783:1 gene:Solyc01g067404.1 transcript:Solyc01g067404.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMQSFASSNSGHSTGVGSSQSFFSGNGNNGGFRQRCTWPVIVHPEQYSQILHMINKGKDVDNVANVATTSTSGTLTTFMPSTSSYNWIIDTGASNHMVHHLDLLTKCTSSGINLPTGGQALISHIVLTVTNDFVHQSSSIALDVPTTTFGPHEQSQVSECVPDTALRRKDLQNRFEMKDLGDLKYFLGIKFSKIADSILMNQRMYELGLAGCKPVATPLEFNNNLTSNLFDECTGIKSNAEDKLLEDYSKYQRLIGRLLYSTMTKPDISFMVQVLSQYMHVPKHMEAALRVVRYVKGTIGLGLFMPNKKESKLVVFCDFDWGAYVETRKAVTGYIIKFGDAVISWK >Solyc09g005380.2.1 pep chromosome:SL3.0:9:291132:296556:1 gene:Solyc09g005380.2 transcript:Solyc09g005380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERADDVYTKDGTTDITKAPANKKKTGTWKACFFILGEEISERLAYFGTSTNLVNYLHQMLGLNNAAASKVVTNFQGTCYVSPLLGAFLADAYLGRYWTIAIFSIIYIMGMTLLTMSASIVGIKPSCHDGICHPRELEKTTFYIAIYLIALGTGGIKPCVSSFGADQFDDNDEVEKRKKSSFFNWFYLSISIGALIASSVLVWIQTSVGWGWGFGIPAVAMAIALMFFFVGTRFYRLQTPGGSPLIRMLQVLVASMRKWDVKPPADKSLLYETANEESNIKGSRKLTHTDKFSFLDKSAVETARDKVNGSVNPWRLCTVTQVEEIKSIIRLLPVWACCIMFTTAYSQMNTTFVLQGNTMDRYMGGNFKIPSASLIVFETISVILWVLIYDQLIIPFARKYTGHARGFTQLQRIGIGLVISMLSMVSAGVVEAVRLDYIKQKGYYDVKTIPMSIFWQVPQYFFIGCAGVFTLIGQVEFFYDQAPDAMRSLCSALLLMAAALGSYLSSFLVTIVTRNKKFGWITENLNKGHLDYFFWLLVILSIINFIVFLLVSRLYVYKKMDIESLKKFLEKEGGSYETSVDSMPSRFIEPFVCHGIKVDLVEPGRILCSFKVPLRLVNAGNFLHGGATATLVDIMGSAVVHTVGAPLTGVSLEINVSYLDAAYLGEEIELEAKVLRVGKSIAAVTVELRKKKTGKIVAQGRHTKYLAVNSKL >Solyc06g082460.1.1.1 pep chromosome:SL3.0:6:48303436:48304491:1 gene:Solyc06g082460.1 transcript:Solyc06g082460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHKFKFSDMMPNTWFYKLKDMSKTKNHKSPFSSSSTNKSQYSQPRSSFSYTRRSIRVDKIYNSHSYNFLDQPRRSSSSSSKKKSKRKTIYKPSPKHIPSSVTNYVSVSNKLNTSSSVYSTEEDKFPELDFLNSPSSEFDSVDSQTFNELPSTWPNSCNCHFTSSATDIIIDVNDKALSNEFHNLTTEYAEFSDIDQLPPIFTKASNSIKNIKQDENVKAQREKEPKNRVGSPVSRKHYSSSSGVKLRTNSTKVANKRNSVSSSKRRSKTKKESCSASRGTSFAIVKASIDPEKDFRESMVEMVVENNIRASKELENLLACYLSLNSNEYHDLIIKAFEQIWFDLSDLHL >Solyc07g016150.3.1 pep chromosome:SL3.0:7:6352568:6355804:1 gene:Solyc07g016150.3 transcript:Solyc07g016150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFHNLNSDSGLKKLDEYLLARSYITGYQASKDDITVYSYLAKSPSAEYVNASRWYKHIDALLRISGVSGEGAGVIVEGSAPITEAVATPPVADSKASAAEEEDDDDDVDLFGEETEEEKKAAEERAAALKASGKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVHMEGLTYGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIENHLTVEPINEYVQSCDIVAFNKI >Solyc04g025570.2.1 pep chromosome:SL3.0:4:21821862:21823449:-1 gene:Solyc04g025570.2 transcript:Solyc04g025570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVNLCCFSAISIGEVEKIWNIGEWISPSWSHLRTPQEANKNSRQVSPNNLLPEGGRQTTLGSSSTSLSGRFATSSARSAAVSALIPPPPLRRVD >Solyc08g006650.3.1 pep chromosome:SL3.0:8:1236934:1242607:-1 gene:Solyc08g006650.3 transcript:Solyc08g006650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGKAVMGMGRRWAVDFTDNSTSSPSRDIPDPLGFTRASQDQDDSTLSREKKNAEANWKSQKAWEVAQAPFKNLMMMGFMMWMAGSTVHLFSIGITVSALWQPVSALQGVGKVFEPYKDSKVDLLGPKLVFIALNLVGLGLGVWKLNTLGLLPTHASDWVSSLPPAQEVEYSGGGFF >Solyc09g005410.2.1 pep chromosome:SL3.0:9:301063:301559:-1 gene:Solyc09g005410.2 transcript:Solyc09g005410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANKDVFHDVFTNKPHHVSTMFPLHVQGFDLLEGDLGTVGSKICWTYTLDHENKVLTLKEFEGDVVDIYDSFKATLHIETKDEINLITWTLEYERPNDNVPELISLLNFIVGMTKAIDDHHAN >Solyc09g031590.3.1 pep chromosome:SL3.0:9:29776215:29790871:1 gene:Solyc09g031590.3 transcript:Solyc09g031590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGKEDSMENLSLEDDEKFTSISKSYSNYRSAMTCLSDSHHPLSPSIVDDSNDPLLVSSIQSSAIDDHDVEQFQNPTIISPPLNLEYLNITVSDPHKEIQASPSIVPGTNAYVTYLITTQTNLPDYGGTDFTVRRRFKDIVTLSERLSEGYRGYFIPPRPDKSIVESQVMQKQEFVEQRRLALEKYLHKLAAHPLIKKSDELRVFLQVDGKLPLPTTIDVASRVLDGAVKLPKQLFGESGNLIVPQDVVHPAKGGRDLLRLLKELKQSVANDLGASNSSIDEEDKDFLKNKERLHNLELQLSNASKQAESLVKAQQDMGDSIGELGLTFIKLMKFENERATVNTQRERAADMKNVATAAVKASRLYRELNSLTVKHLDILHEHLSLMLGIHHAFSDRSSALLTVQTLISELSSLNSRAEKLETATSKIFGGDKSRIRKLEELKDSIRVTEDAKSCAIREYERIKVFDIKPLCLKIIKVR >Solyc03g026190.3.1 pep chromosome:SL3.0:3:3609595:3617356:-1 gene:Solyc03g026190.3 transcript:Solyc03g026190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRVAGGMAHSSSSSGIFFQGDGQSQVAGNSHLTSSFGNSSNSLPGNARSSLGPLSGDVSNTVLNSVASSGPSVGASSLVTDANSGLSGGPNLQRSASINTESYMRLPASPLSFSSNNISVSGSSVMDGSSVAQQSSNQDPNSQQPQHNQQLHGTSSATSLPTSRVGQVQLANGQGLRVPGSFIQDPVALSQMQKKPRLDIKQDDVMQQQVLQQLLQRQDPVHMQNPSPQLQALPVSGMKRPSDGVLCSRRLMQYLYHQRQRPSDNSIAYWRKFVAEYYSPRAKKRWCLSLYENVGHHSLGVFPQSTMDAWHCDICGSKSGRGFEATFEVLPRLNEIKFSSGVIDELLFLDFPRECRFPSGLMMLEYAKAVQESVYEQLRVVREGRLRIIFTSDLKILSWEFCARRHEELLPRRLVAPQVNQLVQVAQKCQSTLTETGPDGVSQEDLQANSNMVVTSGRQLAKSLELQSLNDLGFSKRYVRCLQIAEVVNSMKDLMDFCSEHKAGSIEGLKSFPRHDNTAKFQMQNIQETEQQVGNIQGLPTDRSALNKLMSLHPGLNNQISNNQQMGGRGALSGSGQAALSLSNFQNSLMRQNSMNSNTNSTQQDASSSFNNSNNSQSSLLQGSNGMLPGTVQNLPVSGLPSTSLQQQQQQLLSSGLLSQSQSQSSQGSQALQQQMIQQLLQDMNTNNGGSGVQQQCLSGQSGGGSASREGVAFGNNGSGVQQQCLSGQSGGGGSASREGLAFGNNGSLAAATSSHGPGSSLGPTPSRIYSFKSASNREPSPLVGNSGFSQKAPDLPRSYSFKSGSNCEPSSSAGNSGFSRKGPDLPTNMHVSDDDILTPEMVQEFAENGFLSSDLDNNMSYPGWKG >Solyc11g008470.2.1 pep chromosome:SL3.0:11:2646399:2664540:1 gene:Solyc11g008470.2 transcript:Solyc11g008470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVSEHSFYIESDEEDDHQEKHLVKSENGDGNEQSMDIYSSVPSPSLTFLGTPSLTRLGSSFLASSLTRRHTPEVLPSLHKPLISQAEEDQPPQRRSSHTLLPPLPSRRSAIKKFPDEKSVAHELPVSRQSSYGQGVLNGINVLCGVGILSTPYAVKEGGWAGLSILFIFGVLSYYTGILLRYCLDSQPGLETYPDIGQAAFGTVGRIIISSCCVEYIILEGDNLSALFPNAHLNLGGCQLDARHLFALITSLAVLPTVWLRDLTVLSYISAGGVIASVMVVICLYWLGLVDHVGTQSTETVLNLSSLPVAIGLYGYCYSGHAVFPNIYTSLEKRSQFPAVLFTSFAIVTVLYAGAAVMGYMMFGDSAQSQFTLNLPTDLVASKIAFWTTIVNPFTKYALTMAPVAMSLEELIPSNHTKSHMYSILIRTALVMSTLFVALKIPFFAFVMALIGSFFTMLVVRVQVLENHDGESSVQDLDDSPASIELQHISDEPQFDRVIAEAQQLDESIVILWMANWCRKCIYLKPKLEKLAADYFPRTRFYSVDVNNVPHKLVVRAGVTLWRDGKKQAEVIGGHKAYVVVSEPPLTAGVPPSHGGVCQFLPAFTLELPAIDGRERSVDSERWEDDMEESGYDKSKDSSSKHRSSKDKDRKSSSGRREEKEHRRDREKSKELEKDRSSTRDRRKEDRRDRGKDRERDSERGRDKERDRDTDRERDSERGRDKERDRDIDRERDSERGRDKERDRETERERSRDKDRSSRRQRDEGHDRSKDKDRRKDEDSDYRYAAKQEIVVSHEDEERSHNNAVETGGAQSAAAASELEERILKMKEERLKKKSEGASEVLAWVSKSRKIEEIRNAEKEKALQLSKIFEEQDKMNEEESDDEENARLAAKELGGMKVLHGLDKVVEGGAVVLTLKDQSILAGDDVNQEVDVLENVEIGEQKRRDDAYKAAKNKTGIYDDKFNDEPGFERKILPKYDDPAEEEGVILDATGGFSLDAEKKLEELRRRIQGPSSINRMEDLNSSGKLLSDYYTQEEMVQFKKPKKKKSLRKKEKMDLDALEAEAKSAGLGVSDLGSRNDKTRQQEGLAKTFPESIASLAASRANDSMVDNSSSASGEAQENKVVFTEMEEFVWGLQLDEEEQKPGSDDVFMEEDVLPKPSDEELKSEDGGWTEVKETKEEEPSVKEEEMEVTPDDTIREVPVGKGLSGVLKLLQERGTLKEDIEWGGRNMDKKKSKLVGIRSEDGKKEINIERTDEYGRILTPKEAFRLLSHKFHGKGPGKMKQEKRMRQYQEELKIKQMKNSDTPSQSVERMRETHAQTRTPYIVLSGHVKPGQTSDPRSGFATVEKDLPGGLTPMLGDKKVEHFLGIKRKFEPGEGSSQKKPKN >Solyc12g006140.2.1 pep chromosome:SL3.0:12:693028:694539:-1 gene:Solyc12g006140.2 transcript:Solyc12g006140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4DBF1] MATSAIQHSAFAGQTALKSQNEFIRKIGSFEGGRVTMRRTVKSAPQSIWYGEDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFARNRELEVIHCRWAMLGALGCVFPEILSKNGVTFGEAVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGFVEGYRVGGGPLGEGLDKIYPGGAFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPIENLSDHIADPVANNAWAYATNFVPGK >Solyc06g074915.1.1 pep chromosome:SL3.0:6:46563343:46564397:1 gene:Solyc06g074915.1 transcript:Solyc06g074915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNRNSSLSKLEMKRSPCCDKINVKKGPWSPEEDAKLKEFIEKYGNGGNWII >Solyc06g069340.1.1.1 pep chromosome:SL3.0:6:43206061:43206534:1 gene:Solyc06g069340.1 transcript:Solyc06g069340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSQFFGEECNSSESGWTMYIGSPSTGDENIGDFDELEESNYNKEGRVNEDDEDCETDDSMASDASSGPISNFSRNAKSGGVDTMVNFKNQKEKGKEKNCSFISNKAAKSSMNNGYKNGDQDKVKEPIVAAKGIKGASNDGGNKVRKTICMGKGK >Solyc09g074113.1.1 pep chromosome:SL3.0:9:66233506:66248971:1 gene:Solyc09g074113.1 transcript:Solyc09g074113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWEMVGLWRYPIPGFFLLAQFFLGILVAVGNLVNNSVFLCLSDEENQSSNDSFSEEVKEETEVLIVATVAWGLRKCSRAIMLILIFIIATKPGFIHAVYMIFFFVYLLSHDINEKMRQSLILLCEAHFAVLYILHLNLISQTLEHKSSWSMAVLSQLGLLQSDSYYDFLEIAVLACFCAVHNHGFDTLFSFSAIVQHTPCPPVGFSILKAGLNKSVLLSVYASSTNRDHNPDHSHEKRIASYLSAIGQRFLSVYRSFGTYIAFVTILITVYLVTPNYVSFGYIFLLLFWIVGRQLVEKTKRRLWYPLKLYAISVFVLIYSLSIFPTLEAWMSKSLDIKVYLGYNHGASLFENVWQSLAIVIVMQMYSYERRQSKFIHSEDPGPSQFGIFGFIRRFLIWHSQKILFIALFYASLSPISAFGLFYLLGLVLCSTLPKASRIPSKLFLIYTGFIVATEYMFQMWGKQAGMFPGQKHYALSVILGLEVYRPSFWGLEAGLRAKVLVVAACTLQYNVFHWLEKMPASLLNDNRSEEPCPLFVSEEDVMPLVPDGENKPVADSNEFSTQGMRTSSKSCPYFDQSLYQSSDGVSSSRGVSEYRSRSKYSFGSIWGSRKESHKWNKKLVVSLRKERLVMQKTTLKIYLKFWVENMFNLFGLEINMLALLLTSFALLNAVSLIYIALLASCVLLERRIIRKVWPIFVLLFTLILLLEYFAMWKSLMPLNQHRPNQTVHCHDCWSSSDAYFDYCKKCWLGFTVDDPRMLISYYVVFMLACFKLRADRASSLSGSFTYRQMVSQRKNSFVWRDLSFETKSMWTFLDYLRLYCYCHLLDLVLALILITGTLEYDVLHLGYLGFALIFFRTRLTILKKKNEIFKYLRIYNFTVIVLSLAYQSPFIGDFNAGKCETVDYIYEVIGFYKYDYGFRITSRSALVEIIIFVLVSLQSYMFSSPEFEYVFRYLEAEQIGAVVREQEKKAAWKTAQLQYIRESEEKKRQRNLQVEKMKSEMLNLQIQLHSTDAISAATRGETSPPSEGLKRRRNFSAPNLEERKPDKLEMNVNSDSFFTHDFPESPNSTREESPLAAELMKHPIETSLCEISEVEEDAGDNALNLDKNNKRKGQSKDNPLVSAVQLFGDGVSQVQSIGNQAVNNIVSFLNIPPDDSDSNETSTAGDGISYEREGENTLYTHLDRSTSLQSDRSRTSEAASLQIGRIFYHIWFQMRSNNDVVCYCGFLLVFLWNFSLLSMLYLAALFLYALCVNTGPSYIFWVIMLIYTEIYILIQYIYQIIIQHCGFSIQSTTLQELGFPTKRITSSFVISSLPLFLVYLFTLIQSTITAKDGEWFSLGYSTWKSRLLDPKEDIVASGWIEKAKKLFLPFKNMVKMVIRGCCRYWKSLTQEAESPPYFVQLSMDVHTWPEDGIQPERIESGINEILRLMHDGRCKNRKPSSCSCSSRVQIQSIEKSSENPKIALAVFEVVYACPLTECPPEQFKSLTPAADIANEIRGAQTKGVVEEVGFPYPILSIIGGGRREVDLYAYIFGADLSVFFLVAIFYQSVKKNKSEFLDVSQLEDQFPKDYVFILMAIFFLIVLDRIIYLCSFATGKVIYYISNLVLFTYVVTEYAWNIDAQQSAAGLALRAIYLTKAVSLALQAIQIRYGVPHKSTLYRQFLTSKVAQINYLGYRLYRALPFLYELRCVLDWSCTKTSLTMYDWLKLEDINASLYLVKCDAVLNRATHKPGEKQTKMTKFCNGICLFFILICVIWAPMLMYSSGNPTNIANPVNDVRVQLDIKEKSGGRLTLYQTTLCEMIPFNQLHDDLNLDPNGYLYAYNINDIQLICCQPDANTLWLVPDVVQRRFILSLKDMEVKFSWVLTRDRPKDKEVVKYERTLDPVDCPKPWEVKEVLNGSTNSFRASNIYPRYIRVTGSGEVRTIEEEANGVSADIILNRGVSEWWSFHDINSLDIKGCGGLRGPMAIIVSEETPQGLLGDTLSKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELVVEEILYWTLVKIYRSPHMLLEYTKSD >Solyc04g080000.1.1.1 pep chromosome:SL3.0:4:64389169:64389939:1 gene:Solyc04g080000.1 transcript:Solyc04g080000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKTSIVSSSSSKPSNPNPKNRDFLIHLEAYLAKRDGVDKLLKISRYASKIILASSVIPDSLPLSQRLKSFESSVGVSRKAFRLGKFVQDVNALRSANISSKEDLLLSILAYGGEGLYYFVEQFIWLGKAGLIDKKNLNSLQKISAWCEFIGYIGSVSLKVKELRQISEDEQCLLSTREVSMIRGIGFADEEEKLRKLRLKKLMKRLSVIQDFADGLMALADISDGKGMLSAPLLLSSAGLLSALISTHKNWISC >Solyc08g023440.3.1 pep chromosome:SL3.0:8:27934187:27940103:1 gene:Solyc08g023440.3 transcript:Solyc08g023440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPSFLTSLATSFILFVILMFLFTWLSRKSGNAEVYYPNRILKGMNPVEGGYMTRNPFAWMREAISSSETDIINMSGVDTAVYFVFLATALGIFVFSGIVLLPVLLPVAATDHTIRTVNTTSKGTFNELDKLSMGQVGNSGARLWAFIVATYWVSIVSYLFLWRAYKHVAELRAKALMSPEVRADQFAILVRDIPSVSESQSRKEQIDSYFSAIYPETFYRSMVVTDNKKVNKIYEELEGYKKKLERAEAIYAESKNTKPDALKPSHKTGFLGIIGEKVDSIEFYNDKIKELIEKLEAEQKVTLKEKQQSSALVFFNSRVAAASASQNLHAPIVDTWTVIDAPEPRQLIWTNLSKKFYERIIRQYVVYAVVFLTIFFYIIPIGFISALTTLDNLVKLFPFLKPVVKLEVVKTVLEAYLPQLALILFLALLPKFLLFLSKAEGIPSESHVTRAASGKYFYFTVLNVFIGVTLGGTLFTSFKSIEHDPNSIFRVLAKSLPQNATFFLTFVALKFFVGYGLELSRIVPLIIFHLKKKYLCKTEAEIKEAWAPGDLGYATRFPNDMLIMTIVLCYSVIAPIIIPFGVVYFGLGWLLLRNQALKVYVPSFESYGRMWPHIYTRMIATLILYQVTMLGYFGVKKFKPTPVLFPLPIISLIFAFICQKKFRRFFTSPALEVVSHELKEVPNMEIVYRSFIPPCLGAGKPDEHQFEDALSHVSKTGSSSV >Solyc01g104370.3.1 pep chromosome:SL3.0:1:92659215:92659971:-1 gene:Solyc01g104370.3 transcript:Solyc01g104370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGEIASTYACLILHDDDIAITAEKISAIVKAANVTVEPYWPLLFAKLAEKKNISDLIMNEEPKEESDDDMGFSLFD >Solyc03g112130.1.1.1 pep chromosome:SL3.0:3:64114247:64115203:-1 gene:Solyc03g112130.1 transcript:Solyc03g112130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSIPACFSAGEKLSDDHAAVTRSGQSIFMSVYRTKIADQCRLITVTWCKNLLLHGLSVSVDGSSGDGQYTCKVELKPWYFWRKQGSKHFLVDSKPVDIFWDLKAAKFNGETEPSSEYYVAVVCDEEVILLLGDLKKDAYRKTGCRPALIEPILVSRKEHVFGKKKFLTRVKFHDKGRMHEISIECKNRINSSGISIDGVDPEMEIRIDGKLFIHVKHLQWKFRGNESIHLNKVRIEVYWDVHDWIFNPGLRHALFIFKPVLLSTSPSSVSELSSPPFSSSTSTPLSSQTGSSGSIEGLNSNSSSDFCLFLYAWKVE >Solyc01g008050.3.1 pep chromosome:SL3.0:1:2134653:2136603:1 gene:Solyc01g008050.3 transcript:Solyc01g008050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTINPLKDQPHSIPLRKLLLWALYALLPIITFYHLLAFPFPQSKQSLVSSSTPSKGNASVEISCDYSNGNWIQDKLGPLYTKCNTIKEGQNCITHGRLDNDYLYWRWKPNNCQLPRFDPKKFLQLFKNKNLAFVGDSLARNQLESLLCMLSTFSPHDLVFSHGEENKFRKWHFPSHNVNISIYWSPFLVKGVEKSDKTYYNTLYLDSVDDKWANDLGQMDLVVFSIGHWYLHSAVYIYGDKVLGCHSCPGLNYTEVGFYDVYGKAFETTFKTIIDRRGSGKNTLDVIVTTFSPAHFEGEWDKYGACSKTKPYDAKEKEKLEWMDAEMRETSIKQVNIAKKEGREKYVRFEAVDVTKLAYLRPDGHPGPYMHPFPFANGIQERVQNDCVHWCLPGPIDTWNEILMQVMIKKMDMIVV >Solyc06g035680.2.1 pep chromosome:SL3.0:6:24798615:24799548:1 gene:Solyc06g035680.2 transcript:Solyc06g035680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQNMRVANFVVKKPMVLGHECAGIVEQVGSQVKFLMIGDRVALEPGSVNYAKTATTISVVVHPAHLCFKLPDNVQCVKPLSVGVHACHRANVGPDTKLIIIGAVPIGLLTILAAHAFGSPQIVIIDVDDCCLSFAKEMGADEIIKVSSIVQDVEEEVVRIRNAMGGPVDLSFDCVDYDTTLKATHVGGNVCLVGLGQSKMTLPLTSAAA >Solyc11g005790.2.1 pep chromosome:SL3.0:11:643117:647766:1 gene:Solyc11g005790.2 transcript:Solyc11g005790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFGRSLSHKQRALSVSSPRLFDKSVSSPRFSRKSEVSRLFRVLIAIGSVVSFFLAIGGGYLYVLPNLTKSFHEEKFVSFNGSDSFCDIFDGKWVVDNSYPLYNASECPFVEKGFNCLANGRTNDDYLKWRWKPKNCELPRFNVYHMLEILRNKRIVFVGDSMSRTQWESLICLLMTGVQDKRSVYEVNGNKITKLIRFLGVRFSSFNFTVEFYRSVFLVQHTWSSKYGIKRVRSTLTLDKLDDISDEWINADVLIFNSGQWWVPGKLFGVGCYFQVNSTLRIGMSIQTAFRTALETWSSWIDTKINRNRTRVFFRTFEPSHWSNLTQRMCNVTNQPLSETNGQENSSFSDAVLEVAHSMKVPVNVLHITPMSAFRKDAHVGLWSDNPSLSDCSHWCLPGLPDLWNEMVFSYLHDSYQHTSLYQQREFN >Solyc10g085720.2.1 pep chromosome:SL3.0:10:64931272:64941043:1 gene:Solyc10g085720.2 transcript:Solyc10g085720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFVEDQRLKEEEEMIEESSDDCTSEDEGIDDYRRGGYHAVRIGDTFKGGRYVVQSKLGWGHFSTVWLAWDTLMSQFVALKVQKSAQHYTEAALDEITILKQIAEGDPEGRKSVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLSLIKYTDYRGLPIHMVKELCFHVLVGLDYLHRQLSIIHTDLKPENMLLCSTIDPYKDPRKSGAPLILPSNVDKASLKSGTVKGRVTFYANLTNDQKKVRRKPKETAQIAGNTAVESSQSNTDLSNDHLAGYANVDRLSNSDAATASGKESLGPKRGSGSRRRKTLESVDLKCKLVDFGNACWTYKQFTDNIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGTMPRKIALGGRYSREFFNRHGDLRHIRRLRFWPLDKVLVEKYEFSERDAKDMADFLIPILDFDPEKRPTAAQCLLHPWMNAGPNNLEPRVPDARSKATDTVNSEQIKRDKEKKEAMENDHENINPPIVQLEKKFISNLEKVEAGLVRVRVAIKEAQSKNQTLDDPEYVPTGPIYWNPTAFHRSYMEMEKHFKIFVYEEGEPPVFHYSASEGILGIEGILIHQIEISKFRTNDPEKAHVYFLPFSVLSIVSYVYVVDSRSWGPMQNTAADYIDSISRKYPYWNRSLGSDHFMLACHDWAPTISFAVPYLYKNSIRVLCNANTSERFDPTKDVSLPEIYLPQGKMDGLIGGPSPSHRSVLVFYAGGIHGYIRQVLMEHWGKNDDLDVQIHEYLPKNMSYYGMIRKSKFCICPSGYEVASPRMVEALYMGCVPVLLKDHYVAPFSDVLNWKSFSVQMNSRDIPNLKKILMSISQTQYIRMQKRGLQVRRHFEVNFPPKRYDVFHMILHSIWFRRLNIQVHDTKDG >Solyc11g073310.2.1 pep chromosome:SL3.0:11:56594997:56596227:1 gene:Solyc11g073310.2 transcript:Solyc11g073310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKQMSPLKCFSYEEIASSTNYFHPENLVGQGGYSDVYRGDLEDGRRIAVKRLAKDSALI >Solyc11g072870.1.1 pep chromosome:SL3.0:11:56339947:56340407:-1 gene:Solyc11g072870.1 transcript:Solyc11g072870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEILGSCFLHLVKQGDIEEEEVDRFNIPVYITSPIEVEEAINRNGSFSIEKTEILSKETSPINGLTAKDASVHIRAITEGLIEEKFGTKILDKLFQLHEQKIEDAYLDLISGEAISLFIALKRKPN >Solyc11g013120.2.1 pep chromosome:SL3.0:11:5977757:5982331:-1 gene:Solyc11g013120.2 transcript:Solyc11g013120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCENKDSELRKFGSSRTSSASSSLRRRSLSLSLSLPKHLNDDDDENESVSEAGDIGDRELQSNRYSGSGRLRLIGENAPEHRVVVPISEDTMLGSNAMSSVTPVSPIKLISQPDHKENDEKTEVPWVLEYTSCLLFLAVFGILGVLLRYGLQKLFGPGIVGATSDHSYMYLDLPSNMVGSFLMGWFGMVFKEDISRISSQLAIGLSTGFLGSLTTFSGWNQKMLELSVEGQWVFVVLGYLLGLFLVAYSIIFGIETAKGVNWLYRRANMNSSNSGTDYHWRVDSCKRHLIVILFLLLILASLWGMSIGLEVHEFSSSSPKAQLWLACIVGPFGVWIRWFLARLNGRGLGKSGQLKWVPFGTLIANVSAACVMAALATLKKAVNTETCDTVASGIQFGLLGCLSTVSTFIAEFHAMRGSKYPWRAYVYALCTTLISFVLGTLIYFVPVWVENFN >Solyc12g049500.2.1 pep chromosome:SL3.0:12:62086711:62089372:-1 gene:Solyc12g049500.2 transcript:Solyc12g049500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVPEVLIKLLQSMNSNVKVRGEYRSVLLQVISIVPALSGSELWPNHGFFIKVSDSSHSTYVTLSKEDNEFILNNKLQLGQFFYVDKMEAGTPVPVLVGVRPIPGRHPFVGNPKDLMQMLEQSEVPDQENSSTTDPKLNELVEMKKEIDKTKKFVIKEEKAVVASRYMQGVSNQNVKIGGNDHGTGAKGVENDSNGADHKVVPLKGKQPEVKSTQTQPTTPSRSRSDAFSPNTDVNVLNSRELSTTPKFSTLKRTSTKQENIRENCPFSETLIPWSSLPANLAKPGKGILRRKKLASLIAAEAQDEALTATNLLHCISMFAELCSSVSPESPHLNLSKFFTLNQLMDQTNTKSNEQILDNFASKLSLQDKELIKSSKKTRSLNDTKNTPKSLIEVSVSERVEWAKGDGSKGVKELRDVLFNEMQSWFLKFMDEALDVGFQLSEQEHKKKKRIVQQTDTNNQIALALSQLKHANDWLDKLQSKSALNKDVAAKVDRLKQKLYACLLLHIDSAASALGKSCSQ >Solyc01g012680.1.1.1 pep chromosome:SL3.0:1:9780487:9780696:1 gene:Solyc01g012680.1 transcript:Solyc01g012680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKCLQKIHSESVGKHGDSFLKCDVSRQLFKKYESQHEGLLIIKKINSFPMLHFTTLDALVKYEIYA >Solyc05g015720.2.1 pep chromosome:SL3.0:5:11817891:11828488:-1 gene:Solyc05g015720.2 transcript:Solyc05g015720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCVISSCNLTGVIHRYNNHMKAEDKASAEVDDTEESKYASFMTMEELLQTAEKQLEEPDVDDLSITDLVHLENQVETALTQTRFRKTHLLIESIKNLHDKEKQLIDENKVLEDEIGTIKNSEENEMAMNLNNIAPTHMDCGQQRETLNFL >Solyc03g033500.3.1.1 pep chromosome:SL3.0:3:5065561:5066151:-1 gene:Solyc03g033500.3 transcript:Solyc03g033500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGGDRRNGNGFLRSGGGGECYNCGRVGHMARDCDLVSGGGGGGGGACYTCGMTGHIARDCVGVGGGAGSGGGACYTCGMTGHIARDCDRSGGGGGGGAGSGGCYNCGGLGHMARDCPSERRGGGSGAGGGSGACYNCGLPGHLARDCSRESGGGDRFGRSSGDRFGRSSGGGSKCYNCGETGHFARECTSPAVK >Solyc08g007990.3.1 pep chromosome:SL3.0:8:2486915:2490851:-1 gene:Solyc08g007990.3 transcript:Solyc08g007990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTAMDTQPPPPPPCWSNIVKQQPPPRPPPQITTTPATVTAAVAAETAAKVGNGVMVGSCKSTKGIAVAVVDANAIIQGGDKLNHSADRFVTVPEVLAEIRDRNSRHSLNLLPFTIDTTEPSPDSLKKVISFARSTGDLHTLSDVDLKLIALTYTLHAQFHGTQQLRDCPPAIHMINVKRLPEKDLPGWGANISNPEEWEAIEHALDEGADTTSRILPLKDLSLNVIPLDQQSGRDGSVVNGGDSHSENQMDFDDGFSKPQKYLPQKKEVKIDGKKMVADGIDASQGQYDDHGDDWLPAVSRSTHRRFLRRKARREMSETSSKVDDLQDATENTVDENLENCQCDDISMHQIPEENPEANAEDGNVSEVREGEEKLSTILSQMRLEEDSAKALQDDADVNISNEGPESNDANQDGKEFEEDEGEDFVCADVGAEYAEMSSQMDESVETSFVDDNSSEQSWMLKSLSESSVACVTADYAMQNVILQMGLRLVAPGGMQIRELHRWVLKCHACYKVTTDVTKIFCPNCGNGGTLRKVAVTVGENGIVIAARRPRISLRGTKFSLPLPQGGRGAVTKNPVLREDQLPQKYLYPKTKKKNKGDDNIFTPDTIFLNHTSKKAPLQPPVRKALAVFSGKRNPNDNHYSRAKH >Solyc12g056995.1.1 pep chromosome:SL3.0:12:64031642:64035079:-1 gene:Solyc12g056995.1 transcript:Solyc12g056995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTRKNGQSQSTMSKIADNSLLNGLGGCLIGAFFPRRNTNTKINITTKSSDTNFVKNSRRCHTSSCPKNSTSSKNRVSQVVNLAYTQKLRREPTFTSSELSMTIFSHRKSKVNGTLNRSSTSNVTLLSHLGNLKNQNSSSDKKAIQKKGKILKDNIVRQTSSVKSHQPGSSFRGSAKKRDPDVLKSIGNEQYRQGKIEKALDLYNQAIAIDPGNASYYSNKAAALMSLGRVIEAVVACIEAIQLDPSYHNAHYRLARLYVRLGDAEKAIDHYKQSGRKVDKKDIAEAHDIKRQLLKCTEAQKLRDYNTLIKETQNSITLGVDSAPQIFAMRAEALIKLHRHEEAYTTIQKVAHIKTELCACLFGSVKTAYLLITRAEAYATVGWFEEATAAAQEATKLDQSNEVIITILRRIEALASFRLKGNELFRENKFSEASFEYTEGLEQEPYNSILLFNRAACRFKLGQFEKAVEDCTAALVLRPSYTKARLRRADCNIKLGRWKAAIQDCEMLIQEIPEDEEVKRVFLQAKSRLQKTC >Solyc07g007280.3.1 pep chromosome:SL3.0:7:2009988:2013163:-1 gene:Solyc07g007280.3 transcript:Solyc07g007280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSEGRYEVVIDNDIIQRLDLSPFQNATGISSPLSAKPKEFMERTIGFTINYKREDKYDPRELSEFPDIRLWFVRLDATYPWLPILLDWRAGELARYAAMLVPHQMSMKMGVVFNPEALELFVMNKVFVVYSWLKQNEIPMPRLKTKDMARMLGFGIGDELFDLIDKNQIDPS >Solyc08g045620.1.1.1 pep chromosome:SL3.0:8:18460817:18461182:1 gene:Solyc08g045620.1 transcript:Solyc08g045620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSTIKKSLYNISKKHSHIYSIADISGTPLTEEQIVNFERTNCQPLSNINLSDSEGKNLHQYLNFNSNMGLIHTPCSEKDLSSEKRKKCFETFLFSRTFSLLIFSIGLRARYTWRSIYLD >Solyc01g096090.3.1 pep chromosome:SL3.0:1:87069036:87078039:-1 gene:Solyc01g096090.3 transcript:Solyc01g096090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEARTAWQRAVNRCLVQEDAKRAPKLACCSSASPSSKQVDTGPANGADAQNPSGTCFLPFDRNSSYCDLSPNSRWWLHLQPNYGYQKGLVSELVDSIEAEMENIGPVLDSIPKYNKLCDQNEADSICVDKFTVGGSLDSQVTRSASYVNSDLGVGSKELTDVFTEISKDSPNLEDTGYPNEASKKGLVDLTVGKQIDELSFDTEYPWIGVAKTEPWWRTADTEELALLVAQRSHDFMENCDLPQPQNNFVKQDRDVDVDSKIYASSMGPKAGSMRQQNTNIHKRGNLSFERPSQLDAEGKLQLHTCKSSSLKNSDTAGQKVVPKMSTSGNDESKAQLLKALRHSQTRAREAENAAKQAFAEKEHVVQLVFRQASQLFAYKQWFQLLQLENFYFQIKSNKKHPISAMLPVMLPRVPKKSKRPQKKSARVKRAKRGRPSTNTWAWEQRYGPGCFKITDRSCYTAANISRLFWVVGNLREEEEEKKMAVMGKLRMFVVQEPVVAASCLIAGFGLFLPAVVRPILDSFESSKQVPAAPLSDVVAGMTGKKQG >Solyc02g082120.3.1 pep chromosome:SL3.0:2:46397065:46399786:1 gene:Solyc02g082120.3 transcript:Solyc02g082120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNSKTKLQSSAQTLSQINGRPVLQPHSNIVPLYERRNSLKKTTHTAAPVTANGSTKVKMSSSTTPPVSPKMKSPRLPAIKRGNNIDPNGLSSSAEKIVTPKGTANKAPILLKKPKKSSGGLASPSSVENSSLKYSSSLIVEAPGSIAAARREQVAIAQVQRKMKIAHYGRTKSAKYEGKVSSLDPSFASAVIPNPREDKRCSFITPNSDPLYIAYHDEEWGVPVHDDNLLFELLVLTGAQVGSDWTSVLKKRQEFRDAFSGFDPEIVSKYNEKKITSTSVEYGIELSQIRGAVDNSTRILEIKKTFGSFDKYLWGFVNNKPIATQYKACNKIPVKTSKSETISKDMVKRGFRYVGPTVIHSFMQAAGLTNDHLIACPRHLPCVALATQPAPPAL >Solyc10g008090.1.1.1 pep chromosome:SL3.0:10:2217096:2218100:1 gene:Solyc10g008090.1 transcript:Solyc10g008090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYNTNSFLFILVLLLNVTINHTLDSDDLVSELTLLRSRSSTGVIHLSNRLLWQILSVPVPRPFTLLIFFDSQKLHSDSEISLPKLRNEFLVLTSSFHTNNPDDKKFFFFDIEFQESQASFALFGVKSLPHICLVPPFAIDFKRDSIQMESSDITKHAESMAEFVEAKVEHIIGPIHRPGFISKKQRMCIIALGLILSPFLVKKIVSGNTLLHDKNVWMAGLIFVYFFSVSGTMYNIINKIPIAMVDRDDPGKLVFFYDGSGMQLGAEGFTVGFLYTIFGLLLAFVTHALIHVKNRNIQRLVMLLAIFVSFWAVKKVLHLYKWKTGLGPIYG >Solyc04g007240.1.1.1 pep chromosome:SL3.0:4:942613:943590:1 gene:Solyc04g007240.1 transcript:Solyc04g007240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLSFLSSANHSNTLFFFPKWTRNVVISATSSNVPRLQRSKKIVVIMGATGCGKSKLSIDLATHFFPSIEVINSDKIQVYNGLDITTNKISMNERCGIVHHLLGEFKSTESQPEFTPSDFRSAGDFRINEIVNRGKIPFIVGGSNSFIYALLVKRFDSRFDIFESLNPVSEELRYQCCFIWVDAIAPVLNQYLDKRVDEMLDSEMFEELKEYFEKEGFSDSGSDGIRKAIGVPEFEKYFKGKISYEEAAMEIKENTRVLAERQVKKIMRLREGGWNIQRVDATETLTAKMVSEKMAGGENPAGKIWEEEVLKPSAKIVKQFLLE >Solyc05g046030.3.1 pep chromosome:SL3.0:5:58979099:58980850:1 gene:Solyc05g046030.3 transcript:Solyc05g046030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFVYLCPLILMCILVSSNAQLQQNFYAKSCPKAEKIILEYVHKHIPNAPSLAAALIRMHFHDCFVRGCDASVLLNFTSSTGNQTEKVGIPNLTLRGFSFIDNVKKIIEDKCPGVVSCADIVALVARDSVVVTGGPSWSVPTGRRDGRISNASETLTDIPAPTSNFSTLQNDFAKKGLDLKDLVLLSGKVKIITFSVSFYVTNLTQYGISSLNNNISGAHTIGISHCSSLSTRLYNFTGTFGTEDPSLDSEYAANLKANKCKSINDNTTIVEMDPGSFRTFDLSYYKLLLKRRGLFQSDAALTTSTTTKTYIEQLVAGSLKEFYAEFAQSMEKMGRIEVKTRSDGEIRKHCAVVNS >Solyc07g063300.3.1 pep chromosome:SL3.0:7:65930807:65935326:1 gene:Solyc07g063300.3 transcript:Solyc07g063300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFDLDYKISPPSPSFSYSISSNFLTSDIFLIKCVNCFHFRIKDCFCEGKMGDRLRSTLMEDLPVHLILEILMCGRLAVIDLISLELTSRTFRGTHGLFPKKFKSLVDYAAFQLCGLSSIYASLHCNAQEELLTRCNGNWKRLLRFLMAVEQSSDMVETSAGNMQIRSGRYHTLLINDSAAYSCGSSLCGVLGHGPETTQCVEFTRISFPVPVQVAQVSASHNHAAFVTGSGQVFTCGDNSSFCCGHRDTGRPIFRPRMVEALKNIPVKQVAAGLSFTMFLTRKGHVFTCGTNGHGQLGHGDTSDRPTPTCIELLASIGSVVQIAAGPSYALAVSDDGTLYSFGSGTNFCLGHGEQQNELQPRAIQSFKRKGINVARVSAGDEHVVALDSTGYVYTWGKGYCGALGHGDETDKTTPSHLTSLKSHLAVQVCASKRKTFVLVDDGSVYGFGWMGFGSLGFLDRGASDKVLKPRILESLRSHHISQISTGLYHTVVVTNCGRVFGFGDNERAQLGHDAVRGCLEPTEMFMEKAISTKY >Solyc02g062680.3.1 pep chromosome:SL3.0:2:34948567:34952321:-1 gene:Solyc02g062680.3 transcript:Solyc02g062680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaphase-promoting complex subunit 10 [Source:UniProtKB/TrEMBL;Acc:K4B6F6] MADSSEGEEEGKLTGGSQQLVVDDDLREMGKKAAWSVSSYKPGNGVLSLRDDNLETYWQSDGAQPHLVNVQFQKKVKLQLVVLYVDFKLDESYTPGKISIRAGDGFHNLKEIKAVELVKPTGWMHISLSGNDPRETFVNTFMLQIGVLSNHLNGRDTHIRQIKVYGPRPNPIPLQPFQFTSTEFITYSAVR >Solyc06g069320.3.1 pep chromosome:SL3.0:6:43191454:43201836:-1 gene:Solyc06g069320.3 transcript:Solyc06g069320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKHYVTKNKKLIRFLRSIPEKSDHSILIHIIIIFFSKIKKLKKKMVRVSNFVISLVNVLTFMVAMMALGFGLWFKADEAKSLCQKSLYMPLLIFGASLLVLSLMGLIGSCCRASFFLWIYLFFLFMFIVGMICLSIFTILVTNKSVAKALSGKGGNDAKFGDWGNWLEKHVVNDQNWDDIKSCMATFRYCQMIPRGKPADFYKYNLPAAQSSCCKPPTYCGFEFKNATFWTMPKTGPAVPDSDCKTWNNAQNELCFNCRSCKASFLETIQKNWNKMAILNFCVFVFIIIIYSIGCCALRNNRSKGYGPYA >Solyc07g043580.3.1 pep chromosome:SL3.0:7:57629899:57634665:1 gene:Solyc07g043580.3 transcript:Solyc07g043580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPYLPEWNIETELPAPHQKKPMGFDHELVELLWRNGEVVLHSQTHKKQPGYDPNECRQFNKHDQPTIRVAGNQTNLIQDDETVAWLNCPIDDSFDKEFCSPFLSDISTNPHLGEEPDKSIRQSEDNNKVFKFDPLEINHVLPQSHHSGFDPNPMPPPRFHNFGSAQQKHHIVGGDQKGVNFPPPIRSSNVQLGGKEARSNLMLQDIKEGSVMTVGSSHCGSNQVDTSRFSSSANRGLSAAMITDYTGKISPQSDTMDRDTFEPANTSSSSGRSGSSYARACNQSTATNSQGHKRKSRDGEEPECQSKADELESAGGNKSAQKSGTARRSRAAEVHNLSERRRRDRINEKMKALQELLPHSTKTDKASMLDEAIEYLKSLQMQLQMMWMGSGMASMMFPGVQHYISRMGMGMGPPSVPSMHNAMHLARLPLVDPAIPLTQAAPNNQAAAMCQNSMLNQVNYQRHLQNPNFPDQYASYMGFHPLQGASQPINIFGLGSHTAQQTQQLPHPTNSNAPAT >Solyc12g040350.1.1.1 pep chromosome:SL3.0:12:54499601:54499771:-1 gene:Solyc12g040350.1 transcript:Solyc12g040350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVWWKATRNARQKVIFQATPNKGLYLKKEGITWFMVVPIQSTRLYEILITLCEKS >Solyc10g049615.1.1 pep chromosome:SL3.0:10:46179044:46179364:-1 gene:Solyc10g049615.1 transcript:Solyc10g049615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRGNLKDILVVMTLLLIARILDDEVIDPAPRKKSTKVYFDPTAKKKGVNIKLKPNEKERIRAHCKKKGCP >Solyc05g006360.1.1.1 pep chromosome:SL3.0:5:1017352:1018425:1 gene:Solyc05g006360.1 transcript:Solyc05g006360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Matrix metalloproteinases protein 4 [Source:UniProtKB/TrEMBL;Acc:K4BWG3] MRIFLFSLVIIVALIIVDSSSPVSAHVSPVSAHFYDNVRNSTWNYFNNYLGCRVGQKIKGLAKIKQYFQRFGYIDDSLSNDFTDEFDQLLLSALKSYQLNFNLNVTGEFDFSTLQNMVKPRCGNPDIAKKGYGGKTPMDHTVAHFSFFEGQRRWPSSKSKLKYAFLPENQLTDSVKLAFRRAFDKWSKVTPLTFKEMGSYRSADIRIGFFVRDHGDGNPFDGPMKVLAHAFAPPIGFFHLDGEENWMVDGEYLKEGMVDLESVAVHEIGHLLGLDHSFEKDAVMFPTLEDGTRKVELSRDDIEGVQMLYGSNPDYNGSSTVYTHHQENDISGYSTFRSLCPHWLVGFFLALVLSISL >Solyc06g066010.3.1 pep chromosome:SL3.0:6:41481049:41484546:-1 gene:Solyc06g066010.3 transcript:Solyc06g066010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKRDEEDVSPRFSFQKNVSSLSCVVPPFLLSPQKSLHYNKLPEEPLNLTVLKLDGSSFDIKVARNGTVAELKQAVESAFSHFPKTGTGKVSWSHLWGHFCLSYDGRKLLTDNDLLGTYRIKDGDKLSFMRHVSISYNLVKTRSEKEDSSKNEPSISKGCESRQRRGEREGNHHQDDLSENQNNIHDNNRGVAANCESRLVHLFRGWLPYKLTSPEKRMKQKSRTSSSRDGLLMEF >Solyc08g079060.3.1 pep chromosome:SL3.0:8:62826644:62841304:1 gene:Solyc08g079060.3 transcript:Solyc08g079060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNSAQASPVLTDPSPLNKSRLGIHSSLFPYSQSGPSFSTSVLSIPRKKPAKLDDVRSNGWLDAMKSSSPPRKKILKDVNIDVSSDDADVTYLSWMMKYPSALNCFQQIMRQARNKQIVIFLDYDGTLSPIVDDPDRAFMSNEMRSVVSNVAKYFPTAIISGRRRDKVYELVGLTELYYAGSHGMDIMLPIKNTSSANDSNCIKDTDQQGKEVNLFQPARKFLSMIDEVFKTLVEKTKDIKGAKVEHHKFCASVHYRNVDENSWSLIAQYVHDVLKDYPRLRLTHGRKVLEVRPDIDWDKGKAVEFLLESLGFSNPRDVLPIYIGDDRTDEDAFKVLRGKYKGYGILVSTTPKESNASFSLKDTSQVWPTFRLRMLKNDRKLRNAVNFAKPNLIFKPRRSFPLIRASAGFSSSLDTGLSTELDAVANHSEIVPDTVIFDDFEKFPPTAGTVSSSLLLGICGLPDTKFKSAVDRALSDSECYGLESSDLQMSCFFNKALAHVGGDLAKLVPGRVSTEVDARLAYDTHGIVRKVHDLLKAYTEIEVPPERLLFKIPATWQGYPFSFVSVYLSCRELRHQGCSKLKDWSRNHTGDSEVESALRRGEDPGLALVTKTYNYIHKYGHKTKLMAAAVRNKQDVFNLLGVDYIITPLKVLQSLKESVTLPDEKYSFTRRLSPQSAAAFNFTQDELQKWDQYSFSSAMGPASVELLTIGLDGYINQAKRVEELFGKIWPPPNV >Solyc04g017753.1.1 pep chromosome:SL3.0:4:7980798:7990245:-1 gene:Solyc04g017753.1 transcript:Solyc04g017753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMWAARLQTPMEIEKRMRGNGPGPFSATILIGPKGHKCFPRDSKLLKKGPSPHIEISLAQLKYYRVIHLVTVGILSSHPPRYSWNMIEPSTSLQLEYYRDIHLVTVEILSSHPPCYSWTIIEPSTSLKLDYYRSIHLVTVGLLSSHPPRYNWTIIEPSISLQLEYYRAIHLVTVEILSSHPPRYSWNIAKSSTSLQLDYYRFIHLVIVGLLSSHPHRYRWTIIEPPTSLQMEYYLDIHLVTVRILSSHPPRYSWNIIESSTSLQLKYYRVIHLVTVGLLSSHPPHYNWTIIESSTSLQMEYYRDIHLVIDKILSSIYLVTVGLSSSHPPRYRWNIIELFASLVCEVGLAILEIYLDLNFDLWLRREFARIVLNAQVDPNSKSGFVAVQIHCLKGVFNFRKSKKQTDTHPVQIRRINMENTGKWAMALFSNNFDRPKRP >Solyc03g020100.2.1 pep chromosome:SL3.0:3:62621011:62621717:-1 gene:Solyc03g020100.2 transcript:Solyc03g020100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFREKIPIEVEAFFKQMYYTLVELPNKQPSSLMWLFLEVRTLLPDQGNKEKIERVMNSIELALPDRISQPLLFRLRPL >Solyc07g018310.3.1 pep chromosome:SL3.0:7:10062098:10065694:-1 gene:Solyc07g018310.3 transcript:Solyc07g018310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCHQKNIIKIWIRQSKCFQISRELSVGHSSRIHYYRRGEGVPFEWEKQPGTPKINPTKEDANIPLSPPPSFQSIGLTKPCFDDHESKNFKVWITNRMKKLHSSKQFAKSHDGDKEFNNSSSSSFDSNTKERDKSSRDLMEDSYCCNLTSMDKEEGYRKMNKREEIGERLFVERIVSRMSSVDQSYYRSTVEGIPFKWEMQPGTPMAIHTPQNEVIPPPSPPPMIQSLAFPKPCIPHHDHQHNKPSNTWEKIKKMIKSSHQDSVLSSSISKRKVLPLSKFTKDVLGRKFCFNPWKIKANLASSRRI >Solyc03g095700.1.1.1 pep chromosome:SL3.0:3:58317078:58317503:1 gene:Solyc03g095700.1 transcript:Solyc03g095700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFVPQFLIPNSDISATPRYYNLQIPSGYGNNAYRIRQPFSSNEKKERYLLWSKCGKGDSIEKRLEEQIDNLGRMSGKCKEGMGGMVELLECLEREAIMGDDEGKAPMDYNRRAQIFDKSSKVFQALKKTAEKTTTTSND >Solyc12g042740.2.1 pep chromosome:SL3.0:12:59102003:59108230:-1 gene:Solyc12g042740.2 transcript:Solyc12g042740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 54 kDa protein 2 [Source:UniProtKB/Swiss-Prot;Acc:P49972] MVLAELGGSISRALQQMSNATIIDEKVLNECLNEITRALLQADVQFKLVRDMTTNIKKIVNLDDLAAGHNKRRIIQQAVFNELCKILDPGKPSFTPKKGKPSIVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAVDGVETFKKENCDLIIVDTSGRHKQEAALFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGHFTLRIMYEQFQNILKMGPIGQVFSMLPGFSAELMPKGRENESQAKIKRYMTMMDSMTNEELDSSNPKLMTDSRIMRIARGSGRQVHEVMDMMEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGGMGGMFGGGDK >Solyc07g032510.3.1 pep chromosome:SL3.0:7:39636736:39657057:1 gene:Solyc07g032510.3 transcript:Solyc07g032510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALAPVFHWIPDDDLLLKNAIEAGASLESLAKGAVQFSQRFTVQELQDRWHALLYDTVVSAEASALMIELDRAATTPKCNRFENARESKNSVVLKRKSESIRSSYYAMRKRIRNNPFDSMDMNFLGVAGDNDEPQSMDCAFMDSIRDAFGDQQSNFDIVQNCISEHGRDDSIWANDCVTASPSFPIGLLNHKGDVPLNSFNITENFPDAVEESVALAERQHTVGELGELPVCGLFEAEDLESNFPMRDQCDDNVRNSSRFESQVLNSPVPDCDLTFHDLGYSPTPPDMPDWSTIGDISVPALPDFEEQQNIQNTFVVPIDGNSNKMDASEYDVVSSNSNLRDRMSCDELRNSIPSTDDYIAGLSASLLDFTEEDELLFNDPDGNDTIDKSYYDGLSSLLLDCPDGVGDLPVKSVSEASNARDEGLTILDGCPKESGDKCVYNYSDKPPGSNSDFQMLSSALTVNPAFPEMRGGVICCVLSTEDPDVPSNDDVFLPVLMPSTSFPSMAHWKYDETYHPLSSSAKDLSNNQKGNDGRAVLKKKEQNCHSEYSNSYRMNEPPSQAEMFSRDHKVKHELPNENNQHVVRRNLQTSDCPRAVISGNLSAVNACQGDFKENTTKNGQGKNLSRTYAADVSKCLEENAICTKEHDTTTILQKNETTLAETVLRKTTIPEASANNTSSDSEDFLYESDEDIPYFSDVEALILDMDLSPNGQDMYSSKRAKEYQHEDFVRKIIRLEQADHACLQRKIAVRGAFAVLVGYHSKHFIRKPEVLLGRESADVKVDIDLGREGRDNKISRRQATIKMDMHGLFHLQNIGKYPIHVNGNEVLPKQSLTLTSGSLIEVREVRFIFETNESQVKRYTEESQSEDMKEA >Solyc06g035725.1.1 pep chromosome:SL3.0:6:24988029:24988492:1 gene:Solyc06g035725.1 transcript:Solyc06g035725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVIRSLTQLNMMNVGQDKDVKLDDLGFYQRLVGKLLYLTMTRLDITYVVHVLNSQLTAFCDADWAGCPNLRRYITCYVIKLSKSLISWNPKKQNTVSRSSAKAEYRSMAAAVSEVLWL >Solyc03g118680.3.1 pep chromosome:SL3.0:3:69020610:69027224:-1 gene:Solyc03g118680.3 transcript:Solyc03g118680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRLFFLLGASTVRNCNKPTNISSPFSPFRFSKPLLCPVVRFRSYNCTSATLETKTIEPLVSPRNHPWPEWVAFVDRLKSKGYITEKSTSTGEDGDGSIYTDMNLLKDACLNFSRDRSDIFKKLSTQDMQKVVEKGCPNLFRKVVNSAKRLRIHLNLDEGEVCGACNFRGSCDRAYLILKESEGVARTVDIVRVLLIYAFDSAVISSGAMPPGSELIEVSARQLLSELVELSETPIDPDHLTPAPKASPRKKESVGSRTDGLEDVEMKRRNSTGLEGEMPKRNVEMKQGDWICSQCTFMNFARNAQCLRCKSKGPSRDAPVVKEMKKGDWNCPQCTFMNFASNTKCLRCQEQRPKRQLNPGEWECPSCDFLNFRSNMVCKKCTCERPKDAKTQSKYEEQLWTKPY >Solyc05g046310.3.1 pep chromosome:SL3.0:5:59375499:59378228:-1 gene:Solyc05g046310.3 transcript:Solyc05g046310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPYYTLKMKRKDLEDVNDEFSDFSLSSPARKIRRLDAVLPPIIEEVEEPEIPIAFEQATTYPSFGSNAVKRGLVIEELPSVPANEERAIVLFKPMNTQPMHSPSNFSVKVDPQFLNGFKSQVFWDNTANAFRLVDANEAAQQDDSSSAKECLAVVPWVPSQFPSAAEAEILSQPDVSDMMDAEDMEGVAMDIEDNSVDVEPRIAVDAGAVSVNDGLHHWQQQHCMTTTQPPQNTSTPIPWYSNWHKD >Solyc02g021350.3.1 pep chromosome:SL3.0:2:22993032:22995916:1 gene:Solyc02g021350.3 transcript:Solyc02g021350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSRSRLMEEKSKKRSLDWEFDIKSMAEDINLVLKTMVWILGNHRNTTILQFKLLLKSLQIQKLFMTLVLRVLNKEYASEQETLNKIWDLKAEHMKLITDARADLNKMWNDKRKPKRKRRRKMEKLVEDDENNNIIDHDGNQLVLCVEKLQELQEELEKINKEASRELLKVVQKYNPIRQPFYEKRADIIKDIPGFWSRAFLKHHLLGGLVCTEEDLKIFEFLRSIEVEVSQDVKSGYTIIFNFDSNEYFENTKLWKKYGRTKTTASPIQWAQGKIVDEQSFFKWFSEVDNIDEIGEIIKDDLWSDPLFSFRYEADEENVDNVAVKKYEDMVVKDSEDVEEND >Solyc04g079870.2.1 pep chromosome:SL3.0:4:64279113:64281715:1 gene:Solyc04g079870.2 transcript:Solyc04g079870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDINLFRNHAEIVRESQRRRFANVDVVDEVIQLDKQWRQRQFELDNLRKDFKQIAKEVGKLDQKIEDAAEKKLLIEKKEIQVQEARTTLYSKLEIIGANVAGGRGYYLKGGGVRLNQALINFALDFLENRGYTPLQTPFFMRKVIMAKCAQLAQFDEELYKVSGDGDDKYLIATAEQPLCAYHLNDWVQPSQLPLRYAGYSSCFRKEAGSHGRDTLGIFRVHQFEKVEQFCLTSPNGNDSEEFFQQLVSCSNCTDYQSRKLEIRFGHKGNDQGKKYVHLLNSTLTATERTMCCILENYQREDGVEIHSFLQQRELSSTNLNKSIDRIG >Solyc01g017685.1.1 pep chromosome:SL3.0:1:24756042:24756918:1 gene:Solyc01g017685.1 transcript:Solyc01g017685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGTLTYFLGSEVHNIASGVFLNQHKYAQDLISLVGLQDSSSVHTPLELNVKYHREEGDILPDPSMFRQLVGNLNYVTITQPDISFAVQQVSQLIQAPCHLHFVAIHRIIRYLLEASTHGLFFPSGSSIRLTTLCDSDWAGCPDTRRSVTGWCMFLEESLISWKSKKQDHVSKSSTEAKYRSMSTACSKVVCLRGLLAEIGFSQSHPTPLHADNTNKGFITLPHVSSDLQIAAAFTKSMARQSIQFLVCKLMLLDPSTSI >Solyc02g068345.1.1 pep chromosome:SL3.0:2:38876251:38877470:-1 gene:Solyc02g068345.1 transcript:Solyc02g068345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQWKKKLVDKQLHKSMSLVLTVADLGLDYNNHGVTKLVTAEVIEDYRLIEELDGLFESCIERRL >Solyc01g056570.3.1 pep chromosome:SL3.0:1:54697452:54707574:-1 gene:Solyc01g056570.3 transcript:Solyc01g056570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVAPLSCQNQHIPARKVSFSGFSKDLCAAVQDGSVADVDSSLAILKKSGGNINYRNDFGLTPLHIATWRNHIPIVKRLLAAGADPNARDGESGWSSLHRALHFGHLAVASILLQSGVSSTLEDTKSRTPIDLLSGPDLQGIEKNNSAATEVFSWGSGVNYQLGTGNAHIQKLPCKVDSLHGSVIKLVSAAKFHSAAVTARGELYTWGFGRGGRLGHPDFDIHSGQAAVITPRRVICGLGARRVKAVVAAKHHTVIATEAGEVFTWGSNREGQLGYTSVDSQPTPRRVSSLRSKVVALAAANKHTVVVSDLGEVFTWGCNKEGQLGYGTSNSASNYAPRVVEYLKGKAFVGVAAAKYHTIVLGSDGEVLTWGHRLVTPKRVVTGRFLKKMGNIPMKFHRKERLHVVAIAAGNTHSVALTEDGTLFYWVSSDPDLRCQQLYSLCGTNVACISAGKYWIAAVTVTGDVYMWDGRKRKEKPPTLTRLHGVKKATSISVGETHLLIITSLYHPGYPPNMSNNPSILKQKMKSDTDELNEGFMFDEVESEEVSYISEKDTAKNKTAPTLKSLCEKVAAEHLLEPRNSIQLLEISDSLGAEDLRKHCEDIAIRNLDYIFTVSGHAIANTSLDVLVMLEKVWDMKSSEPWSYRRLPTPTAPFPAIIDSEEDNEKIEALRTRGNCTSRPILRQVRDQRLDNFLQSDEIKEGVLKQVRALRKKLQQIEMLEDKRFKGQTLDNQQIAKLQTKSALEMSLAELGAPVERVQSTVSSSVLADGKGSNKVDVVPKKQSRKSKQKAAPIEVASSQCESAESSPRKGASSVQIPEVQYEDDHKGLGGAASNQDAKDSSSVTQRHLGVTCNSNSSSVVASKKKNRKGGLSMFLNGALDDVSKVVVPPPVVQKSEGPAWGGAKVAKGSASLRDIQDEQRKVIDTKLLKLRDPVEDPSGESSGGKLRLSSFIQSNPIPMSQTAFVSDVEKNTPPWAASGTPPRLRPSLRDIQLQQGKQPLALSHSPKTTTTGFSVMTGQGSPSESSCPSRWFRPEIETPSSIRSIQIEERAIKDLKRFYSNVRVVKNQS >Solyc02g091960.3.1 pep chromosome:SL3.0:2:53808449:53814628:1 gene:Solyc02g091960.3 transcript:Solyc02g091960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPGSGRLALTPVNPTPISGLGRVSKTPLTDEVIWKRLREAGFDEDSIKRRDKAALIAYIAKLETELYDHQYQMGLLILERKEWVSKNEQSKAASESAELLYKREQAARLSDTAEAKKLEANLKKALGIEKECVANIEKALHEMRAECAEAKVASENKLAEAQSMMEDAQKKYTDVEEKLRKAESLEAEASLFHRTAERKLREVESREDDLRRQTLLFKSECEAKEKEIQLERQSLSERQKTLQRSQEELLDGQALLNKREEFIFSRSQELNRHEKDLEDEKSNFENDIKSLNEEKRNLEVKLKSLSAREEGIIRREHELYEKEKELLLLQGKIQSKEIDGSKQVMVNQEATLVTKISSIEAELETKRKLVEDEIQTKRRAWELKDMDIKSREDLITDKEYDLERQSRTLAEKEKELEDKVYVIQEKERNLQTAEKEVELQRTVLQQEREGISKMRNDLEKSLKMLDEKRKSVDHEEEKVEAMKNETQELLILETRLKLEIDMIRAEKEEIEKEADRLKAEKAKFETEWEVIDEKREELQKEAERVAEEKLAISKLLKDSRDSLKAEKNAIQEEYKQNLESLSRDRETFMYEIESERAEWFNKIQKERENFLQDVEMQKKELENRIEERREEIEIDLKEKEKAFEEHKKRELQDIASLRETLEKELEHVGLELNKLDAERKEINLDRERRDKEWAELNNAIEELKVQRLKLEKQRELLHADRKEILAQIEQLKKLEDVKIIPDRIATPKKLHSGLPSNELEPSAKRFLKYASVLGSGLDGNGNNGVSKGTSIMKENGNSSSTLSTPFSWLKRCADTLLDRTPSNKRRREDGDFISQLTENGASCPLPPTPDAPDVENLEVLPNQTHIAAEETTVYIDKIVTVHEVTEIDVRKVTEGSPGTLSGDSGRKVGNNGSLESDQNGKPEGRARRTRATRK >Solyc07g065870.3.1 pep chromosome:SL3.0:7:67592552:67596106:-1 gene:Solyc07g065870.3 transcript:Solyc07g065870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFIVKASILFHSRAIIIPWVQKFSAISCSRGRDYSAFPVRHIPKRSNESQESESALPKKHFLDIEKNSFLNRKVQETEFSVGGGGSKYGDIPFHSKSRNHKQKLITSLDDEVEWGKEDEVDEDFVLEHGIAETDKARQDAETIAVRLLASRALTAVELQKKLMGRKFTVNVVNAVITDFHTRGLINDGLYAEMFSRSRWSSSSWGPRRIKQALIKKGVSEVDADNAIKLVFKNDEAGEEQESRESGHAISKPSLDQLYVQASKQWLKGQDMPREKRKARIIRWLQYRGFDWSVVSFILKKLESSHPQ >Solyc01g066760.2.1.1 pep chromosome:SL3.0:1:74757132:74757675:-1 gene:Solyc01g066760.2 transcript:Solyc01g066760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGSPVEKMVLMNEPVSEERNWIVSRRIIGSKRRPIGVRRSEMKSVIASPFSPRIVGTKITATTTTAKRMPCGGP >Solyc10g083640.2.1 pep chromosome:SL3.0:10:63555030:63559939:-1 gene:Solyc10g083640.2 transcript:Solyc10g083640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSISPTLVLSNMYQRPDMITPGVDPQGQPLDPRQIQRHFEDFYEDLFEELSKYGEIENLNICDNLADHMVGNVYVQFREEDHAASALQNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGKSSRRRRSHSRSRSPRGNRNYEEQRPQGGRGFGRRGGGGGGDRYHDRGRRPRSRSPGRRSGHSRSPGGRRNRSPIREGSEERRAKIEQWNREKEETGSGRNHGGGARREDREGSAERRAKIEQWNREKEEADSAKYGNFDADNGRNDGGDHYGEQFDDGYPKQQ >Solyc04g081210.3.1 pep chromosome:SL3.0:4:65296914:65305448:1 gene:Solyc04g081210.3 transcript:Solyc04g081210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKWITISKSSSDKRGWSFRKKSTRHRVLSNSVVSETPSGNKDWPEAANANLQTQSNSTIPEKASVVQWADEKPQFSTVEKSQVSADEKPQILEDETPLVSVDKKPQVSADEKPRVSTDAKPQLSVEVSVDEKPLISEEEKLEVSEDEKPNISIDEKPPISSEEKSLVSDLVDAKQSESVIIAGFNDAKADVIPDEHALVIQTAVRAFLARRAQLKQKHITKLQAAVRGHLVRRQAVGTLRCVQAIVKMQILVRARHTNRIAEESSIKEKLKGKENSGTKSEFTYISISKLLSNSFAQQLLESTPRTKSINIKCDPSKSDSAWKWLERWMSVASPGNQPSPQSELSADQQENEPIEHPSNLIENEVQLDSESMDFRQGEEASLSAVPSESDDNLITYDADSLDFQANIPFSPPQPQNVDEKTSRDDTFCSIPTQHKEAKALPETVPNSFPANTEVEREDTHSLELSETESKKILHGSRKASNPAFIAAQTKFEELTLAAKSTKDSSLPNHKTEDESSEDTFSTITNHSFGARDAAPSENSVPHSTRAQVGGSECGTELSISSTLDSPDRSEVGGHVFEQELPSNGGTDHHKSNGYPHIEDDSTNDLSHSDYVQAGREDPTDDAKHVDVMVSSDLSPVEQKPENNSVNVQIEQEARTDRLDKSSPDASPRSHITVPESQGTPSSQVSVNPKKIRSEKSGSIPKRRSAPAGKKSPSKLNHAPGTTSSEQLSKDHKNEKRRNSFGSTKAGLADQEARDNSTSSSLPSYMQATESARAKAIPNSSPRSSPDVHNKDEYIKKRHSLPGSNGRQGSPRIQRSLSNAQQGAKGNGTQSPQVDTDLVATEESLVLPKKAEEKKQ >Solyc05g005520.1.1.1 pep chromosome:SL3.0:5:371723:372181:1 gene:Solyc05g005520.1 transcript:Solyc05g005520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVIWDEEKLEEIEANKPVRMKITEPKTPYHRPKIDDNDNFEEYNNRSDEVDDDMASCSSKSRSDDPRKNNDNDVVVMDHADEDSDESERKRNFIEHRRGHYDEYKRIKELQRNGSLLEEFDDDDNDDDNNNVIDDGVKGIKIEEEKENTST >Solyc06g072420.3.1 pep chromosome:SL3.0:6:44821289:44823154:-1 gene:Solyc06g072420.3 transcript:Solyc06g072420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4C8W2] MAKFSKNSMVLCFLVAIVFVISSASASTRLKKFNHPTKGDGTLNFLVIGDWGRRGTYNQSHVARHMERVGEKLDIDFVLSTGDNFYDNGLTGVNDTNFVESFTNIYTAKSLQKQWYSVLGNHDYRGNVEAQLSPYLRKIDSRWICLRSFVVNAEIAEIFMVDTTPFEEKYFTTPKDHIYDWRGVLPRHAYMASVVKELEKALSESTATWKIVLGHHAIRSAGHHGDTQILVDRFLPILRKYDVDFYMNGHDHCLEHISDTESPLQFLVSGAGSKAWRGDIKGINRDDVHFFHDGQGFMSVELTPTEAEIKYYDVFGRIRHRWSRSKNLLHSAM >Solyc08g068470.1.1 pep chromosome:SL3.0:8:57691332:57693082:-1 gene:Solyc08g068470.1 transcript:Solyc08g068470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIGKTIYVIGGRNYYKAFGDVLDDNYVQEMRLGGVRSSVFKYDTRVDTWSTCARLITPRYNFACTCKDGKIYVAGGQTTLDSAEGTSSAEIYDPVKDKWESLPNMSTLRYKSVAVAWQGKIYVVGGFAKRGNSDSQGPYIMERSSAELYDPHQQNWEYVARMWDLNVPPNQIVNVDGKLFSSGDCLKAWKGHIEAYDENLNIWNIVDGSNSPISTSDDTLAKSPPMQRIFCTMAPIGTQLYFLAGYRMPAGETSMMRTEVHVFDTSAIGNGWRAFEPIEEEGEKELCSHCYVLRID >Solyc02g032620.1.1 pep chromosome:SL3.0:2:29328276:29329279:-1 gene:Solyc02g032620.1 transcript:Solyc02g032620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFYLRLREHPSNEKLLRIVLDIFLQFTFRFLPTQEDDRVLVQSNFQLFFKFTRFISPRILVYAYITTSLSPVVNKIRLPLLQLGNIPIPNIRTPNVINNNKVLRPVSLHSLCET >Solyc04g007880.3.1 pep chromosome:SL3.0:4:1559969:1564047:1 gene:Solyc04g007880.3 transcript:Solyc04g007880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPKKILENFLKPFFSISNKGKNEEDLEKIAAQEQKQFPFEVLVSATKDFHPDNKLGEGGFGPVFKGKLSDGRQIAVKKLSHSSRQGMKEFKNEAKLLARVQHRNVVNLLGYCVHGVEKLLVYEYVANESLDKILFKSANRDALSWKQRHDIIVGIARGLLYLHEGSHTCIIHRDIKASNILLDDKWVPKIADFGMARLYPEDKTHVNTRVAGTKYDSIKPYKLCLVTTM >Solyc10g045585.1.1 pep chromosome:SL3.0:10:34737490:34739757:1 gene:Solyc10g045585.1 transcript:Solyc10g045585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRITTLDPTSKIKGLMYYNKIYHLFYQYNPNGVTESIIIYSSEVFDKYGTCQYTGIVDDKETQVQNYALPNNPLIVANESINKIKFGDPTIAWLDHDNQLSILYQSRDFLKWTEPAHFIHLLRIVNGFEYLLEKINGLNTLFNDDNTIVTFDTKKDRYVLDDTMINGRNGSRLDYGNFYAFQTFYDTIKNQRILWRWTNKYDIFPTYFINKGWSGIEIIPHKLWIDISEKHLININMGENVEVQGITSAQVRTSYNIIFLDMQPFLKFIIIDVEVTFSFSSLRSDIKGLIIHGGHVFFIVSKTQDKYKVLMYSDVIKFRNHSKMYKPSFSGYVEKNLTDERLYKSLIIECFGDGAKKCITSRVYPTLAIYDTRLFDFNNGTEMVKIETLDSWNMAKFER >Solyc05g051240.1.1.1 pep chromosome:SL3.0:5:62370194:62371537:1 gene:Solyc05g051240.1 transcript:Solyc05g051240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSSKNFLLLFVTFLNFFNILLAKNTPFSMSFPLISTQLSHNSSSKALFLSSLMANSHQNRNTKIMPRVVPSLNYKSTFKYSMALIITLPIGTPPQNQQMVLDTGSQLSWIQCHKKIPKIPPPTTSFDPSLSSSFSVLPCSHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKITFSRSQSTPPLILGCATESDDAEGILGMNLGRFSFASQAKVQKFSYCVPIRQGNHTVKPTGTFYLGQNPNSHTFRYVNLLTFPQSQRMPNLDPLAYTVGMVGIKIGEKKLNISTRVFRPNAGGSGQTIVDSGTEYTFLVEEAYNKVREEIVRLVGRKMKRGYVFGDALDMCFDSVHSMEIGRLIGEMTLQFENGVEILINKERMLDEVEGGIHCVGIGRSESLGIASNIIGNFHQQNLWVEFDLRNRRVGFGKGECSTQV >Solyc12g094490.2.1 pep chromosome:SL3.0:12:65684152:65692648:1 gene:Solyc12g094490.2 transcript:Solyc12g094490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAGGYANSKLIQNDAAVAPPKPLSSSVIERYKSALKEREIEIRASMQGGDDDVIVLPPSMNEIVRLYEMLLSELAFNSKPIITDLTIIAGEQREHGEGIAHAICNRILEVPVEQKLPALYLLDSVVKNIGKDYIKHFSAHLPEVFCEAYRQVHPSMHPAMRHLFGTWSTVFPAPVLQKIETRLQFSQPGVQQSSGLTSSRASESPRPAHGIHVNPKYLEARRQLGHSTIDSVRAENSTGHISSDLEAKQVLSTSSKNARSSSPYRVGPPRSLSPTLNEFALDNPAIGLRERASPSHTALDYGFSRVRGRDVERSEWQRILPDGANQQPDVPPKYRINKGIDLQGPRALIDAYGIDEREKVAHLRQQKTGNATINGLGNGLAVKTWQNTEEEEFNWEDMSPTLADQSPFNDLSASLRHPQSIRMRPCVDSQHAGPLVADPRRNWANRGQYSLVHDSSVDDVHSSGRGARNKITGYCDETSLISGSHYLQKLPENVPQLPLRHLKGEGSGISSVTGESKHPLIGNLAADGHTWRPPYVPPRMNPTFDSSVQDVRVVTGRGPGVPWPPQNVHTPHSLTSKPVVLPHNHVRSPYEVNNASNSVVNHTLDRPVLPEQHIDNLKSSSHIKFPQFPSQHPTSFSTSHQNSEQMASAEPQLLLSQRIHQTMPPSASLPASNHLLPPTYRYPLPGPGSSIGPHFPRPVSGPQVSMPLVNVPNTSSQFSSGALPPFPRGPLPMPSKFMPASQNPGQVTPNPPAAGFSSLINSLMAQGLISLTNQAPAQDPVGLDFNPDLLKVRHDSAVTALYADLPRQCTTCGLRFKCQEAHSSHMDWHVTKNRVSKNRKQKSSRKWFVSVNMWLSGTEALGSDAVPGFLPTEQVVETKDDEELAVPADDEQNACALCGEPFDDFYSDETEEWMYRGAVYMNAPSGSTVGMERSQLGPIIHAKCRSESSAPHEDSRKVDEGPEDESQRKRMRS >Solyc05g047580.3.1 pep chromosome:SL3.0:5:59890988:59902911:-1 gene:Solyc05g047580.3 transcript:Solyc05g047580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene cyclase/mutase family member [Source:UniProtKB/TrEMBL;Acc:K4C0Z1] MWKLKLSEGDDPWIRSLNNNVGRQYWEFDQNSGTDEERSHVEDIREHFSMNRFHVKHSSDLLLRLQFAKGKQIEMLEKVKIESEEELVKTTLKMGLRFYSTIQADDGHWPADYGGPLFLLPGLVISLFIMEAMNVVLSEEHQKEILRYLYNHQNKDGGWGLHIEGHSTMFCTALNYVAIRLINGENNVNNEAMEKARKWIIEHGGVTYIPSWGKFWLSVLGVYEWSGNNPLPPELWLLPYFVPVHPGRMWCHCRMVYLAMSYLYGRRYVGPINSNILSLRRELYTTPYHHIDWDLARNQCAKEDLYYPHPLVQDILWEGLHKIGEPLLMQWPLSKLRKKALNTVMEHIHYEDENTNYICIGPVNKVLNMLCCWVEDPDSQAIKLHLSRIKDYLWLAEDGMKMKGYNGSQLWDVSFGVQAILATNLPQEYGVMLKNAHHFIKASQARENSNGDAKKWYRQHSRGGWPFSTVDNGWIVSDCTAEALKASILLSLMPLDIVGEVTAPHRLFEAVDLILSLQNSNGGFASYELTRSYAWLEMINPSETFGDITIDYQYVECTSAVIQALESFKKNYPKHREKEIEACINKALQFIQTIQLPDGSWYGSWGVCYTYGTWFGICGLVAGGYTYENCKSIRKACNFLLSKQLQSSGGWGESYLSSQHKGKRDPTPLKRAAKILVNSQMDNGDFPQQEIIGVFNRNCMISYSSYRNIFPIWALGAYLNEVLLSSKEYHE >Solyc12g088050.2.1 pep chromosome:SL3.0:12:64522571:64532804:-1 gene:Solyc12g088050.2 transcript:Solyc12g088050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVASDHQATSICTHCDRAIPSSNLDLHVAHCSRKLERCKLCGNMVPKKHADEHFLSTHAPVSCSLCSETMEREVLAVHKGENCPQRLVACEYCEFPLPATDLFTHQDVCGNRTELCQLCNGYIRLREIMVHESRCNGGTDYISGSSSDGSISSSNAEEQFLSNDALIYVLFSRSPAMPLSFCSVFEYPAVIIFAIVKQEACGDKTERYHLCSRYISLRERDVHERRCNGDTDINSESSSSTNPAERDRGASRRKLRAVPETRLLFTTLAITGSAVLVVINNGVVEITWTNPGGIIKGIKYKGIDNLLEEKNKDLNGGIQGTDLQVIVENEEQIELSFTRMWSSEVQGEQAPLYIDRRFVVFRDVPGFYSYAIFEHTKDMPAFHLNTTRIAFMLNKEKFHYMVITDDRQRFMPSAEDRLPGRGEPLAYPEAVLLVDPIEPEFKGEVDDKYQYSLENKDNQVHGWISFDPPVGFWQITPSNEFRTGGPFKQDLTSHVNPTTLAIFLTSHYAGTELLVKFETGEEWKKVLGPVFTYFNSVSDKDMALSLWDDAKRQMNEEVESWPYSFPTSEEFPKCDQRGVVRGRLLVQDRYLSKENLPGKAASVGLAAPGDAGSWQRENKGYQFWTTTDEDGCFVIKNIRAGSYNLYGVVPGFIGDYKYEVVITLTEGSDIELGEIVYEPPRDGPTLWEIGIPDRSAAEFYIPDPNPKYVNKLYLNQDKFRQYGLWERYAELYPENDLVYTVGVSDYQKDWFFAQVNREIGDNVYQSSTWQIKFKICNVDQDGTYTLRVALAASNHAELQVRINDPTTDPPLLSTGEIGGDNAIARHAIHGIYWLFTVTIRGSLLLGGENTIFLTQAKTANAFQGIMYDYIRLEGPSCQNGNL >Solyc01g094630.3.1 pep chromosome:SL3.0:1:85939172:85956590:1 gene:Solyc01g094630.3 transcript:Solyc01g094630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSLEESSKKTSRPQLVVLNKAFKLAEQWVNSMGNSSDDANPTVVVLESRPPRLGIGAAVPRQSQTVLSNDPAERRLRAKLDAEKRRKLKSSEASTISNKDGKVDEESDEDESESKSKAFTKKRPAPLPSTLQVKKKK >Solyc11g018495.1.1 pep chromosome:SL3.0:11:8618773:8619538:1 gene:Solyc11g018495.1 transcript:Solyc11g018495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSIFDAPKGDDPVTLNLGSMGKGEVWVNGQSIGRFWLSFHTPQGVPSQTWYNVPRSFLKPKDNVLVLFEEEIGNPLGITIDTISITKVCAHVADSNPPPVINSWRKHGRRPKVQLSCPQGRKISKILFASFGNPIGDCDDYDIGLCHSSNSKAIVEKACLGKSKCTIAHSSKKFGGDPCPGISKSLLVDVQCK >Solyc09g011120.1.1.1 pep chromosome:SL3.0:9:4477904:4478734:-1 gene:Solyc09g011120.1 transcript:Solyc09g011120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTRYWMSTKRKHDMTLSNNPSSYGDSWEEQAFAEDAAGALGGCIWPPRSYTCSFCRREFRSAQALGGHMNVHRRDRARMKQSPPSNSPSVHDHQVFIPPTPPLHSNHHHNSHVQYPSQICNTFMYNPNSDSASGVPIRVSSQKTLETHHHSSLSSIVHEEKKNSLSSSWSNLVADKYSCLSSVKNDEEKKMKSIDFKKDQERNLEVMIDSSFRAKHDHIVEPNYKRRKVDQEDNISFANLFPRTSSSIERCRPQSEALERIPSAIEELDLELKL >Solyc01g100960.3.1 pep chromosome:SL3.0:1:90712929:90720945:-1 gene:Solyc01g100960.3 transcript:Solyc01g100960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSPVSLSTSSSENSIVPSIYRKFYVLPTVIVHSFPSHTIKKSRTQINYVAYVQPHDVNRPRSSIRSTSFNVEGTGSTTSLDEEVIIDTSGTDASIKLPLPLCETQSSSSGASTDDSASLSIAVIGATGVLARKKIFPALFALYYSGQLPEKIGIFGYSRKKLTEEDLRAIIAPTLSCRIDHQENCEEKTDAFLKRIFYIYGGYDNREGMSKLNTLMEEVEGKFGANRIFYLSVPQEALIDVASSLAEKAQTRRGWNRVITEKPFGLGSFSSHQLTTSLLSNFEEKQLYRIDHLLGRNTIENLAVLRFSNLVFMPLWNRSYIHNIQVTFSEELGMQTSARYPKGYGILGDVVHSHIFQTVALLAMEPPVTLDGEDVRYEKVKVLKSIRKLESSDVILGHSEADSGSNFKDMENLMPTYFGAALHIDNARWDGVPFLIKAGWGLKKNRVEIRIQFRRVPGNIYHKDGGGHKQDLVTNELILRDVPDEAILIRINNKIPGLGMNLEASELNLLYKDKYNVDVTDSYEQLLHDVIDGDNHLFMRSDEVEAAWNILSPVLSELDNNNATIEHYEFGSKGPDKAGNLWAKHGVKWLDD >Solyc01g091040.3.1 pep chromosome:SL3.0:1:84636225:84639980:1 gene:Solyc01g091040.3 transcript:Solyc01g091040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIITNLTQHLLHLFKLSSSLSIFFLYFFYTFSLLNNNNNHLVKAHNFIYAGCSQDKYQSNSPFVPSLNSLLSSLVSSSSQSLYNSYAFGNDTSAPQESSIYGLYQCNADLQLKDCSTCVASAVGQMNIVCPYNFGAILQLDDCYVRYEHEDFIGRPDTSLRYNKCSKNQLRGDGEFIRHRDEVLAGLIQGGGGVTGSKVSGSGSIEGFAQCLGDLSPEDCSACVSEAVMKLKDMCGDAAAADVYLAQCYAKYWGSGYYHSFDRTNDDDVGKTVAIIVGVLAGVAVFIVLLSVCRKSIG >Solyc05g012805.1.1.1 pep chromosome:SL3.0:5:5968355:5973625:-1 gene:Solyc05g012805.1 transcript:Solyc05g012805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTENGSQRVEILKPIHRIMKSHPRHLHGLSILFFLPLFTLIVYPSFHLALFHPDYNFYQLDSHYLPISSFEIVLPILYTLCISLFYLCAVATITHSAAQALCDKPINLLSSVKSIRNSFFPLLSTFILSHTILISIALFFVIVVVFLVQILRSIRLIELKYDLNHFLYLCFFSLIVIVPILLWLQVNWLLAYVIAVVESKFGFEALRRSANLLKGKRWIASYTMLFDGLLMGLLVVTHSIIPVAVGVARGSLVVILAVVAGSLMMNYHLLKNVALYMYCKEQFFNGEKLLLESGDKFTGANEYVDNEKKSCY >Solyc03g120330.1.1.1 pep chromosome:SL3.0:3:70239854:70240939:-1 gene:Solyc03g120330.1 transcript:Solyc03g120330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLPGLPNDIALECLIRLPLHQFSKAASVCKNWKKEIKHPLFRQRRKESGLTRPVIVLSQAMVTTIRDPYGITSLSSTQYYRLTLYDPERGFWYDLPPIPELIDGLPMFCRVVGVGSDVMVIGGCDPVNWRVMDSVFIYNFVSGSWRRGADMPGGQRLFFGCASDSERFVVVAGGHNDEKNALRSALLYDVAEDEWVTLPEMAMERDECKCAFYRGEFHVIGGYPTPAQGQFQRSAEVFNSATSREWRLEEDFLGAATCPQTCVEGGDGRLYMCRDGDVVVKLDATWKHVARLPRGVSNGAYVTAWQGKLLAMGNSILGEIQNIYELVDVNSESKEKTWRKLNTPNEYRGHVQSLCYLDI >Solyc07g062717.1.1 pep chromosome:SL3.0:7:65533172:65534630:-1 gene:Solyc07g062717.1 transcript:Solyc07g062717.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSPDPIFHVLQFANDLVVDNSSPTSNSTPIPSPMPFPEAPIPLPPGNSIRHSYKTKRKYALELIVDSGQGGAKPASTPLDFNQRLTSHEFDIATGNDNDKLLPDSRNYQRLIGKLLYLTMTRLDITYVVKVLSQFMHKPKESHMLVALRVIRYIENAPGLGLLISSASSHSLTAYCDLDWAACPQTSKSAISRSFA >Solyc03g083530.3.1 pep chromosome:SL3.0:3:54900114:54902636:1 gene:Solyc03g083530.3 transcript:Solyc03g083530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISAPDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Solyc00g007195.1.1 pep chromosome:SL3.0:2:32602973:32605807:1 gene:Solyc00g007195.1 transcript:Solyc00g007195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFQVFDGHGGIDAASFTQKNLLSFIVEDTHFPSMVKRAIRNAFVKADYALADTESLDNTSGTTALTALILGRTMLIANAGDSRAVLGKRGRAIELSKDHKPNTTSEKLRIEKLGGVIYDGYLNGQLSVARALGDWHIKGPKGSKGPLSSEPELEELILSKEDEFLIMGCDGLWDVMSSQYAVTIVRKELMLHNDPERCSKELVREALKRNTCDNLTVLVVCFSHDPPPRIEIPRTQRKRSISAEGLDLLKGVLSDI >Solyc01g058070.1.1.1 pep chromosome:SL3.0:1:65001847:65002104:-1 gene:Solyc01g058070.1 transcript:Solyc01g058070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTLQSFSLQFFTILSVLITFFQLFRHEFNMSISIKGCSDSSLHLVAAAALSAEDRAVFVNALNNKLQNLSMEDTHTYHTVTTS >Solyc07g006850.2.1 pep chromosome:SL3.0:7:1713292:1724152:1 gene:Solyc07g006850.2 transcript:Solyc07g006850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFDYMSSSARRNLEKTPNRIVVNPQKSSEERVVDSLPVVLVNGTFDQHIMISWGDDRGKILENGELLTLSLDKKSGSGFQSKKEYLFAKIDMQIKLVPGNSAGTVTTFYLSSQGNKHDEIDFEFLGNSTGNPYTLHTNVFSLGKGNREQQFFLWFDPTADYHTYSILWNSKCIIFYVDDIPIREYKNPERLGLSYLKYQPMRLYSSLWNADDWATQGGRIKTNWELAPFVASYKNFTYEACIYSRLTSSSSCDIDSPTPINNAWLTYELDRTSRVRMKALQKKHMIYDYCNDKWRFPKVLCLVILAFCSLHYSLASNNFNQDFDVTWGDGRAKVLNNGKLLTLSLDKVSGSGVKSKKEYLFGRIDMQLKLVRGNSAGTVTTYYLSSQGSTHDEIDFEFLGNLSGDPYIVHTNVYTQGKGDKEQQFYLWFDPTADFHTYSILWNPQTIIFYVDGTPIRVFKNMESSGVPYPNKQPMRVYASLWNADDWATRGGLVKTNWSNAPFIAYFRNFKDNNACIWEFGKSSCTNSTKSWFYHELDSTSQARLQWVQKNYMVYNYCNDINRFPRGLPLDCMVVKYCASNDLNQDFDITWGNERGKILNNGEILTLTLDNISGSGFQSKKEYLFGKIDMQIKLVQGNSAGTVTAYYIFSGQCTNKGV >Solyc12g035197.1.1 pep chromosome:SL3.0:12:39362705:39369249:1 gene:Solyc12g035197.1 transcript:Solyc12g035197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGKNTSKIDELKKELCKSFSMKDLGHAKQILGTKITRLRDKRKIYLSQKKYIECVLECFNMKNAKPVSIPLAGHMKLSKKMCPTAREEKENMAQVSYSSVVGSLMYAMVCTRLDIAHAVGVVSRFLKNSGKEHWEAVKWILRSVLHYLQLRLSILRLLKPARNDMAKAISSRAWFELDGILCVHTSRVNSFIPKRKPGGRQCRIEQEIASQIIKGNNNETQIAIKCFKYYRGWKYEISRRHHFYRGTVTEA >Solyc02g087470.3.1.1 pep chromosome:SL3.0:2:50535196:50540498:-1 gene:Solyc02g087470.3 transcript:Solyc02g087470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSLSFQQVTPTNLQIAPIVVFLKFHEFRFPSGSSKDFLNPSKHLRSSSATAASRSGRRSGIRINTSERRNLKRAIGWLGFRDSTAVLGNWHKLFDNMRKRNR >Solyc06g051980.3.1 pep chromosome:SL3.0:6:35729155:35747056:-1 gene:Solyc06g051980.3 transcript:Solyc06g051980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMEMDSVECVSLMDGVEDEEIQSSIASHQYPLGKPNNILSAGNVPTSVHELLECPVCTNSMYPPIHQKIFEYWCGCYHFWFLGLECKTLVFNTIDELDANGTCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYFSLGCPEIFPYYSKLKHETLCNFRPYSCPYAGSECSVTGDIPYLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGVAPVYMAFLRFMGDETDARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNQDGGVCIPNLVTVSEVEALYELFKRISSCIIDDGLIHKEEFQLALFRNQKMENLFADRIFDLFDIKRNGVIEFGEFVRSLGIFHPNAPLNIFAVAFRLYDLRHTGYIEREELKEMVLALLHESDLVLSDDVVEIIVDETFSDADTKGDGRIDSEEWKEFVSRNPSLLKNMTLPYLMDITLAFPNFVVSSEVDDSEI >Solyc02g088070.3.1 pep chromosome:SL3.0:2:50904170:50908650:1 gene:Solyc02g088070.3 transcript:Solyc02g088070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEAIAIKDPAIKLFGWTIQLPDFPAPAPEDSSFLAGEVEQELKGLYDDCIDDNEHLTTEDSQDQNPIQQRCDIINYYESSTAKTSKSKEEHGETSNSHERNLKKPEKTLPCPRCNSMETKFCYFNNYNASQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKHKNSVLHYSHVSVSEALSNVRTNFPTETQHPPLTLNGTILSFDTDKPVSESMVSVLNVADKGMQNCSGNGFQKYKELRIQAGDNGDDHSDGSSVTAISSKDSDNGLPNTPRKNYNSFPTHLPCFTGAPWPYIWSSVHCRNAVPPPGYSLPGIPMSFIPATTYWGCTIPGSWNVPWMSPPTASHNQMPLTPDPNSPTSRKHSRDENVLKSTGTEEEQRKESDPGKRLWFPKTLRIDDPGEAAKSPIWATLGIKHEVVNSVGGGLLSDFLPKNDERSCVSENSTLLQVNPAAMSRSLNFNESS >Solyc05g012670.1.1.1 pep chromosome:SL3.0:5:5875619:5876953:1 gene:Solyc05g012670.1 transcript:Solyc05g012670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSNSNVVVIMVPFPEYGHQIPLLRLSQLISSYNIPVHYMSVGARNHELKQRQRQQEQHPNIQFHDLSITSKENDEENYMPYLESLLQIREPLSAICHSNNDKRVIVIHDAIMQFVVETVYTMSHVKVYFFHAVSAFTTYSMLQQIKENNEDDSLFTSLQGYIPSIESCFKPGMEELTKMLRDCKFKCGEILNSCREIEGRYIDELCKQSATPFWGLGPFNPVNIVRNSDKTHRCIDWLDKQPVNSVIFVSFGTANSFSIEQIHEIAIGLERSEQRFIWVLRGKDKKGEKVGDSIEKMDLPNGFEQRVENRGIIAREWVPQLEILAHRSTGGYLFHSGWNSFLESASMGVPMATWPLNSDNPYNDVLITKVLKVGLVVRDWVNKNELIKHEIIESGVRTLMDSAEGKEMRNRAIELSRAIKKSVGDGGSQMDTFIAHITQDD >Solyc10g086010.2.1 pep chromosome:SL3.0:10:65124848:65128418:1 gene:Solyc10g086010.2 transcript:Solyc10g086010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENLASVRPHSVLLLSQTLAAPPSPPLMATAAAIPTTTVQVFENDMATDGGSAAIPLPAVMKAPIRPDVVTYVHANISKNARQPYAVSRKAGHQTSAESWGTGRAVSRIPRVAGGGTHRAGQAAFGNMCRGGRMFAPTQIWRRWHRKIPVNQKRYAVVSAIAASSVPSLVLARGHRIESVPELPLVVSDSVEGIEKTSNAIKALKQVGAYPDAEKAKDSHAIRTGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEICHVERLNLLKLAPGGHLGRFVIWTKSAYEKLDDIYGSFDKPSQKKKGYLLPRPKMVNADLARIINSDEVQSVVRPIKKDVKRATLKKNPLKNLNVLLKLNPYAKTAKRMSLLAEAQRVKAKNEKLDKKRHQITKEEASAIRAAGTSWFKTMISDSDYTEFENFTKWLGVTQ >Solyc02g077790.1.1.1 pep chromosome:SL3.0:2:43213598:43213768:1 gene:Solyc02g077790.1 transcript:Solyc02g077790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNKINITVQSGEMRYKDVWRGMFDTWEEAARAYEAAAPQYLDPRTVNFPPITK >Solyc03g033270.3.1 pep chromosome:SL3.0:3:4868840:4870703:1 gene:Solyc03g033270.3 transcript:Solyc03g033270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIIQGMFTGLLGNLSLLSYFIKKRETEVVVVQTLGVVTIYVVISQLAMAGSMPLPHYAVTYVVIACGLVVNFMNYFHLLNPVIWRYWEDFITIAGLSALPQSTFIPYVPNTILPGAVAFVLAILAVFMVSFDTVACIYVSDWETSREGHQICRIIIWMEIDISCDNLPISALKPRSKILRGDDKFHIEAVISPVRLQFERTSTSRNELVL >Solyc06g007360.3.1 pep chromosome:SL3.0:6:1391820:1394635:-1 gene:Solyc06g007360.3 transcript:Solyc06g007360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S4 [Source:UniProtKB/TrEMBL;Acc:K4C3B9] MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIIMRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPAGFMDVVSIPKTNENFRLLYDTKGRFRLHSVRDEESKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETLHIQDSQGHEFATRLGNVFTLGKGTKPWVSLPKGKGIKLTIIEEARKRLAAQSATPA >Solyc04g026030.3.1 pep chromosome:SL3.0:4:19594690:19598252:1 gene:Solyc04g026030.3 transcript:Solyc04g026030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEANNNTESPYISSILPGWFSEISPLWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLSLDGVIQLTERDECAYQEMITHLPLCSIPNPRKVLVIGGGDGGVLREVSRHSSVEQIDICEIDKMVIDVSKQFFPNVAIGYEDPRVKLHVGDGVAFLKNVPEGTYDAVIVDSSDPIGPAQELFEKPFFESVARALRRGGVVCTQAESIWLHMHIIEDIVANCRQIFKGSVNYAWTTVPTYPSGVIGFMVCSTEGPAVDFKNPINPIDVDDSHTKTRGPLKFYNSEIHSASFCLPSFAKRVIESKGK >Solyc04g082140.3.1 pep chromosome:SL3.0:4:66003841:66008652:-1 gene:Solyc04g082140.3 transcript:Solyc04g082140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLKFAVAVFLVVLIGNSVVAEDPYRYFDWNVTYGTIYPLGVPQQGILINGQFPGPDINSVTNDNLVINIHNSLDEPFLLSWNGVQNRRNSFVDGVYGTTCPIPPGRNNTFNLQVKDQIGSFYYFPSLAFHKAAGGFGGIRILSRPRIPVPFPDPANDYTILIGDWYKKNHTDLKAILDGGRKLPFPDGILINGRGPNGVTFTVDQGKTYRLRISNVGLQNSLNFRVEGHKMTLVEVEGTHTLQTTYSSLDVHAGQSYSVLITANQEAKDHYIVVSSRFTTPVLTTTAVLHYSSSNTPVSGPPPGGPTIQIDWSLNQARSIRTNLSASGPRPNPQGSYHYGMINTTKTIRLASSAGQVNGKQRYAVNSVSFVPLDTPLKLLDYFKIGGFRVGSIPDSPTGGGIYQDTSVLGVNYRQFIEIIFENNEDIVQSWHLDGYSFWVVGMDGGQWTQASRNGYNLRDAVSRCTTQVYPKSWTAIYIALDNVGMWNLRTEFWARQYLGQQLYMRVYTDSTSLRDEYPIPRGARLCGKVANRHTRPL >Solyc01g090500.3.1.1 pep chromosome:SL3.0:1:84042409:84051267:1 gene:Solyc01g090500.3 transcript:Solyc01g090500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQLLQLFQNSQNPLAIDPLCSSVQEKPTNSPECPPSILAGGKHFSTEFYIELLKRYFPTQSPEMLSRCKTPTLQSLCVTLKLQFFSTNAHQKLPTLSKIPAKYRPQAILQAQEVLTEYFHCTRSLPFCFAEYMGKNSRFSLLALVSKVPFSRNRFPNSIQRFLRYHPINEFEFFFESIGINHVEISSMLHVNKCFLCEDSKVFDASCSLACYGFPWNKLCILYKGDVSIFSKEPYELEERLSYIERYGFCNVAVIGISLAFPYVLGEKSEDEIGMLFDDLKRVFLDFDLASSVEGNVNAWFEICRKIAMFYELGCEKGKIGESMGRNKSIFIDYSEEVLAKKIDFFCRLDVRKEEIGLLVLSKPEILSFDLEVRMISTVGFLKHFGLGVNKRKLIAQTYQYIMGRNRIANVPHVLRSLDLNEWFFDRLKNGGHSLLGNYVIGSVEDFDEDYAEHLQKIQATRTPFHTLHKLNFLHGIGFGENKVTMKVLSLLHGPGDELQERFDCLLRGGIKLSTLCKMLTTCPKILNQKAEFLEQKIKFLFLDMGLSQKELCAFPSCLCYDLEKRIMPRCRFHKWLREQDWCRYKYSLASIVATSDKMFMARISDIHPDAPKKWSDSFSKENDSISC >Solyc06g009425.1.1.1 pep chromosome:SL3.0:6:3338188:3339432:1 gene:Solyc06g009425.1 transcript:Solyc06g009425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEFERMLKSGIRSWDIASIIWFALMSRTRRDCSKWYLHIGAQPSCSILRCFQKSTETKECAVT >Solyc04g072630.3.1 pep chromosome:SL3.0:4:59677701:59691122:1 gene:Solyc04g072630.3 transcript:Solyc04g072630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRITKWKLEKNKVKVVFRLQFNATHIPQTGWDKLFISFIPADSGKTIAKTTKANVRNGTCKWADPIYETTRLLQDVKTKQFDEKLYKLVVSMGSSRSSILGEATINLADYAEASKPSAVALPLQGCNAGTILHVTVQLLTSKTGFREFEQQREHRERGLQSGENKNDDPVTGKVVFSGETGHDHIDKVSSRVRFRPEAKELSSVEEEVELNEYADLTAGFDGSSNTSESLYAEKHDSSSAHETDSQGMQSEKGNKSDSQAMAQSSSSVHGWASDCSMDNELAISYEENNRLRASLEMAESSIFELKLEVSTLQSQANELGSETEKFSQLLTAEISSSEELAKEVSVLQSECSNFKDCFERLRTLKSSCQNHGDEGCGADSGRLVQDPQLRWMKGISVVEDRIKELQNKVCLGFYERDYRFLHSELEALLQIVQEVKLGARDEMSLLNKVTSVDVKETKPTDLPNTELPLPGLGLELDLCTPENLLHHIGIPPLVSQGTDSTVAIDAMKAKIFDLVREVDEAKVERENLLRKMDQMECYYEALVQELEENQKQMLAELQNLRNEHSTCLYTLSSSKAEMELLQQDMSQRVLQLADERRDLDALNKELEMRAATSEAALKRARLNYSIAVDKLQKDLELLSSQVVSMFETNENLIKQAIPEPSQSQFLGYADVVQNLEEYDNTEQLQSKDQHVIARKLTLGGDVLTDDLKRSLCLQEELYRKVEEELGEMHSVNLHLDIFSRVLLETVFEANANAGMMKRDMYELAQHLEASNLNKEQMAIRLQAALEDVHILHEEKASCILRCSDLVLQNQSLEAELASLSKANRLLTDKVMELEAIMVQHTETQNRYEACVGENVALSTSLNQELLNNSRLQDEISHLKDDLLTVRANSEDLASSNENLHEDISFVQGKLAGMLVSYEKELSLLCNSSSHEMDLRDIRGLTIQLEEAQYSLLSKILHLMQEKQNLESEISVAEVSLKASRSEIICMKQKYKKDIESMVAKFDVSTALVEKLQVELESVTNKLHLNSEVEEKYAQQNRELLDDLAAFEVELQNLVSKNGHISREIFGLDSIANELDQNDLTISELVQEKEDLMTSLHDKSEEFAKLTSEVNHLRDKLQDELQLERGLKDKLEGSVQNLTLQLNQKDDRLLDLEKQIAELVHFRQLASELEIEKSRLSHLLQQHDEHAAQLQEELSCVSGLEGSVRDLTSQLNEKHDRLLDLEKHNAEMVHFRQLASDLEVEKSRLDQLLQQRGEHITKLQEEMSCLSGLEDSVQGLTSQLNEKNDRLLDLEKQNAELSELVHFRQLASELGVEKSRVDQLLQQRDEHVAKLQEELSRVSGLECSVRDLTSQLNEKHDRLLDLEKQHAELVSFRQLAADFEVEKCRLDQLVLQRDEHVAKLQNDLSCVSGLESSVRDLTSQLNEKNEKLLDLEKQNADLVHFRQLASELGMEKSRLDNLLQQRIKQMEKLQLEVSYISDLRRYMLEIQEYAVASDVKFTVAMSHCETLNLEFVRQVKSSDGSSAELQKRCHDLQANLNQCLANEACSIKENKELLQSLSSVRSDLEASIAQNNVLSDAKYVNTVKLEEYKKEMTILEDSLLENNNHHALEVEKLKNELANAEEELNYLSLSKEELEIMVIVLRGKLDELHPHTILQENNKDEMVTLQSQCDKLTHKCNELTHKLSEQALKTEEFKNLSIHLKELKDKADAECLQVREKRESEGPPVAMQESLRIVFIKEQYESKFQELKQQVSISKKHGEDMLLKLQDALDEIESRKRSEALHLRKNEDLALKILSLESELQSLLSDKREIVKDHDRIKAELECALLSLECCKEEKEKLEITLQERAREYSRIAAELTSTREELMNVTSSVVSKRENGQMTKVGLAPNETNVNPSPDATPREDSSDAWNVKETTLFMDDRSEESSSPVKLPLSPDAASVGVHATTGDAPQEGYSPPSNGRHIDFSSEQFASRNFRSSMEHLHEELERMKRENSLIPEDHYSDQGFEIFQSELVQLHKANEELRSMFPTFKDTATTGNALERVLALEIELAEALKAKNKPSMFQSSFLKQHSDDEAIFKSFRDINELIKEMLEIKEKQVAKENELREMHDRYSQLSLQFAEVEGERQKLKMTLKNVRASRTKLIQLDRSSSSIVDSPS >Solyc09g009670.3.1 pep chromosome:SL3.0:9:3068566:3079954:1 gene:Solyc09g009670.3 transcript:Solyc09g009670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSWWRNHEGILTTFYPLFLGQVVSFVRALISFASSLVANLGVNTPLSLSFFTYTALALVYGGIMIYRRQKLQIRWYWYALIGFADVQGSFLVNKAFQYSSITSVTILDCWTIAWVMILTWLFLGTRYSPWQFFGAAVCLGGLGLVLVSDAKASDGSGGSKPILGDIFVIIATFFFSMSNVGEEFCVKKKDHVEVVSMIGLFGLLVTIIEIPIFERKSLKSVKWSAELIVAFCGYAAASFMFYTLVPFLLKMSGSTLFNLSLLTSDVWAVAIRTFFYKQKVEWLFFLAFGLVVTGLIIYSKTEKDHVNASATIEEANNEADQRGGMAMGVPAHHPSSSFSSLTTPSYGQQFGGLGRNLPDSTPPTSTTSQVRQPIQGMHGMGMMGSLGSTSPMRPAGISPQQLRPVSSAIRPQTSIGSQSAATQNFQGHSMLRVQSVGFPPSQSHTTTSQSPKTQTQPWLSSGAPGKPPLPTPSLRPQINPQSLHQRSHILAAHQHTVTTSSSAQQSQPSTSSQSQDHLGQQMPPSRIQQSLSNQALGRGQGLGIQRPSSHALMQPTAVQPGLPSKAATTLEMGDPCTRILSKRSIQEIVTQDPLIMNPYIPLCNSDILHHSWKLAKFFCSYKLYDGVCASLNPMGRPQIDPSEKLDAEVEDILVDIAEEFVESITTFGCSLAKHRKSNTLEAKDILLHLERNWNMTLPGFGGDEIRAYKKPLTSDIHKERIAAIKKSTLVAEMTNAKGPTQTGGNMKSHLAKNAANIMGSPNAKT >Solyc04g064460.2.1 pep chromosome:SL3.0:4:55604078:55604949:-1 gene:Solyc04g064460.2 transcript:Solyc04g064460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VDGLFLVQKLFYGDDVCLGLWNPATREFRSLPPAPFEIESFFSHHNHQYGLGFDLLTLDYKVVWLRGLWDDLGLDVHWPLNIWDNNKMVFKITGTSELVLYDPKTRRVTDLGFQLDRNINDCCFFNYKESLVPIKRGNKTQGDDNAVKKIENYFDMIPMDEASS >Solyc05g016585.1.1 pep chromosome:SL3.0:5:17558456:17560274:-1 gene:Solyc05g016585.1 transcript:Solyc05g016585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCAAYVINRMPLFANNMKSPYELMFGEKPNIKNIRVFVSICYVHIPDSQWSNLDPKERKCIFVGYDETKKGWKCIDPKTYRFVVFGEVVFDETSLYYEVTSKGEVARLQEELALIFNIKKLGELHFFLGLELTNTGKGVFITQKGYAKMLVDRFGVKQIKYFSTPLETSTRLRREEDPKTYQVLVGSLLYLTITMPDIAFSVIYAVTKKVLIGSCKAVPELYQLNIRYGPFFKRNNDLILMVYTDTNFSDDKDNRRSTSGYIFLYVEHVFLGAVRSKTQFLYQLRRRNINQQPLLLKNVYGSKHLL >Solyc12g100200.2.1 pep chromosome:SL3.0:12:67995266:68002905:-1 gene:Solyc12g100200.2 transcript:Solyc12g100200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSLSHTSSSTTAFFLSSSAKFLRPRFSSCPFQFPIHQKRHRSLFSHSTAASMDSAATVDSVADDLKKQNLKGVEIKLKLNLEELNWDNSFVRELPGDPRSDSIPREVLHACYTKVLPSVPVNNPQLVAWSESVAELLELDPREFERPDFPLIFSGASPLVGAIPYAQNYGGHQFGMWAGQLGDGRAITLGEILNSKSQRWELQLKGAGKTPYSRFADGLAVLRSSIREFLCSEAMHGLGIPTTRALCLVTTGKDVMRDMFYDGNPKDEPGAIVCRVAQSFLRFGSYQLHASRGKKDFELVRALADYAIRYHFPHLENMTRSESISANTDVDLTSNKYAAWAVEVAERTASMIARWQDVGFTHGVMNTDNMSVLGLTIDYGPFGFLDSFDPSYTPNTTDLPGRRYCFANQPDVGLWNIAQFTSALSTAELLSDKEADYAMERYGNKFMDDYQDIMTRKLGLTKYNKKLIGELLKNMVSDKVDYTNFFRSLSNIKADPAIPEDQLLIPLKDVLLDIGMERREAWTNWIKSYIQELSTTGVLDEERKVSMNFVNPKYILRNYLCQNAIDAAEQGDFEEVRQLLKVMQCPFDEQPGMEKYARLPPAWAHRPGVCMLSCSS >Solyc06g061180.1.1.1 pep chromosome:SL3.0:6:39300608:39301249:1 gene:Solyc06g061180.1 transcript:Solyc06g061180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSDNSTTHVHQEAEEEPNPTHQFMLPPGLTPEESDELKSSVTDFHSYQVNSSQCSSLLAQRIHAPPHVVWPVVRRFEKPQIYKHFIKSCSVAENFSMVVGATRDVNVISGLPANTSTERLDLLDDEKYVTGFSIIGGEHRLKNYRSVTSVHGFERHGRIWTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVAEAIALGGNGEIS >Solyc07g055890.1.1.1 pep chromosome:SL3.0:7:63927694:63927891:1 gene:Solyc07g055890.1 transcript:Solyc07g055890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGPVQVRNSARKQSHILQSCSYAIASKQSHLLQVLSLSYKCFMFTCYTLDVPRAISNILHFSY >Solyc02g031960.3.1 pep chromosome:SL3.0:2:27707207:27708025:1 gene:Solyc02g031960.3 transcript:Solyc02g031960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRCNYSVIFVFSIVLFASLIPKLHANIGDFDPYLEKKAEEALQSSLAAYNENPEQLTQTFNKEVGETLFNGTRRYLREII >Solyc05g014390.3.1 pep chromosome:SL3.0:5:8239341:8245699:1 gene:Solyc05g014390.3 transcript:Solyc05g014390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWTPLACNAVFAGVNTIASYIGPLLITSFVNFLSEKKDESNWQQGMMLAFIFFFAKTVESLSQRQWYFGANRIGVRVRAALMALIYKRTLSIKYGGTKDGKIINFINVDVERIGDFCWYIHGVWLLPVQVTFALLILYRNLGAAPSIAALLSTIFVMVSNTPLANMQEQLHSKIMEAKDVRIKATSETLKSMRVLKLHSWESTFLKKLLQLRENERGWLKRYLYTCSAVAFLFWASPTLVSVVTFGVCIILKTPLTSGAVLSALATFRILQEPIYNLPELISMVAQTKVSVDRIQEFMREEDQKKLTSYNTPNTSEVAIELEPGEYAWGTNESKKSTIKITEKIRIMKGWKVAICGSVGSGKSSLLCSIMGEIPRISGSSIKINGSKAFVPQSAWIQTGTVRDNVLFGKEMNKARYDDVVERCALKRDIEMWADGDLNLVGERGMNLSGGQKQRIQLARAIYSDSDIYLLDDPFSAVDAQTGAHMFKKCLIQHLQEKTVVYATHQLEFLDTSDLILVMKDGRIVQSGKYNKLIADPDGELLRHMVAHSKSLDQVNPSQKCSCLTKGKHQNNQIEVEECFEDLTCDNRILGRTQQEDAVSGRVKWKVYSTFVTSAYKGGLVLPVLLCQVFFQGLQMASNYWITWGTEEEGRVTSERLIGIFVLMSGGSSLFILGRAVMLSTIAIETAQKLYIGMIKSIFRAPLSFFDSTPSSRILNRSSTDQSIVDTDIPYRLAGLAFALIQLLSIVVLMSNVAWQIFFLFLLILALSMWYQAYYITTARELARMIGIQKAPILHHFSESLNGVATIRCFNQEDRFLKKNLSLIDDYSRVVFHNSATMEWLCVRINFLFNLIFFFLLVILAHLPREAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFSDVPSEAPLIIEKSRPKPDWPLKGRIEIKDLHVQYSPDLPRVLKGITCTFPEGKKIGVVGRTGSGKSTLIQALFRVVEPSEGCILIDGIDISKIGLQDLRSKLSIIPQDPILFQGTIRTNLDPLQQHTDQDIWEVLQKCHLADIVKQDLRLLDAPVAEDGENLSVGQRQIVCLARVLLQKRRILVLDEATASVDTETDNVIQKTIREETNECTVITVAHRIPTVIDNDLVLVLGEGNILEFDTPNRLLKNSSSAFSNLVAEFLRRSSKGLT >Solyc08g016793.1.1 pep chromosome:SL3.0:8:9433110:9434463:1 gene:Solyc08g016793.1 transcript:Solyc08g016793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGVTCHHRLWTTHTVGLHLAWHDITAFGHHTLLNNVGRGMPSSPLGNTHAHGRQTRSNDVGRDMPSPPLKSTHSPTTSEHTVSNIGRGMTSPPLDSTHGRQQRALHEITALGQYTRSDDVGTGITSPPLGSTHAHTVELHQAWHAIIALGRQTRTNDHTQSDEVGRGMTSPPLDNTHDRQCRAWHDITAFGQHTQSDDVGRGMLSPPLDGTHGRMTLGHARSNDVGHGMTSPPLDNTYFCPTLGVACHHRLWAAQTVKRRWSWHDITSFRQHTRSNDHAWLDDVGRGMTSPSLDSKHAHTTGNVGCGMTSPPLDSTHGRQCRAWDDITAIGSTHSRTTPGVAWHHRL >Solyc04g078500.1.1.1 pep chromosome:SL3.0:4:63316215:63316910:1 gene:Solyc04g078500.1 transcript:Solyc04g078500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEVCFCLLARLVEFLIQSAQDLQVSPIVKYSALSLFADRFYPSLTGEGTKDAKSWLLQPLRESNLQLFALVAIWISSKIHDSPSLSVKSFKSLADNIIKEQHFTTKDFLEAELVLMEVLKFEIGMKSIPFRFFEDLLLKFSEVARVGKQLSLEACMDIMDLVYEKGKISSFNCSSHHLAASIVVAAYAITVPLQQSEFPILLWVKFVTSCKEEDIVVTVKNILMYVFEA >Solyc02g070640.3.1 pep chromosome:SL3.0:2:40899155:40909451:1 gene:Solyc02g070640.3 transcript:Solyc02g070640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTYKFHQYQVVGRALPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRHHCIQIIKTATIPAKLCKRESTKQFHDSKIKFPLVFKKVRPPSRKLKTTYKATKPNLFMFDQQWCLSFVFHQYQVVGRALPTETDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQMLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGGVEQMYTEMASRHRVRHHCIQIIKTATIPAKLCKRESTKQFHDSKIKFPLVFKKVRPPSRKLKTTYKATKPNLFMIFDIEIHGILLWASMGFLMPAGILTIRFSNTEECSTTKLKILFYVHGTLQVLSVVLATAGAVLSIKSFENLFNNTHQRIGLALYIAVYVQFFMGFRRPMRGSKGRSVWYFFHWLLGTTICLAGIINTYTGLNAYNQRTSKPISLWTIIFTAQISFMGLFYLFQDKWEYIQKQGVILNNNETTTPQVEIVVPQNDEQKMIMRTESGRKSNALGTFFSRHNVLNKLFQQT >Solyc12g062640.1.1.1 pep chromosome:SL3.0:12:33946077:33946352:-1 gene:Solyc12g062640.1 transcript:Solyc12g062640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRYWNINLENFGRGTRKWNPKKVPYISAKRKGIRIMNLTRTSHFLLEACDLVFDATSKGKQFLIVGTKNKAADLVEWAAIRARCHYVNK >Solyc02g083600.3.1 pep chromosome:SL3.0:2:47502946:47512152:1 gene:Solyc02g083600.3 transcript:Solyc02g083600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVKRNVAIVISSSDDDEDFSVKSNFTHTKSKSNSKSVSASVPRRYPKRAKTAKLSLSCPRPSKEGSVFDEMKRFCEEFDDDFMGIKVSAGRRSNNDMWIDKHKPHFLEELAVQKKKVEEVKTWFEERLKAAVDGHSNVLLVAGPSGVGKTATIHAIASNLGVTIWEWTTPTPTVWPEHLHNSNSGLKYMSKLDEFEGFVERVRKYGLTSPTLKGSQASVILLVDDLPVVNVRAAYGRLQRCLTLLVQFVRIPTAIVITNYDKDVSADFSTRCWEELLLSLHSAGACKVNFNPVTVNSIKKTLTAICRKEQLEVGADSIDLIAKASGGDIRQAINSLQYLCLKPHRRPILSSKERLDNTSCLDDVLCLPFGKDETLSLFHALGKFLHNKRESEHTIASDRDTFLLKEKFVRFPLKMDAPEVILCQAHGQATTLSDFLHENVLDFLSEDAIDDAWLVASYLSDSDFLLSSLSGHLSRDFGAENIIQSAAASVASRGVLFGNAHPVPSRYNVIVSN >Solyc05g005820.3.1 pep chromosome:SL3.0:5:648304:651290:-1 gene:Solyc05g005820.3 transcript:Solyc05g005820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BWA9] MGKVKIGINGFGRIGRLVARVALQSDDIELVAVNDPFITTEYMTYMFKYDTVHGQWKKHELKVKDDKTLLFGDKPVKVFGARNPEEIPWGEAGADYVVESTGVFTDKDKAAAHLKGGAKRVVISAPSKDAPMFVMGVNEKEYKADINIVSNASCTTNCLAPLAKVINDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAAAHNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTARIQKSATYDEIKAALKAESQGNMKGILGYTEDDVVSSDFIGDCRSSIFDAKAGIALNGNFVKVVSWYDNEWGYSNRVIDLIRHMSTVV >Solyc02g092145.1.1 pep chromosome:SL3.0:2:53975364:53980232:1 gene:Solyc02g092145.1 transcript:Solyc02g092145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISVYKPYGDHEFQSLIERIHPPRFSIDNDTCRNCTIVKVDSANKHGILLEMVQVLTDLDLLILRSYISSDGGWLMDVFHVTDQLGNKITDESLIHYIEQAICASRRGSREVQTCVGRNVRPRHVSMEHTAMEMTAIDRPGLMSEISAVLAELGCHVSGAVAWTHNKRAACIVYMEDDLKHGPIMDPYRVAQIQAQLENVVEAHHYEGERRSVRLAAPAASQTHTERRLHQLMAADRDYEQCCSCGYDSSGDDVAHRQKKGSNSTEVKIENCKERGYSIVTVRSMDRPKLLFDTICTLTDLQYVVFHASINSFESIAIQEYYVRHKNGWTLDSESERRKMIQNLMAATERRVSHELRLDVTTQNRVGLLADVTRVFRENGLSISRTEVGVQGEQAVGTFYVKDTSGQDVTSETLEVVRREIGGTVLVANKSSGRPSSQPTDLSNTSPSSSSGKQDKKPGFSLGSMFWSQLEWLSSNFRPIKS >Solyc04g080710.3.1 pep chromosome:SL3.0:4:64883952:64886378:-1 gene:Solyc04g080710.3 transcript:Solyc04g080710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAKRTSNTLETNKGPQNAPKKSRVDSRASCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRSKASRSLHGGGGEMNNEEMKRDLRSRGLCLVPLTCLTYVTEGGGGVWPPPNFTGGT >Solyc01g079155.1.1 pep chromosome:SL3.0:1:78108753:78110836:1 gene:Solyc01g079155.1 transcript:Solyc01g079155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSFLSSNKRQSTRTSRPPLWQKDFITTFKSKSSYWIAAQEGTLEQPFELLLNPWAGKREPGELKDLSSQRSNITLINETKQVLKDNFKIKDLGSLRYFLGIEFARNSEGILMHQRKYALEIISDLGLCGSKPIATPVEMNGKLTTAVFDKHVGVTSDPVLSDIGEYQRLIERLIYLTITRSDLSYAVQNLSQFMNAPKQSHMNAAIRVVRYVKQQPGLGVMLSAQHSGSLQAFCDADWGSCPDTRRSITGYMVTFGESLLSWKSKKQSTVSRSSAEAEYRSMASTVAEVTCLYIVE >Solyc05g013140.3.1 pep chromosome:SL3.0:5:6229738:6235715:1 gene:Solyc05g013140.3 transcript:Solyc05g013140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRSESEVHVRSEKVLPLQGQMIQRTRLKVYFVRVCSSILIWTCLVQLIAVWELYNPRWFSGFTGYTTKVSVHVEETLPSPLLLLPPIVTLVSKWRLKNASLKGNYTNNGFLQVSCNGGLNQMRAAICDMVTVARLLNLTLVVPELDKTSFWADPSNFDDIFDVRHFIDSLRDEVRIIKRLPKRFSRRYGYQPLEMPPVSWSNEKYYLQQILPLFKKHQVIHFNRTDTRLANNGIPLELQKLRCRVNFQALKFTPDIEALGEKLVRLLQERGPFVALHLRYEMDMLAFSGCTHGCTEEEAEELKRLRYAFPWWREKEIVSEEKRSQGLCPLTPEEAALILQALGFDKDIQIYIASGDIYGGERRLASLRAAFPKIVKKDMLLAPGELQHFQNHSSQMAALDFIVSTASNVFVPTYDGNMAKVVEGHRRYLGFKKTILLDRKRLVELLDLHQNGTLSWDEFTATVRQSHEGRMGQLSRRRVIADKPKEEDYFYANPQECLCESKTCDDLFGPDNSTAVQ >Solyc01g091140.3.1 pep chromosome:SL3.0:1:84718578:84719738:-1 gene:Solyc01g091140.3 transcript:Solyc01g091140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVQPLFFFPITHQQEEDEQKQASLAQLLKALMVLIGQINPTLFTVMFLLHSSLCFTPYSDESPLYSSVFKTLPFPKPISDSTISQLFYVSLALSAWKSTGFSTWSLRVKPRSGNLHPTEAYIICPPVESVSDKGFVAHYAPKEHSLEIRAQFSSGLFTGFFPENSFLIGSCYCCCFNVGYEALEKFTGVENFPKFKVPSRGLNEFEVDYKELSCAISEFSGLDWKGKPNVLSKEHICWDIIYRTAEAAKKPLTMSNLLAVDPFQSSGTFSESSYKDLTLREVVRKQRSMVLPQCPKKHFIRYYCIVHLLVHTGKETC >Solyc10g074800.2.1 pep chromosome:SL3.0:10:58573994:58577523:1 gene:Solyc10g074800.2 transcript:Solyc10g074800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNQDALSNGYSENEANGFWGVLARKGKDILEESQEGRTILETSQDHVSNGRNPWQMQTTRPQTQTVHEDQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKQRSSQLEEENKMLREAREKGDNPADDDMIRLQLETLLAEKARLAHENSVYARENLILREIVEYHQLTMQDVVYLDEGFEEVTEVSPIPAVSRMLSVSPPLSPKSIHHSSPSRNSSFTNLTTLGSQQVNTNEEISTTTSSKGKDLTRK >Solyc12g099460.1.1.1 pep chromosome:SL3.0:12:67587330:67587479:-1 gene:Solyc12g099460.1 transcript:Solyc12g099460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFKCRSKVVLVETITVSITLKVVAKRCILVTTLIAAETFTCCGKRCY >Solyc01g094540.3.1 pep chromosome:SL3.0:1:85847368:85848298:-1 gene:Solyc01g094540.3 transcript:Solyc01g094540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVNSIRTKIDISSKPLQTPLQYINYRMRVTIQDGRQLIGKFMAFDRHMNLVLGDCEEFRKLPPTKGSKEEREDRRTLGLVLLRGEEVISMTVEGPPPPDDSRVKATTAGSAVSGPGIGRAAGRGVPTGPLVHAQPGLAGPVRGVGGPQVSADGSSTSHDEGSTSS >Solyc01g066207.1.1 pep chromosome:SL3.0:1:73485191:73489420:-1 gene:Solyc01g066207.1 transcript:Solyc01g066207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVRFNGQSSGLWSLTSHWVVLFVFNANLAARLEFRGPCNVPDMNNQHQICVDEDAMLMDDLEDKVGVQEATLMEVPIPLLESQETKTDVVEDETIYHEFSNLKTCMTTTIMYLLKSA >Solyc03g046495.1.1.1 pep chromosome:SL3.0:3:13034049:13034283:1 gene:Solyc03g046495.1 transcript:Solyc03g046495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKEVRNFVTFINRAATSGVEVDISAKVAPLNANMACLMIFGKKYMDDDFDKGVLKMLFKKI >Solyc05g052810.3.1 pep chromosome:SL3.0:5:63839394:63840074:-1 gene:Solyc05g052810.3 transcript:Solyc05g052810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGRQGERVRLYTRGTVLGYKRSKSNQYPNTSLVQIEGVNTKEEVDWYLGKRMAYVYKAKTKKNNSHYRCIWGKVCRPHGNSGVVRAKFKSNLPPKSMGAKVRVFMYPSNI >Solyc08g076740.3.1 pep chromosome:SL3.0:8:60810875:60812264:-1 gene:Solyc08g076740.3 transcript:Solyc08g076740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLAVHFFSIAPPWLGIGPSFFNSQKTHGLHVGIAMFTCYLMGYFTFRALFNHNPAMSAAGGILGLVIAMLVETLLFIIRTSSLDKKPARKATSTQKKNQ >Solyc11g028020.2.1 pep chromosome:SL3.0:11:20043047:20051086:-1 gene:Solyc11g028020.2 transcript:Solyc11g028020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TAGL11 transcription factor [Source:UniProtKB/TrEMBL;Acc:Q8H280] MGRGKIEIKRIENNTNRQVTFCKRRNGLLKKAYELSVLCDAEIALIVFSTRGRLYEYSNNNVKATIERYKKATAETSSAYTTQELNAQFYQQESKKLRQQIQMMQNTNRHLVGEGLSSLNVRELKQLENRLERGITRIRSKKHEAILAETEDLHKREIQLEQENAFLRSKIAENERLQELSMMPSGGEEYNAFQQYLARNMLQLNMMETALPSYDPLSPDHKR >Solyc10g076533.1.1 pep chromosome:SL3.0:10:59612865:59613302:-1 gene:Solyc10g076533.1 transcript:Solyc10g076533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVKNCIQLHGEDRPTMKEVAIELEGLRKVPFPFLLKVVWLGIPLYLSRIVIPTPTLGTLSTEHLNLL >Solyc12g044790.1.1.1 pep chromosome:SL3.0:12:61032989:61034146:1 gene:Solyc12g044790.1 transcript:Solyc12g044790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQRKKFFSEEIIIKILNGLPLKSLARCSSVSKNWRKYVAEIYRSRLQWPKPYLFGFFCVEKRLQSRFFFSSKESPLLIGNSLDECIDFISERVYIVASSNGFLLCNKLRSRQRVYYVYNLVTRQRFDLSRTEIPLKDPYVGFIVKETDESVSFTIVRYEVTSPVSRMKFRFQYSLTIESYSSDTKEWTANSLIEDVPFPLYPSRDEISSSSAGVLDGVFFWLDNYGQWMTVYDSVNEYFRALELPERRTMIYPGYCCLGLSGGKICLASTGWTTITCWQLNNFPSRDAVWVRKYAVNVASVVEKCEQDFGLGGGSSLDRELRNMIFHPALSHMLYLQIRSMVISYDLETNTAKFVYDFGEAWRKTIHYKLFSYEWPQWPRLQ >Solyc10g054460.2.1 pep chromosome:SL3.0:10:55452843:55463042:-1 gene:Solyc10g054460.2 transcript:Solyc10g054460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPYVSNSPRAAYLNYRDLDLRINQQGNYSSYRQAIMTWGTKYFKSNFQRLAKATHQIDPNNFFTNEQSGIGTMMRKYGLAADNIIDANLVDPNGTILNRKTMEEDVFWAIRGGGGASFGVISAWKVRLVRVSSLVTVFKIHKRLDQEGVELVHNWQYIASKLPEGLFIRVLIQQIDGIGRQGNVKLLEVLFNSLFLGLKSDLISLMNANFPELGLKMEDCTEMS >Solyc09g031870.3.1 pep chromosome:SL3.0:9:27830391:27846994:-1 gene:Solyc09g031870.3 transcript:Solyc09g031870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDLQRIVRRQCRPKMHDTISVYCRLPLYRIANVGAYSVNSRSRREDAAMSLLYLGSLMKAKGVGHVGGHKIIRSYWRNYFKQTDGLVWVVDSSDLRRPDDRKYELHNLMKMERLSGASLLIFANKQDIQGALSPAEIAKVLNLEAMDNSRH >Solyc11g039953.1.1 pep chromosome:SL3.0:11:40788902:40792755:-1 gene:Solyc11g039953.1 transcript:Solyc11g039953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENPNCTVYVGNLDERVCDRVLYDILIQAGRVVDLYIPRDKETDKPKGFAFAKYETEEIADYAVKLFSGLVTLYNRTLKFAISGQDKPYNNSPIATLPALNIPSRPRPDKEISPNSARLSTSCLFSQHQTSYAQVPVPPGVSPPIITTSIVTRI >Solyc10g017970.1.1.1 pep chromosome:SL3.0:10:6216085:6216267:-1 gene:Solyc10g017970.1 transcript:Solyc10g017970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFVLVVTILALLLSVANAQQCGSQAGGALCANGLCCSQYGYCGTTPDYCGQGCQSQCN >Solyc01g058670.3.1.1 pep chromosome:SL3.0:1:67461406:67463037:-1 gene:Solyc01g058670.3 transcript:Solyc01g058670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMVVEMSSTVISNPVTSSDRVISRRKKSKKSLRNQTQNSSNNNNNNSETPTNTTEWKTQAQQQVYSSKLLKALREVRISSPAAAATTTTSSVPAPKGGRAVREVADRVLAVTAKGRSRWSRAILTNRLKLKFMKKHAKRQKMAVSSTSRLPRKPRLGILKLKTKNLPAFQKKARVLGRLVPGCRKQPLPVILDEATDYIAALEMQIRAMSALADLLSGASSSTTAPLDQLSSSRPPPI >Solyc06g074815.1.1 pep chromosome:SL3.0:6:46493083:46494870:1 gene:Solyc06g074815.1 transcript:Solyc06g074815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGLEFRLKSGDKKTPAWQYQVVWQRLFQNVEIPGSLCDVYSRLGIRSSRAFALGSTIITKGEEFTICRTALGNSFKNGSLNNPRPIYT >Solyc11g061811.1.1 pep chromosome:SL3.0:11:48840101:48840871:1 gene:Solyc11g061811.1 transcript:Solyc11g061811.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEPDSDFIAEDFCLQAIIYIEKILKTQRVPIIVGGCFIWIDVEKSVLNRRVDMRFDQMVKAGLVDEVREILIPNITIQESDGPSVEEINIDGDEESKKMILQAAPSSIKCNTRMLICNKLDKIQRLIREKIWSVHHITGTDRFQRRERRRFGRSMDVYCFATMPRYCEDISQK >Solyc02g081910.2.1 pep chromosome:SL3.0:2:46225612:46237051:-1 gene:Solyc02g081910.2 transcript:Solyc02g081910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVQQLLTTASTSCRSIMLQNRTLHNYQANCLQCSTFATVDSFEKMSRKARICCGIRARQPRNLRVVSQQKIHPLRSYYTNEPGSSSAFSVLQLSKEGFPSTPMPIIFSFRPFGGIGSRSYNTDGKMHFSRDARAIADKGSGGAKVFSDGNKTFFKNLKNHSERSNELAVHRRNALSDKVTEKGTPNSKVSASKNVDLVISKDTPVKTDEKDVNLDISGIPLGNNGKPTSTGSEKTKKQSRSKKDKNVSSATVDQPKASKTRRAKKPSPAKDEESPAVSEISSPVHSSSVGPADNVSMKVDLAQVKRTSPRKRKPTKVSNSVAELNGATVVPSDSKLVTDKSSDVSSKSKPPGQKKWPKLYPPTAKSVLVVESVTKARVIQGYLGDMFEVLPSYGHVRDLAARSGSVRPDDDFSMVWEVPSAAWTHLKSIKVALSGAQNLILASDPDREGEAISWHIIEMLQQQDALRDDINVTRVVFNEITESSIKASLQSPREIDANLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLICDREMEIDGFKPQEYWTVLVEFKKNKNLDLANNFLSSHLTHFDTKKLSQFSVSSHTEAMEIEGKINSSNFEVLSSKITKKQRNPSPPYITSTLQQDAANKLDFSSTYTMKLAQKLYEGIQLSDGKSTGLITYIRTDGLHISDEATKDIQSYISERYGQNFASKNGRKYFKKVKNAQEAHEAIRPTDIRRLPSKLVGVLDDDALKLYKLIWSRTMACQMEPATIEQIQVDIGKSDQSIIFRSSSSKVQFPGYQAAYEDVETNSTRDNENGRDDHSEVFEALRNLTAGDPMYLGKVKLEQHQTQPPPRYSEGSLVKKLEELGIGRPSTYAATIKVLKDRNYVIAKGRTLHPEFRGRMVSAFLSHYFTEVTDYSFTADMETELDNVSAGLTEWKGLLRDYWTRFSKYCEHTGNVHIHQVEKMLEKTFGDFLFASLPNESRTCPSCLQGTLIFKVSRFGAGYFIGCDQHPKCKYIAKTLYGEEDEDISSEDTKRSVEPPKLLGVHPSSNEKILMKNGPYGYYVQLGEDKKGYVPKRASLSQVKDVNSVTLEDALELLRYPVTLGNHPDDGQPVVLKLAKFGFTIRHRRTIAPVPKNLKPKDITMEKALKLLLSKDVRRCGRPKRQPQVEEAIEAT >Solyc07g052000.1.1.1 pep chromosome:SL3.0:7:60680637:60681188:-1 gene:Solyc07g052000.1 transcript:Solyc07g052000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVTGSIIFDLATIEKNEWLAWSLKLETIFKEGILADEMGMGTTVEPIAYVLAQRKLKKTTSGSSVLSSSPDTSEKLPTVKETLVLCPVIGSMQWFLEIEHFTTKGSNKNLVYQGTNREKCMYKLEEYDFVITTYSTIQADYWSKKSKQNSKNSKWSNDGFIENSAWVGQNVFIRKSVLHSVK >Solyc04g005860.3.1 pep chromosome:SL3.0:4:546786:552332:-1 gene:Solyc04g005860.3 transcript:Solyc04g005860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSRPDSCVGGKLKGSNKFRKKRGGRRRRKKSNSLHKIDEAFPLDNYNPTFQGRIEEAWFDSAAIFESDCSDEDFQSVPDDVLSVNSFDCGRTSVASIKDTNNGDVNLNPDGPHSEVRPVFLDEISSSENIGSGREDGLSENCGILSNNCLPRLTSTVVPVEKRSLSSSPPSSRKKADLKLPFKWKDGNPCATLLSSKTLLQRPIAGSQVPVCPLENKLPDSWSYIEPNTFRVRGGNYFRDKKKEFAANNAAYYPFGVDVFLSQRKIDHIAQLVELPVIEHSGTLPPILVVNIQVPLYPTAIFQGETDGKGMSFVLYFKLSESYAKELPSYFQENIRRVMDDEVEKVKAFPMDGTVPFRERLKILGRVANMEDLRLSAAERKLMQAYNEKPVLSRPQHEFYKGENYFEIDIDMHRFSYISRKGCETFLDRLKLCSLDVGLTIQGNKIEELPEQILCCIRLNEIDYVNYQQLGANNETP >Solyc11g065660.2.1 pep chromosome:SL3.0:11:51484740:51490548:-1 gene:Solyc11g065660.2 transcript:Solyc11g065660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCGTPGNSSENKKKKNKPNPFALDYGATQASGGDGNKLVVLKDPTGHNIQEKYDLGCELGRGEFGVTYLCTDVDTGDKYACKSISKKKLRTAVDIDDVRREVEIMKHLPKHPNIVTLKDTYEDDNAVHIVMELCEGGELFDRIVARGHYTERAAAVIMKTIVEVVQMCHMHGVMHRDLKPENFLFGNKKETAPLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVKKMLDPDPTRRLTAQQVLEHTWLQNIKKAPNVSLGETVKARLKQFSVMNKLKKRALTIMAEFLSAEEVAGMKDAFDMMDTGKKGKINLGELKNGLQKLGHQIPDVDLQILMEAADVDGDGSLNYGEFVAVSVHLRKMANDEHLHKAFSVFDRDQSGYIEIEELRSALSDEDGGNSEEVINAIMHDVDTDKDGRISYEEFAAMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSIQVGKEEGR >Solyc08g080520.3.1 pep chromosome:SL3.0:8:63911354:63936713:1 gene:Solyc08g080520.3 transcript:Solyc08g080520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSGLEVRLAECKKKEKSPRFLSGLKRREKRHSRSLSGSVPFDRNAELIETFRTQMQISETMDALTRRKLVRLASEKSFEQIDVPQITLGLLNGTTKTEFSNEKSYIQWKNRQANILEELLSSEESVGILVAKIRNFQEWDIKMSPSKCKEVLYSIRNIASTLSSMPGNCGIQGETYYWSAGYPFNMRLYEKLLLGLFDILEDGKLIEEADEILKLIKSTWPLLGITQKLHDVLYGWVLFQQFLGTEEAMLLEYAVRKMRNIPSSEDVVQNEKKYLESLVCVNHCSGSEIRLNLVQSILWSIGLWCDNKLHDYHWHFFKKPSLFKGVLSMALAAGNQKFEMSGNMELMLNASNEIIDSKVRMYVERSAEAACKRVTDAINTGSKVDKKHPLALLASELKSIAERQLTVYHPVLRLWYAEAGVVSASILHRFYGERLDPFLKNISCLSEDVKQVLAAAILLENYLIELHSSEQVKKGVHSPLMFDFEREAMHFTLLKRPGTLALKLAPWWLCAELEFSAVLHEMVKWMKRDKIMFKSMYGIDKQSYFSSCVIGEIARPIILDWVIAQHERILEWTGRAADLEDWEPLSHQQKQAASAVEVFRIIEETVDQFFELRLPVDITHLQALLSIIFHTLDAYLQKVVNQLVISRRTSFEKIGKVISSSDGLGLAIDKHNLYPPAPPLTRYKETAFTSAKKKLVEYVVLDNAVNKKLDALTTSKLCVRMNTLQYMQKKISSLEDGIRESWSAVRVFKDQTCLDEDSHWTSNGILEMCSESVDELFVATFDCIRDSAADAIKRTCELVGARVVFWDMREPFIFNLYHGDVEGARLETILPQFDRVLNNVCALIDDALRDIVVKSIFKASLEGYAWVLLDGGPSRAFSEFDVVMMEDDLNILKDLFVADGEGLPRSLVEEEARFAHQILSLFSLRAESVIQLLMTSSEHSSGLEAHKYGHRHLGDAHTLIRVLCHKKEREASKFLKRYYHLPPSSVYSGAAVEDSSMKSPLMADLIKRSASFRWSDKSSSSFRSLKKKIQDATSDFRQVGW >Solyc04g017830.2.1 pep chromosome:SL3.0:4:8374288:8377808:-1 gene:Solyc04g017830.2 transcript:Solyc04g017830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPLSTYYIVVLRFFVMSKSLLLPLLALDSADIGFSKKKFKFDFDNTLDEKVDFLKSSHETPFHSLKEKTNVHGNVVDLDILSLLDCLMKPFFQFQGWANIFSIPMEFYEALVRLFYANLRSPKAAEIESFVLCRLIFLDCKKIDSAKRELVIDPFDPRPSHLGPKDLSFETRSIAYIVATTLLSRLTDIDVILDALTISVTQVSDLMSSVTAMREVVDCLKDVLLASHFKIDVVKEVTKRLVQKWLASSKAQLYCQKGY >Solyc04g076390.3.1 pep chromosome:SL3.0:4:61381512:61390803:1 gene:Solyc04g076390.3 transcript:Solyc04g076390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLKCYKPLLPFQRPKMHIAAAAVNSFRYYSRSRLRARCLSTIGNSKSTVDIKVVNSEGTETVLRKQQLWLHNTMSKQKELFIPKVPGKVGMYVCGVTAYDLSHIGHARVYVSFDVLYRYLNYLGYEVKYVRNFTDVDDKIIARANELGEDPIILSRRFCEEFHQDMAYLHCLPPSVEPRVSDHMPQIINMIQQILDNGCAYRINGDVYFSVDKFPEYGTLSGRKLEDNRAGERVAVDTRKKHPADFALWKTAKAGEPFWESPWGPGRPGWHIECSAMSAAYLGYTFDIHGGGMDLVFPHHENEIAQSCAACRESNITYWIHNGFVNIDSQKMSKSLGNFFTIRQVIDFYHPLALRLFLIGTHYRSPINYTIVQIESASDRLFYIYQALYDCQILSTQHDEASLKDSIPAETANCINKFQDEVLSSISDDLHTPVALAAMSDPLKLINDLLHTRKGKKQALRMESIAALENAIRNVLAILGLVPASYAEALNELREKALKRAKLTDDQVQQKIVERDTARKNKDYDRSDVIRKDLAAVGIALMDSPEGTTWRPAFPLALEEEQVTAP >Solyc07g008190.3.1 pep chromosome:SL3.0:7:2929748:2938227:-1 gene:Solyc07g008190.3 transcript:Solyc07g008190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFNTTPTRYGGRDGERGAGGKFKKPSARKPPATPYDRPPLNQSGRTSWLSKLVDPAYRIISGSANRILPSFISNAIAGNPPPLEEIDGELDNEDPATTQDVGDDNKCTSSYVISRSTEGNKDDSSMGKLNEIPEAEKLEEEKPKNSTPIEGKEEDPSMAKSKGISEAEKLEEEKPKNSSDSVEISRIEQLMQGKSFSRDEITRLTKILNSKIIDEQEKIASITAEGDIGRLHLAHETLRRPNDRNQDETGFAMPGTSTPLPQTNVRAEVGASPIDIARAYMGSRRLSKGNDSYGFVSKVEQAPQNRFHPPPSPKSSTCWPAAMVQDQHGHFTPLNQRGCGLVEFPRTPYSRTLLPKSRDRQTQSQVGSRWLDPSQSSIYSQEKTRTDLPLPSYGSVGPIRRIRNKFGSESRPRKSIFLNSPNASSPLEKVGASKLFLPAAAGKNLEVGQTSGLEKYQSVDHRVGTSEEPMPLISSSNDAVRKILEQLDRHKPTPAEKAAELKLASKWKKYPGKEISDSTPNDKMKSSHLGDFGIRMNNGLAAAQSSKEGDNGTVNRVEIHQEQTTRGTDTGTDASTKAASIANVLGTTAKANTVPPFTSKAADSQVKSFFSGSHNPSLRKDSIHTDDGQKDKGATPQWPSFRNQSNGQNAATLSNSTGFQLPRNAPGQASGAKPNLPSIFVNKPNPRNATFPDNGFGFSFPVPASSGALSEPPTPSMPSPAAGVLSQPVDASTSPVYTFGTGKSAERLVFSFPSTSNASVPVDASDLKFSFGTDRKSRLSFGAIGKATC >Solyc03g031870.2.1 pep chromosome:SL3.0:3:4360604:4363707:1 gene:Solyc03g031870.2 transcript:Solyc03g031870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPKCGANYVSLTPLTFLTRASKCYANRTSIIYGNVRFTWSQTYERCCRVASSLRSLNIVKNDVVSVLAPNVPAIYEMHFAVPMAGAVLNTINTRLDAKNIAIILKHSEAKVFFVDYEYLEIAKKSLELLSTSKMTRIPLVVVIDDIDSPTGIQLGELEYEQLVHQGNPSYVPEEITDEWDPISLNYTSGTTSEPKGVVYSHRGAFLSTLSLLLGWEMGTEPVYLWSLPMFHCNGWTFTWGVAARGGTNICIRNTTAKEMYTNIVLHNVTHMCCAPIVFNILLEANSHEYSKPLTTRVQILTGGAPPAAPLLEKIEKLGFHVVHAYGLTEATGPALLCEWQDKWNELPSENQAKLKSRQGVSILTLADVDVKNIDNMVSMPRDGKSIGEVCLRGSSIMKGYYKNDKANYEVFKDGWFFTGDVGVIHQDGYLEIKDRSKDVIISGGENISSIEVENVIMKHKNVVEASVVAMPHPKWGESPCAFVILTKNSQIKEMDIIGHCRLNLPGFMVPKKVKFVEELPKTGTGKVQKNHLRTIAKTFVVVTEKSNHQKSKNITSQVNKEKPRYYEQILALSRL >Solyc04g081030.3.1 pep chromosome:SL3.0:4:65177993:65188953:1 gene:Solyc04g081030.3 transcript:Solyc04g081030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKFIDKKKSATFQLFACDSSDPAYESGSSGADRVFVRVDNNTSYSVDAFHSSDQFNPDDPNSIYADAPEDEEVYNGWAAGYSGTGNQAVMLPDNVRKEILELGFPDDGYNYLHHLREIKNTGGGSAYYENPKAKLTELPRDVKAYDASRVAVAKVDDDSNEKYVYNVAAKTVGVRVQKAVDPEVAALLDDSDLSRFGSDDEDLELEEDFVITANLPDGADNVELDKNLRLIERSDVDKVGSNDTAGPVQRNEAKFATIEEKPRARRPLDEQFDMLELEEYGSDTEEEYDGDMIEENECHESLAEKLNHAFKERAIDGLELNNYGPDDAELLEPEADVINRCREYAEKYENEGPAEETAIFDESSSDSEVWDCETIVSTYSNLDNHPGKIVAPEARRKKLLPAISEASPIISLKGKANLPVDYLPSKGKHALLKEDKKKQGSEKEGKDNPMKEQLKRKQHGQESKEEKKERKAAVKEERREARRMKKETKELYKCEAQRAQKVAAFTGPSAIHLM >Solyc01g020360.1.1.1 pep chromosome:SL3.0:1:29342217:29342396:-1 gene:Solyc01g020360.1 transcript:Solyc01g020360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYCCSFKLQLSNLPAKCYQPQDVALEQLLVQICSSFLSSSYCYFGSIRTKREYLFLLK >Solyc02g061825.1.1.1 pep chromosome:SL3.0:2:33893794:33894360:1 gene:Solyc02g061825.1 transcript:Solyc02g061825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGVNMDLQPLSGIEYIILVEIVFQQVLVLIFCLFMASHGHSLRRRCRNRREVRYHMSVRVPKIISHLHYIINDNGSVCIDKLRMDRNAFHTLVLLTKDIGGLTNSKSMSCCEKLAMFLNILAHHEKNRSIKVDYIRSGWSVSHAFNECLSAILKLTPLLLVNPKPVLEDEIEDRWKWFEVGEIIA >Solyc01g105700.3.1 pep chromosome:SL3.0:1:93665638:93670585:-1 gene:Solyc01g105700.3 transcript:Solyc01g105700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCQAASQTRFRASKHENGITGRATIRVRFIACFQPLENCQAEYFRQLLKPVT >Solyc10g080700.2.1 pep chromosome:SL3.0:10:62037779:62044879:-1 gene:Solyc10g080700.2 transcript:Solyc10g080700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELRSGARRSKRLGDLQPAPQPVGQEENLVLPTQNRTRRRGGGGGRGRGNATAIGKGPSGTRGRPSGAGRGRGIRLIDLDPEPPCEGLPQVAPVGVAEPAFNRVDGAADKRIAMDGGGSPDKVMGVEEEAGTTPVPDRVQVGNSPVYKTERKLGKGGFGQVYVGRRTSGGTERTGPDAVEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHFKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMVACIAVEAISILEKLHLKGFVHGDVKPENFLLGLPGSQDEKKLYLIDLGLASRWKDAASGMHVEYDQRPDIFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMATSPELMCCFCPAPFKQFLEAVTNMKFDEEPNYAKLISFFESLIEPVTSLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADTRLRQHVDKGNEDGLYISCVASAANLWALIMDAGTGFSSQVYELSAAFLHKDWIMEQWEKNYYISSIAGAANGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYAEQVVELDFLYPSEGIHRRWEGGFRITSMAATADQAAFILSIPRRKMIDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >Solyc08g081020.1.1 pep chromosome:SL3.0:8:64277252:64278388:1 gene:Solyc08g081020.1 transcript:Solyc08g081020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLYNPQVEGQQHSACRLKIQKESDIIQVGWRVDPTLYKDNKTRLFVHFQAGDKHCFNILCPGFVQVYPDIPVDIVFNDTSQRGAGGSWEFPMLIERDETNGNWWLLLDERNIQIGFWPQKIFTSLTGFANNIEWGGVAYSPPGVPKPPMGSSYFPIGNIGYDAYCGKLTVLNHKGVLINIYRTLVRVDDTNLYRLIDDPRMGPGKLKHYVLYGDQEKVNYFKYNYFESKQNMSQIFDFETIYVFVAAFTLFRIILTKYI >Solyc04g076157.1.1 pep chromosome:SL3.0:4:61197159:61198344:-1 gene:Solyc04g076157.1 transcript:Solyc04g076157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVCSFVCSDDDLLCAHASPTPLPLLPSGFRINLRNLNKLNASDGSFKLSGYISLQIWWLERTVIPLIKTAWRVPKFFVSLFKIRGVEALIKQLSVPPENSEPLKFTSIYSQGAFSQFRICLWKQNLVYWRSPTYNAVRLFFTTLSKHRIDAQANSAVQQEEVEGLKGPHLVVYRDGDHG >Solyc10g008650.2.1.1 pep chromosome:SL3.0:10:2723809:2723991:1 gene:Solyc10g008650.2 transcript:Solyc10g008650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEQCQVAPPPGGATEVTLPLTYLDLFWLGFHRMRRILFYKLSISKSDFVQNIIPPLK >Solyc05g007065.1.1.1 pep chromosome:SL3.0:5:1648832:1649104:1 gene:Solyc05g007065.1 transcript:Solyc05g007065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGKIDDLIEKEDELTLNMQDGNLIIDDIFFGCLLFLNWALSTEKKNVFFFMGLSGPMLRASGIEWDLRKVDHYESYDEFDWQVQWIRS >Solyc01g010497.1.1.1 pep chromosome:SL3.0:1:5369861:5370286:-1 gene:Solyc01g010497.1 transcript:Solyc01g010497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLKNVKLLNCETIELWNSLHESTDYYELIEVKGKLEVIDYGKWVGGYLDLWILEQTPQRKWERHIIDVPSIWNAIKLGFISSFMARDGEIIFGAIFKSDACLCYDVTRKSWRELKIMGHPKENDIKGIYSYVESLVPLR >Solyc03g058400.3.1 pep chromosome:SL3.0:3:26342894:26345904:-1 gene:Solyc03g058400.3 transcript:Solyc03g058400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRNINLILLLVLLGHHVKGENVVFNVKHKFGGRGGVSLKELKAHDVHRHGRMLGAADFQLGGNGSPTSSVLYFTKLSIGTPSKDYHVQVDTGSDLLWLSCAGCDNCPKESTLGIDMAQYNLQASTSGKSITCDQDVCATMFEATSSDCKVAKPCEYMVTYGDGSTSGGHFVKDNINLDQVSAGDNKTSPLQGNVTFGCSSKQSGGLGTSTNAVDGIIGFGAAKTSVISQLAAAGTVKRVFSHCLNGNSGGGIFAIGQLVEPKVNTTPLLTNRQHYTVSLKNIEVDGEVLNIPTSIFESKYSEAAIIDSGTTLAYLPSNVYNAVMGKLMAKQPKLKTHHHEGNFECFSYSGNVDNDFPVVTFKFMGNLTLTVYPHDYLFKLHDGDWCIGWQEGIEGKDGDDLFLLGDLVLSNKLFVYDLEKKTLGWTQYDCEYSYSSSNIKVKDDSSENVYTVGAHNISSASTTTFTLFLTLISFLCYFFN >Solyc11g039370.2.1 pep chromosome:SL3.0:11:45458655:45460299:-1 gene:Solyc11g039370.2 transcript:Solyc11g039370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSCPNSTYSFFCSCLVAGLCASLVRFRFLMPTEKGVEPRFPCCSPCQCVGVDEDGATVVSSGMYSRDLRVRQSERRQDSPPPTLSITAVSSILFSKACRQKALMLPS >Solyc02g083690.2.1 pep chromosome:SL3.0:2:47551168:47553804:-1 gene:Solyc02g083690.2 transcript:Solyc02g083690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPNLKKAPLYPRVIHNDPDLQTTSSSRPNLYPTLNETDLAENLFPENYHIIPSAPSPPPESHEENLLVIPGVLIHLIDKNYSVELADGDLSLCRLLQDKNTVAILANVGDDIQWPITKDLTAVKLDDSHYFFSFQALKEDESDCTTDDDKEKGKKKKKKKKDKGPTDDSLNYGLTIASKGQEALLKELDDILKSYSTFSVQKVDENAALAMGGTVARELSPDDLKSEKKKEVLEERCAQYWTTLAPNVEEYSGAAAKLVAQGSGQLIKGILWCGDVSAERLMRGNEVLKQRMATGTKAEISPETLKRIQRVKTVTKMTEKVALGVLSGVLSVSGFFTSSVVNSVAGKKFFKMLPGEMVLATLDGFCKICDAVEVAGKNVMSTSSTVTTELVSQKYGEEAAKVASEGLDAAGHAVGTAWTVFKIRKALNPKSSFNRATQLKSSAKAATMKKAKSTKY >Solyc05g024020.1.1.1 pep chromosome:SL3.0:5:30247296:30248069:1 gene:Solyc05g024020.1 transcript:Solyc05g024020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGFKSFCNNDTSTSTLIYQTTASARPALSSPNYTMDTRGGSQTTLEEMLLQLDMEEKMAKLNQYGPHVQHRMSCVNSSDILRTARNAALNQYPRFSLDGKDAMYRSSFRDMSPLLNTARNCNRKMDMKSSETQNMPSTIAGERVIWCKPGVVAKLMGLEAMPISMSVHRKHNKDRIMSAVVKRQSLRRRAERYEMEKKRSSRGTVTGCGGRNSIEMNQSSCSRNGYCVMKPVAMDLQEVGWPMRGAVLYRNNDAM >Solyc02g068690.3.1 pep chromosome:SL3.0:2:39195917:39199392:-1 gene:Solyc02g068690.3 transcript:Solyc02g068690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRPKLRSPPPSSVIIPPASPPKSLINKMRRKFTRSTLTLATTLFSILALYAFFNTFIFSDPPNNVGSVSFSRNYNTQNLKSVKVYMYDLPRKFTYGVIESYALARGGEKQSDDSLLKYPGNQHSAEWYLFSDLNRPSLERVGSAVTRVMDPEEADLFYVPFFSSLSLVANPIRSNNAVVAPVKRPTYSDEETQESLIEWLEKQEYWKRNNGWDHVFICQDPNALYKVVDKVKNGVLLVSDFGRLARNQASLVKDVILPYSHRINTYTGDIGVDNRNSLLFFMGNRYRKEGGKIRDLLFQLLEKEEDVIIKHGAQSRESRREARKGMHTSKFCLHPAGDTPSACRLFDAIVSLCVPVIISDHIELPFEDVVDYRKIAIFVDSNTAVKPGFLVKKLRKVSMERVLEYQRELKKVKHYYEYEDPDGTVKEIWRQVSLKLPLVRLMINRDKRLVKRELPEPDCSCLCSNETGILTTL >Solyc02g084720.3.1 pep chromosome:SL3.0:2:48453819:48462070:-1 gene:Solyc02g084720.3 transcript:Solyc02g084720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:Q9LLS9] MEVNSLQKWVLLWCIVLFISSGLVHCDVTYDRKAIVINGQRRLLFSGSIHYPRSTPEMWEDLINKAKEGGLDVVETYVFWNVHEPSPGNYNFEGRYDLVRFVKTIQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRADNEPFKNAMKGYAEKIVNLMKSHNLFESQGGPIILSQIENEYGPQAKVLGAPGHQYSTWAANMAVGLDTGVPWVMCKEEDAPDPVINTCNGFYCDNFFPNKPYKPAIWTEAWSGWFSEFGGPLHQRPVQDLAFAVAQFIQRGGSFVNYYMYHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRAVKMCEKSIVSADPAITSLGNLQQAYVYSSETGGCAAFLSNNDWKSAARVMFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSKMEMLPTNSEMLSWETYSEDISALDDSSSIRSFGLLEQINVTRDTSDYLWYITSVDIGSTESFLHGGELPTLIVETTGHAMHVFINGQLSGSAFGTRKNRRFVFKGKVNLRAGSNRIALLSVAVGLPNIGGHFETWSTGVLGPVAIQGLDHGKWDLSWAKWTYQVGLKGEAMNLVSTNGISAVDWMQGSLIAQKQQPLTWHKAYFNTPEGDEPLALDMSSMGKGQVWINGQSIGRYWTAYATGDCNGCQYSGVFRPPKCQLGCGEPTQKWYHVPRSWLKPTQNLLVLFEELGGDPTRISLVKRSVTNVCSNVAEYHPNIKNWQIENYGKTEEFHLPKVRIHCAPGQSISSIKFASFGTPLGTCGSFKQGTCHAPDSHAVVEKKCLGRQTCAVTISNSNFGEDPCPNVLKRLSVEAHCTPTQN >Solyc09g057930.2.1 pep chromosome:SL3.0:9:52587822:52588319:1 gene:Solyc09g057930.2 transcript:Solyc09g057930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKHMMKLGGFFLLLLSEGLNLDRCHLMIWIEKGLRALALYYPTCPQPELTIGTNNHFDYEFLTLLLQDHIIVLQLLYQNQWVDDGSTCGALGVKIEDLLRANSVSSLKLYGLITELLSE >Solyc03g118850.3.1 pep chromosome:SL3.0:3:69128391:69133243:-1 gene:Solyc03g118850.3 transcript:Solyc03g118850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAFPQSDLRWAIHSAAALLSFSAAGSPPQRLRRLCISASADDGRTTPKPSVCTADELHYVPLPNNEWNLSLWRYLPSSQRSRRNHPLLLLSGVGTNAIGYDLAPGSSFARHMSGQGFDTWILEVRGAGLSARNSVKDPHQGKFEGISEEQLSSTDEGLRDAAQISSITSQLGDFCNRLGEIIDESQQPITQFTGLHNRFSITLGDFWKQLHLIGKYNWDFDHYLEEDVPIAMDYIRNQSRPKDGKLLAIGHSMGGILLYAMLSQDGYRGKNTELASVITLGSSLEYTTSRSSLKMLIPFVDPAKAVNLPVVPVGALLAAIYPLASYPPYLLSWLNPQISAQNMMHPELFERLVLKNFCTIPAKLLSQLSTAFGKGGLRNRSGTFFYKDHLHKTNVPVLALAGDKDLICPPEAVYETVKLIPENLATYKVFGEPRGPHYAHYDLVGGRMAYYQVYPRIIEFLSRHDIC >Solyc05g050470.1.1 pep chromosome:SL3.0:5:61477635:61478993:1 gene:Solyc05g050470.1 transcript:Solyc05g050470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQQKIAASLEYLAEYRGHYAPRKKSIPEFTYDPGLGKVFIDQNIGTRYVLLEIIGKCVQLRPTHNYNLLDVIYKACYYTPDWIEHDSFLPSGYATVKFIRPGQEIRQSKLAGQSIRNSNIINFDKWLIQRFRQGFCVALPYMSEGSLRYILSTQFHNGLPEDCIAIALKQALLGLFDLHFSGLVHKRFSAGNIYVNFKSNVEIKLGFAATIYDSELESPLFVSHGTELGLDSTVAILPKNPGGIPNLELGELYKWAAAPEVFYSKYEEELSQVPSPLYQDDNAHTVHSDIWLVGVAALELAYGNLRISDREDFEAMIKKIKRSRRLPDKLEDVLEEINVEEGKGKMKKAVVYFNDKLKYVKGKRKFSKEFEELVLDCLSTKESKRPSVGDLLQRPFFRNAKNLQWFQRRVLYAKNPMAYC >Solyc12g011170.2.1 pep chromosome:SL3.0:12:4013824:4018476:1 gene:Solyc12g011170.2 transcript:Solyc12g011170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRLLHGTLHATIYEIDKIRTGCADCCGPTSPQKLTKRVLNNVKKLFFCAPKISATKLYATIDLDKARVGRTRIAENEPSNPHWNDTFRLYCAHEVSNIIFTVKDENPVSATLIGRAYLPVEEVLNRYIVDRWVPIVDEERHPISGHSKIHVRLQFYSVKQDSNWSRGITSLAFGGLPYTFFKERQGCQVTLYPDADISDDDITNYLKSQGLFEPQRCWEDIFDAISNAKHMIYIAGWSVYTKITLIRNPRRPKVGGELTLGELLKKKASEGVNVLLLVWDDITSDEVLKRDGLMSTHDQETADYFKNTDVHCCLCPRNADSGKTVIQGFQVGTMFTHHQKTIVVDTEIPGGMSHKRMIVSFLGGIDLCDGRYDTRDHSLFRTLDTVHKQDFYQPAFPGSSIAKGGPREPWHDIHCRLEGPVAWDVLYNFEQRWRKQIGNRFIYSINELDKFIIRPTEVTASRDRETWNVQIFRSIDGGAVTDFPVKPDEASEVGLVTGKNNVIDQSIHDAYISAIRRAKNFIYIENQYFIGSCYGWKPTTDIKLEDIGALHLIPKEISLKIVSKIQAGERFTVYVVLPMWPEGIPESDSVQAILDWQKRTMEMMYTDICNALKAKGNTNADPREYLTFFCLGNREVEKPGEYKPPQKPVPDTNYARAQEFRRFMIYVHSKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLASNQPPRGKIYGFRMSLWCEHLNYADDSFADPSSLECVRKVNGMADESWKLYSNDTFDIDLPGHLLRYPIDINSNTGQITTLPGFKFFPDTKAAILGNKSQFLPPILTT >Solyc04g008940.3.1 pep chromosome:SL3.0:4:2550361:2567142:1 gene:Solyc04g008940.3 transcript:Solyc04g008940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLGGNEVGVSMSSAVYSFERQTKRLLSLYAGQDHLPSATSKRLPKIKQRKSVISKMNKLGERMDCLAQSIREHVSLSPKITETVKGKLSLGAKILQVGGLEKIFKQKFSVKDDEKLLNVCQCYLSTTAGPIAGLLFISTDKIAFCSERSIKFLSPTGKLLRIYYKVSIPTSKTMKAKESENREKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLHHAISMSLSPKLTETVKGKLSLGAKILQVGGLEKIFKQNFSVNDDEKLLSVCQCYLSTTAGPIAGLLFISTEKIAFRSERSIKFLSPTGKLLRMYYKVSIPISKTMKAKESENREKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLHHAISMSISPKLTETVKGKLSLGAKILQVGGLEKIFKQKFSVRDDEKLLKVSQCYLSTTAGPIAGLLFISTDKIAFCSKRSIKLSSPTGKSLRIRYKVSIPISKINKAKECGNMEKPSQKYIQLVTEDDYEFWFMGLSPKLTETLKGKLSLGAKILQVGGLEKIFRQKFSVRDDEKLLNVSQCYLSTTAGPIAGLLFISTDKIAFCSERSIKLLSPTGKLLRIYYKVTIPISKIMKAKESKNMQKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLQQAISSSSNLNCSSDWLWHPANTANINAGSCD >Solyc01g008300.2.1 pep chromosome:SL3.0:1:2339578:2342094:-1 gene:Solyc01g008300.2 transcript:Solyc01g008300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKVEITSQNLIKPKVCHIESFNFSALDQLAPLPHYPIFLYYPNDDQESTNISTKSQQLKNSLSKILSDFYPFAGRLINENTSLSFNNHNNDDFGVLFIEAFAHNYNLQEDILLSGIKTNTCGHFLPTLDSLLQTHLLIVQVTFFKCGGMILGCWVSHKLSDATSICSLINNWASTAREGAVDAQLLLTPDFKTGVKIFPPTQKPYPSPFTNFVFNEQQLVSKIFLFNGPSIANLKTKALSKDVPSPTRVEAVSALIWKCATVSSKSSSVWKE >Solyc11g061870.2.1 pep chromosome:SL3.0:11:49895027:49896201:-1 gene:Solyc11g061870.2 transcript:Solyc11g061870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKVIFIMGATGTGKSRLSVDLATHFRGEIINSDKMQVYKGLEIVTNKITHTETQGVRHYLLGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREETNIDGDDESKQMILQDSISSIKRNTRMLICNQLAKIQRLRSEKMWSVHHIIATDVFKEDREEDLDEAWTNTVLQPCLDIVKIFLKNDHHNIIFECT >Solyc01g108680.3.1 pep chromosome:SL3.0:1:95820142:95823557:1 gene:Solyc01g108680.3 transcript:Solyc01g108680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDKNASLYYSRLEHSTSHHNRVNSSFISKILYYKLVTSTYFVVQVGGEATMTIFSATILSPSAILGTGRECFYQRSKVINNFNGLNLFGVQKTNNHVASLSLITTMCSLKTPSQAKGEKRLLQPKDKKHFVLLHTGCHGSWCWYKIVELMKSSGHNVTALDLGGSGSNEKQAIEITSFSDYLSPLMKFMASLPADEKIVLVGHSFAGLGISKAMENFPEKISVAVFIAALMPGPSFSATAVYTKTCDVVIPELDNRVIYDNGPANPPTSLILGPKFMETNLYQLSPITDLKLATELVRPIYLYPVEEIYEEIVLSTKRYGSVKRVFIIAAESKALNKEFQYWMIENNPPDDVEEISGSDHMVMMSKPQQLFTTLLHIANSHA >Solyc04g009850.3.1 pep chromosome:SL3.0:4:3168192:3170745:-1 gene:Solyc04g009850.3 transcript:Solyc04g009850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYDRAKDLKAFDDTKAGVKGLVDSGIVHIPEIFVTPTLIENEFSAENLDSDSNIVNVQIPVIDLKGMNEDDFRRTRIVEEVGEACKSWGFFQVVNHGVPQHVMDEMISGVKKFNEQSSEKKMEFYSRDNLKKVKFNSNFDLYSSKATNWRDSLACVMAPNPPTNDELPQACREELLQYSQHVRKLGHTIFELLAEALGLKPNHLLGLDCARGHFILCHYYPPCPEPNKTLGITKHTDPDFFTILLQDQIGGLQINHQNQWIDIHPVTGALLLSNDKFKSAEHRVLSKHIGPRISIACFFTTQFQPFDTLYGPIKELLSDENHALYKETTVRDYVTYYNSRGLGAHPALLHLRLDPSI >Solyc02g067960.2.1 pep chromosome:SL3.0:2:38601903:38603894:-1 gene:Solyc02g067960.2 transcript:Solyc02g067960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAAKFNVFTQFRFLFFNSKTLNPLNPYSFRLLTVAAVLPSPEKSPEDQTEEELCRTPKDSADLFKQWGCSDDDISKIFQRRPSLQRMELKLLDSKLKILSELGLPSSDLTRIINCRPRFLDCRINRCLEERLEFFETLFGTKEILLKAIVRNPSLLTYDFHKQIKPIVAFYEQLGLSRSELVSMLLARPTLIPRTSLDDEKMDYIRRTGVEKGTTMYKHVVALFAISRSETIRQKVANLEKHGFTEDEVFRLFGRSPFLLTLSVDKVQRNMTYLLGTMKLSANLIQDNPCLLFLNLETAIKPRYLLGAKLDDMGLVPRVKGPSLLRAMRMTEKRFIKAFINCHPEDVAHELMEYYTGVKRVRSRAEISFFRKKRAIRSIRTAASCPRLIRFGLHAPKFNMELLGSLTLSFAYGVEDFKFYLFLSIILMELTGIV >Solyc10g007158.1.1 pep chromosome:SL3.0:10:1603737:1604593:1 gene:Solyc10g007158.1 transcript:Solyc10g007158.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEDRRFSGKVYRRRSRKDVSPAAATDVTAPETKQPEKVIDVPKDQTLEREKRSRKPNRFYDNSEYYLAKDKLPFEIYKKSKNHKKEAKIKGFATGLKQGTSGEMRTDSTHVEGDKETDNGNSAPGSS >Solyc12g014250.2.1 pep chromosome:SL3.0:12:5066710:5075081:-1 gene:Solyc12g014250.2 transcript:Solyc12g014250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKNLEKLASIDAQLRQLVPAKVSEDDKLVEYDALLLDRFLDILQDLHGEDLKGTVQDCYELSAEYEAKHDPKKLEELGNVLTSLDPGDSIVIAKAFSHMLNLANLAEEVQIAYRRRQKLKKKGDFGDESNATTESDIEETFKKLVGDLKKSPQEVFDAIKNQTVDLVLTAHPTQSVRRSLLQKHGRIRDCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGIDERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSEELRVRADKLQRSSRRDEKHYIEFWKQVPPNEPYRVILGDVRDKLYQTRERARQLLGHGYSEIPEEATYTNIEQFLEPLELCYRSLCACGDLSIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITQHLEIGSYREWSEERRQEWLLSELSGKRPLFGRDLPKTEEIADVLDTFHVIAELPADCFGAYIISMATAPSDVLAVELLQRECRVRQPLRVVPLFEKLADLDAAPAAVARLFSIEWYRNRINGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELIQVAKEFDVKLTMFHGRGGTVGRGGGPAHLAILSQPPETIHGSLRVTVQGEVIEHSFGEEHLCFRTLQRYTAATLEHGMHPPVSPKPEWRALMDEIAVVATEKYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKYAIEKDIKNLRMLQEMYNAWPFFRVTIDLVEMVFAKGDPGIAALFDKLLVSEDLLSFGELLRSNYEETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPDYSVTPRPHISKEYMEAKPATELVNLNPTSEYAPGLEDTLILTMKAYWPLPTLLNAKKPSKLKALSIVTLAVCNFRPESVNTLKGGLFRSIKSLSRGLVSYLMIGVAIRYVSMTAFSIVERLSENGAKVKLTCRDMETEKVTYTAEALTDKNGKYTIEASGDHEKELCDVGVVQSPREDCKDPAIGFENSRVVCSNNVGMHNPTRYANPLFFMKKETLPDCKDVLDELGLFPLEF >Solyc02g083285.1.1 pep chromosome:SL3.0:2:47287386:47287998:1 gene:Solyc02g083285.1 transcript:Solyc02g083285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMSKKNWFGNIRKKLFRSHKNIILLHNNTITNRNSSANGRSSTNKNNVHTYFVSKEDMAAITIQSHFRGHLARRAFKALKSLVRLQAVVRGACVRRQARIALHCMHALARLQVTVRARQLLSKCNDR >Solyc01g014350.1.1 pep chromosome:SL3.0:1:12065534:12065888:1 gene:Solyc01g014350.1 transcript:Solyc01g014350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAQHIEKRNEDVLFISSVAFCLDLWALILVAGTGFTSQVYKLSPVFLHKIMEQWEKNYYSIAIVGANNESSVVVMSKNLY >Solyc11g066065.1.1 pep chromosome:SL3.0:11:52039359:52043433:-1 gene:Solyc11g066065.1 transcript:Solyc11g066065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTQSPIDFRPNMLLVIFLRLSISSLTANFLDAPSPANSLLSFSPANLLLVKSSGNRVGFTPKQMEEMRGLAFSWEATDQSVTLVKLIDLEISIPWRVTVTLPSACGDIGYRSVLWWETLR >Solyc06g034100.3.1 pep chromosome:SL3.0:6:23746761:23763677:1 gene:Solyc06g034100.3 transcript:Solyc06g034100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HIAVVRISLLHITSPQEHSYLHKLYCSFFPSLQEINNRRESQRMLTRWSRVISQFGRVNSELGRQLRSLHCRDYSKVAAVAEPPADKLYNVNDQVNLNKMFWSKPHSLALAPDSPFRVEDPQYEGFKRALFKLMLFYSKQSKSIRGANVIYRRVVHQVDKPAIYDVFSLEKTFKTTFSLLVIHMWLCLRRLKQEGKDGVELGQYLYEIYNHDVELRVSKAGVNLLLIKWMKDLEKIFYGNIVAYDAAMLPEAKQDELQNVIWRNVFSDDGTSTPSDAALLPVQAMSRYVRRESNCLSFTDKEAMFSGNFMFTSLGSTTAGTV >Solyc01g009540.1.1.1 pep chromosome:SL3.0:1:3719706:3719993:1 gene:Solyc01g009540.1 transcript:Solyc01g009540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDQASTNQWETDYETIVRKFKMNGYENRVPEIVFWNLRYSRAARVKAKKKGVRAVSDFSKNLVTLFMEERDFNPGDIMETAISGEEYQNLVVL >Solyc08g083190.3.1 pep chromosome:SL3.0:8:65844273:65849115:-1 gene:Solyc08g083190.3 transcript:Solyc08g083190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAARSSLIAMNEAISFLVFIILDIVDFLLCYTYKVVDFLIEAEWKPCYCSSTKEALTSSGSILVSERGESKIVCLTCSSKLHLEEISDTLYTRPSFLSEVSKLKRRKFDNAGLRSTFTVNNSTVVEGKMGAPNLTTPRWSDCDCNTCNSCCKDSLFVRVDGAKANFEEDVLFLHGFISSSAFWTETLFPNFSKAAKSKYRLFAVDLLGFGRSPKPSDSLYTIREHLEMIEKSVIEGHRVKSFHIVAHSLGCILALALAVKYPGSVKSLTLIAPPYFPTPKGEQPTQHMMRRIAPRRVWPPIAFGASIACGYEHISRSVCLVICKNHRLWEFLTKLVTRNRIRTYLIEGFCCHTHNAAWHTLHNIICGTAGKIEGYLEMVKNKLKCEVTVFHGEDDELIPVECSFNVQSRIPRAHVKVIQKKDHITIVVGRQKSFATELEQIWNNAKL >Solyc07g052250.3.1 pep chromosome:SL3.0:7:60865841:60867001:-1 gene:Solyc07g052250.3 transcript:Solyc07g052250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKKKRCNCFIIISSSMSWFRQLISL >Solyc01g073770.2.1.1 pep chromosome:SL3.0:1:81033478:81034561:1 gene:Solyc01g073770.2 transcript:Solyc01g073770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSQLLNRSYHPWPPPLPPRPTMVPENQQTFQVLQRPCFTPGSVNRSDWKLQNLYNFDFQKPHRLNKPRRFFQKKKQRANYRFTPFTPHNTTSFLIRAKNSGGITSLVSPCPVTPAVLPTPKFSPAREILADVAKEEWGVDGYGSMNGLIRVRSPENEPEEEDEGEGVIISWNSDVEEVEKRLSHDLSRFEMIYDPRNGGLGRDTYDFGYRVDDVEEHIERLEEENMELKEKMYVMERELEELRKKVRYLEGEDDNGDGNESDNEAGSEKSVGD >Solyc05g016030.3.1 pep chromosome:SL3.0:5:13616741:13626932:1 gene:Solyc05g016030.3 transcript:Solyc05g016030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BYW8] MVRKHGWQLPAHTFQVVAITVFCLLAVAFYAFFSPFLGGRFWEYASIAVYSPVALLVFVLYVRSTAINPADPGIMSKFDSGKMNDTNSKHGFSARNRSGKFDELSNDARSSLSSASRTSIAAAKSIKKGQQEAGRLGNEMVSLTRSSSCCKIGGVFCFLFVHEDCRNEDGAAEEEGTGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFISLMATSLVWLVTEAGVGIAVLVRCFVNKKNMEAEIVDRLGNGFSLAPFATVVAVCTAVSLLACVPLGELFFFHMILIRKGITTYEYVVAMRAMSEAPGGESVDEEQPNIVYSPSGSATTGFSGGSSLGLQYKGAWCTPPRVFVDYQEEVAPQLEPGMIPSTVDPDAAGFVEKGNKGPKRPVKISAWKLAKLDSSEAMRAAAKARASSSVLRPVDNRRFDTELSSSENMSVRSSISADTGGNRDMRNELRNSLAPSQGSRDEYETGTHSISSFSSPSHVHESVTLSPLPQAHSSGHLNAGIVPERARTTRVAPPNNNHHLLHSSEFDEKIMQRNSTTDPLLLSAAAPAASLLRDVKRTSVVWDQEAGRYVSVPVSASDARIRPPMQGGSSNPNAASASNDKSPVPVPQEPSQPPAKPPVEQSEKLMYTGESIFFGGPLLRGPIKDGLRNERGCGSRESQERLPFNLPRESRFRRDAASHQLPVFVPGDFGSNK >Solyc01g100530.3.1 pep chromosome:SL3.0:1:90410580:90422272:-1 gene:Solyc01g100530.3 transcript:Solyc01g100530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISRKLFPACGNMCICCPAMRSRSRQPVKRYKKLLAEIFPKSPDGSPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSEHIKFINVIAEVYNKLLCMCKEQMAYFAASLLDMVVELLDDSKKDAVRITGCQTLTRFIYSQVDGTYTYNIETLVPKVCSLARETGEEHEKRSLRASSLQCLSAMVWFMAEFSHIFVDFDEIVHVTLDNYEPEMHNEDFERGEAHHNWVDEVVRSEGRAVGSEFGPRQIRPRPDKKDPSSLTREEIETPKVWAQICLERMADLAEESSTMRRVLEPMFVHFDHGRHWVSPHGSAVMVLSDMIYFVESSGNQQLILTGVIRHLDHKNVAHDPQTKSYVIQTATALARLIRLEASLSDVRFVGDLCRHLRKSLQATVESVQEQELNFNLALQTSIQECFLETAKGIVDARPLFDMMAMMLEKLPSLKVVARATMGSLIILAHMISLASVVSRRQQVFPEELFVQLLKVTLHPDVEIRIGGHHIFSVLLIPSSNHIRHDIANHTRRWNANGSSTFVSITSLLDKLRKGKDGIKLKEGQVIQDDLKARDNVDEEHKQGWAVKNSPKFQKFSSMIDCTAGLNEGEPYILKLNKDQIVQLLSALWLQANMPDNVPANVEAIVQSFCLTLISSRVKKTNHNLLIHFCQLPLSLMKLSLDPNNGLFPPAYQRSLLVLSAAMLAFLAKIYQITDLSVILETLRDFGVDPFLGINDGYQVYLKPHVDVRKYGSAADNEAAVSSLSELRNKILECHEIIKDILVKSLSSIAEVEADDIFKQLSEDFTPDDTFIFYLKSMVGMDHVQIGSHSRDSPSFDEECYPSSFVEDYKVSESSIADITRFVPRIPMSPSPSMSHVVSIGQLLESALEVAGQVAGSSVSTSPLPYDTITSQCESLGTDSRKKLSNWLAHENHCSKAAGMVYPACPANGPSALAKILQEDGPAKGPPLSNESWLALRLPPASPFDNFLRAARG >Solyc12g019225.1.1 pep chromosome:SL3.0:12:9797444:9799436:-1 gene:Solyc12g019225.1 transcript:Solyc12g019225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLFAVFGESIMAENVITDKVVGKRRKKRSRAWDHFSRKTDSDGNEKVVCNYCKKEYFADTKEHGTTSMLTHISKCPKMPYNIDIRQSRLAFQPMIGGNKGDVVVVPWKFDQEECRKALCRMVIIDELPFRFVEKEGFKQFMKVAQPCFHIPSRTTVTRDCFNLFDEEKHNHRGEDLGKSISKCLHEWGLHHIFTVTVDNAGSNSVAITELSKQLTKWGTNLMGGSHLHIRCMAHIVNLIVQDGTKEANVSIERVRQAVRYIRQSPARWKKFQECCEDENLAKKSLCLDVPTRWNSTYMMLKRVIEYEGAIVEYADRDIGLTLHLKFVDMVDKNSTGTLLSSDWEGVKRITKFLEMFFNLTLKISGSRYVTSNLHFLEICQVGVYLNQLISNEDHVLAKMAENMKEKFDKYWGDTEKMNKMVFIPCVLDPRHKFITLGFALRKMFGEKGAALEIGVRTYMESLFNEYTKPVDSDKNGQFSSTEVDTSDSRSVDSRSGGEFGNFFEELQKHTSEKGGASSKSELVKYLDEEIEVGKSNFDVLLWWKVNSPRFPILSEMARDVLSIPVSSVASECALVLEDAYLIRLGVH >Solyc12g016020.2.1 pep chromosome:SL3.0:12:6072419:6075722:-1 gene:Solyc12g016020.2 transcript:Solyc12g016020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVHGLNLIHRDLKSDNLLIAADKSIKIVDFGIARIEVLTEGMTLETGTYRWMAPEMLQHRSYTKKVDFYSFGIDL >Solyc10g080040.2.1 pep chromosome:SL3.0:10:61573931:61575846:-1 gene:Solyc10g080040.2 transcript:Solyc10g080040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIICQKLVYLPPEDALFAHPRVFRACVPPGMHRFRGNVWDYTSRPQVMQTLGYPLAMNDRIPEITEARNIELGLGLQLAFLHPSKYKFEHPRFCFERLEYLGQKIQDLVMAERLLMKHLDAPGRWLQERHRRVVMNKFCGRYLREKNLHRFIIYSEEVQDAFEHNRRLRNPATTSVQQAIHGLSYAIYGKPDVRRLMFEVFDFEQIQPKAV >Solyc01g067910.3.1.1 pep chromosome:SL3.0:1:76739335:76739651:-1 gene:Solyc01g067910.3 transcript:Solyc01g067910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIRLVLPPLAYPNRKINSLFLNK >Solyc08g075430.3.1 pep chromosome:SL3.0:8:59684043:59688808:-1 gene:Solyc08g075430.3 transcript:Solyc08g075430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHCVAPKPENFGTELMAAPPNSSKPEMNNSESFIQRALFPITLKFEEVVYKIKQETKGMCCGGPSSTKEKTILNGVTGIVCPGEMLAMLGPSGSGKTTLLTALGGRLSGKLSGKITYNSQPFSGAIKRRTGFVAQDDVLYPHLTVTETLLFTALLRLPQSLSREEKERHVEHVIVELGLNKCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILTTVKRLADGGRTVITTIHQPSSRLYHMFDKVVLLSEGCPIYYGPASTALEYFSSVGFSTSITINPADLLLDLANGIGPDSKHATEQGDNSEQEKKSVREALISAYDKNIYTRLKTELCSSDTNNYSYTKDVSTRNGAKSEHWCTSWGYQFKVLLLRGLKERRYETFNKLRIFQVVSVAFLAGLLWWHTPTSHIEDRIAMVFFFAVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLAKTVGDLPLELALPTAFTFILYWMGGLKANPATFILSLLVVLYSVLVSQSLGLAYGAMLMDVKQATTLASVTTLVFLIAGGYYIQQIPPFIVWLKYLSYSYYCYKLLLGVQYNDNDYYECSKGVYCQVAEFPAIKSIGLNNMWMDVFIMALMLVGYRLIAYLALNRVR >Solyc11g020090.1.1.1 pep chromosome:SL3.0:11:10155241:10155462:-1 gene:Solyc11g020090.1 transcript:Solyc11g020090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANFQKISKNVLLNTIFNRLMALMKKLIVIPILGMGGRGKTTPARKVYDNSNVCSQFDKHAWITISEEYNKR >Solyc07g026603.1.1 pep chromosome:SL3.0:7:29516342:29530731:1 gene:Solyc07g026603.1 transcript:Solyc07g026603.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDIKKELDWQEIISRQTDMLCGRKSTSPRGADLMKDQRRLEFYLPSPIRHTIPITASNPSNMCFALPKSTQRPCTRSSSSRSKRGRYMLLMWASCLQTPMKIEDRMKGWILIKRQLFCALFRKVYSLERLVKT >Solyc11g020450.2.1 pep chromosome:SL3.0:11:11140121:11141383:-1 gene:Solyc11g020450.2 transcript:Solyc11g020450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVKRGCQTQGGDGKCPTGQCCGFSCLCPYPYPQGRCGLEASGRKCPKGVCYSYSCWSGNTLDYYDVDKCQSQCSGPFPQGRCEWQADNGSCPTVVCGTTSYHCGCGKCQSQCERPYPPSPPSITEGPCGKQTGGRKCPTGVCCSDSGWCGTTSIYCYPNRCQSQCSGPFPQGRCGWQVYNGPCSTGVCCSLDGWSGTTPAYCASGNCQSQCKNTLESTKNRMKEFF >Solyc09g007160.3.1 pep chromosome:SL3.0:9:774958:792749:1 gene:Solyc09g007160.3 transcript:Solyc09g007160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSVQEVLPATLESTSEPPSLFDGTTRLYINYQCPYSQRVWITRNVKGLQDKINLVPIDLQNMPDWYKEKVYPQNKVPSLEHNNKMIGESLDLVKYVDSNFEGPSLLPDDPEKRKFAEELIAYSDIFVPEVYKSFFRDAQTLAGAQFDYLEKALDKFDDGPFFLGQFSQVDIAYVPFIERFQIFMEKGINYDITSARPKLAKLIEEMNKLDGYKQTKVLDPEKLVEYYKNLFLRSLDVDARDAYGFTVRPQHLQRYQEYATIYREEEEERSEKWKGFLDNQEESSQPHASEQLDIRTVDLEVKNQQQTVPVQASQEEGNDPVGDNPVSDIKRESDLKRELLAYPPKKSCHAYTWSEIRASLSLIDHLMSFRVKKTPKTKVKLSTDVHNHLATIKEQEELEEENGEERSVNENLDDGINTSAELGSADSGVSPELSFPWKELEFLVRGGVPRDLRGEVWQAFVGVRARRLEIYYLDLLDPESDTGDGQEHDGSSLAEENKRPSKESIHVPEKLRKQIEKVVRCMGPGLYRSVFSICRCYFFLGSILDLPRTFPGHPALDERGRNSLRRLLIAYARHNPDVGYCQVCQGRRRTFGSVLHPHHVGSDKRIGKIKTGVGSFAYGKGNELFCWYLASNDARRKCILAGLFRISRALVGLIDEYFDGCYSQEMIESQVDQLVFEELVRERFPKLVNHLDYLGMQVAWISGPWFLSIFVNVLPWESVLRVWDVLLFEGNRVMIFRTALALMELYGPAVVTTKDAGDAITLFQSLTGSTFDSSQLVLTACMGFLNVTEDRLLALREKHRPAVLAVSQERSKGGPVKKDPKGLASKLYSFKHDPDSFMKEMKPEECSGDKKTDNKISDSNSNSASMDEFLNSFNIDSHVDSLPGLQEQVVWLKVELCRTLEDKRAATLRAEELETALMEMVKEDNRRQLSARVEQLEQEVADLRHTLNDKKEQEKAMLEVLMRVEQEQKVTEDARIAAEQDVAAQKYAVHVLQEKYEKAMASVAQMEKRVVMAESMLEATLQYESGQVKALSSPRATKPDSPQGAPAKRTGLLSFGLGWRDRNKAKPSNLNEMKSADEGPEMSSTATEANGQQE >Solyc06g061160.1.1.1 pep chromosome:SL3.0:6:39281956:39282555:1 gene:Solyc06g061160.1 transcript:Solyc06g061160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNPKDDDDSWEVRAFEEDTGNSMGATWPPRFYTCTFCRREFRSAQALGGHMNVHRSDRVRLNQTPSHASNSSTINFPNANSTLLIQNQEFIQNGGLCFLYSMPNYYYNNPNPTTINKSSNVDPSNLLSNISPFLTNNLMSPCTIPSSNFQPHNISSSSFNTSEPSASNSTSNDNNRDKRIEDEIDLELRLGWRSAIPR >Solyc01g108240.3.1.1 pep chromosome:SL3.0:1:95501843:95503129:1 gene:Solyc01g108240.3 transcript:Solyc01g108240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFITQHSQTYKKNKIKRKISNMHWLNKRFRQEAGMNSNSNSLQNNNQFQQQQPRLTGDEEYSVMVATLKNVINGNIPTQNYQEFNVFSPYNYSTATTTTNVTSSSSPSTSMSTSFEQVLGVSAEQEPCQFCRIQGCLGCDIFGTTFSSSSSAPAAVAAPVADNKKKSSSSSTATVAIAKKKKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFTTAEEAARAYDKAAIEFRGPRAKLNFSFADYTVDTQEQQSTLSSSPQQLPEEPQQSQTANNNSDYGNEIWDQLMGDNEIQDWLTMMNFNGDSSDSGGNVHSF >Solyc01g017797.1.1 pep chromosome:SL3.0:1:25463330:25467404:1 gene:Solyc01g017797.1 transcript:Solyc01g017797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDGNSPVRRWKELHIDMTVKILQSFDLLKLISVIPQVCPVWQRWTCKVSILPYVYVDTPSREKLTRILNIYLNLNRGNILTLIFHYNLYVDNNQLNYTAKRELAYIIEKIGRSCKKISELKIMTPCDLLLVSSLVSLPLYMKVLSVRCTELSKPSLG >Solyc09g008560.3.1 pep chromosome:SL3.0:9:2048929:2053291:1 gene:Solyc09g008560.3 transcript:Solyc09g008560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSLAKSSEKLKNEIEDYHKGVKYLHESGIQKVPKKYIFPISERPNCYTINENPQVASKHNLKLPVIDFSQLYGPNRAQVLDSLSYACENYGFFQLTNHGIPEEVIKNMVDVGGRFFDLPLVEREKYMTKDMTTPVRYGTSFNQTKDGVFCWRDFLKLVCDPLPQVLPHWPSSPSYFREMAVTYSKETKILFIKLVEAILESLGINVATKNKTQQNDEEIMLKEFEDGSQLMAVNFYPPCPNPDLTLGMPPHSDYGFLTLLLQDEVEGLQVKSNDDWVTIQPIPNAFVVNVGDHLEIFSNGKYKSVLHRVLVNSLKSRISVASLHSLPFNSIIKPSPKLISETNPSLYKDTNFAAFLEYLKSCDSTNKSFLETRRLT >Solyc08g079640.2.1 pep chromosome:SL3.0:8:63238892:63241719:1 gene:Solyc08g079640.2 transcript:Solyc08g079640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVSTARMCSGAETMRQKIAERFKIVMGFAGFEFFGLDFHKPGKCLKLFQEMTRRGLNGNNATIVVALSTCARSARMNEEKSVHGSLIKVSKGLNLIVSSTLIHMYSRSGREDIAHLIFDRMLVRNIVCWNAMILGYCIHGNQKMHVLPDEITFVGVLCACVHEGLVTQAGRYFGYMRLIF >Solyc09g005270.3.1 pep chromosome:SL3.0:9:207787:212193:-1 gene:Solyc09g005270.3 transcript:Solyc09g005270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLFRSFRRLLLLSHRRPLSSSSTSYTPILGNRESFLHLSRFVPQNSLTRQGSCWDLRNFSHGSVNFVITKDGKPKFETHEVEAPKKEKWKTKKRLKLQRKREKKKRNAANKRDPRRLGLKGKKKKQKFDTAEERIKQKIENAKVKEALLIERLKRYEVTKVQGPEVKPHFLTGEERFYIKKMGQKRSNYVPIGRRGVFGGVILNMHLHWKKHETVKVICKPGQVQEYADEIARLSGGIPIQIIADDTIVFYRGRDYVQPEIMSPIDTLSKKKALEKSKYEQSLESVRRFIAIAEKELELYYRHVALYGDPSNRSAYSILDDSRSTRGKRNAEIGEENYSASKSNMLDLELEIDDSCSDDNQSL >Solyc12g011360.2.1 pep chromosome:SL3.0:12:4200460:4202702:1 gene:Solyc12g011360.2 transcript:Solyc12g011360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLRGSDMLQRQSPRVPSQLRTSSSDSDQRSPKIGDRRSPRGVQSDPVKQKKLGKRIADLDTQLGQAQEELKDLKDHLASVEAAKKAVQEQIEKTKKSTVTETEEIQESKSKSKVQEIDVLEVPNVEISHPTNEKITTLSVAEPDKPSPEELALKNEEISLLKAKLEEKDQEFQPFFQENENLKKELNETTREILSTKAKTEEMNLKFNQVTQELETTKNDASTLNEKLEATQKAKEELESEMKKLRVQIEQWKKAADAAAAVLAGEVEMNERRFSERSRSMDYKRYGNVFEPTVGGYGCYMGSPGLIDDSDDGFGRVKRKGSGIKKLGYLWRKKGHK >Solyc05g046340.2.1 pep chromosome:SL3.0:5:59477544:59479846:1 gene:Solyc05g046340.2 transcript:Solyc05g046340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase [Source:UniProtKB/TrEMBL;Acc:K4C0W7] MRARKAGLIALFDVDGTLTAPRKECTPEMLKFMRELRKVVTIGVVGGSDLVKISEQLGSSVMNDYDYVFSENGLVAHKDGKLIGKQSMKSFLGDEKLKEFINFTLCYIADLDIPIKRGTFIEFRSGMLNVSPIGGNCSQEEREEFVKYDKVQKIRETMISVLRGKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFNEIHFFGDKTYKGGNDHEIYESKRTVGHTITSPEDTMKQCFVLFFDKDNGTL >Solyc06g084000.3.1 pep chromosome:SL3.0:6:49315018:49318910:-1 gene:Solyc06g084000.3 transcript:Solyc06g084000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAREEPNLSIPPAMDGLLKVHKRIIDVDYDSNAPGGAGKPASTRLLVAATQAAILIGKQGATVKSIQDESHCTIRVLGGEHLPVFALPDDSIVEIQGEPAGVHKAVEMIAGHLRKFLVDRSVIGLFEKLMQMPNAHAHQNMPPPGPNQPWGPPPSSFPMSAGGPGYGANHQYMPPPRQFDNYFPRVDMHQDKQPHQGPPVYGRDASMGAHGNAQPQQSIVSKVTQNIQIPLSYADAVIGASGSNISYIRRASGATIAVQETRGVPGEMTVEINGSASQVQTAQQLVQNSIADATSSMQNTAAGPPSQGYNPYPSQGPVYSSSTGHAGHAPSADYGSVYGGSYGY >Solyc04g050990.3.1 pep chromosome:SL3.0:4:49212269:49215040:-1 gene:Solyc04g050990.3 transcript:Solyc04g050990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVERFACGDELPEGCIATALSLTSPKDACRLALVASTFRSAAESDAVWERFLPPDYYDIISRSIDGPDSLNFGSKKDLYLYLCDNPILIDGGTRSFSLEKGSGKKCYMLAARSLQIVWVDTPRHWRWISLPESRFSEVAELLDVCWFDISGKINTNMLSPDTTYGAYLVFTTKTRTCGFENQPAESSVGIAGHERYTHTFYLNPQGGRRQYRYQVVPRRLGIFTHHMARILREETEDAPKGCRPKQRSDGWMEIELGEFFVKRGQEAEVEISLTEVKGGDRKAGLIIEGIDIRPKE >Solyc03g059127.1.1 pep chromosome:SL3.0:3:30053408:30055727:1 gene:Solyc03g059127.1 transcript:Solyc03g059127.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPDLPSTTTNRDWIFPSQSFNLPRTLAWRFSSSYPRTKSFQNPLSQPPSNSTPAAIPPNSTTPVEGRGFQLGRRRNGWAGVKWRVRENDEGGGEDDTNGERILVMCFAYLVHKSFSLQNQVNHLQNLTKCMLDITRFVPNCLPVSSFHPDELSKLNIRLRRCNISDSMDIIDST >Solyc11g071880.2.1 pep chromosome:SL3.0:11:55509499:55512200:1 gene:Solyc11g071880.2 transcript:Solyc11g071880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRVLTFALLFISFFFIFDTTVCDETVTRDALLKFLAEVSNNNSTILGTNSGWNSTSDPCKDKWHGVTCNAKTLSVEKIILDGYDFSSGTFDATTICSVEPIAHTLFFLSLKNGLLQGKNFDSIDKCKHLRHLVLGGNRFSGSIPQSFSRLNNLKRLDISNNRLSGVLPDLSRISGLIEFEAQNNQLSGEIPEFDFSNLVAFNVSFNNFSGSIPPEGDSFPVSSYMENPLLCGSPLARNCSSLSEDSESADYDKPKRSLSRDEILMYSVDHDSTTTSMDQSKKGASNSDISSAVISSDDSNTAVSQSLVVLTSPEANNGLRFEDLLKAPAELLGRGKHGSTYKVMCDNPKMTLAVKRIKDWSITGSEFKKRMQKLDKIRHPNVLPAVAFYSSRQEKLLVYEYQNNGSLLLLLQGIQTGQRFHWSSRLSIAAGIADALAFMHQELQHDRIAHGNLKSSNIFLNNNMEPTISEYGLMSIAEINTTTASNSHYVFPITNESVEATFKEDVYAFGVILLELLTGKVQNDGIELASWVVSVLREEWTVEVFDRTLIQEGASEERMVNLLQVAVKCVNHSHEARPSINQVALMVTTIRDEDDRSIDVSTSTSSII >Solyc09g008490.3.1 pep chromosome:SL3.0:9:1945746:1983298:1 gene:Solyc09g008490.3 transcript:Solyc09g008490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSGNSVPAAEAVQVLVSSLADDSLIVREASMAALKEITFLNPLLVLDCCLTVSRGGRRRFGNIAGLFQVMSVAIQALDKGDVDHNYLAKLAKIATSEVISTKELNADWQRAAAGVLVSIGSHMPDLMMEEIFLHLSGSNSALPAMVQILADFASADALQFTPHLKGILARVVPILGNVRDIHRPIFANAFKCWCQSCWQCSVDFPLSSVVDADIMSFLNSAFELLLRVWAISRDLKVRLSSVEALGQMVGLITRTQLKAALPRLIPTILELYKRDQDDVAFVATCSLHNLLNASLLSENGPPLLDFEDLSITLSTLLPVVCRSSDKKEHSDFSVGLKTYNEVQHCFLTVGLVYPEDLFVFLLNKCKMKEEPLAVGALSVLKHLLPRLSEAWHSKRPLLIEVVKLLLDELNLGVCKALAELIVVMASHCYLVGSSGEMFIEYLVRHSAMFGLHRDDTERSRELNSSPGGYYPFVYKKVEMKMDAVTLSELRAICEKGLLLITVTVPEMEHVLWPFLLKLIIPRVYTGAVATVCRCISELCRRRSSQSGASVLECKARADIPHPEELFARLIVLLHNPLAREQLATQILTSDVPLVSALVYQKGSSVLCYLAPLFPKNINMFWQDEIPKMKAYVSDTEDLKQDPSYQESWDDMIINFIAESLDVIQDVDWVISLGNAFEKHYELYKPDDEHSALLHRCLGILLQKVHTRAYVRAKIDLMYKQANITIPTNRLGLAKAMGLVAASHLDTVLDKLKDILDNVGQSIFQRFLSFFSDKAKMEESDDIHAALALMYGYAAKYAPSTVIEARIDALVGVNMLSRLLHVRHPTAKQAVITAIDLLGQAVINAAESGISFPLKRRDQLLDYILTLMGTDEEDGFSESNIEHLRTQSLALSACTTLVSVEPKLTTETRNLVMKATIGFFGLPNEPADVIDPLIGNLITLLCTILITSGEDGRSRAEQLLQILRKVDQYVSSSLDYQRKRGCLAAHELLFKFRMICISGYCALGCRGTCTHREKTDRAMHHTLSNLPSAFALPSRDALRLGDRTMMYLPRCVDTNSEVRKILHLYFSISLSLPRPVNSSFSNDIELSYSALSSLEDVISILRSDASIDPSEVFNRVVSSVCILLTKDELAAALHGCSGAICDKVKQSSEGAIQAVNEFVMKRGNELNETDIARYSPPKQSQTNVDKKVAIQLFFHGLIRFLFNFVLHFYANRTTQSLLSAVIHVNEKYLRQEALGACYSVLFFIDLFFNCEKICSFAENTSSRIVFNEVLVAARKDIARKDISRLRGGWPIQDAFHVFSQHSVLSYLFLDHVMSVINQIPTLGGDWGHDESSSHAVDTTLEDNIARAAIVALTAFFRGGGKVGKKAVEQSYASVLATLTLQLGSCHGLASTGELEPLRALLAAFQAFCECVGDLEMGKILARDGEQNENEKWINLIRDLAGCISIKRPKEVPSICLILSNALDRSLRFQRESAAAALSEFLRHSDGFGPLLEQMVQALCRHVSDDSPTVRRLCLRGLVQMPSIHVLQYTTQILGVILALLDDSDESVQLTAVSCLLMVLESSSRDAVEPVLLNLSIRLRNLQECMNEKIRANAYAAFGALSTYGSGPQQDSFLEQAHAAFPRMVLHLHEDDLSVRQACRNTLKSIAPLMEIDGITAVFNSHWFSSDHRGDYEDFLRELARQLTQNLAARVDRYMASIIQVRILFSNLAFGETLKAFDAPWPVVQANAVYLCSSVLSLSDDKHISSHYYNQVFGMLVGKMSRSTDAIVRATCSSALGLLLKSSNASSWKDIRLDRADSSHRGHEPESARRL >Solyc07g054280.1.1.1 pep chromosome:SL3.0:7:62754037:62755551:-1 gene:Solyc07g054280.1 transcript:Solyc07g054280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLNSNNNPQTQSNFPKFNPLDPEEFRTQAHQMVDFIADYYKNIESYPVLSQVEPGYLRTQLPENAPNRPESFDLIMKDVQNHIIPGMTHWLSPNFFAFFPATVSSAAFLGEMLCNCFNSVGFNWLASPAMTELEMVVMDWLANTLKLPKTFMFSGTGGGVLQSTTSEAILCTLIAARDHKIENIGVDEIGKFVVYGSDQTHSTYSKACKVAGIFPCNIRVVPTCIESDFALSPLALRGIIEADVAAGLVPLFLCATVGTTSTTAVDPLSQLGQLAEEFNIWFHVDAAYGGSACICPEFRQYLDGVELADSLSLSPHKWLLSYLDCCCMWVKEPNVLVKTLSTNPEYLRNKRSEYDSVVDYKDWQIGTGRKFKSLRLWFVMRTYGVDNLQSHIRSDVRMAKMFEGFVKSDPMFDVVVPRRFSLVCFRFNPNKEHEPGYIEFLNKKLLDSVNSTGQIYMTHTIVGGIYMLRFAVGATFTEDRHVISAWKFIKESANDLLRKIVF >Solyc02g081010.2.1 pep chromosome:SL3.0:2:45625013:45632462:-1 gene:Solyc02g081010.2 transcript:Solyc02g081010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLVAKQGPLSSQIQDTPGTRNQSRVFTKRKFILNRDEEELEKDNAAASVLNVIELPNKRPKLCSEPGASRGKRTVPGHANVAIREEDALLSGGFAEKVKTAEKPKRNMVKSHLRTKTVAKEKMTVKESEGSHRRITSKGYHRSAEKKLSSKIWDELVGDDKEEESEEEEEQEEDEEYFPGSLTNFQMPLKEALNNLRNTEKLLRTCTKGKVLRNGPIRNKGNDEEKDEPSQRKERLKLSTTTKSILLRGNSQTKRMPDKVNLEQPSGTSRKKMTHKGDNTMNKVEFGSEEKQGVCKKKPTLSKVGKKMPGTRGVQGSENIRQVPAILNGEQCLRTSNKKKIPQGESTMEMVDESEYEWKEKPSLSKDDKKKQGIHEKDAQNKRISVRRATASFKRYSNDYYVGEWEDDTDEYEVFPLSDGHHIPSNARNQGSDVSLESKPKNSQKNILKNSGKLPVCSSFPSWAPAKLNGEQCLGTSSKKKSPQEDSTMDNMDENDDDWNMCKEKSNMSKDGKKKQRIYEKDAVDKRTSVRRAAASVKRYDHDYHIDEWEDDIEEYEVWHHTPSDSRSQRSDVSHESKPKDSLRDIVYNSVKLSACSSLPSSTSSSGSTISRNGIDRSKNVKVNCHQCRRSDRRTVVPCTKCKEKFYCIKCIREWYSELEEEEVSEACPYCRGKCNCNFCLHSSGMLKTSKRDLPDREKIKHLQYLIIKLLPFLKEIHQEQIQEIETESSIRGVSSSSVDIKQSLCHNEERVYCDNCSTSIVDLHRSCPDCSYELCISCCQELREGKCLGNSKKAVVKYPNIGYDYMHGGDAEPERYDDMEIPQDQNKPITWVTNYDGNIMCAPEAIGGCGNFVLELKHLLPKNWISTLEAKAERILIQCNFSEIISQPICRTDDPEQLHRAASRVGSDDNYLYFPTAKDAIEDDALLHFRRHWAKGEPVIVQNVLAHTSGLSWEPMVMWRALCEGTDSKILTSMSEVKAIDCLANCQVPINTRKFFKGYTEGRRYENLWPEMLKLKDWPPSDKFEKVLPRHCDEFISALPFQEYTDPRIGILNLAVKLPAGVIKPDLGPKTYIAYGLSEELGRGDSVTKLHCDMSDAINILTHTAEMAITDEQRSAIEIVKQMHRAQDERERIECEADKYPMKMSSDISREEKTFDDSETTGGALWDIFRREDVPKLSEYLLKHAKEFRHTFCCPVDQVFHPIHDQSFYLTLEHKRKLKEEFGIEPWTFEQRLGESVFIPAGCPHQVRNLKVRINT >Solyc11g044590.2.1 pep chromosome:SL3.0:11:32656976:32659193:-1 gene:Solyc11g044590.2 transcript:Solyc11g044590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNHYNLKEGLENFTISKCWIQDDNLEVQYKLRKEEKGKNLSKNETDPKDQETNGLTKQMVSVDVLLTDLEDYEDEVNVTNGAKSRKRRNLIIDDEELSVQGTNKVKK >Solyc07g062790.1.1.1 pep chromosome:SL3.0:7:65592935:65593459:-1 gene:Solyc07g062790.1 transcript:Solyc07g062790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSFNSQNLQKYSKHNKKRLNQEQVKLLEASFDSTKKLDLEKKLQLSRELGVPPRQISIWYQNRRARWKNQSMENDYNALQLKLENALSEKMLLEKETKILQGELEKANEMLIGLKSGAQGQIREFTLSTCCEDLISYSTTTRVPNDEVNYSNLQFDELYAMIGMSNKCCSTW >Solyc05g006860.3.1 pep chromosome:SL3.0:5:1477172:1484503:-1 gene:Solyc05g006860.3 transcript:Solyc05g006860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANYSTTLHEAHNMPTTPQFKRSQVIAFHSSTKWKLHFDSLKDTNKLVVIDFTATWCGPCKYMEPVLNDFATKYTDVEFVKIDVDELDDVAQEYGVQSMPTFVLIRKGKVVDKIVGADKDGLKMKIEKHKAMFINMDPIINDFAAKYTNVEFVKIDVDELVDVAEKYGVQAMPTFVLMKKGEVVDQIVGADKDGLKMKIEKHKGSLY >Solyc01g068200.3.1 pep chromosome:SL3.0:1:77104879:77111623:-1 gene:Solyc01g068200.3 transcript:Solyc01g068200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYKYTYNKLCCKFFFQIFPKSSPMAGLYTSLRPQPPSSSSSSSQQSFFSKLLLLLTILPLSLAVFAFFLQWRGGGVDDPISRWSPEESHKFPGMDTSPLATVAHSSQSSDCSLLAHTNTPSFPYYKDWKFKLHPDLKPKICITTSTSAGLEQILPWMFYHKVIGVTSFLLFVEGKAASPDVSKVLESIPGVKVIYRTRKLEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWIIHLDTDELIHPAGAREYSLRQLLLDVPSNVDMVIFPNYESSVEREDIKDPFTEVSMFKKNYDHLTKDTYFGMYKEATRGNPNYFLTYGNGKAAARVQDHLRPNGAHRWHNYMKTPKEIKLEEAAVLHYTYSKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRSAFIIASTATEDEMLSWYREHVVWTDKAMNLKLLRKGILTRIYAPMVIVQGLRESGVFSSIVLSAQESLSKDKFLASFESNSSSKAAASESLPSRKIGRNQHSQAARRVLAGSGSLFEFHVEAVPPQSPPGIDADV >Solyc06g017860.2.1 pep chromosome:SL3.0:6:14442893:14446572:-1 gene:Solyc06g017860.2 transcript:Solyc06g017860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSVKFPVPMAEKLIKQFNLFPKHDINKASSSPLAATHQQTLFEKRFNLSYLGDSGATVQDLGHHAGYYILPHTKDARMFYFFFESRSSQNDPVVIWLTGGPGCSSELAVFYENGPFNIADNMSLVWNDFGWDKVSNLIYVDQPIGTGFSYSSDDDDIRHDERGVSNDLYDFLQAFFKAHPQYVNNDFYITGESYAGHYIPAFASRVHQGNKNKEGIQINLKGFAIGNGLTNPEIQYKAYTDYALDMRLIKQSDYNVIDKSYPKCQQAIKLCGTDGGSACMAAYLVCTSIFNKIMNVVGNKNYYDVRKTCDGDLCYDFSNMENLLNDEKVKHALGVGDIEFVSCSSTVYQAMQLDWMRNLEAGIPPLLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWSGQKDFGAARSVPFIVDGEEKGIEKNHGTLTFLRVHDAGHMVPMDQPKAALEMLQRWMQGKLSKEGHFAHM >Solyc09g065140.1.1.1 pep chromosome:SL3.0:9:63291887:63292618:-1 gene:Solyc09g065140.1 transcript:Solyc09g065140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTSTLYQGLQSCLEPKMAPPRPNFSQPITLPQKSKICQHEDEIEQENDNVGGLSFIQALANPCQYSKKGDEKDEIYVHPLVKLSSLNTNSLNMCTESLGSETGSDISENIDEKETIFHGAQRSKCREFAKKIKRVASYPPPLTSMSGNEGVQIRPHREGGRLLLKATSITSWNSSFRVERANGRLKLSLLMHGEEDVIIQNDEESCSSKLGEYSSRPTRCKASGSRNKWISCWEPFWVAIS >Solyc05g054295.1.1 pep chromosome:SL3.0:5:65090671:65091712:1 gene:Solyc05g054295.1 transcript:Solyc05g054295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNKDEALRCISIAKDAISSGNKQRALKFIGIAHRLNKNLSLDDLLTACENLDSSTRGAFIEVKNDVASVKNETGDVMNCREEHVEMIRRIKSKDYYDILGLESSCSFDEIRRAYRKISLKVHPDKNKYPGSEDAFKKECNVSGTRRRRTRHEYSSGDEFDPNEIFKSFFGHDDDVFRRSTYVYRTRTAGAELRVVELGPVARPFLKEVETMEQESFND >Solyc04g079000.3.1 pep chromosome:SL3.0:4:63700232:63705634:-1 gene:Solyc04g079000.3 transcript:Solyc04g079000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKPSSGFGPSSSPLLSLSTFVHQHCSRLGAELANRFDEAKRLSSKIAADFMQQPLPAAIGRSCSLPLHSYHLSLPFASVSQRQEKVAKNTGLSSDYVAKTLTGTSVYTVSNSNNEFVLISDPNSAKSIGLLCFRQEDAEAFLAQVRLRKKEVRGGAKVVPLTLDQVYMLKVEGIAFRFLPDPVQIKNAMELKASDVKTGFDGVPVFQSDLLVVKKRNRRYCPIYFRKEDIEKELSSRALRGSSVSQHIMVS >Solyc01g057900.3.1 pep chromosome:SL3.0:1:64136968:64174320:-1 gene:Solyc01g057900.3 transcript:Solyc01g057900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSGDPSTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWLRQQNSAALKIQKCFRGRKEVETERSKVRENFLRTHGERCHAVDRQCFSPDSDFLRHLLFFFNPTYTADVSVLVETCRSLLEFVQDNGDVISLFAGTEYASKAALVRYRVKKFAHACIRAVYGNRNKLRDQLFMESEKSCTSAILLLDAVTLLIDLGLPWACSTVTYLLQRNIYSLFREIVLIGKDRSFPASNRVVSSFERVLGLITSHIGQGTCTCPTVDPQCFFPSQILTIPFLWRFFPHLKEILASPSVSRHYFHQMKLCMKDHINVLPPDLAIDLPGYACLLGNLLEVAGLAFAQPESFTMAVDFATVATFLLEALPSLQSSKMGSIEISEDEMVIDDEQTEKALNLGLEQQITNAINPRFLLQLSTVLLGGFSPLNGLHSGQLEENHIAAVTAVCAFLHTTFNILPLERIMTVLAYRTELVPVLWNFMKHCHENQKWSSLSEQSPYFPADAPGWLLPLSVFCPVYKHMLMIVDNEEFYEQEKPLSLKDIRCLIVILRQALWQLLWLNPTVPANFGKSTTAIVAMKKHPLEFLQHRVCVVASELLSQLQDWNNRRQFTPPSEFHADGVNEYFISQAMMENTRANDILKQAPFLVPFTSRAKIFTSQLAEARQRNGSQGLFARHRFRIRRDHILEDAFNQLNALSEEDLRGLIRVTFVNELGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETADHLLYPNPGSGLIHDQHLQYFHFLGTVLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKHYEGDVSDLELYFVILNNEYGEQAEEELLPGGKSTRVTNENVITFIHLVANHRLNFQIRQQSSHFLRGFQQLIQKEWIDMFNEHELQLLISGSLDGIDIDDLRAHTNYTGGYHKEHYVIDTFWEVVKNFSLENQRKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGHASDEALDRLPTSATCMNLLKFPPYRSKEQMEQKLLYAINADAGFDLS >Solyc01g107770.2.1 pep chromosome:SL3.0:1:95096363:95097126:-1 gene:Solyc01g107770.2 transcript:Solyc01g107770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNCVNHFREVVGRKVWHVGPVSLCNKDNEDKLQRGQDSSFCEQNVFISSVLEIAIALEASNQQFIWAVTQTTINDEQNEWIPVGYWRFCDSLWIELIVRRSNWHRYQLSNFFNEKLLVEILKVGVPVGADAWSNRTDSTVPLNRKDIERAVTKLVVGQEAEGMRGRAAALGKLAKGAVEKGGSSYSSLISLLEQLRNRKISSS >Solyc06g073340.3.1 pep chromosome:SL3.0:6:45333329:45341108:1 gene:Solyc06g073340.3 transcript:Solyc06g073340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4C953] MDSEDDMHDANDMESVDEDFYSDGDAADSDADVADYDFMGNETDDSDEQAVSRMQKNYTVLKEEDIRQRQEEDMTTISTLLSISREAACILLRRYNWSVNKVHEEWFADEERVRKSAGLLEKPVVSLSRVIDVVCGICFDNFLPADIISLGCGHPFCTSCWKAYITTSINDGPGCLTLRCPDPSCDVAIGQDMIDTLTSGEDKEKYYRYLLRSYIEDNRKTKWCPAPGCDSAIEYDLGSGSYDVTCSCSFSFCWNCTEEAHRPVDCDTVSKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYESAKQEGVYDESERRREMAKNSLERYTHYYERWATNQSSRQKALADLHQMQSVHLEKLSELQSQPESQLKFIIDSWQQIVECRRVLKWTYAYGYYLPEHEKAKRQFFEYVQGEAEAGLERLHQCAEKELQTYLNAAGPTKDFNDFRTKLAGLTSVTRNYFENLVRALENGLADVDSQGACSKAPSSKNTTGSSKGKGGGRGKNSTKT >Solyc10g049890.2.1 pep chromosome:SL3.0:10:47030952:47034968:1 gene:Solyc10g049890.2 transcript:Solyc10g049890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASSAINLSIKPSHTSLSWKTHLSSTSALAVSPLSGHRHYPRFVIYAVGDKPTVLVAEKLGEAGVNLLKEFADVDCSYNLTPEELCNKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGISLLTAMARNVAQADASVKAGKWLRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDKAIASADFISLHMPLTPATNKVLNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQLQAALDVFTVEPPKDSKLVQHENVTATPHLGASTMEAQEGVAIEIAEAVVGALNGELAATAVNAPMVPAEVLSELKPFVALAEKLGRLAVQLVAGGSGVKSVKVTYGSARGPDDLDTRLLRAMITKGLIEPISSSFVNLVNADFTAKQRGLRIAEQRSLLNGSPESPLEFIQVQISSVESKFASALSDSGEIRVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSILSEGNVNVSFMSVGRVAPRKHAVMAIGVDDQPSNEILKRIGEIPAVEEFVYLKI >Solyc04g025730.1.1 pep chromosome:SL3.0:4:21200845:21201693:-1 gene:Solyc04g025730.1 transcript:Solyc04g025730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSSQPLQWNSQLNKCTGKMFRYMDTSDFQRLSDDLFVTTIAHSRITKQGKNATFISFDLTKK >Solyc02g080720.1.1 pep chromosome:SL3.0:2:45429426:45431482:1 gene:Solyc02g080720.1 transcript:Solyc02g080720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGLEFSKNSPSLSMELGYSSNYKSSKFAIRAYIKSIMPRLRWTHDIHRRFVYAVERVGGVDRATPKMVLQIMDVKGLTISHIKSHLQMYKNMKHQEMQGEAANGRKRNRIDGSDSMNIPQRNLVRRHNHIKGKTAMFDGSDSINFPQRNLVDRYNHIKSKAAMFDGSDQMNFPQGNLVHCYNHNNGKAPIFKGSDQMNFTQRNLVHRYNYNNGKSVFDDHLNPTVTTNYLDKIASSSTAFPPPWKSMPEKKMGLERRYYLFRDFFDGTITIRDGDNDDKIVRAYGISNLPNKSATSMVEEEDSHSTISLDLSLSSYISLDLTLG >Solyc11g042807.1.1 pep chromosome:SL3.0:11:34870362:34871939:1 gene:Solyc11g042807.1 transcript:Solyc11g042807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHPHQTPPHPPECYPHQPPLVGGSYVTTSLPNPVKQAFKVFIYSDAQEITRKRLGVLTSLSSLSVINSVTHSPIYRVFETPKTSSRLATNLTVGIWVEKFEEIRSRFIEKPFNGNYKPNPSLPNTSSGFKRELYRMKYNSKMDSYYFTPTWKKLRSFIVVGTFFQQNPNIDDTIPSTALLANPNMKV >Solyc02g067850.2.1 pep chromosome:SL3.0:2:38521862:38523381:-1 gene:Solyc02g067850.2 transcript:Solyc02g067850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMVVEALLFGDRQAQLLAARQLAQFSSKQRHKIAEKGVVPPLILMMSTFDDCEVIEAALFALLSIAFRSERNKILIAKSGGITVLLDVIQCENKLLVELGVAFLLTLSSCGSNKMAIASFGAIPILLELLNSQSYNNMSFQANLDILSTLHNLSTCNQLIPSIVSYGGVATLIRLVNLTSELVMEKAAVLLENLVSSSRIALEEAARTEGAIQCLVEAMEEGSGQCKEQAVAILLLICDSCRDRYRGMILREGAMAALLQLSVDGTRRARDKAKRLLFLLRDCSNCGQTTKQTKNIVLFEQIMRQIEQVGEPEGMSVANLEEMISKLRT >Solyc12g042150.1.1.1 pep chromosome:SL3.0:12:58086160:58086765:1 gene:Solyc12g042150.1 transcript:Solyc12g042150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTSDDMPDNEANRVAKILLEIKSNKSTNLGERSVESRAINSPETIYPCSEEIRACFRIEEALRYAQPNKAFSYIAVNGKKVVVAPLKKRGGKLFKRICHYDILKSNKPTFFTLHCLVRDAATRLLGGVGTRDEVCVLARDSQFIVEDISDSQLRKAVKGGLDRLHYEDDPCVKYEKERHQWTYLHGDRKVEDFEDGST >Solyc10g045100.2.1 pep chromosome:SL3.0:10:30688933:30692471:-1 gene:Solyc10g045100.2 transcript:Solyc10g045100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNNSSNRTKERQWPKRIILVRHGESEGNEDKHVYTVVPDHKVQLTEKGKEQAKNAGELIRSVVGNCKVYFYVSPFLRTRETLNEISASFSGNEIIGVREECRLREMDYAKFQNTEKMEEYKKERERYGKFFYRFPHGESAADVYDRVSGFIESMWRDIEMEEICESDSDNLNIVIISHGLTIRILLMRLFKWTAEQVESLISPENGEIRILEFGHQGKYSLALHHDDKTLEKWGLSPQMIFDQKQRA >Solyc12g044360.2.1 pep chromosome:SL3.0:12:60216584:60216965:-1 gene:Solyc12g044360.2 transcript:Solyc12g044360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDPSHTLSPKRRGISSLSRIQSFKQQRSFNADTGLIYSSKIEGVKLDSIHAPSR >Solyc06g069400.3.1 pep chromosome:SL3.0:6:43277799:43280723:1 gene:Solyc06g069400.3 transcript:Solyc06g069400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWAIALHGGAGDIPRDLPPELRQLRESCLRRCLDIAIDALNSHKSPLDVVELVVRELENDPHFNAGRGSVLTNNGTVEMEACIMDGGTKNCGAVSGLTTVVNAVSLARLVMEKTPHIYLAFEGAEAFAREQGVETVDSSHFITPQNIERLKQAKAANSVQVDYTQPMPIVDEPPVPNGDSQIGTVGCVAVDSAGNLAAATSTGGLVNKMVGRIGDTPLVGAGTYANKFCAVSCTGKGEEIIRATVARDVAALMEYKGLTLKEATDYVIKESTPKGTIGLIAVSATGEVVTPFNTTGMFRACATQDGKTEVAICVKDSKKLSDELPTESPPAPLPILDLSSPPAGKMSWPELLGVTAEEAERKIKEEKPELKIHIIPPNSMVTMDYRLDRVRLFVDESGKVAQEPRLG >Solyc10g006530.3.1 pep chromosome:SL3.0:10:1089834:1092104:1 gene:Solyc10g006530.3 transcript:Solyc10g006530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTTNLNIIFEALPLIPKIEKIQKKAKTISQKNKEIQENSPPKITRRLALSIGSIALFANSRIDISLAEDNNGFFITGPLQDPSISTNIINKETGTRSFLKKGMYIANIGTKGRIHRLKRYAFDLLALGDLIGTDSWNYVRKYLRIKSTFMYYDFDEVITAAQVNDKQPLTDLANRLFDNVEKLEDAVKKKNLPQTQSCYQETTTILQQVMDRMA >Solyc11g006850.2.1.1 pep chromosome:SL3.0:11:1405432:1405754:-1 gene:Solyc11g006850.2 transcript:Solyc11g006850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKEGSIHINQGPGGTLPSGIPLYVVIIQNMCVAKSCGNIHMTCGWFSSARLINPKIFRRLSENDCLVNDGKPLGPGSSITFAYANTFPYHIAVKSVTCN >Solyc12g006290.2.1 pep chromosome:SL3.0:12:801586:806102:-1 gene:Solyc12g006290.2 transcript:Solyc12g006290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGITAENLLNNIMDSISEGELKHKATSFFQDESESSVSAQFNRLFGRQKPIHHCLGGGKSADSMLWRNKKISAGVLISATAIWVLFEWLNYNFLSLLCFGLSFGLIAQFLLKNASGVINRSPTVPRLVLPEDLFINIAKRIGTELNHGLGFLQDAALGGNLKQFVVVILSLWAAGMIGTWCNFLTVLYIGFIAAHTLPVLYERYEDEVDGFVYSALDKLQGHYKKLDSGVLSRLPRGSFRGKKVE >Solyc07g041780.3.1 pep chromosome:SL3.0:7:54268711:54272036:-1 gene:Solyc07g041780.3 transcript:Solyc07g041780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGPSREEPTSWEDLYSVNLMPSELFLKFRKEIEGFRVGVNLEFYNNPSNEYLAKLVLKPLAPERRWKFICEPLHHEVRLLSKKIPVTKFLNLQVGIGHNFQLQATGWKWKLTTCWGGDGVSRIRNKTSLGLCPGVDFRFGWRADYVLPEITGAVGTGEPLFNMNSGRLQASLDRVEAIFSQ >Solyc08g080300.1.1 pep chromosome:SL3.0:8:63735398:63738166:1 gene:Solyc08g080300.1 transcript:Solyc08g080300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGGGIGPTNGKAYPGNLTSKVLVTCIVAAMGGLIFGYDIGISGGVTSMPSFLEEFFPDVYAKEVLLTVSTNQYCKFNSPKLTMFTSSLYLAALFASWAASIVTKVMGRKMSMLSGGVLFLIGAIVNGFSKNVAMLIIGRILLGCGIGFANQSVPVYLSEMAPYRYRGALNTVFQLSITVGIFAANLLNYFFAKIEGGWGWRLSLGGAVVPALIFIIGSFILPDTPNSLIERGKGDEAKSRLMKIRGIDNVDAEFNDLVEASERSKQVKSPWRNLLKNKQYRPQLIFSMLIPTFQQLTGMNVIMFYAPVLFKTIGFGDTASLMSAVITGLVNFIATFVSLLTVDRVGRRALFLEGGIQMFVCQIVISISIALKFGTSGDPGKLPIWYAVFVVTFICIYVAGFAWSWGPLGWLVPSEIFPLEVRPAGQAVNMSFNMIFTNFIAEIFTAMLCSFKFGLFLFFAFFVAIMTLFIYFFLPETKGIPIDDMGAIWSKHWYWKKYVTIEKNDDTKKSTENNSQSQVDVVEKQV >Solyc01g106265.1.1 pep chromosome:SL3.0:1:94114490:94116286:1 gene:Solyc01g106265.1 transcript:Solyc01g106265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCCLLVLYTNSSAERVVDCQKKELVDQGNKFLECIAGETIPYIKELVGSLVFLATKTRCLQEGSQESLCRILGQSVICSPSSKFLIPHQLLIHKETNRGCKDNTAVEDTENILERVL >Solyc04g016000.3.1 pep chromosome:SL3.0:4:6595218:6598734:-1 gene:Solyc04g016000.3 transcript:Solyc04g016000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLEGGQVSDEKSLLEYVVMKKSSPSPFLLKTYMLVEDPATDDLVSWNSDGSAFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKITTSQWEFSNDKFKKGEKNLLREIRRRKAWTNRQQPNNKKDNIIEEDQRSSSSTSSSSEYINLVDENKRLKMENGVLSSELLLMKNKCKELMNIVTIFAKNPEKEEDEKKPMLFGVRLEVKEEMEKKRKRVELNEMASVFLSQLCK >Solyc01g028930.3.1 pep chromosome:SL3.0:1:39808018:39819332:-1 gene:Solyc01g028930.3 transcript:Solyc01g028930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQGLEGSQPVDLTKHPSGIVPTLQNIVSTVNLDCKLDLKAIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFPAKFKDFKIQNIVGSCDVKFPIRLEGLAYAHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKNQQ >Solyc04g010130.1.1.1 pep chromosome:SL3.0:4:3425525:3425800:-1 gene:Solyc04g010130.1 transcript:Solyc04g010130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGIQMLHSAPKNISPTRCAGEHGSVYTGSWHGGKFNRDFPVDISNPVYSHQQSMYDGPDHYFGQGKTKFTAMQRSGFPQIWSKSPVRSRT >Solyc01g080910.2.1.1 pep chromosome:SL3.0:1:80103755:80105029:1 gene:Solyc01g080910.2 transcript:Solyc01g080910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVAPEQQQNLSLPSLFKESLSIPKRSPQTFYRITLSLILPLSFAILAHSFFTHPILSQLHENPSASHASQWTKLLFYQFCYLIFLLAFSLLSTSAVVFTVASLYTSKQVSFSSIITALPSILRRLFITFLWVFLSMLVYNAVLSFFIVLMLIAFESKSKNSTALFLVSVFLLSVFFLVVHVYLSALWHLASVITVLEPIQGLAAFKKSYELLKGKIRMASVLILGYLVIFGVVSSGFGSIVVDGEGYSVFVRIAVGGILIGVLVVVILVGLLVQSLFYYVCKSYHNERIDKSALYNHLGGYLGEYYEPLKGNMQIDDTLEVEMKGGDTA >Solyc07g042220.2.1 pep chromosome:SL3.0:7:55405126:55410185:1 gene:Solyc07g042220.2 transcript:Solyc07g042220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4CE85] MKICLFYIFLLYLVSSIHAYQVSHDGRAITINGERRILLSGSIHYPRSTPEMWPDLIKKSKEGGLDAIETYVFWNAHEPSRREYDFSGNLDLIRFLKTIQDEGLYAVLRIGPYVCAEWNYGGFPVWLHNMAGIELRTANGVYMNEMENFTRLIVDMVKEEKLFASQGGPIILAQIENEFGNVQEAYGDAGKAYIQWCSNMAQSLNVGVPWIMCQQADAPQPMINTCNGYYCDEFTPNNPNSPKMWTENWTGWFKNWGGKDPLRTTEDLAYSVARFYQTGGTFQNYYMYHGGTNFGRTSGGPYITTTYDYNAPLDEFGNLAQPKYGHLKELHDVLHSMEKILASGTVNNTNLGNSVAVTMYTLDGESSCFFSNANETTDATISYKNVYYNVPAWSVTILPDCITEVYNTAKVNTQTSVMVKKLNNAENEPIPLQWSWRPEMIDDAIILGRGQSSFHELIDQKVVNDTSDYLWYMTNVYINNTDPIWSDDMKLRVNASGHVLHAYVNGKYLGSDWATYGIFNYVFEKKVKLNPGKNSISLLSATVGFKNYGPHFDTIESGILGPVEIIGKNGDESIIKDLSSHKWSYTVGLKGINNKLFDEYNTGKWDSHDVPINRMMTWYKTTFKAPLGNAPVVVDMQGLGKGTAWVNGQSIGRYWPSYLAEGNCSLDPCDYRGPYSADKCTSKCGEPTQRWYHVPRSFLSSDENTLVLFEEFGGNPSHVKFQTIEVGMACGSAYENKTMELSCNGSRISAIRFANFGETEGSCGSFGKGSCSSEQDVVSLVEKQCVGKEKCLVQASESVFGMTNCGNKEKKLIVEAVC >Solyc02g081500.3.1 pep chromosome:SL3.0:2:45989016:45996332:1 gene:Solyc02g081500.3 transcript:Solyc02g081500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTFISHSDSVINQNIVAMILALNYTVTLKCIAAKLPKLNLSQSNFTNDDDLTVLFNCSEPFPESNDGYLVPCLASSGYQVHAVTSTFDLDLLLSGPCTKIHQYPYSDSTFGENMLQLNWNVPLCGNCEFKGMDCGFKDGTKLLETHCFNRKDITRTGNNTKQHLIAGVVSGAALVCIIVFSLYKLYLTSRNKRESRVRLEKFLDDYKAIRPTRYSYADIKKVTDDFNEKLGEGSYGTVYKGKLSSDIYVAVKVLRDSKGKGEEFINEIDTIGRIHHVNVVRLVGFCADGFRRALIYEYLPNDSLERFILPVSSSPGSVSLISWHKLHHIALGTARGIEYLHQGCDQQILHFDIKPQNILLDHNLNPKICDFGLAKLCSKEKSAVTMTAARGTIGYIAPEVLSRNFGKVSHKSDIYSFGMLLLEMVGGRINMDAKTNNHSKVNSLEWIYRHLEKGEELKIQIEEEGDGRIVRKLAIVGLWCIQWHPIDRPSIKEVTQMLEGDGSHLNLSPNPFMATDKPKLNASSHGEDLDVILEIE >Solyc02g089950.2.1 pep chromosome:SL3.0:2:52273978:52278285:-1 gene:Solyc02g089950.2 transcript:Solyc02g089950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIRLTKVEQGQTKIRNVPIAVTPEGFWCCPSPNVFQKSLKTPNPLNKPKAPLPTTQTPAHKKQTSVTEKRPASAAPKSGAVSDEKRGVSSDTPSVTASVPAERTPRSKTENVPRKVSIEFGEPGTSDLRVILLGKQGFTVKLNVHKNILVANSSFFANKISVQQPVFPCIEIDDCEDVEICVETIGLMYCKEMKQRLIKQSVSRVLRIIKVAEQLGFQSCMQSCLEYLEAVPWVGEEEEERVVSSVLRLQSDGIGVTPVLKRVSSDISKPHKDTFSHILELVLKSNEERGRREMKSVVLKLLRENNCLPSSSGSVDSYNEIIYGSCRSCLDSILILFKQAAEPEFSSNSTDCREPVVKQMVLEADNLSWMLEILTDRQAADEFAVMWASQQELASLHTKLPIVSRHHVSCITAKLFVGIGKGELLPSKDTRNLLLQTWLQPLINDYSWLQHGSRSFDRKVVEEGIGRTILTLPLEEQQSILLSWLGSFLKSGDNCPNLQRAFEVWWRRTFVRPYAETGSTRPLDHVTTPKLATREAMIEEATPLRSPVA >Solyc03g013140.1.1 pep chromosome:SL3.0:3:48772587:48774264:-1 gene:Solyc03g013140.1 transcript:Solyc03g013140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGERIAAQFVADNLHSDVFEMLVNSSTSTTKKAMEAAYIRTDHQFLKQMALIEGNEIIISNLGDCRAVLFRNGVTKALTRYHRTERQDERKRIEDKMLNEGLGKPDTKTLTLTADMEYLVLASDGLWDQRTWKPCSVKGSLDDITVMIIDLTHFKSQYGSYP >Solyc01g066940.3.1 pep chromosome:SL3.0:1:75026150:75031551:1 gene:Solyc01g066940.3 transcript:Solyc01g066940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQESFIYSFVARGTMVLAEYTEFTGNFPAIAAQCLQKLPSSNNKFTYNCDHHTFNFLVQDGYAYCVVSKESVGKQISIAFLERVRADFNKRYGGGKADTAVAKSLNKEFGPVMKEHMQYIIDHADEIEKLLKVKAQVSEVKSIMLENIDKAIERGEDLTILSGKTENLRDSALEFKTKGTQIRRKMWYQNMKIKLVVFGIILLLVLIIWLSICRGFNCTN >Solyc03g093190.1.1.1 pep chromosome:SL3.0:3:55816630:55816998:1 gene:Solyc03g093190.1 transcript:Solyc03g093190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDYVSNVILLPNVDSKTMAKIIEYWKKHSEEDVSKDLLIEFDKAFVKVHHSILHALILAANFLNDKEILYMMCQEVADRIEGKTPEEVRKEFDIKNEILPRKKRRSVKRMFGLLNDFFL >Solyc01g005090.3.1 pep chromosome:SL3.0:1:82582:89176:-1 gene:Solyc01g005090.3 transcript:Solyc01g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFSIMILKKLKTSVFVNTWNVGGVVPTDDFKVDDLLDTCHTSSCDIYVFGFQEVVPLRATNVLGSESGRISAKWNCLIREALNKKAKENNNGKLFPQEFNCIISKQMVGILISVWVRNDLSSFIKNPSVSCVGCGIMGCLGNKGAVSVRFQLHQTSLCFVCSHLASGGREGDEKNRNSNVGEIFTRTIFPKGPSLELPTKILDHDRVIFLGDLNYRISLPELTTRLLVEKGEWNALLEKDQLRMELMDGQVFEGWQEGIINFAPTYKYYPNSSEYYGRSEKRGEKKRSPAWCDRIIWYGEGLKQLLYDRGESKLSDHRPVKAIFSTQIRVSRKLKKLGTFFLSERFM >Solyc10g038130.2.1 pep chromosome:SL3.0:10:19812190:19817728:-1 gene:Solyc10g038130.2 transcript:Solyc10g038130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4CZD6] MAEVLRGFWGVVFVVFLVLSKWVDSHEFEDSIFMKTSSGDGAITPTPLLIALTLIQGAAAKGAVCLDGTLPGYHIHPGSGSGANSWLIQLEGGGWCNTVRNCVFRKTTRRGSSKFMEKQIQFTGILSNKAEENPDFFNWNRVKVRYCDGASFTGDSENKVCKDAQLQFRGQRIWEAAMAELMSKGMQNAQQALLSGCSAGGLASILHCDEFRTLFPSSTKVKCLSDAGLFMDATDVSGGHALRDVFKGVVSVQGLQKMLPSNCTNKLDPTSCFFPQNLIGNIKTPLFLLNAAYDFWQIQSSLAPPVADPHGLWHDCKRDYKKCSASQIQFLQDFRNDMLNAIKGFGASTQSGLFINSCFAHCQSERQDTWFADDSPLIDNKTIALAVGDWYFDREGMKAIDCAYPCDKTCHNMVSK >Solyc03g078780.2.1 pep chromosome:SL3.0:3:52855187:52883714:-1 gene:Solyc03g078780.2 transcript:Solyc03g078780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQGRKGKRLLLFPLPLQGHINPMLQLANILHSKGFSITIILADNFNTSSNNIRANYPHFTFHTISDGISEDEKAKIDIDVLSLLSLFNEKCVPHFTEALRELVLEDSNIVCLISDSILHFTKAVADSFKLPRLVLRTGGVCSLLVFAAIPLLRNKAYLPLQESRLEEAVEELPPYRVKDLPLFKTCNVDALYQVIEGMIRETKASSGLILNSFEQLEQFSMSKLRGDFPIPIFPIGPFHSHFPASSSSLMSQDQTSISWLHTKPPKSVIYVSFGSIAAIEINEFLEIAWGLANSSHPFLWVVRPGLIHGSEWIELLPSEFLERVDGRGHIVKWAPQQQVLAHPAVGAFWTHSGWNSTLESICEGVPMICMPCFGDQMVNARHVSHVWKVGVQLENGLKRTNIENAIRTLMEEEEGKQIKERMLALKEKANLCLKPGGSSYESLRSLTSYISSF >Solyc02g088945.1.1 pep chromosome:SL3.0:2:51501087:51504015:1 gene:Solyc02g088945.1 transcript:Solyc02g088945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWCTEECTGRSEIRSSQIIRWGCASEKHDPESRFLCQIIRSIGVNWKSRNAAHTHSSNVFPKDGDFLDNKFSASASSSCRSDFLLISRREESLTIVAGRSTENVSFKESLVLDNSSNVKHERRKPFMQSGSLRRSLTHDESSFDSYEDIKFEKIAEDVNQFCWGKTKSKALQSQNRFRKVCINQDILEEYDHRMDFFEQTAEMYDSVLPYFSPEPRLFCHQISGPGFEMLILTLLKMGLKLLLNMKLVSRPSEFYYEGDDLSLLHSHGVDNLNNYLTPRAMLSSRVDGTRDEEDQKKSFSSSILPKHGEKLSARSFHIYVINLHPSCGDCVFSDERPSMKMKLANIWNNKLQAQRGVYKYTQWGFKRRNNLDLEHSANKCMEQLSISLPHTHMKNSSV >Solyc10g049998.1.1 pep chromosome:SL3.0:10:47457712:47459273:1 gene:Solyc10g049998.1 transcript:Solyc10g049998.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKVVDCDDSDYSLITTDASKSYNVWLMDSTCIYHVCPNRDWFFDLQEGECSVMHTANNNPLTAYGVGSTFIGIVTTTSNDEKEAEMTKLWHMCLGHAGEKSLKILSNQGLLKGVKTCDLEFCEHCFKGNQTTVKFGTSIHNSKGESVEEEVPSQEPQPQFESI >Solyc08g014110.1.1.1 pep chromosome:SL3.0:8:3746400:3746942:1 gene:Solyc08g014110.1 transcript:Solyc08g014110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGYIGKKDLRISEEEDLDDTLSFSDLILTHDDNDIDSEEREKYYDSKSSVTSSLDDEDHTFEFISNYENNVVLPPKNILFCGKLIPYKNNNLILSSSISKKRSFSFSRKNKFLGYNPPHEMELKDLKNRLDRKVGDGISDCNIYGKGNGNGKGKGILWSFIKAISFTSGGAILPCRIGC >Solyc07g019630.1.1 pep chromosome:SL3.0:7:12466099:12467822:-1 gene:Solyc07g019630.1 transcript:Solyc07g019630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLFNKSCTLVCYLYTNTKMLPLLYSCDVLLPAITLENDILVKRNTTMTSDIQIRRSSLGILCNQVSADLSTFSPPPNGSRAVDFDDCDTILHVSQMCNCLWFVGINFLPDAGFCSFVVAVGSSAGRVIDVLLSIGSILIKANVSMIRARLKVLNLLFAQATTILGKPKELSKGTDAVLSFCLWRGEGREENVLRARHFASCSLTSKKRALRQRRKSGRKANHYISRLPSRREASYLYLIPLHGERSALGECLASCAGSEVQRASKDFLFRLCQFLSCSTQGNDGKGYRPCSSVVGC >Solyc05g051800.3.1 pep chromosome:SL3.0:5:63027316:63031035:1 gene:Solyc05g051800.3 transcript:Solyc05g051800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYDSSSSLVPPLIDKPSKVDLEAGNIDQVQCRICLENDGEDFIAPCKCKGSSKYVHRECLDQWRAVKEGFAFSHCTTCKAPFYLRVNDLHRKWRTIKFRFFVTTDILFIFLAVQLVIAALGYLVYVIDAHQKFWLRLHWGFGSELGFYYICGALLFFALVGISGCFLTCFDESVRDDLSQPCQNALSCCSCASLCADCHTRRPHTGTTYICIDTNICFDNCSNSTCECCSENHSCTDCECGSCLGGDGDQSGIPVLLVVAVIVLGLFALLGMFYSVLVATMVVQRIWQRHYHILEKRMLTKEYVVEDVGEVACNDWSPPPLPQQHVQKLESLGLV >Solyc06g009270.3.1 pep chromosome:SL3.0:6:3225166:3235341:-1 gene:Solyc06g009270.3 transcript:Solyc06g009270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:K4C3V7] MDSTASRRTASGRSSVVESIKGFTFAGVRISKEDLRRRITMPEYLRLAIREAIQNKDVDTVRRHLDTAYAAGAEIPQPAEEPIIVFINSKSGGRHGPQLKARLQELMGEEQVFDLSDVNPHEFVQYGLSCLEKFAALGDSCAKVTRERIRVVAAGGDGTVGWILGCLGDLKKQGREPVPPTGIIPLGTGNDLSRSFGWGGSFPFNWKSATKSILDRVATGPINRLDSWNLLISMPAGDKLETPHSLKSTEDASLDQELEIDSELPKKLSNYQGVYYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKLIYSGYSCTQGWFFTPCSSDPCLRGLNNILRLYVKKVNSSKWEKISVPSSVRSIVTLNLPSYGGGRNPWGRLKPEYLEKRGFVEAHADDGCIEIFGLKQGWHASMVMVELISAKHIAQASAIRFELRAGEWDEAYMQMDGEPWKQPISKEYSTFIEIKRVPFQSLMVNGKRN >Solyc08g066905.1.1.1 pep chromosome:SL3.0:8:55838830:55838838:-1 gene:Solyc08g066905.1 transcript:Solyc08g066905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YT >Solyc08g077580.2.1.1 pep chromosome:SL3.0:8:61672522:61673034:-1 gene:Solyc08g077580.2 transcript:Solyc08g077580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQLSERRYRLDLYIGVKTKFVVELIKLCDGPKERVIIFTQLLEPLKLIKEQLISLFGWTLDREILYMDGTLDAEQRQISINSLNDPKSDVKKLLASIKACSKGRSLIRVSSVVFLDVLWNPSVEQQAISRAYRNGQTKFVHVYCPVTSKWEVDKIKPHILMLLDSKHAQI >Solyc02g085130.3.1 pep chromosome:SL3.0:2:48748259:48750836:1 gene:Solyc02g085130.3 transcript:Solyc02g085130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKSIVRELREMKDGIGNISRRGTERKHWSNRTRSHIVPDVALSDQIEQGQWANLPPELLLDIIRRVEESELSWPARSALLFCASVCKSWRGITKEIVKTPEECGRLTFPISLKQPGPRESPIQCFIKRDRANSVYRLYFGMIPSEDERDKLLLSAKKIRRATNTDFVISFVADDFSRASNMSNFLGTKFSIHDSQPPSDAAIQQPGRLSRRFHAKQVSPRVPACNYRVATISYELNVLRTRGPRRMHSAMHSIPLSSIQEGGSAPTPKSFPQSFDEKSFSAPLAIAKESAMDISSSGISRSAVTATSQDILVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNIPVAEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Solyc08g077530.3.1 pep chromosome:SL3.0:8:61638885:61642476:1 gene:Solyc08g077530.3 transcript:Solyc08g077530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IHITYIFYYQHKSSHIYTLLSKTTHSVYLFTIKHQKQEMTLTLQSSASFINFKETKGVKTPDEFLGMVSFAQAKPSSCRLVAKSSMQEAQLSHERIMEVRKIEKREKLHELTANHSNSSTRVPVFVMLPLDTMTMGGNLNRPRAMNASLMALKSSGAEGVMVDAWWGLVEKDGPLKYNWEGYAELVNMCREHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEISKNPDLVYTDRSGRRNPEYLSLGCDMLPVLKGRTPIQVYTDYMRSFRERFNNYLGNIIVEIQVGMGPCGELRYPAYPESNGTWRFPGIGEFQCYDKYMRASLAAAAKATGKDDWGQGGPHDSGQYNQFPEDTGFFQRDGTWNSDYGQFFLEWYSGKLLEHGDRILAAGESIYQGTGAKLSGKIAGIHWHYNTRSHAAELTAGYYNTRHRDGYLPIARMLAKHGVVLNFTCMEMRDGEQPQSANCSPEGLVRQVKTAARTAEVELAGENALERYDGGAFSQVLATSMSDSGNGLSAFTFLRMNKRLFEPENWRNLVQFVKSMSEGGRNATLPECDSSRTDLYVRFIKESHSKKATEVAVV >Solyc03g113090.3.1 pep chromosome:SL3.0:3:64858979:64861822:1 gene:Solyc03g113090.3 transcript:Solyc03g113090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISGKDQSSVSANAKRSSSATKLLRYPLRSGTKPKEEKPPLTDASNSSVPRRVKPVSSVSKSIGVFDLGKEKSAAKPPRRLSIQSKSSASPASRSVGTVTPISEARAKRSVINQGKTNTPLSALAKSSNGKESNRLFSALYWLSQIKLSESAAKHSISLGFFKLALEVGCEPLQRLRDELKSYVQRHNLLDLGEPVKQLFESYNISHDFEQLQVSETCSHAPQDGTPSSDDEVLCNSSVAGTEKSDPEVVTKDAIETWEVAEPPTKETSSRKDIATKNHKSVSKTATTPNSTEVAATSKSTEFSGTTKKKIENPKQKPNKNKAKRQGKKSAGVEGHANAGTVEVALPEDKENMDAPQSEV >Solyc05g017760.3.1 pep chromosome:SL3.0:5:18317705:18323864:1 gene:Solyc05g017760.3 transcript:Solyc05g017760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPATAESIKPRDICVVGVARTPMGGFLGSLSSVSATKLGSIAIAGAIKRANIDPALVEEVFFGNVLSANLGQAPARQAALGAGIPNTVICTTVNKVCASGMKATMLAAQSIQLGINDVVVAGGMENMSNVPKYFAEARKGSRLGHDSLVDGMLKDGLTDVYNDCGMGVCAEICAENHKITREDQDNFAVQSFERGIAAQEAGAFTWEIVPVEVPGGRGKPSTIVDKDEGPGKFDGAKLRKLRPSFKEKDGTVTAGNASSISDGAAALVLVSGEKAVKLGLDVIAKISGYADAAQEPELFTISPAKAIPKAIKSAGLEESQIDYYEINEAFAVVALANQKLLGLNPEKINVHGGAVSLGHPLGCSGARILVTLLGVLRQKDGKYGAAGVCNGGGGASALVVELM >Solyc11g051050.2.1.1 pep chromosome:SL3.0:11:17314573:17314749:-1 gene:Solyc11g051050.2 transcript:Solyc11g051050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GWIATSGQADSWVYEEANTTFIKNEERLNEHMNKNSSSFRKLLQTFLEANRHGYWDNS >Solyc02g021170.1.1.1 pep chromosome:SL3.0:2:22318013:22318246:-1 gene:Solyc02g021170.1 transcript:Solyc02g021170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNQPAAAPLSPFSFFFCRQQQPREEQLRHQRLQQQQPTRTPAASSNNGEQLRRGLKFWKLVRLVSTDLSRFIFD >Solyc06g008265.1.1 pep chromosome:SL3.0:6:2137714:2140820:-1 gene:Solyc06g008265.1 transcript:Solyc06g008265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKIFSLLQFFALLNLFTVTFASTEEATALLKWKATFKNQDNSLLASWTQSSNACRDWYGVICFNGRVKTLNITNCGVIGTLYAFPFSSLPFLENLNLSNNNISGTIPPEIGNLTNLVYLDLNNNQISGTIPPQTGSLSKLQILRIFGNHLKGSIPEEIGYLRSLTDLSLSTNFLNGSIPASLGNLNNLSFLSLYDNQLSGSIPEEIGYLRSLTDLYLSTNFLNGSIPASLGNLNNLSFLSLYDNQLSGSIPEEIGYLRSLTDLYLSTNFLNGSIPASLGKLNNLSFLSLYDNKLSGSIPDEIGYLTSLTDLYLNNNFLNGSIPASLWNLKNLSFLSLSENQLSGSIPQEIGYLRSLTNLHLNNNFLNGSIPPEIGNLWSLSIIDLSINSLKGSIPASLGNLRNVQSMFLDENNLTEEIPLSVCNLTSLKILYLRRNNLKGKVPQCLGNISGLQVLTMSRNNLSGVIPSSISNLRSLQILDLGRNSLEGAIPQCFGNINTLQVFDVQNNKLSGTLSTNFSIGSSLISLNLHGNELEGEIPRSLANCKKLQVLDLGNNHLNDTFPMWLGTLLELRVLRLTSNKLYGPIRSSGAEIMFPDLRTIDLSNNAFSKDLPTSLFQHLEGMRTIDKTMKVPSYEGYGDYQDSIVVVSKGLKLEVVRILSLYTVIDLSNNKFEGHIPSVLGDLIALRVLNMSHNGLKGHIPPSLGSLSVVESLDLSFNQLSGEIPQQLASLTSLGFLNLSHNYLQGCIPQGPQFRTFENNSYEGNDGLRGYPVSKGCGNDPVPDTNYTVSALDDQESNSEFLNDFWKAALMGYGSGLCIGLSIIFSNAKNKNALA >Solyc08g007270.3.1 pep chromosome:SL3.0:8:1836590:1842526:-1 gene:Solyc08g007270.3 transcript:Solyc08g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLENQDLRLSLSLSFSENKTTNPLQLNSWIGSFPSSDRNLEKCRTFLKGIDVNIIPTNTEEEVGVSSPNSSISSLSGNKRNEREIINCCDELEIERECSRSISDEEDGETSRKKLRLTKDQSIVLEESFKEHNTLNPKQKQALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCELLKRCCENLTEENRRLQKEVQELRALKLSPQLYMQMTPPTTLTMCPSCERVAGPSAMSGPASIDIRTNQMVLARQRPQQQKKEVSVAFCHRLLQCSSRLRTLREPWEHIEELKLINCYEIKELPFSIQTSNKIRLMKGTSEWWNLLKWDNNNFKSNLEHCFLKKELKRQRKELLAPERQNFELKLISRVCCDATVPCLNWLRFLMLGF >Solyc07g023995.1.1 pep chromosome:SL3.0:7:23732727:23735415:-1 gene:Solyc07g023995.1 transcript:Solyc07g023995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSPTPLPLTGLTGPNHLNLVKSLGVRGETNNDVEGEDQFEKRKERVIGKWDIFISLIKTSPTISRFGSSLFGHSLLLESDTQVSMVQTPLHVAAGYNNVEILKFLLGWSGPEKVEMEAKNMYGETPLHKAAKNGCNEAAKKLLANGALVEAKANNGMTPLHLAAWHSLRVEDCCTVKTLLEHDANCSAEDIEGMTPINHLSQGPGNEKLRILLNRHLEEQRKRKDIEACGQTKAKMDELENELSK >Solyc11g013000.2.1 pep chromosome:SL3.0:11:5850672:5854764:1 gene:Solyc11g013000.2 transcript:Solyc11g013000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCKLVLLLLTVITVAEAIWMELPTSGTKCLSEDIHSNVVVLADYSVIGDEEHAQANVVPTISVKVTSPFGNNLHHKENVTHGQFAFTTTEAGNYLACFWMDNHAPGAKAVTIGIDWKTGISAKDWESVARKEKIEGVELELVKLEGIVQAIHENLEYLKDREAVMREENEKTNARVAWLSIMSLGICIAAAVLQVFYLKNFFRKKKLI >Solyc09g059570.3.1 pep chromosome:SL3.0:9:55101447:55104238:1 gene:Solyc09g059570.3 transcript:Solyc09g059570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFMVLCLEGMVAFLLMVKIGPLRELVMKCLDQVKMRKGTVLTIAGTISAILFSNFISIIKIQNKGAKIGTMTPMDQVLWRTNLLEATLMGFSLFLGFLIDRMHHYLRKLIVLRSSAGSSKKEFERLEKEKLQLKEKADKAAEEIKLSQKQLSSLTETLKKVKLESEEKDKRVETAEAHVAALQKQAADLLLEYDRLLEDNQNLQNQAHGYRS >Solyc01g010030.3.1 pep chromosome:SL3.0:1:4595128:4607332:-1 gene:Solyc01g010030.3 transcript:Solyc01g010030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSPPLSSSTPFAAPPIALNSPAPIYQPNENSSSIASPQSAPLVATSPLPESPPPPTNITLPPPASSPQPQPPISTPTPPAESAPPIISPPPTPSGPPASSLTPPPSSSPPASPTESPSSSTPSSPPPLPPQPEPTRPASSPPPPSTHPPALSPPHSSIRPPALSPPPPVQNPRTPPAPRSPGNPSPEPPKRSPPSSKPPANAPPSKPPRNTPDSPALVPPKNSPPSPDALPPINSASSSSPPTNSSPPQSTNGAQPSLTPPSPSFPSGNTTTSSNSSAVKSKDIGDGGIGIGGSVAIGIILVFLLLGIVGAAGWCICKRKKKDSGLSGGYILPTTLISSPKSGSASLKFRESKPEIGNGAGSNIANTTGNTGGLGQSKPWFTYQELLEATNEFSEHNLLGEGGFGSVYKGCLANGRDIAVKKLNIYGSQGEREFRAEVEIISRIHHRHLVSLVGYCIYENGRLLVYDYVSNDTLYFHLHEQGRPVMDWATRVKIAVGAARGIAYLHEDCCPRIIHRDIKSSNILLDNNFDARVADFGLAKLAQDAKSHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSFGVVLLELITGRKSVDASQPLGEESLVEWARPLLGNALEKEEFDQLTDPRLGTNYIDSEMFQMIEIAAACVRHSAAKRPGMGQIMRAFDSMLMSDLTNGMKVGESAIYNSAEQSAQIRLFRRMAFPSQDVNSDFSSQSTNYSRELSEHV >Solyc03g034413.1.1 pep chromosome:SL3.0:3:6402138:6405148:-1 gene:Solyc03g034413.1 transcript:Solyc03g034413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPLEFEIQKNPVQFMIFLLAGVDNVDSRRETDDLIVDSSRDDCKDYFDLNNTRWTVKEGTGVDLFMHEVIAVTKDGTIRIG >Solyc02g077390.2.1 pep chromosome:SL3.0:2:42903458:42905770:-1 gene:Solyc02g077390.2 transcript:Solyc02g077390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COMPOUND INFLORESCENCE [Source:UniProtKB/TrEMBL;Acc:B6E0Y5] MASSNRHWPSMFKSKPCNSHHHQWQHDINSSIIQQRPPCNPEERSPEPKPRWNPRPEQIRILEAIFNSGMVNPPRDEIRKIRAKLQEYGQVGDANVFYWFQNRKSRSKHKQRHLQAKAQQQHHNNNNNSSHQPIITSSSSSSDKSSPNSLTFSIGTSNVMDLLNSPTSSVNQQNYNEFLSNEQPFFFTVQPPPVVPTHDHSAGFCFQDSSTFTPHSSSSGLLLNEWMGGISTQAPNNSKKDENDKINLQSQLMSYTVTSTVSPLATTTIPTISHIQGVTVDPNDAGPTRSTVFINDVAFEVGIGPFNVREVFGEDAVLIHSSGEPLITNEWGITIQPLQHGAFYYLLRTSSIASTHHI >Solyc08g074605.1.1 pep chromosome:SL3.0:8:58844497:58851186:-1 gene:Solyc08g074605.1 transcript:Solyc08g074605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYFVHLYRPSPSTSLSSFQKYHIFSVQKPNEHYKLTGHFLSGFFVSNIMGRTEELLEELINVEVELLDVQVHLKLWSSMSSYPDQIKYLLDRQEKLHERQFELKALVESCESSSGRASDAAAVPVDDWSRPFEWDSQADDIRFNVFGISKYRANQRECSQHVTVSTLLGVPRSSDPKGTTIP >Solyc01g109080.3.1 pep chromosome:SL3.0:1:96031323:96054037:1 gene:Solyc01g109080.3 transcript:Solyc01g109080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQGLHHQQQQLAALLTVALPKDDPSKSTSTSVTEDDDSSRVAAITSLQRAILYPPNSLLITHSASFLAQGFSQLLSDKSYSVRQAAATAYGALCSVLCLISIAPNGRQNHVILVSLVDRFIGWALPLLSTVVDGTTDLALEGLREFLNIGDVSAVERFALPILKACQELLEDERTSLSLLRRLLAVLTLISLKFFRCFQPHFVDVVDLLLGWAMVPDLAESDRRVIMDSFLQFQKYWVNNMQFPLGLLSKFLGDMDVLLQDASPGSSQQFQRLLALLSCFSTVLQSTASGLLEMNMLEQISEPLCKMVPILLGCMSMIGKKFGWSKWIDDSWRCLTLLAEILSARFATYYPIAVDILFQSLVMECKDQSMRMKRLDSFQVHGVLKTNLQLLSLQKLGLSPSSVHKILQFDAPISQLRLHPNHLVPGSSAATYIFLLQHGNFEVVEKSVIVLLEELDLLRCMLRQKSDLQNLGYDVKILKSYSRSELFALVQFDLAVLLSCVSLGSGATSMIGQAEIYTLYLNRSGKLISSIIGNFNPFELPVLGHVELQVTVLKTLERLAAFEFLSKCSLSKQVSATISQQATPEKLEKVESGRIELPGLVLQHLKMYAILLIRALHVASPLAVKTVALQWIHEFCRKVVDIYENEEALYFPYEVLGYADVVQDLLFSVLDVASDREPKLRSLVALVLQKLLQAKLIHPTHFIITTQAVLEKLGDPDEGIRNAFVRLLSNVLPITVYACGLRDNGLATACWPGVLRFNNRSNLHWKQLFALKQLPQQLHSQQLVTILSYIAQRWKVPLSSWIQRLICGCGRTKNVALIQPEETSNSSSNGLLWDIKVDEDILERICSVNTLAGAWWAIHEAARYCITTRLRTNLGGPTQTFAALERMLLDVAHVLQLDADQSDGNLNIIGSSYAHLLPMRLLLDFVEALKKNVYNAYEGSTVLPGASRQSSLFFRANRKVCEEWFSRISEPMMNAGLALQCHDATIYYCALRLQELRSLVASAIKDKPRVQLTENIHNVRARYAADILRVLRHMCLAFCKAHEPEALIGIQNWATVVFSPLFTDENQSLDDSGIIGHFSWITGLVYQTKGQHEKAAAHFIHLLQTEDSLTFMGSDGVQFSIARIIESYSAVSDWKSLESWLLELQTLRAKHAGKSYSGALTIAGNEVNSVQALARFDEGEFQAAWACLDLTPKSSSELTLDPKLALQRSEQMLLQAMLHQVEGRPEKVSEELQKAKGMLMEPLSVLPLDGLVEAASHVNQLYCISAFEECYNLNVSLDKHFPSLLSSHMQVMKSPIIKDCQDCNIWLKVLRIYQRAYPSSSMTLKLCRNLMSLARKQKNFRLANHLDNYLKDHLSSFPDGGIRDHVTLGLEYERVLLMHAEDKFEDALTSLWSFIRPSMISSSFIASDTTDKVLKAKACLKLSNWLQEDYSNSWMKDIILKIRCDFNTSSGREESSFILDNLTSKENVNAIIEELVGTATKLSSQLCPTLGKSWISYASWCYNQARLSLCAPCEATLFSCSFSAVLDSEIQPARYKLTEEEVVKVKDIISKLLASGEVLNEDGESDVFCSGNSESIQSDGTASSLLQEVVDTIEAEAGAPGVEDYNGEFFPNTLTSKLQQCLVKANVVLEETSVKSLVTDLVNIWWSLRRRRVSLFGHAAQAFVNFLSCASSRSLDGQLTSCSEESKYKSLNYTLRSTLYVLHILLNYGIELKDTLEPALSAVPLLPWQEIIPQLFARLSSHPEQAVRKQLETLIVKLAKLSPRSVVYPTLVDANSYEREPSEELQKILACLNELYPKLVQDVQLMITELENVTVLWEELWLSTLQDLHADVMRRIILLKEEAARIAENPTLSHGEKNKINAAKYSAMMAPIVVVLERRFASTSRKPETPHEIWFHEVYKEQIKSAIITFKNPPASAVALGDVWRPFDNVAASLASYQRKSAVSLREVAPQLALLSSSDAPMPGLEKQIMVSESEGGLNTSSSGIVTIASFCEQVAILSTKTKPKKIIIVGSDGVKYTYLLKGREDLRLDARIMQLLQAVNNFLHSSSAVQSQSVCVRFYSVTPISGRAGLIQWVDNVVSIYSVFKAWQSRVQLAQLSALGANAKQTVPPPVPRPMDMFYGKIIPALKEKGIRRVISRRDWPHEVKRKVLLDLMKEAPKKLLYQELWCASEGFKAFSSKLKRYSGSVAAMSIIGHVLGLGDRHLDNILMDFCSGDIVHIDYNVCFDKGQRLKIPEIVPFRLTQTIEAALGLTGVEGTFRANCEAVLGVLKKNKDIILMLLEVFVWDPLVEWTRGDFHDDAAIFGEERKGMDLAVSLSLFASRMQEIRIPLQEHHDLLLSTLPAVESGLERFINILNQYEVVSGLYRRADQERSSLVLRETSAKSLVADATSTSESIRASLEMQARELAQAQAVVMEKAQEATTWIEQHGRTLDALRSSSIPDIRACMQLTGKEESLSLVSAVLVAGVPLTVVPEPTQAQCNDIDREVSHLVAELDHGLSSAISTIQTYSLSLQRILPINYHTSSPVHGWAQVLQLAINTLSSDILSLSRRQAAELIGKAHADGIDSVKSRYDDLCLKVGQYAAEIERIEEECAELVNSIGPETELRARNSLFSSFKNYMESAGIERKEDAGLHGNFQETKEKVLSVLKAAFSALYNDIKHKILNNLSRFTTRRHTDMILCSDLGTSFSEFEEQVEKCMLVAKFLNELQQYVRMDYRSIDTVVDTSESLFDSNWTSIFKTCLLSCKNLVSQMVEVVLPEVIRSVILFNTEIMDVFASLSQIRRSIDTALEQLIEVELERVSLAELEQSYFVKVGHITEQQLALEEAAVKGRDHLSWEEAEELASQEEACRAQLDKLHQSWNQKDFRFSSLIQKETAIRSSLVSLEQDLQSMISHEHDEELHLFRSRALMAALMQPFSELEAVDQELSLLGAPVESGSTRISHLKNLFNSGCPLSEYIWKFPGIWSNHAFFVWKVYIVDSFLDSCTQNIALQADQSLGFDQLVNIVKKKLESQLQENVEQYLKEKVVPVLITRLEKESEYLKQVTESTEDLTCDQGNNNFAAVRNVQIMLEEYCNAHETVRAAKSAASLMKRQVSELKEALFKTTLEIVQIEWMHDINANILQKRRLISHKYLPSDARLLPVLLNISRPQLLENFQSSIAKIDRALDGLQACEKTSVTAEGQLERAMNWACGGASSTSAGSALARNPGIPQEFHDHLRRRQQLICEVREKASDVMKLCISILKFELSRDGFFQTSEEFYPSRSMADGRTWQQAYLNALTNLDVTYHSFNHTEQEWKLAQTNMEAASSALFSATNELCVASVKAKSASGDMQSTLLAMRDCSYELSVALSAFGSITRGRTALTSECGSMLEEVLAVTEGVHDVHSIAKEATALHLSLMEDLSKANGILLPLESLLCKDVATMTEAMAKEREATMEISPVHGQAIFQSYHVKVEKTYEVFKPLVQSLTISVEGLYSMLTRLAQSASLHAGNLHKALEGLGESQEARSEDLNSYRPDLADQYDSKNEIFSQSDRESSMDILDVNGLSLQDKGWMSAPDSMTSGSSESAATSSQVSLANSSDGPDLIDPITPYCSDDTERREYSNNFSSVGNALPGLPQLESEKTQETFEMKLSLGNEEPLASKDRVEEAAHETSLINVEAANRTTRGKNSYALSILRRVEMKLDGRDVADNRAISVAEQVDYLLKQATSVDNLCNMYEGWTPWI >Solyc06g007490.2.1 pep chromosome:SL3.0:6:1488480:1492007:-1 gene:Solyc06g007490.2 transcript:Solyc06g007490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTKTITCNNIVKITTILIIVNFITLVHGASKVPCYFIFGDSLLDNGNNNNLNTQAKANYPPYGIDFPNGPTGRFTNGRNMADILGQLLEFDNYIPPFASATGKEILQGVNYASGSAGIRNETGSHLGNRIYLDLQLQNHHNTILRMVDLVGNRAATNAHLNTCLYIVGIGSNDYINNYLAPKHYSTNSLYTPSQYATLLVQQYGQQLKTLYEDGARKIALFGLPQIGCIPQELQKHNTRKCVDSTNEAIQLFNEKLKSLVTDLNTNFPQAKFTYINMYSISSLMSTYINLKFYFSPLYRKSRNLSTLSFLNYPCCKISTTTAEGQCVSGQAPCHLRAAHVFWDNFHPTENGNIIAVMRAYNAFLPSDSYPMDISHLIESCNDLYVNELFSHFELQLMEYEDWYKNETSMAMVDKPIEEEQSFPTLSDLPSSVRTRITGRFPALER >Solyc02g068550.2.1 pep chromosome:SL3.0:2:39061874:39072306:-1 gene:Solyc02g068550.2 transcript:Solyc02g068550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMDLVPPSGDHHEKEDCGRHTCVRIESANGELRGTVTASKSVCLGIENAGTSWNERTSNGANVLPNTDSLAVNCYRNLEPHDGMEFDSKENAFSHYKEYAKSIGFSSIIKASRRSRISGKFIDAKFVCSRYGSKREPSTSGAEPVPSTDAAGSNPVKRKKGRINRSWSKTDCKACLHVKRRSDGRWVIHTFVKEHNHEIFPDWTSYPPGHRNIDLGKNDADAFHAIRGRTKKTYASTSRHSGFVKKVEKQKNGGTNSSPQSLALDEGDAQVILEYFLCMQDENPNFFYALDLNQEKRLRNVFWIDAKCRLDCGNFSDVVLFDTTYITDEYKLQFVPFIGVNHHFQSILLGCGLIADESKSTFIWLMRAWLRALGGQVPKVILTDQGKILEEVIAEVLPDSRHCFCLWHVLSKIQEKLGHVIRQHESFLSKFNKCILRSATNELFEKRWWKVVARFDLGNDLWIKSLYEDRLRWVPTYMNKIFLAGMSTMQRAESISALLDKCILCKTTLKEFLDQYKKLLQEKCQGEANADFETRHKQPGLKSPSPFEKQMSTLYTHTIFKKFQVEVLGVVACHPKKENDDGENGTYRVQDFEVNQEFIVVWNERTSDTSCSCHLFEYNGFLCRHVMIVLQMAGVHNIPSKYVLRRWTKGAKSREKTRQVALVDSRVQRYNDLCQRAFELGDEGSLSQESYNILSSVLENFLRTCETVNDANLNESEPCSLPNQGLKDLEVFTDRNNPSKSNGKNIARKEKEGQIDFGESTVDYPFGSHSAIQPMATYIPEFHFLMATTAAPK >Solyc04g082825.1.1 pep chromosome:SL3.0:4:66436182:66446996:-1 gene:Solyc04g082825.1 transcript:Solyc04g082825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PALPGQHSTSENAKIGTQNHPETGAKTPIPAENGNGDTMKQTDPKAAVEDHKNAATETATIGQPETQGNTALDDQNNATEDQTAFMRELENFYRERAMEFKPPKFYGIPLNCLKLWRSVIRLGGYDRVGLCLLNLGVWLGYWRVGEERGMISQAGVGDIVVYEGAQEDWDGLGVRNLVVTNSEDTRRKCSLGSSVEEESSRSREGEIALLEYERHKMQSGKLQLPIAALPEAGVDNEGNGNQTPGSGRARRDAAARAMQGWHEQRLLGCGEVGEPIVKDKNAKHTPKREKNFKSIGSIKHKRPNEMEHPSKVARTETSKQLVTTVVDLGPPADWVKINVRETKDCFEIYALVPGLLREEVRVQSDPAGRLVITGQPEQLDNPWGITAFKKVVSLPARIDPLQTSAVVTLHGQLFVRVPFA >Solyc09g009590.1.1.1 pep chromosome:SL3.0:9:3014436:3014891:1 gene:Solyc09g009590.1 transcript:Solyc09g009590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Stress-associated protein 8 [Source:UniProtKB/TrEMBL;Acc:C4NAK7] MAEEHEFQSQEGGRHQLCANNCGFFGNSTTENYCSKCYRDIEKQKSDAKSIDSLFSPIKKVSEKKIIEPIVLTTDTMKTTTSNVVTPQSNRCLVCKKKMGLMGFRCKCGTIFCGTHRYPEVHACTFDFKSMGREAIAKANPLIKAEKLKKI >Solyc07g005630.3.1 pep chromosome:SL3.0:7:509651:513189:-1 gene:Solyc07g005630.3 transcript:Solyc07g005630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKITFCALLFCFFLMFASDIEGVKDGICKQFSSTFSGQCYVSSHCEETCIKEGSSTGECEWKGIHWGFVCMCEYLC >Solyc11g044840.2.1 pep chromosome:SL3.0:11:32478921:32485368:1 gene:Solyc11g044840.2 transcript:Solyc11g044840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLCMQPSASLKVQREETIGSRGYNYSTRVARNPNLKKLQTNYLFPEILERELKHVEKYPNAKVISLGIGDTTQPLPQPVALSMSNYARALSTPQGYTGYGLEQGNKELRRAIAETIYKDLLVEETEIFVSDGAQCDLSRVQLLLGSNVSIAVQDPSFPGYIDSSVIMGQSGDLKNDSGRYGNIKYMKCNLENDFFPDLSKTERTDVIFFCSPNNPTGHAASRQQLQQLVEFAQVNGSIIVYDAAYSAYISDSSPKSIYEIPGSRKVAIEISSFSKTAGFTGVRLGWTVVPKELFYLNGFPVIHDFNRIICTSFNGASNIAQAGGLACLSPEGFKEVMFKVDYYKENARILVETFTSLGFRVYGGSNAPYVWVHFPGSKSWNVFNWILDKTHIITVPGIGFGPAGEGYIRVSAFGRRENILEASKRLITLLCHTN >Solyc03g060520.1.1 pep chromosome:SL3.0:3:32490984:32491307:-1 gene:Solyc03g060520.1 transcript:Solyc03g060520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLYEGKKHRNICDPFSGAPNYNFTPDLCPKDTIPIEELKYVLSRVTSYEGNSSVNCQMQVKEDSFDRPDRNVP >Solyc10g080060.1.1.1 pep chromosome:SL3.0:10:61589777:61590577:1 gene:Solyc10g080060.1 transcript:Solyc10g080060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQYDQTCTLPRTSSSDSTNNLILIASEYQSNSIVHHDSNNYLYNSPIPQKSRGGVKVNFKFFKFLLVKIMSLRTMFPTCCTWLKYFPTTYLTHYNSCQKVTGTLFGHRRGQVSFAIQDNSTSSEPSFLIELAMSTTTLVKDMSSPLVRIALECEKRPRGSKQVKLSREPSWNMYCNGRKCGDALSRACTDSDRHVLHTVRNVSVGAGVIPVVGDGRMGGELEGELMFFRANFERVIGNRDSEAFYMTNLDGMGGSELSIFLLRT >Solyc01g109360.3.1 pep chromosome:SL3.0:1:96251201:96257445:1 gene:Solyc01g109360.3 transcript:Solyc01g109360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKEASEKSSSQNMDNQEASETIDALSLTASSSNPSISASQMSLEEKFKIVRSIGEECIQEDELMNLLAKKPQPICYDGFEPSGRMHIAQGVLKALNVNKLTSAGCKVKIWIADWFAQLNNKMGGDLKKIEVVGQYLIEIWKAVGMNLEGDQVEFLWSSKEINSRAHEYWPLVMDIARRNKLPRILRCCQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQEGQEKMSKSDPSSSIYMEDEEAEVNVKIKKAFCPPKVVEKNPCLEYVKYIVLPWFNEFKIERSAENGGDRTYTKFEELAADYESGSLHPADLKPALSKAVNKILQPVRDHFKNDQKAKDLMKRVKSYKVTR >Solyc03g122060.2.1.1 pep chromosome:SL3.0:3:71531544:71531699:-1 gene:Solyc03g122060.2 transcript:Solyc03g122060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCTMASDSASKALVGSSKRRIFGSLIIARARAIRCFCPPESWVPRSPTCT >Solyc02g076650.1.1.1 pep chromosome:SL3.0:2:42367994:42368164:1 gene:Solyc02g076650.1 transcript:Solyc02g076650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLERVMKMADCVRLKTLKGLLEILNPLQSVDFLAAISTIQIQMRKKNELLPNLIS >Solyc04g078010.3.1 pep chromosome:SL3.0:4:62967348:62969107:-1 gene:Solyc04g078010.3 transcript:Solyc04g078010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTFVLLLLLTMAVLVCSISYDNGGTSTNTLGMEDNELTVIIKNRKLQGNVNEARRIDDAGKINLEDYRPIDPAPSSKASVRPGPIQHGTPLMPYIPKQPSPPPPRSNPVGFP >Solyc01g150003.1.1 pep chromosome:SL3.0:1:14185392:14185835:1 gene:Solyc01g150003.1 transcript:Solyc01g150003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDCSMVLPRVVRIYITDNDATDSSSDEEENHQGEKGVRQRKWGSWVVEIRDIRMNKRHWLGSFATADEAAYAYDKAAIEIKGSNASTNILKPPPKEKDPIHH >Solyc01g079850.3.1 pep chromosome:SL3.0:1:78835718:78840518:-1 gene:Solyc01g079850.3 transcript:Solyc01g079850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETPSQKECEKVTFLIVVKKMKRFRLFEPSLSILGFFLVICLVLCFALLDYRSVVKFSSEYQKRFSWLRFDRPISERKKIDFLSENGGGCNVFDGDWIWDDSYPLYNSRDCKFLDEGFRCTENGRPDLFYTKWRWQPKACNLPRFNPRSMLEKLRNKRIVFAGDSIGRNQWESLLCILSSAIANKDSIYEVNGSPITKHKGFLVFKFADYNCTVEYYRAPFLVLQSRPPAGAPANIRTTLKLDKMDWNSLKWRDADVIVFNTGHWWNYEKTIRGGCYFQEGSDVKMDMKVDTAYERSLQTVLDWVSREVNSNKTQVFFRTYAPVHFRGGDWRTGGSCHLEALPDRGSSLVPSHTWARYSIFRDVMSTRSNMSNQGALHVLNVTHLTSRRKDGHSSMYYLGPNVGPAPINRQDCSHWCLPGVPDAWNELLYALFMKREATQTLNSSTIQVQ >Solyc08g077440.3.1 pep chromosome:SL3.0:8:61447246:61457247:-1 gene:Solyc08g077440.3 transcript:Solyc08g077440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lon protease homolog 2, peroxisomal [Source:UniProtKB/TrEMBL;Acc:K4CNA3] MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQREEKGLIGILPVRDSAESATSGTAVSSGMGGETSDSHKLDSKNQQEVIHWHDRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNVQELSTRGTYYTARITSLDMTKGEMELIEQDQEFVALSRQFKATAMELISILEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDVKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMKAIKEELGDNDDEEDDLVALERKMQGAGMPASIWKHALRELRRLKKMQPQQPGYNSSRVYLELLADLPWEKASPELELDLKAAKERLDADHYGLLKVKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFIRISLGGVKDEADIRGHRRTYIGSMPGRLVDGLKRVGVHNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANRMQPIPPPLLDRMEVIELPGYTPEEKLKIAIRHLIPRVLDQHGLSSDFLQIPEDMVKLVIQRYTREAGVRNLERNLAALARAAAVKVAEQEHLEPFSKDVQRLSSPLLDDKLAETAEVEMEVIPMGVNNHDISSAFRVASPMVVDEPMVEKVLGPPRYDDRETAERVANPGVSVGLVWTAFGGEVQFVEATAMVGKGDLHLTGQLGDVIKESAQIALTWVRARATELKLAISEETNLLEGRDIHIHFPAGAVPKDGPSAGVTLVTSLVSLFSKKRVRADTAMTGEMTLRGMVLPVGGVKDKVLAAHRYGIKRVILPERNLKDLVEVPATVLSSLEIILAKRVEDVLDQAFEGGCPWRQQSKL >Solyc06g082280.3.1 pep chromosome:SL3.0:6:48202682:48210921:1 gene:Solyc06g082280.3 transcript:Solyc06g082280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLSLTCSLPLQPPSSSSSQSQSRKLIDSIVSTPFQYSSSSTTSSNGSLKPIVVDGDPPTFVSAPGRRIVAVGDLHGDLDKARCALETAGVLSSDGQSLWIGGETVLVQLGDILDRGEDELAILSLLKSLDIQAKAHGGAVFQVNGNHETMNVEGDYRYVDNGALDECIDFLEYLDKCERNWEEAFVSWCAVSARWKQDRKVSQNYWAQWNLVKRQKGVIARSILMRPGGPLACELARHGVVLKVEDWLFCHGGLLPHHVAYGLERLNREVSRWMKGPSEEDDSPQIPFIATRGYDSVVWNRLYSRDGVELENYQLEQVQYLLEETLQSVGAKAMVVGHTPQPMGVNCKYNCSIWRIDVGMSRGVLDSSPEVLEIRDNKARAIRSTRDWSSELQVADYT >Solyc03g065200.3.1 pep chromosome:SL3.0:3:42573838:42577032:1 gene:Solyc03g065200.3 transcript:Solyc03g065200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTPGHTIKVNKRHHHRHRHKKFRGKNLISLVEETKRRNSDVGPHITVSEFVRRSEVSNSKYHLTQLQWHHTQTDATVLGQEEAWFDTCSNVDSDSDDDFSSVYGDLFPRTGQVLQYETSSCFMDNNLKYKEYHERYLKIDGSRSDKFLLKDGVNSPKGLALIGGQGYEVPSLGKHEVLGAQRKRYLDRAYGSFNSVKEDKLGMLEKTQENVLKTVLPKLVTSLSFNEQIISASKSGQVSKVPSIFMSRDTTSSIRLHGSSKFLPTLAPCYIVHTIIWHRREGDFEWVGVSHRFGNGTDLDDPWGLVRLKYMFRLILECKNIGEQAYC >Solyc06g043250.3.1 pep chromosome:SL3.0:6:29000744:29005391:-1 gene:Solyc06g043250.3 transcript:Solyc06g043250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTIQGDEGINPIVVAIDKDKHSSSAVKWAVDHLVISNPALVLVHVRMKNSPNQVGGNSAGQSSNRGLSDQDGHKVFIPFRAYSARKGIAVKEVIVEDISVSKGLLDYINNYHITNVVVGASSRSAFSRKFWTHDVPTIVNKAAPDYCTVYVISKGKQQSVRPAAKPLASSSARLPSSQPWSAARLSNYSEPEDVSRSAYKNSFGPDMMPKSGTSNASIDSADFYSRDPKNSYTGRSSPFDDTGPFAPFSRGSVDVTTENLDFTQISVNDNSSSSSMWESEMSRLKLELKQTMDMYNSACKDAVSANQTAIENTNWKVMISSSQEVFVDYLSLSSYLSLDKFACFRLNLILSYRSYVMSCLLSFQAKELNQWKVEEGSRFKQSRISEEAALAMAEMEKAKGRAAVEAAQKSQRLAEIEAKRRKYAELKARRETEEKNLAINDLSRNEICYRKYTIEEIEKTTKSFSNSEKIGEGGYGPVYKGKLDHTPVAIKVLRSDAAQGMQQFKQEVQVLGLMRHPNMVLLLGACPEYGCLVYEFMNNGSLEDRLFRKGNTPPIPWEIRFKIAAEIATGLLFLHQAKPEPLVHRDLKPGNILLDSNYACKISDVGLARLVPPSVADCVTQYHMTSAAGTFCYIDPEYQQTGKLGTKSDIYSLGVMLLQIITARPPMGLTHHVERAIENGTFADILDPTVPNWPLEEALNYAKLSLKCAELRKKDRPDLGSVILPELSRLKEIGMSSLNTA >Solyc07g019635.1.1 pep chromosome:SL3.0:7:12470118:12473812:-1 gene:Solyc07g019635.1 transcript:Solyc07g019635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCHTHTGGSYHYGFDILSSSSMVVLYRYKCLALLFEIGWERCSSSRLLTVRLGFSSVSMLYQNCCQEYMEGHLGASGSSGVVWDLLVCIFNCSFLPVLAAIGYRLALDCSLLSVLAAIGYRALN >Solyc02g033025.1.1 pep chromosome:SL3.0:2:30106605:30107314:1 gene:Solyc02g033025.1 transcript:Solyc02g033025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDDSPIQPSKAPYVVYLDYTVINSRTLDEHVNHLSMVVSGLRKYTLYVKMEKYEFAQQEIELLGRLVSKKQFQMEPKKLKVIVDWQSPCNVKDLRSFLGLVNYNKKFTVGYSKRIATLNDLLKKDAKWVWVVRCE >Solyc04g077775.1.1 pep chromosome:SL3.0:4:62761951:62765756:1 gene:Solyc04g077775.1 transcript:Solyc04g077775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPDEIHTISLSFTDFPEDVQLCILSFLTPSDISNFACTSKRFVSLCRDDPRLWFSMCNRKWGSKTQINKWGNGKISYKLLYNTLLEYENLIGFWRRSGAESDSPLIFFEWGPFHIAGSRVKPSRNGTYEVIKLPFLWMGITSKGEIVNYLDPVGKVELSGNVMNLDDLGVVESELVPVNVNFVGRTHVVVEENGTAFGYSCNSPQNRGFKKVSSSGNMREEEYEDLCVSPGSLPDRLMSDIYQYFANKTSPGGNGSARRQRRRERERQGRRKWEPEDYVKIVNCSPTPARPLQGLWKGFRDETTLEFFLVSYDDIGGIACRRLVELCKPFSAYAPVFWTSNTTFIESPLSSEEENIYEGRMHIQPLAEADDLCDILPSADKRVILCMLCMNSSYDLVIPDLAGSTVNPRQAEGRIWQYENGTFGFGFLRDNYVIDLRCIAQNGRILEAAYISGE >Solyc06g007180.3.1 pep chromosome:SL3.0:6:1236335:1241119:-1 gene:Solyc06g007180.3 transcript:Solyc06g007180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGILALLGCSDDSQAKRVRVLELSRRLKHRGPDWSGIFQYGDFYLAHQRLAIIDPASGDQPLFNEDKKIVVTVNGEIYNHEKLRKLMPNHKFRTGSDCDVIAHLYEEYGENFVDMLDGVFSFVLLDTRDNSFLAARDAIGITPLYIGWGLDGSVWISSELKGLNDDCEHFEVFPPGHLYSSKNGGLRRWYNPAWFSEAIPSTPYDTLVLRRAFENAVIKRLMTDVPFGVLLSGGLDSSLVASVTARYLAGTKAAKQWGAQLHSFCVGLEGSPDLKAAKEVADFLGTVHHEFHFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMSRKIKSLGVKMVISGEGADEIFGGYLYFHKAPNKEEFHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKEFINVAMSIDPEWKMIKHDQGRIEKWVLRKAFDDEEQPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAEQHVTDRMMLNAAHIFPHNTPTTKEGYYYRMIFDRFFPQNSASLTVPGGPSIACSTAKAIEWDASWSNNLDPSGRAAIGVHNSAYDNHLSGVANGNLDTPIISNMPRMVGVGVAAELTIRS >Solyc02g038650.1.1 pep chromosome:SL3.0:2:31716699:31722695:1 gene:Solyc02g038650.1 transcript:Solyc02g038650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSPVVEVHWEKGEVPIDLRFAIEEVSVRLEDLKSTIEAVSTDQKELKSAIEEVSTNQKDLKSAIEGIFTHLKESNPPLKMSTPTEEVSREKGEVSTYLTSVIEEVSTDLKSAIEEVSMEKGQVSSDLKSTVEEVSSEKGEVSTDLKSTDEEVSSEKGEVSTDITSNPSLKMSTPTEEVSREKEEVSTDLKSAIEEVSWEKGQVSSDPKSTVEEVSSEKGEVSTDLTSNIEEVATQLKDSNPPLKMSTPTEEVSREKGEVSTDLKSSPIEEVSREIGQVSTDLKTAIEEVSAQLKESNYEDWILRFTNLMQLVYNFADQHFPSSRQQKIIDLNPHLQNAWNSIASCFIKKRDFNRAEKFYQIALKMGKEHIIILRDLAALELKISLCEDSENPAQHVEESIKYTERALLQNANDGNCLYTLGCAHFNYFVLSGGRDHNNLQLALKAFEEAKEDVAVKSNPHLEYDCSLVNRYLENYKESLNGFSDAASMNPASDALHQKELTLQLLTKFKGLLRVKRNDKNKGKSKGKSQGKSKGKSTKTSLADLIQSLPNIEVNPPYNRATMDLLTEGPNKLMAVVAAVQCLVKYEYKAPVYYMLCDSDEKSFVLAVFGIQKEAIKHGDQITILDPVCKFVDFVREGKHYQFKSVRVNLLEQVLLNGNPLPPSSAISKPL >Solyc03g005240.2.1 pep chromosome:SL3.0:3:139626:142363:1 gene:Solyc03g005240.2 transcript:Solyc03g005240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKIEKEENQLLQFKEAINLFDKDVHGCITIEELATVIRSLDENPTEEELCHMISEVDADHHDNGTMEFIEFLNLITKKMKETDLEEELKEVFKVFDKDQNGFISATDLRHVMINLGEKLTEEEAQHMIREADLDGDGQVNFDEFFKMMIKL >Solyc11g016985.1.1 pep chromosome:SL3.0:11:7660522:7661135:-1 gene:Solyc11g016985.1 transcript:Solyc11g016985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIESINASSLVKTEEKLCELLDRYVERVGEQNVVQTVSDNGSNFVLAARWRNELSNNQNIRTHNVQLYINNNSSTLY >Solyc07g056080.1.1.1 pep chromosome:SL3.0:7:64094681:64094926:-1 gene:Solyc07g056080.1 transcript:Solyc07g056080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLYGVLDGGSEGSGLMIMVGMVVMSVLMISMIIFACGDSSNGERKRWGNGGYYGGGGGGCGGGGGGGGGGGCGGGGGGC >Solyc03g119510.3.1 pep chromosome:SL3.0:3:69577780:69583727:1 gene:Solyc03g119510.3 transcript:Solyc03g119510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CSLLTQINLYVSCCRFSLHTMTYGKELFLISQTVKENFSRSNLTIARSSSLFHFFPALIFTIYSMSALFPKKKGHVSHLALTFDESVFGEKNPTTYSYNKFWKSVRFSLDASKNKLINAEKRALRVQFNNHGDLHDMMKLLDGNDFQEDYLKIESFVPRKSTMSIS >Solyc01g103460.2.1.1 pep chromosome:SL3.0:1:91946981:91948054:1 gene:Solyc01g103460.2 transcript:Solyc01g103460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQLIEYKLTCNCNHRIYNVILDDTEIETSVTVDPCSVASWIRKIETQNRSRLHRLIVGLDIEWRPKSNPVADRNPVATIQLCVGKSCLIYQVLHSRHIPRRLRHFLNNDDYTFVGVGIRSDVDKLWEDYNLEVSDIVDLREWAAEELNKKKLLNSGLKHLGRKIAGIEIEKPKSVTTSDWDERWLSREQICYACLDAYISFEVGRVLSAWY >Solyc08g066540.3.1 pep chromosome:SL3.0:8:55345243:55352153:-1 gene:Solyc08g066540.3 transcript:Solyc08g066540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWSYFSVAVNFSALSLLIFFFFFFFLSNNQSSMRIFDSTSNSTKKQKEEKEWLGASFKPENFIPGVVIGFIIGLLLDLSKPSKSNTLKKTSNLLNRNQPEKILTPAKADEELKMVLVVRQDLKMAQGKVASQCAHAATGMYADLMQSDRYLLRRWEQCGQPKIVVTCKNQQEMNKLKEAAEDIGLPTFVVADAGRTQVASGSRTVLAVGPGSKSAVDSVTGKLRLL >Solyc04g076330.2.1 pep chromosome:SL3.0:4:61329963:61333249:1 gene:Solyc04g076330.2 transcript:Solyc04g076330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSLRKLLSKFESLFTIPFDLSTSAIADHPFLHFTHLLSSCHSLPALKQLHTLVLTTGYHKNLLICTKIVSLACFLSPTMDYARKLFDQMPQRDVFAWNTLIRGYSNLGPCQESIFLYKELHLQGVLPDNYTFPFVLRSCSVLSALKEGREIHCNIIKHGFESDVFVQSSLISLYAQSGETLDSELVFDQMRVRNIVSWTAMIAGYVQNGIHEKGLGVFLKMVDSGTLPNAITLVSVLPACARLDCLDLGMLIHGYSIKLGVETDVSLVNALVAFYGKCGLVDVAWSLFDQMKEHSVVSWNAIIAAYEQNDVSSTIKLFHRMLDQGVEFDYITVVTVISACARLGALGTGKWIHELVKSRGLEWNVPITNALIDMYAKCGSIESARDVFDRLPIRSVVSWSSIIGACASHGHGRDALELFSRMKEEGVPPNSFTFTAVLTACRHSGLVEEGRKHFESMRMEYSIVPGVEQCACMVDLLGRSGQLLEAYEFIENMPIEPDADVWGALLGACRIHGNLEMAEYVADRLFDFNPQAVPFYILMKNIYAEAGRWDDVARLKFLLEELEVEKIPGKSSVEINRRIHTFLSGSRISNFSRVPPREYVQLKCIEIEFVAVSTKKQDAQSQLETKTEEW >Solyc06g060450.3.1 pep chromosome:SL3.0:6:38589846:38592169:1 gene:Solyc06g060450.3 transcript:Solyc06g060450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMKVLKLILVVVTMAMTMAEALWLEMPSSGAKCVYEEIRNNVVVLVEYAIVGINEQNQYSFIRDLISLKVTSPFGKILHHENNVTNGEFGFTTTEPGNYMACFFMNSQTPDGKAVHIGLDWKIGIAAKDWYSIARKEKIQDIELVLMKFQAWVQSIREKLIYMKKREEEMSEVSERTNAAVAWFSGMSLSLCILAAATQIWYLKRFFRKKNLI >Solyc08g005280.2.1 pep chromosome:SL3.0:8:210644:215491:1 gene:Solyc08g005280.2 transcript:Solyc08g005280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSFKPSDPQQGKPPGVTFARRTSSGRYVNLSRDSLDSEISALEFANYTVHMPPTPDNQPFDPSISQRVEEQYVSNSLFSGGYNSATRAHLMDKVIDSEANHPQMAGTKGSSCAIQGCDGKVMSDGRGDDILPCECDFKICRDCYIDAVKIGDGMCPGCKEPYKNTDLAENDVDPSRQPLSLHSNVGMSKNERMLSLMRSANKSALIRSESGLMRSQTGDFDHNRWLFETKGTYGYGNAIWPKDEVFGNDEDDNIGEHSELLNKPWRPLTRKLQIPAAVLSPYRLLILVRVVVLGLFLQWRISHPNNDAIWLWYMSIVCEIWFAISWLLDQLPKLCPVNRATDLTVLKEKFETPTSTNPTGKSDLPGMDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANIWVPFCRKHDIEPRNPDSYFSLKKDPYKNKVRQDFVKDRRRVKREYDEFKVRTNGLTDSIRRRSDAYNAREEIKALKLQRERAGDEPLEPIKITKATWMADGTHWPGTWMVASPEHSRGDHAGIIQVMLKPPSDEALHGATADSSMIDFTEVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSEAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPNDRYANHNTVFFDVNMRALDGLQGPFYVGTGCLFRRTALYGFDPPRAKDRHPDCCSCCFGRDKSKAIVADETRGLRMGDIDDEDMDLALFPKRFGNSSVLIDSIPVAEFQGRPLADHPSVKYGRPPGALTIPRELLDASTVAEAVSVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASPKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQSLNVTFLVYLLVISLTLCILALLEIKWSGIALEDWWRNEQFWLIGGTSAHLAAVFQGLLKVVAGIEISFTLTSKSSGDENDDEFADLYVIKWTSLMIPPITIMMVNLVAIAVGFSRTIYSTIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGNTEIGGSFQFP >Solyc08g007960.1.1.1 pep chromosome:SL3.0:8:2459215:2459589:-1 gene:Solyc08g007960.1 transcript:Solyc08g007960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQAGAYSGILSRKTGPHSLPLARIKKIMKKSSDDVKMISGEAPIVFSKACELFIEELTKRAWIIMTNNNMHEKRRSTLQKDDVASAIIATDVFDFLVNLVSNTTHDHNNCEELNDEESRQDSP >Solyc06g076220.3.1 pep chromosome:SL3.0:6:47501964:47504119:-1 gene:Solyc06g076220.3 transcript:Solyc06g076220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4C9Y2] MGFLNVAFCVASLFSLILLTAEARIPGVFTAGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNNGALSTALFNNGLSCGACFEIKCDNYPQWCHPGSPSIFITATNFCPPNFALPNDNGGWCNPPRPHFDLAMPMFLHIAEYRAGIVPVVYRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDIVSLSIKGSKTNWISMSRNWGQNWQTNSVLFGQSLSFRVRAGDRRSSTSWNIAPAHWQFGQTFVGKNFRV >Solyc10g079780.1.1 pep chromosome:SL3.0:10:61403453:61403991:1 gene:Solyc10g079780.1 transcript:Solyc10g079780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISLVDCEGATTKSKVAQQNVKTSNTQIPNNLKALNLYQLNKISTTKVCTISEYQSNTETNDTLTKVIHKIQSNQIEPEINKAKLKFKNRPTRSKKIPHILGKSKR >Solyc02g038710.1.1.1 pep chromosome:SL3.0:2:31914651:31914884:-1 gene:Solyc02g038710.1 transcript:Solyc02g038710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLKLFVSTVVIFFVSLFIFGFLSNDPGRNLGREEYNKKREDFDWERGLLCLQPVRYSNIFFFYSYYKNITHCITI >Solyc06g010040.3.1 pep chromosome:SL3.0:6:4810756:4813156:1 gene:Solyc06g010040.3 transcript:Solyc06g010040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSASESDSDIEDIIFCVAAVEHIKQVKREHRLLRRTQQHTSRPGLCWCN >Solyc08g081960.2.1.1 pep chromosome:SL3.0:8:65000522:65001526:1 gene:Solyc08g081960.2 transcript:Solyc08g081960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSGVKYTEHRKQITMVRPAPPVTFNGRRRSSEMNAAGPRVVRITVTDADATDSSSDEGEQGFYGRQRVRKFVNEVRIEQSSHCNGSVNGVLRNGSSSETAPVVAAAPKRRKKTAGATTAASKLKVNHVKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDHAAIQLRGPDALTNFATPPATKISCSSYNSGEESHNDQRSPKSVLRCASTSFDESQNNEEAEAESLPILPSDIRDKNDISMSENFCDLPPFESFFPDDLFQFENPITISDLFFEPDTLPDYNNMLFGSSTTDYGFGSSSWPEEDFFQDFGDVFGSDPLVAL >Solyc09g091590.3.1 pep chromosome:SL3.0:9:71314550:71320726:1 gene:Solyc09g091590.3 transcript:Solyc09g091590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYQKVEKPRPEEPIKENEIRVTAQGLIRNYISYATTLLQDQRTNEIVLKAMGQAISKTVAIAEIIKKRIPGLHQDTSISSTTITDAYEPLEEGLQPLEMTRQVSLITITLSTAELNQTSPGYQAPSRFDQSGAENQQLQQGKQAYVPSDFNQDSYDVRGRGRGRGRGRGRGRGRGRGGYGNYYQDDGGYYNPGRGGGLADDGTFYNPSRGGGFADNDGYYNQGRGGGFADNGGYYQQGQGGGRGRGWGYRGTGYGRGRGGGWGGGRGYSRGRGRMGGRGGRGGGNQYRQEEFAVKA >Solyc07g032057.1.1 pep chromosome:SL3.0:7:34148907:34165732:-1 gene:Solyc07g032057.1 transcript:Solyc07g032057.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDRIAEKGWYCFLYGYLGYNQISIVPEDQEKTTFTCPYGTFALKRMTFGFCNAPTKFKRCMMSIFSDMVDDTIEVFLDDFSVWWYCFLDGYSGYNQISIALEEQEKTTFTCPYGTFMFKRMPFGLCNAPTTFQRCMVSIFSVMAEDTIEAHSQTGHCPHRCPVDGVRQFGLSVQHVQDWLA >Solyc04g014510.3.1 pep chromosome:SL3.0:4:4780019:4784330:1 gene:Solyc04g014510.3 transcript:Solyc04g014510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:K4BPV5] MAHLSDLVNLNLSDSSEKIIAEYIWIGGSGMDVRSKARTLSGPVDDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVICDCYTPAGEPIPTNKRHNAAKIFSNPNVVVEEPWYGLEQEYTLLQKEINWPLGWPIGGFPGPQGPYYCGIGCGKAFGRDIVDAHYKACIYAGINISGINGEVMPGQWEFQVGPSVGIASGDELWAARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNEGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWNP >Solyc10g074467.1.1 pep chromosome:SL3.0:10:58055116:58057501:-1 gene:Solyc10g074467.1 transcript:Solyc10g074467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLILLSTIKSLLHLAPKYLIQIILIKMAKLLKFALIGFLLIVSVVMNVNPVNAQRRCTEILDPNNCVPDDCKNQCIQKHNGNGLCVGSSGTGQFACACTYDCLNSHLNTK >Solyc01g028800.2.1 pep chromosome:SL3.0:1:41389255:41394760:1 gene:Solyc01g028800.2 transcript:Solyc01g028800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVVVKKMENGVNELENDEFEKLLGEIPNVTSGNSYSEESGVINCPKDINLTSSNGDGSKLIGVTETYMSNEIILGKLKEFCNRVEQLPNKRFESEEINLPNEQAIASAFAELRVKEGAFSAPMANSTPLLDHLAVVNGQCKNSLGKIPSNLDSQVLVVPSPRTPNNLSSSFNGFSPSIGGHQSGYVHTMENISAAVALPPGVPGVHLLPPIHRVDIPVISNQQHFFLDVTSPVPYFHSQIKRHHGPCRHIEEEQHYFLYMQQLHAQQLDNQYPIQLNGSITSRSINSSSRQPFSEMPIPHFNQQVSRSINPLSSLFCSMGSDVLQGLDKTDKQYIPERMLRRSHGPEPVKSAKFGSFGGTNYLSNMNRNRRVFPNAYSKRSFHSPSAESRLDCLESRSFSPDVVDLKFHRWSQSREYNLIDDFAGRIFLMAKDQNGCRFLQRKFAEGSSEDVEKIFPEIIVHIVELMIDPFGNYLVQKLLEVCNEGQRMQILRSITRIAGDLVRISCDMHGTRAVQKVIETLKTPEQFSMIVSSLKPGLVNLIKDMNGNHVAQRCLQYLTPEYKEFLFEAAITNCVELATDRHGCCVLQKCLSQSDGGQRNRLIYEITSNALVLSQDPFGNYVVQYIFDIRLSWATTNIFDQVRGKFGDLSMQKYSSNVVEKCLKHVDEERSSYIIEELLSDPRLDQIMQDPYGNYVIQAALNISKGTLHTALVEAVRAHVPVLRTSPYGKKVLSCNSLKK >Solyc12g049080.2.1 pep chromosome:SL3.0:12:61438508:61442371:-1 gene:Solyc12g049080.2 transcript:Solyc12g049080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NNMEVVVMEKVMASCSIHSLTKKAPCILL >Solyc01g099250.2.1 pep chromosome:SL3.0:1:89415689:89418286:-1 gene:Solyc01g099250.2 transcript:Solyc01g099250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRKVLVIVLIFFLQSLPLLVSVEAISTASLPLTNTTEAKVRCIESERNGLLRVELSLTDPSDHLASWIGKECCIWKGVSCDVQTGNFIRLDLKDTSGNCYQKKMGYLISDISTCLGGKISPALLDLKHLEYLDLGEMTSKDLPLPLSWVLLKNYNILTSPIHLSLYLDLSYVNLSSATHWLQSFNKLPSLVTLSLQNCDLRYIPYSFPNWNMTSLSYLYLSRNNFVNSVLPKWLSNATTLETLDIAINNIEGPISNVEWGKLCDLQDLYLTQNKLNGDISRLVEGLSSCSNTTLEFLGLAGNRLTGQFPNSLGHLKNLRMISISFNQISGTIPTSIEQLSRLEILDFGQNQLKGALPETIFNLTELTQLFLATNNWEGNLSQNDFARLHQLKFLAISCGERFSVNLSSEWILPFSLTYFEIRKCALGSKLPTWLKTQKQLRIIILSNGSISDPIPPWLWTMCSQLQFLDLSDNEIGGNLPSEGVVVDLSSNSFHGLLPLWPNVTHLNLANNLFAGSIPINIGHVMTKLQVIDLSGNTFTGSIPFSITRVKQLMRLDLSDNHLSGKIPDWWADLQQLHVIDLSENNLSGGIPPSLCSPPSLFWLRLSRNNVFGELPKSLSNCKSLLTLDIGENKISGIIPEWFGESLLSLQKLSMTDNMIGGHIPPQLCQIFGLQILDLSHNNLTEGNKDDIVNLIDLSFNNLHDEIPNEITGLSALMTLNLSWNQLSGRIPEDIGSMKQLETLDLSSNHLFGSIPLSMT >Solyc10g083390.2.1.1 pep chromosome:SL3.0:10:63338448:63339210:-1 gene:Solyc10g083390.2 transcript:Solyc10g083390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEINVSSPMMISKYIFFVILITIPILLFLSNWNSSSSVVTTTTTTTSYSDSNLKIRPGYTTYDTYLQKQLNKTLNPKLRKIWMTRDWDRKIQVFSKFFTDLKNENFLSDSSKVLCIGARMGQEVEALKRIGVSDSIGMDLVPYPPLVMKGDFHNQPFDDRTFDLEFSNVFDHALFPVKFVSEIERTLKSGGVCVLHVSLSRRGDKYSANDLFSVEPLKKLFKRSELVRTQTVDGFGLDTEVVFRKKK >Solyc03g120550.3.1 pep chromosome:SL3.0:3:70405544:70416317:1 gene:Solyc03g120550.3 transcript:Solyc03g120550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQEMAEYLPLDRGSKMEDSEKQTSSHSSPIPIKSRKKGGIITMPFIIANEALENVASYGLLPNMTNYLMGEYRMGFTTTQNLLFFWSAATNFLPIVGAVVADSYLGRFLTIGLGSIFSFMGSAVLWLTAMIPKARPPACNQAGQACKSTRGPQYMLLVFAFLLMSVGAGGIRPCSLAFGANQFDKGGSNPNKQTVLESFFAWYYTSSVVSVLIALTGIVYLQDKLGWKIGFGVPAMLMFLSALFFFLASPFYIKQKVRSNVFASFIRVIVVAFKNRKLHYPNQNSDYHSKNGSGPQVPTDKLRFLNKACIIRSPEDVKPNGVAANPWNLCTVEQVEELKSLIRVVPLWSTGIMISINLSQSSFPLLQAQSMNRHLSKGFQIPAGSPEDVKPNGVAANPWNLCTVEQVEELKSLIRVVPLWSTGIMISINLSQSSFPLLQAQSMNRHLSKGFQIPAGSFGMFLMIALTIWVFLYDRVMLPLASKIRGRPVRLKPIVRMGLGIFVSCMSMLVSGIIEHIRRRRAINQGLLNNSQGLVEMSALWLIIPNSLNGIAEALNAIGATEFYYSELPKSMSSIASALLGLGMAVANLLASVILSAVDKYTKGKGKESWISSNINKGHYEYYYWLLALLTAFNLLYFMACCWQYGPSVDDSEKQNSSIKSRKKGGLITMPFIIANEALENVASYGLLPNMTFYLMREYRMDITATQNLLFFWSAATNFLPIVGAVVADSYLGRFLTIGLGSIFSFMGTLVLWLTAMIPKARPPPCNQSGQVCKSTTTSQYMLLIFSFLLMSIGAGGIRSSSSAFGANQLDKGDSNPNKYTMLESFFTWYYTLSIASVLIALTGIVYLQDRLGWKIGFGVSAILMFLSTLFFYIASPFYIKPKVRSNVFASFIQVIFVACKNRKLQYPIHISDYHHKNGSGPTEKLRFLNKACIIKNPEDVKPNGVAANKWNLCTVEQVEELKALIRVLPLWSTGIIISINMSQSSFPLLQAQSMDRHLTKGFEIPAGSFGMFSLIALTLWVFLYDRMMLPLASKIKGRPVRLKPIVRMGLGIFISCMSVVVSGIIEHVRRIKAINQGLLNNSQGLVEMSSLWLIIPNSLNGIAEAFSAIGSTEFYYSELPRSMSSIASALLGLGMAVAYLLASVILSAVDKYTEGEGKESWVSSNINKGHYEYYYWLLALLTAFNLIYFMACCWQYGPSVDSNR >Solyc11g072180.2.1 pep chromosome:SL3.0:11:55705752:55710989:-1 gene:Solyc11g072180.2 transcript:Solyc11g072180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSRKFAGKGESVASHYAFGPLEDDIIIKHRLLTRTTTTRGEPPLKKLQKKFTTFALEIEKEADNYSDCERLAKAFLQELNTFEIPLLKSKAVIDANVREKENFDDLKGEINKQIVQAQADIEDLKRQLEESKVERKHKEEGEAIRKLIAMQPPRSETQKVITELEKEIAMLEAENTASSRTLDLRKKQFALLLHVVDELQNTIEEEQRSLVEEMKNVVDDHNKSGVEDATMGAEAMAVD >Solyc07g032080.3.1 pep chromosome:SL3.0:7:35002549:35016401:1 gene:Solyc07g032080.3 transcript:Solyc07g032080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit a [Source:UniProtKB/TrEMBL;Acc:K4CDF2] MVDGGGCCPTMDLLRSEPMQLVQLIIPLESAHRTVSYLGDLGLFQFKDLNVEKSPFQRTYATQIKRCGEMARKLRFLKEQMTKAGITPSTRTTMCPNINLDELEVKLGELEADLAEMNSNTEKLQRSYNELLEYKLVLQKAGEFFHSAQNSATAQQKELEEHMHGERSIDSPLLLEQEAFTDSSKQVKLGFVSGLVAREKSMAFERFLFRATRGNVFLKQVVVKNPVKDPLSGSEVEKNVFVIFYSGERAKNKILKICDAFGANRYPFTDDIGRQFEMITEVSGKLSELKTTIDIGQLHRANLLQTIGYEFDQWNLLVKQEKFIFHTLNMLSFDVTKKCLVGEGWCPVYATSQIQNALHRATLDGNSQVGAIFQVLHTTELPPTYFRTNKFTSGFQEIVDAYGIAKYQEVNPAVFTVVTFPFLFAVMFGDWGHGICLFFTTLYFILRERKLSGQKLGDIMEMTFGGRYIIMMMALFSIYTGFIYNEFFSVPFEIFGQSAYGCRDPSCRDATITGLVKVRDAYPFGVDPKWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNAKFFQNNVNVWHQFVPQIIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLGENQLFPGQKYLQLLFVSLALVAVPWMLFPKPFLLKKQHEERHRGQLYAMLDSTDDSFELETHDHSHGHEEFDFSEIFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAVGYNNLIILIIGIVVFTFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFSPFSFCLISEDDD >Solyc09g061540.1.1.1 pep chromosome:SL3.0:9:59826993:59827343:1 gene:Solyc09g061540.1 transcript:Solyc09g061540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERTKSAGTKMKPLLSDSSFRAITCKAGNQICKSLAAENPFTETRFCDLCCSESGFCGDCCYILFSKLISLDYDGYSYIHSEATLISCHICGHVSYLECALRANMAGRVGGSINL >Solyc01g095700.3.1 pep chromosome:SL3.0:1:86758744:86762025:-1 gene:Solyc01g095700.3 transcript:Solyc01g095700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQFIERYHSHQPSEHQCSSSLVKHIKAPVDIVWSLVRRFDQPQKYKPFISRCTVKGDLTIGSVREVNVKSGLPATTSTERLELLDDEEHILGIRIVGGDHRLKNYSSVITVHPETLDGRPGTLVIESFVVDVPEGNTKEETCYFVKALINCNLKSLADVSERMAMQGGVLPVSVNWSSSNQIEI >Solyc10g007330.1.1.1 pep chromosome:SL3.0:10:1720040:1721806:-1 gene:Solyc10g007330.1 transcript:Solyc10g007330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSIFLVYFLLPLFSTATLHSTSSLYRSQLITQQESSPKNATPTTFFEVTKPIKLPKTKPFSHLILEHDFGSTYRKPPILANYTPPFNCPSQKFSKIVLEWRATCKGRQFDRIFGVWVSGVEIFRSCTAEPTKNGIFWTVKKDITRYSSLLMKNQIFAVYLGNIVDSTYTGVYHVEIFVHFYPAKVRLGGFDSGADLIVPISRNMHLNDGLWFEIENSTDVQSKDFKIPPNVYRAVLEVYVSFHENDEFWNGNPPNEYISSNNLSIAGNGAFREVVVSLDEMVVGVVWPFTVIYTGGVNPLFWRPISGIGSFDLPSYDIEITPLLGKILDGNSHKISFGVTDALNVWYVDANLHLWLDGKSKKTEGKLLRYSLLPLSLSVLTNFTGFDGSFITNASRSITLTGMVKSSYGTITTKSSQSLSYSNHMVMGNEGNLQIVDQIIEFNDTVYATTPSSYVHSLESFKKYLLKLYSDNVDQGNQSYTSISNLTLGLDDKRVKGSKYGSSVSSVNNLQNAQGYMIVKGHLVVKGLGSTQQVYKHNDDSCCYSRNISSSNYTILYDKVSNSCSNSTWSHWPLRIDKKRSLPG >Solyc08g022150.2.1 pep chromosome:SL3.0:8:31804174:31804682:1 gene:Solyc08g022150.2 transcript:Solyc08g022150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYFRIGGVASDLPYGWIEKCLDFCNYFLEGLMSIKTYYSKSYFLEGDEGVGIIGRDEAINWGSMEMRRGFISRYLVIIGKMTESINIIQQDLEGIPGGPYENLEMRCFERLKDLEWNDFKYRFISKKPSPTFELSK >Solyc02g068980.1.1.1 pep chromosome:SL3.0:2:39490106:39490519:-1 gene:Solyc02g068980.1 transcript:Solyc02g068980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQTELHGVWKFQCITCNKLFSSSQAIAGHTRIHFKEGRVKGTHQKKVFVPFPNSQQPESSTTIDLSSTHHQQQIFSTNISDNDSPNSHQLGLSPVPTHPKKDLRLRDMKTLARLRGRLTKEEDKVVMLLLDMAKK >Solyc06g071120.3.1 pep chromosome:SL3.0:6:43848859:43860689:1 gene:Solyc06g071120.3 transcript:Solyc06g071120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHKKRTVAPRSKPLSSPAAVSEDGSPPSIDADLKLVSTIPSITNGSLSRNDALAVNDYENSTSSSSASYASIKLECERALTSLRRGNHTKALRLMKDLSTKHENSPHSALIHRVQGTVCVKVASIIDDPNTKQRHLRNAIESARKAVSLSPYSVEFSHFYANLLYEAANDGKEYEEVVQECERALAIENPIDPAKESLQEESQQKISSPEARISHIHGELHNLIQKSNFASISTWMKNIGTGEEKFRLIPIRRVSEDPMELRLVQGRRPNEIKKATKTPEERRKEIEVRVAAARLLQQKSETVKSQNDVDKGLDSTAGSGQRARDRRSSGNAKKNTSSTERRKWVQSYWNSISLDVKKELLRIRISDLKTHFTASKDHLAIEVLSDALPFAETHKTWEFWRCCRCNENFADSQSHVHHVVHDHMGALLPKMQSVLPQNVENEWAEMLLNCSWKPLDINAAVKMLDKQSRYQGHGFLDETYGRDDGEGPKDDYLEAFCHVDEWDSSPRRKKVGDRLNVNMVESRKNDKISDIDYMDCDEDGGSKICLLPEDMPLSDDPERAKLLERIRAVFEALIKNKYLASTHLSKVMHYVVEELQSLSFGSQLLNYNIDQSPLCICFLGPEELKKVLKYLQELSHSCGLGRYPEKVGAVDETSNGCHGIDNLEKIVFSDDSSCLLFDQYFLERNLSPSSYPDAVSNDRNTAILSGNQYQDGVLVDPDALLSWLFTGPSSVAALASWTRAREEKGQQGMEILRLLEKEYYDLQGLCERKCEHLSYEEALQVVEDLCLEEGKKREHETEFVRQSYDSILRKRREQLIDSDNDTTIISNRPELDAISNVLKEAESLNVNQFGFDETYGGGTSQFCDLESGEEDDWRLKDYLHQVDSSVEVAIQRQKEHISIELSKIDARIMRVVTGMQQLESKLEPASSQDYRRILVPLLKSFLRAHLEDLAEKDATEKSDATREAFLAELARDSEKSSSWGNEKSKHAHEKTKDKKKKQEYRKAKDSKPNSGNELHVLHHETVDHVSSPLAHDGDDQESEIPQTGNSLDLQEEEYKRMIELEAEERKLEETLEYQRRIENEAKLKHLAEQHKRTVRAVQENMDAVTNPESYPYQKSSPDTYLKSCDIDQKVNEQWKRSEKNNVLLNSVEGLSKNFPERMSQRDGLSNKGTPEDGILMSDKRSGRKGRRPKDSSKFSEGNYQSGSSERENTQVSESKALDSSHENNGTRDSGTKTLRQLHVEEDDEERFQADLKRAVRQSLDAFHAHQKFPLMASSGRQRMISETGDLSNEISFGNVKEMDDVYGTGLKNEVGEYNCFLNVIIQSLWHLRQFRDDFLRRSSSEHDHVGDPCVVCALYDIFTALNTASTEMQREAIAPTSLRIALSNLYPNSNFFQEAQMNDSSEVLGVIFDCLHRSFTSTLGGSDAESADSSCTGSWDCTSSACTVHSLFGMDIFERMNCYNCGLESRHLKYTSFFHNINASALRTMKVMCPESSFDELLNLVEMNHQLACDPEVGGCEKLNYIHHILSAPPHIFTTVLGWQNTCEDVDDIKATLSALSTEVDIGVLYRGLDPKNKHCLTSVVCYYGQHYHCFAYSHDRGQWIMYDDKTVKVIGGWDDVLVMCERGHLQPQVLFFEAVN >Solyc12g011130.2.1 pep chromosome:SL3.0:12:3971094:3974271:-1 gene:Solyc12g011130.2 transcript:Solyc12g011130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMSIMLIGNKCDLAHRRAVSTEEGEQFAKENGLIFMEASAKTAQNVEEAFIKTASTIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGAASQGGACCS >Solyc06g051140.3.1.1 pep chromosome:SL3.0:6:34367799:34375090:-1 gene:Solyc06g051140.3 transcript:Solyc06g051140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDLLEHDGSIFKNVSSRELKRVRGFTVGDYVVLGPWLGRIDDVFDNVTVMFDDGSVCKVMKADPLHLKPVGRNGLEDGHFPFYPGQRVKASFIVSFQEFQMVIWLIESK >Solyc08g061691.1.1 pep chromosome:SL3.0:8:49487112:49497592:1 gene:Solyc08g061691.1 transcript:Solyc08g061691.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSLFWIDDEQSVLNRRVDMRVDQMVKAGLVDEVRLIFIPDADYTKGIRRSIGLVDEVRQIFIPDADYTKGIRRSIGVPEMGRYLREETNIDGDDESKQMILQASISSIQRNTRMLICKQLDKIIRLISEKMWSVHHNIATDIEKKILTKNGRILFCNHAKIL >Solyc11g010420.2.1 pep chromosome:SL3.0:11:3485569:3494194:1 gene:Solyc11g010420.2 transcript:Solyc11g010420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESNKKPRILCLHGYRESAKILKKLIFRWPESVTGKLDLIFLDAPFPAKGKSRLEGYFDPPYFEWFQFNKDFTEFYNFEECLEYIEEFMSKHGPFDGILGFSMAAVLCAAIPGMQREGVALTKVPKIKFVILISGAKFGGPSFGVPKLAVNAFSYPISCPSLHFLGSGEILKKLIFRWPESVIGKLDLVFLDAPFPAQGKSPLEGFFDPPYYEWFQANKDFTEFYNFEECLEYIEDFMLKNGPFDGVLGFSQGAVLGAAIPGMQRDGVALTKVPKIKFVIIISGAKFGGPTYGIPKLVANAFSSTINCPSLHFLGEADFQRKDGEILLECFVDTQVIHHPKGHTIPRLGE >Solyc12g008850.2.1 pep chromosome:SL3.0:12:2192189:2194207:1 gene:Solyc12g008850.2 transcript:Solyc12g008850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSQKPKIVIIGAGIAGLTAAKKLYTTQNTNELFEVCVVEGGNRIGGRIFTTEFCGDRVEMGATWIHGIEGNPIYKIAQEINGFETDKPWDSMGGKVDKKLTITEEGHEVHSSFVNSISNFFNNLLEFSSGEGDFDGGVGRKIVESLNLEENGRVDKISMGSYLRKGLDTEKDGENVEVFENWSRKALEEGIFAMFENIHRHYSSAGDLGTLDFNGESEYCNFPGDEITIAKGYSSIVESLASVLPPGLIQLGRKVSKIEWQLETSDGNKPVKLHFSDGSVMYADHVIVTVSLGVLKQGIREDSSLFSPPLPKFKTEAISRLGFGVVDKVFLQLTPTHHDGMNFPNMMMVFHQSNAKLKNPKIPLWIRRTTLTHPVYPESRVVVSWFAGEEALKVETLDDDEIIEGVSITMSEFLSNTKHYKNSIKFSKVLKCKWGTDPLFLGSYTHIAVGSSGDDLDAMAEPLPKEISDDKNSKKSPRLQVLFAGEATSRNYYSTTHGAYLTGLREANRLLEYFQCVDV >Solyc06g071950.2.1 pep chromosome:SL3.0:6:44464062:44467447:1 gene:Solyc06g071950.2 transcript:Solyc06g071950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFIFHLRCSLSHTHTLVYEPPAPSSDRRRQPYASEAQWDYWQFNAILQILYDIKMSNDVEDPEGGDATTSLDPSSPSPSDQPSWYSGLLEQASVYGIAAGYCLSASLLSIINKWAIMKFPYPGALTALQYFTSAAGVLMCGWLKLIEPDKLDLRTMWRFLPAAIIFYLSLFTNSELLLHANVDTFIVFRSAVPIFVAIGETLYLHQPWPAMKTWLSLGTIFAGSVLYVSTDYQFTLTAYSWALAYLVSMSIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPIELLIMGELKKIKHEIEDESDWHSFQVVLPVALSCLFGLAISFFGFSCRRAISATGFTVLGIVNKLLTVVINLVIWDKHSKLIGTVGLLICMSGGVMYQQSTSNKPKDVKDVNPQVAEEEEEQQKLLEMQSNTQNSDSQKQDTESGDSKQ >Solyc11g020595.1.1 pep chromosome:SL3.0:11:11652273:11652831:1 gene:Solyc11g020595.1 transcript:Solyc11g020595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVSLSSQYSINFKRRAPKGHFVVYVGKEMTRFVVPTSYLKNPLFQNMLDKAAEEYGFHNRNRILLPCDESTFQQLVITILATS >Solyc09g005080.1.1.1 pep chromosome:SL3.0:9:48642:52061:-1 gene:Solyc09g005080.1 transcript:Solyc09g005080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Verticillium wilt disease resistance protein [Source:UniProtKB/TrEMBL;Acc:C4NAS6] MRFLHFLWIFFIIPFLQILLGNEILLVSSQCLDDQKSLLLQLKGSFQYDSTLSNKLARWNHNTSECCNWNGVTCDLSGHVIALELDDEKISSGIENASALFSLQYLERLNLAYNKFNVGIPVGIGNLTNLTYLNLSNAGFVGQIPMMLSRLTRLVTLDLSTLFPDFAQPLKLENPNLSHFIENSTELRELYLDGVDLSAQRTEWCQSLSSYLPNLTVLSLRTCRISGPIDESLSKLHFLSFIRLDQNNLSTTVPEYFANFSNLTTLTLSSCNLQGTFPKRIFQVPVLEFLDLSTNKLLSGSIPIFPQIGSLRTISLSYTKFSGSLPDTISNLQNLSRLELSNCNFSEPIPSTMANLTNLVYLDFSFNNFTGSLPYFQGAKKLIYLDLSRNGLTGLLSRAHFEGLSELVYINLGNNSLNGSLPAYIFELPSLKQLFLYSNQFVGQVDEFRNASSSPLDTVDLRNNHLNGSIPKSMFEVGRLKVLSLSSNFFRGTVPLDLIGRLSNLSRLELSYNNLTVDASSSNSTSFTFPQLNILKLASCRLQKFPDLKNQSRMMHLDLSDNQILGAIPNWIWGIGGGGLAHLNLSFNQLEYVEQPYTVSSNLAVLDLHSNRLKGDLLIPPSTAIYVDYSSNNLNNSIPTDIGRSLGFASFFSVANNSITGIIPESICNVSYLQVLDFSNNALSGTIPPCLLEYSPKLGVLNLGNNRLHGVIPDSFPIGCALITLDLSRNIFEGKLPKSLVNCTLLEVLNVGNNSLVDRFPCMLRNSTSLKVLVLRSNKFNGNLTCNITKHSWKNLQIIDIASNNFTGMLNAECFTNWRGMMVAKDYVETGRNHIQYEFLQLSNLYYQDTVTLIIKGMELELVKILRVFTSIDFSSNRFQGKIPDTVGDLSSLYVLNLSHNALEGPIPKSIGKLQMLESLDLSTNHLSGEIPSELSSLTFLAVLNLSFNNLFGKIPQSNQFETFPAESFEGNRGLCGLPLNVICKSDTSELKPAPSSQDDSYDWQFIFTGVGYGVGAAISIAPLLFYKQGNKYFDKHLERMLKLMFPRYWFSYTRFDPGKVVAVEHYEDETPDDTEDDDEGGKEASLGRYCVFCSKLDFQKNEAMHDPKCTCHMSSSPNSFPPTPSSSSPLLVIYHKKF >Solyc03g113020.3.1 pep chromosome:SL3.0:3:64805457:64811293:1 gene:Solyc03g113020.3 transcript:Solyc03g113020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 29 [Source:UniProtKB/TrEMBL;Acc:K4BKF5] MVLVLALGDLHIPHRAADLPAKFKSMLVPGKIQHVICTGNLCIKEVHDYLKTLCPDLHITRGEYDEETRYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEAGVVINPGSATGAYSSITYDVNPSFVLMDIDALRVVVYVYELIDGEVKVDKIDFKKTTTQSAN >Solyc03g119320.1.1.1 pep chromosome:SL3.0:3:69447636:69448337:-1 gene:Solyc03g119320.1 transcript:Solyc03g119320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDMISEPPSLATSPRISFSHNLSPSNLTNILHKTQIQEPVHSNSEFDFCISNTETSSADELFSDGLIRPIQLQEKFVNSSKQKNLSKKTQSLPPLPQNDQNPKQEIKTELQKSHSFWSIKRSSSVHCVNTHKKSSSFWSLPLLSRSNSTGSVPNSKKQGTLQLKQIKNSSTPASFYTFPSAQKPPLRKNYGAAGSNYGNGIRISPVLNVASQNLFGFGSLFRNGKHKKSKK >Solyc12g040490.1.1.1 pep chromosome:SL3.0:12:54951427:54951759:1 gene:Solyc12g040490.1 transcript:Solyc12g040490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKHTLAAVVDGLEKVQDSLSSISTLSKGTSSGMGNLKLQLNHLRSEVVKSFNKVLNQVDSKATRVEVPQTELATAVQSSYFSLSKLTKKTYHSFEGIINTLNYFLADR >Solyc03g044410.1.1 pep chromosome:SL3.0:3:9163074:9167777:1 gene:Solyc03g044410.1 transcript:Solyc03g044410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLLASGNIFSFSLFTTDVKLFLRSFLVPHRQWREGVNEHSDEGFFCQQGPTTLLKPFHSHYLQQRRRSMICTGSNSRDPSHRDNPIVIADDEEDEHDDAKKYGMFYDVEMSYKETYEDWKINCGHECHYQFVEEWLEIKNSCPVCRRIVLTSASNLWFSL >Solyc01g112100.3.1 pep chromosome:SL3.0:1:98114882:98117373:-1 gene:Solyc01g112100.3 transcript:Solyc01g112100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4B471] MANCDGRRIAIIGGGISGLLACKYAISKGFDPIVFESESSIGGVWSKTIESTKLQTPKPLYQFSDFPWPDSVTQVFPDQHTVLEYIKSYARHFDLVRHIQFNNKVLSLSYEDEDDREWMSKGKWNVTVQQTQTLSTKVYQVDYVVVCVGRFSQVPNIPEFPENKGPEAFEGKVIHSMDYSKMDSTTATEFVKGKHVAVVGFQKSGLDIAMESSTVNGVERPCTVVVRTPHWNVPDYFPWGFPMAKLYLNRFSELTVHKPGEGLLLYLIATILSPLRWGFSKFVESYIKHKLRLSKHGMVPDHSFLNELSACLISTVPQGFYDRVEEGRLKLKKAKSFGFTKQGIVLEGQAEPIKSDLVILATGFRGIDKLKHIFESPKYQQLIAGSDDSAAVPLYRECIHPQIPQLAIIGFSESIANLYTSEIRCRWLAELLDGKFKLPSVKMMEKDIAEWDKYKKRYSKKYYRRSCIGALHIWHNDQLCKDMGWNPKRKKGLWAEWFEPYGPMDYTGPI >Solyc06g054530.3.1 pep chromosome:SL3.0:6:37399290:37402824:1 gene:Solyc06g054530.3 transcript:Solyc06g054530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANSLQVNAYSAIDAPSEELGASSRGNIGANDLLIVGPGVLGRLVAEKWREEYPGCQIYGQTVTTNHHDELIKMGINPCSRQTKLTYKFPYVIFCAPPSQTDDYAGDIREAALNWNGEGSFLFTSSSAPYDCFDNGAINEDGPVVPIGRSPRTDVLLKAEKVALDFDGCVVRLAGLYISFETCFS >Solyc08g062510.3.1 pep chromosome:SL3.0:8:51236874:51248336:-1 gene:Solyc08g062510.3 transcript:Solyc08g062510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSGEEPAGESSNSQKRSESHGQYHKHSMEQIQILDAFFKKCPHPDEDQQKQLGSEAGLDHKQVKFWFQNRRAQAKDEKVSSLISHVFGRPFVMDSNLAPQISTLGSPSNSSDGSLLNEDTRGSPIIYPPLRQENNQNSNNFHAHSMISQSPQEHDECNHDSRQQTIMFETVVASMNEMVELWKMNDPFWVDSSSDRRCFIHHEIYGRNFSNQVLPPQTSTCRIESSKDCGIVSMTAVELIHNFLDPVKWMNLFPTIVTKAKTIEVVDSGTWGGSMQLMYEKLHILSPLVEAREFLFIRGCRQLDATTWIMVDISYDIFNDIQSGVPSYSWKFPSGCAIQDMGNGQSKVTWVEHVQVYEKYHVNHIFRDLLCDREAYGAKRWIVTLQRMCERFNFQMGSTYPNRHDSKGVFHDPEGLKNTIQVSQRMVKKFFEILSMTDNHGDFSISPQLNRGDRISIVKNEETIQPKGFIAIATTSLWLPLSFQDVFSFFNDYKTRNQWDILTGGNNVIELDRVLTGTFPGNNITVIQPYNMHKEMLVLEETSIDEMGAFLVYAPIDLRAINSIVNGGDATKVPFLPSGIIISPDGRLSSNRDSTPNAQNGSILTVTFQIMICGNNNPTSRQQKMEVVGSVHGVLSATILRIKEALGCSDL >Solyc05g014700.3.1 pep chromosome:SL3.0:5:8827256:8830029:1 gene:Solyc05g014700.3 transcript:Solyc05g014700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSTVTLIQNLDPMNISTTTTTIASYGSSSFLSNCPLLSAIIAFALAQSIKFFTSWYREKHWDLKQLVGSGGMPSSHSSTVTALATAVGLQEGFGGSLFAISLVLACVVMYDATGVRLHAGRQAEVLNQIVCELPEEHPLADTLPLRELLGHTPPQVIAGGFLGLVTATIVWLITSSAYRA >Solyc12g010930.2.1 pep chromosome:SL3.0:12:3818483:3820483:1 gene:Solyc12g010930.2 transcript:Solyc12g010930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKKLSNPMRDIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQSPVFSKARYTVRSFGIRRNEKIACYVTVRGEKAMQLLESGLKVKEYELLRRNFSETGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVARRRRCKSRVGIQHRVTKEDSMKWFQVKYEGVILNKSSNIQ >Solyc03g051710.1.1 pep chromosome:SL3.0:3:22371201:22371529:-1 gene:Solyc03g051710.1 transcript:Solyc03g051710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYDKDPKAAITGLLTMMFEDVFESSMCYITIAMMRNYQHLMHKFMSNKAKIPYLLKLIVYMNLNLYSL >Solyc03g111540.2.1 pep chromosome:SL3.0:3:63629487:63632358:-1 gene:Solyc03g111540.2 transcript:Solyc03g111540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVLVSCLCSNQTLILILLLHSVLLVGVKAQMQMNPLYYDCSMPNNYTEGSKFQSNLNRLLYNNSSNSIYTKASEGEDLDKVYGVFLCRGDVVTKDCKNCIDEAIERILSECPLKKQAIIWFDECLVRYSNVSFASILDSSISKILYDTQNVSQPERFMGNLSAMFDNVITQAITINLNLKYADNSDEINDFQRLYGMVQCLPDLSAADCVTCLNAALSQMSITLFDTKIPTGGRVLHASCNLRYEIYQLLASLPPLTSQGNEDQGKNTSKTKLISIITGVTLAILAVVLAGTWFYLLKRRRRIEKERNENSQELQLLDIIGETLDENDDFGSEKKGRSREFPVVKLDLIRAATQNFSEENKLGEGGFGPVYKGTLPYGITIAIKRLSRTSGQGLKEFKNEVVLIARLQHRNLVRLLGCCLEGIEALLIYEFMPNKSLDFFIFESRENKILDWRQRLHIIKGIAKGILYLHEDSRLRIIHRDLKASNVLLDKDMNAKISDFGMAKMFSGNQREANTNRVVGTYGYMAPEYAMEGLFSTKSDVFSFGVLLLEIVSGRKNNSYVSEYGQSLLNFAWKLWREEHGLELMDPCLSQSCVTTEITKCIHIGLLCVQQDPADRPTMSSVVFMLENNTKTLPQPSQPAFSIGRLAMKSAEPQSNDQLCSVNEVTLSILSPR >Solyc10g007220.2.1 pep chromosome:SL3.0:10:1629253:1632099:1 gene:Solyc10g007220.2 transcript:Solyc10g007220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSRRKRAGGRSTADEECRDGGGSASVSGYSSSSSATVYPEQLSPAVLNKIGNNCLVNRDYNEALRYYEEAIAITPDDCKLHCNRAGALIGLMRYPEAMAEFELAINLAPRRYQPRHDFGLWLLSLGQVENATDHLYFLGHKPDEATSQKLEAVKEHINKCTEARRVEDWATMKIESKAAFTSGADSSPQVKESISLYLVVTYIL >Solyc02g088480.3.1 pep chromosome:SL3.0:2:51201167:51206489:1 gene:Solyc02g088480.3 transcript:Solyc02g088480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKVQCKTYLPGFCSMSDLNNNGTNTPWLLDHENKSRKRSQCTDSILSSQPIDGYSGCDKEKVRQTILGQETIFRHQIQELHRLYRRQRDLMNEHQRKEMLNSQMKIFQSSPSLSHFPSLDSIAGQLSTKDASKYKSSFDFMENDSRSIHFSPQITNNSRECEPHQPGSSLFQRKMFNSRYVVEECTNNEEEQTTMKQLGLAGIQGNPVEGIYPIPRRRDVKTPRALEFHSDADLDRTNSSKRTDELADLNKPLPLEEDPPLVPDINISNIPCLEDGSSDGVKFSPKSLKERIGGICLNHPPCRNEEEQLTFKFNAEQKQLDNRSSGRLETAENLPKAFQSSQGHEIFKHSLIKETKNEHQKKRTIFGVEIHAENQIQSAGFSHVQTSTHKSQPPPQSYTELLRNVEVYQGNMHMGSDVRSHSSSKNVLPDQNVLCKRSQPSAKDWTKTFNCVNDMDCKSAGLKNARDTSGVSQTEVAGKTHVSGDSQRKQENPRETLPWLVGKSRESVEQTKGKGSCYHLNLDSLQNYSQQFFRREDTAVNSSQFIDQRRGTLSSISTKDSECQKVEVSDSTKIRTIFGVPIFSASKDLHAARSLAKATFPDIDGVTATIISRDETVCTKKVKAKDFVQDKGLNFCTSGLRYQIDLNLSLDEEEAPSASPLPQAVVRIATTEIDLEAPAVLESEEECGNSKITLEESDKLSEEAMRVAAESIISISASSLVNGDTNDVLQTEPSDCLKWFADLVSSHSSGQECITRKISSGTVSEFDEESIPDGFDHFEFMTLKLEDLKEEEYSYKMPTMESHDDEETGATTLPKRPRRGQARRGRQRRDFQRDVLPGLISLSRYEVNKDILAFEELFKASGSSWQSSLSHRKTGKSGRGRRRLTNADPSPTIPADCTPPVNQPCSSELGLEEKSLTGWGKRTRRLPRQRYLNHNLTLPLKQC >Solyc11g065810.2.1 pep chromosome:SL3.0:11:51679689:51681932:1 gene:Solyc11g065810.2 transcript:Solyc11g065810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLVNDLARIASSKLCIMLEENKGSHMLKQSRHMSYSMGEGGDCEQLKPLYKLQQLRTLLLIDTDYSPPLTKRMEKLINLRHLDVSNTFSLKMLLHLSTLKRLQVLVGAKLLLGGHGGLRMEDLGELHNLMHRIAEVTEEFYGSLSSEKHFNSLEKLEFEDMPEWKQCTCHNLSVACGGSQMTYLGIVYCVKLKCLPEHMQDLLPSLNELELLDCPEIESSPEGEMPFSLQQLRIGNCKKLSMLEDGLPSSLSHLTSLQRLGIFSCHQLQSLSLPSSLFELNIEDCPNLQSLSESALLSSLYELTITRCANLQSLPAKVMPSSLSKLHISYCPFLKPLLEFDKGEYWPEIAHISSILIDEEYL >Solyc08g062547.1.1 pep chromosome:SL3.0:8:51413867:51414322:1 gene:Solyc08g062547.1 transcript:Solyc08g062547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISLILYCSLDICIVLPSNILVLPKEFCVMLLGHFTSEFDFSLSDSDWAARAGSIDIRKSISGNVFNLGSVVIFWSSKKQDVVALSSSKAEYVAVTSAACQAVWLRKMLVDVSISKRVR >Solyc03g098140.3.1 pep chromosome:SL3.0:3:61902557:61906135:-1 gene:Solyc03g098140.3 transcript:Solyc03g098140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPPPYREFFNNSSSKQDSIDPDQLLKLFKAQQNYLNHFFQNLDLSQTLNFTQTLLNAKGSIYFTGVGKSGFVSQKISQTLVSLGIKSGFLSPVDALHGDIGILDPQDVLVMFSKSGNTEELLKLVPCAKAKRVFLISVTSVKPNSLMGVCDLNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMAARNLSREEYAANHPAGRIGKSLIFKVRDVMKKKEDLPICKEGDLIMDQLVELTSKGCGCLLVIDEEFHLLGTFTDGDLRRTLKASGEGIFKLTVGEMCNRKPRTIGPDAMAADAMQKMESPPSPVQFLPVIDQDNVLIGIVTLHGLVSAGL >Solyc02g094750.1.1.1 pep chromosome:SL3.0:2:55934643:55935722:-1 gene:Solyc02g094750.1 transcript:Solyc02g094750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCKSTRLTPKKCSKNTKNLNPNVENSPGFSKSCKSPMMAKSAMKTQKSVSRNPNTSQLASPSPKNKIRQRKFVIAKKKKSNRDEVSASMVCKCNKVGDEKKKCLCVAYETLRASQEEFFKNRSGNEQEEDNEVEKLDRDDKSLISNVQSITGCMGDLPVRNNQEVTVPVSISEGELVELAETSKEGVGSGGMNVVGTIKRGRERLLEEARQSVPDSSSGKVLNLVKAFEKLLTPKKNVCEEKGEKDEKEEESSKEQQGLQPPMIPETQVSSSSFCPPDFFLTSESLGLDSHRASSLDSSHGCFSISSRTSGGAQRSRRDSAESIGTLPRRSWKRRHRKPTSQKPFKLITEERGKNK >Solyc07g042920.2.1.1 pep chromosome:SL3.0:7:56603863:56604147:1 gene:Solyc07g042920.2 transcript:Solyc07g042920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKEEELVLYEDIVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEVVSTGLITLLKKDDSVVSTYLHHVHALSKGVPARQVMSELFGKTMGCCRG >Solyc01g111240.3.1.1 pep chromosome:SL3.0:1:97468473:97475308:-1 gene:Solyc01g111240.3 transcript:Solyc01g111240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENGEERFGKARMDDWNGVVDETVEVRPEDKVVVVSHVSKESEGDEVFEEAIEPESPGFAVEDVVASEGRNDDNSGDINSSIEDSSNSESRDNVENFEEAVEVLHEIQHANDESNQKTDVILKEEPSVEKESCHEIAAPDETEVVEKNIKVGKGKDDMSEVADLGAAIETETSVNWDERKDNSGEPTEFENGVFNHVNLGETQSDDAKKTISDQQDADEAKAGNNVLQNQVHSYKDALLHDEDNVDVIETSAVQPAGHQDTADVHNNVSDSSGSVLKDEGDTEWEGVLKSLDSDVKDEEQKDISPNDASTNGHHSESLNPSDELKEEAGPSPERINGYNMNEEQRDVERTVPSPELVNGSNKDEEQQIDGVKAVHSPEPVNGSNKDEEQQIDGVKAISPEPVNGSNKVEGQQLDGEKAVCSPEPINCTNKDEQQIDDQDNDSVSILQGGHFPLKAEVTEKESTGPELMGDASDHQGLKLNESPTMEPGNLNDRTNEQKDVSVSDSSASLNHSGISVRGKVTADDEMSKSSEALPSDNNEKVSKVSQDAVVGVDKVVEKESVDKVIEKEPVSVVVKDLKQSVPRVRESEARSATEHPSSSNASATRIPAPAGLGRAAPLLEPAPRVVQQPRVNGTASPVQNQLVEESTNGEADEYDETREKLQMIRVKFLRLAHRNGQTPHNVVVAQVLYRLGLAEQLRGRSGGRVGAFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFDTDAFQVGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKRFIKKTPPDIVLYLDRLDMQSRDNGDMPLLRTITEIFGPSIWFNAIVVLTHAASAPPEGPNGTVTSYDMFVTQRSHVVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWRPHLLLLSFASKILAEANTLLKLQDSSAPGQPYATRTRSPPLPFLLSSLLQSRPQVKLPAEQFDDDDDALDDDLDESSESEDESEYDQLPPFKRLTKAQLAKLSKEQKKAYNDELEYREKLFMKKQLKEERKRRKMMKKMQAAAESLPPTDPSENVDEETGGASSVPVPMPDLALPASFDSDNPTHRYRYLDSSNQWLVRPVLEPNGWDHDVGYEGINVERLFVVKDKIPISLSSQVSKDKKDTNLQMEIASSVKHGHGKATSLGFDMQSVGKDLAYTLRSETRFCNYRKNKATAGLSVTLLGDVMTGGVKIEDRLTFNRRGSLVVSGGAMFGRGDAAYGGSLEATLRDKDHPLGRFLSTLGLSVMDWHGDLAIGCNSQTQIPIGRYTNLIGRVNINNKGSGQVSIRLNSSEQLQIALISLIPLVRKLISYSQPAQYG >Solyc12g040230.1.1 pep chromosome:SL3.0:12:53929795:53933058:-1 gene:Solyc12g040230.1 transcript:Solyc12g040230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSPTHFFCVCWSILSPTLLDTLPINSFSCKEAIGDLKKTSQRLDVLKTRKRSAADLEDRFATGCKFFARGRCTKGNSCRFLHTKQPVTSHETSKIPHDKGLEEKSLLDGSSQSGENLRMRGGEDSLHPNSHLGYTSKSPAFPSSITGYSWKNHLSQDTRIYQSDVGDGSFPVLINHMQANADPASTGSNKVQISGHSDMLPEKDLPRHGTTVAHQENMNTSSKEDKHLESEIDVDNKSVNTKSVVLKNFHVALVEFVKELLRPT >Solyc05g012780.3.1 pep chromosome:SL3.0:5:5938547:5942872:-1 gene:Solyc05g012780.3 transcript:Solyc05g012780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCVSSPSKKIKLKGKYIPKTRRFRRKAPCSVSVAPIEQLTDAGEYARDVDVCEFVTVDYESRTSSPAFHHSLNYHQVDVAGLSQEEAWFDTCSVLDSDSDEDFTSVLGEICPSLVVAAGSALDHQSQIESNLRFYDTINNNDIPCVDGSFTKRRNVAEDLSLTSQRKKLSVVTLADSKILHNQDTTTEFCPSRRLFYHPKAGFLIPHSSNVKSTQGCWSPVSPSIFKLRGTNYFRHVTMVTLDKRKFPAANCCPYVPIGVDLFVSPRKISHIARYLDLPYVEPHEKVPSLLVVNIQLPSYPTSMFLGENDGEGMSLVLYFKVSENFEKEVSPQFQDSIKRLVKDEMETVKGFAKESTVPFRERLKIMVGVANPEDLHLNPAEKKLLHAYNEKPVLSRPQHAFYEGDSYFEIDLDVHRFSYISRKGFDAFRERLKHGILDLGLTIQAQKQEELPERVLCCIQLNKIDFVNCGQIPQLIIPIDNN >Solyc05g014654.1.1 pep chromosome:SL3.0:5:8658567:8658824:-1 gene:Solyc05g014654.1 transcript:Solyc05g014654.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLSWINSFLKTKSLNLEILIFDLLARDVLVIPMSSVASEYVFSTGGRILYPFRSSYSQMCAMSYFCPRLA >Solyc10g074500.2.1 pep chromosome:SL3.0:10:58115058:58123097:-1 gene:Solyc10g074500.2 transcript:Solyc10g074500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRTLPILKHFLTSSSPSPSPSHALTSVRSVTYMPRPGDGTPRAVTLIPGDGIGPLVTGAVEQVMEAMHAPVYFERYDVHGDMKNMPPDVMDSIRKNKVCLKGGLKTPVGGGVSSLNVQLRKELDLYASLVHCFNLKGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVMTKFCSERIAKYAFEYAYLNNRKVVTAVHKANIMKLADGLFLESCREIASKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAVFEQGASAGNVGNEKILEQKKANPIALFLSSAMMLRHLQFPSFADRLETSVKRVIAEGKYMTKDLGGDCTTQEITDAVIANLD >Solyc06g011440.1.1.1 pep chromosome:SL3.0:6:7276087:7276743:-1 gene:Solyc06g011440.1 transcript:Solyc06g011440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIGLGGEVHPNHPIIYFLFLTAWVVAIVGIVSSLCGLLERIKGSSEGEIKEKATQVVSTSPNETENTDDQELTDKTSRTDDEENILQQPLPPPPAMRAVASHNLRTNSMAAPTRSNSSLKTLQRKLSTSMSMKTFSGALSHRHDKINSKLKHEDSIWKKQIILGEKCKVPNQDDDDDTILYDEDGNRISTYHPKQLNVMSMSRQSSEIDPNAIPK >Solyc09g013150.3.1 pep chromosome:SL3.0:9:5553185:5559113:-1 gene:Solyc09g013150.3 transcript:Solyc09g013150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVRFSNKIQIHSSSFSNTHFGLINFRKTHLGFQPKIQKKWKSLNLSGGGDQRLKKEVFMEKKQRGGFTVKCTAEGIERGMLVGGRSEEREFMVAERFKVVALMACVMCLCNADRVVMSVAIVPLAAKHGWSSAFLGIVQSSFLWGYIFSSVIGGALVDKYGGKKVIAWGAALWSLATLLTPWAANHSTVSLLAIRAFFGLAEGVALPSMNTLLSRWFPCHERATAIGISMGGFHLGNVVGLVLTPLFMTSIGISGPFILFASLGLLWLTTWVSRVTNDPQESNSITKAELRLIQAGKSDSPSSKKGELPPLRLLLSKMPTWAIIFANVTNNWGYFVLLSWMPVYFKTVFNVNLKQAAWFSAVPWGTMAISGYVAGAASDFLIKAGYSLTFVRKVMQSIGFIGPGVALLCLNFAKTPEVASVLITIALSFSAFSQAGFLLNMQDIAPQYAGFLHGISNSAGTLAAIISTIGTGFFVQWLGSFQAFLTLTACLYFVTAIFWNVYATGER >Solyc04g063310.1.1 pep chromosome:SL3.0:4:55440836:55442410:-1 gene:Solyc04g063310.1 transcript:Solyc04g063310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLKQLCQNLNRLFERRKICSLFIC >Solyc08g081780.1.1.1 pep chromosome:SL3.0:8:64863051:64863620:-1 gene:Solyc08g081780.1 transcript:Solyc08g081780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4CPH4] MYSLKSLFTILFFPFLLLSISAHSYTHRARAPCKEMIFFFHDIVYNGENYKNATSAIVGAPEWGNRTIMSSPNNFGDMIVFDDPITLDNNLHSPPIGRAQGMYFYDQKDTFSSWLGFSFVFNNTDYKGSLNFAGHDPLMNKTRDISVIGGTGDFFMTRGIATLSTDAFEGSVYFRLRVHVKLYECWKLL >Solyc04g008220.3.1 pep chromosome:SL3.0:4:1897936:1901026:1 gene:Solyc04g008220.3 transcript:Solyc04g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYKGKEKIVFDDQNEENNILVDRNFLQLNEYKGNSSERVAENEEIQVEIREKISKDGIFDLSSKRVAENEEIQVEIREKKLKDGIFDLSLACPSTSRSLQSVDPSNNNTRIGYFRNGSLSSCYSHPFSHNLSYSLTLSSKEDSEYSGEGANWSGFSKFRPVEAGDCTLPAHPGGSNFALSCRMQVNKDNDVDRISSSDSNSYFSFEFPARPTGDSRVRGNMEVGRAFQFSQPERILAEIVSQSVPLMAQIVQELPDETVESTKDYLRSLIANPEKKDLLVSLQNMLHGRSDLNVATLLECNTTQLQIFVAIKMGHRSFLSLENHIQVAELIEIFSLERCRNIRCKSVLPADDCKCKICSRNNGFCSVCMCLVCSNFDYATNTCRWVGCDRCSHWCHAVCSVQRNLIKPGPSINGPSGTTEMQFHCLGCGHSSEMFGFVRDAYMHCSKDWGEKTLIEELDYIQKKFDGSEDFKGKELHAITYGLRDKLEKQMISPSDACDFIFHFFKYTDGLSQFLSSSFPASIPLADKFSST >Solyc12g020130.1.1.1 pep chromosome:SL3.0:12:14140001:14140867:1 gene:Solyc12g020130.1 transcript:Solyc12g020130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTFQITMDEFKHFHKIDRKLYSLLVINLERDPFESMHTLALWIWLERTCHFKNFIGKIVSQPNFFINELADEGAACLKCIEDNQFGLVSTYSSEIPLTQHLTREDLTLQFFHENRDNANSEMRKILNEVCHKAFQDIMEKAMMSRSSKQPLIESKLPMVPSPSELSLSDRMSRLRLGGDMSTRRMKGSNVPYEERSMFLTFSKGYPVAEWEIREFFTSYFGDNIESIIMQDVKSNEQALYARVVFDKPGIVEFILKDEPKVKFSINGKHVWMRKYVKRNGKSSFP >Solyc11g020150.1.1 pep chromosome:SL3.0:11:10297763:10298408:-1 gene:Solyc11g020150.1 transcript:Solyc11g020150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIHCFDVISDIIVRVEVEKNGTRKSTRVFVIVKYKVKKNFNFKVQKSDLKDTDLLHPPTESDKRKHKLMHFFQSYNSIFLDVKCQGCFQITIIFNHSQTVIICPICQQVLCQPTGGRAKLTKGCLFRFKEKDMMVLV >Solyc03g121430.2.1 pep chromosome:SL3.0:3:71024219:71025341:1 gene:Solyc03g121430.2 transcript:Solyc03g121430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVVVFDFDKTIIDLDSDNWVIDELGATDLFNRLLPTMPWNSLMELHDQGKTIDEIEQVLKRVPVIPRVVAAIKAAHTFGYGLVIERIHWLRKTGSKE >Solyc02g088780.3.1 pep chromosome:SL3.0:2:51367503:51388690:-1 gene:Solyc02g088780.3 transcript:Solyc02g088780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGMDIDGNVEEAARRVQVRFVTKLKAPFKAPPTSIAIPSNLTRLGLSSIVNNLLKAGNDDWNPEPFDFLIDGELVRMSLEEFLLAKGISAEKILEIEYIRAVAPRKEEEPSLHDDWVSAVDGSNSMFVVTGCYDGFGRIWMAAGSCTHLLEGHTDAITSVCVVKPRVAQNGADQIVATASKDRTLRLWKFDADESSDQTKRIRAFKILHGHNASVQSVTANPAGDMVCSGSWDSQIALWQASGCSDTGDVISVKKRKKNADEEDPQVEEEAKSTLVGHTQCVSSVVWPQDETIYSASWDHSIRRWDVEMGKDSLNLYCDKVVNCLDVGGEGSSLIAAGGSDPVLRIWDPRKPGSSAPVYQFSSHSSWISACKWHEKSRFHLVSASYDGKVMLWDLRTAWPLAVIDTHKDKVLCADWWKGESVISGGADSKLCISSDDRFSPVSDIVLQKMMQFCVGRIGWVKAGVGNVSLQYKYIPREFSKDLIRSPRTTRGYTIIRATTTGISMLTKNSILSIVNQLKICSSRKQLESLYSLMLKNGATKDCFLMNQFIATCSALNNPDFASFAFSQMENPNVFVYNALIRAFVHCHIPHKALLLYIDMLRTQNIPSSYTFSSVVKGCTLMCGLRLGECIHGKIWEYGFGSHVFVQTSLIDFYSNLARVDLARLVFDEMPERDNFAWAAMVSAHAGTGDLGSARKLFDEMPEKITVACNAMINGYAKTGDVESAELLFKEMSRKDLIAWTTMINCYSQNRKYGQAIEVFYEMKSNLITPDEVTMTTVISACAHLGVLDQGKEMHLYVMQKGFDLGVHIGSALIDMYAKCGSLERSLLVFYKLREKNLFCWNSAIDGLAVHGYAEEALALFSRMEKEKVKPNGITFVSVLTACTHAGLVEKGRKNFLSMTQDYGIVPEMEHFGCMVYLLCKAGLLEEALEVIRSMRVEPNAVIWGALLGGCKLQKNLEIAQVAVKKLSVLEPNNSGYYTLLVNMYANANRWSEVARIRAFLRELGVGKEQPGSSWIELKKKIHQFAACDNYHHSSQEIYSLLDGLDGQLKLAGQVQEHGKKKSVGNKGERKPTVDRAMKKMAALPSADWEWENATAGAAAGLATVTFSHPLDVVRTRFQVYDGRISNVPAYRNTPHALFAIARSEGLRGLYAGFYPAVLGSTISWGLYFFFYSKAKQRYLRNREELSPGLHLASAAEAGALVCLCTNPIWLVKTRLQLQTPNQIRPYTGFHDALRTIIKEEGWRALYKGLMPSLFLITHGAIQFTAYEEFRKVILSSKAQENENPLATAADLLQADFIIALDVNGKELVVPCLEVDHYSRTLSDMNDIVVTDSVDYATLGASSKLAATLTTYPFQVVRSRLQQRPSTTGVPRYMDSWHVVKETARFEGLRGFYRGITANVLKNAPAASITFIVYENQLGFYTIETIFGRSILSDLTGSKIQNLSKTHFYSFTKSLISSNFTTSAQESKPAPSERVSAIVDEISGLTLLEVSDLGEVLRKKMGIEEMPVMAMMMPGMGFSAGGMKGKGAGAAGKTEEKAEKTVFDLKLEGGFDAGAKIKIIKEVRSFTDLGLKEAKDLVEKAPASLKKGVTKEEAEKIIEKMKAVGAKVTMEILALG >Solyc12g088900.2.1 pep chromosome:SL3.0:12:65073636:65077208:-1 gene:Solyc12g088900.2 transcript:Solyc12g088900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKLIRSLYRSVYRRSSAFSVSTAAAAAVNHHFHRDLHFSASPRTPFRELRHPLTKAIGSTRSFSEDVTDMPDIEDLEIKRAFKDLMAASWDELPNAVVGDAENALTKSTDDKAGQEALINVFRAAEAVEEFTGILTSLKMEMDDAIGLSGENVKPMSKEFSEAFQTILQRYNTYLSAFGLEEVYLKKKVEMELGTKMIHLKMRCSGLDSEWGKVTVLGTSGLAGSYVEQRA >Solyc09g083010.3.1 pep chromosome:SL3.0:9:69125943:69129154:-1 gene:Solyc09g083010.3 transcript:Solyc09g083010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAKEVKIESPDKKFLRHPEIEDFASDNVYSESIQPPANWERIMEGIRSMRLSEHAPVDSIDPDEGVTSLQPKERRFAVLVGSLLSSQTKEANQRLLENGLLSADTMDRADEATIKSLIYPVGFYTRKAQHLKQVANICVSKYDGDIPSTVDELLLLPGVGPKIAHLVMIMAWNKVEGICVDTHVHRVSNRLGWVSRPGTKQGTRSPEETRVSLQQWLPREEWVSINLLLVGFGQTICTPLRPRCAKCTIREFCPSAFKEISSPASTSKTRRPKREL >Solyc06g009630.1.1.1 pep chromosome:SL3.0:6:3582821:3583204:1 gene:Solyc06g009630.1 transcript:Solyc06g009630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAGVSITIPTISSDSPKIQPLKLQTLNSPWKKSSQLGYRRMMYVVVPRVVPDKKLSDLVAESVKEAEETCAEDPVSGECKAAWDVVEETSAAASHARDKKKESDVLENYCKDNPETDECRTYDN >Solyc12g035135.1.1.1 pep chromosome:SL3.0:12:38777514:38777519:1 gene:Solyc12g035135.1 transcript:Solyc12g035135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding D >Solyc07g044895.1.1.1 pep chromosome:SL3.0:7:58078632:58079345:1 gene:Solyc07g044895.1 transcript:Solyc07g044895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEGKHLHVRCMTHILNLIVQDGLKEIGPSIKRVGQLVKYVRSSSSRARNFLKCVEMQKIECDKLLSLDVPTRWNFTYLMLDTTGKFEKAFERFDLYNGNFNSFLATDVYEDGSIAGSIQYEYRANMRNVTKFLEKIYELTLKVSGSRYITCNVHFEDICELDAYLKLCMASDDLDLSKMASKMKEKFKKYWGTPEKMNKMIFIAFILDPRNKFVYVNFALEELLGEEKGFVCNICN >Solyc01g014855.1.1 pep chromosome:SL3.0:1:15704686:15712895:-1 gene:Solyc01g014855.1 transcript:Solyc01g014855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PPAHTPYHMAPPELEELRKHLKEILENNKDGSLRLCIDYRALNKVTIKNKYPIPLIAELFDRLGQVKYFTKMDLRKGYYQVRIAEGDEPKTTSILHPYLDQLVVVYLDDIVIFSNTSEEHVEHLRKVFKILRVNQLYVKREKCEFAQPKVHFLGHIITQGELRMDEAKIRAIQEWEAPKKVTELRSFLGL >Solyc07g064970.3.1 pep chromosome:SL3.0:7:67045051:67051750:1 gene:Solyc07g064970.3 transcript:Solyc07g064970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQIWDEVGETDDERDKMLLQIDQECLDVYKRKVDHAVKSRAHLLQALADAKVELSRLLSALGEKTYVGIPEKTSGTIKEQLAAIAPTLEKLWKQKDDRIKDFFDVQSQIQKISSEIAGYSEQVESLTVDESDLSVKKLDEFHVQLQELQKEKSDRLHKVLDLVSTVHDLCAVLGMDFFSTVTEVHPSLDDSTGVQSKSISNDTLSNLANTVLVLKEDKKQRLLKLQELATQLIDLWNLMDTPEEERSLFDHVTCNISASVDEVAIPGALALDLIEQAEVEVERLDQLKASKMKEISFKRQAELEDIYARAHVEIDTEAAREKIMGLIDSGNVDPAELLADMDNQIVIAKEEAHSRKEILEKVEKWMAACEEESWLEDYNRDDNRYNASRGAHLNLKRAEKARILVNKIPALVDSLVAKTRAWEQERDTTFTYDGVPLLAMLDEYMMLRHDREEEKRRLRDQKKFHEQMSKDPEVFGSTPSPARPLGSKKVTGPRANGSANGPASRRLSLNSHQNGSRSTSKDGKKDARLSAPVNYVAMTKDDAASHISGTEPIPSTP >Solyc01g094315.1.1 pep chromosome:SL3.0:1:85715574:85717636:-1 gene:Solyc01g094315.1 transcript:Solyc01g094315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGTLDKCSACDKTVYFVDLLSADGVTYHKSCFKCSHCKGTLVTRAPSKLSALFSGTQDKCAACDKTVYPLEKVTMEGESFHKSCFKCAHGGCALTHATYASLDGNLYCKHHFAQLFMEKGNYQHVLKAANNKKNSAAVTPLNDDENNAVENSST >Solyc12g006993.1.1 pep chromosome:SL3.0:12:1420177:1421259:1 gene:Solyc12g006993.1 transcript:Solyc12g006993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPVQAMRGGLKKKKLHFLLAAAQWIRCSVMKAITLGDALSMNHSTASSYKNIVTRNAQFNHIITLNFLYPKGFPPEEEKVFWVGGAEYNPPGCWFEFRPPKATPSKPKLATPGGLQIEKHSFSVTPRMYRFFKQ >Solyc11g042550.1.1.1 pep chromosome:SL3.0:11:36372379:36372756:1 gene:Solyc11g042550.1 transcript:Solyc11g042550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGERVAALGTAGSCYSSCCPAAREERRGEARSRGGTRLLVAAFAGGFCFLELRSSAIAAGRSSCFEEKESSEFSLAALPAASPRWFLLLLLGKRKEEKRRWGEREKGRGKRCRLVAACHASPG >Solyc04g074480.3.1 pep chromosome:SL3.0:4:60554256:60557892:1 gene:Solyc04g074480.3 transcript:Solyc04g074480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:K4BTY6] MALSTNTTTNSLLSNKSLLQNQPLLSSPSKNAFFSNKSTKTVRFVQPIAAVHSSDSNKNPIVSDKPSKSSPPAATATTAPAPAVTKTEWAVDSWKSKKALQLPEYPDQEELRSVLKTIDEFPPIVFAGEARSLEERLGEAAMGRAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDSFEEKDGVKLPSYRGDNVNGDAFDVKSRTPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRINQWNLDFTEHSEQGDRYRELASRVDEALGFMTAAGLTMDHPIMKTTEFWTSHECLLLPYEQSLTRRDSTSGLHYDCSAHFLWVGERTRQLDGAHVEFLRGIANPLGIKVSDKMDPSALVKLIEILNPQNKAGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHDQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELSFIIAERLRKRRLGSQSTLGQ >Solyc02g070230.2.1 pep chromosome:SL3.0:2:40547622:40556818:-1 gene:Solyc02g070230.2 transcript:Solyc02g070230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFEAFESSLKTLEMLNGLASTLFRARPVILYTFISRFSPNSHGFLTITPTRLFTPAASLRRDENKEVDDYAELKEKVENFGICCNSVTPEEYTRLMCPKCKGGRSMEKSLSFHMSSKRNSALWRCFNIDCGWAGQIPHNSAASDGVHQRGRINFPRILTEESLNLEPLGDMLAAYFSKRMISMNTLKRNHVMQMAGDQIIIAFTYRRNGMLIGCKYRTLEKRFWQEKGADKVLYGLDDVREADEIIIVEGEIDKLSVEEAGFPNCVSVPNGAPQGIASKELLPLEEDTRFSYLWNCNECLEKASRIVLATDGDLPGQALAEELARRLGKERCWQVCWPKKNELSSYKDANEVLVNLGREALKEAIECAVPYEMQNLN >Solyc02g072200.2.1.1 pep chromosome:SL3.0:2:42083895:42084236:1 gene:Solyc02g072200.2 transcript:Solyc02g072200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSILKLKDLITNGMFSLKDFFFEKITKIHYFNLFNFIYSLLVYLITKIPFFSQMILIIFYTLKYLKGNLYLSKVNQIYLSQKITFPNYLLPGFPPTPSTPRKKPSPKFYSQDF >Solyc09g064640.1.1.1 pep chromosome:SL3.0:9:62191144:62191569:1 gene:Solyc09g064640.1 transcript:Solyc09g064640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVYSPKIPSPPTHAAPYPSPIDNVASPTHMAQSPVDDVVSPPHTTPTKTCIKVDGCASDLITFVFKRRISLSTQCYQVLSTISDDCFYREYKHSKRGPFFLGKVRNYCSHAVDNAAPSPSPVALQTQESPSPSLVDNSA >Solyc01g103220.3.1 pep chromosome:SL3.0:1:91741144:91746360:1 gene:Solyc01g103220.3 transcript:Solyc01g103220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAEAPPGNPKAGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSNANKNMAVNWGENTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKEATA >Solyc06g074430.3.1 pep chromosome:SL3.0:6:46210214:46211930:1 gene:Solyc06g074430.3 transcript:Solyc06g074430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLLAVLGGNTSPSEKDLKKILASVVHFELTLVVHAAVGAEADDDRIQLLLSQVEGKDITELIAAGREKLASILLELRIFMENICKQLKL >Solyc11g066300.2.1 pep chromosome:SL3.0:11:52320518:52325388:1 gene:Solyc11g066300.2 transcript:Solyc11g066300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYPKKKNPNSSKPEKDPVPAFKTHHHNSKYHKPVKPGQVITEDGDRSVVVKDSGVSYRLPGAPFDFQFSYSETPKAKPLAIREPAFLPFAPPTMPRPWTGKAPMKKAKRNIKLFEPLYPQMQSDDDGGKRYEMLRAYELGVFEGKPKKVLGAPLTKMEIQELLKHCIASNRQVNIGRDGLVHNMLELIHTHWRRNPVCKVRCLGVPTVDMNNLCQCLEEKTGGKIIHRVGGVVYLFRGRYYDQHTRPKYPLMLWKPATPVYPKLIQEAPEGLTKEEADELRIKGKKLPPICKLAKNGVYLTLVRDVRSAFEACPLVKIDCRGMHASDYKKLGAKLKELVPCVLLSFDDEQILMWRGKDWKPMYGNGPSAVSSRIDAVADEINTSGRSGMHEILANPGGTAKDYSNTHLRTRRTSPKMMSLWKNAIDSGKALLLDDIDLKPDDLLNKVEEFASISQAIEHTYPALVLSSKRVPEQSGSMWRGSSDDDNDSDDEISDGDHEDKYYINTSFEALESTVPKGLLPVDLIVKEFSDDE >Solyc12g035223.1.1 pep chromosome:SL3.0:12:39426799:39429386:1 gene:Solyc12g035223.1 transcript:Solyc12g035223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIKPTENLSSSTLGVQLQTRKLMFSGLELGFRLPRWKILNHLRRGDDDCSAPPPGPPSSSTRVPTSAAENFESPRQGGDDCSTPLPGTPSSSTTRLLQSFSHSDSGVLVRLSLSSLSLSLRRQARSEDTIYGECTMDENIIIAKVIVKVGLLIQMQKSKSNY >Solyc06g048990.1.1.1 pep chromosome:SL3.0:6:32280860:32281111:-1 gene:Solyc06g048990.1 transcript:Solyc06g048990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFNIVGSFILICFHRELFIKLIDPQLWSILLSRNSKCSTSNQFFMIKGVILFVVAVLTYRINTRNMIERENLYLIGFLLYL >Solyc03g121210.3.1 pep chromosome:SL3.0:3:70856884:70863166:-1 gene:Solyc03g121210.3 transcript:Solyc03g121210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTPMMPLNADGSAPVAPPLPGTDMTSICFRDQLWLNTYPLDKNLVFDYFALSPFYDWTCNNEQLRARAIHPLDFSHISKMTGMEYTLSEVMEPNLFVIRKQKRDSPEKVTPMLTYYILDGSIYQAPQLCNVFAARLIGFDLVLTSDLSVGDPYQYCVKQGRALYHISKAFGTASSKLEKIGYVESENNSQASETKPAKEAIDFKELKRVDHILASLQRKLPAVPLPPPLPEGYAPPSTSEPSENQQPETQPPPIDPIIDQGPSKRMKYNYASVRTIQSLKNKEFNCL >Solyc03g082900.3.1 pep chromosome:SL3.0:3:54216074:54217297:1 gene:Solyc03g082900.3 transcript:Solyc03g082900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVFNTPLAHLLGALLVLLAPIFLQGVEGTIGVNYGTVADNLPPPVQVASFLRESTFIRRVRLFDANPEILKAFANTGISVTVNVPNDLIPQLTMLSFAQQWVEINVLPYVPATNIVRILVGNEVISTANKLLIVSLVPAMETLHAVLVEKSLDRHIQISTPHFLGILSNSSPPSTGKFRPGYDIHVLKPLLDFLRATNSPFMVNPYPFFDSSDNTLDYALFRPNSGVFDETTQLTYTNMLDAQLDAVFSALKLLDFEDIEIVIAETGWPSRGDPGQAGVDTGIAAEYNRKLIQHVMSGIGTPLMPNRTFETYIFALFNEDLKPGPTCERNFGLFKPDMTPVYDIGILHPRVANAAANTDHSRTNLLLLLLVCLMCF >Solyc12g056210.2.1 pep chromosome:SL3.0:12:63118945:63124345:-1 gene:Solyc12g056210.2 transcript:Solyc12g056210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQEYLNKMQVRQNYRNLWHTDLMSTIQADTPCKSLLPLNCLYGIPMKIIMCIAYTLNYISAFNLPACAVTNACPVKSSRKLKRDTVKYGAIWNHASKLDFGKGDGVGVAVVVEKRNCLLEISCGPCASYLLRKRALYGDMTRYTCCAGYMPCSGRCGESRCPEFCLATEVFLCFGNSVASTRFMLQDEFNIQTTRCDNCIIGFMFCLQQIACIFSIVAMIVGSEEIQEASQLLSCLSDMVYCTVCACMQTQHKVEMDKRDGKFGPQPMAVPPVQHMSRLDQPYPPTVGYPPPAYGQPPPQQAYPAPPQQAYPAPGYPPAGYPPTGYPASGYPPPGYQK >Solyc01g006050.2.1.1 pep chromosome:SL3.0:1:728672:730061:-1 gene:Solyc01g006050.2 transcript:Solyc01g006050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVGHVAPGFGFFLIGIWHLINHIRLHALHPKSYTSLPWFPTPRIRYLELFLIIGGCLASISMELFIGPKKHQPLDIDGTIPSNHLHNFEHSNISLTFFVYALFTIIFDKVTLQPQTKYGMTQLLGAIAFGQQLLLFHLHSSDHMGVEGQYHWLLQIAIFVCLATTLLGIQFPKSFLNSFVRSYSIMFQGIWLMVMGFMLWTPKFTPKGCFINFEEGHKVVRCENHEALERAKALVNIQFSWYIVGITIFCVTLYLILIKIFQEKVEYLSLNSKFEEVEDDLEDVEAQTTSKNGASNRFLEMGKMFASTSDMER >Solyc03g093810.1.1.1 pep chromosome:SL3.0:3:56929874:56930065:1 gene:Solyc03g093810.1 transcript:Solyc03g093810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRARGMVDLTAFSADFCHFTTGSSLKMLGYAAVRRKKRKNMNGVLGQVKIHFLVIVLAGNG >Solyc12g009950.1.1 pep chromosome:SL3.0:12:3107237:3108658:1 gene:Solyc12g009950.1 transcript:Solyc12g009950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4DC83] MKIVALLLVFLCYFFQIFVSAQLKIGFYNSSCPNAEFIVKSIVQKRFKNNPSITGALLRLHFHDCGVSGCDASILIDSDSSKNQISEKDSAPNLTVRGYELIDEIKEKLESICPLIVSCSDIVTLATRDAVALAGGPTYTIPTGRRDGLVSNSSVDLPDPTESVSEILRFFRTIGLNKFDMVTLLGAHTVGVAHCLFFQSRVSDFRGTGRPDPTMDPKLVKKLFRLCTTSKPVTTLNDAPKTFLDQNTSFIVDNQYYNQISKKKGILKIDQELALDKLSAPIVARFANNGNAFRKSFAKSMIKMGNINVLVGNDGEIRKNCRAFNNPN >Solyc05g007930.3.1 pep chromosome:SL3.0:5:2351707:2358388:-1 gene:Solyc05g007930.3 transcript:Solyc05g007930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKWYGGVLTTSLLMFLVLGYCVMRKPVKESYVTSSLYFNMTNPLEWINAMAPPAAHHPEKINQVIPAEIVVSDLFIKRNLSAQEQQSLSTWYQLKRLTTHDLVLPNAIEAVKEATVAWNNLMSAVERDKLDTNDSSIKTGKQKQCPHFLSKTNATELDASGFKLRLPCGLNQGSSITIIGIPNGLLGNFRIDLTGEPLPGEPDPPVILHYNVRLHGDKITEDPVIVQNTWTIAHDWGEEERCPLPSDEKSKKVDELDQCNGMVGNVMSTRHVIATNKSSMVQDGAKSRKYFPFKQGYLSVATLRVGSEGIQMTVDGRHITSFAFRETLEPWLISEVRISGDIKLISVVASGLPTSEDSEHISDLEALKAAPLPPRKRLDLFIGVFSTANNFKRRMAVRRTWMQYDAVRSGQVAVRFFVGLHKNQMVNEELWNEARTYRDIQLMPFVDYYSLITWKTIAICVFGTEVVSAKFVMKTDDDAFVRVDEILSSMERVNTTRGLLYGLINADSQPHRSPDSKWFISPEEWSEETYPPWAHGPGYVVSSDIAKTISSKQRKGRLKMFKLEDVAMGIWISEMKKKGLEVKYEKEERIFNEGCRDGYVIAHYQSPREMLCLWQKIEESKRALCCGD >Solyc01g088820.2.1.1 pep chromosome:SL3.0:1:83420740:83422000:1 gene:Solyc01g088820.2 transcript:Solyc01g088820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSPSTRDFSTNPSQILSSSNVTVAVPSRRLPPPCWSHDETIALIDAYRDKWYSLRKGNLRANHWQEVADDVGSRCPVDPPKTAVQCRHKMEKLRKRYRAEIQRAAPYGGPRSHRYCSAWVHFKRMDMMERGPNAVSPPPSEDEADEDVEDYHQNGVKLVGDLYGNNVHAGNRSSFQGVVSNGGGGFRIRIPGMPGLAPPMAKPYSRFEEARVETPSNFGSSQMFRDGFVKKADLGKRVVGEGVREKKKEDPMVEMVAAIKVLGDGFVRMERMKMDAARELERMRMEMEMKRTEMILESQQRVVEAFAQALSEKKYKKAKRMPTPEC >Solyc09g065885.1.1 pep chromosome:SL3.0:9:64399296:64402399:1 gene:Solyc09g065885.1 transcript:Solyc09g065885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAIAEGNDVTALWTAKHPPKIRYKSPNAFWKKKDGTNMQHNVPPALNPREVHKSIGGNDHTGVGTHAHGYGGLHGSHNCSGSNITADMCVHGYRSTGLCSHGGADQGPGEKRYFLGLEIARNKGGIMVSQRKFALDLISDFGLAGTKPVGKPLEVNQRLTSQDFDMSYEAQDKHEDIVLDDPTAIKN >Solyc04g005450.3.1 pep chromosome:SL3.0:4:310728:314142:-1 gene:Solyc04g005450.3 transcript:Solyc04g005450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BNF1] MIPFVTFTLLILFSLISSSSSSQISVSVTPKTLSKSGDFVTIKWTGIPSPSKLDFLGIYSPPSSLHDNFIGYIFLSSTSEWESGSGSISIPLVNLRSGYQFRIFRWTESEIVPDLVDHDHNPLPQTKHLLAVSEEVGFVSGRGPEQVHLALTGFEDEMRVMFVTPDGKESYVRYGLTRGRLGRVVKTRVVRYEKEDLCDAPANSSIGWRDPGYIHDGVMHNLKKGKKYYYQVGSDSRGWSTIFSFVSQNRDTGETFAFLFGDMGTATPYLTFLRTQEESKSTIKWISRDIEALGNKPALISHIGDISYARGYSWLWDNFFTQVEPVASRVPYHVCIGNHEYDWPLQPWKPDWSSYGKDGGGECGVPYSHKFHMPGNSSVPTGMHAPATRNLYYSFDSGPVHFVYMSTETNFLPGSNQYDFLKHDLESVDRVKTPFVVFQGHRPMYSSSSGTKDISLRKRMVEYLEPLLVKNNVNLVLWGHVHRYERFCPLNNFTCGSLALNGKEQKAFPVQIVIGMAGQDWQPIWAPREDHPTDPIFPQPLQSLYRGSEFGYMRLHATKEKLTLSYVGNHDGEVHDKVEFLASGQLLNAGIRDGPADTVHMESNFSWYVKVGSVLMLGALMGYIVGFISHARKNSADNGWRPIKTEVI >Solyc03g120850.3.1 pep chromosome:SL3.0:3:70581833:70587286:-1 gene:Solyc03g120850.3 transcript:Solyc03g120850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFTSVCSISSTSQTVEKKIINSCETLSSFSGISLTSLGGRRKNNVLKKRNDSKIQAMAKELHFNQDGSAIKKLQRHFLAFKIQAGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAREVELEDPVENIGASLVRQAASKTNDLAGDGTTTSVVLAQGLITEGVKVVAAGANPIQIARGIDRTTKALVSELKKLSKEVEDSELADVAAVSAGNNYEVGNMIAEALSKVGRKGVVTLEEGRGSENNLFVVEGMQFDRGYISPYFVTDNEKMVAEYENCKLLLVDKKITNARDLVNVLEEAIKNGYPILVIAEDIEQEALATLVVNKLRGALKIAALKAPGFGDRKSQYLDDIAILTGGTVIREEVGLYLDQAGSEVLGNAAKVVLSKDSTTIVGDGSTQDAVSKRVAQIKRLLEEAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVDEGIVVGGGCTLLRLAAKVEGIKGTLDNDEQKIGADIVKRALRYPMKLIAKNAGVNGSVVIEKVLSNDNPNYGYNAATGNYEDLMAAGIIDPTKVVRCCLEHAASVARTFLTSDAVVTEIKVPEPAVAGNPMDNSGYGA >Solyc12g035524.1.1 pep chromosome:SL3.0:12:41698899:41711835:1 gene:Solyc12g035524.1 transcript:Solyc12g035524.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMPIKHLHCGSVKFFEMISTFDFDVGTRFSLPKKDGLEKCTHFRSLIGTLQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKCFHRYIFGTLGRGLLIRPEDLELRGFSDSDWANDKNDRKSTSGIWGNHEVYKVSILKNRIVLAHFATIEGKNVLLQGGIYHFDSKPVIVKAWNPDMEFSKEVLSTFPIWVKLPDLEFKYWIMKGLSKIGSLICKPVMVYNHTENKMGLSLARLPIEVKMDPTLPEKVVLISRTAQPVTCHVNEMTLKASYLLTVANAFNTQDEKRSLWEYLEELSLGVNMPLIVTGDFNSVLKFEDRVGGN >Solyc02g014530.3.1 pep chromosome:SL3.0:2:17411857:17417213:1 gene:Solyc02g014530.3 transcript:Solyc02g014530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSLDLKIQDWKKMIKHVLLLCLMIHCTIADPKAVVPVPVPPVPVSVPPLPKHAWLTLHGDEPYVVANGGFSGLYPPQTELAYSQSIIFGKGGTVLLCDLHMSRDGHGFCLSQLNLQNTTNAADAFPNRKKTYIVNGKELQGWFALDLTSNEMFDKLIVTQSIFSRTDLFDFLSPYPTDIYLEENRNALLWINAEYATFYDQHKLSLLDHVKHIVETKKDITYISSPEIGFLKSMGPVVHRLKTKLMFKFPIDRNAVEPTTNEPYASILTKLPMIKTFATGIVVPREFIWPVNKARYLEPSTNLVADAHKQGLEVFAYGFANDNFLPHNYSYDVQREYLQFVDNSKFAVDGVVTDFPTSASTAIACLAGSKNASRKVPSKKMNLCAMKELTLIISANGANGEYPGSTDLAYQKAVDDGADIIDCSVQMTKDGVAFCLPSADLIPTTTASGPFMSRAVKVDSIQSSMGIFSFDFKWDEILSLKPQMFSEFNGDLIRDPARKNVGKFVTLSDFLEFAKAKAVPGVLINIENAVYLANKGLDIVAAVTTALSNATLDKQSTQKVLIMSAESSVLDKFKDIPTYQKVLHIKKQVGFVTNETALEIKKHADAVYLHKHSLYTQFRGEGFTLNSTNLIECLHWANVSVYAGTVLNEFQDIYMDFSSDPYSLIHNLIYYGADGIITQYPGTANAYTRNLCTGNLESYRIPDINPGDVITTSLDPKEVEEFKPPPPVHLETKDFVTPPLPPVAAIAKDDDKGSASSNNNNVNAPSSSSSPPEQAQTPSTATAATINIVALLFSTILGLVSLL >Solyc12g055870.2.1 pep chromosome:SL3.0:12:62822537:62825518:1 gene:Solyc12g055870.2 transcript:Solyc12g055870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4DFR8] MVFNKEIARFAVGVIGNIIALILFLSPLPTFYRIWKKKSVEQFSPFPYLATFVNCGLWVLYGIPLVHPHSILVVTINGTGFGIEVVYLMLFLLYSEKKKRIKIFLIIISEIIFLVSLAIFVLTLVQTHKKRSTIVGSICIVGNILMYASPLAIMKLVITSKSVEFMPFFLSLFSFLNGVSWTAYALIRLDAFILVPNSMGTALGLAQLLLYGIYYKSTKRQNAERQAQIEMGPKGVGLVG >Solyc01g106210.3.1 pep chromosome:SL3.0:1:94051785:94055696:1 gene:Solyc01g106210.3 transcript:Solyc01g106210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAALLRSLRRREFATSSISAYRTLASNTKPSWCPSLVGAKWAGLARPFSSKPAGNEIIGIDLGTTNSCVAVMEGKNPKVIENSEGARTTPSVVAFNQKGELLVGTPAKRQAVTNPTNTLSGTKRLIGRRFDDPQTQKEMKMVPYKIVKGSNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKSINKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNSKEGLVAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNALLEFLVSEFKRTEGIDLSKDKLALQRLREAAEKAKIELSSTSQTDINLPFITADASGAKHLNITLTRSKFETLVNNLIERTRNPCKNCLKDAGVSLKDVDEVLLVGGMTRVPKVQEIVSEIFGKSPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLINRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREIASDNKLLGEFELVGIPPAPRGLPQIEVTFDIDANGMVTVSAKDKATSKEQQITIRSSGGLSEDEIDKMVREAEMHAQKDQERKALIDIRNSADTTIYSIEKSLSEYKDKVPKEVVTEIETAISDLRAAMGTENIDDIKAKLDAANKAVSKIGEHMAGGSSGGASGGGGAQGGDQPPEAEYEEVKK >Solyc10g078910.2.1 pep chromosome:SL3.0:10:60689930:60693741:-1 gene:Solyc10g078910.2 transcript:Solyc10g078910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTAKYTPINGGKTLITDLKTHFSFLKTKRSVTCAYGFMFVFILVTFFLAFSPSPNSSSPWFSNIFSLSSSSTSSSSGSTFSDESSRSHFSSVYSYFFPNSSQQLQNFASPRSQNSNSEPLNNDSHDKVEVLETPLKPSVNVPAVAPVSSSGLNQESSNVKDQFKSKGFDDKNGVLENPLKPSVNVSAVGSISPSGLNQESSSVKNQSKSKDFDDKNGVLKSPSKPTVNVSAVAPKSSSGLNQESSSVKNQPKSKDFDDKVGNLKANQSKNPLEKSSASVNQTAKSGSESKEKEIAEKGVKGNFTSSSVKSQSDGTNLDVSTKKKKDEDLVKSLLNCDFFDGNWVKDESYPLYKPGSCSLIDEQFNCFLNGRPDNNHMKMKWKPNGCTLPRLNGTHMLELLRGKRLVFVGDSLNRNMWESLVCILRNSVKDQKKVYEESGRQHFRTEASYSFLFEEYNFRVEFFVSPFLVQEWEYSDKKGVKKETLRLDLIGQSADKYKNADILIFNTGHWWTHEKTSLGKDYYQEGSHVYNELDVLEAFRKALTTWGRWVDSHVNPKRTFVLFRGYSASHFSGGQWNSGGACDHETEPIKNTTYLTPYPSKMNVLERVLKGMKTQVSYLNITRMTDFRKDGHPSVYRKQKFTTEEKKSPLLFQDCSHWCLPGVPDAWNELLYAKILVNQHQKQQDYNKS >Solyc02g082980.1.1.1 pep chromosome:SL3.0:2:47127683:47128561:1 gene:Solyc02g082980.1 transcript:Solyc02g082980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISYKSKSLLFPVRSISLPTRLHPNGLKIEDELHKLKNSETSSSHSGDTIQAGIVGLVELYNSFQELIQCPSTQKTLVQHQNGAFVEEAVEGSLELLDSCATIRNLFCTIKEQVQHLQSALRRKGGNSSIERDIGNYLTLRKKMKKEIGKNLRKLKHMENRVGCTLFLDTEQHFREVTGISRSVFKALLVFLSYQDTKFKPSGWSMISKLMITKSGSCKSSQFFNEMGNVDIALGDLREEIKRNDGEVDVNIARRRLQMLDESIKGFEAGLESLYKQLIQTRVSFLNVLAL >Solyc01g109540.3.1 pep chromosome:SL3.0:1:96367631:96382692:1 gene:Solyc01g109540.3 transcript:Solyc01g109540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSKPPSLDDNIGGSSLAPPFSPPSHFSPNSRPLAPPKPQSDKSDSNDNKTALRIGVAAGAGLLFIVMLVFLISCYNHYYNNTGQRTNNWQTNNKLQSTDQFHKMPPPGSGQVSSEHSWPIAPPPPPPMMSSSDMSSSFTYDDLAVATGGFTKDKLLGQGGFGYVHKGVLPNGKEIAVKSLKSNSGQGEREFQAEVEIISRVHHRHLVSLVGYCIAGSQRMLVYEFVANSTLEDHLHGSGRPTMDFNTRHRIALGAAKGFAYLHEDCHPKIIHRDIKAANILLDENFEAKVADFGLAKLSSDNHTHVSTRIMGTFGYLAPEYASSGKLTEKSDVYSYGVMLLELITGRRPIDMDGDDDTLVEWARPILIRATEGGNYDELIDPRLEGNFDAQQMLCMVACAAATIRHSAKRRPKMSQISAVVSEMAQPLVKKDDDRDDEMDYSPFMGIEKGAVLQEARVFNDPQLDARRCSQVITKLLYLLNQGEAFTKVEATEVFFSVTKLFQSKDIGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSRTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLHQIRQNDRLAVSKLVTSLTKGSVRSPLAQCLLIRYTSQVIRESGISQTGDRPFYDYLESCLRHKAEMVIFEAARAITELNGVTTRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSIDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPDAKEGGLLHLCEFIEDCEFTYLSTQILHFLGNEGPKTSDPSKYIRYIYNRVILENATVRASAVSTLAKFGALVDSLKPRIFVLLKRCLFDSDDEVRDRATLYLNTLGGDGAVVETDEEVKEFLFGSLDVPLTNLETSLKNYEPSEEPFDIYSVPKEVKSQPLAEKKAPGKKPTGLSAPSVAPTSTVDAYERLLSSIPEFASYGKLFKSSAPVELTEAETEYAVNVVKHIFDSHIVFQYNCTNTIPEQLLENVSVIVDASEAEEFSEVASKPLKSLPYDTPGQTFVAFERPEGVPAVGKFSNTLRFIVKEVDPSTGEVEDDGVEDEYQLEDLEVVSADYMLKVGVSNFRNAWESLGADCEKIDEYGLGPMEGLTEAVNAVISLLGMQPCEGTEVVPSNSRSHTCLLSGLYIGNVKVLVRLSFGVGGPKEVAMKLAVRSEDISVSDAIHEIVASG >Solyc12g057073.1.1 pep chromosome:SL3.0:12:64089110:64091609:-1 gene:Solyc12g057073.1 transcript:Solyc12g057073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYLTKSRALTFLVEESIHNPIQYQSPSKFNKLIRSHNSNASIVYINNRVWFFGVEPLYTLWLFFPQARPKPLQILVINMFDKIIAEAFLALSVSWRTNLNMKSSGFVVLKKVGRSLKRTSFMPGTPISCAAAKVKLMIPSETMHVTGGTFDVLLVPLSFASRSLKGAKQVSVVDLHREGMSCVASASQVGSPSGIVSNRNDDRPSREWGPRDLRRRLWLNSVLTKVM >Solyc11g072300.2.1 pep chromosome:SL3.0:11:55783190:55789688:-1 gene:Solyc11g072300.2 transcript:Solyc11g072300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ACI13 [Source:UniProtKB/TrEMBL;Acc:Q3SC87] MATNANSNPDPVMLPEIGPDGIARESPVIAYTEKIIEEEQLQLRKYIAENYSKIRDVEKEFANLSIEMKLTAGPKKAALELMRQKIEMSTERIRVAKLKEEQARKAWEAASQAVKDKEAIKQKLCEDLNSLVLESSNSQLARLEELKKRLEALNPSRASTASDQSPVGSVQNIMAQDVSSVKDTQESSSRSSGNTLKEGNARNGAAENGQNQSHSLDETRAKKKINLQGRAKGIGIIPKGRGSQGPGWTGAGFDVDGRS >Solyc08g059660.2.1 pep chromosome:SL3.0:8:44524655:44527306:-1 gene:Solyc08g059660.2 transcript:Solyc08g059660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTIRKAIGAVKDQTSIGIAKVGSNMAPELEVAIVKATSHDDDPASEKYIREILHLTCCSRGYVTACVSSISKRLGKTRDWIVAIKCLMLIHRLLNDGDPVFHQEIMYATRRGTRLLNLSDFRDEAHSNSWDHSAFVRTYALYLDQRLEMMVFERKQNGDGGEIERYGSREERWRSPPASNNRGYDYGEFRDEPGYGMRKSRSSGDVRESTVQDQKDVTPLRQMEPQRIFGKMSHLQRLLDRFLSCRPTGLAKNERMVLVTLYPMVKESFQLYADICEVLAILLDKFFDMEYEDCVKAFDAYASASKQIDELVGFYNWCKDIGIARSSEYPEVQRITSKLLDTLEEFVRDRSKATKSPERKVEPLPTPSEESPPDMNEIKALPPPEDYTPPPPPKPESPKPAAVVQETGDLVDLREEGVTADDQGNKFALALFAGPVTNNGSWEAFSSNGGPEVTSAWQNPAAESGKADWELALVETASHLSQQKATMARDG >Solyc04g081150.3.1 pep chromosome:SL3.0:4:65256625:65261499:-1 gene:Solyc04g081150.3 transcript:Solyc04g081150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:Q6LB28] MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc09g030370.3.1 pep chromosome:SL3.0:9:32084941:32087746:-1 gene:Solyc09g030370.3 transcript:Solyc09g030370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIAITCFAFILLVQHCIIINAKSVPKFPAILIFGDSTVDTGNNNYISTIFQGNHRPYGENFPGRIPTGRFSDGKLVPDFLASMLGIKEYIPPFLQPDLSNNDLLTGVSFASAGSGYDDLTTTASKVIPMSDQIKYFEQYIQNLQLIIGEEKAQKLVSRALIVISAGTNDFIFNFYDIPTRRHQYNITGYQDFLQSLLQNFVEDLYNLGCRNMLVAGLPPVGCLPIQITAKSPFLRKCIKEENFDAQSYNVKLATLLKQIQDALLGSNIVYSDSYHPFMHMINHPKKYGFLKTRRGCCGTGTYEAGPFCNKHHPVCKNASQYLFWDSIHPGQSAYQHLSHIAMKKLRHHKLSH >Solyc06g043350.1.1.1 pep chromosome:SL3.0:6:28732444:28732602:1 gene:Solyc06g043350.1 transcript:Solyc06g043350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVREPPEVACWPLDKRQQGNTKEINIEQQTLPSNSSKTSGNSSLNEARNS >Solyc07g045340.3.1 pep chromosome:SL3.0:7:58569637:58573685:-1 gene:Solyc07g045340.3 transcript:Solyc07g045340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGQPLPKFGEWDVNDPSSAEGFTVIFNKARNEKKTGGKVDSPPKGDSAYKNKATLGKPQSKKWFCCMQSTAAES >Solyc06g065800.3.1 pep chromosome:SL3.0:6:41351356:41357439:-1 gene:Solyc06g065800.3 transcript:Solyc06g065800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFLRASRRSLLSCCGILYSHGQQSSLNSSKHIFQFFRSHASQAIQKSPFESNILRILRNEIEYQLDYAPPHPPVTKFNTFMVEDRPGEQWVTLRRKFGEDEHIKIEATMIDGAITIPKGNDENLGEDVRLHISVLVDIWKGEGSDFLEFVCSSWPNSLEIQKVYLLRSDSSRAQPYMGPNVKDLNSGFRDGLNEFLKARGIGDELSAFLHEFMMNKDRIEAIGWLRKIQSFVEK >Solyc05g006230.1.1.1 pep chromosome:SL3.0:5:896584:897591:1 gene:Solyc05g006230.1 transcript:Solyc05g006230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BWF0] MALPLLSIFLFSSLTLFSLTESKLNIDYYNKTCPQFDKIIQQIVVDKQLAAPTTAAGALRLFFHDCMVGGCDASLLISSNSFSQSERDTDINLSLPGDAYDVVTRAKTALELQCPGIVSCADILAVATRDLITMVGGPFYSIRLGRKDSFESYAKDVEGHIARPNMTMDTIINMFASKNLNVQEMVALVGAHTIGFSHCSEFRKRLFKFSQTSESDPTMNPTYVQALQTLCGNNTKDMAAFNDVMTPGKFDNMYFINLQKGLGLLASDQAMIYDQRTKPFVELYAKDQDAFFKAFTHAMEKVSVYQVKLGKMGEVRRRCDIVNQLLVNPNKKIGG >Solyc02g090960.1.1.1 pep chromosome:SL3.0:2:53054148:53054501:1 gene:Solyc02g090960.1 transcript:Solyc02g090960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPILLFLIIFLFTIGKNAVVVEAEVDRFGLEQVVSEDFELPMGMSGDDEIQLDGNGRSLLWNKFKYYISYGALSANRIPCPPRSGRSYYTHHCYHATGPAHPYTRGCSAITRCRR >Solyc06g043110.1.1.1 pep chromosome:SL3.0:6:29829247:29829411:-1 gene:Solyc06g043110.1 transcript:Solyc06g043110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAFTSMMEGGEFGDSLNFFGVHKIGRTMSKVSVTGGSGKFKMLADSWKFIHLF >Solyc01g008430.2.1 pep chromosome:SL3.0:1:2448597:2451862:-1 gene:Solyc01g008430.2 transcript:Solyc01g008430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENRGSNSKLSIKEGKDADYQVMADNTMNSLNIIDFIITSLSNNEEGNCKNAIHQQPDINGELGNNVITSNACILDNIDHIQKDNNTDNSPKLPISDEKDQNYHRLKQGMPRSKKSRSIIDIYKNTKRLSPPEEEYRHTSTLENHKTKVHNEGETEKDQVNISEEIVPNKIKQNIIHGEFENIGDNRSNLSPKENNDEEDHLNKGISERIMFQSLVNLCEVVVQKEITEERDESETRKDQEDSIDKELPDQQQQNIIGEPELNKVTSEDNLSSKSYHFSNDNDVNCGSKLLARDENNGNYRITQGLPRSTKFRSISNLYKCTTRMSLVEDEYNWNLSRGKDSLEDSNMHCSKKEDSSSKKDFGGKRKCGENQKGDEEKDAMT >Solyc08g007300.2.1 pep chromosome:SL3.0:8:1852765:1855863:1 gene:Solyc08g007300.2 transcript:Solyc08g007300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKSEKKSKNRKKNAVTDDSEVTKGDVKAVEHDSCFKEESLSFTGIRKERDKTKMETQAPGESEGSYIKIKKERGGGKQHGKYSKDGCEDTVNNVLKKKKKLKNEQDILIMHDASLDTKTLAHESASGTHETKAVETLSEGSGGNLIDEMKRKKRKKDKRNKEDGQVDIATGIIQGDVSAIDEMKRKKRKKDKNKKDGQVDSVAGVIQGDVSAIEETEDRQIDDANIRKMKKTKLGHNSKNLTNEKTEKRVRFSDNVQFFHPISDPSNERHENNKQELLLGKYFTQEEDEIVKDAVCRYIEVYNLGDEGFQKVLNSKSYPKLRGCWKEIGKAIPYRPYTAVYHRAQRLFRMGEKRKWTEEEYGMLRKFQGAHGNKWTLLANELGKHPDHVGNAWDRIKLENRKRGQWDQEEVQKLFDLVNTDLQLKLSEERKSRHGMLRDNICWSSISDNLSTRISHHCCNKWYRQLTSSMVVAGEWADTDDYRLIAALFELDASCIEDVDWDNLLSHRHGDLCRKRWKEMVRQISQHENKSFDALVEVLAKRYRPDLVGAREVWDSKPLVP >Solyc01g108330.2.1.1 pep chromosome:SL3.0:1:95574186:95574990:1 gene:Solyc01g108330.2 transcript:Solyc01g108330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTPAEYPLQQQLPPPMFMSQQAYSGRPGHGSVGPVIAVLAVIAVLGAIAVMIGRLCSGRKIMGRGQYDFEGWVETKCASCIDGRVDPIPRPVAMAPPPVVVAESSSNGSPGGSAPVSVPETVETREEEASNQQSNLHEHHSHERTES >Solyc04g007460.3.1 pep chromosome:SL3.0:4:1123505:1125788:-1 gene:Solyc04g007460.3 transcript:Solyc04g007460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPLLPGPPISTTVTTAASVAGTMPQPTPPTASHINYANSVDSSPKSRKTNSWDEQQQQPPHAGGGGGSNVKIRLMCSYGGHIIPRPHDKSLCYIGGDTRIFVTDRNTSLSDLSSRLSKTLLAGRPFWLKYQLPNEDLDSLISVTTDEDLENMIEEYDRVTKASRIRVFLFTSEFDSVSSIGSLLQSSTKSEDWFVHALNGATSTSTTKVFSESSSVNCLLGLDDDVGNCNVKSVDGQLEGSFGAKNVKISAHDVQSVPDSPMVETTSSFGSTSSTPSLTSLPPIKVHVEENQRIGIEGQFSQLGVGGKVEQKQEERGFMGLTSPPAPAAPVVGTVYSGVPVVVGGDYSNRIFSDDERSEQGVTAGYRNPVQTQPQPQPQQQQPKLVLPSDLPSPSSVSSESSVMSGQRHFFYQEPVGQIHSGNNRVSANSVDMKQSDPNNRAQVQQQQVQEAGYAMSVKYDQHQQMYQPQQYVHASQYIHHTPSGSVPVTSYYPIYPSQQQTHPPHPALEHQYPVYIVHSRQPSQAYNLPVQQTNYSESAQTNVPSNQPQTPPAPSMAAPAAAYNHPGNPPASKPEMIAGAYRTAAAGTPQLVQITSGQHQQQYVGYSQIHHPSQPIAPTSRATANYAYEFSDPTHAQIYYSQAHAPQFATQYQTMTSSPAVGLHSTSSQLPTEKNQPTN >Solyc08g074240.3.1 pep chromosome:SL3.0:8:58476718:58479886:1 gene:Solyc08g074240.3 transcript:Solyc08g074240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S6 [Source:UniProtKB/TrEMBL;Acc:K4CME5] MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDSLGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLYRGTPCFRGYGRRNGERRRKSVRGCIVSPDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRNFTTKTGKNASKAPKIQRLVTPLTLQRKRARIADKKKRIAKAKSEAADYQKLLASRLKEQRERRSESLAKKRSRLSAASKPSIAA >Solyc07g019520.1.1.1 pep chromosome:SL3.0:7:11846422:11846652:1 gene:Solyc07g019520.1 transcript:Solyc07g019520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWLLLALPPKNRMCEFPRIRLKWRRPFPSRLVSASLYPILQLAYRLEPSFDRDCSSLLATLFRHPRSKSAPAKIS >Solyc02g069300.1.1.1 pep chromosome:SL3.0:2:39805061:39805609:-1 gene:Solyc02g069300.1 transcript:Solyc02g069300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNGVALSLVSLAFVLFASSQINAQVDPAIAKVSPYCVKAMDKPFCTEVVKVADTWQEAITEVLSETIMQTKLAEPIMASLLTSLTPSDAKLKDEIGTGCKKSYNDALETLKEIEELLKTGDKTKSANIKFSSAMSRLDDCEDEFKKVQMPIEFATFYQGSKKLLSTCLAVERTRPDLLN >Solyc06g007540.3.1 pep chromosome:SL3.0:6:1519353:1524228:-1 gene:Solyc06g007540.3 transcript:Solyc06g007540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQPVKLKAVVYAISPFQQKIMPGLWKDLPGKIHHKVSENWISATLLLGPLVGTYSYVQNFLEKEKLEHRY >Solyc05g025790.3.1 pep chromosome:SL3.0:5:36740579:36743185:-1 gene:Solyc05g025790.3 transcript:Solyc05g025790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALILHLKKQVADMKAECRPPKEDIIMHNESPDNLCIIVSREMKMIESKMKNEQTL >Solyc03g044930.2.1 pep chromosome:SL3.0:3:11098099:11100701:-1 gene:Solyc03g044930.2 transcript:Solyc03g044930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGKLIASKEVKCGEHLIHNLFLTNSHHIPYISPSKINHIEINEGEIGKIGTIMNCKYNEDGQEKIIKYVIEAIDHHTNSISRKVIDGDLLELYQSFTFVSSCQNQWATWTIEYEKKIEDTPEPLIFLDFILDMTKDIESHLLKK >Solyc06g053670.1.1.1 pep chromosome:SL3.0:6:36601011:36601730:-1 gene:Solyc06g053670.1 transcript:Solyc06g053670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKAGNIFYLTLTGNDEHRLNPTLIATLRSTLAQVKSQSVKGSVLITKADGRFFSNGFDLKYAQAGGSAKASIDRLRSMVDSLKPVVADFMSLPIPTIAAVTGHAAAAGLLLAMSHDYIMMRSDKGVLYMSELDIGMTLPDYFTAMIRSKIGSAVARRDLVLKTSKIRGEDAVRMGMVDSAHGTAEETVDAAVQLAEELGKKRWDGKAYAEIRKSLFPELCGILGLKDVEVLPSHL >Solyc11g039860.2.1.1 pep chromosome:SL3.0:11:41861593:41861709:-1 gene:Solyc11g039860.2 transcript:Solyc11g039860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIGWVEAPLLPKLRGYFAEFLRESCLAPLGILYLPTC >Solyc09g057515.1.1 pep chromosome:SL3.0:9:49664310:49667492:1 gene:Solyc09g057515.1 transcript:Solyc09g057515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVWYWALVVRGVSYHIIVERMQQPRIQQLDNNGELRKAHEDHHRTSIDGSSFSWRATRQSSSAPITSRRCRHQLSHSLGYCKNGSSFRFLHGGGPCEGEVGSP >Solyc02g005360.2.1 pep chromosome:SL3.0:2:8278228:8281638:-1 gene:Solyc02g005360.2 transcript:Solyc02g005360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNNDFIDWVRAHILVLASQGRTADELISLYVGPEPLNSGVLVRVDDSDSNKEYYGVLDDIYELRYVGNRKLHLFKCHRWNSEQVFYLKDMIDKDWLVVVKTNPRDLFNMPEVEESIMNEEAYQQEEVECNTLCPNDNEPDIHVSLHMDDVEPQTILRTNDLENKEDNFINENHTDVSQSEEIKEQLLDDNDGEDSKGNSGKDGVGCGRGNFRERECFGTSSQPPIQQTRVVEVSLETGQTPNPSQGHQEAEQISSDHLQRTSPAIESSRNLEESIRPSQEVEIGAVSRIHKKRERGKYKSIIVDIKTKYGGKIKVIIPDDIDRVVGSGARDIVNYLGLIMRSSISFQDNNWQDIVSKHGESSGIRSREIHHREKKTHKVWEIQHTRISVGGERIWLDTQSLPIHSQLEQLVVEQQSKEIKNRMTRDEILSSVLGERSGYIHGKEYGKKPPKKTQIQQAYIEASMSSAMESMHQEMQADMDRKLQEEREQMAADLKRSMEEDLQKNWKKSVNT >Solyc03g031550.2.1 pep chromosome:SL3.0:3:4067105:4075369:-1 gene:Solyc03g031550.2 transcript:Solyc03g031550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQGKSPVIIFIFQVIKCRDNSSPPAFYCPNTTYTSNSTYSFNLKALLSSLASNSWRPNGFYNTTSGNTGSDIVYGIFLCRGDVAPDVCQNCVSTAVKDVTSESYCPNGKLVVLWVDECLYTYELYPFYNVSASTPPSSSPTSNDRVATTNFSIDNKIGGGGFGVVYKGKLLDGQEIVVKRLSRSSGQGIEEFKNEIVLIAKLQHRNLGYCLEGDEKILVYEFVPNKSLDYFLFGPEKQQLLDWSRPYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDA >Solyc09g020060.2.1 pep chromosome:SL3.0:9:18371052:18373360:-1 gene:Solyc09g020060.2 transcript:Solyc09g020060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTDIADYANEFVPFQTYLLSGAFVSESIKAYGIPLHQFSWTIDKGKIVEPIDKVIPPEPPLLPLTLLKSTSFDNFDYQAIGFEFDILALVINGSPPSYASNGSRIQEFIIIDYDQNSSSSYDQKEYPSIRQGIINFRRKPTKLTLWEEFIDLYGNKLLKHLKELQEFPVIIARTVAKSKSSSGLSNRFGTTNQIDPPYPQAIALKTWYSKYTSP >Solyc07g054690.1.1.1 pep chromosome:SL3.0:7:63056228:63057649:-1 gene:Solyc07g054690.1 transcript:Solyc07g054690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLQYPEGINPADVQIWNNAAFDNGDSADFSSLKRSWLTDSFESDVSSKENQTPSFENSSSVNLSVSMPFKPLNPNGAMENSRLKLNKSISKQSVDEMSMTSRKSGKDSDFRDEKRIDEEIEEIEKEISRLNSKLEALRFEKAEKSFKIVEKRGRVVPAKFMEPKMSVKIGEEKKKIDESSSMSAKTKVQTRRGLSLGPSEIFAGTRSRGLSMGPSEIFAGTKAGTSGKQGMITPIQQIQNRRKSCFWKLQEIEEERGKTSSLSPKSRKAAARTMTSRQAVTTIASKKNLKKDDAFLSSVQPKKLFKDGEKSVPASKKPQRPGRVVASRYNQSMNQSSVVRKRSLPENDKDETKRNEKKRSLSVGKTRVSQTENKNLGTESRVKKRWEIPSEIVVHASTESEKSPLSITVKPDLLPKIRIARCTVSETPRDSGPAKRVIELIGKKSFFSSDEDKEPSVCQVLSFGDEDAEEE >Solyc06g074060.1.1 pep chromosome:SL3.0:6:45924158:45924560:1 gene:Solyc06g074060.1 transcript:Solyc06g074060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMILCIFLFSMQAQSSDQYSHGKSAMLRDHFIHNRKITKNGKRISQDAGELREAPMSPDPLHHHGGLPRNIMP >Solyc04g056745.1.1 pep chromosome:SL3.0:4:54814916:54842025:-1 gene:Solyc04g056745.1 transcript:Solyc04g056745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLKSANLVSFFMGLYALEFKASVLIKIKTTRDILSTPHPSVELWYLNVLSNCKSQTTTKSGEVQNIIPQHRAVGIQLVKPLYGLSFEVGGNLVVLCHDSGVKGCWFKSKAIHVSQKYLKVQYDEWITSYGIGECEKMGFRYTLHITVKTQPLEDYIEIEVVDDAWWSDGKVKLKMTVSIDINLAQSPSYHPPDHQTSSTTHTSRNSQNNPPEVGATCEVPVVKVPWPFQDHHNLECHRIELSDVTFVILTTYIEVQQDEFQPVICLKILFVTSGKFNFPYLVNTPRSQKRISALIDGLTSDEDSENITREDPSMQGPSGSRSVVASGHSSIGTIIAGNQIAKFKPPPTQAKNGLLPTELEDMKNWASDTHGTSQFRPNRWGIILSIL >Solyc04g080130.3.1 pep chromosome:SL3.0:4:64470793:64473987:-1 gene:Solyc04g080130.3 transcript:Solyc04g080130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIEKSAEESIIQQNFPVKRKRNLPGNPDPEAEVIALSPRTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTSNEVKKRVYVCPESSCVHHDPSRALGDLTGIKKHFCRKHGEKKFKCERCTKKYAVHSDWKAHMKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARSHQPLMEDTNAEGDLKVDEAINKTVSSSSSPPPQPLTPSTSVLSPVLSIQSSELPVEYQNQGGVQIQQAQGTTTPVSTTPVITISTAAASGPSSSKVFASVFPSSSSAQHVNSSYSDILRAIETEQVMAVEPISLSLSSSLYLSNNRASLFQEHTGGHGHGHGQHYGSATMQPALSATALLQKAAQMGSTTSNNSFLRGLGLAMSPSTSTPEENSLARRTNALGHVKLESNINAEVPGLGIQLHGHSGFTDLMMGPSISHQTSMMFGSKPPTLDFLGLGNGASGTPSNGFSAFLSSMEDGFGVVAEPWDDPSDRKPAML >Solyc03g043660.3.1 pep chromosome:SL3.0:3:7162851:7189374:-1 gene:Solyc03g043660.3 transcript:Solyc03g043660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVVEEESIIMENLCMDIDPPFKENLATAEDWRKALSKVVPAVVVLRTTACRAFDTESAGCSSATGFVVDKRRGIILTNRHVVKPGPVMAEAMFVNREEIPVYPIYRDPVHDFGFFRYDPGAIQFLSYEEIPLAPDAASVGVEIRVVGNDSGEKVSILAGTLARLDRDAPQYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKLSSASAFFLPLERVVRSLKFLQEGGYHSTNTWAAVTIPRGTLQVTFLHKGFDETRRLGVQRETEQLVRNSTPPSETGMLVIDSVVPGGPAHNQLEPGDVLVRMNGEIVTQFLKMETLLDDSVEQKIELQIERGGTPLTVDLLVQDLHSITPDHFLEVGGAVIHALSYQQARNFRFNCGLVYVSEQGYMLSRAGVPRHSIIKKFAGEGISRLEELISVLSKLSRGARVPLEYIHYKQRHQRKSVLVTIDRHEWYAPPQIYKRNDRSGLWTVKLALQQESPLLVSGIYPVENHAESCTSEVSPKDYRPEQVSQESTDGLTSMEISGELVAEGPNAQDDSDNGTKKGRVEENSSEDGSVVADCSLNECREERLNESESVENAVLRDYHVAAPVEATSVAERVIEPTLVMFEVHVPSSCMLDGVLSQQFFGTGVIVYHSQTMGLVAVDKNTVAVPVSDVMLSFAAFPIEIPAQVVFLHPFHNFALVAYDPSALGAAAASVVRAAELLPEPALRRGDSVFLVGLSRSLQATSRKSIVTNPSAAVNIGSSDVPRYRATNMEVIELDTDFGSTFSGVLTDECGRVQALWGSFSTQLKYGSSSSEEHQFVRGIPIYKISQLVDRITSGTEGLPRLINGLRRPMPCIRILEVELYPTLLSKARSFGLSDAWIQALVKKDPLRRQVLRVKGCFAGSKTENLLEQGDMVLAINKQPVTCFRDIEDACQSLDHCTQDDGKLDLTIFRQGKEIELLVGTDVRDGNGAKRAINWCGGILQEPYPAVRALGFLPEEGHGVYVARWCHGSPAHRHGLFALQWIVEVNGKPTPNLDAFVDVTKTIEHGEFVRVRTIHLNGKPRVLTLKQDLHYWPTWELRFDPETAMWRRKVIKSLDSDVL >Solyc03g046270.3.1 pep chromosome:SL3.0:3:12124664:12130625:-1 gene:Solyc03g046270.3 transcript:Solyc03g046270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPCGKKLSNNASQRTPKVFILLALTLIILGIIPLHYTSKWYNATNLSNHESEKSSTYHIEDMEIKIMDDEACDISIGEWIPNPDGPYYTNTTCWAIHEHQNCMKYGRPDIDFLKWRWKPKGCELPIFNPFQFLDMMRNKSLAFVGDSVGRNQMQSLICLLSRAVYPIDESISPDENFKRWKYVDYNFTLATYWSPFLVKMKEADADGPTKTGLFNLYLDEADEKWLSRIEEFDYVIFNGGHWFTRCSVYYENNQLVGCRYCGLPNVTDLPSTYGYQRAIRTVLRSMNKLENFKGITFVRTFAPSHFEGGEWNKGGNCVRRKPFRSNETSLEGLNLELYTIQVEEFKAAEKEGKKKGKRFRLLDTTQAMLLRPDGHPSRYGHWPNENVVLYNDCVHWCLPGPIDSWSDFLLHMLMFEGRRSHEEKLQFMKQYS >Solyc02g088630.3.1 pep chromosome:SL3.0:2:51293473:51298711:-1 gene:Solyc02g088630.3 transcript:Solyc02g088630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHFSPSMRSVTISSSSNGGYGDLMKIKVAARHFSYRNVFHTILILAFFLPFVFILTAVVTLEGVNKCSSIDCLGRRLGPSLLGRTDDTGVSKLHSTFSYCHFSPILCKLLHGIPFLTQVYAVVCLLLFWQKLVKDFVKILNQVNTEEVPDGLKLPESFSQLVAEMKNNKYSAKEFALVLKGMMEKSEREIRESKFAELTNKHFAASAIPKGIHCLSLRLTDEYSTNAHARRQLPSPELLPLLSDNSLQHFVVATDNILAASVVVNSAVQSTLKPEKIVFHVITDKKTYAGMHSWFALNPVTPALVEVKGVHQFDWLTRENVPVLEAVESHNVIRKYYHGNHVTGANLSDTTPRSFASKLQARSPKYISLLNHLRIYLPELFPNLDKVVFLDDDIVIQRDLSPLWDIDLSGKVNGAVETCKGEDEWVMSKRFRNYFNFSHPLIAKNLNPENCAWAYGMNIFDLRAWRKTNITNTYHAWLKENLKSNLTMWKLGTLPPALIAFKGHVHPIDASWHMLGLGYQSKTNIDNVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKFVNSSNDFISNCHILE >Solyc09g010917.1.1 pep chromosome:SL3.0:9:4256989:4259250:1 gene:Solyc09g010917.1 transcript:Solyc09g010917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVWDMTQLVMTIKSLKFNYPGMEILALKSDEVYGEIQLLEPMMENVKGFTNHGVSILGGMLCFYYTHAPSNSRETTLKLWVMKDYSVKGVLDTIIYTIQDNLSSISKSSISAYLKGLTKENIVCACSTFELGLYMVVTDSSLTLRFG >Solyc12g036510.1.1 pep chromosome:SL3.0:12:47144431:47145369:1 gene:Solyc12g036510.1 transcript:Solyc12g036510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWKDLIGKVVMVTESSYGIGIEFCLDSAKTVCRIIASAHRVDSLKTLLLDAFGRINVLINNPCVRGNVYNSLDLPEEEWEHTYKTNLRGAWLVSKYVCRHMRDSKQGGSSIINIPSIAGLNRVLISGGLAYASSKMALDMVTKLIIQVIQWQMMALELEVDNFRVNSISPRIFKFEITKTLMEKEWFNNVTVSTIPLRTLGTTYPTLTLTVGYLIHDSSEYISGMYSLLMLEQP >Solyc12g036910.2.1.1 pep chromosome:SL3.0:12:48927217:48927702:1 gene:Solyc12g036910.2 transcript:Solyc12g036910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRALWVRAVVASWSCSYLLIIPRRYAGVDVPLQLLMLLVSSPDKCASGGAAISVIYCCCFSRSFRRLLMLLSLAFTGGLSRPVVVVGRLRWCQGGEKKGDEGRGRLLGDGGEKGEGQAWRGAVREAAGGCLEEERRGGWRREERRGGLRLLFFLEKFIPPL >Solyc11g013670.1.1.1 pep chromosome:SL3.0:11:6939534:6939908:1 gene:Solyc11g013670.1 transcript:Solyc11g013670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCERGEIVMIDGERFLLPSESKNLNSKSKGKSKRRGSSSNTQKKLQQKEKEEDLEQQKKQGRGRLAKNKEDGAKNVMVQLQHCQQRRQTISMRGISRARKTGVDPGAVLLKDLRLSRRRKTIKD >Solyc08g014155.1.1 pep chromosome:SL3.0:8:3883743:3889885:1 gene:Solyc08g014155.1 transcript:Solyc08g014155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNIAVTRHVWPVIKIQRGGFNFSTSLFPANALSWKQSHTFLSIHLRILYDDLCKLRSCDLLLQAKHTVTDEDKS >Solyc01g059810.1.1.1 pep chromosome:SL3.0:1:68469340:68469675:1 gene:Solyc01g059810.1 transcript:Solyc01g059810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEVTQLAEVDIDFSSGEGAMVEETKEDGEEMDIDFSSAKGMVTGKVDEEMKEAGVDVDTNNPMEMTKEERFGNHLLHNSNPALIGMTVVNDRLSYTYQSGPRYHHNQII >Solyc01g009840.2.1 pep chromosome:SL3.0:1:4273266:4274481:-1 gene:Solyc01g009840.2 transcript:Solyc01g009840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHNSPSLVPERRTVYVMVQMRCEVVTAHHLPNNQWDDDAAELISLLCVEAIGSRNVTGVNQLIARLGRLAYLRGSPVSLLTAHFTEASALRVAGFGLKFSYYTHSGSRFTVLRLLNQVRPISKFIHFTSNEIMVRAFEGKDQAYIIDFDTEQVLQWPNLFQSLVSRHKPPSQIHVRITGIGESKQDLGIDLWMHHVKEGENVAGELFFLGFLEGLFGALIRNINPTIIVMAEQKAKHNEPILEAVFEFIVFHWTALVGFKTEELFARDIRNIIAREGRDMIERHEYFGKWRKLMEQGGFQMHRDYRKGTASESNSVEDVLI >Solyc03g083970.3.1 pep chromosome:SL3.0:3:55350064:55353355:-1 gene:Solyc03g083970.3 transcript:Solyc03g083970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFRRFDIIDYSSSPSFFTPKTLLLNPYFHIEDELDCTLDLICPKPYAPNTFLDLENFDSITDLIQIETTPFYSTTRRVQHRIGLGTELQCLSDRVTALERMLTEKKKKNKIGERKYTWTAEIKSPEKDGVDRKYKWIAEVKDGKKKGALDKNYKFSAEIKGKGDDSRSYSFKASNVTDSDSDSDESQKKEKKDKMKKKKKSVGCTRLVEIEEPTHHGALVLRQVFAKRVEKRRGKRKELSPQDAALAIQMSFRAYLIKRSQALRALRELAIAKTKLKELRALFNNFTYRRRVARDAEERQRFSEKIIVLLLTVDAIEGVDMMVRSAKKSIVDELEAMLDVIDPQPGGRPLSVARRRTFDMPDGAIQKELAAGVAQVVRMLDESNGAETFEGCL >Solyc10g079330.1.1 pep chromosome:SL3.0:10:61019500:61021582:-1 gene:Solyc10g079330.1 transcript:Solyc10g079330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D2H4] MATKYEVVVVIVPFPAQGHLNQLLHFSSLISSYKNIQVHYVSTKIHTKQAKIRAHGLLNPSGSTSSNNIIHFHEFSTPLFPSPPPNPNSNIKFPSHLQPSFESSYHLRSPVASLLRSLSSIARRVVVVHDSLMAYVVQDFTSLPNVESYNFHSVSMASQRKYSKSDSGNIYNTSRVIEGKFMNLLEKEPIKRNKTQWAIGPFNPMKIISHTSIDHHHHHRHHRHKYLLWLDKQSPKSAIFISFGTTTSLKDEQIEEICIGLEKSGIKFIWALRDADKGDIFSSEMRKIELPKGYEERIKNKGIIVRDWAPQLEILGHLSIGAFISHCGWNSCLESLSMGVPIIAWPMHSDQPRNSILITKFLKVGINIFKNWERSRNEVVKSNIIEHVIMTIMLNNTEGNEIRRRAAELGVVIRRSVVEGGVTRKELDSFIAHITR >Solyc06g005480.3.1 pep chromosome:SL3.0:6:488550:493008:-1 gene:Solyc06g005480.3 transcript:Solyc06g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNERRFPVVVNGGGGGGNWLNRGDGVGSGGCYSHESEPDLAAMVSDFLESSSAGAESRCSSDNDSGYSDLALLADTISLYKNSVDRYESDLTMVVHSLILSMTESFHNGKPETCNASCIRSYLVKLLQSCGFNADMCAIKWQGCGKIPGGEHEYIEVISHGNDGCSERYIIDLDFRSHFEIARAVKSYNVVLSCLPPVYVGTVTKLKLYLQAMVEAAKCSLKQNSMPLPPWRSLAYLEAKWESSHKVANVQVQSSVSSSNSSHRHCTELLWRIKSCIGSEIKAKGFVVLKNCRKRQGLKIESSHSSPVTP >Solyc06g072407.1.1 pep chromosome:SL3.0:6:44806734:44807829:-1 gene:Solyc06g072407.1 transcript:Solyc06g072407.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEIQKNKVYQDVKCWYKSTLFVSSWNVGGIAPPNDLNMEELLDTRNNLADIYVLGSTKAPDGLHFAYAATLRVAVPCKCIIICAYFNGTERGGANT >Solyc02g071580.3.1 pep chromosome:SL3.0:2:41540887:41549001:-1 gene:Solyc02g071580.3 transcript:Solyc02g071580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHIGLWPESKSFSDEGIGPIPKSWKGICQSGDAFNSSNCNKKIIGARYYIKGYEQFYGPLNRTLDYLSPRDKDGHGTHTSSTAGGKKVPNVSAIGGFASGTASGGAPLARLAMYKVCWAIPREGKEDGNTCFDEDMLAALDDAIADGVDVISISIGTKQPQPFDQDSIAIGALHAMKKNIVVSCSAGNSGPAPSTLSNTAPWIITVGASSVDRKFLSPIVLGNGKKFMGQTVTPYKLKKKMYPLVYAGEVIISELLQKHFRQCLPGSLSPEKAKGKIVMCLRGNGTRVGKGGEVKRAGGIGYILGNSKANGAELAADAHLLPATAVDYKSGVQILNYISSTKSPVAYIIPAKTVLHAKPAPYMASFTSRGPSAVAPDILKPDITAPGLNILAAWSGGSSPTKLDIDKRVVEYNILSGTSMSCPHVGGAAALLKAIHPTWSSAAIRSALITSAELQNNVGEQITDASGKPADPFQFGGGHFRPSKAADPGLVYDASYQDYLLFLCASGVKHLDKSFKCPKKSHSPRDLNYPSLAIPNLNGTVTARRRLTNVGAPKSVYFASVKPPLGFSIEISPPILSFNHVGSKKTFTITVKAHRDMMHRIPKDQYVFGWYSWNDGIHNVRSPIAVKLA >Solyc10g074960.2.1 pep chromosome:SL3.0:10:58737522:58744952:1 gene:Solyc10g074960.2 transcript:Solyc10g074960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLFQSPMLSSSMGELKVSMLRNRHQMLLQTNLLKENRLSDLKMLFF >Solyc01g006010.3.1 pep chromosome:SL3.0:1:670648:678467:1 gene:Solyc01g006010.3 transcript:Solyc01g006010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKLEQASSRIQTASSSATPLSGPKISMFANKTGFVIPKNKLAGSLVPVFRVGKKEASDSVNEDSTKQVQRKTKWGPDLTQDTTVRKARALAYQSRVDQITQLLSSRGLEGEGSKDSLLASPAKHHESSDHQPNDENVRSLEHEKREIIGEILKLNPSYKPPAGYKPLPKEAKIPVPIKEHPGYNFIGLIFGPAHKQLEKETGAQVKVYGIKADTGEKVEVTSGENDSSAFEEMHVQVSAETYEKVDAAVALIELLVTPASMTPAATTTKASGDGETISVEATPGLTPPVEGMAQPGFGAQPAQLQSHFQPYQGQWFPGPTSQNPVPRFPGPANSGMSSASLVSNTHQVSPSPTNLSNTSSPFGPPHGMQDGFGSVPRNPFVHSSPQAPLMQQPYMPSSHVGQIGGLRHPIPSLGSTPPQSNMTPPQFSQGQPNPTGFPQVVRPAMSSLPQSVPPTAYPDRPLNPAGNPPGWSQSPWNNQPGQGPSNMIAMAPPTVSPQGSHHLASRPMGVSAAPHVDVFQGHNLAPQSSGPVPSAHMPPPLRPFSGSTPAHLLNHSVSSGLPINPSLSPNPIPVSSLNMNSMRPTSFVTPKPLQPSNDFTFQPHHSQNPAASRLISQFGSQDRTPSNQMMRPHLRPAIDNPNSPPVIQGFQRPQLSNQISQSGPPMSLDFVRGPAGPLPQFRHPTFSNHGIASPTVPQMQPMNFRPAPNHVGSFPPRVNSMPLEQNNPSSMLRPQNFEAPNNVFLRHGRPASSSSGAHQIYDPFSPTSVPRHPHPGGNPAMAEKQENDPEYEDLMASVGVK >Solyc10g084980.2.1.1 pep chromosome:SL3.0:10:64438310:64455028:-1 gene:Solyc10g084980.2 transcript:Solyc10g084980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLPMMAWANSNETTQIHPKSHHLIHLSFYWGRNTCFLFPNWPGNSKGMYGLGLIFVFFLAILVEFFSNLKLVKPGSNRAAAVFFQAGIQAVRAGFAYMVMLAVMSYNGGVFIAAILGHAVGYVVFGSPIFKKDMD >Solyc03g121000.3.1 pep chromosome:SL3.0:3:70715211:70718712:1 gene:Solyc03g121000.3 transcript:Solyc03g121000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRRRRKNGIVAEKVEEGTEADTDLSAERTVAETVRRDELMFLGVKFLQVSTTSKNTTISKQKVFCRILTSSSFPPPHNNLPMSQFRQTWGYRVNEGSPYSYQFIPPPPLSRHPYLPPPPPDSLYPQPPPPPPSPTPCPPPPSRPPPSSFTQPSQPLFSPLVNRYPSSSPPPPSEPRFRLLPPHPPSQTVGFKQTAPLPVKNSNETREERRLRKKKEFEKVRQKQRIREAQNRIVEKTKLIFFGRKGHGSIRSDRNIAPLPSGGMTGNLLKKQQLNRSFSSRNKSRELGEGINIPTICQHKEDFSSHCSQSMDLAWPLVYLNRRT >Solyc07g007620.3.1 pep chromosome:SL3.0:7:2275928:2280670:1 gene:Solyc07g007620.3 transcript:Solyc07g007620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSNVTTPRKSIHRVLERVGVYGFVGGSSQKRLKCDFQDEEYDTMEMVQIGAERTKNVLILMSDTGGGHRASAEAIRDAFKLEFGDEYRIFVKDVWKEYTGWPLNNMEQQYKFMVKHVGLWSVAFHGTSPRWIHSVYLAAIAAFYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLHKKVIFVTVITDLNTCHRTWFHPGVNRLYCPSEEVAKRASLDRLEGSQIRVFGLPIRPSFCRAVLSKDDLRVELEMDPTLPAVLLMGGGEGMGPVKKTAKALGEALFDKELGKPIGQMIVICGRNEALASTLQSLEWNIPVQIKGFQKQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPFVVDNGAGVFTRRPKETARIVAEWFTTKSDELKRKSENALKLAQPNAVFDIVKDIHELACQRGPMANIPYILTSSFSSLI >Solyc05g039915.1.1 pep chromosome:SL3.0:5:48536028:48536279:1 gene:Solyc05g039915.1 transcript:Solyc05g039915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPALFDTYHILYKPCYLHDLLYTIRELNNNIRRVVDLYAHLSGSFNKSNDVSSEGDDSSGRPTHKRNRPL >Solyc05g046345.1.1.1 pep chromosome:SL3.0:5:59614123:59614875:1 gene:Solyc05g046345.1 transcript:Solyc05g046345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENETERKVSYKKRLIGLLKKAKELSTLCDIEMALIVYSPYSDEPKVFPNLVAAINTFQKFKELEALERSKNMVTKEEFTKKRIKKLQKKLLKIRKENRIKEMTNEMHEVLNGKIISIDMNLFYLNDLSYVIKKNLLLIRKIMERNDGDEGSTSNVPQSTPSITMTSMMPSPIIDPPFTAMTSQMDPLAEIPSVGASIPMDNYQNSTDISQSPSFIDLLNLNDDDFITLLDDLSLSNASDQDSNPSNNK >Solyc08g023493.1.1 pep chromosome:SL3.0:8:27668411:27670344:1 gene:Solyc08g023493.1 transcript:Solyc08g023493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSSGFLAYSSEHQNPLLPRLFAGVDDIPCMFQGHIENLVHLKQQYGLNKTANEVIILIEAYRTLRDRGPYPPDQAVEDIHGKFVFVLYDSASRSTFLAEVSFDVDGSVPFFWGTDSEGHLVLSDDADIVKHGCGKSFAPFPKGNVNELCFEGKGPAT >Solyc04g076730.1.1.1 pep chromosome:SL3.0:4:61717232:61717459:1 gene:Solyc04g076730.1 transcript:Solyc04g076730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCRRIITSFIILAMFVAITSTQNHVEAARVLSQDFSGEKIHLATLPSIYEKAKNNLSFLLERLPSGPSPKGPGH >Solyc08g068290.3.1 pep chromosome:SL3.0:8:57452114:57458002:1 gene:Solyc08g068290.3 transcript:Solyc08g068290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGSRWKVFRPLLSISWSFLLAAIFVSAERSLKKEIVAQNATHQSDADLLTSVVQFLWKPDESGYQHVWPDMKFGWEIIVGSIIGFLGAAFGSVGGVGGGGIFVPMLSLIVGFDPKSSTAISKCMIMGASVSTVYYNLKLRHPTIDMPIIDYDLAVLIQPMLMLGISIGVTFNVIFADWMVTVLLIILFIGTSTKAFLRGVETWKKETILKKETEAAKKSGANDAEYKLLPGDANDAEKKKTEVSEPEVPIMENVCWKETGLLSFVWVAFLGLQIGKNYTDNCTPLYWVVNLLQIPVALGVSSYEAVSLYKGWRRIESKGEDGTNFRVMQLIVYCFFGIVAGMVGGLLGLGGGFIMGPMFLELGVPPQVSSATATFAMMFSSSMSVVEYYLLKRFPVPYALYFVAVATVAALIGQHVVRRMIMILGRASLIIFILASTIFVSAISLGGVGISNMIGKIQRHEYMGFENLCKYDP >Solyc08g060955.1.1 pep chromosome:SL3.0:8:46148418:46148747:-1 gene:Solyc08g060955.1 transcript:Solyc08g060955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFIEYEGTISSSDWEGVNIITKFLEMFFNITLKMYRSLYVTLNLHILEICQVGVYLNQLITNKDHVLAKMVKNMKEKFDK >Solyc12g008910.2.1 pep chromosome:SL3.0:12:2227233:2232399:1 gene:Solyc12g008910.2 transcript:Solyc12g008910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Translation machinery-associated protein 22 [Source:UniProtKB/TrEMBL;Acc:K4DBX9] MAEKLQIVEVLYCGVCGLPAEYCEFGSEFEKCKPWLIQNAPDLYPDLVKDSNLKEADKVTDQLQSTSISEGSSTSKKEEVKRLPGGKIKKKDKQEIIIEKVTRNRRKSITTIKGLEIFGVKLTDASKKLGKKFATGASVVKGPTEKEQIDVQGDISYDIVDFITETWPDVPESAIFFIEDGKKVPAA >Solyc07g055320.3.1 pep chromosome:SL3.0:7:63520530:63527646:-1 gene:Solyc07g055320.3 transcript:Solyc07g055320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNRPHNFTQHGNWSNGEWHDPNSHGPEYIWQPIIPRPPPPRDIPQNPRRVVHDPPLQVTERPFLVPTGATSYPYPGQPRRRLTHPPVRVLLHNDDVPRHGSYQRPSQLPFDSPPPPWFMQSNETSRNESKLSPNEQKAALNKLKKEIYNPVQAKVAKQVNLYFRGLNNTTTNESIKDKDEDGKRCSICLEDFEPKEIVTVTPCSHMFHEDCIVPWVTNHGSCPVCRFAICERMKQDTTDSSTTRTSRATNTMPPHELMMERDLITIMRAFDETFELDQIRSFLLPRLTRELSLEVNCAGSCFFSERINMATSSVCIAGNDLSTHRTQKVFRKEIYGRKILYSSNLPSSRKTSRVVVKASLQQGPHEGRRGFLKLLLGNVGLGVPALLGNGKAYADEQGVSNSRMSYSRFLEYLDKDRVQKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLIFNLIGNLAFPLILIGGLFLLSRRSNGGMGGPGGPGNPLAFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVSVDVPDIKGRTEILKVHAGNKKFDSDVSLEVIAMRTPGFSGADLANLLNEAAILAGRRGKTAIASKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLIPRGQAKGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVVTFGMSELGPWSLMDSSAQSGDVIMRMMARNSMSEKLAEDIDVAVKKLSDSAYEIALSQIRSNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPAENRVPAAVPTPAAV >Solyc12g008890.2.1 pep chromosome:SL3.0:12:2195184:2213195:-1 gene:Solyc12g008890.2 transcript:Solyc12g008890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFFLSYGYNIIIFFIITHLMSILGKLKPWNPSIPYEILSLNISSKLSTNSHAIKESSKDFGKIIQEILPAAVLYPSCVNDIIDLIQFSYDLSVPFHVAAKGHGHSIRGQAMAKNGVIVEMSSLNNNNNENCGVRVSWDSDLGFYADVGGEQLWIDVLHNTLEYGLAPVSWTDYLYLTVGGTLSNAGISGQTFRYGPQISNVHEMDVITGKGELMTCSKDMNSELFFGVLGGLGQFGIITRARIVLDKAPTRVKWVRMLYDDFSKFTKDQEHLISIHNNGLDYVEGSLMMEQSSLNNWRSSFYSPSNQTKIASLLSKNKIMYCLEIVKYYDDQNANTIDKLSAMAPLKILLCGDVLGRLNHLFKRVSSIDYRGGCTVLVNKAAGPFDALLCVGQFFPDSVEGIDEFNGYIEGRSKIPIPTYFIGDYGAGSPKILSEALKDPSNKGFKMEGLRVCDNLYWLKGSGKFSLNADILYSCLGLSVAYLSGRRTPSGTQFGTYSQDDVDALRALAEDPWPSGVTNGTTSKAPVEISDSSGSDPTVSELVTEIKPRYHVAGTVGVYFDREPYANVDALHVTRFLGLAPVGNKDKQKFIHAISPTPASTMSKTELSAKPPNATSCPYTNVDKAAQPDGATKRPGDGTSESQYWRYDVSKKKQKHGDGGGDRLCFKFASSGSCPRGNQCHFRHDEEASEQYSRGVCFDFLNKGKCEKGPDCNFKHSLQEEGDRSASGTASSNRLSNYLIFLDDVAVSMFTGFPNVESHLITSVGEYYYCALAKGPLTPDHVLIMPIEHTPNTLSLPLECEKELERLQSSLKAYFKKQGKEAVFFEFVRGSHANLQVVPIPSSRASAVRDIFNLAADKLGFKLSMVKEGRQALRTQFDRSCSLFYVEVPGGDILSHVIEENEKFPAQFGREVLAGLLNIADRADWRNCKAQSAKPISSPVPDAWYPTLAVFMLAIGLVVTASFFIYEATAPRKYRSLAKELVTGTVASVFLGFGSLFLLLASGVYV >Solyc03g113255.1.1 pep chromosome:SL3.0:3:64929825:64937375:1 gene:Solyc03g113255.1 transcript:Solyc03g113255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDAQESAVVNDLMLKGASVKKNSIRPAKLKRSADPRNRYCNATQRNVIGSGSVEFINPDCSATLFRFISTRAATAIATTDRNSPVEKKSDESPPSRARKKEVPINCSIHEKRCGLQTQYKGGSNSSTMTFFNGCPVSPVHIAFPHVYSFECYQVEATSLFNCTEAEGLEGMEQEAVPGMPETFPDVMVEVPKILRYRQEVFAMKRTWRGKGLPDWLLGVSTCALFLLKEGIFHT >Solyc11g051120.2.1 pep chromosome:SL3.0:11:17550572:17553230:-1 gene:Solyc11g051120.2 transcript:Solyc11g051120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNSGNNNYINTLDSGNNNYINTTTQFQANWSPYGETFNSPTGRPSDGRLISDFTARFANLSLIPSFFEIGFDKFLHGSTLLLVLAQVIDLQTQLTHFGEVVELSVNKLGSQQSQQLLTDFVYMFSTGSNDYAFPYLTNPKKFPFLKNNFHRWYWVILLLFYRQFTNYNKGGRKFTIFTLPPEGCSPGGRAFNAQIGGFNVSIEACCRTGVFKGINSCGGKRQVKEYELRKNVTDYVFSDASHPTEAANQQFAQLLWNGTADVIAPHNLIFFPTLVL >Solyc10g086660.2.1 pep chromosome:SL3.0:10:65562434:65566064:-1 gene:Solyc10g086660.2 transcript:Solyc10g086660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLESHKDKRREFQPQLKHFIQLDPCVSSKKENHFSVLKFKVSKSIKNLYQKAKVSKRFGSKRFDFDGIVMTNSVYSASSLDDKMEVLSDKSDGAERVMEGYGESLDEKIGIGSSSSSVLLASEENVNEENSGSEDSCSPSSIVWHIQDDEVQHCANSDVSEEVEKPFVDKRKLEKQGSCLSEIEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQIWRLEPLAPEKKLMWRREMEWLLCVSDHIVELIPSWQTFPDGSKLEVMTSRPRSDLYVNLPALRKLDNMLLDILDSFRSTEFWYVDQGILAPESDGSSSFRNPLPRQEEKWWLPVPRTPPGGLSENARRQLQHKRDCTNQILKAAMAINSNSLAEMEVPESYYEGLPKNGKASLGDLIHRYITSDQFSPECLLDCLDMSSEHQAFEIANRVESSIYVWRRRAHSKPFGSTHRSNSKSSWEMVKDLMVDGDKRELLADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIIARVDDLLYADDLNKHSDQFVPISKVGIIAHKSMGTPLSVPVNGTPYKTAFTTPSFSPSQHISPAKGDRSKMFEGSKLSTRGFGVKKVLTDYLSIDSNGKDDFKRSDSCSSTSQDVSATASSRSSECSREVISPLTHDSAAKE >Solyc01g059963.1.1 pep chromosome:SL3.0:1:69312340:69313288:1 gene:Solyc01g059963.1 transcript:Solyc01g059963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILYVDDMIITGDNIAGISEVKEFWNTNFEMKDLGSLNYFLGVEVLKSDNDICLYQVKYSTYLLSKTGISDNKIESTPLEPNVQFSPSDANSCFEIKAYVDADWGRGPIDRCSSTGYCIFLGDSLISWRSKKQTLASRSSTESEYRALADTTAEILWLHWLLTDMSVPQSSMFPSSSGQTQSDFF >Solyc07g056380.1.1.1 pep chromosome:SL3.0:7:64377050:64377697:-1 gene:Solyc07g056380.1 transcript:Solyc07g056380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMFMPNFNLQHDPISTTQNTTQYCHLRSTIEKRNSPFLVSWSFPPPGYVKINTDGSFMPKSGEAGYGVIARDDKGMWLGGFYGRLDTKSTSSLTPELWAIHEALRQVKHCNLKKVIIETDSNEALMLISRAKKVDANHPDHKVIEDCRILLSEVETCLIHTLRQGNNCADQLAKLGRKQSQNSVILDHPPPFMHQWLLADMSHIAYARYPKHAR >Solyc03g111030.3.1 pep chromosome:SL3.0:3:63196369:63202627:1 gene:Solyc03g111030.3 transcript:Solyc03g111030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAFKSTTRRTTLGGSSAGADDSSGSSGNKPHRRSRSLSRVAHGPRRYEEPLQVDLGYNAAPRGKFVNTSRGSGVPEISLDDLAIEFFSQEEEKENSDRGRSERRASGIGHWASETASSRRRGRSVSRQGSKTSAADRKSVAADRSRSNLAKSDATSRRRRSVSVVRYQISDSESDADHFRNSNSQVDIKKRQSNRISDIPSSMKPTAVNNPKLRRSFSQKDMSLLHDGYSSHSSALTDDDTKDALICKNGIEKTIRAVYAQKKGEHPNGDLNGELYEAMRKELRHAVEEIKTELEQTMGKKTTGSKSGHVARKSYATKMEQEDQRGRDRRIVKELPDTTSSAAGQKQPRRKRSNDRNRTSTQLNDEAEKFFVDFIANIEDTDFSSFDGERSDASSTLGGIAKPRDSITYGEAVNQSPAGSNCHPVGTDGVIFPWLQWETSNDGSFTPIKEVETPVSRKPSICDARQDITSPQNDHSYSMSSHGSWSPAILQSQQKNRTMCTIEDSRNISGELESCQSSGFDMAEYSKLKRSEELLFERYRERNRISSGGLLLCGHFR >Solyc06g075735.1.1 pep chromosome:SL3.0:6:47195398:47195818:1 gene:Solyc06g075735.1 transcript:Solyc06g075735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDDSRVMEARVQFVKSRIQPVIEDMDKKIKHLELSLATMNGEMKLKSLTQPITEYVDNEIKHLELLIAIINTKIKLHTAHRFFFPEVGTICHDSTKYHTSRYAKRRN >Solyc05g024440.1.1.1 pep chromosome:SL3.0:5:31724540:31724719:1 gene:Solyc05g024440.1 transcript:Solyc05g024440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIAEFLLYVFTATLGGMSLCSANDLVTIFVASKYFSSCSYLLSGYTKKDVHRSNEATM >Solyc01g066287.1.1 pep chromosome:SL3.0:1:73684243:73705296:-1 gene:Solyc01g066287.1 transcript:Solyc01g066287.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKKERQKPYLSDARERKGKEIRSPEKKERQKPYLRDARERKGKEIRSPGVLQYKVLSRFRVNDAKPRTTPLINHFKLSKEQSPKTVEERKHMTLVPYASEVGSLMYAMIYIRPDIAHAVGVVSRYMTNQGKEHWEVVEWLLRYMSGKVTLQGFMDADLGENVDSSKSTSSGVCGNSLSWEIDDMAGRLSGGIGQEAGRED >Solyc01g018040.1.1.1 pep chromosome:SL3.0:1:26777780:26778346:1 gene:Solyc01g018040.1 transcript:Solyc01g018040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVVIYYQRKEKINRLFIGLLLSTIMNLHLQIVKKSYSQKGKKTDAQKYIIDFLDNSGVRPSKLASVLINQAGGVDRMNLTGQAIQNYLQTRRQKDLEKGDAQLMLQYFQRRQSENSGFFYAIQMDIDGRKANCFWVDARSRIAYKNFGEVVVFDQTYLTNKYKMPFVPFIGVNNHHQSVLFGCALL >Solyc06g030640.1.1.1 pep chromosome:SL3.0:6:20354052:20354693:-1 gene:Solyc06g030640.1 transcript:Solyc06g030640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFEDDQFVGYGLPKCSAKLNKTLTDAQVDAMVSDSHIKGEKFSGDLHVHLNAKKSNSNRLEVGEKDNEGTIKDLLIRAGKSDVQDQNLVPQNSVQRLAVLDDYSSPNQQSCNSVDRVEFEEKLVVDTNKSNDAEFAQKINMIKEKGVVAENSIMRQGDKHTLEKLHNAIQTPKSDVQLQNLAIDGKKFEGSKIGVLQPFELVDLQVEISGRE >Solyc02g092533.1.1 pep chromosome:SL3.0:2:54236473:54237708:1 gene:Solyc02g092533.1 transcript:Solyc02g092533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSKYQIALVARGKWSVRNPPPFSLSNIAVKPHSSPGRGPKLHISTARRSPGSAGFPSVSTTQLLSWIEPAVQSTISIRNISPVLISVTGELREAEMELGRDQIALARFSSLDSPEKVEKPFFKLSYSLFSA >Solyc06g074110.3.1 pep chromosome:SL3.0:6:45949077:45957680:-1 gene:Solyc06g074110.3 transcript:Solyc06g074110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLLKEVLKTLCGVNQWSYAVFWKIGCQNTKILIWEESYYETSTLSNIHGTSGVENPELAFQDWSTGWAFGGVQNSQLQNQAGENLHLLINKMMMDNQFNLVGEGLIGRAAVTGKHQWVLSEGLSRNVHPPEVLRELRQQFSAGIQTISVIPVLPHGVVQFGSYLHIMENMGFVEDVKTLMSQLGCVPGVLLSDENATKEPALETSRSVYLGSSVSTEYCGRAKVMNSASIIDKGNSIQTEGFVGQTSFSLVDATFQDSNFTQTFADCHDNHLHKKISPQVKPCMYMNNQLTNSVIKTEVIPPNTDMWKKQQDSQYIPKPPFCQESSVGSLPLDSDSIMLTEQQISGENSLAKSNLTLPNFLGSSHGRSHHAVMYKSIPHPNFIADASRPPQKIISCTEHIGDGLQIGSSDLMASSKYDVNHVINNHSLDGQGAEYLLDGSKRMVENDLFQALGPILTQNENPSSSECIQDFYSEKIEHGARFPLFDSAYGDVHVQCQSGDDLFDVLGADFKKNHLNGSWNNGQCKEPNSNTKDWIKNSSTSTISQDASSTINQGNSDSCMFSMTGFDRILDTMVSSHSAKQSLDDNVSSRTTITNLSSSSAPNASCSYDRVGVSSQIQGEQFVSPKTLLKSGAISSSYKSECSKEDTGMYSQSSSIYGSTISSWVESGYDTKPSSSVSTGYSKKPDEMSKTSRKRLKPGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALFERTIKHMLFLQSVTKHADKLKQTGESKIISKEGGLLLKDNLEGGATWAYEVGSQSMVCPIIVEDLNQPRQMLVEMLCEERGLFLEIADIIRGLGLTILKGVMETRNDKIWAQFAVEANRDVTRMEIFISLVHLLEQTAKGGTEPVNAADNNTAMVHSYHQAAAKPATGRSCSLL >Solyc03g097820.2.1 pep chromosome:SL3.0:3:61578754:61581944:-1 gene:Solyc03g097820.2 transcript:Solyc03g097820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFSSHQLQHNNPFLLDSVFLPTSPIKMSGFFEEPNNSCIVQQFYQQEFPSNLISHENSFCLDPKSSSSISLDMDASSVTDKIESGINNNKANVSPLDKKRKSSEGSSSMTSAHSKNEKQGDNGKKKKIISKLVAKDEKKANEEAPTGYIHVRARRGQATDSHSLAERVRREKISERMKILQSLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLTSLNPMYYDFGMDLDALMVRPDDQSLSGLETQMANIQQGSTTTTSQAAEVIANTNSGYQFLDNSTSLMFQQSHFPNSIPQGIGQLLWGADEQTQKIINQSGFSNNFCSFH >Solyc07g052370.3.1 pep chromosome:SL3.0:7:61011322:61013390:1 gene:Solyc07g052370.3 transcript:Solyc07g052370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVELISIKMDFQHLVSFFLFISFLFLLIQKWRKPKNRLPPGPWRLPIIGSVHHLTSGLPHQVLKKLSFKYGPIMYLQLGEVPTVVVSSSHMAKQILKTHDLAFASRPETMMGKIICYNCKDIAFSPYGDYWRHMRKLTVLELLSAKMVKSFSPIRQDELSNLLSSIRSMNLDSPINLVEKLLWFMNAATCRSAFGNVCKDQRELITLIHQAQSLSGGFELADLFPSKKYLHGISGMESKLMNARYKIDQVLDNIINVHRENRANGKSCNGESGAEDLIDVFLRVMESGQFPVPLTNDNIKAVILMFVAGSDTSSSTVIWALSELMRNPNIMAKAQAEVREVMGKKTCDDDIDTDLENVSYLMLVIKETLRLHPPTPLLVPRECREETKIDEFTIPLKSKVMVNVWAIGRDPENWENPECFVPERFENSSIEFTGNHFEFLPFGAGRRICPGIQFGLALVTLPLAHLLYNFDWKLPQGISASDLDMTEANGISARREKDLYLIATPYVSPLH >Solyc02g082030.3.1 pep chromosome:SL3.0:2:46313002:46316887:1 gene:Solyc02g082030.3 transcript:Solyc02g082030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSGNALVHVSTNSTVFPIIPSRRNVLGDRFLCIKSPIRPKNRTFSVKAMSANTGHSQEQEQQPESSSDQKGPLSVILDVPRNIWKRTMRPLSDFGFGKRSIWEGGVGLFIVSGTVLLALSLAWLRGFQLRSRFRKYLAVLEFEQACGICTGTPVRIRGVSIGNVIRVNPSLRNVEAVVEVEDDKIIIPRNSLVEVNQSGLIMETMIDITPRDPIPIPSAGPLDPDCVKEGLIICDRQKIKGQQGVSLDALVGIFTRLGREAEEIGLANTYALAERALNVIEEARPLLTKIKAMAEDVQPMLADVRDSGLLKEVESLTRSLALTSEDIRRVHSTVMTPENTELIRKSIYTLVFTLKNVESISSDILGFTGDEATRRNLKMLIKSLSRLL >Solyc03g122110.1.1.1 pep chromosome:SL3.0:3:71552081:71553643:1 gene:Solyc03g122110.1 transcript:Solyc03g122110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESLEYQKKSWIALKKSINGLLNKINKANIKHIIPELFEENLIRGRGLFCRSIMKSQLNSVIFTDVFAALIAVVNTKFPQIGELLCKRIILQLRRAYNNNNKPQMLASVKFIGHLVNQQVVHELVALELVTLLLEKPTDDSVDVAVGFVKECGSMLQDLCPLGLHAIFERFRGILHEGEIDKRVQFLIEELFALRKQKFQPAVPPELDLVEEEDRLTHEISLGDMVDEQIELDVFKPDPNFVENENKYEQLQNRILGGSDEDEESDLLVGGDQDEDESEDEDEHEMKIEDETETNLINLRRTIYLTIMSSGGFEGAGHKLLKIRLEPGQEMELCIMLLECCSQEKTFLHYYALLAQRLCMINKVHQKNFEKLFMQQYSMIHQLETNKLRNVAKFFAQLLGTDALPWHVLAYLRLTEEDTTSSSRIFIKILFQELSGHLGIHKLNERLSDPSMQESFESIFPKDNPKNVRFAINFFTSIGLGGITENLRDYLKNMPRLILQQEKRVSRDDDRPRKRRRRS >Solyc12g005200.2.1 pep chromosome:SL3.0:12:129170:136158:-1 gene:Solyc12g005200.2 transcript:Solyc12g005200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGTMLSLFRKLSNTSRITPFRSGSEESAGAIIPMTGLLSTLVLAEHEGGLIKNSSLSAVEAAKSLGGDNSISLLLAGSGHSLKEAAEHAASSHPSVSQVLIADSDKFTYPLAEPWAKLVHLVQQSGGYSHIIAASGSFGKNILPRAAALLDISPITDVTKISGSNLFIRPIYAGNALSTVRYTGSSPCMLSIRATSFPVASETADLKSNAASIDQVDLSTLDEDESVAKSTYVKLSAQISERPDLGNARIVVTGGRGVKSAENFKMIDKLAEKIGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHIAGMRDSKIIVAVNKDADAPIFQVADYGLVGDLFDVIPELLEKLPEKK >Solyc09g007090.3.1 pep chromosome:SL3.0:9:730629:735456:-1 gene:Solyc09g007090.3 transcript:Solyc09g007090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTAAQLPENPIKTLVQSPDPNPTAVSEAPAHDSATDSLKSPTSDGVKDQAKLDKAADGGGGGGGGKDTDIQKKMKRAERFGMTVQLSEEEKRNTRAERFGTSAPQGSDASKKAEEQKRKARAERFGLSQSDSTDEDAKKKARLARFAPPAKADPVEEDKRKARALRFSQSKSGSQSQENGKEKTEQETVAVEKAGGGT >Solyc03g025937.1.1 pep chromosome:SL3.0:3:3395273:3396315:1 gene:Solyc03g025937.1 transcript:Solyc03g025937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTERFNHTSLRQPILLYTMLSRRFLVYLIMITITDFEEEMPPTIQLGEKSEEELMGLIQRRWKLRTQIYHISQSRLAFEKFTKIDPVENPSRADGQKNGE >Solyc02g014040.2.1 pep chromosome:SL3.0:2:15341321:15350300:-1 gene:Solyc02g014040.2 transcript:Solyc02g014040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEISSGEHQCRQFSLDEMERSTNNFDPHLWRIWYSIKGNIDGGETTLAVKRLKPGSSQGEKELWTEINMLSMHHHENLLSLIGYCIEGHEMLLVYDYMPRGSLADNRYKMDRNSSSLSWERRLKISIGVARGLDFLHTCQNRVIHRDIKISNILLDENWEISDFGLSKMGPGNESATHVSKQVKGTFRYLDPEYFLTNRLTWKTDVYAFGVVTTRKFSNDTVLGEGGFGRVYKGYLSEVSILGRFSHPNLIKLLGYCQEDEVLLLVYEFIPKGSLSNHLYGSHSAAFSLPWIVRVQIVIDTGRGLAFLHASEKQVINRNFSASNILLDGSYNEKIADFGFAKQGTSASQSHVTTWVIGTYGYAAPKTLVLEEQCLFFWCLFSGILKGLRALDNNRPSNQINLTDWIKPHLSDRRKLKDRTDSRLGGKYPSRDAVQIAQLELLCLGNEPKSRLSMEEVVKKLEQIEVTNERSKDHVSSNTRSRAYPLVRRAE >Solyc03g119830.3.1 pep chromosome:SL3.0:3:69876624:69892579:-1 gene:Solyc03g119830.3 transcript:Solyc03g119830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPPIGIHQRLALARTGELRLGSLISVISTSEMRYEGILFEISNYRLGLKYVKFFGSEGRLKYGPQIPGKDRIYNFIYLRGANIKDLQVISPPLPPTRSAVPGHPAIIRPRFRHPTPTHMASTSHGASVRSSARPILRPGTSFQLNQPRPRDLSASTSSFRGSFLPPPPANISRPRPAYWPGLIGSSRGVAYFHPPPSQAPVQQQQNVNTSVAGGSSYYEHQHPLLLGHSTGLSQTTNPSLLSGGQVTFCSPQVQQSGQRQTVNIEEMTAIAPAMEALPSKSSEETLEKPKTETGSTSSPHDKNQSAGGRDQKPRVIRRFVEDFDFEGMYKKFNKKEVWAIFSNSDDHKLPVYHKDEFFDYLSYGLPEHESILTLSQQMKIDNETFGVEIPIVHIDHGNRPRSSRTSQASLGGRRYGNVRGGPGKKTKTEIVVTEGDQDGRKIAEMVRQIGASTLVVGLHDHSFIYRMAMDHNSTGSNLNCKVLAIKQPTPLATTTKMKRTISLPNSSTNMDLSQIEIAASSIQHKWNFYVLLLILFAMDWNVNTAYKTLKEMESKSLAVVEAPSTTLNIESIDIGPGSSEKDPATKLRKKALTSVYLKYFETAPDGKTRKCKFCGQSYSIATATGNLGKHLSNRHPGYDITVNVASPAPQSVTVVKKLQPKPHVKGPQLELDHLNWLLVKWLILASLPPSTLDEHWLLNSFKFLNPTVKLWPEEKFQSVLCEVFRSIQEDVRVIVDQISSKVCITLDFWTSYEQLLYMSVTCQWIDENWSFQKLLLDICHISSPCGAAEVSHALLKVLKIYNIENRVLCCTHDNTPIALHACHTLKEDMDSQKMSPFYYLPCAAHTLNSVINDGLSSTKSIISKIREIVLKMNTSFEISQDFLQCCNACQEGTWKFPLDASPRWSGNYQMLDIARKAGKSLEAMFRKYDELLGSRVLLNNAEKNAVNIMHAFLEPFYKTIHDICTNKVVTVGLVLFFMDHISETIAACRDSRHSPDWLRSAADEMATKARSYNEQMCNSFTYMTAILDPRIKVELIPESLNSENHLEEARSHFMRNYSTSHFPCISGSYAAHELEDGASVSFAEEIARKKRKASMSSATDELTQYLSEPPAPIPTDVLEWWKVNNARYPRLSSMARDFLAAQPTALAPQDLFCSKGDEIDKQRFSTSYGSTQALHCVKSWMQSGFKLKYKSTEIDYERLMELAAATAAESSMASSDKKQKS >Solyc02g061940.3.1 pep chromosome:SL3.0:2:34033362:34036306:-1 gene:Solyc02g061940.3 transcript:Solyc02g061940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLDDGNCELGENPVVYCNESRSVFTAAVSSEMAGRSTRLKTQVTVQQRESQCRAKWTTSLTIILVGLMVDEVQGGHKQNKSFSKKGWKCICEEFHKRTGLTWEREQLKYRYAALRKLFATMKLLLDHTDFKWDETTGLVTATDEAWDRYMKEHPDVETIRSTGCPFYKGLSVIFADSGSRGTDNGSTMHKDRLPGSSSHPQPPTLSQEELSYSESEEGPDSNEQEIVQSVSSPTDTVRKKRHKGVDGAIARAISEMAAASRLRASAVEKCSDKFTITDCIKALDKLEGVNDQVYYAALDLFNNHAAREIFLSLNVGKRLTWLTGKLSGPP >Solyc07g016015.1.1 pep chromosome:SL3.0:7:6162006:6164011:-1 gene:Solyc07g016015.1 transcript:Solyc07g016015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDTEKRKLFLSQRSYIQKVLARFGMSSSKPIDTPSVDNIHLIAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSNYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLELGLTVHFK >Solyc01g065840.3.1 pep chromosome:SL3.0:1:72242633:72246195:1 gene:Solyc01g065840.3 transcript:Solyc01g065840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEETLDDRLSDLPDSLLLQILSLLPTEEAFRTCILSKRWQYLWTSLDSLIFSPRRFWGRNKGFRSFVDYVLSHSTAPKITKFEIHCSGLHSYKSQISQWLTFAVKKNVQHAVLYSPPPSIMSQCFFNCSSLISLYLAESSLVSTVVIAWKSLKTIKLEEMVVVDAEIENLLSGCPALEAIVFNNVGGFRHLKINSLKVKSLKLEGYWVDDGGESDHSFEICAPYLQHLELLHDFHDFKCSLVDVSSVVNAKITFDITCIKHLHDDYAQYSDSDEDDEDSCSDYHQTFKTLIQDYLQKLCRATELTFGTFFTQQTVSECKHYTMENLCANFINQSGQITETCGDFCAFLLSFVSFGTVLCILLFKGVPIPELECKHIVLKLHLEKFSLYGAAGLLRASPLVETLNLEIENQPFHDSRCYFERKYLVKGDNIDLQSYNSSSVCPNLKNVEIAISSGMCMKEHLNWEYIRKFFKLSMFLLKNAVVLEKFVIVSKKRRCEFCGIKCLSRFLSRLASNLRSSAEFVITYQE >Solyc05g054390.3.1 pep chromosome:SL3.0:5:65162450:65168617:1 gene:Solyc05g054390.3 transcript:Solyc05g054390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGVGSVVKTMSGASCSVSWKSGGSDKVSDQFPAGLRVLVVDDDPTCLRILEKMLRNCHYEVTKSNRVELALSMLRENRNGFDIVISDVHMPDMDGFKLLEHVGLEMDLPVIMMSADDSKDVVMKGVTHGACDYLIKPVRIEALKNIWQHVIRKKKHEWKDRDFDQSTSVEDGDQQQKPPEDVDYSSSANEGNWKSSKRRKEEEDETEERDDSSTLKKPRVVWSVELHQQFVQAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQLIIFLQFLYDVLIGGNHVLQKYRLYLRRLSGVSQHQNGLNNSFMGHPEATYGTMTSFNGLELQALAATGQLPAQSLATLQAAALGRSATKSAISMPLVDQRNLFSFENPKLRFSEGQQPLNNSNKQINLLHGIPTTMEPKQLADLHQSSQSFVAMNMQGNARMQQNNALLMHMSQQQQQSSRAQMLNETNNGHVSRPPLSMSQPAAVLSRNSIVDNVRGPIYNPVSQTSSIVDFSLNQTTELQNNSFPLVSSNSGMSTLTSKRLLQEEVNSDIKGSRGFPPGYDIFEELHQQKTQDWGLPNIGSNFGASDHSSIPGTLDVSPSMLVQQGISSMKKNGPNGIAPMGGPQLNLFSGGNLLPVKAEQLPDTTYQNTFFPEQFGQDDLMSALLKQQESVGQVETEFGFDGYSPLDNLPV >Solyc12g010920.2.1 pep chromosome:SL3.0:12:3815790:3816897:1 gene:Solyc12g010920.2 transcript:Solyc12g010920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:K4DCH8] MGDRDRTQYPHQVQVHPSSRYEGGISTLLPQKGPSTSQVLAVVTLVPVGGILLGLAGLTLLGTIIGLAVATPVFLLFSPVLVPAAVTVALAVTGFLTSGAFGLTGLSSLSWIVNYFKQGRTTMEQLDYTKRRIQERAAEAAAQVGQKTKETGQAIQSKAQETKESARSDVRA >Solyc11g056620.2.1 pep chromosome:SL3.0:11:47345608:47355273:-1 gene:Solyc11g056620.2 transcript:Solyc11g056620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMERSNSSGSTNSSYSTTSRSSSSLLVLSIQCLKGSSKGDEWTGNMLITGDIVEELRIGNMIVRSPFKNGKSGIQKIMHNSFKAKETSIIVRVRRGSQSDDELFTELQACIVPNEFGGRKTYMLRAIDDPNYAVGFVDRTEMECLELQGSRKSRMVGALTRTPLQEGYVSYPWEKRMNEFLAVPKSSNFYSLLLLPKASDKASIGYNDLEDTLSRANAWLIASQASGVPIVFMNIQTESLLTKISGETASCTVSAGSLSDLSNLANASLYGFEDYHGVDIGVVRAVRLWFSPLGGEIPIDIIIKENDVKLGFAISRTEEGFIHISSVAEGDEDAPSSRSGLSNLYKEARKECKLLVVSRISNQKVLPWIIAPTGAVRCFDTISLSQKLSLHRHAKVPILMHVFLWDRAVPVPNGGMINRSRTISPTMLPSLPPEVRLTRQPNDNQVMPLPLEVEDEIGSQSDESELRHVRDTAGESSFRFHDFSLPNNWV >Solyc01g009640.2.1.1 pep chromosome:SL3.0:1:3815885:3816190:-1 gene:Solyc01g009640.2 transcript:Solyc01g009640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYGVTFFGPCKGSLIVKIQGVIKASTNPNIFCKGSWISFEHINNLEIKGGGTLDGEGASAWGQSQCATRPYVSFH >Solyc01g008590.1.1.1 pep chromosome:SL3.0:1:2610665:2611204:1 gene:Solyc01g008590.1 transcript:Solyc01g008590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSKFIGILIVVLISFPSKTKGEYENNNTTMQCGSNSDRQGCKNNKNEALKLKLVAIVSILMTSMIGVCLPLFSHTIPALQRDTNLFVLVKAFASGVILATGYMHVLPDSFDCLISDCLPENPWRKFPFTTFVAMISAILTLSIDSYAMSCFKKKKLENGDGNDGDCVQNNAYIYFF >Solyc09g072770.1.1.1 pep chromosome:SL3.0:9:65871044:65871655:1 gene:Solyc09g072770.1 transcript:Solyc09g072770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKFSLVFLITVFSIVTDSPLAHAQVGRPLFGGLLNPIVGPIAGPILGQPVPPIVCQAPLTVPPVVPNILSQIPVIVGQILPVNITIAGILSCSLPGTAPGPGISGVNVSIICGNSTIAQAVTNSQGIFSVSLNTNTSILSGNTCIARVGLPIAGCTLFPISGALQAPIMIIGNIVQDVAGLVITAFSGIFSLVTVTTPSNA >Solyc10g078325.1.1 pep chromosome:SL3.0:10:60284950:60285207:-1 gene:Solyc10g078325.1 transcript:Solyc10g078325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICLFFPMVIAGQKIILTKKDNGWYYYKMSNMRSTLMPPKIVNSCYNCSVKSVERIPKRVEGFKLYQSGIWTGPHIRPY >Solyc04g076460.3.1 pep chromosome:SL3.0:4:61419186:61423956:-1 gene:Solyc04g076460.3 transcript:Solyc04g076460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVSCPIFWTCCILMCLNFIGCSGKLVEEEKRALLELRDSLNYPNGSTLINEWVEENYCAWAAVSCIRDLNNDVHVLDIILTSKRELGLGIWYPDANLLTRFTHLQSLYLSGNAIGNWIMPEALCKLRNLKELDLSFNPLNGDALPHFQVCSLASLEQLHLSGIYPSFPLPLLRALCGLKNMRKLDLSNNNLTDDSMPHCLFDDLSYLESLDLSGNNLKNSHHILSALCTLRNLKRLDLSDNFLDDGSIPTCLFEKLSVLESLDISHNNIRGFPGFFSGICKLRNLQVLNLQDNLIQGGLDPCLGGMTSLVSLDLSFNHFEGTISSSIFSNLTLLETLRLSDNRFDGLLLFASFANLSNLEDIDLTNNEFEVDTETPSWLKSLSLSYNALQGSFPSWLLYNNTLLMLSLRSNRLNGGIPASSQIQASSLLMLDVSDNCLASTLPTNVLASFPDLFYLNLSNNALEGTLPSSFDNLLKLEVLDLSHNFLQGKLPPALRQNHTSLAHLVLSNNYFHGEVMPRFSNMSNLAYLLLQNDGFIGVLPASMFNLPVLKVMDISGNNLSGNVPDYFPLFPHLAILILARNQFHGIIPVSLCQMQKLHVLDMSANLLSGVLPSCLGNITAWTKESQVLLPAFMWLSPSYANYRVKVPLTTKGNELSYEGIPLSQMTILDLSMNHFNSEIPSQLGQLAALRSLNLSHNVLSGHIPESFMNLKQLESLDLSSNHLIGKIPPQMTRLDSLSTLNLAFNRLSGRIPFEHKFVTFEASSYRGNKELCGPPLENDSVAYVLGFWSVIAPLLLSKNWRTTYYAKVHSCIELCKEKLHLS >Solyc03g118560.1.1 pep chromosome:SL3.0:3:68924019:68924499:1 gene:Solyc03g118560.1 transcript:Solyc03g118560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4BM07] MMQDPDSASDLNDGKVVDIHSVTELETKLNAASEASCLAILYFTATWCGPCRYISPFYTNLPRKYPKVAFLKADVDEARDAASRWNVSSVPSFFFIKNGKEIDKVVSTDKNSLEKKIAQYAG >Solyc01g081310.3.1 pep chromosome:SL3.0:1:80396372:80407133:1 gene:Solyc01g081310.3 transcript:Solyc01g081310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative glutathione S-transferase T3 [Source:UniProtKB/TrEMBL;Acc:Q9FT21] MASEKVKLLGYWASPFALKVHWALKLKGIEYEYQEEDLSNKSPLLLQYNPVHKKIPVLVHNGKPIAESLVILEYIEETWKHNPLLPEDPYERAKARFWAKFVDDKCVPGIFGTFAKVGVEQQKIAKEARENLKILEDELGKKHFFGDAKIGFMDVTSAWIICWAQIVEEVVDIRLIDAEEMPSLVSWFQNVLEAAPILKECTPPKDKLLEHNKGFHEMLVASAPS >Solyc01g096420.3.1 pep chromosome:SL3.0:1:87398321:87399421:1 gene:Solyc01g096420.3 transcript:Solyc01g096420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEAVIKVVALCGSLREASYNRGLLNAAMEICKDSIKGMEIEYVDLSLLPFTNEDLEVNGTYPGEVEVFRKKIEEADCFLFASPEYNYSVTAPLKNAIDWASRPPNVWADKSAAIVSAGGNFGGGRAHYHLRQIGIYIDLHFINKPELFVFAFESPPKFDSNGVLIHEETKHKLRSVLLALQAFALRLKSQV >Solyc10g006210.2.1 pep chromosome:SL3.0:10:901761:903405:-1 gene:Solyc10g006210.2 transcript:Solyc10g006210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSPEVCAMVTDANRLTPAEEEITNTATSVQAVEELLKYRFQNTKLLEEALTHSSCPNLITNYQRLAFVGDAALGLAISSYFFVTYPDVDCVRKSLLELQSGTAFRSTSAAIHQSSMKRYITFMHLLHIVKEFVITVQQEEEMEFYG >Solyc12g039100.1.1 pep chromosome:SL3.0:12:53181347:53182997:-1 gene:Solyc12g039100.1 transcript:Solyc12g039100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQYSKLSVGAKWNELERWYVGCSSFVVATSPQPRYTNKEYSIVTIRCKDRPNLFFYTIFTLADMQYVIFHDNVDAEGPVLHQILQFSIRFDISFMANFFLKIMN >Solyc03g058405.1.1 pep chromosome:SL3.0:3:26693483:26694719:1 gene:Solyc03g058405.1 transcript:Solyc03g058405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEGNNGDVVVAPLKFDQEECRKALCRMVIIDELPIRFVEKEGFNQFMKVAKPCFQIPSRTTVTHDYFDLFDEEKHKLMAVFKETRQRVSLTTDTWTSIQRINYMIITAHWIDKIGLCIKELSSFVQSLVIECTITELSKQLTKWGTNLMGGSHLLIRRMAHIVNLIAQDGTKEANVSIERVRQALRYIRQSPARWNFTYMILSRVIEYEGAIVEYVDRDIGLALYLKFVDKNSAGTLLSNDWEGVKRIIIFLEMFFDLTLKISGSQYVTSDLHFLEICQVGVYLNQFISNEDQVFAKIAKNMKEKFDKYCGDAEKMNKMVFIPGVLDPRHKYSTLHLALKKMFGKKGAAIENGV >Solyc04g072235.1.1 pep chromosome:SL3.0:4:59313116:59321864:-1 gene:Solyc04g072235.1 transcript:Solyc04g072235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVPPQPLPLFAANSLSDGSFRCLFRRSKVNSSSSRVALPVAAVAREVDDFTKYSGYVFELNPSEEDSLTEYNIAKIAAFYQKKPLIVLRRLVQIGSTLGKWFAVRYLDTVNERADEMFKIRAAELRKILLQLGPAYVKIAQAISSRPDLIPPSYLDELSLLQDRITPFSSEVAFEMIEKELGMPIDVMFSEISPEPVAAASLGQVYQARLRSTREVVAVKVQRPGVQSAISLDILILRYLAGLIKKAGKLNTDLQAVVDEWASSLFRVVKLNAGDGLQTRSTEWSQISDVVVPEMNLALTTRKVLIMQWLEGQKLAGVKDLYLVEVGVYCSFNQLLEYGFYHADPHPGNLLRTYDGKLAYLDFGMMGEFKQEYRDGFIEACLHLVNRDYSALAKDFVTLGLIPPTSDKVAVTEALTGVFRDAVAKGVRNVSFGDLLGDLGFTM >Solyc10g084650.2.1 pep chromosome:SL3.0:10:64206351:64222181:-1 gene:Solyc10g084650.2 transcript:Solyc10g084650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGESGVCIALMSDGMMEIEKPVDVDSKCDRPRVVDGTEQDLCVEKQQCETSELVASSKGMMEIEKPVNVDSECDRQLVVDATEQELCVEKQKCETLDGVEVVAMSDGMMEIEKPVNVDSKCEREWVVEATEPELCVEKQKCETLNGVEVAIMSDGMTEIEKPVNVDSKCDRQQVEDATEQELCVEKQKSETLDGVEVTPVSDEMMEIEKPINVDSKCDRQWVVDASEQELCFEMQKFETLDAVELASMSDGMIKIEKPVNVDSKCDRQWVVDATEQELCVEMQKFETLDAVELAAMSDGMIEIEKPVNVDSKCDRKWVVDATEPERCVKKQKFETLDGVELAAMSDGMMEIEKPMNVGSKCDRQWVVDSIEPELCVEKQKFENLDAVQFASMNGGMVETEKPINVDSKSDRQWVVDATEQELCVEKQKFETLDAVELDCRATNHTTNCAPETVDGVEVECCATNCAPETVDGVETECCATNREPETIDGVELEGCARNHEPETLNTEELESGDMQLKRLNNCDVQPDVRIDLKEASNDDMLSEVSNPNLSPRENTSSFQTISSQGVDLLGNNQGGSGEITSFSSGNSSAEESVSEEEHNQVDASKAVAKSSVVLEIPKEFSTTGVRKIIFKFSKRKEDYHNASTEAAIPVTAGVDDGFSEAQAWNPLESDDRDPFLCPLNRELKMSKKVTSDAYPTNVKKLLSTGILEGARVKYISTSRKRELLGIIKDYGYLCGCSLCNFSKVLSAYEFEMHAGGKTRHPNNHIYLENGKPIYRIIQELKTAPLSQLEEVVKDVAGSSINEQYLEAWKAKLFLQHHDVASAYQYSHGKVSGMYQYKPSDCSSVMEDGLYSAYSCIDNFPPNPRSSMETAESWKHVVKNYFDRPRCNFSNSTVEPKKPAEGGTKKRDNDLHRSLFMPNGLPDGTDLAYYSKGKKVLGGYKQGNGIVCSCCDTEISPSQFESHAGCAAKRQPYRHIYTSNGLTLHDIALMLANGQSIATNNSDDMCTICGDAGDLICCEGCPRAFHAACIGLQCTPTSGWLCSYCRDKFVPGRKTAGDAGPIMIRLTRVVKAPESESGGCVVCSKNPLCSSQDVVSPEYVFPKVLCIAFRTPDFSVAKFDDRTVMLCDQCEKEYHVGCLRESGRCDLKELPKDKWFCCNDCNKIYVVLQNCVLKGAEVIPASAAAAVTKKQVQKCLMDTATDDIQWRILSGKSRFPDHLPLLSSAAVIFRERFDPIVAKSGRDLIPVMVYGRNISGQEFGGMYCIVLIVKSVVVSAALLRIFGQEVAELPMVATSRANQGKGYFQALFGSIEILLSSMHVKNLVVPAAEEAKSIWTNKLGFRKMTYERYQEYSRDFTLTEFKGTSMLEKEVQQTSYEL >Solyc04g050410.2.1 pep chromosome:SL3.0:4:46951115:46951465:-1 gene:Solyc04g050410.2 transcript:Solyc04g050410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEMRSVNVISAVCAFISKVSVVPSASALVIKVLKEVERDMKKTKIIKHPRSMAKDLSETVKEILGICVFVGCTVEGKDPKDLQQEIIEGDEEIPQD >Solyc01g011333.1.1 pep chromosome:SL3.0:1:8611127:8615534:-1 gene:Solyc01g011333.1 transcript:Solyc01g011333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRVMARYCRAPMRLRKWVISAKGVSAPFVDEETAIGSSSVLHFEKLILRNRTTTSDELEARDRARHARILRNSTTAGAVEFYLSGSFDPKLVGYIHIYVLQSISNYLFNYVFHEVNI >Solyc03g005640.1.1.1 pep chromosome:SL3.0:3:457650:459020:1 gene:Solyc03g005640.1 transcript:Solyc03g005640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVGRVIRRCPNSDSRVVPFIRFGPLFGQDEEGSSVREAKEEAWKHVMKNSKPSEAIVTEIYMSCIRNIEKKAKDSYDPDPVIWDKLSNSDFRDMMLKDTCLFLQLSFSLFRAVDNKLDDGELVDFPHLRNMISLSKRHKWVESMLHVGNQIPLVVIREVLKQSYFRQVVDNGKWKEPLFDPVKKSLFRILLSPTEYYWFGKHAMHWTQELKECCDVLHGIHLLLVGPEIDPEKDEYEDDDDENDHIGDVEENRVTNTNSGGSSSSDDDATKKNNDKLLKNVIELKQAGIYFGVTKKGAGIKGIRFKSNLIYPKLYLPPLFIGCYTQLLLENQTQYEQTLDSSLRQVSSYLIFMRDLVRTTQDAKILVSDGIVKGNRKYIQKLPAILIHLVPHNDKIIDPNLTSVNIEINSFYQPPWVTSYFTILFSFTLFGVIISIAQTIYAVLAYHKPPSSPT >Solyc11g013400.2.1 pep chromosome:SL3.0:11:6408984:6420130:1 gene:Solyc11g013400.2 transcript:Solyc11g013400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGSVAAVNFGDVVGDFFPPSVDVELQIERSSDETTIGIFFTEEPNPDSEVVVFAKRTVKDLKLPPAFVTQIAQSIQSQLTEFRSYEGQDMHTGEKVVPIKLDLRVNHTVIKDHFFWDMNNFESDPEEFARTFCEDMKIEDPEVGPAIAIAIREQLYEIAKQSVASARESRANKKGRRGMEHPSASKVGLPALDLGKLFSIKSSVVRKKRDADAYEPIVDHLSNEEVDALEAKEERSAR >Solyc09g011290.1.1.1 pep chromosome:SL3.0:9:4619734:4620300:1 gene:Solyc09g011290.1 transcript:Solyc09g011290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSISCSLSSSLIFLLPYIFLFSVDLFGTNLSANHDTHELIQKVCDFSNVQQFCYNIFENDPRTQWATTKLNLEDITIQLAYSNYTKIARKVLIVTSSEKNSQFKRIYRSCLHHYILLRSDLENLVHVLRFQGDLDQVAQNASSHILACMDIFTQYSNIPNPFANDNKNLLYFFELIRNIYFTPLEL >Solyc10g006400.3.1 pep chromosome:SL3.0:10:1007972:1012566:1 gene:Solyc10g006400.3 transcript:Solyc10g006400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tryptophan synthase [Source:UniProtKB/TrEMBL;Acc:K4CXH1] MAFSSTVSPKHCRLSSAASSSSSFPKFQIPLKINNITPCHSSSKFPPSIVCVLTEKQSMAAQAVDEPAAIQRPDSFGRFGKFGGKYVPETLMHALDELETAFKSLATDEAFQKELDGILRDYVGRESPLYFAERLTEHYKRPNGEGPLIYLKREDLNHTGAHKINNAVAQALLAKRLGKKRIIAETGAGQHGVATATVCARFGLECIIYMGAQDMERQALNVFRMRLLGAEVRAVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPYPMMVRDFHAVIGKETRKQALEKWGGKPDVLVACIGGGSNAMGLFHEFVDDEDVRLIGVEAAGFGVDSGKHAATLTKGEVGVLHGAMSYLLQDEDGQIVEPHSISAGLDYPGVGPEHSFLKDLGRAEYYSITDEEALEAFKRLSRLEGIIPALETSHALAYLEKLCPTLPNGTRVVLNCSGRGDKDVQTAIKYLKV >Solyc02g084220.3.1 pep chromosome:SL3.0:2:47937751:47944563:-1 gene:Solyc02g084220.3 transcript:Solyc02g084220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASESVLSGSQGPIDEITTVSERVEGVDPILDRLKSLKIASPILKSPPAESSLTDILVRKASSTSNKGCVDPKVLLELFSVYRQWQEEKAQKICKRQEEIENKIEVADALAVKLLQRFNYSVSAMKTTSQHLSEVHGLQVELGVLKGRLTEVISNCDALCKRIDAEGPESLRSSIKPFTIASSDTESNSSSMHTLQGKADEKQ >Solyc09g047900.2.1 pep chromosome:SL3.0:9:33879787:33888424:1 gene:Solyc09g047900.2 transcript:Solyc09g047900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLHSCSFSSLHSFPSVTLKYSRTQPRILRFNCRSSSDDKEDYLLDAPVSVGDGFSFSGGKYSDEPSPADEWFDRGKFVKAYPVSGTGEKAKDPIFGLTMGESSQASSDLFRWFCVESGSSNNSPILLIHGLPSQAYSYRKVLPMLENNYHAIALDWLGFGFSDKPQPKYGFDYTLDEYVASLESVINALTDKKVTLVVQGYFSAIAIKYASNHQEKLNGLILLNPPLTINHAKLPSSLSVLSNFLLGEIFCQDPLRASDKTLQSCGPYQIKEDVAMVYRRPYLTSGSAGFALNAISKAMKKQLKGYVEDTRAILMDNNWSVQTTVCWGQRDRWLSFDGVEDFCKESKHRLVELPMSGHHVQEDSGEELGQLIAGIVGKRSSL >Solyc10g084720.1.1 pep chromosome:SL3.0:10:64263241:64266931:-1 gene:Solyc10g084720.1 transcript:Solyc10g084720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMAKRAQAMWRMRLHSAIRTALACIIIGCTTLLSPPSIARHLAFPSFSYVTAIIIVPDATLGKVLRGCWSACCATLQVMPLSMVGLWIHGFATDDNNFSPLVASVMVAGSAFLVALPGNTDLMCKRIAFAQLVIVYVDAVVHGIRVNIVMHPLRVASSTALGAVASVLALLLFFPWLAYFEVRKLYGMYAENASERLDLYIKALHSPNEQIAMEILSQAKPISQTGTKLLQSIKLLEGSLRWEKPWLRFIVPCFTDPGNGLHDIESPMKGMEIALTSCPCFQTTIIDEKLMRVFSHRVLQLLGLKLEQARCLLRTHSMIVTETEGVFENEFTIFSPESISLTSLDQPAIFFLSCIKMCINDLIMTKGSKDSGGSDKVSSKRVCINWTNLMNRESLVFACKCSISLGLAVLLGLQFNKRNGYWSGLTTAISFETGKVAIFTVANARAQGTALGSVYGVLGCTAFQNFARIRFIAMIPWIIFASILRHSKMYSTAGGDAAIIGALLILGRRRYGPPSEFAIARLTEALIGLSCFIIIELVIQPTRAATIAKNHLLLCFGTLKSCTKQIDLDSGQINGFKKKQRQLNSQVEKLQKFIVDAELEPGFWFTPFPVSCYQNLQRSLSNVVHLLYFMAYSIESLIQALDSCDAERNKIQEHLKKDRQIVNDAISSSMKCIEKTISIGMSRAFQDQPEDHKVVYDLEEGKSQREYTTTSTSNKEWKASSDFLEHSKEVIDNMTSIEGKEENIRNIIICLCSIGFCMSSLMREVKDLEKGVKELLNWEHP >Solyc01g094725.1.1 pep chromosome:SL3.0:1:86040083:86046248:-1 gene:Solyc01g094725.1 transcript:Solyc01g094725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCHVQAQLFRCIMLKELEGSFVNAIIFYINDTTLRFTIREFAIISGLNCSDNAADFNFDTDQPNRIIDEYFLGNSPVTKARLAEAFKAKVWGDNQEDAYKFGILYYIHEFIMSAEPTTTTIDRLDFDLVETGRFMDYPWGRKAFNELAKSINNKIKPCGQYYRIQGFPLPMQVWFYECCSYVDDKIAVKVSSHIPRIINWVTKNDHPRFDYFMKTIFNDADNPIKFRNIEPTAMEIKILKLSQSTEQSMSQGLQTDHNKVTDPDDDFQNPPSITSIKGKEKVIGCSSPIRKKKKQSVTVISSTKAVKTYTRRSMARKATRSQSININSVAKHSDAGTSHNNENVEQKSVQDRTQMGQIKKSTSITISRDEFEAFKKSVKDEFADLRKMLEDKFKIVLEAMNSKVSHGNVVDDDQESPIGDVHHKPTYTPHEPQSQSANVTEQEATFEDVMQETHITRVHQLNTKSSQLGAQKKPIGHHSALKDRELGDNLQELNQNSPLLDHVVLGDNLNDVSGTASQDQLVLYANMDAQQNVQRETESSSNSRVIYNIYNAASHERIAEAEESILVAAPIQMVYMLDSNQETVVTESQVELPDHLLPSVNTLQNIVLQKQVEAEVTPMPAVRHRRPGPFNISPYMTSFGLDSGSSSRQPVVFYMKHPFVSLSDKEESDLFSNFWIWLKEDLLVKHYKKNYAEDRYKKGKAILPQLFNFGVATIDNKNWFYNIGFERQLIDNSKYAQLIPMYLVKSEFYQKKGLDIASHHRYQGHTVYDSFEIVYVEDLPQQPAASLDCGVYVASYAEFLSESKDISAVLDPEEIRLRYGALLWNYGNQKIQVGAASDIEAPLKPVRNRTQNNSSERITIQ >Solyc09g057633.1.1 pep chromosome:SL3.0:9:50221486:50222147:-1 gene:Solyc09g057633.1 transcript:Solyc09g057633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNEDRNLEEMTKTMKEKFKKYWGEPQKMNKMIFISYILDPRNNHDYIPFSIVDMFEKEVGEKLCSEVKKYMNKLFEYYVKKFPKSSLHVPSSPTSSNNSSKRMRTKQQFEKHKKVSGSSANKSELKRYLAEDIEPHSDDFDILIWWKVNESRFPIFAEMVHDVLVIPISSVASLCAFSTRGRVLDPFRSLLTPKIVQSLICVQY >Solyc11g008180.1.1 pep chromosome:SL3.0:11:2438583:2439742:-1 gene:Solyc11g008180.1 transcript:Solyc11g008180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDQKIAKPKIWHMCLYICVGANSQNFANTGSLVTCVKNFPHSRGMMLGLMKGFVGLSGAIFTQLYLAIYGNDSKSLILLIAWLPALLSMIFIFSIREKKFSRHPNEVKVFYVTLVISIVLALLLMGITIAQKEEYASWKLNKKELESVPSRVIVEEPPLPISRARVSEIELPEYSSMVKVQEKKEVGCFSDIFKKPKRGEDYTILQALLSIDMLILFVATFCGLGCSFNINRQIGESLGYPQHTIIVGRLYDNEALKQLKSKGLTRSMVKELNQCYRNSFIILACVNLFGASVSLILVKRTMDYYKSDVYKRFRDQMEANKKEVKMISTTEK >Solyc07g008400.2.1.1 pep chromosome:SL3.0:1:69248697:69249536:1 gene:Solyc07g008400.2 transcript:Solyc07g008400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAIKRANPSSEQGLHEFQTEIELLSKLRHRHLVSLIGACEENDEMILVYDYMANGTLREHLYKHNKPPLSWKQRLDICIGAARGLHYLHTGARYTIIHRDVKTTNILVDDKWVAKVSDFGLSKTGPNLQQTHVSTMVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLSGRPALNASLPKEQVSLADWALHCHRKNTTKELMDPHIKGEIIEECLKQFIDTAVSCLSDHGTDRPSMGSVLWNLEYCLQLQSDPDEPKMVAEQKANDAYAMHKELLT >Solyc08g075950.2.1 pep chromosome:SL3.0:8:60148280:60153307:-1 gene:Solyc08g075950.2 transcript:Solyc08g075950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTSTSVVGGGGGGEGGMGYGYGYRPPFTAVQWQELEHQAMIYKYLVAGLPVPPDLVVPIRRSFEAISARFFHHPSLGYCSYYGKKFDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQSTPQSLSTSMSQITAGSSNTRGSFQNSSSGSFQNMPLYSVANSGTLNYGSTGTKLQMEPVSYGIDNKDYRYLHGITPDADEHNLSSEASATVRSLGMRTNTDSTWVLPSQISSSPMARSKNDSQLLGSSTEMHLPNLLEPMIDATISKRRHQHCFFGSDIDSPGTVKEEQHSMRPFFNEWPTAKESWSNLDDEGSNKNNFSTTQLSMSIPIAPSNFSSRSACSPNDT >Solyc10g080390.2.1 pep chromosome:SL3.0:10:61786749:61793396:-1 gene:Solyc10g080390.2 transcript:Solyc10g080390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKSDTDNKVDDYEVLEQLGKRTFGTAFLVLHRTEKKKYVLKKIPLAKQTEKSKRTAHQEMNLIAKLNHPYMFEYKDAWVDKESCICIVADYCEDGNVAEIIKKSRGAFFPEEKLCKWLTQLLLALEYLHSKRVQHRDLKLCNIFITKDNDIRLGDFGLAKLLDAEGLASVVPGTPNGMCPELLSGIPYGYKSDIWSLGCCMFEIAAHQPPFRAADKTALINKINRGLFSPIPIIYSSTLKQIIKSMLRKNPEHRPTAAELLRHQHLQPYLLLCHNPSSVFLPVKSPSSTKEKTRSSPGKSVSPRESRDRQLKLKEKRTVLYFNESDNIQPRNLSDNLLSCHNPSSVFLPVKSPSSTKEKTRPSPGKSITPRDSRDRQLKLKEKRTVLYFDESDNIQPRNLSDNYNTFRAKIETKRVDPTSYSVRISQDSEDSKSGEMSEAAVCNGYDQPETISQAETTITPSSSSSMAKIWSEEQEHASPEHVRRFEEDDRKSSKTKELEVLSSPLDNEEADIVECISEKCSRMTLSNGRSNAKTRCYDEESTSSNSQPAKPDTEAAPRCYAAETENGSECIEVTIDCMSSESDGSLLHKDELEKKTTMVCDSKQSKKDALRALDDKVSQLKSLAALASKEDKDDWGNPTLQRAEALESLLEVCARLLKQEKIDELAGVLKPFGDDGMSSRETAIWLTKSLMTAQKLSKGS >Solyc12g056740.2.1 pep chromosome:SL3.0:12:63767986:63775254:-1 gene:Solyc12g056740.2 transcript:Solyc12g056740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTGRALLNLSLLPFRFPLTRNPLLPQPRRVYLGFRPLSSTTTTAPSYAAVAEEEDALQPVKHSILLERLRLRHLRESPKPNSEIKQLVRKQVEVDDGGVKKSKKKAVASSFEELGLTEEVMGALGEMGISEPTEIQSIGIPAVIEGKSVVLGSHTGSGKTLAYMLPIVQLLRRDEELDGMLMKPRRPRAVVLCPTRELCEQVFRVAKSISHHARFRSTMVSGGGRLRPQEDCLASPIDMIVGTPGRVLQHIEEGNMVYGDIRYLVLDEADTMFDRGFGPDIRKFLAPLKNRASKTDDEGFQTVLVTATMTKAVQKLVDEEFQGIEHLRTSSLHKKIASARHDFIKLSGSENKMEALLQVLEPSLAKGNRVMVFCNTLNSSRAVDHFLNETQISTVNYHGEVPAEQRVENLAKFKSNEGDCPTLVCTDLAARGLDLDVDHVIMFDFPKNSIDYLHRTGRTARMGAKGKVTSLIAKKDLLLANCIEEAIKKNESLESLSVDGIKRDNARSRITEQKDKREKSVKVSNSRGKATASTGKSSSVTRKTIDSKRSAKTTDSKRSPKTTDSKRSPGTKFGKVPAKSKPKIAMKVSKKTSSSTGKRRVDSRSSSVSTKKLNVVGFRGRSSSSSNNTRVKSA >Solyc01g049770.3.1.1 pep chromosome:SL3.0:1:46290759:46291246:1 gene:Solyc01g049770.3 transcript:Solyc01g049770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLFLFCMTLIYRVQLDSHSFAYSSSIKSTSKPLKGKLNIIELKTQEDSSSSSSLRRLKVEKFLVSQKSNLTEASCSSFIGLKLAFSA >Solyc11g005410.2.1 pep chromosome:SL3.0:11:320887:323793:1 gene:Solyc11g005410.2 transcript:Solyc11g005410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4D4F4] MTTMKTVILMVLSQIASGSVNIFYKVAVADGMKVQIMVFYRLFFATAFMVPLAFIIERKRRPRLTWTILLQGVINGLLGAALGSNLYAESLIFTTATFSSAMSNLTPAVTLILAVLIGMEKLDIYRVAGQAKLFGTLLGIGGALILNLYKGMKIPLPSMNIHLLHNTNDTATTAQHAAYNDIWGSILAFLSCASYASWLIFQGRMRQRYPMYSNTALMCFCGAIQAGIYAFIRERDLSAWKLGCNIRLFTPAYSGIVSSGLGVTVVAWCTKQKGPLYVSSFYPLALIFVAIVGTIVLPEDLYLGSLIGSVFIVIGLYVMLWGTAKENTVAAAEALKHNDNGVIEIISYAGINQATAAVVPEFKTDDIIIPTSTAAHQEP >Solyc12g038423.1.1 pep chromosome:SL3.0:12:51044065:51045447:-1 gene:Solyc12g038423.1 transcript:Solyc12g038423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPISKRGQFLANLQDNKGNFTLIYDHSGLPFQDLIIDFINEAVNRLTNLTDFLYYLVKHALNLPEELPTLFEDHAANERYLCSSMVLLVGNTLYVLAYDFNSIYLLLVGRLFCGLGLSRVVNRMAPAWFVYMLCLCTTFSEPPMLELEDVLLPKSNSEKIENDLLHKGITQTFLLCAEETKQDEDDDQDCDNSEKLVCLVIVFSFYVTIPYS >Solyc09g018780.3.1 pep chromosome:SL3.0:9:16998972:17016204:1 gene:Solyc09g018780.3 transcript:Solyc09g018780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSNAFSLLNLDVADSQAEITNGDTDRVTGEAQKEVDERVQKLESASGECKLPLVWIDLEMTGLDIEVDKILEIACVVTNGNLTKSIEGPDLVIHQAKLCLDNMGTWCQEHHAASGLTEKVLKSTVTEEEAEKLVIEFVKRNIGTYTPLLAGNSVYVDFQFLKKYMANLASLFSHVLVDVSSVKALCLRWYPRDGKKAPKKENKHRAMDDIKESIAELKYYKGHIFKASKSNK >Solyc11g066560.2.1 pep chromosome:SL3.0:11:52614368:52635697:1 gene:Solyc11g066560.2 transcript:Solyc11g066560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKLSDKGIDGDDERDEEEEYSDEEEEDGEEDEYEPRLKYQRMGGSVQSLLSSDAATCISVAERMIALGTYSGAVHILDFLGNQYLSLRGKEIHKVLGRIDLCSRNRGSSRGALELVKEFAAHTAAVNDLCFDTEGEYIGSCSDDGSVIINSLFTNESMKFEYHRPMKAVALDPDYARKSSRRFVTGGLAGNLYLNAKKWMGYRDQVLHSGEGPVHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPHPELLVPHIVWQDDTVLVVGWGTSVKIASIKTNQNKGLNGSYKYITMSSLNQVDIVASFQTSYFISGIAPFGDSLVVLAYIPAEEDGEKNFSSTIPSRQGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVIIAKPRDTEDHINWLLQHGWHEKALEAVEANQGRSELVDEVGSRYLDHLIVERKYGEAASLCPKLLRGSPSAWERYKYLKTMKYVGNLLVIEVQLVIFSRWVFHFAHLRQLPVLVPYIPTENPILRDTAYEVALVALATNPSFYKDLVSTVKSWPPGIYSTSPVISAIESQLNTSSMTDHLKEALAELYVIEGQHDKAFALYADLMKPDLFDFIEKHNLHDAVREKVVQLMMVDSKRAIPLLIQHRDFIYPPEVVSQLMAAKTKCDCRYLLHLYLHSLFEVNPHAGRDYHDMQVELYADYDPKMMLPFLRSSQHYTLEKAYDICVKRDLLKEQVFILGRMGNAKQALAIIINRVGDIEEAIEFVSMQHDDELWDELIKQSLNKPEMVGVLLEHTVGNLDPLYIVNMLPNGLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYKEAKRAICLSEDVDQAHSKRNQQRASHLGERVISMKSMERGRRLPLPPGPTGWPVIGALPLLGSMPHVALAKMAKKYGPIMYLKVGTCGMVVASTPNAAKAFLKTLDINFSNRPPNAGATHLAYNAQDMVFAPYGPRWKLLRKLSNLHMLGGKALENWANVRANELGHMLKSMFDASQDGECVVIADVLTFAMANMIGQVMLSKRVFVEKGVEVNEFKNMVVELMTVAGYFNIGDFIPKLAWMDIQGIEKGMKNLHKKFDDLLTKMFDEHEATSNERKENPDFLDVVMANRDNSEGERLSTTNIKALLLNLFTAGTDTSSSVIEWALAEMMKNPKIFEKAQQEMDQVIGKNRRLIESDIPNLPYLRAICKETFRKHPSTPLNLPRVSSEPCTVDGYYIPKNTRLSVNIWAIGRDPDVWENPLEFTPERFLSGKNAKIEPRGNDFELIPFGAGRRICAGTRMGIVMVEYILGTLVHSFDWKLPNNVIDINMEESFGLALQKAVPLEAMVTPRLSLDVYRC >Solyc11g066230.1.1 pep chromosome:SL3.0:11:52247881:52249897:-1 gene:Solyc11g066230.1 transcript:Solyc11g066230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCEIICNVDVPSAYVGLRILLIDPDPTSLSNIAAILEEHSFKVTAIKQATVALSILREHIDQFDLIMVDANMLEMDYLEFVKSTQLIKDKSIILISSDVTIEMIKEAPTQGICFIYEKSLISSLKLKDIWKHVRLHDKKANEESQHYKAKQVNLMDNISCPTKMQDLKGKSKETKKRSTNEETQVIHSVSSEKEEEHSFLSKISTERPEKKRRNMKWTAELEKKLDEVVRELGDKAGPKNVLERMCVHDLTKECLTYRLKKYRSQKRQVPDVQPVTSTIFNEEHPSKVFNSSNSSADVNELFQGAYRPQPLEVPLVTLPSSNDSSLIECDEWINEFLELDDFELKSR >Solyc11g069590.2.1 pep chromosome:SL3.0:11:54516295:54521086:-1 gene:Solyc11g069590.2 transcript:Solyc11g069590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGRKEAAIALIITLVWIQKIVGDEQVHNASVTSNWTCSCLANPSFSVPENCSSSCDCTIDESSKNKWICICAVDGFPKVAADHNHSSCFTACDCRYGTQLEMQSAKKKISSKVILVILLLCAAVITLGFVASMLCYAYRRDKYSIQRSLFSSDKDTSCNSATNLILSQATSIGEHGGYTGSSNCITGCVPKASNLFKRKPEVFYGTIIQFSYADLESATNKFSDSNLIGVGGSSRVYRGYFKDGKTLAIKRIKTQAGQDGDFAFLTEIELISRLHHRHVVPLLGYCSEHHGKHAERLLVFEFMENGNLRDCLDGASGRHLDWSTRVAVAFGAARGLEYLHEAAAPRILHRDVKSTNVLLDDNYRAKITDLGMAKHLQNDGIPSCSSSPARMQGTFGYFAPEYAIIGRASLKSDVFSFGVVLLELITGRQPIHKSANKAEESLVIWATPRLLDSKRVVSELPDPNLNGEFEEEELQVMAYLAKECLLLDPDSRPTMSEVVQILSTIAPETSNRKHFSRDDFKGSFSYDNKSNGESSGFVEAEEIKQITSENRAAHCLLPNCAFIHCRVSNDPKEDVIPAAYVENLLLQSSNSKSWSLHDDESVDLTEPRFEKFHMPTVRV >Solyc07g045570.2.1 pep chromosome:SL3.0:7:58837027:58844000:-1 gene:Solyc07g045570.2 transcript:Solyc07g045570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKSQLAETELLLAEIKSQLASAQKANSLSEIKLKCMVESYNSLETRNEELQAEVNRLQAKIGSLDNHQDTLASCKDFDEHLQSCDNLLIETEIQNPQRRRQISNRSCNFTRGGEEEKRRSSVTGKLNVGVKIKQEIKKPKYVLKLFM >Solyc08g007570.3.1 pep chromosome:SL3.0:8:2122028:2123775:1 gene:Solyc08g007570.3 transcript:Solyc08g007570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVNSTEWSASRIHYFSGKKKNPKKFRVQVHRLAWRKRSEEKAAVGKNMELKNLKLYMENMSIFEENEKLRKKANLLHQENIALFSEFEKKISLLDRVSATLNLIKDRARV >Solyc02g086730.2.1 pep chromosome:SL3.0:2:49997000:50001669:1 gene:Solyc02g086730.2 transcript:Solyc02g086730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSTITLRSPSPISPATASSTHASISFPTKTLELPIRTPKLYHRRATLVRPLAAVEAPEKVVQLGDEISNLTLADAQKLVEYLQDKLGVSAASFAPAAVVASPGGAAADAPAVVEEKTEFDVVIDEVPSNARIATIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEEAATFVRPLAAVEAPEKVVQLGDEISTLTLADAQKLVEYLQDKLVIDEVPSNARIATIKAVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEDAKKQLEEAGAKVSIA >Solyc10g044540.2.1.1 pep chromosome:SL3.0:10:26753052:26753318:-1 gene:Solyc10g044540.2 transcript:Solyc10g044540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGLLIQEGSSIKATGRNAQILVSETYLGRVVNSMAKPIDGRGEISASEFRLIEYPAPGLILRHSIYEPLRTGLIAFDLMIPIGRGQ >Solyc09g005910.3.1 pep chromosome:SL3.0:9:629656:638895:-1 gene:Solyc09g005910.3 transcript:Solyc09g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKVSSFITQGVYSVATPFHPFGGAVDIIVVKQHDGAFRSTPWYVRFGKFQGVLKGAEKVVRIEVNGKEADFHMYLDNSGEAYFIKEATSDNENEENGCLKKPDNLKSEGDSSNLGNGNHNESRKDDVLSKSEEDEYNAADLPLRDERVTLGMDRLNRVDSDADRRFYEFQDDQSSLDDSVDLSEYGSSRYDNLDVEHVLESQDSSSEVVLVSVDGHILTAPISSSERNSEDVELDTPQFHLGPGQGTDFCDDSSEFNSGDSTWADDYFSNLNSSKVASGDTCDVKNESTTVEHQLEVSEVDGKHLDRTPEDDLKNREEDLSMKSTVESTSCSIKRDDVFKSCLELSALAMQAEDEVNQSDTVSQSDIQGVVEDVKETSHRSPSAISAEDIHPEKLGNENGTHDSDSVTLQKSDLDVEHNASDSARDHPFMNDEQSKEHADLTVAAEQAQSELQGFDESTERDNVEQQTAAFLLVISAGVEISLCRHLLHAGMGSAAAREAFEANRVSEEVFRNSAKSMINNPNLAVRIQGNYLQWDKAAPIVLGMAAYNMELPVDSTDVIPVEQDKNLKTGEDDSGLPSTPGRRWRLWPMPFRRVKTIEHTTSNLSNEEVFVDSESISPNQPTEQTASPQGGKESPRKQLVRTNVPSTGQIESLKLKEGQNLVTFIFSTRVLGDQKVEAHIYLWKWNTRIVISDVDGTITKSDVLGQFMPLVGKDWTHSGIARLFCAIKENGYQLLFLSARAIVQAYLTKSFLFNLKQDGKSLPPGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKALFPPDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAINHQIDVKSYTSLHTLVNDMFPPTSMVEQEDYNLWNYWKMPLADVDNL >Solyc06g074940.3.1 pep chromosome:SL3.0:6:46584717:46588968:1 gene:Solyc06g074940.3 transcript:Solyc06g074940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDASKKREAQKKAAAAAKRGGKSKAAVMKAAAAATSTPSESNGVDELTNGVGEIQLSDRTCTGVLCSHPLSRDIRIESLSLTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLSAIGCRELPIPDHMDIFHLTREIEASDMSSLQAVISCDEERLRLEKEVEVLAAQDDGGGEQLERIYERLEALDASTAEKRAAEILFGLGFNKKMQEQKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKTLKLYTGNYDQYVQTREELEENQMKQYRWEREQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVAKDKVLVFRFPNVGKLPPPVLQFVEVTFGYTPENLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGELVPLDGMVRRHNHLRIAQFHQHLAEKLDMELSALQFMIKEYPGNEEEKMRASIGRFGLTGKAQVMPMKNLSDGQRSRIIFAWLAFRQPHMLLLDEPTNHLDIETIDSLAEALNEWDGGMVLVSHDFRLINQVAHEIWVCENKTVTRWKGDIMDFKLHLKARAGLGDE >Solyc01g108740.3.1 pep chromosome:SL3.0:1:95850389:95851473:-1 gene:Solyc01g108740.3 transcript:Solyc01g108740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKFLVSIVLIVLLPYVNSTTSGHKTIKHFVLVHGACHGAWSWYKIVALIRSSGNNVTSLDLGASGINKNQVLEIPHLSDYFSPLMEFMASLPTHEKVILVGHSFGGWAISNAMERFPEKISVAVFVTALMPGPTLNATTLLTKLQSFNGNVSQLDNRLTYDNGPTNPPTTFIFGPKYLARNVYQLSPIQDLALATTVVRPLYLYSVEDFAKGIVVSSKRYGTIRRVFIVAVEDKTLPKEFQHWMIENNPPDEVKKILGSDHMAMMSKPLKLFTLLLRIAHMGRAY >Solyc04g014572.1.1 pep chromosome:SL3.0:4:4833797:4835371:1 gene:Solyc04g014572.1 transcript:Solyc04g014572.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLPPPPFMIFSSKLKVCQGHAIPPNTSKGDVTRAHMRKMTTMVPKGSAAVALYAIATLLRKQNVRNRGPQNKAPCPKKMIEKILHGSLVNHSENTYNVNNCVPLPSAAAIKDL >Solyc11g065323.1.1 pep chromosome:SL3.0:11:51011890:51016307:-1 gene:Solyc11g065323.1 transcript:Solyc11g065323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENFNKNLILSMKETFQDSEGFRFEEPAQPIVGEELSEVFGRTFTSAGEKLGRTSTSAGEELEESDDSDNVDLSDEGEDEYGQVLAFLQHQLNHNQAQREEEVDQEVLQNRLVLLEGEGTETGLAGTGRGRGTTTGSVNETRISGAVNGFGPTGTGRGTGTDIVGRGRGTATSVARMGRRRGTGVAATATDIPGATRGVKRPRIVGMRILHTQSGFKIHNPGCL >Solyc03g111785.1.1 pep chromosome:SL3.0:3:63904268:63906318:1 gene:Solyc03g111785.1 transcript:Solyc03g111785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENTFDPDLVHEIFKLVWKRKAAERGKNELSENIDNEVGASSSKRIRPTFGKNLANALFSSQVAIMELQKPIAYLKKGVRHDNRAAENGYFPWTMSYPSHVCVSVLMIKANANALKLSSELLRVFVAEAIQRAATIAEAEGSVKIEATHLERILPQLLLDF >Solyc03g115990.2.1 pep chromosome:SL3.0:3:67022506:67026947:1 gene:Solyc03g115990.2 transcript:Solyc03g115990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSVDVIEGCVLTESHILCHYFTNSPCFYMKTYPFFNPLCILLTRILFQAKRTNFSLSNFSRPLHFTFPAPPYFSPELLGAEHHSSVDQDLAKNQPVTICTLVLKQFGILSRCEMAATSATTLSVGSTTSLGCKGSSISQSKAFGVKFNSKNNIRSFSGLKAATTVSCESESSFIGKESLAALKQSITPKAQKGNRGYVSCVQPQASYKVAILGASGGIGQPLALLVKMSPLVSELNLYDIANVKGVAADLSHCNTPSQVSDFTGASELANCLKGVNVVVIPAGVPRKPGMTRDDLFNINANIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKRKGVYDPKKLFGVTTLDVVRANTFVAQKKNLRLIDVDVPVVGGHAGITILPLLSKTKPSTTFTDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDSDVYECAFVQSDISELPFFASRIKIGKNGVEALISSDLQGLSEYEQKALDALKPELKSSIEKGTGFVQKEPVAA >Solyc10g047704.1.1 pep chromosome:SL3.0:10:41761756:41762506:-1 gene:Solyc10g047704.1 transcript:Solyc10g047704.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIVLMKLFAHHFVVVEFDIYTNYYDTRGDHVGIDVNSMQSYATVNCTYNSIAKNISVVFTGFKKQVNTTVTILFGFTGATRNFIEIHIIYSWNFTSSFKYNDNIKHPDVPLPSPVPEDSLSKNKSRLVIRLITSRCVSIVVSIFIVFIIDGSLTYEFERST >Solyc09g061600.1.1.1 pep chromosome:SL3.0:9:60177235:60177474:1 gene:Solyc09g061600.1 transcript:Solyc09g061600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRFGGSINLDVQYLCRYCDSRMDLVPHYSKHLNICTSIASYANIENTFNVGIRILRGSKKSIAKTFLHRIELINAKV >Solyc01g090720.3.1 pep chromosome:SL3.0:1:84229367:84234214:1 gene:Solyc01g090720.3 transcript:Solyc01g090720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSMKQIQEKLIEVEIEGESLLLARQQLVENDRVRNGNREALTALRRRAKTTKSSIPTPFDSIMRNVESRPLVKEICSTCGNHDSKEKTWVMFPGTDVFANIPFHAAHTILEKDQTLLDYEANKLQSIVKEKSLWISDKGVLADTVSPGVLRSMVTLSDKPKTARNE >Solyc03g058217.1.1 pep chromosome:SL3.0:3:25742999:25743863:1 gene:Solyc03g058217.1 transcript:Solyc03g058217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSFPGLLHTAGHTTRADHAQCCNLNHKKGDIVDTASDWSEVAVRALSHIDSSMGSSAPKPEMSIIQGTLTWSTPCVRNWQTPPKEVRWVYLGKIQCTSKFHSFVGSVWSGVDHNDSSLLENPYIPYNSTDNCVHRFRFNLNGIIKWIT >Solyc02g031790.2.1 pep chromosome:SL3.0:2:27477844:27481371:1 gene:Solyc02g031790.2 transcript:Solyc02g031790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLERRITLVIIIMFVHFTASLAIIGTDEIALLALKSHLSSDPNNNNNAVLQTNWSCSIPSSVCTWIGITCNGRHRVTALDISSMQLHGTIPPHLGNLSFLVSLDISNNTFHGHLSQELTHLRRLKLIDVTRNNFSGAIPSFLSSLPNLQFLYLSNNQYSGEIPSSLSNLTNLQELRIQRNFLQGKIPPEIGNLRYLTFLDLQGNRLTGSIPPSIFNMTSLTRLAIIHNRLVGKLPVDICDNLPNLQVLLLSSNNLDGRIPPNLQKCSNLQLLTLSGNEFTGPIPRELGNLTMLTILHLGENHLEGELPAEIGNLHNLQVLGLRNNKLNGSIPAEIFNISALQILTMYGNQLCGSLPLDLGVGTPNLEEVYLGLNELSGRIAPTISNSSKLTLLGLTDNKFTGPIPDSLGSLEFLQVLFLGGNNFMNEPSSSELTFVSSLTNCRYLREVVIEDNSLNGFLPSSIGNFSDSFRMFVARRTKLKGTIPEEIGNLSGLGVLALSHNDFTGSIPDKLRSMKNLQEFYLENNSLSGTIPDDICSLRNLGALKLTGNRISGSIPACLGNVSTLRYLHLAYNRLTSTLPETLWSLQDLLELNASANLLSGSIPPEVGNLKAASVIDLSRNDFSGNIPSTIGGLQKLISLSMAHNKLEGPIPSSFGKMVGLEFLDFSYNNLTSEIPKSLEALSHLNYFNISFNKLRGEIPSSGPFANFTSQSFISNSALCGAPRFNVSPCLIKSTKKSRRHRVLTTLYIVLGVGSMILTSVLGYVLLRWQKRRKNSGPTDASLVKRHERISYYELQQATEGFSQNNLLGTGSFSMVYKGILKDGAVLAAKVFHVELEGAFKSFETECEILRNLRHRNLTRVITSCSNPDFKALVLEYMPNGTLDKWLHSHELFLDMLKRLDIMIDVASALDYLHNGYPTPVVHCDLKPSNVLLDQDMVGHVSDFGISKLLGDGDTFVQTRTIATIGYIAPEYGQDGIVSKCCDVYSFGIMMMETFTGMRPSDEMFTGDLNLRCWINDSFPNGVVDDNLLRPEEEHSKEKMQCGS >Solyc05g051015.1.1 pep chromosome:SL3.0:5:62067455:62068370:1 gene:Solyc05g051015.1 transcript:Solyc05g051015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMYGNQENSMKYPSLTPFVHVDDVANAHILLLENSKAKGRYICSAVEVTPDELFDFLSARYPEYRIPNVDSLREVGGIKHPSLSSKKLLDSGFKYKYGLEEMFDGAIECCKQKGLL >Solyc07g041750.3.1 pep chromosome:SL3.0:7:54201877:54214825:-1 gene:Solyc07g041750.3 transcript:Solyc07g041750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHHNNHHSHHHSTATDGVPQRVNSPRFSGPMTRRAHSFKRTNNTNQNAQNTGGGSSNSTATLNTHHEIDVPLNSPRSETNANIADEYEILGEKKHTHLSNVIQRVHLRKKLESLTVDFGFGLELKGRKKLGHWMFLVFCGFCLFMGVLKFCAYGWFGSAIERVAYSQDSYDSLVSLRDQSTHTYRHMDGDTKHSGERNHLEQTLSMVASGVVGNQNNMLDYSEIWLHPNSENFTQCIERTKSQKLVDAKTNGYLLINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADESGFKDLFDWQHFIETLKDDIHIVETLPPEFAGTEPFNKTPISWSKVSYYKSEVLPLLKQHKVMYITHTDSRIANNGIPNSIQKLRCRVNYQALKYSAPIETLGRILVSRMRQDGNPYLALHLRYEKDMLAFTGCSHNLTAEEDEELRSMRYEVGHWKEKEIDGAERRKLGGCPLTPRETALLLKGLGFPPSTRIYLVAGEAYGNGSMQPLLENFPNIFSHSTLSTEEELNPFKNHQNMLAGLDYVVALQSNVFVYTYDGNMAKAVQGHRRFENFKKTINPDRMNFVKLVDELDDGMISWKKFSSKVKKLHETRSGAPYMREPGEFPKLEESFYANSLPGCICEKTHR >Solyc02g063310.2.1 pep chromosome:SL3.0:2:35915747:35916471:-1 gene:Solyc02g063310.2 transcript:Solyc02g063310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METANIFGSQSHTNIGLGSNAGLAQKLFAEAIGAYVIIFAWCGSVAMYKLQDDESITFGGINMTWGAVVMVMVYSMAQVSGAHFNPAVTLIFTVFRRSPWKLAPVYIIAQLIGSILAGVTLALLLDVNPIVYFKQFF >Solyc04g009510.3.1 pep chromosome:SL3.0:4:2930696:2942579:1 gene:Solyc04g009510.3 transcript:Solyc04g009510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTRLEEMAIMSNEEEKRCPLCAEEMDWTDQQFKPCKCGYQVCLWCWHHIMDMAEKDESEGRCPACRTTYEKEKVLLQRKEYFGQYGKVTKISLSRTTGGAIQQFVNDTCSVYITYSKEEEAVRCIQFVHGFVLEDRYLSDVYFDFPYIKNGLCRASFGTAKYCHAWLRNTVWTVPCSNPSCLYLHSIGADEDSFGKDDVAAIHTRNRVQQIAGDASNMMNRSGNVLPPPIDELSSTGFTLTETSSIRNAASDASNDTVNYSSYMAHVVPHDKDGDAGGPNRMTTFVDIVGRYNTSGAESDGNSTEDCRILNLSSDLSSVTISKDNHRHEKYPDKTLFKVPSSNHIVNHPRGNLNFVEFSDEPYREDYTSFDSQGLKDPNNMDQKAFLMPSCSTQSTENSGSRLLVPTPCSLSSNGMDYGALHNQVDEASLPHSCVNAILNEGLHDLKFQSSVKSDRVYRSSTSFSNEEIVEHLRRIDGDNLTNYQDSSFNAIESSVISNIMSKDLNSCDDSMALRRSFVGSYGESVGKHGASWNSLHSDQLGFSFVKQDDFSWQGGDLESSFRNIGQISKNSSILQDFGENKDQYVNKAPYQITRPKVSAPPGFSMPPRDLPHGFASSDDIGGMPRSLSGSRLVNSSSSLLHTPSTGSISSAGDIDFVDPATSVFGNGKPTNGFDISALEIGSACVPQRRGFEDEARIWHLMQQKPLDQGKFSQRIASQTPSMHQSRRHPSHGGDEYLGLDDIYGFSSRMVDQHQSFNSSSYSQFPQQKLATNDHIFNGFQHNSLDDIHRGNEVDNMTQHQSNDSLGFKKFYAGYGDVMFQTPRSGDVYTRGLDSMAEVPASPGGGCGSHESGGERSPQSNVREQDRYLPIANIGRIMKKGLPTNAKIAKEAKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLIWSLTTLGFEDYIEPLKAYLIRYREIFCVSVVYMNFLCSFEELLFYPVLLSDYQLINVSFSGDESFYLLVCGLIMVLQMEGDTKGSSRAGDTSARNDIVGSQLSPGTQFVYDGSFSQGFDYGNSQM >Solyc05g006327.1.1 pep chromosome:SL3.0:5:972353:978580:1 gene:Solyc05g006327.1 transcript:Solyc05g006327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVAFHLLGKDLYSGRVKGIGREEGSLYIFRTDSDMKSKCETQTSQKIVAEDSEEIAPDDYIPTDTQNDVTSTSLSGVPDEILHTQHKDMLLQDSNVDSMSSRKSSRLTKPPIWMKDYMTTAVGQNRLSGAKPVNTPLETNLRLTSAEFDQAAGIQGDDVLIDNSAYQRLVGKLMYATITRPDISYVVQTLSQFMQHPKRSHWEATIRVVRYLKGTVSQGIWLKAQPPTTLTCWCDSNWAACPNTRRSVTGYIVKFGDSLVSWKSKKQQTVSRSSAEAEYRSMASAVAEVTWLIGLFNELNVPIQMPITDH >Solyc11g045110.2.1 pep chromosome:SL3.0:11:31997803:32010135:1 gene:Solyc11g045110.2 transcript:Solyc11g045110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEWLNGYLEAILDVGSERNGSRQKKPISSNNFKHNNMEEILRLEIHKEKLFSPTKYFVEEVVNSFDESDLHRTWIKVVATRNCRERNNRLENMCWRIWHLTRKKKQVEIISCDTEKLQIAWDDAQKLVKRRVELEKGRFDAAEDLSELSEGEKEKGDINTSESHHVISRINSDTQIWSDEDKPSQLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANMKGVHRVDLLTRQITSPDVDSSYGEPIEMLSCPSDAFGCCGAYIIRIPCGPRDKYIPKESLWPYIPEFVDGALSHIVNMARAIGEQVNAGKAVWPYVIHGHYADAGEVAARLSGTLNVPMVLTGHSLGRNKFEQLLKQGRLTKGEINTTYKIMRRIEAEELGLDTAEMVITSTRQEIDEQWGLYDGFDIQLERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSNIKAQDSLEGDGDLKSLIGAAKSQKRPIPHIWSEIMRFFVNPHKPMILALSRPDPKKNVTTLLRAFGECQALRELANLTLILGNRDDIDDMSSSSSAVLTTVIKLIDKYNLYGQVAYPKHHKQPEVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPIVATKNGGPVDIVKALHNGLLIDPHDQKAIEDALLKLVADKNLWLECRKNGLKNIHCFSWPEHCRNYLSHVQHCRNRHPANCLEVMKPAPEEPMSESLRDVEDLSLKFSIDVDFKANGEMDMARRQHELVEILSRKANSINKPIVSYSPGRRKVLYVVATDCYNSNGSPTETLSLTVKNIMQVARSRSSQIGLLFLTGLCLQETKEVVNSCPTNLEDFDALICSSGSEIYYPWKDLGLDDDYEAHIEYRWPGENIKSAVMRLGKIEEGSEHDIAQCPSASSFQCYSYSIKPGAEVRKVNDLRQRLRMRGFRCNVVYTHAASRLNVTPLFASRSQALRYLSVRWGVDLSSMVVFVGGKGDTDYESLLVGLHKTVILKRSVEYASEKLLHNEDSFKTDEIVPPESTNICAAEGYEPQDISAALEKLGVM >Solyc03g111575.1.1 pep chromosome:SL3.0:3:63683998:63694440:1 gene:Solyc03g111575.1 transcript:Solyc03g111575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFFVHKFICLSLLQDNYLEEAMKVRNLLEEFHGKHGLRPPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAKPLKVRMHYGHPDIFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRIGQLFDFFRMLSFFFTTVGYYVCTMMTVLTVYIFLYGRAYLAFSGLDEGISRRARFLGNTALNAALNAQFFVQIGIFTAVPMIMGFILELGLLKAVFSFITMQLQFCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYLAYGYTNGSTTSFILLTLSSWFLVISWLFAPYIFNPSGFEWQKTVEDFDDWTNWLMYKGGVGVKGDDSWESWWDEEQIHIQTLRGRILETILSLRFFLFQYGIVYKLQLTGTDTSLAIYGFSWIVLVGVVMIFKIFTFSPKKSTNFQLMLRFIQGVTALGLVAALCLVVALTELSVADLFASVLAFIATGWAVLCLAITWKRVVWSLGLWESVKEFARMYDAGMGIIIFAPVAILSWFPFVSTFQSRILFNQAFSRGLEISLILAGNKANVEPSTF >Solyc09g007050.1.1.1 pep chromosome:SL3.0:9:707499:709454:1 gene:Solyc09g007050.1 transcript:Solyc09g007050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKAFILHRLKSYTNIDYTLYGYLLQLCKEHCLIRQGKQLHARLVLSSTIPSNFLASKLINFYSRNEHLKEAHHVFDEIPERNTFSWNALLIGYSSKNYHVETLKLFSLFLSENFETPHVKPDNFTVTCVLKAVSGVLGDSVLAKMIHCYVLKNGFDSDVYVLNGLIDSYSKTGDLVLAKNVFDEVPERDVVSWNSMISGYFQCGFYEECKGLYREMLHLEKFRPGGVTVVSVLQACAQSNDLMLGMEVHRYVIENGIELDIAVYNSIVALYSKCGSLDYARKLFEEMDGPDEITYGAMISGYMIYGFVDQAVNFFQEIDKPCLSTWNAVITGLVQNNLYEQALEFVRKMQLSGDQPNAVTLSSILPGISDLSFAKGGKEVHAYAIKSDCNQNIYVATGVIDTYAKLGFIQSARRVFDHTNDRSVIIWTAIISAYANHGESKAALDLFNVMLSHCIRPDSVTFTAVLAACAHSGLIDEAWRIFELLEKYGIQPSDEHYACMVGVLSRAGKLSEAVDFIRKMPIEPSARVWGALLNGASVFGDVDIGRFACSHLFEIEPENTGNYTIMANLYSKAGRWEEAQELRKNMKILGLKKITGSSWMETCQGLKSFVATDESNEKSGEVYGVLERLLGSMRDDGYVIMDEFKEETM >Solyc06g073540.3.1 pep chromosome:SL3.0:6:45477054:45482654:1 gene:Solyc06g073540.3 transcript:Solyc06g073540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEENGGSTEALPPPPPVPLNFSPAQAEPEPVKKKVLRVPMARRGLGSKGQKIPILTNHFKVNVSNVDGHFFHYSVALFYEDGRPVEGKGIGRKVLDRVHETYDTELAGKDFAYDGEKSLFTIGSLPRNKLEFTVVLDDITSNRNNGTNGNSSPGRHGSPPNETDRKRLRRPYQSKTYKVEISFAAKIPMQAIANALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFADVGGGVLGCRGFHSSFRTTQSGLSLNIDVSTTMIIQPGPVVDFLIANQNAKDPFSLDWAKAKRVLKNLRVKTAPANQEFKITGLSEKPCREQMFTLKQKSKDEDGEVQTSEVTVYDYFVNHRNIDLRYSADLPCLNVGKPKRPTYFPIELCTLVSLQRYTKALSTFQRASLVEKSRQKPQERMQILSNALKINNYDAEPLLRSSGVSISSNFTQVDGRVLPAPKLKAGNGDDLFTRNGRWNFNNKRFFEPAKVERWAVVNFSARCDVRGLVRDLTRLGETKGISVEAPFEVFEESPQLRRAPPVVRVDKMFEEIQSKLPGAPKFLLCLLPERKNCDIYGPWKRKNLADHGIVTQCLAPGRVNDQYLTNLLLKINAKLGGLNSMLAAEISPSIPMVSKVPTMILGMDVSHGSPGQSDVPSIAAVVSSRQWPSISRYRASVRTQSPKVEMIDNIFKKVSDTDDDGIMRELLLDFYVSSGKRKPEHIIVFRDGVSESQFNQVLNIELDQLIEACNFLDEKWSPKFVIIVAQKNHHTKFFQSGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSPDELQELVHNLSYVYQRSTTAISIVAPISYAHLAATQVGQWMKFEDASETSSSHGGLTNAGPVTVPQLPRLQENACAPPPVLLYAVNVCSRQMVSVNSSSLTALGVRTLS >Solyc12g099040.1.1 pep chromosome:SL3.0:12:67324050:67326093:1 gene:Solyc12g099040.1 transcript:Solyc12g099040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSENGSMPKSWTHHVKIDNSSTFKSGFKSKPPKDDYSSTGGLCYGSRPSSLLMQNPQTMKITRIFRGVYLLFIAIRASTTLGFYEGEKIISQHLKLEELSERLRCFCSRWPPNFEFDKDKCIQLWVAQGFFDFEFGRRIEVVANEYFKDMVNKDFIVQSGFDIAKERMMYKAVSSDSLLRSSSWVQEDELTSITNEVQHLFLITQKKGGDCFKTLVKLRQLRTLILSNHNGNSIKYIPYGFKLPHLRILDLRGTRIFSLPESIGDILSLRYLDVSGTRILCLPETIGNLRYLQILKLQRCCNFYELPKCTNKLINLRHLDLDIVCQLTSMPVGMGNLTSLQTLKGFIVGKDEGYRVGELKHLNDLNGSLWISRLDLISSVEEAREVEMYKKRLTKLDLTWEQNTVNNFDLVEDILECLEPHLSLKELTVSYYCGSRFPTWMSCLPNILSITLRVSTNCSYLPSLGGLQSLKSLKIYEMHEVRWIDHHFCRYWGSQTGGGAAFPKLEKLTMVGMPKLESWTGVEDGDFPCLLELTASTCPKLVEIPMISSFTTLNTLSILMCRELQSLSKGKLPSKLQHFEISNCPLVTKRCLKPQGEDWFKIKHIPSIYIDGYDVTPKPKYGSRLLS >Solyc12g017280.2.1 pep chromosome:SL3.0:12:6479017:6496950:1 gene:Solyc12g017280.2 transcript:Solyc12g017280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRGDEDGGSSAMFSELKHYCIELLELHQNPKKNPSTLTHLLQFLRRSSPDDLQSLFDYTLFPLLLLLDAAIDSKSSPNVGSNERYMRPNTLSDIVMEGALHCLEELLKKCCLGSVDQFIVLTKKLTRGALLSPMEASEEFREGVIRCFKALLLNLHCCSSESCPCKQISGWPLLLERKSLHSPPVSKLKFKEEECLVAFLQSETASVAVGHWLSLLLKVADVEAARGQQGSASLRIEAFSTLRVLVAKVGTADALAFFLPGVVSQIGKVMHISKTFISGAAGSAEALDQAIRSLAEFLMIVLEDDLNLPFLGVLLDDVKKEKSSVSFLEALRQLPSTTHDQNLSEVVDRGTIALSSTEGERVNPRNTTRSLRIIRTKDWVVDTSSHVDKLLCATYPHLCLHPSRKVRRGLLVAIQGLLSKSSGVLSGSRLMLLESLCILACDDSEEVSSASQSFFGHLLSSHGKLHVKYDVEEIFNRLVKKLPKVVLGTDELHAIAHSQKLLVLIYFSGPQLVADYLLQSPVRTAQFLDVLALCLSQNSVFAGPLEKNVAAKRSSSGFMHSIAEIRAVRAADSDNLGSRKNQNRRVHTTESIKNEHQLPRLPPWFVYVGSQKLYHSVAGILRLVGLSLFADPRSEGPLSVIIDLPLENLRKLVSEIRMKEYSEESWQSWYSRITSGQLVRQASTAVCILNELIFGLSDQALDDFNRMFRAYVMEPLENKKYQEDASQHQKIEQSTTKGSVWKICQVKGERSHLVDCIGSILHEYLSPEIWSLPIEHTAALQQYDCEDANISSHFFNDNVMLHQEIHLSHLLLRLWFHVLFILSTSLLVAGYHIDICFAIVKQVIIDGIGIFSMCVGRDFSSSGFLHSSLYMLLHNLICSHFQIRSASDAVLHIIATMHDYPTVGHLVIENSDYIIDSICRQLRSLELNPDVPNVLAAMLSYIGVGHSILPLLEEPMRAVSMELEILGRHQHPDLTIPFLKSMAEIVKASKQEANALLDQTKAYCEDVKSRKLNLEKRKEKLFDDSDSYSDENVGKGSSESGAHIYSNDVQMQIEWETMLFKMNDFRRFRQTVGSIAGSCLTAATPLLASANQAASLVALDIVDDVFLTVAKVEDAYKLEKEIKEAIEHVAHMCSFNSLKDALDVDADETTENRLLPAANKVWPFLVSCLRNKSPLAVRRCTNTISNIVQICGGDFFTRRFHTDGKHLWSFLSTSPFQKRAPGSLEETHLKLPYRGSSASSGDSAAEISDLKVQAAVLNLLADLARNKYSASALEAVLKKVSGLVVGVACSGVVGLRDASINALAGLASIDPDLIWLLLADVYYSKKRETPVPPITGEFFEISEILPPPLSSKGYLYLQYGGKSYGFDIDFTSVETVFRTLHSQIFSSQMYS >Solyc00g007090.3.1 pep chromosome:SL3.0:2:32686622:32690530:-1 gene:Solyc00g007090.3 transcript:Solyc00g007090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEALAIAVGKYTYVHEVGKGPPEAIDAHHIVVRRSREKGFNLCLFTLLLFAYPTFLLFRQGKLDTLHIWSLVIMTLLMRLFLKKPVKKESVLILPAFGVQLETQYGSGKTVRQFVPISRILKPVLTECVTPITCYWSLSLIIRGEEELMLVFKELRPPVKMLAPIWKALCAAIECGECTETIT >Solyc06g083180.3.1 pep chromosome:SL3.0:6:48756099:48759052:-1 gene:Solyc06g083180.3 transcript:Solyc06g083180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFWCCSFKAEEWCVRECGLVLSNHGYLTRLYAQKARHRWQAENMEEEAKKVYRNAAFNRIVYEYTFSNWYELGRQPANTKISSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEATTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKGAAAKKETAEEGDGAATEETKKSNHVLRKIEKRQAERKLDSHLEEQFSSGRLYACISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKAAAA >Solyc02g023980.3.1 pep chromosome:SL3.0:2:25151431:25161796:1 gene:Solyc02g023980.3 transcript:Solyc02g023980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YNPSFVYILNENEKNFNSIVFNIYRVKYHNQVVKMGSESDDCKVMIGVDGGTTSTVCVCMPLLPFSDIHNLPDPLPLLGRAVAGSSNFNSVGEDVARETLEKIIAEALSDAGVKRSAVQAICLGLSGVNHSKDQEKILGWLRSTFPSHVKLYIQNDAVAALASGTMGKLHGCVLIAGTGSISYGFTEDGREARAAGAGPVLGDWGSGYGIAAQALIAVMRAHDGRGPQTKLSSCILQSLGLSSPDELIGWTYADPSWARIAALVPVVVSCAEDGDQVADEILHNAVQELAISVKAVVQRLHLAGEDGKGSFPVVMVGGVLGANKKWNIGNEVTNSILKTYPAACIIRPKVEPAVGAALLALNFLMKETIANDHS >Solyc02g081745.1.1 pep chromosome:SL3.0:2:46132818:46136005:-1 gene:Solyc02g081745.1 transcript:Solyc02g081745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFASLSSPSLVSVCDKTFIKPSSLTPPTLKYHKLSYIDQFYSNMYIPLAFFYPKVQQREESTDNELSQIAHLLQTSLSKTLVFYYPYAGKLRDNATIDCNDMGAEFLSVRINCTMFEILNHPDASQAESIVFPKDLPWANNYEGGNLLVAQVSKFDCGGIAISVCLAHKIGDGTSALNFVNDWSRMTLSPMTTTLSPKFVGDSIFSSNKYSPIITPQMLSDVSECVQKRIIFPTTKLDALRAKVAAESGVENPTRAEVVSALLFKCAIKATSSTTTSMRPSKFVHLLNVRSMLKSRLPQSTIGNILSSFTTTATKEEDIELPTLVRSLRKGVEEAHKKDHVEQNEMMLEVVESMRKGKKPYDDDYENVYSCCNLCKFPLYKVDFGWGKPERVSLPNGPFKNFFFLNDYKIGEGVDARVMLDKQHMSEFERDEELLDLIS >Solyc05g014835.1.1 pep chromosome:SL3.0:5:9039205:9040096:-1 gene:Solyc05g014835.1 transcript:Solyc05g014835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEGKNLGSNAKRRSRSSKASLKFPVARIARFLKVGKYAKRVGAGAPVFLADVLEYLAVEVLELAGIAARNDKKTRITPRHIQLAIRFDKELYQFLRDVTILNGGVIQKIHKILQPNNKSNASKAVVAAQKEGLD >Solyc01g103450.3.1 pep chromosome:SL3.0:1:91935328:91943874:1 gene:Solyc01g103450.3 transcript:Solyc01g103450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACMSLNIGAASALKFVSNSQNHPVKLFSAKEQRCSNFSSSNWGCFSLRVRRSSCLRFDTQKSFAVFNSLPVDNSNPKESVVVLVIGGGGREHALCHALRRSPSCDAIFCAPGNAGISSSGDATCISDLDVLDSSAVIAFCRKWGVGLVVVGPEAPLVAGLANDLVKEGIPTFGPSSEAAALEGSKNFMKSLCDKYGIPTAKYQAFTDPSAAKEYIKQEGAPIVVKADGLAAGKGVIVAMTLEQAYEAVDSMLVDNVFGSAGSRVIVEEYLEGEEASFFALVDGEHAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSMVMESIIFPTVKGMAEEGCKFVGVLYAGLMIEKKSGLPKLIEYNVRFGDPECQVLMVRLESDLVEILLAACHGKLHGMSLDWSPGSAMVVVMASNGYPGNYQKGTIIHKLEEAEQVAPSVKVFHAGTAFDADGNFIATGGRVLGVTAKGKDLEEARDRAYQAVEQINWACGFYRRDIARHGYQLWHDVYEETEAWHGSLRNHGYLGFAMASSTAQIHALGATYFANSSSSTRKPLKSVFLGQKLNNRTLAFGLKQKKSRGNNGGYAPMRVVAEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKSGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMNEVDEESKQVSYNVIRDENGNVKLDCPAIGKSFAAEEISAQNEIAAGVSEHSDKETVVLEQVWTSRVLLVLRKLVDDASKFLNDKVSKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFEKKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLAASFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTITRGKFEELCSDLLDRLKTPVQNSLRDAKLSFSDIDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPGDEVERMVKEAERFAQEDKEKRDAIDTKNQADSVVYQTEKQLKELGDKVPGPVKEKVEAKLGELKEAISGGSTQTMKDAMAALNQEVMQLGQSLYNQPGAAPGAGPAPGGADGPSESSSGKGPDGNDVIDADFTDSK >Solyc02g080960.2.1 pep chromosome:SL3.0:2:45591776:45592935:1 gene:Solyc02g080960.2 transcript:Solyc02g080960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEADCMEHDLGVSEEEERNFTALLTEELKQMEGETVENQRRADMQLLESKKMVSQYQKEADKCTSGMGTCEEAREKAENALEAQRQVTSMWELRARHQGWKQELV >Solyc06g069290.3.1 pep chromosome:SL3.0:6:43172909:43175531:1 gene:Solyc06g069290.3 transcript:Solyc06g069290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQLVLVGVYIVMYKGVADASISPMEKHEKEALYSAIQGFVGNEWNGSFLYPDPCGWTTIQGVSCDFSNGLWHVTDLTIGDLYDNSLRCSQAAKFTEHLFKLKHLKRLFFSNCFLSDQHKSIPISNWDSLANSLESLEFRSNPGLIGTIPTSFGYLKNLQSLVLLENELKGEIPEALGNLTKLKRLVLAGNNFIGPIPTSLGRLTNLLILDTSRNFLSGALPVTIGDLSSLIKLDLSNNRLHGKLPREIGGLKSLTLLDLSHNNFSDGLPQTIQEMDSLQQLVLSDNPIGDYVTRIQWRNMKNLEMLDLSNMGLKGNIPNSMTEMKKLRFLSLSNNVLSGTIPSKFEKISTINALYLDGNDFTGKLEFSQRFYTKLRSRFRASGNVKLCLSLELTSTSHVPEGVKQCEQDNTVDSKDSDSNLKYDQNSQHIIVSLGHSGHVVSRFTFCYVARIILMSLPWVVFL >Solyc07g040843.1.1 pep chromosome:SL3.0:7:50441446:50452056:1 gene:Solyc07g040843.1 transcript:Solyc07g040843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASIREDLSVYLLRHRNCHCHRHFSACLVGAAIKAPRMNSKNLISTRLLYMVWASFFETKLDSRWLCSVCFWIIVGFINLVCGLCIGIIGRNCALFDAQNSSFIVKIVVIESFGSLLGLFGVIVGIIILVSDAIKAPRINTKNLIKSLTGGYAIFASRIIVGFVNLVCGLCIGIIGSNCVLSDAQNSSFIFRIL >Solyc05g005700.3.1 pep chromosome:SL3.0:5:514496:518943:-1 gene:Solyc05g005700.3 transcript:Solyc05g005700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARVFLSRSVHLLSKGKRSHLGKIAAYKYSTAAAFEEPVKPTVNVDHTKLLINGQFVDSASGKTFPTLDPRTGEVIAHIAEGDAEDINRAVAAARKAFDEGPWPRMTAYERSKILLRLADLIEKHNDQIATLETWDTGKPYAQAAKIEVPMVVRLLRYYAGWADKIHGMTIPADGPYHVQTLHEPIGVAGQIIPWNFPLLMFSWKIGPALACGNTIVLKTAEQTPLSALYVASLFQEAGLPEGVLNIISGYGATAGASLCSHMDVDKLAFTGSTETGKTILELAAKSNLKPVTLELGGKSPFIVCEDADIDTAVEQAHFALFFNQGQCCCAGSRTYVHEKVYDEFLEKAKARALKRVVGDPFKSGTEQGPQIDSKQFDKIMKYIRSGVDSGATLETGGEQFGKKGYYIRPTVFSNVKDDMLIAQDEIFGPVQSILKFKDLDEVVRRANSSRYGLAAGVFSQNIDTANTLARALRVGTVWINCFDTFDATIPFGGYKMSGQGREKGEYGLKNYLQVKAVVTPLKNPAWL >Solyc11g069790.2.1 pep chromosome:SL3.0:11:54713142:54718539:-1 gene:Solyc11g069790.2 transcript:Solyc11g069790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASANAISTASIIPSPSKQGGLKNRKVSQLQGQRFGNKGAKNRFVVKACAKEIAFDQKSRSALQAGIDKLADAVGLTLGPRGRNVVLDEYGTPKVVNDGVTIARAIELPDAMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSLKRGIDKTVLGLIEELEKKARPVKGRDDIKAIASISAGNDESIGTMIADAIDKVGPDGVLSIESSSSLETTVHVEEGMEIDRGYISPQFVTNPEKLISEFENARVLVTDQKISAIKDIIPLLEKTTQLRAPLLIIAEDITGEALATLVVNKLRGILNVAAIKAPGFGERRKALLQDIAIVTGAEYQATDLGLLVENTPVEALGIARKVTITKDSTTIIADAASKDEIQSRIAQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATEAELEDRKLRIEDAKNATFAAIEEGIVPGGGAAFVHLSTYVPAIKEKIEDADERLGADIIQKALVAPASLIAQNAGVEGEVVVEKVKEAEWEMGYNAMTDQYENLVEAGVIDPAKVTRCALQNSASVSGMVLTTQAIVVEKPKPKVAAPAAPQGLQV >Solyc07g021300.1.1 pep chromosome:SL3.0:7:16824838:16825374:1 gene:Solyc07g021300.1 transcript:Solyc07g021300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDFARKTWEWIRISVAVTVVEASGELRVYALDLELGAHRLTEFGDWFAIVLLELEKKRVAVVRGLFTVVARRKGVLVGVWGFSIGAPQETRMVLWWRFRFSFGGWWLLVPATNQPEKTKSLKAQKNKLKLFYMFPIKKIR >Solyc09g073000.3.1 pep chromosome:SL3.0:9:66083413:66090862:-1 gene:Solyc09g073000.3 transcript:Solyc09g073000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor Tu [Source:UniProtKB/TrEMBL;Acc:K4CUX6] MASVVFRNPNSKRVLSLSPQIYSCCRGGSVSTQFLLSETKNGNDTSSLSNPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDEIPIIRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLDKPFLMPVEDVFSIQGRGTVATGRIEQGTIKVGEDVEILGLMQGTLKSTVTGVEMFKKILDNGQAGDNVGLLLRGLKREDITRGMVIAKPGSVKTSKKFEAEIYVLTKDEGGRHTAFFSNYRPQFYMRTADITGKVELPENVKMVMPGDNVTATFELISPVPLETGQRFALREGGRTVGAGVVSKVLS >Solyc11g068990.2.1 pep chromosome:SL3.0:11:53857775:53862264:1 gene:Solyc11g068990.2 transcript:Solyc11g068990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRREILIRINPFVDSLWMQID >Solyc02g063170.3.1 pep chromosome:SL3.0:2:35786401:35789347:1 gene:Solyc02g063170.3 transcript:Solyc02g063170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFSSSSHVSLKMNCWAPALASKVPLNTRRNQTASPALRQMKSDLLSQRIGGFGTNLSSGGSSLGGSRIITQLNLQRTLSRRKSPMVSACWVPSSEVASTAFTVGTAAVLPFYTVMVVAPKAELTRKAMKSSIPYIVLGLLYAYLLYLSWTPDTIRLMFASKYWLPELSGIAKMFSNEVTLASAWIHLLAIDLFAARQVYHDGLQNDIETRHSVSLCLLFCPVGILTHCITKALTSSPEKKQHRTH >Solyc05g023950.1.1.1 pep chromosome:SL3.0:5:30143181:30143411:-1 gene:Solyc05g023950.1 transcript:Solyc05g023950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSVYDYESGYPSNLNIGYVLLLSSGMGTLVEMLEGSQQVGLMLALLPWHWLIQDILAKAPYFFSDFNRPLFLK >Solyc11g045120.2.1 pep chromosome:SL3.0:11:31952670:31966073:1 gene:Solyc11g045120.2 transcript:Solyc11g045120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKAVDAKSHQRLSGADRKKLRRTIRDRFSNASDALLDVILPPKAELSVSKYPNRVLVYGLEGECPMFFDVDGRGRDIFPTVTDGLEGAVFFSNKGGSNLRGNYGKAVVIGGSTSHDGAGTSHGGGNIYSASHGGGSINSGHTNFGGAVPKNLLMLGKGNEETDTTQTANAGIVNTSPLTNVNASWIVDSGASDHMTSDLGLLYNCHAVLNPEKNKDYLPTGNVVSTEGKLVYILVYMDDLLVTGNNQELINQVRKDMQEMFKVKDLGELKFFLGIEIARSHEGIVLCQRKYALELISEAGLSGAKPANTPLETNLKLTSVVYDKAIQHEAATGYLVKFGGAMVSWKAKKQETVSRSSAEAEFRRMAACTAELTWLTGPFKDTVYALWRVPELLPAFVLKGGEVSRFMLGGADLMFPGISIPTEGFPSFSSGEPWAVKVPGNPAAIAVGTTTMSSTEALKAGLRGKALKICHYYRDTLWESAENCHVPNAGFLEDVVFEDPALSLSGRASDSSEVDPSVDPGNMISNEDMREAIDADTASSSVGQPSTTQNDGADEITLQLTSDLSELKVAETYADESNTEGQHSLCVEEVDALLDKCLLQALHTTIKEKDLPIPGSTFWSSHVLPCRPPGITLDIKKSSHKKLSKWLQAKASGGLLRMILILPLSMTLMTGVVALFMVQNIEDSPAATESWQEIVENFLWQTMSQPLPKYGIISVKEDKHKKEVILFYVNRNHPDYMSYKPEKKKVDKTGPSTNNVSSEERVQKSLEVSEIYKPSVHVNPIFASVGAETSRLYTASETSGIVFQYVEKENLVKPSNKSTVTLDATLCDALFKGAIKKGSTYPTEIHKKDLGQTFIGRMQAHHCVTRGNDTVVRKGALKPIQIMTERRQGNKKVTKLSGMESFLLDAETLASELQKKCACSTTVAELPGKKGEEVLVQGGVIDDLARYLVEQYGVPKKYIEVLDKTKR >Solyc11g069000.2.1 pep chromosome:SL3.0:11:53862383:53874538:-1 gene:Solyc11g069000.2 transcript:Solyc11g069000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKKPITQFLKTIIILLLFQLSTSSHRKPPPLPILPIPKSRQISWQIAEMALFLHFGTNTFTDSEWGTGHVDPSIFNPKLLNATQWVTVAKDFGFKRVVLTAKHHDGFCLWPSKYTDYSVKSSPWRNGVGDIVADLAEAARNGGLELGLYLSPWDRHEHCYGETLEYNEYYMGQMTELLTRETSNNKHSQISTPAEKNLLSRYGEIKYVFLDGAKGDGEKDMEYFFDDWFSLIHQLQPGASIFSDVGPDTRWVGNENGVAGSTCWSLFNGSNVKIGGYSDARYSAEGDAFGQDWVPAECDVSIRSGWFWHASEKPKSAMTLLDLYYKSVGRNCPLLLNVPPNSSGLISDEDIHVLKEFSELRNSIFSHNLAKSALLSASSIRGDSNDTQFSPKNVIEEGLHTYWAPDQGQQSDWTLYLQFQQVITFNVLGLQEPIQMGQRIIEFHLDMLDENGKWQHVVNGTTVGYRRLLLFPTVKSHLLSSQIDKLFKDEATEEKGERARMASFIGAMAIADLVKTTLGPKGMDKILQSTGRGHSVTVTNDGATILKSLHIDNPAAKVLVGKVGSYPKTNPLNIMFNRFIWTNGKQDEEVGDGTTSVVVLAGELLREAEKLVNAKIHPMTIIAGFRMASECARNVLEQKVVDNKQDAEKFRSDLMNIARTTLSSKILSQDKEHFAKLAVDAVMRLKGSTNLEAIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMAKVADLEAAEKEKMREKVQKIISHGINCFVNRQLIYNFPEELFADAGILAIEHADFDGIERLGLVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVAMGQACTIVLRGASPHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKAVDELAKKTPGKRSHAIEAFTRALLAIPTTIADNAGLDSAELIAQLRAEHHKDESNAGIDVISGSVGDMSELGISESFKVKQAVLLSATEAAEMILRVDEIITCAPRRREGM >Solyc09g042370.1.1.1 pep chromosome:SL3.0:9:23434969:23435160:1 gene:Solyc09g042370.1 transcript:Solyc09g042370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFGINHKSQLRINSSIFYFLRIHRISPQTHLPRKPRYKLFIPNHVTVFSHHSHGQTIKVTMA >Solyc02g065650.1.1.1 pep chromosome:SL3.0:2:37374581:37375774:-1 gene:Solyc02g065650.1 transcript:Solyc02g065650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVSGIYRFHLPSTIYATVVDDDDEEYPHPFTFDFDSLSPPNHTLFIHSHDFNYSPNSEPEPGSLDSDSGSDHSGLLADPDSFVVDDDQMNFVTDLFVTPDGNVSEDSGFDDGNRVILEDVYGDFGSDYDGVLLPSDTNGVDTGDGIRVVGVVGSDSDSQEVELNVGEIDQANDFWSCLRIDDQRDVNDEFEWEEVNERGEDRDYLSSVIDGIEEISVSSDISSSEGGNSNSHSVDEPVRNLEWEVLLAVNNIERSLELDGNDDGIAHVNETDHDALFGQFLESEGNLKGSPPAAKSVIENLPLVTLKDEENKIACAVCKDEILVVEKVTELPCSHYYHWECIIPWLNIRNTCPVCRHELPTDDTDYERRKIGRRPGAGAQLSSDFQVRYNFEIVP >Solyc01g006770.2.1 pep chromosome:SL3.0:1:1350388:1354268:1 gene:Solyc01g006770.2 transcript:Solyc01g006770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTNAIILNIKPKTLSKNLFLNGILCKTLVFLTLILYLIYLFLSSSSTTTTTSLLQFSPSKNIIKSSKQYYFNSNNIKTNISHLVFGIAASSNTWGKKKHYINSWWKPNITKGYLFLDRTPNDEHLPWPNISPPYRISSDNSRYMPYNKHGMPFAIRMVRVIEETFMEEHDSSTVRWYVMADDDTVLMIENLVNVLSKYDHMKYYYVGMNSECIVSNFGMSFQMAFGGAGYALSYPLAQALAKNMDTCIKRYPYLYGSDHILQACIADLGVTITLEKGFHQIDLRRDISGFLSAHPQSPFISLHHLDLVSPIFPSMNHYDALNHLMKAASVDQSRLLQQSVCYNKIHNWTFSVSWGYSVQIYDKIIPQSYLQTPIETFGEWRKGAWPPYMFNIRKFNNYSSCGEVPNILFFDSFEGTKLNHFVTTYVKKNHRICANNDDDDHSSNGVMKVHVFSPMDKLHVGDGNRKECCDIIQPIGMDSMAIKLRTCMKHEIIA >Solyc10g033560.2.1 pep chromosome:SL3.0:10:15933992:15935184:1 gene:Solyc10g033560.2 transcript:Solyc10g033560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVILLARTQVVATTGHPQLLWRKYLTFNTNLLLLSLSRNKMEILMLCLIPKSSGSQSHADIEKAEAYAKQLKPLPSLEKTSSAEKGPNVGATETYEAKRKSKYLKGFDPANPEKWIPKRERSSYKPKKKDKRAAHIRASQQRAVIVIRSQTSQLIQKFLPGSNPTNVSSLLFP >Solyc03g116440.2.1 pep chromosome:SL3.0:3:67359031:67362336:1 gene:Solyc03g116440.2 transcript:Solyc03g116440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTIIEACNSRKSNRKPFIFETFAMGDSIDGFSGPFRDNIRMFLQEFATIEDYTLCGFPIWSTWLISNSTGSVFPLYTIEETTQLSIHPFCDHCKLSGWGHHYVSKRRYHLLIPANENWEKPLGSDSFEIDTHVLHGLIHCNGYGHLLSINGVNEDSIFLSGSDFMDLWDRLCTILKTRKISLNDVSKKGGVHLRLLHGVAYGQSWFGKWGYRFCRGSYGATEKKYEIAIQFLSSLGLDKILNDFRKDFTERRKIKQIIGTYRELSEVPLITISELLQFMLAFKSKAPFHSKMKLANGDDYWCDADIEEKKRPISMETFVNMMANSDCRWPVRRLEFVVIVIVNFLKENQANVGRNCRMTRQDLRNEARKFIGDTGLIDFVLKSIRCFALGSQIVRRSINPTTKLLEFTIHEFSKEEESISFPFLEMDSDCRWTERKVKQAAEFILKILRAHNGNGAMSRQELRDRARTTIRDTGLIDYVLKSINKSIMGNKIIFRSKNPSTKRIEFAFEDIVDTNRVENIELHVNIDQDLVYLYETVLLSYPGSDSVSLATGVVLDSKKFVKEWNLEDQEHQIMALTCKVLPSFDELESELTRPLSPGVVVFVPPWITIGELKGVIQYALRDTYCIMQNFVVTQIGGLKGIEDDRMLSCAVGRDAQVWVRGCGLDLDTEHRYEGGAIKLKVDCICGARDDDGERMVNCDACQVWFHSMCTGIDDHEEEVIPEIFLCESCRNF >Solyc09g015440.1.1 pep chromosome:SL3.0:9:8794288:8795153:1 gene:Solyc09g015440.1 transcript:Solyc09g015440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHFTNITIKRNIIIFDAQEAGDETQIVESGGRFKDLGRRSKIIVEKIKTGAVRGDDPVSIDFGLDVDSNLGTLDALFASLSMILVSEIDDETFIIAALMLMRHPKSIVLSSALFVMTILSTGLGRIVPNLISRKHTNSAKKEIEEVEEKLEAGQGKAALRRSFSRFLTPIFLEAFILNFLATIALATHKNAIGVAVGATIGHTICTPVAVIGGSMLASKISQRTVATTGGLLFLVIVFLSTSMILTSYNLILHKSRCSNLFSVIP >Solyc02g067460.3.1 pep chromosome:SL3.0:2:38226856:38229464:1 gene:Solyc02g067460.3 transcript:Solyc02g067460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGPGLYTEIGKKARDLLYKDYQSDHKFSITTYSPTGVVITSSGSKKGDLFLADVNTQLKNKNVTTDIKVDTNSNLFTTITVDEAAPGLKTILSFRVPDQRSGKLEVQYLHDYAGICTSVGLTANPIVNFSGVVGTNIVALGTDVSFDTKTGDFTKCNAGLSFTNADLVASLNLNNKGDNLTASYYHTVSPLTSTAVGAEVNHSFSTNENIITVGTQHRLDPLTSVKARINNFGKASALLQHEWRPKSLFTVSGEVDTKSVDKGAKFGLALALKP >Solyc05g006520.3.1 pep chromosome:SL3.0:5:1134270:1140944:-1 gene:Solyc05g006520.3 transcript:Solyc05g006520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4BWH8] MALSTSRAINHIMKPLSAAVCATRRLSSDSTATITVETSLPFTSHNVDPPSRSVETSPMELMTFFKDMTEMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDCIITAYRDHCIFLGRGGTLVESFAELMGRRDGCSRGKGGSMHFYKKESGFYGGHGIVGAQVPLGIGLAFAQKYKKEDYVTFAMYGDGAANQGQLFEALNMAALWDLPAILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLRVDGMDVFAVKQACAFAKQHALKNGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPVERIRSLILAHNIATEAELKDIEKENRKVVDEAIAKAKESPMPDPSELFTNVYVKGFGVEAYGADRKELRATLP >Solyc04g039760.2.1 pep chromosome:SL3.0:4:12548240:12550880:-1 gene:Solyc04g039760.2 transcript:Solyc04g039760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREPWETYLLYVLDIHRKSDPLVQVHDTIITLDLEIPDFHFPSRSDPFVRRDIYSIADIFGTPLKDGQIVNFERKCQPLSDMNLSDSEGKNLHQYLNFNSNMGLIHTLYSEKELSSEKRKKWSLYLKKCIGKVQTYRTFQRDSAFSTLSKWNLFQTYIFLDTFSDLLPILSRNFISEISSKCLHNLLLSEEMIHRNNESLLISTHLISPNLIRTHLLLFSRASNELQTEFKRVKSLMTSSSMIWGSSSSGNMLGPTYGKIIDHIPNPINRITFLRNTRHQSHTIKKIYSLIRKIKKGEQSIDDEEREFLVQFSTLMMENKIDQIILILTHSDHLSKNDSGYQMIEQPQNYELNPSCLVERWIFLDHYHTITYSQTSCGENSFHFPCHGKPFSLHLPLSSSRGILLIGSIGTGRFYLVKYLATNSYVPFITVFLNKFLDNKSKYFLLDEIDIDDSDDIDDSDNLDASDDIYRDLDTELELLTKMNGLRFELAKAMYPCIIWIPNIHDLDVNESNDISLGLLVNHLSRDCKRCSNRNIFVISLTHIPQKGDPALIALNKLNTFIKIRRLLIPQQ >Solyc12g099960.1.1.1 pep chromosome:SL3.0:12:67880661:67881131:1 gene:Solyc12g099960.1 transcript:Solyc12g099960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKPSQPIRNEKEEIKETDTTASTNNNESSKKKVTRKLPSARELVSHYESQGVDSQEASYKVIEDLQGALFRVISTSRNDKNRNNNRNVSSETSRKLDVINARLLSLDMKVDSKPGYPQTLAIGMASGGLLQVLPRVAESVVQIWNSVRNATNSKQ >Solyc11g022610.2.1 pep chromosome:SL3.0:11:14984832:14985971:1 gene:Solyc11g022610.2 transcript:Solyc11g022610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHRTESDLRNQSRSSKKSQYHILLEEKQKLCFYYGRTERQLLKYVCIARKAKGSTGQVLLQLLEIATTRQLVNHRHILVNGHIVDIPSYPPQEELQNHLILHPFRYKGLFNQIIDSKWVRLKINEFLVIEYHSSQTESLIGWTIRTDYIAPNYEIQDVH >Solyc06g007620.3.1 pep chromosome:SL3.0:6:1623848:1626170:-1 gene:Solyc06g007620.3 transcript:Solyc06g007620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLGNSFVIFTFVLCSICVFTSADSSIYEVLKSHGLPMGLLPKGVRNFTLDNSGNFVVHLDQPCNSKFEKKENELHYERNVSGRLSLGQIDLISGISAKDLFLWFQVKKIYVSSSSSGVIYFDVGVVSKQFSLSSFDNPKECTAVQVTDLEDAKQDSKSLSGNIRYKLDQGNIGSAIL >Solyc04g077540.3.1 pep chromosome:SL3.0:4:62583964:62591308:-1 gene:Solyc04g077540.3 transcript:Solyc04g077540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVSVAVRVRPARSNEENFNGTFWKVEDNRISLHKSLGTPISGVSYTFDHVFDQDCSNARVYDLLTKDVIRAALEGFNGTAFAYGQTSSGKTFTMNGTQNDPGIIQRAVNEIFQIIEMTTNREFLIRVSYMEIYNEDINDLFAVENQKLQIHESLDRGVFVAGLREEIVNDAEQVLELIQRGEVNRHFGETNMNVRSSRSHTIFRMVIESKGKHNPDEAVRVSVLNLVDLAGSERIAKTGAGGVRLKEGKHINKSLMILGNVINKLSEGGKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEEVHIEESKGTLQFASRAKRITNCVQVNEILNDAALLKRQKREIEELRMKLQGSHSEVLEQEILKLRNDLLTYELEREKLAMELEEERRSQKEREQNIIEQQKKIHNLSNLTSVSDSNGHATQEESGNSNSFQEDAFSTPCLKVAPNAFVAKRSQRSQQTEYSPMPDAFSNFVDEDMWMKMNKGFVADLDSLHMTPAVKVNSSLLDNENDDLSTENYKQEVQNLRRQLELVSEERDELRRHHTEQVSLNKQLMSEVSELQQEALLIREIPQRFCASVTTCKDLYKDVFSVIQNFVATDKSGMAKLLSTTNEIGTCLFSTLEPHFSEAMDSDKSSTRNNSSIEAQHVKVYDKLNRTISSLVLSDDEISGNPSLGSQYKVAAQIKDCTLVGEIACWKKKLDEDMKTVQEKYQNLEKELELNNQLLAASRDRYNSLEREVHLLKEERDVLVQNVSSSSEKLELFANQNEKVLDNLNAEVQRRKHLEEEIKQFTAAFAFRQRSLVSLRSDFDSVMDSFKAQKPISISRSPGF >Solyc12g015840.2.1 pep chromosome:SL3.0:12:5814555:5817222:1 gene:Solyc12g015840.2 transcript:Solyc12g015840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYYMASGLGDMVVDTEIRTFSAALFDEYGGLVHFSNLLSALLFCITTVDWNCNLFQS >Solyc05g053000.1.1.1 pep chromosome:SL3.0:5:64018005:64018946:1 gene:Solyc05g053000.1 transcript:Solyc05g053000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4C1Y0] MANRWWAGNLSMNPKVEDKSNQLRSDKEQEFMNTTAAAAATATTNSSENPNEMSHEGEENIQSPEGLFSSGPSSSTHRAGRRPRGRPMGSKNKPKPPIVVTKETPNSLKSHVFEIKSESDIIETIAAFANRRGCGVSVLSGSGIVTNVTLRQPAAVTAAGAGGVITLHGRFEILSLSGAFLPAPNAPVGATGLTVYLAGSQGQVVGGNVVGELIASGPVIVIAASFTNATFERLPIVENDEEEVENNVNEGMQMDIPTTSGAGNVNSDNLQVDHPSPSTSIPMYNLATNVLPNDQMHHELFWTPPPSRPSPYS >Solyc07g053980.3.1 pep chromosome:SL3.0:7:62512811:62521858:-1 gene:Solyc07g053980.3 transcript:Solyc07g053980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRQRSTPAARQVSIDEEPYNIIPIHNLLADHPSLRFPEVRAAAAALRSVGDLRRPPFAPWKPHYDLLDWLALFFGFQDSSVRNQREHIVLHLANAQMRLSPPPDNIDSLDPAVLRRFRRQLLKNYSSWCSFLGLKSNVWLSDRHNSSDHRRELLYVSLYLLIWGESANLRFVPECLCFIFHNMAMELNKILEDYIDENTGRPFLPSISGENAFLNRIVTPIYQTIRAEADNSRNGTAPHSAWRNYDDINEYFWTKRCFDKLKWPIDIGSTFFVTTNKGKKVGKTGFVEQRSFLNLYRSFDKLWIMLALFLQAAIIVAWEGKPYPWQALESREVQVRVLTIFFTWSSMRFLQSLLDAGMQYRIISRETPWHGVRMVLKSVVAAAWIVVFGAFYGRIWIQRNRDGKWSSAANRRVVNFLEVALVFIAPELLALALFVLPWVRNFLENTNWRIFYLLSWWFQSRTFVGRGLREGLVDNIKYSLFWVVVLATKFSFSYFLQIKPMIVPTRALLRLRDVKYEWHEFFNHTVGLFDHLGEIRNMPQLRLRFQFFASAMQFNLMPEEQLLNAQGTLKSKFKDAILRLKLRYGFGRPFKKLESNQVEANKFALIWNEIITTFREEDILNDREVELLELPQNTWNVRVIRWPCLLLCNEVLLGLSQAKELVDAPDKWLWHKISKYEYRRCAVIEAYDSTRHLLLEIVKLNSEEHSIITTFFQQIDQWIQLEKFTKYYNLTALPQIRGKLIALLDLLLKPKKDVDKIVNVLQALYEVATRDFLKEKMTGDQLREEGLALQASATRLLFENVVSLPDPENETFYRQARRLNTILTSRDSMSNIPRNLEARRRLAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYNEDVLYNKEQLRTENEDGISTLYYLQTIYADEWENFLQRMRREGMVDEKKELWTTKLRDLRLWASYRGQTLTRTVRGMMYYYRALKMLAFLDSACEMDIREGSVELGSMRHDDSIGGLSSERSQSSRRLSRADSSVSMLFKGHEYGTALMKFTYVVACQIYGAQKAKKDPHAEEILYLMKNNEALRVAYVDEVPTGRDEKDYYSVLVKYDQKLEREVEIYRVKLPGPLKLGEGKPENQNHAFIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEFKLYYGIRKPTILGVREHIFTGSVSSLAWFMSAQEMSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFLTRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMIVLTVYAFLWGRLYLALSGVEGSVAADTTDNNRALGAILNQQFIIQLGLFTALPMIVENSLEHGFLTSIWEFLTMMLQLSSVFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVQHKCFAENYRLYARSHFVKAIELGLILTVYAAYSPVAKGTFTYIALTISSWFLVVSWILGPFVFNPSGFDWLKTVYDFDDFMNWIWYRGSVFAKSDQSWEKWWEEEQDHLRTTGLWGKILEIILDLRFFFFQYGIVYHLGIAAGSKSIAVYLLSWIYVVVALGFFNITAYAREKYAAREHIYFRLVQLLAVLFFIVVIVALLQFTAFKFGDLFVSLLAFVPTGWGFISIAQVLRPFLQKSMIWGTVVSVARLYEIMFGIIVMVPVAVLSWLPGFQPMQTRILFNEAFSRGLRIFQIVTGKKPKSDVIYRNIKYECSM >Solyc03g118430.3.1 pep chromosome:SL3.0:3:68787719:68805083:-1 gene:Solyc03g118430.3 transcript:Solyc03g118430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATSVVFNTKPVLAPIHVKSRYSEPSSSLVASQSNWVHRKKSVKLRPRRHPQNRAYFIQHKNVQGRCLHQNVEQLNRANILYRRQPVSCFLYPRTRQTLPKRPKNGVFLDKSSFHLSKQLRANISVPRATVGPDEPHAASTTWTEGVLEKQGFDMLDPEVERAEFEQFLSSEFPSHPKLYRGQLKNGLRYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKGSEGDCLPVVLDALNEIAFHPKFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANSTLYIVGDIDNIPQTIYHIEDVFGQTEMDNESNSAPSPSAFGAMASFLVPKLTVGLSSNSTHDRSSVSLDQSKALRRERHAVRPPVQHNWSLPGHNDDAKTPQIFQHELLQNFSINMFCKIPVNKVRTYGNLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELARYTDALLKDSEQLAAMIDNVSSVDNLDFVMESDALGHTVMDQSQGHESLLAVAGTITLEEVNATGAEVLEYISDFGKPSAPLPAAIVACVPTKVHVEEGGEHEFRISPEEITTAIKSGLKEPIEPEPELEVPTELITSKQLEELRLKRCPSFVPVETNSNITKSFDNETGIVQRRLSNGIPVNYKITKNEANCGVMRLIVGGGRAAESSDEKGSVIVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNAMRAAFQLLHMVLEHSVWLDDAFDRAKQLYMSYYRSIPKSLERSTAHKLMLAMLNGDERFVEPTPHSLQNLTLESVRAAVMDQFVSDNMEVSMVGDFSEEDIESCILDYLGTVRPTKGFERAQQYSPILFSTAPFGLQHQQVFLKDTDERACAYIAGPAPNRWGYTFEGNDLFEFVGSPSPNNHELEQSDTNLQGRVRNHPLFFAIAMGLLAEIINSRLFTTVRDSLGLTYDVSFELNLFDRLKLGWYVISVTSTPGKVHKAVDACKSVLRGLHSNRIVPRELDRARRTLLMRHEAEIKSNAYWLGLLSHLQAPSVPRKDISCIKDLTLLYESATIEDVYVAYEQLKIDENSLYSCIGIAGAQAGEDVSALLEVEETDEGLQGVIPMGRGSSTMTRPTT >Solyc07g064220.1.1 pep chromosome:SL3.0:7:66581800:66583431:1 gene:Solyc07g064220.1 transcript:Solyc07g064220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLTHGRFSVTVAVTENDIENPQSCQEQMQSHRLNHCRMYVSSSRQYYNDNLSMVTDDDHVINQTQEHLQQCCQELKNMDTQCRCPALKKMVMQDCGGGGQCEESTRLFGKARYVPHMCNLQPTRCSF >Solyc01g016645.1.1 pep chromosome:SL3.0:1:20780621:20781111:-1 gene:Solyc01g016645.1 transcript:Solyc01g016645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINSLYGLEKTTEVYKEDFLDYVEAPHPIQQTSSYEKMSKLNALDDTQHDLEGLVDSGITKIAQIIILSPIN >Solyc07g042935.1.1 pep chromosome:SL3.0:7:56610821:56611921:1 gene:Solyc07g042935.1 transcript:Solyc07g042935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLLKRIGSASTTNQAGSVATDNGPHLIGLCFFRLQTREELFHISNYASSRSSSKDIKRIGSASTTNQAGSVTTDNDTHLIGLCFFRLQANEGLFHINNYASSLSSSKDKGKGWTTLCVPDLIEKERMQNCYCCLKCANKNMNHIDKVLKMSRLSTISEIQELRKKASREFDSKLAAFPQRSRSTSLAPVRI >Solyc10g018350.2.1 pep chromosome:SL3.0:10:7433200:7440227:-1 gene:Solyc10g018350.2 transcript:Solyc10g018350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNGGELLQIDSVELQFPFELKRQISCSMKLTNKSDNYVAFKVKTTNPKKYCVRPNTGIVMPHSSSEVKVTMQAQKEAPPDMQCKDKFLLQSVVASPGAAAKDITPEMFNKESGNYVEDCKLKVVYVPPQLRLPVREGSEEGSSPRASVSENGAVNTSEFNNIARAYNEQQDSSLETKALISKLTEEKNSVMQQNNKLQQELELLRREHNRSRGGIPTVYVLVIALLGILLGYLLKRT >Solyc04g010055.1.1.1 pep chromosome:SL3.0:4:3358560:3360287:-1 gene:Solyc04g010055.1 transcript:Solyc04g010055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIILPHQCPFFSNNLKPKSESSKHNFVVRCSISNEESRVNIRNPQRVKISSENRGSHDMKVLNWSCKVGKYDETLYLLECKVKSGYKPDVILCTKLIKGFFNSKNSDKGVKVMQILEQFGEPDVFAYNALVSGFCKMNKIEEANKVLNRMKTHGFPPDSVTYNILIGSLCDRGKLGSALMLLDQLKEEHNCKPTVITYTILIEATILEGGIHEAMKLLDEMLSIGLQPDMYTYNAIIRGMCREKMMDQAYEFVRSLPSKGCKPDVISYNILLRALLHHRGKWSDGEKLMNEMLCAGCEPNVVTYSILMSALCRDGKLDEAINLLKIMVDKGLTPDTFTYDPLISAFCKGGRLDMAIKFLDYMITNGCLPDIVNYNTILSTMCKKGKADEAMEVFEKLAEIGCPPDVSTYNTLMSALWNNGGRARALKMVSEMIEKGVDPDEITYNALISCLCRDGMVNEALDLLGDMEGNGFPPTVITYNILLLGLCKAHRVVEAIEVLAEMVEKGCRPNETTYILLIEGIGFSGRRVQAMEMATAIYHKNAISKESLQRLRKTFQVPDVYSKDITTILEIRK >Solyc02g079360.2.1 pep chromosome:SL3.0:2:44508583:44511975:1 gene:Solyc02g079360.2 transcript:Solyc02g079360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLHLFISNSTIIFLSFFPTNISSLSSFAVQNCITINTLLNLETPKSYKSPSFLFKLINICSILLSYMIFYYDKIHSLEGYYYTFSVTGPVTLRNKQTNSHFFIFTCRAMSGSIHAPPEFDSGNGDSVASTPRSEHHHHHMYDDTTATQPRARFMCSFGGKIVLRPHDNQLRYVGGDTRIVAVNRHTTFAALLGKLSKLIGYPNINIKYQLPNEELDALITVTTDEDLENMMEEYDRLTQNQKSARLRLFLFPNDSGSRASTISSILDGSSKREQWFVDALNGGAGPGLERGRSEVSSIVSEVPDYLFGLDNSDDPPRESKFVKNKIISEPGSPAPPIVSSPYCSISSSSMTPTAAMTVMPDLPPVKTKLDKPNPTAESRETPVASATETGERTVQQQQQSYPSSPLWHYPAPPVQTIPVYYVPGSVQPGNIPVQPITLRAPYIHPFPVPPNQLPVGYPPASSMGQVYSGLRPVMSVDPNELRVVTEGMNYYAVRNSGVVPGYGGPVSEEMQGSGGDGISIFCVLPFVLIILIYPPTLICKYLISKKAFKQSNFSMFLKGFGMIFVKREVIHTFYGKRVEN >Solyc06g072430.2.1 pep chromosome:SL3.0:6:44824865:44826012:1 gene:Solyc06g072430.2 transcript:Solyc06g072430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPLYGSYWPQSARPGYSSNMRGIPVQSIHQKPAGIKQASKVVQIPVHFVSSDPERSVLASATKKPEPDRSGSALKIQKVFRGFLVRKSVKKIKLIRKEVEDVERKLLCRETAELICRDERERLRVNETLMSLLFKLDSIRGVDSGVRECRKAVIRKAIYLQEKVDCIVAAANQIAAEEENQSDELSEPVNQTGDIPNSTGKQDEDGKLTNQNELCHLSEQSVDVETQAASNDEKTPIEGEHDVAPLNEKRGGLQEVKQETDCPMLECVEESVERGEVEDKEEEEAHCLILSVAKMS >Solyc04g014460.3.1 pep chromosome:SL3.0:4:4691923:4696195:1 gene:Solyc04g014460.3 transcript:Solyc04g014460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVQVSMILELLHLNGVSSRNSQWILIKWDIQSFHRTKNLPWQNGLLEDSLRAAGISSGLESGTKVYVSNLDVGVTNSDIRELFAEMGELIRYAIHYDKNGRPSKTSNHGGIVEGIWYKGSAEVVFARRSDAYQALKRYNNVQLDGKPMKIEIVAPKPDIPLSARVDVGRANGRRTVVMMPGSVRGRGGASAANRGSRI >Solyc05g055560.1.1.1 pep chromosome:SL3.0:5:65999881:66000246:1 gene:Solyc05g055560.1 transcript:Solyc05g055560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFSTVDGFVEITESLMDMIKFIANEPSAGLFYVQQHTHTAVPNLINLTSKTEGKSRQVTLHTADSIVMVRSMKECGFKWPQMDAIEPKPAKNDEPSVICSNDDTTLPADDSSCTRGQQR >Solyc12g009650.2.1 pep chromosome:SL3.0:12:2922057:2923590:-1 gene:Solyc12g009650.2 transcript:Solyc12g009650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSKITSLLFISMLFLSSFTPILGCGYCGKPSHKPKKPKVPTPIVKPPVDLPPIGIPPIVKPPVNLPPIGIPPIVKPPVKLPPIGIPPIVKPPVILPPVGIPPIVKPPVKLPPVGIPPIVKPPVDLPPVGIPPVTVPPIVKPPVDLPPIGIPPVTVPPVIKPSPKGKKPCPPTTKATCPIDTLKLGACVDLLGGLVHIGLGDPAVNECCPILSGLVELEAAACLCTTLKVKLLNLKIYVPLALQLLVTCGKSPPPGYTCFQSEI >Solyc09g066210.3.1 pep chromosome:SL3.0:9:64873895:64878551:-1 gene:Solyc09g066210.3 transcript:Solyc09g066210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPSSTTVTNQLQTLNPTIIPGLPNDLAAIILVFVPYSHHSRLKSICKSWKQFFSSKIIISLRQKHLPPSTLSPLLCIFPQDPLIASPYLFDPRNLAWSPLPPMPCNPHVYGLCNFTSICIGSHLYVLGGSLFDTRSYPLDYPCPSSSAFRFDFGSSSWETLAPMINPRGSFACAAAPNLDKILVAGGGSRHTMFGAAGSRMSSVEMYDIRKDEWVPLDGLPRFRAGCVGFFVGNGEEREFWVMGGYGESRTVSGVFPVDQYYRDVLVMEMKNGGKWRELGDMWEEGERWKLGKIVVLEDVPSEPPAVFMLDRGDIFSYIMASNSWVKETSLPRKASDESSVGFVALDGELHVMTHLNVVKSKECQRLRQQKRSATMLVQIYHPRTKSWRSVTTRSPFHHPLDFKTAVMCTIRL >Solyc05g054590.3.1 pep chromosome:SL3.0:5:65318708:65322899:1 gene:Solyc05g054590.3 transcript:Solyc05g054590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGNNHVSTKPPPEPSPLRKAKFFQANMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLIEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRTGARSESSSLCFYSHRLLRSTEIHSCTLKTETYWGNANPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRDEPLTVQLPGTQTRSFCYVSDMVNGLMRLMAGDNTGPINIGNPGEFTMLELAENVKELINPEVKIITVENTPDDPRQRKPDITKAKELLGWEPKVKLRDGLPLMEEDFRDRLGISRKK >Solyc04g072340.1.1.1 pep chromosome:SL3.0:4:59438952:59439353:-1 gene:Solyc04g072340.1 transcript:Solyc04g072340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFGYILSLSRWILGDVHLSWDIWPKEHDIHFLSSFEFPYLENVKVFSSSKMCLKGNIEWDNDDLLKLSEFILKNATVSEKFIIISKRKTCKICSLKCASRYSLRLVEKLVGCSRSSTSSVIIWQKGAFRD >Solyc05g012650.3.1 pep chromosome:SL3.0:5:5844438:5848327:-1 gene:Solyc05g012650.3 transcript:Solyc05g012650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILAGMGTQVHYKGFLPSYYSMRDLNEDANSSSWPLCYGDKTLTNGQYCNGFTSRTVTDAYSGYDKDILKQKMIEHEAIFRNQVVELHRLYRTQRDMMDDFKRKEMHKYRSSMEPSCSSTHLGSQVPSEDVRKWHIANFPLENSSYTRPSTSGTEIVNSPFSSSKGDCVQPGRVQMQNDYSSKACDVLEARPSKVRKKSFDLHLPAGDYLDTEGGQLRDNAGSLHPCYPANGDYVVTQESGTKLFLGGGAKGDSRKDASTSNSCLRSSIGLADLNEPAQLDDATDPVEFLGYGNNHKETRSINPSAKSNSPFVALPWNSSCVSPNESLSNLYDRSRGKERDWLTSVHETGNIKGSSASLPRGLEDDKIAAASRQAPVMINKAYQAPSPHVVHHIKDGIWKDRTGHSLDMSHRNGEQSNYTQVGPFVTSKMASPYPYASSSEFSSSWPHSVSSWEKPNGSFAQRLSSLHTNSVFNSSAAVGKGSQSSQSQIGDYWHANGGSSRLRPGCAGEIPIRSGFYRGSSSGTKESPIHIPSGAFDSLSYIKGDRFTSERSSNNACENFLISSNNMDVKSAKGFNLNVLATSALSEEPPRRDVEYGNEKREHQDPVTVLPWLKGKANGNNEGINARLGGTSANSGFVQAYSNPPFCQSDSSAFEHHRMRTTKEVGETGHVRKILGVPILDIPVSSRNGSSSSLVFPSANLRSSPERKTIKQERRTMVIDINVACDLSMLEPEEPVVIEQISTKKVTETKAMNIRNHFDLNSCITEDEEEPVSAVTGKASAKTILDIDLEAPVLLDIEQDDLPGEDNGKKHEASLQHTQEELLKTAAEAIVAISSFTHCTAIEELQSDPSDDPLESLRWFVDVVSSCAAELDSTPSAKEITGKNNNMMVAHKEIDYFEAMTLQLAETKEEDYMPKPFVPEIQTMEDAGAASSLPNRPRRGNPRRGRQRRDFQRDVLPGLASLSRHEVTEDIQIFGGLMRATGHTWNSSLTRRNGTRNGGARGRRKKVVDTSTPVLATTTTTSPLIYQLNNIEASLEDNKSLTGWGKTPRRPRRQRCPAGNPPPVLLT >Solyc07g066485.1.1 pep chromosome:SL3.0:7:68045020:68046204:-1 gene:Solyc07g066485.1 transcript:Solyc07g066485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPLCINFVVSSFLILENVNHHAAQYDPTDMPTETIPQQVQSSNTAAQKSTDDCLNVDASTASKSKPPTLDDYPDFTMTQIIALDPILNATTTPNLRTRNKNHINTIAVIYVLLQ >Solyc02g084113.1.1 pep chromosome:SL3.0:2:47864475:47865306:1 gene:Solyc02g084113.1 transcript:Solyc02g084113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQASLEYRSFRSGNGYFSEPHVIEAMMYEDSLSWWANHGVSALLLQQLAYKLLTQPTSSSCCERNWSTYSLIHNIKRNNLATSRAEDLVFVHYNLRLLSRKKEKYINGPSKYWNVGGDRFDIDETTNDLTSFQ >Solyc03g096306.1.1 pep chromosome:SL3.0:3:59803900:59805211:1 gene:Solyc03g096306.1 transcript:Solyc03g096306.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISVNIEEVGICKCGYYCRLKTSRTPLNPGRQFFGCKSSKENGGCGYFRWIDLSLENVDESPSMNRLRDSQNPIDRLKRKVKELEEEKDSLKFQLNESDVKLMVLNKKLKEVKLQRD >Solyc06g072540.1.1.1 pep chromosome:SL3.0:6:44885665:44885991:1 gene:Solyc06g072540.1 transcript:Solyc06g072540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGLLIQEGSCVKATGRIAQIPVSEAYLGRVVNVLAKPIDGRGEISASEFRLIESAAPGIISRCSVYEPLQIRLIAIDSMIPIGRGQRKLIIGDRETDMTISTIISS >Solyc05g007550.2.1 pep chromosome:SL3.0:5:2095983:2098530:1 gene:Solyc05g007550.2 transcript:Solyc05g007550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNDKEKNMDEVMLPGFRFHPTDEELVGFYLRRKVQQKPISIELIKQLDIYKYDPWDLPTELAAVGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSEGSKCIGLKKSLVFYKGRAAKGIKTDWMMHEFRLPSITDSNAPKRFLDKHIPPNDSWAICRIFKKANSNAHRALSHSWVSPPQLLPQNNTTSHDFLTSSHFTNNSNEMSSFIHKTTSTTSPIQFNDLLQNSSNNNMSSTFTTLDLPLYKTLNSLSNHNNDPITSYTFSSSCVDNMNNIDASSLLLNMSSSIFGDYSIALPENIEGGGGGGVHDDTTTTTLKEANNVIINNMEHMEELQWGNVVRSNNIGLMNNFPLNMAADAWKTNLLWDSSSPCPSSEMSTSYSTNKCYT >Solyc07g049350.3.1 pep chromosome:SL3.0:7:59755912:59762216:1 gene:Solyc07g049350.3 transcript:Solyc07g049350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIDYGKCVFPLTSLQIGDLQSYLSHLHVFLAPESKRLYILVDNRPWLRDLVSKPAHLWQLMVTKSRLSPFANTRGRKQRKENGDIMDIKSNPELSTSESENVRRWFPFLDAVTLSKRRELLPVKKLRNSLILNSKLHRTLYGFIVFEVAWSDVRGINYFNELQTDTSLAIETKFMKRWEFDSVAQAAKCMSSWFSGTPTEHHLLKVCLESTIGEVFYDSQDIFRDTSDVADSDISSANSSDDDESPCGSMRSFSMYPATANGEISLHTPTSLDEPHKRRKLLKSISKRFNVDMLSEEPFSESIDSQLHGEPSDRSTSEVVEASQYKDILLLFRFNHRDFPFKLRDIILSDLRLLRLLEAGLPSWVIFLQSYPVFCHIYRPWMCPLARFLYVIISVVTVLIGFYDLYKNVPVLKATASSLFGPLFDWIETWEMVSRIQYLGTMLFLHNFQKAFRWFLMTMRTTRSFFSVLTQPMAGPLVEFVGFFLPYSTMCAQIMEDFFSVIWFTVWSSYTLVGKTIEILLLPLWYTTSFIWNLVTYLLYPIFWILWEVTYAPIRLVFGFSSLLGFLCTSIYEVIMDSWLFVSSIVRVTSQVETTVTSSAVSVSIWRSLWNDLFSQIFKALRSILYGFVAFFTACNRHRLSIYNHMSDFIQRLSQPGKRSQPAERGRSPPTSGTQITWVNTKDVQHQRKFRKID >Solyc03g097940.3.1 pep chromosome:SL3.0:3:61755771:61766590:1 gene:Solyc03g097940.3 transcript:Solyc03g097940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYDRKLIAIRYLKSRFLLDLLGCFPWDAIYKASGRKEPVRYILWIRLSRALRVTELFERLEKDIRLNYLFTRIVKLFVVELYCTHTAACFFYYLATTLPPWEEGYTWIGSLKMGDYNYTDFRDIDLWTRYITSLYFAVVTMATVGYGEIHAVNVREMIFVMIYVSVDMILGAYLLGNMAALIVKGSKTERFRDKMADLIKYMNRNKLGKSLSKEIKDHVRLQYESRYNESSVLQDIPASIRAKIARKLYEPYIRGVPLFRGCSDEFIEQIAIKVHEEFFLPGEVILEQGSMADQLYFVCHGKVEELTKSEENETEESLLDLHTYNSVGEISVLCNIPVPYTVQVSELSRLLRIDKQSLVEILGIYFSDGRVIINNLLEGRESSLRSKILESDITLNIAKHESELAMRLNCAAHDGDLYRLSRLIGAGAEPNRTDYDGRSPLHLAASRGHGDITAFLIQRGVEINGRDNFGYTPLLEAVKNSHDHVASLLVEAGALLGIDNDGTCLCEAVARRDVEYLRRLLANGINPNSKNYDFRTPLHLAASEGLYPISVLLLEAGASVFAVDRWGKSPLDEARVGGNKNLIKLLEDAKGSQLSEFSPSFGRSQDEGQGVKCRVFASEPKELKDERRKGVVLWVPQSLDELINTAKEQLRVSSANCVVSEDGAKILDTNMISDGQKLFLVSEYT >Solyc10g017753.1.1 pep chromosome:SL3.0:10:5938239:5941134:-1 gene:Solyc10g017753.1 transcript:Solyc10g017753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQTSAHTSKRSRNSTPPTRRTWTAAEERTLIDGLKALCVNGWRGDNGTFKPGYLKELECYLCEHHPNSGLKGEPHVLSKIRYWKKCYASIAMLKSRSGLGFQYSDGPIIVDDPKFWDDFLKVDPNAKNMNTKKWPMFVDWEKIFGKDRATGEFAEGPLDAVEDIQRSQSSVMFNDMSLGYPIDLDGDEEAGSSHRPNVTKGEAGNSTGATTFPEASQNESAEAFEPEEAGSQQTK >Solyc03g097390.3.1 pep chromosome:SL3.0:3:61160018:61163054:-1 gene:Solyc03g097390.3 transcript:Solyc03g097390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BJF6] MALMMIRDLCSLHYPINLSNTELQVKECRILKFGCNMKKKLMAVNASASGSGNSKSIETINGKKINGVHVGKRGNVVIEPGSSSSSSVNHSYMLGNFVDERFVYRQSFVIRSYEIGPDKTATMETIMNLLQETALNHVASSGVGSNGFGATREMSLRKLIWVVTRIQIKVEQYSSWGDVVEIDTWVDAAGKNGMRRDWIIRDSNTGNIITKATSTWVIMNRETRRLSKIPEQVKAEVRPFYINKFAIPTAQIDSEKIEKLNDETAQIISSGLAPRWSDMDANQHVNNVKYIGWILESVPINVLEDSHLMSLTLEYRRECQLSNVLQSMTTMREIATSDGDENSGMECTHLIRMEADQGEVVRARSIWQPKQ >Solyc02g072443.1.1 pep chromosome:SL3.0:2:42205213:42207813:-1 gene:Solyc02g072443.1 transcript:Solyc02g072443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSASAELFDMVVCFFDFQFTKEAKILRCGNDKLLLNNSRYSRCLESPNELGIWPLNLLSLRNKSIRFEELEIELGITPPMLFSARFKSTRFVRQISKSGRREYLASCFPQDSRVQASPSSQSLFRAVGQTRWNVTTQVVGNDCNALDFLKMAAYIYRKSPC >Solyc02g080150.2.1 pep chromosome:SL3.0:2:45013639:45014617:1 gene:Solyc02g080150.2 transcript:Solyc02g080150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADPALVEEDASSGSGEDINMLDGHNKHQTVTPNSGRRKRSRKATGDAIVDAMLEIAAASKMRAAAIMRNEERFAISKCIKSKGRLFGVIEVIATFCYLFVYLPVQ >Solyc04g057930.3.1 pep chromosome:SL3.0:4:54986195:54990881:1 gene:Solyc04g057930.3 transcript:Solyc04g057930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLECILSAGEDVDRTVVVGMKLDGASRELLTWALVKVAQPGDRVIALHVLNNNEIVDRDGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGTSIRKIIVREANAYLATDVIVGTANHTIRSSASVAKYCARKLPKDCSVLAVNNGKVVFQREASLASYASSKELEHHHGNRLLSVIQRTLTKNSKVLNDSTGLRPTNSCREGGYQTLGEALLKAASASADNSLRQNCSVCSPNCLLPDNSCTQTHEEPSDSNHDDNSLAIVPVQSQESGSSSITLLVKDLPEVRPGWPLLHRAILSNQQTADTLSIRKLSVVQWALCLPTRHLLCIEDADRRDLHSAADESQAPALDEKSGAIVPVNHETTSSKSSPENSPRALPRELDGLHVKYSATCRLFKFQELLLATLNFSSENIIGKGGSSQVFKGCLPDGKELAVKILKQSEDAVREFVLEIEIITALSHKNIISLFGFCFEDNHLLLVYDFLSRGSLEENLHGNNKNPLAFGWKERYKVAVGVAEALEYLHGRDDQPVFHRDVKSSNILLCDDFEPQVMLFYSLLLISLLHWDKDCYFDVASSLICLFLFQLSDFGLAKWATTTSSHITCTDVAGTFGYLAPEYFMYGKVNDKIDVYAFGVVLLELISGRKPISSNCPKGQESLVIWAKPILTSGKYAQLLDPQLSSDYDCELVERMVLAAALCIRRAPRARPQMSIVSKLLKGDDETTKWARLQVNGSEGSDTKLPINGMEGADMLEDDTFSHSNLRSHLNLALLGVEEDSLSISSIEHNVSLEDYLRGRWSRSSSFD >Solyc01g067630.3.1 pep chromosome:SL3.0:1:76282905:76287228:-1 gene:Solyc01g067630.3 transcript:Solyc01g067630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITSATSMIGIQCNFEPPQQHFFNRSIKCSLSIPPISSPKFTLHKLSLHAMPANSFRANASSAIDAPSEELEASSRGGIGANDLLIVGPGVLGRLVAERWREEYPGCQIFGQTMTTDHHDELTKMGISPSSRETKFMFKFPYVIYCAPPSRTEDYPGDVRDAALKWSGEGSFLFTSSSAPYDCSDNGSVDEDGPVVPIGRSPRTDILLKAEEVVLEFGGSVVRLAGLYKEDRGAHTYWLHKGTVDIRPDHILNLIHYEDAASLAVTILKKRPRSRIFLGCDNHPLSRQELMDLVNKSGKFERKFEGFTGTSGPLGKKLNNSKTRAELGWEPKYPSFAQFLGVSD >Solyc01g103640.3.1.1 pep chromosome:SL3.0:1:92073640:92075316:-1 gene:Solyc01g103640.3 transcript:Solyc01g103640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLTELTTMVHFATITTAIHHHLRRQIRTFVNARVKWVRDPYLDAAVEKEKNLKSLLSLKNLIISHPSKAPPLRTISPLKPQLNLPTTALKFIQNYPFVFKTFRPPIPLSTLHVKLTPNVESLHNDETLFLNLSHYRKDLAQRLAKLLMLTRANRLPFFVINRFKFDLGLPHDYLLSFLPEFPEYFQICQMGFKGADGREIFGLELVKWRMDLAVSVVEKGAKREDFGGGKRVHIRYSMNLPRGFDLQKKVKIWAEEWQNLPYISPYEDAFHLAPNTDQAEKWTVGVIHELLSLLISKKTERENIYCLGDYLGFGIRFRKALAHHPGIFYLSNKIRTHTIVLREAFNKNILIEKHPLMRMRNKYISLMTKVLRRGIPINAAALRHRKRLASVKAANSKRMKQVKSIAPKED >Solyc04g025160.3.1 pep chromosome:SL3.0:4:25361447:25367441:1 gene:Solyc04g025160.3 transcript:Solyc04g025160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVGYGHSSNSLRPSHPLSLFNFRPTFSSATFISFKKQPIKCLQVRAVASPAGFDEMVSGTERKYYMLGGKGGVGKTSCAASLAVKFANNGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVEGPYSPLFALELNPEKAKEEFRSATQISGGSGIKDFMDGMGLGVLAEQLGELKLGELLDTPPPGLDEAIAISKVMQFLESQEYNMFTRIVFDTAPTGHTLRLLSLPDFLDKSIGKLLKLRQKIASATSAIKSVFGQEGTPKPDAADKLERLRERMIKVRELFRDTTSTEFIIVTIPTVMAISESSRLCASLKVEDVPVKRLIANQILPPSASDCKFCAMKRKV >Solyc04g009210.1.1.1 pep chromosome:SL3.0:4:2700955:2702634:1 gene:Solyc04g009210.1 transcript:Solyc04g009210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNVTVSSNSATLTQKFITFIEKCKSISELKKLHALLITCGISKETQFSSRILCFTALSDSSSIDYAHRVFLQIKTPTIFDYNALIRGYSSSKNPCKSLSLFVEMLQNEVFPNYFTYPFVVKCLAKLSEVRIGRSVHGGVLKNGFDVDLYVSNSLIHMYGSCGDVLCARKVFDEMPVRNLVSWNSMMDGYGKCGDVVLMREVFDSMIERDVVSWSSLIDGYVKDGEYAEALAMFEKMRVEGPKANEVTIVSVLGACAHLGALEQGRVMHEYVVENKLPMTLVLRTSLVDMYAKCGAVEEALVVFREALGRKTDVLIWNAMIGGLATHGLVTESLELYKEMHVLKVRPDEITYLCLLCACAHGGLVKEAWCFFDSLGKDGMTAKCEHYACMMDVLARAGRLTEAYRFLCEMPMEPTASMLGALLSGCINHGRLDLAEIVGKKLIDLEPFHDGRYVGLSNVYALKKRWDEAKAMREAMDTRGVKKLPGFSVVEIFGALHRFIAHDKAHPESDQIYTILDFVLWQMKLDKDCEEPEQLSCDINGGLSNGVDSSALQMNDFSL >Solyc06g007230.1.1.1 pep chromosome:SL3.0:6:1293160:1293681:1 gene:Solyc06g007230.1 transcript:Solyc06g007230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSSSLPFPQITFNSLKNIIHNILSYNSNIMLAAIISLLLVILFILLLHIYAKWFLVQTRRRSTRNSLSSPFHNFHSFNIVDNTSFASNFPTKGLERSMISSIPLFIYKEESNEELECMICLSLLEDEDVCRKLPKCSHAFHVECIDMWLHSHSTCPICRSPMVTDKGEFKI >Solyc04g071880.3.1 pep chromosome:SL3.0:4:58978239:58989080:1 gene:Solyc04g071880.3 transcript:Solyc04g071880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar-type H+-translocating inorganic pyrophosphatase [Source:UniProtKB/TrEMBL;Acc:K4BTH5] MDDEMEGGNLGPYQERPRTFPSMKSKAYAPWIFRVLVRINSRILLMLLLVCFGAIFYIGASTSPILVFVFSVCIISFFVSIYLTKWVLAKDEGPPEMSEISDAIRDGAEGFFRTQYGTISKMALLLGLAILGIYLFRNITPQQESSGLGRVTSAYITVAAFLFGALCSGVAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVMGVAILYAILYVWFGVDSTGTMKATDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGIFSIRNKRDSGVIGTIEDPMKTLEKGYSVTIFLAVLTFGLSTRWLLYTEQAPTAWLNFALCGLVGIVTAYVFVWISKYYTDYKYEPVRTLALASSTGHGTNIIAGVSLGLESTALPVLVISLAIVSAFWLGRTSGLTDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVAAFAQEPFKQVDIAIPEVFVGGLLGSMLIFLFSAWACAAVGRTAQEVVNEVRRQFIERPGIMDYKEKPDYGRCVSIVASASLKEMIKPGALAIISPTVAGVIFRILGYYTGHPLLGAKVVASMLMFATVSGILMALFLNTAGGAWDNAKKYIETGALGGKGSDTHKAAVTGDTVGDPFKDTAGPSLHVLIKMLATITLVMAPIFL >Solyc04g079020.3.1 pep chromosome:SL3.0:4:63709658:63712336:1 gene:Solyc04g079020.3 transcript:Solyc04g079020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSCRNGTERCNEALQKLGNKYDIVVNIQRDEPLIEPETIVAIVKALQAAPNAVFGTVVMSLKPEDALDPNRVKCVVESRVVFLVYCVINLITFVQTSVSLFAAPSNSAIGLKVLENGYKMKVIKVDHETHSVDTPEDVDKIE >Solyc05g046245.1.1 pep chromosome:SL3.0:5:59288279:59288906:1 gene:Solyc05g046245.1 transcript:Solyc05g046245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKTKLIGSLEVKSPSRIKHFKIYEGEIEIIDLVVNFSCRDGKELFDKTVIEAIDSRKELITWKVIEGHLLELYNSFTIIT >Solyc02g065160.2.1 pep chromosome:SL3.0:2:36883982:36890824:-1 gene:Solyc02g065160.2 transcript:Solyc02g065160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSELVDRIHEFLSTADLDTTTNNIVRRKLEEDFNIDLSDRKVFIREQIDLYLESYYQINQEQMEVENDQEEDPEEDEEEEDPEEEEDLEESKAVDKAEGKSSEKKPGKKNESGKRKAGGFTKICSLSPQLQKITGEAELARTEVVKRMWQYINANELKNPSDKRIINCDDTLRELFGVESINMFAMNKALTKHIWPLDSDAANKKQRKQEEDEDLDEPKKEEQQKNSGMHDPSDERRVICDEKLKEVFHVETHRGIGVTKLLSPHFIWFGENLDSFRILFYV >Solyc10g007505.1.1 pep chromosome:SL3.0:10:1825906:1826837:-1 gene:Solyc10g007505.1 transcript:Solyc10g007505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQNTKEVEFFTEYGEANRYKILEVVGKGSYGVVCAAIDTHTGEKVAIKKITDIFEHASDAIRILREIKLLRLLRHPDIVDIKRIMLPPSKRDFKDIYVVFELMESDLHHVIKANDDLSHEHHRFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKICDLGLARVSFSDTPTTALWTDYVATRWYRAPELCGSFFSKVVHANNVPLALSFI >Solyc08g016584.1.1 pep chromosome:SL3.0:8:7998187:7998691:-1 gene:Solyc08g016584.1 transcript:Solyc08g016584.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQETHITRVHQLNTKSSQLGAQKNPIGHPSALKDRELGDNLHELKQNSPLLDQVVLGDNLNDVSGTASQDQLVLYANVDAQQNAQRETESSSNSRVIYNIYNAASHERIVEAEESIIVAAPIQMVYMPDSNQETVVT >Solyc06g060010.3.1 pep chromosome:SL3.0:6:38062878:38065612:1 gene:Solyc06g060010.3 transcript:Solyc06g060010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMFCVAPNCESTIIGRRALIHTNIHSYEAKYVSFGVWPNFYSRRRRLMSQAMDHPSRHLADFEPTLWGHHFLSYTPQQTEISTQERVEIDEYKEMVRKTLVETPDNSRGKLVLIDAIQRLGVAYHFHKEIETSIQNIFDSHHGIVHLHAFIDSGNNHDNLLHVVALRFRLLRQQGHYISSDVFKQFMDHNGNFKEIFINDDVEGLLSLYEASHLRMQDEEILEEALIFTTTRLESLLPNLTNNSLKIQVTEALRQPIRKTVPRVGARKYIHIYENIESHNDLLLKFAKLDFNMLQKVHQDELNEISR >Solyc01g079830.3.1 pep chromosome:SL3.0:1:78813904:78815775:-1 gene:Solyc01g079830.3 transcript:Solyc01g079830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YPHNYSKEKKKNKIKQTFLSSLLQNMAMEVEDDIFFADLSKQISLLIMDDDEHEHQNSSVYRHSRDSLQAFSQVIHPATRAGYVYEQNHNNRREISKGTGVFIPLSSHPRRKNRQPRHNNNFSSNTKFKGQTQSQLINQLPPHYNSLNPTRFSS >Solyc12g036490.2.1 pep chromosome:SL3.0:12:47056287:47058135:-1 gene:Solyc12g036490.2 transcript:Solyc12g036490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKWEELSKKNKWEGLLNPLDVDLRKDIIQYGELAHVTYDTFITEKASKNPLKYRATKYFYGTSCIPLPNAFITKSLLREAWGKESNFIGYVVVATDEGKVSLGRRDIVIAWRGTIQTLEWVNDLQFLLIPGPQVFGKGGLAQPLVHHGFYNIYTSESVRSKFNQASARDQVLKEVKRLVEEYKDDEVSITVAGHSLGASLATLHAVDIAYNGINKQAVGRNFR >Solyc01g079375.1.1 pep chromosome:SL3.0:1:78317501:78323687:-1 gene:Solyc01g079375.1 transcript:Solyc01g079375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRFTNRGEFRFASTSDTTISDGCSLLTTLIAVSKQLGMLIIARRKNAAWITTASSGFMSKWSSVSWFLSGKKMILNEKGKFKELAKLSKDLPVSITLSLLTDSITVIFICSIGLSFLSAAAWIKDAHCTPVLIRKSIRFLALIFLFLYRSSLQVLVQNKRLLFAQGYLCLMQVVGIDSEISLHDRSAGQKLSQLQLGGFEQVLHFDYLQEKEPSLPGIWLEVNGERWNKLGIGHIRTESNLQAPPDPLRLASGAQNKGGRVNNRYTSPLSQKYEFRGKILDLGSLEMLYGPLMLGGGGQAPHPLFPPYKGLRVPNHKDETDHPGNH >Solyc10g055060.2.1 pep chromosome:SL3.0:10:56236199:56239101:1 gene:Solyc10g055060.2 transcript:Solyc10g055060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRMFYEEEAWILFKKKVGIFVDNLSLLDIAKEVDKECKGLPLVIITVAGALKNLKTKPSWVCALEQLKSVETRIIPEVTKELYKPLSLSYDLLECNEAKNLFFLCSLFEEDSYVFPEELLRYGRGFASFQKSESSDKNYVKMHDVVRDVAISIVSEGEHNFMDDFFDGMDKLNVFSLSVYRQYHVLPLPKSIRRLSSLTTLCLSNLVLGDISIIGNILRNGCKTIERISTGALSRLVQLEELYMVVVEYCSYSTLSELKSLSRLTALTLSKCVEDVIYSNLSLSSKWTRYNLTVSDMWTSIMDDYDRNITLEVMETSDNVEAIKFPQLRKMIFDELPKFQNFWPTTNNSITISNPLFHEKVSCLNLKELDIYSSNISSLCSHQLPIAYFSKLEILEVKNCRKLRNLISPSVTRVAWNLRIQLIENCMSMEKVITQEEQQGEEIMNDELLFPLDVKIDDCPVIKTLSVSTVSLAWVNYDDRVELDDLNECIQQRFNSKEQTASKGTNESDESEANDGDKFEAADDSEGRCMCL >Solyc01g057560.2.1 pep chromosome:SL3.0:1:61689053:61689831:-1 gene:Solyc01g057560.2 transcript:Solyc01g057560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASLGWRVVYAWVGDGNLPPWSGVTCSRQGKFMLLRLLAHFPTVVTNLLDLTRLDLHNNKITGPLPSQIGLLKRLKILYNPLLLYPY >Solyc03g062970.1.1 pep chromosome:SL3.0:3:34754547:34758560:-1 gene:Solyc03g062970.1 transcript:Solyc03g062970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMLTFTLTFKFTLMLTFTFMLTLMLMFTFTLMLTFTLTLTFMLTFTLIFTLEFPFTLTLMFTFTLIFTLTLMFTLTFMFTLTFTFTLMITFVCQNVDVYVDFDIDVHDDINIFVDTDIEDNVHVDVNVDNDIDVHVDIHIFVQGDIYDDVDVHIYVEVDVYIDIHVDIVVDVHVHIDIHIDVHVHINIDVHIHIDVYIDADVGVDVNIQIVVYIDVHIHVDVHIDVHVHVDIFVHVYVDAYIDLDLDVHIVVNIDVDVLTEVYIYVDADVDVDVNVHIDIYINVHIDIHFYVDVYVCIYVHVDDYICIYQHIVIYVNVDVDVDIHINVDINIDVTDDVVYININVHIDVHIDVHIYVHVHIHVHVDIDVHVDVDVHFYIDIYISVYINVDDQVRVYIHVDVYVDVDVYVDIDIDVHTDIDVYVDANVDYNFHIDVDLYVDIYFDNHVNIDAGVHVMFTFTLTLTLKLMFMLTLC >Solyc07g021120.2.1 pep chromosome:SL3.0:7:16237355:16254448:-1 gene:Solyc07g021120.2 transcript:Solyc07g021120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGSAKSLTIAELVRECRPRTGAWSLISSPCPRIPSPQFLPSLTTPTLCSHKILKSLNYPTLLTGILFLPPHGDGHDSPLNCNCFRFSDGYDTICCDILGFNPSMINKKVQILGWNFIPFNCNANANANGGGFLEIIRWAFLDSTSASSDTFSILSVVPCRVGSTADTTENLRGFLVNILVCGCKLCNSKYNIRFDMRNSNDHCYNKLEIVYFCGSASSWHPVLSRLIKRNVSISGLKKRLVFVGKKVSQLMYVVVDNSLMHIPKLPLPLRETDVRGKGELVSYTGTVTGIYMRGMIVELDNELLLLLTDQHLSVPHSVRVGAMVSVKNVHVVNPKFSWTKTLILGSCVKTSISVECFSLLEAGCYTVTCCESLLAKFIDSLVFVARLWVLLVIICLRRKFSGILSEKEILGSTNHGVFMEFVKHDRCACGRERSSVSLKLVAPIANLINSWEGTWMKMICHQDTDFGIMGTQKESNSISCDGRQYVLSIRKAIHSEDIGVSLLGILKVSQSSGRMLLVDATGSIDVIIPDLPSSLNINNIYEVRNFLAIMEDIPMKLGHVDLLQNEPFTCRSIFVNAPLVREMNRPLLLYYNLRNLNPVHHFTTSAHSQVDFPKVGRGKYHLLQLMHKFPILQKFQGSQHASNTSSTFAEALILPWDLLIAGNNIDTCIEEPLIDQLKQPMKFFNRMEIGKLIACKRQKPDQLSNDALTSAFNDTGNEPSYSSSHPAYACCPEEIPCLVTGNCVNYPFLGMLHHTNTRTDMGSCSKPQVRRALLEFKSEALSVYERLKIGGHYLINHQKEDMFGTDAIVVNSGTYIWSISFSSANVHQNFDVSCLLQQSGSFLSHNNDLPEGYHQFQIPNSLPNGSNDISSDVNLYMPSDVTNLFNVNLVLLENCSLEPLIPFGEMTNICPSDHNLPEGNLTSIHGQIKAVHCSDGKSYAAHLRCESICGVCPSLFLEGTISICVHVLMDHKMVMIFGSANKPVYPAGFGRGVTASFHRVLALSAQDNFMLIPTSFIVINPSSLINDDSVDAHTYKSAALDLDGGSPFYANTASLIADTVSCLETQQVEFHCRVFLSHEEDQRNMGLEGQSKKETMEGGFGGEMEGLY >Solyc06g007380.1.1.1 pep chromosome:SL3.0:6:1411204:1412646:-1 gene:Solyc06g007380.1 transcript:Solyc06g007380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTLKLNFLGNILVRLVSFMVLVFLARFAYIFTVKGKSCNSGDFCFLPETLTLNIAGSNPNSIDLPDSASDIRNYYYSVFEDLIADGFLSPNSHSLCIETLTGQDVEALIDVGVIDSIGIFHKSSPPLIRYGYGHHQPFDDNTFHFEFAGNGVLDRSSKPAEFATEVSRTMKPGGIFVIHTISKDDYSLHSLIQLFTSFKLISSIEIDSFATWQPPIRQVIFKKVTRFEPIFTKSTCVDLEKSKNYCYTPDYKRELIRKAESLVVKEPLKPWIHLRNVKYLSSMVDISFKKRYIYVDVGARSYSSSIGSWFKKQYPKQNKTFEVYAIEGDRGYHDEYKRKGVNLLPYVAWLRNETLFFEIGRVQTRKNVEKGRGLGRVQSAQSSLDFIWDSNKIVGFDFGEWLMSLVDDERDYLVVKMDVKGSEFHLIEKLIENGAICLIDELFLKCHYNSKRYDKTYTQCLELYSSLRDIGIFVHQW >Solyc11g040180.2.1 pep chromosome:SL3.0:11:39434838:39464918:-1 gene:Solyc11g040180.2 transcript:Solyc11g040180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCRGRGSAPDNESVNLFVYEVSQFLARQKHAKKYILVHCTHGHNRTGFMIIHYLMRTLPISVSQAIKIFSDARPPGIYKPDYVDALYAFYHEKKPEMVVCPPTPEWKRSSELDLNGDAMPNDDDDGGPTAPLTDNLEAQVVTSNDDILGDAIPQDQQNYLRQFCYQALKMTPGGRGPQFPGSHPVSLDRENLQLLRQRYYYATWKADGTRYMMLITMDGCFLIDRHFNFRRVQMRFPCRHTNEGLAEKTHHFTLLDGEMVIDTLPDTQKQERRYLIYDMMALNHVSVIERPFYERWRMIDKEVIGPRNYERQHIYQSRNPYYRYELEPFRVRRKDFFLLSTVTKLLKEFIPKLSHEADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFLFEVIDDRELLYLHERGKKKLMEGNRVIFPDGSDPSAYSDKIIECSFDTNNQKWIWMRTRVDKGTPNDYNTYRKVMRSITDNITEEVLLNEIYEIIRLPMYADRIQSDSKAHVRRR >Solyc11g065420.2.1 pep chromosome:SL3.0:11:51150246:51151360:-1 gene:Solyc11g065420.2 transcript:Solyc11g065420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAIEPPELVKLVGKPKRMREREKNEVVKRQGVWKLTRKGKVMTCSNCENKITMQKDVKRQSRGNNLLRSKGNNLLTKGKILAGEKRDSLGEV >Solyc02g077310.3.1 pep chromosome:SL3.0:2:42849360:42849947:1 gene:Solyc02g077310.3 transcript:Solyc02g077310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVVQRVASASVEVEGRTVSAIGPGLLVLVGLHESDVDSDADYICRKVLNMRLFPNEETGKTWDHSVIFSLLSM >Solyc09g042728.1.1 pep chromosome:SL3.0:9:25486371:25492464:-1 gene:Solyc09g042728.1 transcript:Solyc09g042728.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDEIVDAILGAKSGYIKGLGYGPKPDTTRATQRKRPTEVVVENQQSQITTLNSQLEVVLAREDDILKQVQQFMSSSPSRQSFVQKVCKDDEKLEIHGRNDLIWELMTSMMSVTVRSLDEIKVPPLLVVKILLKHSLGKRQLDFAHVKKSSEVYE >Solyc07g052380.3.1 pep chromosome:SL3.0:7:61024303:61027685:1 gene:Solyc07g052380.3 transcript:Solyc07g052380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4CFD7] MASSENDVNQPFIEKSRASDSESSIELESVLLDHSLPRWNRLRQATWIEMKLLFSLAAPAVMVYMINYLMSMSTQIFSGHIGNLELAAASLGNTGIQIFVYGLMLGMGSAVETLCGQAYGARKYDMLGVYLQRSTILLVLTGVLLTFVYVFSKPILLFLGQSPEIASAAAIFVYGLIPQIFAYAVNFPIQKFLQAQSIVAPSAYISAATLVLHLVMSWVATYKLGLGLLGVSLVLSLSWWMIVIGQFVYILKSENCNTTWNGFSWKAFSGLPEFFKLSAASAVMLCLESWYFQIIVLLAGLLENPELTLDSLSICMTICGLVFMISVGFNAAASVRVSNELGAGHPKSASFSVRVVTTCSFITSVIAAILVLTFRDVLSYAFTGGEVVAEAVSDLCPLLALTLALNGIQPVLSGVAVGCGWQTFVAYVNVGCYYIVGVPLGALLGFYFKLGAKGIWLGMMGGTVMQTVILIWITIRTDWNKEVEAAQGRLNKWGDKKEPELKE >Solyc03g118100.3.1 pep chromosome:SL3.0:3:68556343:68558587:-1 gene:Solyc03g118100.3 transcript:Solyc03g118100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQVNYFGTKNMIKALTPLMRPSPAGSRIVSVTSRLGRLNSKRNVSNTSGISNVAVREQLENVDTLSEEVIDKTMHTFLEQVKDGTWESAGWPHVFTDYSLSKLAVNAYTRLMARIFEERPEGEKIYINCYCPGWVKTAMTGWAGHVTIEEAADTAVWLALLPDQFVSGKFFAERREINF >Solyc01g089850.3.1 pep chromosome:SL3.0:1:83448980:83451559:-1 gene:Solyc01g089850.3 transcript:Solyc01g089850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKLIDYLSSLLQRVAEANDINGRFQPQKISVFHGLTRPNISIQSYLERIFKYANCSPCCFVVAYVYLDRFTQCQPSLPINSFNIHRLLITSVMIAAKFMDDMYYNNAYYAKVGGISITEMNFLEVDFLFGLGFHLNVTPTTFQTYCAYLQKEMLMQSPPMNFEDSSLFIGRSPKLQYICFNEDESSSQQQQLVV >Solyc09g014730.3.1 pep chromosome:SL3.0:9:6804604:6816932:-1 gene:Solyc09g014730.3 transcript:Solyc09g014730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKQIALFFSFTCSVLTILTSISAHPTADSPVSPTTITKAPNIAKPGCPKQCGNVTIPYPFGIGSDCAMDSSFEINCTAGSSATLYRSNIKIYDISDSEIRVSNMLYQRCYSETGQLLVPDNPTWLRFGKLTPYSFSALNMFTVIGCDETAIMRGDNFRNGCYAFCTNSSGVNVVEGRCMGTGCCQIEIPKGLKYFNTTMDSVENHTGIWSFNRCGYAFLGEASRFQFRGEHDLTDNDLRNRILDHVPIVLDWAIGNNLTCGDAQKRDDYACLVNSHCVDSDTGLGGYRCHCDQGYEGNPYIRPGCSDIDECKNASTCAHYMNCINKPGSMGVGFISLVVGTMLLYFCINKRKLIKNREKFFQQNGGLLLKQQISSKKGGVEATKIFTSDELKKATNNYASDRILGRGGNGIVYKGILPDNRIVAIKKSKIVDENQIEQFINEVLILTQVNHRNVVRLFGCCLEAEVPLLVYEYVSNGTLYEHIHNQNGVPWLSLQNRLRIASETANSLAYLHSSASMPIIHRDVKSSNILLDNGYTAKVADFGASRLVPLDQTRVATLVQGTLGYLDPEYFHTGQLTDKSDVYSFGVVVAELLTGMKPISRDTISDKDKCLVEYFVSSMNKNSLFQILDRRVVREGSLEQLQKIAEIIKRCLHLHGEDRPTMKEVAMEIESLRKLTSLWSNGKEHEDEKEVELTDLYTTPIDSNIGIDNFSGQYPTSYTNRCPKKCGNITIPYPFGIGLGLGCAFDSSFEIGCNKSTGTPTLYASNIKVYDISDAETRVFNFIAETCYTSAGVKLVEYPLWIYVGCDDSAIISSTKFINGCPTTCISSSHVVDGSCMASGCCQIQIPKGLKNFNTSMQSSRNHSRIWPFNPCGYAFLGEASRFQFRGMEDLNDLNFVEKIVNNVPIVLDWAIGNLTCVEAKKRNDYGCRVNSQCVDSDTGLGGYRCRCNPGYEGNPYLGCRDIDECANPNTNSCEQNCINIPGSYNCSCPQGYTGDGKKNGRGCNAIISNSEFPWIKFSVGMGVGFMSLVLGTTWLYFSFKKRKLMKLREKFFQQNGGFLLKQRISSNEGGVEATKIFTAEELKKATNNYASDRILGRGGNGIVYKGILPDNRIVAIKKSKFVDENQVEQFINEVLILTQVNHRNVVKLFGCCLEAEVPLLVYEYVSNGTLYEHIHNKNGAPWLSLENRLRIASETASSLAYLHSSASMPIIHRDVKSANLLLDDVYTAKVADFGASRLVPLDQTHVATMVQGTLGYLDPEYFHSGQLTEKSDVYSFGVVLAELLTGLKPILKEENEKDKCLIDYFILSMNTNNLFQILDRRVVREGSLEQLQKIAELVKGCLSLRGEDRPTMKEVAMELENIHIEK >Solyc10g005020.3.1 pep chromosome:SL3.0:10:21133:23640:-1 gene:Solyc10g005020.3 transcript:Solyc10g005020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVFKGFGFGEVDEEEEEENKKMMIKVVTCNGGIMELHSPITAHCITNEFPGHAIFHSQDMFSPPLFPNEELHAGESYYLLPLLNHPIIKSKRGHEKDEKRHVTTCDTSRQPTPYRMSFDNQRMLKRSEAEVFPTYSSTGVWKVKLLISPEQLSDILSHEARTEALIESVRTVAKCGSGASSMATAHSDKWSYSSTNNNNWKAHTTPI >Solyc04g058040.2.1 pep chromosome:SL3.0:4:55100527:55104901:1 gene:Solyc04g058040.2 transcript:Solyc04g058040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQNQTMKANTSFVLILFFAVQYTASTNVFHFVIEETSFDRLCQSKKILTVNGQFPGPTIYALAGETLSLDVENRGKDNVTMFWRVGRHVKSDQVEWLVEAGAAVRKNITISEDDEGTLWWHAMNIWQRATVHGAFIVHPEPDDHVDIPIILGEWWKKDVKEVFVDYIDSGSDVKSNAYTINGQPGDFYPCSKNGTFRIVVDTGKKYLLRIVNAAIHKKLYLGIASHNLTVIAMDGSPIIEPLSTPFVELTRQHSIDCIFEANQQPNYYYYMVASTNISEAYDTNKITTAIIEYQGSYKPSLPPLLPLLPNLSPNYDKSSSRDYLYVILTFVILGLFFCTTFIMWPQSVLQEKEAKQSKEVVNGVEHAMEEAVNLQEQNNTELSNDELYRMGMIPTTKANKS >Solyc03g031490.3.1 pep chromosome:SL3.0:3:4040287:4041431:-1 gene:Solyc03g031490.3 transcript:Solyc03g031490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFKQAFLFISLFVAISVYLSWDPSKMQVMALRDLPGDFEEIKGKLFQIDDITTCGHRCKGRSDCKEGFFCSTCLKIGSAVSHCV >Solyc09g074900.3.1 pep chromosome:SL3.0:9:67125647:67132164:-1 gene:Solyc09g074900.3 transcript:Solyc09g074900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKAAAIRKSNRSENFIQKLVKNPKIPFAIAILIADAILVALIIAYVPYTKIDWDAYMSQVTGFLEGERDYSNLKGDTGPLVYPAGFLYIYSAIQYVTGGQVYPAQILFGFLYVLDLAIVLFIYLKTDVVPWWALSLLSLSKRVHSIFVLRLFNDCFATTLLHAALVSIICQKWHLGLVIFSGAVSIKMNVLLYAPPLLLLMVKAMDIVGVISALAGAALVQILIGLPFILSHPASYLSNAFNLGRVFIHFWSVNFKFVPEDIFVSKAFALSLLVAHLSLLLVFAHYRWCRHEGGLFAVVRSKIIQLKLRVSQRNPSSTKKVLQADHIVTTMFVGNFIGIICARSLHYQFYSWYFYCLPYLLWKAPFPTLLRLFLFAAVEFCWNVFPSNTCSSLVLLCVHLIILAGLWISSPEYPYVEEKTTYKSTPKKKAR >Solyc02g067275.1.1 pep chromosome:SL3.0:2:38018976:38026047:-1 gene:Solyc02g067275.1 transcript:Solyc02g067275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSMYWKLLKMAMNSKLEMNEARDGDESEARDDNVSKARDGDVSEAGDGGDGDEAQDMIQKDSVELEKLQTTLRDDTLGIGTKYLCTMRESKNLILLPKSMMI >Solyc04g040110.3.1 pep chromosome:SL3.0:4:12048754:12083086:-1 gene:Solyc04g040110.3 transcript:Solyc04g040110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIHVSVRSKPLSPEDAKSSPWRISGNSIFIPNQPAKFEFDRIFGNECSTLEIYQARTKNIVSAAVQGFNGTVFAYGQTSSGKTHTMRGSITEPGVIPMSVQDLFNFIEKEIDREFLVRMSYMEIYNEEINDLLVPEHRKLQIHESIERGIFVAGLREEIVASPDQVLELMDFGESHRHIGETNMNLYSSRSHTIFRMIIESREKAEDSKSENSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAENQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLQFASRALRVTNCVHVNEILTDAALLKRQKKEIEDLRAKLQASHSEHPDEEILNLRNTLLKSELERERIALELEEEKKAQAEREKRLQEQAKKIQNLSSMVLCSSTVEGRDTYKKDKRRYTWCPGNLSKEALEELSSAVKEKVSVVQAKGIERDVGPLLPFEELLDADSNLDSGKQEDNSRSNPLEVCTLPDPQALLHVTSRRKVASRKKSSSLEDNDLLELQREYEELLLKYESHKTVSEVKIDYLTRKLFEADINLVDGSEQYDNSLMLLCGSKTLREAEAIFVIKQLQEKITVLEMERSSSQHNLDSVVEIATEQTISAREKHEELYQELLSAKLDAQGAREQLASMETAVVLVEDNMKSETELMREVQDLMSEFENSRTLIDSFIPVVEELVLSFSAISKLVPDLKSSALDNSNQIRSVIINHEKLQFFLRQKINVVQDEKILLDNQSFDLHNQIEELRRAIEDSGNAFTEMSEKYEAEKSEHLSQIQSLQKELSCLSSSSLGREKENIRKDLEKTKAKLRDTESKLRNAIQEKTKLEGERACAEREIKRLNGQRAILERDINKRDSNIGRRRDSVVDRSSNVLDSKRSKNSSVCVEHVVQEEYRKLEVLAFEMETTIASLEEELTISHAENEEANSRAENLACELQALSDELNMSNTELSMLKEEVSCLRLCSEESESRCQRLETSVNILVEEKEDLAMQLTDALLEMEEEKAIWLAREKATVEAINEKAKSYSAEIANVSRKMTEVTNELESCRTQCKLLEESLVISENNASVDKRFSEEKLLEIDQLRLSLRDAEEQCRRFQEEKKDLCKEVERLKMELSMLNKERVDLLARSRESETELIQRDDFQLSNSNHEVEQLSEKLSALEAKMHHGEVNHNSVKAKLRMRLRGAQAKLDAFRVRYQEAMDEIDYMNKKFEAASSKLKNQLASSGLEILSLKKQLASGRGS >Solyc02g065020.2.1 pep chromosome:SL3.0:2:36707152:36723165:1 gene:Solyc02g065020.2 transcript:Solyc02g065020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLDIMYNQNSADHKGFGTPMSPRISFSNDFVDSSSNTQLHHQMMRTYRDAPVSSDFEFNVTNYSMITADQLFSKGKLLPFKDTSSSKKTTLRDELLHEDKDDDVFSSRPPKSSTRWKGLLGLKKSHIGSKKNDNNNQPSSGKRSNVVHEDMVHRTNNSQEPYNGAGGGSSSKDVEFRFN >Solyc11g040222.1.1 pep chromosome:SL3.0:11:38581743:38584272:-1 gene:Solyc11g040222.1 transcript:Solyc11g040222.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLIAGHETTAAVLTWAVFLLAQHPVKMKKAQSEIDAVLGQGRTTFESLKKLEYLRLIVVESLHLYPQPPLLIRRSLTSDILPGGYNGDKNGYEIPAGTDVFLSVYNLHRSPYFWDKPNEFEPEPSRSRGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTIALAMLLQKFDVELKGSPEDVELVTGATIHTKTGLWCKLKKRSNI >Solyc06g068610.2.1 pep chromosome:SL3.0:6:42642720:42644743:1 gene:Solyc06g068610.2 transcript:Solyc06g068610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNNVMEQWKKKLFRELNKNKFEVEVGNFKFVERLNFWLVFGGIYVLTEMQPPHQHSRINLSELKAQIVKKLGPEGSKQYFHYLSRLLSLKISKAEFNKLCLRILGRENIPLHNQFIHSILRNACSAKVPPPINEGGIVKPVVAVGSKQPLDDAYDQNGFHVSSNQASGQPGLSNGAVLPLSPRKARTGYRDRRAGDRRSVLGSNGKNSFTFQQATMMESSDFEIIKENGDLNPPNVKGAVHQYQGIMQQTDDDRQGFNQETAKFSVMKRSLQNSVSLQKKTDKSRDDGKEMHARSRLQAPLGVPFCPVSVGGARRSVSLAASSRCVSSSSFGALLDSVTLRERMEQISAEQGLDGVTTDCANLLNNGLDSYLKGLIKSCLQFVGARSGHEPTTNNTKKQQTYMKLVNGLRPGHHLQMNGGRLSEAVNERAPGNLVSLQDFRVAMELNPRQLGEDWPLLLEKLTHAVEE >Solyc05g006343.1.1 pep chromosome:SL3.0:5:1002064:1005484:1 gene:Solyc05g006343.1 transcript:Solyc05g006343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRATFMQVVQQLGEGVEMDEDGSSFQIYGSCAITRGGWIFMSNLRKLYNNLEKIRYPTIEGDTRKVVSQLAICIEQKEKVIVTGLLTSNKIFDESKMADNEDEDEDWNDDGSPEDEAAFMRELGKFLQGKSHGI >Solyc05g053630.1.1.1 pep chromosome:SL3.0:5:64549389:64549658:1 gene:Solyc05g053630.1 transcript:Solyc05g053630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSTIFIKSQTLLPLFFLGLLLNYSLMISATRLVGFSSHNLVSSSNKKFTKIVNHPYKTSSDQQYMVNAHDVPSGANPVQNGCVNGIC >Solyc09g037130.2.1 pep chromosome:SL3.0:9:21127283:21130361:1 gene:Solyc09g037130.2 transcript:Solyc09g037130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNGERRRMVKLFKNCERHSPKGEDVFESLGAPLNVAKPTKDSSVAIF >Solyc02g014290.1.1.1 pep chromosome:SL3.0:2:16185769:16185993:1 gene:Solyc02g014290.1 transcript:Solyc02g014290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSKAVIFIAMTLLLASITCEGRQIDYGALDRNRIPCNRRTNNMKNCHIGRPANPYVRGCEKINRCRSGNDIS >Solyc02g063100.2.1.1 pep chromosome:SL3.0:2:35711043:35711354:-1 gene:Solyc02g063100.2 transcript:Solyc02g063100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRGWGGGVSGHNSASRSKGGVSGTGCVCLRARRCLEVGGREVWGGWSQERWCLEVVSGHDDNSRPRGGGGGSGLRGGCLGVRWCPEALEEEMRGGGGLEAGE >Solyc08g008410.3.1 pep chromosome:SL3.0:8:2825259:2830416:1 gene:Solyc08g008410.3 transcript:Solyc08g008410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPGGGMTQNHLPKSKELTPATVTERESMMMDLDFDIDASWSFDQIFAAAAAVSSNPASPFLPCSPLWAFPDDNDEKPAGNGLSGALRISGHPRFVAYTGDLEATTETISVNTDKGRLTSPISGLLPGDNPEGSCIIKERMTQALRYLKETSGERVLAQVWAPVKEAGRSVLTTSGQPFVLDPECNGLHQYRTVSLMYMFAADGETDGVLGLPGRVFRLKLPEWTPNVQYYSSKEFPRLDHALNYNVRGTLALPVFEPSGRSCVGVLELIMTSQKINYAAEVDKVCKALEAVNLKSSDILDHPNTQVYVMGYMNQICNEGRQNALVDILEILTAVCETYKLPLAQTWVPCRHRSVLADGGGLRKSCSSFDGSCMGQICMSTTDVAFYVVDAHMWGFRDACAEHHLQRGQGVAGRAYASRKSCYCEDITQFCKTEYPLVHYARMFGLTSCFAICLRSSHTANDDYILEFFLPPNSGDYSDQPALLNSLLLTMKQHFRSLSIASGEELEHDWGSVEIIQASMEEKIDAKPESVPTAKTSPQLTSLPNGWVHLDPVGEQQSAVGSNVSKGARSTSGTGEAPNNVSNSDNKTSGKKSERKRGKAEKTISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKCVIESVQGAEGAFTLTSLAPNSLPAAVSSISWPAGANVSNLPSSPSSKPSVFPEEKNEFFHHGTPESHIEAEPSNQMLGGRVARKEEFTPMQNGFLHAEGTHKSRTGSVSREESAGTPTSHGSCQGSPCAGNGFSPQNELVNSPAHESCMKVGGSLEAARQTTAEINLSSAFLMPQPIIPKHTQEPFGGMLVEDAGSSHDLRNLCSPRDALVDERVPDYNLTNPPFSDAIAKDPVYVPPDTIQQYSAWPEVTSVTIKATYKEDIIRFRLCLSSGIVKLKEEVAKRLKLELGTFYIKYLDDDLEFVPISCDADLQECVDISRSSGSSIVRLLIHDIMSNLGSSCESSGK >Solyc08g067240.3.1 pep chromosome:SL3.0:8:56328265:56333765:-1 gene:Solyc08g067240.3 transcript:Solyc08g067240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEEPLIHAEREPKRRTLFGHTFYVDADVSDELRSKVIESATAEGASLVNQWFVGCSASHVVCEGNSIRKYLGHSSKIVTPLWVLKSAKEKCLQRLVHMSADLARQTGILLDNIQNTISSKEVNTGAYLQDVTCSTPRVSQEERQNVANVAKEGVRKRRGWRMQTCQTPLRHLSPSSLLDSICWSISDPTSTASIYMDSSSVEDTNQQNTSVFFDAKEDQKASEASFVNLSRPLSESEKAELILKNNFVTILFPVDRFSEMGPCSRTFFNEKGFTCLQVLDYIYAFYQENMSRREVEVAIHTDSRNADRLRSVYCSKETSERGCVELKRIEFLGSRKSFEMLKRVSGDNNCNVYELLIRA >Solyc05g055340.3.1 pep chromosome:SL3.0:5:65866003:65869513:1 gene:Solyc05g055340.3 transcript:Solyc05g055340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFKRGSKVEVMNMKQSSASRRRAENLSDNGYMYTVRYDYYPGIESMGRTERVSRKNIRSCTPCVESLENQEIGEVVEVFDESSWKMATIVKVLDNDYYLVHQTGCLKELCVHRSNTRVVQCWQDEERHLIRKGSELCRRSDQLSALKPSEKVSKVLSFSARNRFHAGDDHLASQECTELWKSHISSSLLLNRVSQVAFSKNETFRNIQDLEATERVFKRRRVVPASLKGQVNVDAKCKENIMAEKNVHDQLKDDGYCALEKTKRSGSIGYFLTRSTESSDACSVGSCSINEKFSNLSPEEVYCQGTVLCSDAESFHDSADKEERHSLSSPVKIAASIHSLELHAYRCTLEALYASGPLSWDQESLLTNLRISLHISNDEHLAELKTLISAGTGQQLDVYILCLQKSKDDACICSTSPGIIWRTPTTTVPQIRQFMAFVVITIKLHDLFCLDNFDIGMLFPEK >Solyc03g114050.3.1 pep chromosome:SL3.0:3:65574665:65581627:1 gene:Solyc03g114050.3 transcript:Solyc03g114050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAARLFGRTFLAAAKSESSAAPAAARTLNPLEQFFEVDRTPEDDKPVVYGRGWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLNAQNLRFPNPERISKVRKSMCRIKHVLTERAIDEADPRRSTEMKRMINAL >Solyc11g018510.2.1 pep chromosome:SL3.0:11:8627900:8643767:-1 gene:Solyc11g018510.2 transcript:Solyc11g018510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVRTLSIMKKPTIMASIPSTPPSPQSLPSKTTSLRTLSSKKPRNILTNPIPEEDPKWVPLNLSKSELYLPLTFPTGQTFRWKQTGPIQYTGVVGRSHLVSLKQLDNGDVGYYFHCTTSDSDSESAADARIALLDFLNVGISLTEVWESFKASDKRFAELAIHLEGARVLRQDPIECLIQFICSSNNNIKRITMMVDFISSLGNYLGAVGGFKFYEFPSLERLAMVSEQELRAAGFGYRAKYIVGTVEALKSKPGGGMEWLAALREVDLPEAIVSLCSLPGVGPKVAACIALFSLDQHHAIPVDTHVWKNYLHRKHC >Solyc04g072250.3.1 pep chromosome:SL3.0:4:59335088:59336839:-1 gene:Solyc04g072250.3 transcript:Solyc04g072250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIGPWLGGGGRSRDMDFVSPFSSDVLGLGFGGELGFGNGLGFRNDEISALAHASVDWRETDQAHVFLVDIPGVKKEDLKVQLEDNILEISGERVKEEEKGDDKWHRVERKRGSFCRKFRLPENANVEGISCGLENGVLTVNVPKKETQQVPKNVKAINIT >Solyc05g023960.1.1.1 pep chromosome:SL3.0:5:30159323:30159616:1 gene:Solyc05g023960.1 transcript:Solyc05g023960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRILSNISISPLNYSYISYEFHFAPESILGEVQICSIWVLIGLGLTWFTRYWFLKELISPLVKPFLTLTLDSYFVHTQSTDTFPTYVTEAFIFGAF >Solyc07g005160.1.1.1 pep chromosome:SL3.0:7:172267:172425:-1 gene:Solyc07g005160.1 transcript:Solyc07g005160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERNLYSWNVIIQGCLKDNRVDEALELFNVVPWRNEVSWTLSLLVLHEMGL >Solyc06g076070.1.1.1 pep chromosome:SL3.0:6:47377028:47377261:1 gene:Solyc06g076070.1 transcript:Solyc06g076070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNGLVDDELLVEGASARAPARTTGVNLVVEVLVLPRLALTLARVGVRRTHMMIDITNTTFITFFIFFFFLFLSSIA >Solyc02g086145.1.1 pep chromosome:SL3.0:2:49540533:49557958:1 gene:Solyc02g086145.1 transcript:Solyc02g086145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASTFTVKGNTAFGLQNRRILQGVSDLRSRTLAGKSLRMTGSCFGVSMDSASMGIELGRARRTVQSVFGSSAKARSHRVRAAGEDIEDAAPLKVQGQSSGSVLPYVGVACLAAILFGYHLGVVNGALEYLAKDLGIAENTVIQGKFYWMGQLFMKPLLCHRVDVLAMLGWIVSTVLAGAFVGSFTGGALADKFGRTKTFILDAIPLSVGAFLCTTAQSVQAMIIGRLLTGIGIGISSAIVPLYISEISPTEIRGTLGTVNQLFICIGILVALVAGLPLSGNPSWWRTMFGLALIPSVLLAIGMVFSPESPRWLYQQGRISEAETSIKRLYGKEKVAEVMGDLEASAQGSSEPDAGWLDLFSSRYRKVVSIGAAMFFLQQLAGINAVVYYSTAVFRSAGITSDVAASALVGAANVFGTTVASSLMDKQGRKSLLLISYTGMAASMMLLSLSFTWKVLTPYSGTLAVLGTVLYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGVHWIMNFFIGLYFLSIVTKFGISTVYMGFALSCLVAVVYITGNVVETKGRSLEEIERELSPAI >Solyc11g013300.2.1 pep chromosome:SL3.0:11:6250468:6254404:-1 gene:Solyc11g013300.2 transcript:Solyc11g013300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSNLVILQSILLSLFLLSGVKFSESARTFTIVNSCKETIWPGVFPGDNFNGGGFILKSGQSMVFTAPVSFSGRIWGRTGCNFDKNGNGSCLTGDCGPSLKCTGTGKTPASLAEFTLANLDFYDVSLVDGFNVPISVTPLNGKGNCSVAGCNGDLRQNCPSELAVKNDGKVIGCRSACDVFNTDEYCCRGNYGNPSTCQPTFYSKKFKEDCPTAYSYAYDDPTSIFTCSGTDYVVTFCSSRKKPVCTYHNRKLFCSGSKGLRSLISNLWMIFLGLTLIANCMMIIF >Solyc09g008230.3.1 pep chromosome:SL3.0:9:1681113:1684836:1 gene:Solyc09g008230.3 transcript:Solyc09g008230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKCPHRSVKKRRYSHKQFRRAKFLVKGDDAVYDELLKPEEQRKELPVDEDLPGMGQYYCMHCDRYFANVTVRDEHFKTKKHRKRVKIMMGPRPHTQLDADLAAGMGMPDNGPKLMSMS >Solyc06g062830.3.1 pep chromosome:SL3.0:6:39757165:39763102:1 gene:Solyc06g062830.3 transcript:Solyc06g062830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQNLLQDAKEHPSSSNMPPEDAENNQLGIFDRPLPCFGCGIGWFSLLLGFVFPFMWYYATVLYFRNHYQRDPRERAGLAANAIAALIFTVAALVAVALIVL >Solyc08g077080.1.1.1 pep chromosome:SL3.0:8:61132053:61133498:-1 gene:Solyc08g077080.1 transcript:Solyc08g077080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:E5L3R9] MDNGSKQLHILFLPYFATGHIIPLVNAARLFASHGGVKVTILTTHQNASLFRSSIHNDDDVISIETLSFPSTEVGLTEGIENFSSASSTAIAGKVFHGIYLLQKPMEDKIREIHPDCIFSDMYFPWTVDIALELKIPRLLFNQSGYMYNSILYNLRVYKPHEKLINEMESNSINFSVPGLPDKIEFKLSQLTDDLIKPADEKNAYDELLDRIRESEDRSYGIVHDTFYELEPAYAEYYQKVKKTKCWQIGPISYFSCGKRKELFSSAADESNSSVVEWLNKQNHKSVLYVSFGSMVRFPEEQLAEIAKALEASAVPFIWVVKKDQSARATWLPESLLDEKKGLIIKGWAPQLTILDHSAIGGFMTHCGWNSVLEAIIAGVPLVTWPVFAEQFYNEKLVEVMGLGVKVGAEVHNSNGGVEISSPVLRSEKIKEAIERLMENSEIREKAESMSKMAKNAVEEGESSWNNLSALIDDIKNFTCC >Solyc03g046350.3.1 pep chromosome:SL3.0:3:12329009:12334260:-1 gene:Solyc03g046350.3 transcript:Solyc03g046350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKRKKKRAHVLTRRRDEQHLSNYKDNVDGEKENKSAKRKKRKDVRLDETESLEIDQSNEREDALGQENNVSAMKRKSRKKKQKSEKKVQRNETVDHYVEANNDSDQKSEKDHSLTITPDNLVEKRGQAGEEEIDELSSGDEDCSKGMRKWVLDYDQSRPGLKVLQERIDEFITSYEAKKEQERKEKEALAAEDGWTVVVHHKGRKKTTDSETGIAVGSVSQAAVMDNMDKKKNKDVGLDFYRFQKREAKRNEIMVLQTKFEQDKKRIQQLRAARKFRPY >Solyc10g081830.2.1 pep chromosome:SL3.0:10:62926059:62930218:1 gene:Solyc10g081830.2 transcript:Solyc10g081830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGNNQFDGNAAFSGGGFMPSQATQTAGDHSFSPAKTLIPLTVKQISEAFQSSDDKTNFLVDGVDVNNVKLVGILCNKVERVTDVSFGVDDGTGRLDCFRWVNEAVDTKEMEAVTNGMYVRVHGHLKGFHGKKQLMAYSVRPVDDYNEIAYHFAQVIYVHSYNSSLRKQHDSSSMPSQVPSSSFNTPLKGYQASASNQFPGYSMDGIRGVDKMVLDYLQQPSCLALEKGVHRNQLVQQLQLPSEKISEALESLESEGLIYTTIDEFHYKSTGNG >Solyc01g108840.3.1 pep chromosome:SL3.0:1:95910668:95922919:-1 gene:Solyc01g108840.3 transcript:Solyc01g108840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNTFVCFFLLFSNVVSVYSQGSAATDAAVMQEFKKQIINPNPLNWNDPDPCKWDKVQCSKDGRVIRIQVGDQGLKGTLPSNINTLTELQVFEVQRNTFTGPLPSFSGLNSLQTILLNGNGFTSIPNEFFQGMTNLQSVYLDSNPFSSWTVPESLKSATALQIFSAYSANITGKIPDLFGGNTFSSLTTLHLSFNNLEGPLPSSFSGSSIQSLWLNSIRGKLNGSIDVIQNMTRLTQLWFSGNQFTGPLPDFSGLTQLEDCNLRDNSFTGLVPDSLVNLPSLKVVNLTNNILQGPTPQFPSSVRVDMLDNTNSFCLSQPGPCDSRVSTLLDVLKDVRYPTKFAENWKGNDPCSRWLGITCDGENITVLNFQKSGLTGIISSNLSSITSIQRLILADNSLTGTIPNELTLLPKLTELDVSNNQLYGKIPQFKSSVVVKTEGNLKKPHLGFVCFLILLSFVVSVYSQGSAATDAAVMQELKKGISPPSSLKWDDPNPCKWGKVQCTKDGRVTRIQVGNQGLKGSLPPSMNNLTELQVFEVQNNALTGPIPSFAGMNSLQTILLDNNGFTSIPVDFFEGMTNLQTVNLDTNSFSPWSVPESLKDATSLQSFSANSANITGKVPDFFGGDTFVSLTDLHMAFNNFEGPLPSNFSGSSIQTLWLNGIHGKLNGSIDVVQNMTALTQLWFSGNQFTGPLPDFSGLTQLRECNLRDNSFTGPVPDSLVNLPSLKMVNLTNNFFQGPTPKFPSSVLVDMLDNTNSFCLSQPGPCNSQVNALLAVAKDVGYPTGFAENWKGNDPCSSWMGITCDGGNITVLNFQKMGLTGTISPNYSSITSLQKLILANNFLTGTIPNELVSLPNLKEFDISNNLIYGKIPPFKSNVLVKYDGNVNIGKDNPPPFAPSGSTPSSPDGGGQTHGNGNKKSSTGVVVGSVIGGVCGAVAIAGLFVFCLYRTKRMRSGRVQSPHTVVIHPHHSGSDQDAVKITVAGSSVNGGTTETHSCGSSAPGDLHIVEAGNMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMESGVMSEKGLDEFTSEIAVLTKVRHRHLVALLGYCLDGNERLLVYEYMPQGTVSRYLFNWKEEGIKPLEWTRRLIIALDVARGVEYLHGLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKTSLVTRLAGTFGYLAPEYAVTGRVTTKIDVFSFGVILMELITGRRALDESQPEESMHLVPWFRRMHINKETFRKAIDHTIDLDEDTLASVSKVAELAGHCCAREPHQRPDMGHAVNVLSSLAELWKPAEVDEDEIYGIDYDMTLPQAVKKWQALEGMSGIDGSSSYIGSSENTQTSIPTRPSGFADSFTSVDGR >Solyc03g031560.3.1 pep chromosome:SL3.0:3:4084122:4091077:1 gene:Solyc03g031560.3 transcript:Solyc03g031560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRLQRFSIPTISSISRMVCSKIQTRKVENLSAPRLVSAIISSNWFSTGHRDSNHSSTEQGTKVHLECALNSRLENGGENDQEDYGRWGNGGGTFHKSAIIDPSAFVEVGAVVHSECAVGADCHIGSGAVIGPTVTIGQSTKIGYNVALANCIVGDFCAIHSGVCIGQDGFGFYVDEQGNMVKKPQTLKARIENHVEIGANTCIDRGSWRDTVVGEHTKIDNLVQIGHNVVIGRSCLICGQVGVAGSVTYVILFSPALIKNGNIGDYVTLGGRVGIRDHVNIASKVRLAANSCVTKDIILPGDYGGFPAIPIRDWRRQIAKHRQILKSTTTISVA >Solyc09g031580.3.1 pep chromosome:SL3.0:9:29866709:29875886:-1 gene:Solyc09g031580.3 transcript:Solyc09g031580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSMASKLQLHQNVEVKSSETGFLGSWHLATIVGFNDFVPQVQYHHLLSDDKEEEASINLIESVNLSPIRPFPPPLQFHTSLLSYGQCVDLFYQDAWWEGVIFDHQNGALNRRIFFPDMGDEINAQLHNLRITQDWDQVSQQWNPRGTWMFLQIIHEIENLHPLFVSLKQIWYQIREKNAYKYLKEWTSTSADIWRNLINQVVHENAILTVKHFFCESNTSPGFLEGGPLLEFSQPTETYFHNSAILPFIEAICKSISGEMMCMDREVSCIDKKLVSEGFGPISDNVPLSASALFSSVLPSQEELQAVSPNALPVLHPPKNEISGTSSITKSERLNFESSNKIHSRKRKRVEWMTIAHVAELCPDAVSEYNDNYMSNHRSPESLQKLKIHLFHLGWKIEQPKDRSITRTRYIAPDGKIFQSLRQVCKMLEKSETWAEDQKTSYDGSSDDLNLSTCLAKTKTRSQVSELPYTSQEPIIDPEICREAVIEYCSRGSPGNPAYKKLNSGEKKFTIMKAKKHLAAIGWIFYYYRGRDKRELRYHSPHGKTFNTLLGACRWCMQQWKAEEQMPELFSQSTVLEYQGNLAPQRTSCEKLSAATFAVLPLAKEPAQLNKVKVCEISKTRKKTIHGGGMLKKENESRSSRTVTDGTESESSVGLLRSSKKARQGTLYSSLHHTPRTVLSWLIDNNVVLPRAKVQYRGKRDGRPMAEGRITRAGIKCKCCQKVYGISSFEVHAGSSYHRPSANIYLEDGRSLLDCQLQMKEKTSLRHTRKRTPLLKKRSHLGTNDYVCSVCHYGGELLLCDECPSSFHTGCLGMKEIPDGEWFCPSCCCETCGESRFDKNKDQFTDSSLLICFQCDNKYHARCIRNKGFQKLDYHPVGSWFCNKRCEQICLGIRQLLAKPVVVGIDNLTWTLLKYVKPDDFDSDAANDEFILETYSKLSVALDVMHECFEPVKEPYTRRDLIEDVIFNRWSELNRLNFQGFYTVLLERNDEVISVATVRVYGEKVAEVPLVATRFQYRRLGMCRVLMNELEKKLLELGVERLVLPAVPTVLNTWTTSFGFSLVKESQRLNFLNYTFLDFQGTTMCQKLLQNIPPEVSSESTEAYQTQFDHINSKENVELDGNSALSEVFQAEQIEESAIVDQGSTDAPGGFESNNNTDAPAPFSTVANQQSPLGCQDETSLQYQAEVSDSKVLEKTGVVEYICYKRRKRYPDCGC >Solyc03g121310.2.1 pep chromosome:SL3.0:3:70931251:70936083:-1 gene:Solyc03g121310.2 transcript:Solyc03g121310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDYVQEQEMEIEALEAILMDEFKEIHSSESGLNTSNRCFQITISPQVRGGRRIYTIIRFCYFHIRLALIFSHTEKYPDEPPLLNVSSLKGIHSGDLKTLKEKLEQEAVENLGMAMIYTLVSSAKDWLSERFAQETDEGVEDDEAKKEEVIVPHGEAVTVETFLAWRERFEAELALERAKLMPDAALSVSKEKKLTGRQWFESGRASGKAAAATAEESDEDMDDIDFDDDDFEDDDEEDMLEHYLAEKTDSSSHS >Solyc02g092890.1.1.1 pep chromosome:SL3.0:2:54476406:54476678:1 gene:Solyc02g092890.1 transcript:Solyc02g092890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIITSKCKSFMLLLLLCISVNILASEARPLDILKVQGSDRAFDWLNMAAIKNGLNLESSHMFNSQIYLGIKNSGPSPGEGHKVTTGNHQ >Solyc07g042985.1.1.1 pep chromosome:SL3.0:7:56655670:56656056:-1 gene:Solyc07g042985.1 transcript:Solyc07g042985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITRNNDDEVARLQEEFALRFDIKKLGESHHFLVLEITNTSKGVFVVQEGYAKKLVDKFGMKQSKMFSTPLKTSMRLRCEEDSLLVDPKSYRALVGSLLYLTITTKRILKYSNLTSDMDLFLKEKMA >Solyc10g076485.1.1 pep chromosome:SL3.0:10:59573878:59574421:-1 gene:Solyc10g076485.1 transcript:Solyc10g076485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKDHRDEGMHFDNTIPFQITKLSTTSIVIDLSSNRIKGDIPVLPTSLTYFSIANNEFTGSIPSSMYSLDKLQILDMSNNKLSGIIPDIFPLNCNLKTLTSAVKY >Solyc05g010300.3.1 pep chromosome:SL3.0:5:4455134:4460449:1 gene:Solyc05g010300.3 transcript:Solyc05g010300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVSYQRFPKIKVREVKDDFMKFELRDTDASIANALRRIMIAEVPTIAIDLVEIEVNSSVLNDEFISHRLGLVPLTSERAMSMRFSRDCDACDGDGQCEYCSVEFYLRVKCLSDQTLDVTSKDLLSSDHTVVPVDYSDASSSFDNTPNKGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIYINEDMMESLTLEEKTELVESSPTKVFGIDPENKQVTGKCMIVGNPSAPPGIPLCGSRWPNMIWDYASGEIPCLFFEKVIVVDPEAYTYDDEVLKKAEAMGKPGVVEIHAKEDSFIFTVETTGAVKASQLVLNAIEVLKQKLDAVRLSDDTVEADDQFGELGAHMRGG >Solyc05g024177.1.1 pep chromosome:SL3.0:5:30608638:30613642:1 gene:Solyc05g024177.1 transcript:Solyc05g024177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNKVAGADLEGSVLTGILGNPLGLFARKLSYTLHTSIDQCSLYLVDGKPIGEYLDKKVKVACNKLLDKASKVRQELSGEALETLRKDFSVDTEGDHIFLPGGNEGLVHALAENVPISFEKTVYDICYCRDSVKVITAEKLFEGDMALCIVPLGVLKSGSITFIPRVASTKAGHNKKIRSNTMNDHGNEMIVTTVKMAWVIQ >Solyc12g056670.1.1.1 pep chromosome:SL3.0:12:63629883:63630134:-1 gene:Solyc12g056670.1 transcript:Solyc12g056670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSRNRGTRNVIKIESEIQESNGHTKYQENCDSSQQVACGLIMLQGFCCIKRKTWIKAKKEVTGVNCGHTTHPCSCGIFEK >Solyc09g047937.1.1 pep chromosome:SL3.0:9:34539882:34541966:-1 gene:Solyc09g047937.1 transcript:Solyc09g047937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYMQVFETKHPFLYASGGDDESDLIDSFTKWLYMGTKKRAKKPYTDALNVINPAFELGVCTVDERLWFFKLAHSGQQWCDETKITNSFFKLCDAHEDKENFKVLDSDDIARYISGRRLLASTSWDKVDFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARGGVNLEFVWEQQAAAHVYPTCWKYA >Solyc03g114980.3.1 pep chromosome:SL3.0:3:66321827:66327904:1 gene:Solyc03g114980.3 transcript:Solyc03g114980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPRPRVLITYCRKRKNSISLSTCVPDIMEDVAIEESKTKSTNADTTLPDECTVFSFETRNLQMYPRPKVLIKYRRKRRKNSVALASTIPAIVEDAVIEESKIKSANMDITVPAESSEFIEVNDFSIVTRSQRVHPRPRVLRTYFRKRRRNFSTLGNSLPAFMENVGTCTFGSLTNEIFDEKRQSLAESSSSPSNMCAFIDANTEIANIRTVPPLRRALNGHSNKKLLVLDLNGLLADIVPLQLVPRGFKVDIIVSGKAVFKRPFHDDFLQFCFEKFNVGVWSSRIRKNVELFLDFLLGNAKEKLLFCWDQSHCTDTGFPVVGKKRSKSIILKKLKKLWEKFEPDLPWERGEYDESNTLLLDDSPHKALCNPPNTAVFPSTYLYTDEKDDSLGPEGDLRIYLDGLSRAENVQKYVESNPFGQRPITEKNASWRYYRKVIEATSCIPEHSANTIST >Solyc08g078000.3.1 pep chromosome:SL3.0:8:61999620:62005073:-1 gene:Solyc08g078000.3 transcript:Solyc08g078000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGATNQFISVSPEELKFQFELEKQSYCDLKVTNSTESYVAFKVKTTSPKKYFVRPNTGVIHPWDSCFIRVTLQAQKEYPSDMQCKDKFLLQSTIVNSDAEELPPDTFNKDSGRNVEECKLRVVYISPHSSPGHSEDAFKQSSDGNSSQAVQRARDERDAVFRQTQQLQQELEVLKRQKRRRSDAGFSLKFALLVGLIGLMAGFLFKLLMSSPSTETVDV >Solyc06g050950.3.1 pep chromosome:SL3.0:6:34016149:34018962:-1 gene:Solyc06g050950.3 transcript:Solyc06g050950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGNSPCASCKLLRRRCAKDCIFSPYFPCDDPHKFAIVHKVFGASNISKMLQEVPVNERADAVSSLVYEANARMRDPVYGCVGAISYLQNQVMQLQMQLAMAQAEILCIQMQSETPQVEAATVLPITPDNQLVENDHDIDKSFFLSDQYLNFDSTSHKSCNSQDGLFLWR >Solyc05g016560.1.1.1 pep chromosome:SL3.0:5:17450560:17450844:-1 gene:Solyc05g016560.1 transcript:Solyc05g016560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSISNRLNAWVMASMHRLEDIDIVRYAPFAKVYVLWSINVDKQNAASAMQHCSWISPIGRGNSGVCASTHGHQTWPTHSVHTTSSNGSKHYI >Solyc00g008680.3.1 pep chromosome:SL3.0:2:5840486:5859502:1 gene:Solyc00g008680.3 transcript:Solyc00g008680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKALVIIYITAAVLLIFLISHSPSPSNKPKRHRRLKLRSNFTFDSHSTHYEHIPFDPLVAQIERQREDKEWEKQYIDTHHHQLIHEPAPGQESQPEWEDFMDAEDYLNDEHKFNVTDRLVSLFPKIDVNPSDGFVTDHELTHWNLEQTRKEVLHRTQRDMEVHDKNHDGFVSFHEYEPPSWVRNSDNSSFGYDMGWWKEDHFNASDIDGDGLLNITEFNDFLHPADTTNPKLLHWLCKEEIRERDSDKDGKVNFNEFFHGLFDLVRNYDEESHDTSHHSEDSQESPARKLFAELDKDGDGYLSEAELLPIIGKLHPSERYYAKQQADYIIQQADADKDGRLTLKEMIDSPYVFYSAIFNEDDEDYEDHDEFR >Solyc01g011540.1.1 pep chromosome:SL3.0:1:9526377:9526758:-1 gene:Solyc01g011540.1 transcript:Solyc01g011540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSIRMDIDFIDAPMQRHFIVNAKKLVNVRYSEITATLQVSRTFAHLECLEDVVLDYLVTTHLYFKYSRLIPRLITYLRSTFVNNECSAQSEVKASMLEHIVHASC >Solyc02g043963.1.1 pep chromosome:SL3.0:2:374561:374879:-1 gene:Solyc02g043963.1 transcript:Solyc02g043963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSCHLSTSKTLVGTISRNLANLQDNKGKFTIIYDHSVLPFQEPIIGFNNDAVNRLTNLIDFLHYLGNHALNLQEELPTLFEDHATNKR >Solyc08g079100.3.1 pep chromosome:SL3.0:8:62863431:62866700:-1 gene:Solyc08g079100.3 transcript:Solyc08g079100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:YABBY3 [Source:UniProtKB/TrEMBL;Acc:K4CNR9] MSSSNSLSLDHLPPPPPSEQLCYVHCNVCDTVLAVSVPCTSLFKTVTVRCGHCTNLLPGWLLPSTNHHHHHFGHTYFSPSHNLLDEISNATPNFLMNQSNSAHEFVQLPARPGFDDLPRPPPVVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIQFGLMPDQTVKRTNVRQQDGEDVLTKDGLFNTSANVSVSPY >Solyc02g077260.3.1 pep chromosome:SL3.0:2:42817672:42823881:-1 gene:Solyc02g077260.3 transcript:Solyc02g077260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSADWLKNVIGMRKAKDGRSKKNKGTSANKKSNGCKGHNPLQKEPSKITNIVLIKNQREMGIPIEDRAAIKIQTAFRAYLARKTLRRLKGHTRLQSLTQRPSVKKQASSALNYICSWNTMQNEIRARRVHMVMEGHLKRKKHENQLKLETKLQNIEIEWSGGPETMEVILARIHQREEAAVKRERAMAYAFSHQWRANSNPVFGSGNNEMNKANWGWSWKDRWVAARPWESRALVHASPKKVNDKANKNTKSTTSPIKKTPVSVILTSLNGKGTIKAKKSNEVAAQKVMSNEVKETSSEKQ >Solyc06g082640.3.1 pep chromosome:SL3.0:6:48447381:48449324:1 gene:Solyc06g082640.3 transcript:Solyc06g082640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTNVVCLKHCFYSTTSTNELFLNLVMEYVPETMYRVLKHYSNMNQRMPLIYVKLYTYQMTILRFRRSQVFRGLAYMHTLSGVCHKDLKPQNVLVDPLTHQVKICDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSPLFPRENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVCFHLTLVVPRGPTICCLAARCVYHSC >Solyc04g040200.3.1 pep chromosome:SL3.0:4:11945582:11952034:-1 gene:Solyc04g040200.3 transcript:Solyc04g040200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSNSSKDDFSVLVLASDLGVDARPFLTHQEPEDNWYDCASDPPPSEEQDFAHLDSLQFLRLESGSDKLGNRIFRIVGKYFPALVISAERLKKYVFNKVCTELPEGPFCIVYMHSTVQKEDNNPGLTILRWIYEELPPDHKDRLQAVYFVHPGLRSRLVLATLGRFFLSGGLYWKVKYVSRLQYLWDDIKKGELEIPEFVQKHDEILEHRPLTDYGIEPDPLHFSQMPPSAYSLGRHDTGWTSRQYMS >Solyc02g085000.3.1 pep chromosome:SL3.0:2:48670688:48675124:-1 gene:Solyc02g085000.3 transcript:Solyc02g085000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYTAIAMDRLIESGGSKSMTTSKIEASFDRSKNGSSSKLERKIIQTNVKMDDSNGKPSIIGDRKDHWTQISPALYTIPKPTPLPDSPSSFPPSPYIINHKRRGPRLSQSFTEDDFGTQQEALDGEKMDEKLRETKKDLSSKSLDDPSISREAVNCVEEDNLLFATDNLVKDGEVTDLCDGELLHRDMHNGLSGQNGTMKSVAFNLRRGGEADDFFDPQESLSVRSFGESESNGGFQRCLSSMTPMGEFYDAWEELSSENGPQLPTNDFENELREIRLSLLTEIEKRKQVEEVLSNMQTQWQTIRERLSLVGLNFPSNPVAGVELDNEQPDDQVEDLCQQVHILRVVSESIGKGIAKAEMETEIETQIESKNFEIARLWDRLNYYEAVNREMSQRNQEAIETARRLRQIRKRRQKKLIWGSIAATITIGSAVLAWSYFFTGRESSSLDQSHSREGDSASEL >Solyc12g015730.2.1 pep chromosome:SL3.0:12:5709537:5717044:1 gene:Solyc12g015730.2 transcript:Solyc12g015730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPQLSSAGTARAFSQMGFTKRPPKVSARNCYPVSTKLASEEVEKSIYSLLTLDRWGSLNHMRYKMASLRPVHGKMALKFLNWFIKQPGLEFTHIIHMYGITTHILVRARMHNYVKSILGHIADMGVGSSSVFSALMDTYRICSSNPSVFDILIRVYVRKGELKDALQVFNLMSSRSFKPSVYTCNMVLAAMGKQGSAESVWSFFKEMLANRICPNVGTFNILLQVLCAKGKVERASCLLEKMVESGYNPDVVTYNTLLNWYCKKGRYKAALELIDCMNSKGLEADVCTYNMFIDDLCRKNRSAKGYLVLRKMRKRLIVPNHITYNTLVNGFVKEGKIDAAMKIFHEMLKLNLSPNCITYNALIDGQCRAGNLKEAQEILIEMETRGLQPDEVSYGALLNGFCKHGILDSARDILKKMKLRGLSLNQHAYTMLLEGICKMGCLGEVVPLLEDMFESGICLDVVAYSVLLNGFCKAGMLNTAMEILCRMYKFGVFPNDVVYSTLIYNFCKQHNVLKAMRVYAMMHKTGHTPDAFICNTLISSLCTGGRVREAEDFMRHMYTIDLVPNSAAFTSVIDCYGNVGEGLKALSWFDEMINLGRQPSFYTYASLLKGICRGGNLTEALGLFDRLRGIYCATDVVVYNSLLAEICKLGHFHMALILINEMVQINVLPDSHTYTSLVAGLCRKDKLVTAILILERALSRGDPSSNRVMYTCIIDGLFKSGLPKVASYFFDEMTWKGLTPDTVALNVVMDGYSKHGQIDKASSFFSTTRERSEMPSLATYNILLRGYSRQKNISECSKLYQSLREKGLTPDKLTCHYVTLGLCESSLLDIGVKFVIKMILGGIVADKFTFNMIISKYCERGEMKQALDLLSLMTTLGVSPDGDTYNLIFKGLKRTLDFQNSHRLLHKMIEEGFVPVDRQYCNLITSMCKVGDVKGAFKLKDEMELLGVSSRTIAEGAIIRGLVRRGKMEEAMLVLECMLRVHLLPTVATFTTVMHGLCKSSKSCEALKLKTTMELHGGKPDVIAYNVLITGLCAGGYIDDAYDLYEELKERGMCPNITTFTVLLNAFCSGNDLAKGENLLNDLQERGLEGEFSNTQALCERLTIMKEKLNALRKKKKKRR >Solyc03g045087.1.1 pep chromosome:SL3.0:3:11517353:11519080:1 gene:Solyc03g045087.1 transcript:Solyc03g045087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVLEIKDSNEDLLGWWSRRSDAFPTLSKMVRDVLAIQASSVASEAAFSAARTKYNPKKVFHAPKTLVYLSASLLPEPPSLNS >Solyc08g078750.3.1 pep chromosome:SL3.0:8:62602948:62605500:-1 gene:Solyc08g078750.3 transcript:Solyc08g078750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSATWKAAGLSIICNSICCEDHFEWHRINCLSAGVEDSSYIVKKHSACENYALIGVKLIYYISSSYVVDSKFRLKNLKKSIWNVNFSWKFGGGRRYEILMITRASITEVIFKVKKFKITPRNVYNFDNREKVNPSIASSHVKKSSSEQKSPEEKPGKKDSNHINLFEGIRIFDPVKAKDEEKDGRDKKRAKKEQPPRVITPEDEKYRLGYGIVGKGTKLPWYLEKPKEDSGEKSDEDNDYSRPVKKSNGKKTVEEFEEMEDSLVKTISQ >Solyc02g067400.2.1 pep chromosome:SL3.0:2:38165102:38165712:-1 gene:Solyc02g067400.2 transcript:Solyc02g067400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLKDTNLLASPHRVCNFSFHVLDNTPRLKSNCGVAQLKLVQQKKDKVILYLTSLRGVRKTYENCCHVRMILKGLCVKIDERDVSMHAGFKEELKELLLGERYGGWVLLPRAFLGENTLVGMDENRQLEKVVESCERVENGVCEGCRDVRFVPCETCYGSCKIYYEAEYDEEDECGFQRCSDCNENGLIQCLTCWD >Solyc06g005940.3.1 pep chromosome:SL3.0:6:921054:938479:-1 gene:Solyc06g005940.3 transcript:Solyc06g005940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEHHLRPFIHVQISLNFQYNPKYFYRHTFFCNRYGFLHEKPISLISQKTPFRLNAIFPKSLSGFDFLGKKNSQKKLTPREISVQANGSCQQDSDSTEKSESSGTDSKKSPGSEPGPRVPNSGSSRREKQGKDNWWWSKGRKLRWEPIVQAQEIGVLLLQLGIVMFVMRLLRPGLPLPGSDPRAPTMFVTVPYMIRSVTPTKKIVYTTTRPSDIKTPYEKMLENDVEFGSPDKRSGGFMNSALIALFYIAVLAGLLHRFPVNFSQSTAGQLRNRKSGGSGGTKVSELGETITFADVAGVDEAKEELEEIVEFLRNPDKYVRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVEAPDRCGREAILKVHVSKKELPLAQDVDLGNIASMTTGFTGADLANLVNEAALLAGRLSKVVVERIDFIQAVERSIAGIEKKTAKLQGSEKGVVARHEAGHAVVGTAVANLLSGQPRVENRKIKCLHVLNSLVLTFSRDIMHQQNHSPAFVHFFYLPQLSVSNEPYQHGSGSGLPASSLNILILFMGKFASEKLSILPRSGGALGFTYIPPTNEDRYLLFVDELRGRLVTLLGGRAAEEVLYSGRVSTGALDDIRRATDMAYKAVAEYGLSQTIGPISVATLSGGGMDDGGSMSWGRDQGHLVDLVQREVKALLQSALDIALCVVRANPKVLEGLGAQLEGKQSYIPEPDGHHLLASRQRDFACVSKTKMAKSGILVIVSALVVLAVCGVFAEENEYVLTLDHSNLTETVAKHNFIVVEFYAPWCGHCKSLAPEYEKAASELSSHDPPIVLAKYDANDEANRELSKQYEIQGFPTIKILRDGGKKVQDYNGPREAAGIVSYLKKQVGPASAEIKSKEDATNLIDEKSIFVVGIFPDPSGEKFENYLTLAEKLRGEFDFAHTVDAKHLPRGGPVNKPTLRLLKPFDELFVDFEDFDVDAMEKFISESSIPVVTIFDNDPNNHPYVNKFFEGTNAKALLFVNFSSEFDAFKSKYNDVAVIYKGDGVSFLLGDVEAGQGAFEYFGLKPEQAPVIIIMDADEQKYIKDHVEPDAIAAYLKDYKEGKLKPHVKSEPIPEVNDEPVKVVVRDTLQDMVYKSGKNVLLEFYAPWCGHCKSLAPILDEVAVSFESDPDVLIAKLDATANDLPKGDFDVQGFPTMYFRSASGNLSQYNGERTKEAIIEFIEKNRGKPAQSDSAKVDSAKDEL >Solyc08g083090.2.1 pep chromosome:SL3.0:8:65780610:65781125:1 gene:Solyc08g083090.2 transcript:Solyc08g083090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDKTACQDERAGAEIVYGAEECYSHSLELLKELGFPMGVLALKDLEECGCVRDTGFVWMKQKAPYEHYFVATKTLDPAQNKIYFKTPIGIGKSFPLTAFMTDEEKEKYLEKAN >Solyc06g066190.3.1 pep chromosome:SL3.0:6:41614356:41620705:1 gene:Solyc06g066190.3 transcript:Solyc06g066190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRWCSKLRSLAALRSTPNAHHYPLTPHRLLHTATNPIINKPFTQSILSPTFKPLCHGGPVSFPTHSSLLPLSFMQVRYITAKQRKRKLKSRKPMTPITSKVKKIKMKFYSSYKDRFRVMKDGQIRRWKEGKRHNAHLKSKKSKRRLRQPATVPLAYAKVMKKLSFC >Solyc04g014870.3.1 pep chromosome:SL3.0:4:5123124:5130733:1 gene:Solyc04g014870.3 transcript:Solyc04g014870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA-dependent RNA polymerase [Source:UniProtKB/TrEMBL;Acc:K4BPZ0] MGSEGSEKNLVVTQISVGGFSNDVNAKMLSEYLEEQVGQVWRCRLKTSSTPPDSYPTYDIDVEKVRRMNNYIKVEPHAFVHFASSESAQNALAAARRDELFLEEKPLKVSLGPENTFNMNERRRTFMPYKFSDVGVEIGVLVSNDDFVVGWRGPHSGVNFLVDPFNGKCKILFTRDTAFCFKGEGKHAVIKCNFKIEFLLREINEINECKDFSSLVLLLQLASSPLVFYRTADDDIEESVAFDLLDDDDQWIRTTDITFSGAIGRFNTYRVSIRPRNGPSFKKAMNYFRESRVPVVEQGEQMLRVRNEPDFGESVSEPFFCFQNHEGISFKVLFLVNAVLHKGIVNQHQMTAEFFSLLRKHQEGVNLAALKHIFSYKRPVNDAIRKLASVQKWLFNNPNLLERTGQLDDVVEVRRLVITPTKAYCLPPTVELSNRVLRKYKHISDRFLRVTFMDEGMRNLNRNALTYYAANIVREITSSSNPQRTGIFQRVKIIVNKGFYLCGRRYSFLAFSANQLRDRSAWFFAETPEIRVTSIINWMGKFGNRNVAKCAARMGQCFSSTYATVEVLPSEVNSELPDIERNGYVFSDGIGMMTADLSIEVAAKLQLSVNPPCAYQIRYAGCKGVVACWPAEKDGIRLSLRPSMKKFDSNHTILEICSWTRLQPGFLNRQIITLLSSLEVKDEMFWEMQKEMLSKLDKILVDSDVAFDVITASCAEAGNTAAIMLSAGFKPQSEPHLRGMLASIRAAQLGDLRNKTRMFVTSGRWLMGCLDELGELEQGQCFIQVSSPSLETCFVKHGPEFSEIKKNLQVVKGLVVIAKNPCLHPGDVRILEAVDVPGLHHLYDCLVFPQKGDRPHSNEASGSDLDGDLYFVTWDENLIPPSKKSWMPMAYAPAEAKQLGRQVQHTDIIDFFLKNMVQESLGEICNAHVVHADLSEFGAMDEKCLKLAELAALAVDFPKTGKLVTMPFDLKPKMYPDFMGKEPFQSYESKKILGKLYRQVIDVYDAEGGESSGLEYVPKDIPYDTNLEIPGYEDFIDDAWNHKCSYDGQLNGLLGQYKVNGEEEVVTGHIWSMPKYSAKKQGELKERLKHAYNMLRKEFRNVFEQMEPDFDLLPIDEKNDMYERKAFAWYRVTYHPHWVTRSLELQLPDAVSNGVMLSFAWIAADYIARIKIRQRGMQNFDSTKPIDSLGRYLVNKI >Solyc04g080890.3.1 pep chromosome:SL3.0:4:65023320:65026371:-1 gene:Solyc04g080890.3 transcript:Solyc04g080890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENENAEDTDVSEASTLSLNSEFEDPEAETTKRKTTQETGETSKRRRTPLNPMFGEDAEASGTSRLLSKPVDGGASEPVDMDAYDNIPRAFPAPELYPAMDRYLPPHVRGKSIQEKVAYSDDILMQYAPSLTIQIELREYRDKIISEYPVLLPSILFILQRLHEKLYAINPTEIFVPSFIKAINEKTEDRFSNIISEPSPGILTFEIFQPEYCEMLVAEVSEFQTWIQKTDIRTEHRNNMNNMTYLDDLGMEYMLQNLMDRFISPISKARYYAVFFTQVGGSTLNEHECVVECHTEAVQVDDTELTLNVCLGQQFLGGELCFQGVYCEKHVDTPTRPEVIKLLDRFLNDFFQQDNFEYSHILGHAILYQGRNRHVSKVTTAGKRYNLVLGLHSAGFRLVCKQLGDFSWCSECKQEKDRRNRELVSTFMECGMVHRTD >Solyc06g051900.3.1 pep chromosome:SL3.0:6:35671501:35676603:1 gene:Solyc06g051900.3 transcript:Solyc06g051900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISILCRFTRSIQRNMLCSVAGLVHNTRHAFSTLREDPELDEMMEFLDSLKNFEKAGVPKGAGTDSEDGFDLGRMRRLMELLGNPQSRFKTVHIAGTKGKGSTAAFLSSILRAEGYSVGCYTSPHIQTIRERITLGKWGEPVSAKVLNHHFNTRREVIERAVKLENGYLSHFEVFTAVAFSLFAEENTEIAVVEAGLGGARDATNVISGSDLAISIITTVGEEHLDALGGSLESIAVAKSGIVKNGRPLVIGGPFVPSIECILRRKASSMSSPVVSASDPGNRSALRGFCEVSGIPRQLCDIVLQIEKDYDLSIELLGVKLRMLGAHQLQNAVTATCAALCLNKQGWSLSSGAIRAGLESAFLQGRTQILSSEEAKLLGVSGATVLLDGAHTKESARALAKTLQMTFPKAKMVLVVAMANDKDHLGFASELLSVGDLDAVFSTEVDIAGAKSRMASASLLKCAWVNASREMNMKVLDLKVAESEDQSLQAAGIVKSQGILLAEGSLLACIRAGVKILNERTGEQPGIVVVTGSLHLVSAVLGYLHS >Solyc11g040173.1.1 pep chromosome:SL3.0:11:39473243:39474822:-1 gene:Solyc11g040173.1 transcript:Solyc11g040173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIVKHYPFREVLLNWADEGALGELLMLWLTKVCQRAIYNVGKHPASHRSSAALPFCNLKALISPANSLTLRCPLAAVSSSPQEITLLHLPFSDSSIKVQRLQFTIF >Solyc08g068718.1.1 pep chromosome:SL3.0:8:57923778:57927379:1 gene:Solyc08g068718.1 transcript:Solyc08g068718.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPMFTPQQHQKLIQMLNQTTVGDTHCAANMAGNSYLSKDASIQWVVDTGATHHMINDAKHLHCERMIENAGSVSCLLESLQSADLFNYDELITIVPQSSIPPSCSDNAAPQMSSYQDDPPLRKSARSIKPPIWHKDYITTNGTNKCNDSVMIQQTKEMLQQSFKIKDLGELRYFLGLEFARSDAGILIHQRKYALELISDMGLAGAKPVSTPMELNQKLTTVEFDANIPSTCPDETLKDPTGYQRLIGRLLYLTTTRPDISFAVQCLSQFMHSPKTSHMEAAMRLVRYVKSAPGLGILMASTGGSELKVFCDADWGACINSRRSITGYLVQYGGSPISWKSKKQVTVSRSSAEAEYRAMASTVSEVVWIVGLFDELGVKINLPNPQALQF >Solyc02g088115.1.1 pep chromosome:SL3.0:2:50940979:50942641:-1 gene:Solyc02g088115.1 transcript:Solyc02g088115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSGQQQFRYTQTPSKVLHLRNLPWDCSDEELVELCKPFGKIVNTKCNVGANRNQAFVEFADLNQAINMVSYYASSSEPAQVRGKTVYIQYSNRNEIVNNKSPGDVPGNVLLVTIEGVEAGDVSIDVIHLMVII >Solyc09g011650.3.1 pep chromosome:SL3.0:9:4903903:4905996:1 gene:Solyc09g011650.3 transcript:Solyc09g011650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEVKVLGFWVSPFSLRVEMALKLKGIEYEFIEAQRPIKKCPNIIKYNPIYKKVPVFLHKGNPIPESLVILEYIDENWKDGTSLLPKDPYQRAIARFWAKFIDEKCLPEILKLCYDSNYEVKVKAMGELQELLKLLENELMKDNNKIFFGGENKVGYMEIVSILITYWLGVMQEALGVDILNKKEFPNICGWADKVISFSFMKENLPPREKLLAIYKEYAQPLVPPNNEINHTHK >Solyc06g071140.3.1 pep chromosome:SL3.0:6:43871354:43879093:1 gene:Solyc06g071140.3 transcript:Solyc06g071140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTTKGGKVMNPTDAYRKEIRKRELKRNKKERKKVREVGILKKDPEQLKDQIDKLEVMKAEGALDKARKHKKRQLEDTLNLVLKKRKEYEVKMKEKGEEPVMFSHLGPPRRRTAAEEEERIKDPKPEDSVYYHPTLNPTGAPPPGKPPMFKSLIGPRIPSSAASSSKSDAEDDTLSALPPPPPPPPLPPTGDADSVDGSVIPASLPLPPPPPMPPKPAMTDMGASLPLPPLPPPPPGPPPKEHISGHLPLPPPPPRPLHQSAQPPHSGIVGNERDKNLSELDEMSSTEQNQASSMLPPPPPPPGLPLKSDGMPADSDAKVLETKDHLKLPPPPPRHPSGLGPAMVPTYQPDVLPPGISRFPPPPPPIDMRPPPGIAIQPAPPGMMVPLLPRPPFGPPPLMMRPPLPPGPPPFQQDGARLLAPQKPSYVKSAASTVIKRPLAQHTPELTSMVPASVRVRRESALPKPKPKSSTAVTINQPAVTPPVVKHESTSTPAPKPQSIDDSYTAFLEDMKALGALEG >Solyc02g070610.3.1 pep chromosome:SL3.0:2:40887521:40889440:-1 gene:Solyc02g070610.3 transcript:Solyc02g070610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTYWSFRKNSMERNHSFQYHPKAFHVFGPRHISSPGWRELISSSWKNESYKRAVMACFVQSAYLLELDRQENRYGVETALAPQWWTPFKYKLVEPLVDERDGSIFAAILEWDQAAALADFIPVRPTGAPKVVLAIRGTLLKNPTIRRDVEDDLRYLAWESLRGSFRFERLLSALKSIIFNQQGSKNVSITGHSLGAGFALEVYKTLAAEGTYVEAHLFNPPSVSLAMSYRILSGKAGFVWKRFKSMLPSNSEYQSKSIAEGAAESTESRITSELKQMLPMPHLYVNTSDYICCYYNYSDGVQNSSFNIGNKEREVKPGGNALTGVKLFVVSKAKQRFLEAHRLKQWWSDDLELQMALNNSMLISRKLNSLYTIQPPKQTQG >Solyc06g005575.1.1 pep chromosome:SL3.0:6:619175:621963:-1 gene:Solyc06g005575.1 transcript:Solyc06g005575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSANDFAWVLMLLSSISTPILTKKIRKDRGKKTLLEKSTCSCAYNIVAVNSSALALACQVCASSSALRQDAFQTSTSFSFSCRAIDSSAVPIRAR >Solyc07g007880.1.1.1 pep chromosome:SL3.0:7:2554486:2554824:-1 gene:Solyc07g007880.1 transcript:Solyc07g007880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIAAYLMAQLGGNSNPSANDLKKILNSVGAEIDDVKIELLLSQVEGRDINELIAAGKEKLASTTCMSFGYTNNNNNNNNASSVVEEKREEKKVEKVEECDEEDFNFSLFD >Solyc01g056390.1.1 pep chromosome:SL3.0:1:54080031:54081598:1 gene:Solyc01g056390.1 transcript:Solyc01g056390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSSLSLDAVEESRRCLGLEASAAVSFKAARIVILLIDDGIKLDKKLDIKCHEKVVPTVGRILQVEHVAHNILEKPDRISNKNFSDYIFMHALEVAQNFNLPMQIDTR >Solyc10g054270.2.1 pep chromosome:SL3.0:10:55034194:55044860:-1 gene:Solyc10g054270.2 transcript:Solyc10g054270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNAFLAIKISQFYFHPSPLIFGIPPTSLQSYKTLISDLDTSRDNWLIKVRVCRMWEFKNYKRSNEMISLDMILIEEKGTLVYTVIWRNQVNRFHANLREGSLTAIQKIQEDTVNILSNGLQFIKQNIIRSTVNNNTFLTDVVGCICGIGDRESVGSGINVTKITLCGGLWRRILPVPIPPRESGQYIVIVTTTTVKEFRGEITFSTTAASKTYVNLPMANVTSLIQKFATKTIQKQIIESANGRNIPIDEAMFENRMTAQIAEIDNFVNWHYISSNLCNNKIEPTDCVYRYHKCGKKCDFPLRYKIHIKVKVNGGEANLVLFNGVAEKLLDTSAFKLLNRSTHDDKDVPSQIESLCSKDIDQLLKKEIAKSQEHVAEGSHNNDSEEYLDEGKGEMKDINAPNEWKSDGSKTSDFTRIRSGGCTRTHFEEKSLNSKTKKEEKRIHRG >Solyc09g031685.1.1 pep chromosome:SL3.0:9:28356446:28358164:1 gene:Solyc09g031685.1 transcript:Solyc09g031685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESESEVRENAKSFDMDPYRGTLQICGCHSSKMENKWSYKLMVFCRSDQTQGATTHVMLADKDNQRELNAKTIKDKFLIPVTKELLELFGAKYFSKLDLRKGYNQVWMKEDDVEKTGFRTHHGHFEFLVMPFGLTNDPSTFQSLMNEVAMITTHVLALPDISQPFVIECDASDLGIGVVLLHNLPFLAEYWLKTPATACLLKRIARSSESY >Solyc02g071875.1.1 pep chromosome:SL3.0:2:41793547:41798595:-1 gene:Solyc02g071875.1 transcript:Solyc02g071875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHLANNLLFGICFGNFSVDIMEQIATTMGATHWKFNGELCQIEAVRVTTDLPSWSETDVVCNCSIGNDTACHIVAITLKGINLPGVLPPELVKLPYIQKVDFAYNYLSGSIPTEWASTQLNSISVLVNRLSGEIPKELGNITSLTYMYQSLTRNLEGNRFSGIIPDELGKLINLKALILSSNQLEGELPVSLSGLVNLADFRISDNNLIGPIPDFIEKWKQLTKLELHATGLEGPIPSSISLLNMLTDLRISDIKGPVHEFPPLINMTDLERLVLRNCNLSGVIPVYIWKLKTIQTLDVSFNKLIGTIPDDISARSMLKFVFLSGNMLSGDIPASILNNGINVDLSYNNFTWQGPQQPACRQNTSVNPSVCFSFMFLVTMYLMVLIIGPLTGIIT >Solyc03g095370.3.1 pep chromosome:SL3.0:3:57877712:57882765:1 gene:Solyc03g095370.3 transcript:Solyc03g095370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNYERVAWMKILAIMAHSHYKQVYFLTFLSLFNLDLLIIS >Solyc05g049880.3.1 pep chromosome:SL3.0:5:60471498:60479885:-1 gene:Solyc05g049880.3 transcript:Solyc05g049880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPKSAPPQSQNLPPSQPPMNRKRPLDISKSQNSPYNKMRLIVKDLRPHVIEVLRTPDFRNCKAATEIRQQLNLLIDLYKEVMEETVNPEVAKDASGAQNSSVDIMDEDKPSEQQNDMKPPPESGASVKPEGNSSEKQEAEDDAVQGTGTYVVGGSAFGWNFITYTSGKAIYYGRTKESFRSSYVKSE >Solyc01g111600.3.1 pep chromosome:SL3.0:1:97730316:97732859:-1 gene:Solyc01g111600.3 transcript:Solyc01g111600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDHISDMFDCSSEHSKHKRRKQLQTVEIKVKMDCEGCERKVRRSVEGMKGVSSVTIEPKQHKLTVVGYVDPEKVVSRVAHRTGKKAEIWPYVPYDVVAHPYAQGVYDKKAPAGYVRRDDFQTNQLARASSTEVRYTTAFSDENPAACVVM >Solyc10g018393.1.1 pep chromosome:SL3.0:10:7719798:7726091:1 gene:Solyc10g018393.1 transcript:Solyc10g018393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFKKLGFKQIVKTTEETITVDSDHGTFRLLSENAFAPYRDNYKFMHIGLVQVAFKPLTLRGLPESFIAALRDGRNQNWKKSLIGTVQTSLAYGPAPDGTVKVKFTDQNLLMSYTDNIGLQAKMPRSNYSYISPADYVVNIPSRASTSQIRDEENSRSSTFDIKENERIDNIKIENHIVTPDMDEPTLSEMNFPNGSGHDDSGGEESHTSEDLTVLHDESYISSSDGECMPCQIGESCEDKQNTDEFYKLYSQFKDLNLNVISSDDWIEMIKLIDDPIIRSQIIDKMGSTSTNKVESPRKEIQTENTTYTMAELKRQLHKSMMRPSWTSSSNRGKGRGRGGRTNNNVLAQVGNQRLIAANITKTSALQLAAQNTALLVAGRSVILRFLLSIKLQHVSLFSFPWLNLSNKHFLASFSDRRKVLKRLSSSEE >Solyc01g021620.2.1 pep chromosome:SL3.0:1:32040715:32041222:1 gene:Solyc01g021620.2 transcript:Solyc01g021620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSYPEKNMIDNALTLGPKLSFKIVGSPQEFSDFVFIEGKYNGSIFTIFDWNSVFEKVGEMEEIRGRDFKTGNANVQYDAYVLYY >Solyc09g011045.1.1 pep chromosome:SL3.0:9:4386999:4388351:1 gene:Solyc09g011045.1 transcript:Solyc09g011045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIEIFLLSMMIASCLLSSVSAATRHYKFEIKMQNVTRLCHTKSIVTVNGQFPGPKIVAREGDRLEIEVVNHVHNNISIHWHGIRQVRSGWADGPAYVTQCPIQNGQKYVYNFTIIGQRGTFWWHAHISWLRSTLYGPIIILPNKNTPYPFAKPYKEIPIIFGEWFNADTEVIISQALRTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPEKTYLLRLINAALNDELFFSIANHTLQIIDADGVYVKPFETNTLIITPGQTHNVLLKTKSHFPNATFYMTARPYVTGPGTFDNSTVAGILEYESETKL >Solyc02g022835.1.1 pep chromosome:SL3.0:2:24620956:24631058:-1 gene:Solyc02g022835.1 transcript:Solyc02g022835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSWREKKALELVLFDIFGPITLSSNGGRDNRSKTIPPKVDNNNSGRSRPNTSRSSDTRSNPHNEPSNFRKNYEDRKRGAPQREGCYICGETTHAARYCPSLRKLSAMVAAEKQQEKAAAQADSSAGEKRGQSSGADKGKNVAVGMFNHMELISHISIAALVAKPASVRPRESLFVDAKLNGTDVRIMVDTGATHNFVTEQKARELGLSYVASNTKLKTVNATPTTVTGFAPRVPIELGEWAGQTNFTIAPMDVFDVILGLDFWYEVNAFISPRHNQLHISDTGGSCVVPLIRVPQTGMQLSAMQIIKGFKRGDPTFLATLIEDTGSCPEAVPLPPCIEHVLSSNKDVMPTELPQRLPPRREVDHQIELVPGAKTPAMTPYRKAPPELEELRKQLKELLDVGHIRPSKAPFGDEPKAACVTRYGAFDWLVMPFGLTNAPATFCTLMNRLFHSYLDQFVVIYLDDIVVYSNNMEDYVEHLCKVFEILRNNELYVKREKCSFVQPTVHFLGHTISHGKIHMDSDKIAAINNWEAPTKVPELRSFLGLANYYRRFIFNYSAIAAPLTDLLKKDRDWNWSAACQAAFERLKLATSPSHSKSTRMHQTSLSVAS >Solyc10g007150.3.1 pep chromosome:SL3.0:10:1544636:1557166:1 gene:Solyc10g007150.3 transcript:Solyc10g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAADDIDKLYEFGESLNDAKDKSENKQDYEGIIAAANGSVKAKQLAAQLIPKFVKFFPELAEQALDQHLNLIEDQELGVRVQAIRGLPLFCKDTPEQLTKIVDILGQLLIAGENVERDAVHKALMTVLRQDVKTSLTALFKHIGSIEDQSAEDFSTWDSIRKKVLLFLRDKVFPLKTELLVPQELMERHITTLVKQNLQDVTAAEFKMFMDFLKSLSLFGHKAPAERIQELVEIIEGQADLDAQFNVSDADHIERFISCLSMTFPFFKRGASGSKFLNYLNKQIMPVFDKLPEQGKLDLLKNLAECSLCATTQDSRQLLPSVVQLLKKYMVRRKIEEINYTCIECLLYTFHHLAHKTPNATNSLCGYKIVTGQPSDRLGEDFSEQYKDFTERLSTVEDLARAMIKKLTQGMADQNKALAAAKTEEEKDAIKTQKLNATFALRACNNILAMTQPLNAKTPKFIGDQKTNLSWKEAAKPSGPSTATVAGQKRPAATTNGSNNNPLKKGRGAGGTQHQLVNKAFSGIYDSGRSSGRGGRSWRGRGRGRSYH >Solyc03g118380.2.1.1 pep chromosome:SL3.0:3:68756763:68757305:-1 gene:Solyc03g118380.2 transcript:Solyc03g118380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSQLSWNVIIPAENLDVEGLMLQKAIVIRLLDDFASKKASKSLGYFMAVTTLERIGEGKVREHTGDVLFPVEFSCITFKIFRGEILDGVVEKILKHGVFLRCGPTDKVYLSHQKMADYKYVPGENPIFMNEKMSRIEKDTVVRFIVVGARYVEAEKEFQAVVSLEGDYLGPISQNAV >Solyc10g078265.1.1 pep chromosome:SL3.0:10:60224323:60228457:-1 gene:Solyc10g078265.1 transcript:Solyc10g078265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4D268] MGDFNSKKSNKVNFETKIQDSQEIVIGKEGLRKKILQKGNSWKTPFHGDEIQVHYRVKLQDGEFFDSSYDRGKTFTFKLGQGEVIKGWDEGIATMKKSERAILTIPPNLAYGEIGSPPLIPPNSILIFEIELISWNSIRDISGDGGILKKIIKEGQGWATPRDVDEVLVKYVASSADGKILSQSDDAVEFSLMEGHLYPAMKKSLKTMRKGEIVELIVKPPYYFGNSSFDGDGIGILQSSNSNLIIHLELISWKSVVDVIGDNKVLKKLIKAGEGYDHPNEGSLAKVVYIGKLLDGTIFERKGSHEEPFEYVCLEGQLNEYVDRAIMTMKKGEEAIVTINSHSMFYEIKLVDFNKEKPFWKMETKEKIEACDKIKNEGNVLFKDGKFQCASRKYEKECNSFSFLFFFYSVLHMSITICLSLKQFKERLMFFM >Solyc01g059950.1.1 pep chromosome:SL3.0:1:69289392:69292468:1 gene:Solyc01g059950.1 transcript:Solyc01g059950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPFFFNAVVSEMKDLSKNLFELDTNEVVVVYSEYVLGGMLTWPNHLEVVLRFIESLNTCVIIVIETEANINEPIFMDQFNESVFLYATLFDCLETFMGRDNQHRMEFERLVLRKIIHNVITCEGEGRIFHNVRLEVWRALFENFGIKETELSELSLYQANLIVDRSAHGFCTLDMDGNCLTIKWKGTPIVFASVLLFKELCITSVNLFKRELIRRREHYHLIDMKVGKRNHLIWTKVLQNAKPIAVVCYQSSPFFQVPQFTGIQAIIDNVKSAKEGFI >Solyc06g050370.1.1.1 pep chromosome:SL3.0:6:33076780:33077334:1 gene:Solyc06g050370.1 transcript:Solyc06g050370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGDNNSRSHHHSADFLLIKQDDKFFSRLLSKETSAKGGGVGESSFRYYYCGGSSGSIPFVWESQPGTPKHKFSDISLPPLTPPPSYQTNAPLPKQSKSNKFFFSIFPKIIPTTKVITTSPSVSSMNYNSNRRNYRSRSEIDQIQIPSSPTSTLCFGSRMRNSKRFRLNSKNVKKAFSTFVGN >Solyc11g070020.2.1 pep chromosome:SL3.0:11:54875200:54884540:-1 gene:Solyc11g070020.2 transcript:Solyc11g070020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKPSRALVLYGDGLARSIDPSHTHLHSFTSRACCGFLSLPNSPPSETEDARIVREFAELVDASEAYLALNGEESSETQSQEQHVAATISERFMGMKAAVITENLRLKSFCNKLGFTVLELNEVDSSALASELFKLLGFQEGKTLESSQFDLVILHVGAGQTTNCLKDLDLVNRLVGNLVQMAHPGTEVGSRLHMSVLLSYGAVRESDDSTFSIADSRQENNSKLSLIFPRQSYTIKEGKPRPNVRQHCPILVAQWQNAVTRKDMAEAYSYEDFKEAFLTLRISPDLSSFVEEQAEIQEPFCMVQMVAFCLSLDIRVTESNTSERIMTQYHVDKKLKEDRELSCPPPWDAIRSPTTSSLISSTNFSRPKRLNSQTLNAHECSQSFSNRTRMLWQLVESSNIYCEFVVDVLLNIKKALGNNISEMEVVGGAFLSSALNVLFERLASQGDLLNMFRKHKDQVRLLKKLKMTLRGLQIVLSDAENKQASNPSVSDWLNELRDAVDSAENLIEEVNYEALRLKVEGQYQNLAETLLKRWRICNLCLSDDFCLNITEKFEETIETLEVLEKQIGRLGLKEHLGSTKQETRTPSTSLVDDSDIFGRQSEIEDLIDRLLSEDASGKNLTVVPIVGMGGLGKTTLAKAIYHDERVKNHFGLKAWYCVSEPYDALRITKGLIQEIESFDSKDVHKNLNQLQVKLKENLKGKKFLIVLDDVWNDNYNE >Solyc01g060286.1.1 pep chromosome:SL3.0:1:70024041:70024638:1 gene:Solyc01g060286.1 transcript:Solyc01g060286.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNHRRRIQTARMQNQTSRQAVFSIRRFGLFKKASELYSLCSADVSIVVYSPRNKLYSFWHPFSKSIVERFVEEDLTPGTNDPNPIIIALQNANINRNVNTLERELAKDNLSFSGLKNLCEALEAADEEVERVVSQLLEADES >Solyc10g077010.2.1 pep chromosome:SL3.0:10:60034081:60036362:1 gene:Solyc10g077010.2 transcript:Solyc10g077010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYDEFVKVMMAK >Solyc05g016440.3.1 pep chromosome:SL3.0:5:16798154:16848503:-1 gene:Solyc05g016440.3 transcript:Solyc05g016440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVPVSTPSSGEMEHNNTHQLRSRSHQQFHPSRPAILDLFNLYLGLKNSGQKSDDSIREPPNKTQKRVTALNRELPPRNEQFILDFGQLQSQFTDKEQLSAVAESVLISLVIHCSSHAPRAEFIQFAICSLSSIGFINWDSFLPSLLSSVSSTEISASQANLPSAAVSSANLTSGLLPSSTTVASTSIFHSSNPASPLPAVHGIGSPLHSVAEPSSSAALSPMKSSDVNGTSQQSVAKVNLLLNDNATSSLRQLCCKIILTGLDSNLKPVTHAEVLHHMLNWLINWDQKLHGIDELDSTKYWKPDKALIKWLHSCLDVIWLLVENDKCRIPFYELLRSGLQFLENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTPRLLPQASANSSGEAVANMRYSPITYSSVLGEPLHGEDLAASIQKGSLDWERALRCLKHALRNAPSPDWWRRVLLVAPCHRVHAQAPTPGAVFTSEMVCEAVIERIVELLKLTNSEINCWQEWLIFSDIFFFLMKSGCVDFVEFVDKLVFRLQEGDQQILRTNHVTWLLAQIIRVELVMNALNTDSRKVETTRKILSFHKEEKSSDPNNPQSILLDFISSCQNLRIWTLNTATREYLNNEQLQKGKQIDEWWRQVNKGERMMDYMNLDDRSIGMFWVVSYTMAQPACETVMNWLTSAGVTEHLPGPNLQSNERLMVMREVCPLPISLLSGLSINLCLKVAFQLEESMFSGQAVPSIAMVETYCRLMLISPHSLFRSLLTHLTSRNPTTLTKPGNTILVFEILNYRFLSLYRYQGKSKTLMYDVTKMISTLKGKRGDHRIFRLAENLCMNLILSLRDFFFVKREGKGPTEFTETLNRITIVTLAIIIKTRGIGEFEQLLYLQTMLEQILATSQHTWSEKTLRYFPSILRDALSGRMDKRGLAIQAWQQAETTVINQCTQLLSPSADPSYVVTYINHSFPQHRQYLCAGAWILMHGHPENINCTNLGRVLREFSPEEVTANIYTMVDVLLHHIHLELQRGHPLQDLMLKACGNLSVFIWNHELLPPDILLLALIDRDDDPHALRIVINLLDSKELQQRVKVYLLNRGPPEHWLSPGPFKRVELQKALGNYLSWKERYPTFFDDIAARLLPVIPLIIYRLIENDAMDAADRILQVYSPFLHYYPLNFTFVRDILSYFYGHLPGKLILRILNILDIKKIPFSESFPQHINSSNAAMCPPLDYFATLLLGLVNHVIPALNNSSKCAVMGDFANNSTRAPHGKIPATSQSGTTNSFDGQKPYYQMQDPGISTQLTLETAVIELLSLPVSPSQIVSSLVQIVVHIQPTLVQSSNGLHGAPGSSGQGSILPTSPSGGSTDSLGATRTTPSLSGLNTSNFVSRSGYTCQQLSCLLIQACGLLLAQLPPEFHVQLYVEAARIIKESWWLTDAKRSVGELESAVSYALLDPTWAAQDNTSTAIGNIVALLHAFFCNLPQEWLEGTHLIIKHLRPVTSVAVLRISFRIMGPLLPRLVNAHTLFSKTVSLLLNILVDVFGKNSQLSAPIEATEISDLIDFLHHVIHYEVASSKPRSEILALFGRAAENLRPDVQHLLSHLNTDVNTSAIIMLFLGRRNMSRSDFTWIIYILLQQSSLDVHVEKMYRVIRIICIAYCLIASCLKETSCKLLTVGTLVSHFRSLVPLLELFHTRIMNKLAKRLVNAAGILNPKKISYKFCQVTISINI >Solyc12g082770.2.1 pep chromosome:SL3.0:12:17451608:17453305:-1 gene:Solyc12g082770.2 transcript:Solyc12g082770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMLLSPLGTTHSQTLSGVKFHHFLLDGTYGRMTSTWNAIIAFVLHTPMDDVWRGMRSAPLCCIHSRMKFSMACHHGVQSFPSGKTRGWTTLGVTCHLFPWKAHMVGRRWAWEAIIADRQHTRFDYVRRGMPSWPLSRTHDRTMSAVERQSSLLECSHGQMMSDVTCYVRHLRAHTIGRLRAWQACMALWLHKWLYDVGQGLPLSPLGSTYGQKWHTIISIGQHTQSDNVKRYMPSSPLDNTNGGITSGISLHHHPWTT >Solyc09g011933.1.1 pep chromosome:SL3.0:9:5203733:5205105:-1 gene:Solyc09g011933.1 transcript:Solyc09g011933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILSEMTGCEILKKKKEKEDFDSNHSKLSYRAVQIPERGCSWEEQNYKLLAGTLTYAFC >Solyc02g071475.1.1 pep chromosome:SL3.0:2:41464233:41468731:-1 gene:Solyc02g071475.1 transcript:Solyc02g071475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKEMRDLFSDGVQSMRMNYYPPCPEPDRAIGLSPHSDADALTILLQLNETEGLQVRKDGIWVPIKPLPNALIVNIGDMMELFLQEEQMESTPEKLNFGNSLLVPSVQELAKQHLTNIPDRYVRPQQESLVVSSGASVPVIDLQKLISGNTIDSELQKLHSACQEWGFLQVVNHGVTPSLLEDFKREVIQLFKLPMEEKKKLWQQKDSFEGFGHMFVVSEEQKLDWSDMFGIINLPPHIRKVDLFQKLPSKLRDIMEAYSKEIKNLTMIIVCQLAKALRMDEKEMRDLFSDGMQSIRMNYYPPCPEPDRAIGLSPHSDADALTILFQLNETEGLQVRKDDIWVPITPLPNALIVNIGDMMEIVSNGVYRSIEHRAIVNSYEERLSVATFYNINLESELGPAHSLIGPHNPPIFRRVPVQKYLQDFFARKLDGKSYLDSMKVDARDDES >Solyc01g110440.3.1.1 pep chromosome:SL3.0:1:97061065:97063230:1 gene:Solyc01g110440.3 transcript:Solyc01g110440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arginine decarboxylase [Source:UniProtKB/TrEMBL;Acc:G8Z275] MPALGCCVDASVSPPLGYAFSWDSSLPAPELFSSGVPPATNAAAVSTGSHWSTDLSSDLYRVDGWGAPYFSVNSSGDISVRPHGTDTLPHQEIDLLKVVKKASDPKNLGGLGLQMPLVVRFPDVLKNRLETLQSAFDMAINSQGYEAHYQGVYPVKCNQDRFVVEDIVKFGSPYRFGLEAGSKPELLLAMNCLSKGSADALLVCNGFKDTEYISLALVARKLLLNSVIVLEQEEELDLVIDISRKMSVRPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLDESGMLDCLQLLHFHIGSQIPTTELLADGVGEATQIYSELVRLGAGMKFIDIGGGLGIDYDGSKSSNSDVSVCYSIEEYASAVVQAVLYVCDRKGVKHPVICSESGRAIVSHHSILIFEAVSASTSHVSTQPSSGGLQSLVETLNEDARADYRNLSAAAVRGEYDTCLIYSDQLKQRCVEQFKDGSLDIEQLAAVDSICDWVSKAIGVADPVRTYHVNLSVFTSIPDFWGFSQLFPIVPIHRLDEKPTMRGILSDLTCDSDGKVDKFIGGESSLPLHEIGSGDGGRYYLGMFLGGAYEEALGGLHNLFGGPSVVRVMQSDSPHSFAVTRSVPGPSCADVLRAMQFEPELMFETLKHRAEEFLEQGEGEGEGVAFGSLTSSLAQSFHNMPYLSSCCFTAEATANANTNTNNGGYYYYSEDNAAAEEDEIWSY >Solyc02g088395.1.1 pep chromosome:SL3.0:2:51126142:51132632:-1 gene:Solyc02g088395.1 transcript:Solyc02g088395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFAQVLRASLLPLLSCGFRIHLISLNKLSAINCSFKLSGYFSAVIFIDNTVIVMFYSTRQMSDFIWKNCEKAAAMTGGFVMYFTSTISKEANALQVLSSFPQTQTSKKFDLHTSLLKLYSDLIDLLVCIYNPEAQTSEDDLLCPGASPSPLHYCPAKYEMKLVNIWNSKLQAQGECTSTRDGKSKEDEPFLSFVHDLNKN >Solyc08g005450.2.1 pep chromosome:SL3.0:8:329712:333053:1 gene:Solyc08g005450.2 transcript:Solyc08g005450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPSKDEDKKSSNQKPSSAADPENAYASFQGLLALARITGSNADETRGACKRCGRVGHLTFQCRNFVSVKDDNKDKDAEAIEAAVLSGLEKIKGHGSKMKGKAENEDSSEEEEESESSDSDYDSEMERAIAEKYGKKVSRKLKSSSKKHKKKDSDSDDEHADSSAESSDESPPRHKRRSRRAAASASDSDASNSDDARVGRDKKRSEKRSRKRHDDEDTASVIQDIAILVELASCYPFEGFVGLLVTIRNLCNFIGW >Solyc10g079850.2.1 pep chromosome:SL3.0:10:61453161:61457769:-1 gene:Solyc10g079850.2 transcript:Solyc10g079850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPELKPLSAQEWENLIDDYNHGGSRRLRWTSINYAAVPLLDLTLSSLLRKDIPHNLKLQLLIFIEEHFSTDENDIVSPNFLSRLLEALRSVIQSPNDGVSTSFALKEQFLISSTSIFVNYVGYTSSCLDSSFVTPLESLIELLLTIINRPNHSVDRQTRSIACECLRELETAFPCLLSEIGSHLWSLCQNERTHASQSYALLLATVVHNIARLKPTVSFSNSSTLVPFSVPRFLVDENVKNGHFQGELSDLSNRELRRVVAFLLECPQNLTPWGLLEFMDKTLPVAAVLDLQPSLLKVQFSGLLHTYDPLLWHAYLVMYLSYMDSFVGQEMEIASRLLLLSKESQHHLFFRLLVLHWLIGFIGLVLKRDFEKRKNVVDMSLSFYPSVFDPLALKSLKLDLLAYCSVLIDNDNGVRSSKGSPQITREKLFEDGLVCVSSFKWLPPWSTETSVAFRAIHKFLIGQTSHSENDSISNKSLLEPAIYHTVQRSLIDSLSEYRGLVPVIVSFTDRLLTCYKHQFFGERLLKTFDDNLLPKLKIDYKLVSYFCILGRIAESDKVSPSGLIELLTKFMVILVEKHGPDTGLRSWSHGSKVLGICRTMIMHHYSSKLFVGLSRLLSFTCLYFPDLEVRDNARIYLRMLICVPGKKLRDILNSGDLLPGISPSSHSNSFFSVQSPRLSHDPKKSRNISSCVHLERMVPLLVKQSWSLSLPALGFDAKKPSYIEPIKDNAPPREQSEFDKNTDDTVISEANGHNQPPEPLRVMDSKISQIVEILRKHFSFIPDFRHMPGAKIKISCALRFESEPFSRIWGNNMPANGVDTLPALYATVLKFSSSAPYGSIPSCHVPFLLGQPPKGFYSFSETNSLDIIPVEDVSETPGDDKSFKAPVLIELEPQDPIPGFVDVFIETNADNGQIIRGQLHNITVGIEDMFLKAIVPDDIPEDAERGYYVDLFNALWEACGTSTSTGRETFVLKGGKGVAAISGTRSVKLLEVPVTSLIQAVERSLAPFIVCVTGDSLTNLMKEGGVIRDITWDEIHLSSSSTDDTIAETSLVGGPLYLKYNDDEDDGGGGYVQISKKNLGIIQILIFLPPRFHLLFQMEVSNTSTLVRIRTDHWPCLAYVDDYLEALFS >Solyc02g005605.1.1 pep chromosome:SL3.0:2:13259984:13260660:1 gene:Solyc02g005605.1 transcript:Solyc02g005605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEQSRAWHAIIAFRQHTQSNEVGHGKIALPLDRIYSQTTPLIEHTIGQPRTWRAIIILGKHKPSNDVGHGLPTSPLDCTHCQTTSGVECHSSTHGKTTLGVACHQPPWIAHEDGRCWAWHSIIPFGKHTRSDDVGHDIPSPLDCTHVSTTSGVECQSRP >Solyc01g067540.1.1.1 pep chromosome:SL3.0:1:76110354:76111319:1 gene:Solyc01g067540.1 transcript:Solyc01g067540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSTPISSDNQLPFGMFEPIRTPTGYSWLQRNTALCQPSEKRGRRKQTEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAQEAALAYDRAALSMKGTQARTNFIYTSDSANTNFPSLISPFEHVQNMLNPNNTHFNFNTHINKNTKTKTTTTTNSDESSSYGSSPNENNSFVFLNDDMNNNNNNNTNSGYLLDCIVPDSCLKPPPQQPQEPSSTPQSKMNGEIENTYYDTNGFFDMSSSWESSCELSAMTMNHSSNNMNHQMMDDVADGSYYYPNMEINNYDHLMMMPNHETTNAAAAAAAFGDVEFGYTTLF >Solyc06g051000.2.1 pep chromosome:SL3.0:6:34183356:34186533:1 gene:Solyc06g051000.2 transcript:Solyc06g051000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSSSMQDMFKQRKYPFIFSLFLLLIFVTFLLISNSQKSPIFAAIDFAQRAALIKSVPEFNPNTITTSNSTNLHQSDENNNTSINRESLLNNVRIDPETSNVPLKINPELTDTAIRDELETFSYHWKLCPGPLAVDYIPCLDNWKAIKKIKSRRHMEHRERHCPVPSPRCLVPLPKGYKLPLPWPKSRDMIWYDNVPHPKLVEYKKDQNWVKKSGDYFVFPGGGTQFRDGVNHYIEFIEETFPTIQWGKSIRVLLDVGCGVASFGGYLLDKNVITMSFAPKDEHEAQIQFALERGIPATLSVIATKKLAFPDNVYDMIHCARCRVHWHADGGRPLMELNRILRPGGYFIWSATPVYKKDEGHKNVWKVMVNLTEAMCWKMVARTFFKRGRVGLVIYQKSDSSSCYENRKENIPPMCDQKKNRLNSSWYTPLDNCLLPLASSSYKWPAPWPQRLNTKPLSLSLETDAEETFNQDTRHWASLVSDVYLGSLAINWSSVRNVMDMNAGYGGLATALIDRSLWVMNVVPISGPDTLPIIFDRGLVGTYHDWCESFNTYPRTYDLLHSSFLFGNFSQRCDLVDVAVEMDRIVRPGGYILVQDTLQMIKQLGSILRSLHWSVTLYQHQFLVGKKDFWRPKDIARE >Solyc12g006740.1.1.1 pep chromosome:SL3.0:12:1190367:1190660:1 gene:Solyc12g006740.1 transcript:Solyc12g006740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILRTIGFRNKRSYLDGDDDGDYDYAPAAFLEGDDDDRDYDYAPPASLNGDDDDGDCDYAPAASLDGDDDDDGDNYDYAPAAQIIRDSLTRLIIN >Solyc04g045285.1.1 pep chromosome:SL3.0:4:32138326:32139673:-1 gene:Solyc04g045285.1 transcript:Solyc04g045285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVRLGSWCGPPEVLFQPSMIGIEVVGISTYNSIMRCDIDIRKDLYGNILSLVEITALALNNMKIKVVPPPERKCSVWIGVSILTLLTDMNFEGRVQRVWSIHCPKEMGLR >Solyc08g083150.1.1.1 pep chromosome:SL3.0:8:65815417:65815572:1 gene:Solyc08g083150.1 transcript:Solyc08g083150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSGSRSPIRSIIPIYTMLFKKKIYNMKRGYESCVSVVGPGFIPPNCYSSS >Solyc03g043990.1.1.1 pep chromosome:SL3.0:3:7809811:7810170:1 gene:Solyc03g043990.1 transcript:Solyc03g043990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSGCTSWSPNEALAHASESIMWPWETIGNPCIGGNKIFRLTTFFAQGTFVVPLSGVPGLFIFMADRWNPVDLKDSRYVWLLLTVGRQLDHHPEYSFGLPLWSRVSIYWHKKWRLPYR >Solyc01g006720.3.1 pep chromosome:SL3.0:1:1300996:1309933:-1 gene:Solyc01g006720.3 transcript:Solyc01g006720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDKPSTTSLLRTKSDQLVEAISAAMGGGTKSSPMNGDVAGGGGGPETLSRKSSRRLTGASPGRSGGGRNNTHIRKSRSAQLKFDLDEVSSGAALSRASSASLGLSFSFTGFTVPSDEIADMKPFSDDDDIAEDIEAGTRKMKIQAEPTLPIYLKFTEVCYKVVIKGVTSTREKEILTGISGSVDPGEVLAMMGPSGSGKTTLLSLLGGRVKEPTGGSITYNEQPYSKHLKSRIGFVTQDDILFPHLTVRETLTYAARLRLPKKLTKEEKQKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRTVEILHDIAEAGKTVITTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMDYFSTIGCTPLISMNPAEFLLDLANGNLNDVSVPSELEDKVQIGNSDTETRNGKPSPAIVHEYLVEAYETRVAESEKKKLLAPMMIDEELKSKVVTSKREWGASWWTQYSILFWRGLKERRHDYFSWLRITQVVATAVILGMLWWQSGGDSPKHMQEQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLNKERSADMYRLSAYFLARTTSDIPLDLILPVLFILVVYFMAGLKHDVCAFFLTVLTTFLCIVAAQGLGLAIGATLMDLKKATTLASVTVMTFMLAGGYFVKEVPVFISWLRYLSYNYQTYKLLLKVQYKEKNDWVDGIKVGNGVKEVSTLLAMVFGYRLLAYISLRRMKLHSGA >Solyc06g083720.2.1 pep chromosome:SL3.0:6:49115034:49118528:1 gene:Solyc06g083720.2 transcript:Solyc06g083720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKFQFYFLCFLLCFIPLLQAQNFRTYIVQLHPQHASTRTPFSSKLQWHLSFLENFISSGENSSSRLLYSYHSAFEGFAALLSENELKALKKSNDVLSIYPERKLEVQTTYSYKFLGLSPTKEGTWLKSGFGRGAIIGVLDTGIWPESPSFVDHGMSPIPKKWKGICQEGKNFNSSSCNRKLIGARFFQIGHMMASKISKSIDFVEDYVSPRDSEGHGTHTASTAGGAPVPMASVLGNGAGEARGMAPGAHIAIYKVCWSSGCYSSDILAAMDVAIRDGVDILSLSIGGFPVPLFEDTIAIGSFRAMERGISVICAAGNNGPIRSSVANEAPWIATIGASTLDRKFPAIIQLGNGKYVYGESLYPGKQVHNSQKVLEIVYLSDGDNGSEFCLRGSLPRAKVRGKIVVCDRGVNGRAEKGQVVKESGGVAMILANTAVNMEEDSVDVHVLPATLIGFDESIQLQSYMNSTRKPTARIIFGGTVIGKSSAPAVAQFSSRGPSFTDPSILKPDMIAPGVNIIAAWPQNLGPSGLAEDSRRVNFTVLSGTSMACPHVSGIAALLHSIHPKWSPAAIKSALMTTADTTNHQGKSIMDGDTPAGLFAIGAGHVNPGRSDDPGLIYDIIAKDYITHLCTIGYKNSEIFSITHKNVSCHDVLQKKRGFSLNYPSISVIFKAGKTRKMITRRVTNVGSPNSTYSVEIVEPEGVKVRVKPRRLVFKRVNQSLSYRVWFISRKRIGTQKRSFAEGQLMWINSRDKYQKVRSPISVAWASKK >Solyc10g084330.1.1 pep chromosome:SL3.0:10:64047099:64047344:-1 gene:Solyc10g084330.1 transcript:Solyc10g084330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQFCQHAAFQVQASSAGLKRSMARLLKLTLLVPQYLALQLADSFVKGLSMKMKKQLEQA >Solyc05g050110.3.1 pep chromosome:SL3.0:5:60942891:60947196:1 gene:Solyc05g050110.3 transcript:Solyc05g050110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLLPSPDISSFSAGRIRLNKLVLRRRVVKVCSSVKDLEDVGLLYGQFSAPLKVNTMSSSKLDKEEEQKRNYYLNTGSAIRILREEFPALFYKEPNFDIYRDDIVFKDPLNTFTGIENYKSIFWALRFHGRIFFRALWIDIISVWQPVEGMIMIRWTVHGIPRVPWESRGRFDGTSEYKLDKDGKIYEHRVHNIALKGPPKFHVLAVQELIGYISHPSTPKPTFFKISFPYFGNTMPLAKFADTDIALVQFSASVKER >Solyc09g011895.1.1 pep chromosome:SL3.0:9:5170859:5171611:1 gene:Solyc09g011895.1 transcript:Solyc09g011895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNLEVRPLSCSSTICLLQELNVPVNDVEEQTVSVGELEALNLLKASLTYIIIISFDRGPKPKHEEAALNLLKASLTLSSSALTEALNHKLKKQIDEDVQCNVKKLCRAG >Solyc03g122070.2.1 pep chromosome:SL3.0:3:71531293:71533922:1 gene:Solyc03g122070.2 transcript:Solyc03g122070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQEPVLFGTSILENVMMGKENATKKEAMAACVAANAHSFVSRLPEGYDTQVGDRGTQLSGGQKQRIALARAMIKDPKILLLDEPTSALDAESEAIVQRAIDKISKDRTTLVIAHRLATVRNAHTIVVLDRGSVVETGNHDQLMEKAGAYFGLIKLASEAVPKPMSNQGDVPKEKEFSAYEKSNYDVARVKGVYEISRSKYLKSMQEGSQIEEEEGEQAKMKSYRLSELWNLQRQELIVLLVGLIMGMLAGAILSLYPLVLGQALKVYFYTDMSRLKREVGYLCLILVGLGFGCIFAMVGQQGFCGWAGTKLTMRVRSLLFKSILKQEPGWFDLDENSTGVLVSRLSVDCVSFRSVLGDRFSVLLMGLSSAAVGLSVSFKLEWRLALLATAVTPFTLGASYLTLIINVGGKLDNSSYAKASSIAAGAVSNIRTVATFSTQEQIVRSFEKALSEPKRTSVRRSQMLGLALGLSQGAMYGAYTLTLWFGAYLVKQGYTNFGDVYKIFLILVLSSFAVGQLAGLAPDTSMASTAIPAVLSIINRRPSIRTDRLKGKKIETSKPFDIEFKTVTFAYPSRPDVIVLRNFTLKIRGGTMVALVGASGSGKSTVIWMIQRFYDPTQGRVLIEGVDLRELNLKWLRRQTALVSQEPALFAGTIRENIAFGNPNATWAEIEEAAKEAHIHKFISGLPQGYETEVGQSGVQLSGGQKQRIAIARAILKKSKLLLLDEASSALDLESEKHVQDALRKISKRATTVVVAHRLSTIREASMIAVVKEGTIAEYGSHDKLMASHLDGLYSNLVRAETEALAFS >Solyc04g014500.3.1 pep chromosome:SL3.0:4:4728790:4733455:-1 gene:Solyc04g014500.3 transcript:Solyc04g014500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLVSRSGRHLQRYNKGRRQVVGCIPYRYKDNFDLSMGDEDAFEVLLISPQRKGKGLLFPKGGWEKDETIEVAARRETIEEAGVCGDIEGKLGTWYFENKNGDTAYEGHMFPLFVKEELDLWPEKDIRERFWMSVQEARKLCQQGWMKEALEMLVSRLTSQCRRTKIDLFSRINRGSSGHGTVLRLGCRAQVLTPPPPPSPAEEA >Solyc09g058994.1.1 pep chromosome:SL3.0:9:53138776:53140043:1 gene:Solyc09g058994.1 transcript:Solyc09g058994.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFGEECAGESSNSQKRSERNGQYHKHSMEQIQRLDEFFKKCPHPDEDQQKQLGREAGLDHI >Solyc04g005830.3.1 pep chromosome:SL3.0:4:525125:536456:1 gene:Solyc04g005830.3 transcript:Solyc04g005830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSLLMSVVTSVITPAIKSKSCCFMSLLHYPPLMTASYRCFRARSRLSCCNSHADDDMRALFQILPCDLRDTLLCDPSQDQLVEVILDLGCLPQAHYINDSGRRYLRDTEVSMEEIQCVLKEIGQFGGDNRAGIEGTLHRISAIRNRKGEVIGLTCRVGRARGQIDMVRDLLDFGESILFVGRPGVGKTTVVREISRVLSDELHKRVVIVDTSNEIGGDGDIPHPAIGGARRLQVLDPSMQHQVMIEAVENHMPEVIIIDEISTESEVHACRTIAERGVMLIGTAHGEQLENIIKNPTLSDLIGGVVIVTLSDQEARIRNSSKSVLERKAPAPFPFLIEINERDYWIVHRTERSVDALLRGKKPLVEVRRRTPQLQVVIERWRTKA >Solyc02g092580.3.1 pep chromosome:SL3.0:2:54264276:54266995:-1 gene:Solyc02g092580.3 transcript:Solyc02g092580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BD54] MGRLNLLMSVLLSILSVSIVLMPNLASAQLKTNYYANICPNVESIVRNVVNQKFKQTFVTVPAVLRLFFHDCFVEGCDASVIVSSTPGNTAEKDHSDNLSLAGDGFDTVIKAKAAVDSNSRCKNKVSCADILALATRDVIQLSGGPWYPVELGRLDGFTSKASNVEGKLPKPTFNLNQLNSMFASHGLTQADMIALSAAHSVGFSHCGKFSNRIYNFSPKNPIDPTLNKQYAAQLQGMCPRNVDPRIAIDMDPKTPRTFDNNYYKNLQQGMGLFTSDQVLYTDKRSKGTVDLWASNSKSFQNAFVTAMTKLGRVGVKTGRNGNIRFDCGRMN >Solyc08g062650.3.1 pep chromosome:SL3.0:8:51687498:51695487:-1 gene:Solyc08g062650.3 transcript:Solyc08g062650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMCLAVALPFRVGNFISHNQSQMDVTSLKSMADHAPTLYPESSVYDDCISVDSQKKQLTVTVPEETGMISENERNWIDVVRESEDDEILSLDGDQVLDSSCSLSVVSDSSSLCADDFISFELASEVDGQNLVDAEKNICNVKLIAKAGDLVESGAQETESKPLAIGEKLDEQITDGSSSKSAEVVVQLPLDKGLNAAVGRSIFEVDYIPLWGFTSVCGRRPEMEDALATVPRFLRIPVQMLVGNRLPDGMTRYLSHLTAHFFGVYDGHGGSQVANYCRDRIHAVLAEELETIMANLNDESIRQNCQDQWKNAFTNCFLKVDEEIGGGGNREAVAPETVGSTAVVAIVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFIPRTKDDECLILASDGLWDVMTNEEVCDLARKRILLWHKKNGVTLPSERGQGIDPAAQAAAECLSNRALQKGSKDNITVIVVDLKAQRKFKSKT >Solyc08g081540.3.1 pep chromosome:SL3.0:8:64688673:64691323:1 gene:Solyc08g081540.3 transcript:Solyc08g081540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-amino-cyclopropane-1-carboxylate synthase [Source:UniProtKB/TrEMBL;Acc:Q9S853] MVSISKNNQKQQLLSKIATNDGHGENSPYFDGWKAYANNPFHLTDNPTGVIQMGLAENQLCFDLIQEWMVNNPKASICTVEGAENFQDIAIFQDYHGLPEFRQAVARFMEKVRGDRVTFDPNRIVMSGGATGAHEMLAFCLADPGDAFLVPTPYYPGFDRDLRWRTGVQLFPVVCESCNDFKVTTKALEEAYEKAQQSNIKIKGLLINNPSNPLGTLLDKDTLRDIVTFINSKNIHLVCDEIYAATVFDQPRFISVSEMVEEMIECNTDLIHIVYSLSKDLGFPGFRVGIVYSYNDTVVNISRKMSSFGLVSTQTQHMLASMLSDEIFVEKFIAESSERLGKRQGMFTKGLAQVGISTLKSNAGLFFWMDLRRLLKEATFDGELELWRIIINEVKLNVSPGCSFHCSEPGWFRVCFANMDDETMRIALRRIRNFVLQTKGLNNIAAIKKQCSRSKLQISLSFRRLDDFNSPAHSPMNSPLVRT >Solyc02g090730.3.1 pep chromosome:SL3.0:2:52889654:52892189:1 gene:Solyc02g090730.3 transcript:Solyc02g090730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fw2.2 [Source:UniProtKB/TrEMBL;Acc:Q9LKV7] MYQTVGYNPGPMKQPYVPPHYVSAPGTTTARWSTGLCHCFDDPANCLVTSVCPCITFGQISEILNKGTTSCGSRGALYCLLGLTGLPSLYSCFYRSKMRGQYDLEEAPCVDCLVHVFCEPCALCQEYRELKNRGFDMGIGWQANMDRQSRGVTMPPYHAGMTR >Solyc07g043365.1.1 pep chromosome:SL3.0:7:57192751:57195662:1 gene:Solyc07g043365.1 transcript:Solyc07g043365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEHYMNARHPSCGFKSLPHGNSKIRRAWKKIYATISLLKSRSGLGFQYSDGSISVDYPKAWNDLIKTRNRYLARIELLESLQKMMLKAQEKIKMLKRNLMYQLEQHKVHLKLKMNLMNQLEQHKVHSPLKMVKPINLRKRVMKQFIESHDKRMTFLIDKLGECDLSKIRGKIFSIIGSPAYEIYNSDERVKAAMGITQDINTMEFFVHPYDKSMIYKKWPLFADWEKIFRKDGAFEQSAEGPEVDDAPAKKEDQIAEKEPTVSAGATQSSWSAFYASMNLVSANVY >Solyc10g084037.1.1 pep chromosome:SL3.0:10:63834282:63835723:1 gene:Solyc10g084037.1 transcript:Solyc10g084037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGPFGHKDKLNKKVIKIYFSTRYDDDKNSINFKETSIEDEEVVPYDVKEGHFAIFAVNTKEERKRFILELNWLKNPIFLSLLKLAEEEYGFRQKGVLEIPCSHEELDKIILQLKIN >Solyc04g055090.1.1.1 pep chromosome:SL3.0:4:53609586:53610836:1 gene:Solyc04g055090.1 transcript:Solyc04g055090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFVVTQHFANILLILLLLSSFISAHTQNNASSTTRRRILKLHIDDNPQPIKKKSIAIIDDDKNPQPINKKSYSNSLSTSNNQTKLTNASSKNQTKLVKTKLSLSDSVSVSTKNKIKLTPEEKLALKSQLKKLNSTSTKSSDLYKTTTKKLNESKSKNSTKSQSSTRKKDSNSQPYWLLNDEDDLTTGLTDLPSKFQETLLPDLEKISKTSTVYLNKANKHITNNFKPIVGKKYASTIASITSFTFILIPLILVSLIFNKIKAYFSLQRLLIFTQVYLSIYFSILCLSSLLTGLEPLKFFYATSQSTYICLQLLQTLAYVLYLLMLLMYLVLVFSTNTGPVTKMIGLTQTFVGFAVGLHYYMTVFHNALLRQPPKTSWRIHAIYATCFLLICLLNRAEKRKKTYLQEGGEEGKMN >Solyc04g025113.1.1 pep chromosome:SL3.0:4:25562000:25567194:-1 gene:Solyc04g025113.1 transcript:Solyc04g025113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKRRVGLFKKACELCMLCGAKIAIAIISPDIKVFSSGHPRNLDEHLCSIRQLGTKQEYRQEFAKRSSGANDNTEGEESREQILSDIINEDADTNDLDDISFNAFLGNTIGTTMKLQGTLNGRLPKSVGFVTILVVVDHLNKYSNFIQLSHLYTAKIVAKVFCRENVRLHGIPRSIVSDRDVIFLSSFWQELFRLSQTSLRIGTSYHPQFDEIIEVVNRCLESYLQYFVMEQHRTWRKYLPRPEFSFDTGFHSSIETTPFKFFCGRDPPSISSFVHGETGIAVYKVQLLNRDAMLKVLKDNLLKAQTRKNQQVNSHRSNVTFQFRDSILLRV >Solyc03g063600.3.1.1 pep chromosome:SL3.0:3:37406595:37411503:1 gene:Solyc03g063600.3 transcript:Solyc03g063600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCVPIFTSM >Solyc10g017630.2.1 pep chromosome:SL3.0:10:5566375:5572840:-1 gene:Solyc10g017630.2 transcript:Solyc10g017630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKTEMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAQVGLVILSPRDKLYEFSTSSMQEIIERYQRHTKDIQCENPAMEQNNMQNLKHDTSSLMKKIELLEKSKRKLLGESLESCSLEGLQQMEHQLEWSINIIRARKMEVFSEQIERLKENVKDLASENVMLLEKCGGFEMQQTSGGEDVSMVISSEKSDVETELLIGLPMSLN >Solyc05g024010.3.1 pep chromosome:SL3.0:5:30243064:30246739:1 gene:Solyc05g024010.3 transcript:Solyc05g024010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPGESRPCDFCNQQIAVLYCRADTAKLCLFCDQLVHSANALSKKHLRSQICDNCGSEPVSIRCDTDKLVLCQECDWDAHGSCAVSGAHDRSPVEGFSGCPSASDLASAWGLDIESKKLHQQHTVLEYPSWMSKDAPPSSVLLQDLMVPSAINSAIYSTKQTPTCGKQKQVIFKQLIELFKRDLADGVGAGAEDLVPKTPNATSDWQGNINVSIMDGVTQKPQQQQPQNVPFTSSIMPHNPKDSDQMVERNILWRGNSFDQNTQIWDFNLGQLRSHEQSSSLEADYSESDMACMMKSYGELIKGTSLATSKVLGLSGINCSVVHDDMTAFSNNSNNRAASQGPATSESNNLPRIKTSSDLGCVKPKCGGVSTDLNFMDQSIVVGGDNTGEETLKADMELLAKNRGNAMQRYKEKKKTRRYDKHIRYESRKARADTRKRVKGRFVKANESPDG >Solyc01g086930.3.1 pep chromosome:SL3.0:1:81702499:81714878:-1 gene:Solyc01g086930.3 transcript:Solyc01g086930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHPQQSLETAYTADNADAKYVSGLSTILVATIQEAKDRISQIEYIFCSQLFPNFQSNFKKMYSEARSAAETSWKEKEKDLLLQMEKMQFEKEKVLQENQYLKMENAKLLDSELSSANHVKELQNELKQRTSEINDLRETIRRSCILLETSAPLVCKYENPQRELEDRVLLLVKKQQISELEVGRLQLELKNNSIEVDGGLELQNKLVQLAHSKTSSAAYKEKQLKEYEQKTAKLLAELETTRRRVDELNEELREKTAAVEKGQKMQENLLSKVRLLDAEIMKNDDLLNQYKNEKEPLMTKVKNLETDVYDLQKELLNKKSEVEEGRKLHDQLRQQIDLYSLERSKTGQELEELEKENKKVLAKLRESEEKIDKLQTNLRERGKDSSEGIKLHGKLLHLIQAKESELLAEKKKRKDMIASYKSLKSQYNFLCARYGLTSENMHLQSKLLEHSALQTDQSPLTSREVENKVPQASGFACKVIKQEDKQEVQDDDQRASLIPRSNSISPPTSSAFVAPNIPANVRSCPTAGTKRSVSYWRDTRSHQSRVGPDPHDDFLDTPLENIRGNLGKVMKDEVENHTKPNSKDKKIEDSDDETQDMNIDSDPKKQEMLPPARSGATGFKYIEPVRKKAERENLKGVECLQCKKFYDAVHPGEDKESNGNRQNLRCEHHDGVSRHRYRYAPPLTPEGFWNIGFESEK >Solyc03g079940.3.1 pep chromosome:SL3.0:3:53281746:53286154:-1 gene:Solyc03g079940.3 transcript:Solyc03g079940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPLRYVIHPTPRKTPFLHLHTPNFHFKRREKGLPAAEIFSIPMGEGKQGAMVVEMPNSSNQNPIVQLQNKFKELEIGFKGWLSKQSIPVEAAVVTATSGLQGAAIGGFMGTLTQDVSSSMPIPPAGANLNPQAMASFQQAQALAGGPLVQARNFAVMTGVNAGISCVLKRIRGKEDVQSSMAAAFGSGALFSLVSGMGGPNPVPNALTSGIFFALVQGGLFELGRKFSQPPAEDTHYVRTRSLLSSLGLQNYEKNFKKGLLTDTTLPLLTDSALRDVRIPPGPRLLILDHIQRDPELRKKRG >Solyc05g049905.1.1 pep chromosome:SL3.0:5:60604319:60608065:1 gene:Solyc05g049905.1 transcript:Solyc05g049905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQMLDRLARKGWYCYLDGYSGYNQISIASEDQEKTTFPCPYGTFSFKRMLFGLFNAPATFQRCMMSIFSDMMENTIEVFMNDFSVVGDKKMGFCEWMMFIVRNNEGLGYLSEKERVLGYGLLDSGKSFTGKYGHSKKSF >Solyc02g086640.3.1 pep chromosome:SL3.0:2:49932794:49937179:1 gene:Solyc02g086640.3 transcript:Solyc02g086640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILTDPTHQYEQQYITYTPDPASSSSSNSPVRNPASPDPALLALRTVFRPSSWVASGIYN >Solyc05g054340.3.1 pep chromosome:SL3.0:5:65114084:65122866:1 gene:Solyc05g054340.3 transcript:Solyc05g054340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKIRMLTFVSLFCHLYYSFFSESCNDEMCCISNEIHDFVHSLMLHPSGEDMLDRIKYHGLMKNITSYISSHSYSEPTMTEDRLVEILDAILMFLQHLHKCSKLIFPSITTPFELLQNVFGNLRDFCRLKVNEFLHEYKAIVYVLPLQLMVERVVNFCFTLLYSQLAIFDELDVSLVKSNLANLLVEVIPVSLEVMHLCCTNLEGSKSEEVGHFIKQLLETSPDILRESLIHLQERMLNTLTPSASTCNIHVMIEFLLIILTDALKDVIRHDKLLVLLARVIQLTKEVFVLFGNLEKSMNEANLNLMENIELLKEDLKNDFLKECADSSQLRFPMSDGPLFMTLLLTNLNDLVNSNAYPVYLIKEEIGRVKEDLEIIRSLFGYVEQELHKDLWAHVLDVSYEAQNAFNSILARDHGLLQLIFILPDTVEKIKLVKKEVQEKIPKSSGIIVANAPNKPVERNSSSTVGKIIVGFEEETEWIIRKLTSGSAEIDVISIVGMPGLGKTTLAYIVYNHKSIVDHFDVCAWCTVDQEHNEKKLLQNIFNQVIGLKEQSNEDHDIDDDVADKLRKRLCGKRYLIVLDDMWDTETLDELMRPFPEFHKGSRVILTSRKKEVALHGKCHSDPLYLRLLRSEESWELLEKRVFGEEGCPDELKDVGKKIARKCDGLPLVVDLISGVISRNEKKEAFWLDILNNLSSFIFKDEKEVEKVIQLSYDHLSDHVKPCLISLSTYPKDKDIRISELKKLWISQGFVDQIEMRSAEEVVDELISSSLVIPFDNSIYKIHDLVHDFCYIKGRKENLFHFIEGSKALSSDLMPRGIIIHSNRHVFPLDDSFVVFDREKKNPYVKHLLYLKVYDNCLSYKSHLNHFRLLKSLDLDCRKLKYTLLNEIGMLLHLKYLSIQTEASTLPLSFSNLCNLESLMVNNVERTCMLLSRWFWSLTKLRDVWMKCCADPFDPTALDEDSRLENLTSLHDLYLPGSEDTEDIIFKRFPKLRNLRVYINTQIPENTCFPRLDVLNELEQLLLFVSARNPFPEYTHGFPLSLKKLKLGGLTLTSDTLSRLPNLEKLSLEQVIIDEGKEWNMENVIFQNLKSLKLVELSFSEWKVDAEKSFPVLEKLFIDSCDKLMEIPDSCGDIASLHLIKVLHCPQLKKSISKIKKYVEEMTGEDKLKAYFFLWRLS >Solyc03g115120.1.1.1 pep chromosome:SL3.0:3:66407085:66408203:1 gene:Solyc03g115120.1 transcript:Solyc03g115120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFWSWLLESVLKKVPLPFAAKQPLTDQPKPTSLSFPGITALSSEKRCFYEVLGVNCDCTPNEIRSAFRKLALQRHPDKLVRSGVPEFEATAIFQELVNAYDVLSDAQERASYDSQRSAYQILFSNSDPKNSSNYSGSVPDLSSFFSNSVYSGYSDERKGFYKVYGDLFEKIYHRELNLARKLGTNLPEEAPIMGNMQSPYAQVTAFYNYWLGFATVNDFCQSDVYKSTLASDRNSRRMMEDINKKLRKKAKRDYNVTVRGLAEFVRRRDRRVIEMQMKRNEELEKKNEEGRKRMEELEREKAERARNYVEPEWTRTEELQDGGIEEEESEEEDEEEELYCVVCGKKFKSEKQWRNHEQSKKHKENEKMAALT >Solyc06g084628.1.1 pep chromosome:SL3.0:6:49744437:49746207:-1 gene:Solyc06g084628.1 transcript:Solyc06g084628.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDIRQDLVYAYGCPSRLVRPIWKVKGGPKRDYPSFRRFSCAIAYHFLSDSDSDVKNAKFFVDVRQDLFYAYSWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAYHFLGDPNFDVKNAQFFRGCPSRPWSNKPRSEHTPHFDIAHHFLGDPDFDVKNAKFFHGRPSRPCLCIRLTITACPFHLVGQTSPEASILLISMIFVCSIAHHFLGDPDSDVKNAKFFRGCPSRPCLCIRLAITACSAHLKAHHFLGDPDSDVKNSKFFRARPSRPYLCIRLAITACPTHLEAHHFLGDPDSDVKNAKFFHGCPSRLCVCIRLAITAYPTHLEAHQFLGDSDSDVKNAKFFRTRSSRPYLCIQLAIMACPAHLIPTSKMPNFFVDVRQDLFYAYRWPSRLVRPIWKAKRAPERAYPLFRRFSSAIEHHFLGDPDSDVKNAKFFLGRPSRPCKSIRLAITTCPTYLEGQTSPEASIPLSSTIFVCYPFFG >Solyc12g032990.2.1 pep chromosome:SL3.0:12:37774800:37777086:1 gene:Solyc12g032990.2 transcript:Solyc12g032990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSQVIQSYGSSLSTYVSGRGYCIQGLVVGVTHYLLGGIFKSIMILRFPRFNQGLAQDPLLVVIGLLLLPQMTSRVMMILLRNVFIIIFFASHFGQLAIIFPWTSGNLFHVPWQGNLESWVQNPLHVRPISHATWDPHFGQPTISLRIIEDLYSGALFLLFLSAMSLIAGWLHLQPKWKPSVSWFKNVESRLNHRFSGLFGVSSLAWTGHLVHVFLDVLPHSHGLGPLFTVFGTAEGAGTAILTLLWGFHSQTQCLWLNDIAHRHLAIAFIFLFAGHMYRTNFGIGHNMKDLFDDIIKNSLHFQLGLDLASLGVITSFVSQHMYSLPTYAFIAQEFTTQAALYTHHQYIAGFIMTGAFAHGEDNVLERMLDHKEAIIYHLSWVSLFLGFHTLGLYVHNDVMVIFLTVGPGDFLAHHAIALDLHTTTLILVKGVLDACGSKLIPDKKDFGYSFLRDGPGRGGSCDNSAWDTFYLAVFWILNTIRWVTFYWHWKHITLWQGNISQFNESPLI >Solyc01g067025.1.1.1 pep chromosome:SL3.0:1:75176882:75178396:-1 gene:Solyc01g067025.1 transcript:Solyc01g067025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSMDVLSECLIHKILSYLSFEEAAKLSLVSKTWLHAWLAHPNLEFTLVSSEHGHNIHDRNIVDQIMERYRQTKIPIENFHLSVTIFAHPFAFPQMDKWLDIALQNGVKYLSCEVSLPSYPFPIFKFLAPNSLRELVLSGCNLMDHSLSITKICHSLRKLSLSEVGLDDSMLRDLLNCCPLIDDFIIQHCRSLTKIELRNLQNIKSVSISCCRNQSVKIQTPTLQHLSYFGCFREDSPVFDIVECRNLKSLELTDMRVSEGFLQRLISTSQFLESLALDNVSTRLERFKISGSPSLRFVAIENCKGLQEIDAPNLVSLEYDGDQIPELKIAKMSRQLKKSEIYLDNLKKLNAAWFGELRKFLSKSSSWSLVSLSFEECGEINMKDLVLNSIVATPKVHDLVVCIESSNKYPRLLVDALLWSCHPTRLVLKSTIQMICCFMDRLMDMRNSRRSTCHESKRRKSSQLKDVKVCKFETEHPCVELESGELAMRDLTETETVSFELYW >Solyc10g075030.2.1 pep chromosome:SL3.0:10:58785045:58789819:1 gene:Solyc10g075030.2 transcript:Solyc10g075030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKICMNGLCGTTSSIEWKKGWPLRSGEFATLCDKCGNAYEQLLFCDLFHSEDTGWRECISCGKVSSRIRLHCGCIASSSLLELLDSGGINCISCVRSCQQHATPNHEKPKAFGTSISNSVGETASTSLGSQINGSEPNKREGSDSIDPALLLLHQNDNTNSPIGQIKMEETFHPAGESGSTFSSNLFQASAEFSKNAKLDSYNGYKGVVEIHGSTVQTNLSIALSAPSPNSKLFPTTLDEGDLNKTISSLQQGSRSRNLLPKPPKSASALGPEMNAGIISQIRVARPPVEGRIKNQLLPRYWPRITDQELQQISGEYPS >Solyc08g080570.3.1 pep chromosome:SL3.0:8:63950139:63953756:-1 gene:Solyc08g080570.3 transcript:Solyc08g080570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQCQENILVTGGAGFIGTHTVVQLLNEGFKVTIIDNFHNSVKEAVDRVRELVGPQLSQNLEFHLGDIRNRDDLEKLFSKKEFAAVVHFAGLKAVGESVVQPFLYFENNLIGSITLYSVMAKYNCKKNLKANSKHGSSFKNSFSLKNMGVARGRKEVQLFMLVFSSSATVYGQPEKVPCVEDFELKAMNPYGRTKLFLEDIARDIQKADQEWNIILLRYFNPVGAHESGKLGEDPKGIPNNLMPYIQQVAVGRLPELNVYGNDYPTPDGTAIRDYIHVMDLADGHVVALQRLLRQNHIGCVAYNLGTGKGKSVLEMVGAFEKASGKKIPLKMCPRRPGDATAVYASTEKAEKELGWKAKYGINEMCRDQWKWASQNPWGYQPKP >Solyc09g042650.2.1 pep chromosome:SL3.0:9:24920126:24921070:1 gene:Solyc09g042650.2 transcript:Solyc09g042650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding WNIEEGGEGGSLGHTASRKGDREWSSGHGASRKGGGPRGMTPQGRGEGVVLGAQRLEEGGRWSSGHGTLSKGSGWRTWGGGVCGHRVWSSGRDFSRTGGVCCLVVEARCLEGGSSGHNTSRTGVVVLEARRLEDGGLRGGIYVFLGARALGTRMVLKARRLEDGRGPPGRWGGREDGGGGIL >Solyc10g083750.2.1 pep chromosome:SL3.0:10:63629951:63633665:-1 gene:Solyc10g083750.2 transcript:Solyc10g083750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNATSFHRSYLEMEKKFKVYVYKEGDPPLYHFGPCKHTYAIEGYFIQAMEVSKFRTENPNKAHVYFLPLSITMLIEFIYVAESHEWGLMKNTTMDYINVISQKYPYWNTSLGADHFMLACHDWGPEISFAIPHLYKNSIRALCNANTSEKFNPTKDVSIPEIHLPLGTTKGLLGGPPPSDRRVLVFFAGGVHGPIRPILLQHWENSKDEDVQIHKYLPKGVSYYDMIRKSKYCICPSGYEVASPRMVEGLYMGCVPVLIKDNYVTPFSDVLDWDTFVVTIHVKDIPNLKKILMDIPQHKYLEMQKRGIQMRRHFEVNSPPKRYDVFHMILHSIWLRRLNFRVHDVEES >Solyc01g102810.3.1 pep chromosome:SL3.0:1:91388836:91395300:1 gene:Solyc01g102810.3 transcript:Solyc01g102810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKFSRGGGGNSGVIGNGFDPSNLHLKKELTQIKKAAKVLRDPGTSSSWRSPLNSARSVATAEARKHHYFHHHKGSNPTKHQVSGSFDAKGTIFEQVDRNGATGNNGKEKKVFLYNWRSQKSESERSRKLGDEEDIGNGNDDGSSSTPEESVEDSLSDARHGGNDSKSDTYVSDRYASTILKCKDTNFMPSIRRNMKKKSSRSNYSSGVLKHHSEKLQLQQQIVPSRISGRASEGLGTGRDDSTSLVDQSDDTEDYCNSEDIRRISAASPLLAKLKNRNRAYWSSKLRNSGREDSSYTYSTPALSTSSFNRYAIRNPSTVGSWDATTASLNDGDDEVDDQLDLPGRQGCGIPCWSRRSTPKYRGGGGSCYSPSFSDTLRRKGSSILCGSQTMYQRRRRGSSLGYTKRRHSSRNGAQGLIPLLTNGDGQGLSSMGTGHSDDELSTNFGELDLEALSRLDGKRWSTSCRSQDGLELVALKGEDGEEGSPENIRSLSQKYRPMFFEELIGQNIVVQSLVNAISRGRIAPVYLFQGPRGTGKTSTARIFTAALNCLASEETKPCGVCRECADFMSGKCKNLREVDGTNKKGIDKVKYLLKNLAASQQSSSGFKVFVVDECHLLPSKTWLAFLKFLEEPPLRVVFIFITTDLDNVPRAVLSRCQKYLFNKIRDGDIVLRLKKISSDEDLDVESEALDLIALNADGSLRDAETMLDQLSLLGKRITTSLVNDLIGVVSDEKLLELLELAMSSDTAETVKRARELLDSGVDPIVLMSQLATLIMDIIAGTHPILDAKQTDISGGKSLNETELDRLKHALKLLSEAEKQLRVSSERSTWFTATLLQLGSATSLDRTHSGSSHRLSSKTTEEDPSSTSREAISLRQRTDIHHAPCKSGSPSSFAKANRRNSASRELTLSSMNGEPLGGPHNDTKDSKTASRCPNTNVLDDIWIRCIDKCHSNTLKQLLHTCGTLLSISEVEGGFVAHIAFRDSKVKLRAERFLSSITNSFETILRSNVEVRLVLLPDAETSDDSGKPITLINSGGLKQMGSQNNMVKREIAVSSNQDPLQVSRSSFNDPESKMVETFESASGNAGTSSSKERISEIPVQRIESIIREQRLETAWLQAMEKGTPGSMSRLKPERNQVLPQDGLYHNNQLEPINSRELFSQHWHDDLNEEIRSLKMIDGKAVQKDQTSKKGDSYPISPSLLHNGIYGSNFSKESMGYESGSGAGGCFCWNNSRPQRRGKVKQGTPVRPPKGGRFLWFGECAKPRRTESRLRR >Solyc02g062850.2.1 pep chromosome:SL3.0:2:35330086:35330690:-1 gene:Solyc02g062850.2 transcript:Solyc02g062850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLFPLYRSDEWSNDFCEKGIQNCDDDIVMIPDSVKSQGEGIWKELLLKPTKSLRWILIAGVGIHFFEHATGIEAVILYSHKIFAKAGVHDHKHQILATCGVGLTKFSFIVLWRLEDIDATSIIIMPSLEEA >Solyc01g111720.3.1 pep chromosome:SL3.0:1:97808445:97815873:-1 gene:Solyc01g111720.3 transcript:Solyc01g111720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RICSKLNSEHFGFSSITRFFFWSNISPPSHGNFLELGLESTTMAFWCRVKNSKIKTLSIHLKRCYFQCPHGSNSLIASASHPSVRVFGNSSLTIPKSPFEFSQSVRRFAAPVQAKTRKEEKDTSGPRLNREITADIVRLVLDEGHRVVSIREALELARSLNLDLVEVARNSKPPVCRIMDYHKEKYQQQVKESAKKSKSELTLKKGDCKEVRFVGKIEKKDLQNKADTVKRMMERGYRVKCTAMSMGNEGEDLGAVLSRFSPLIEDVAYIESGPRVEKKQAYIVVRHVKFGPSKKGSGKKPSKEDRSAISAEDSNESASVAPEIFSQSKQNCDTSESGIESDNDSCMEAMTNEDVDNARSGWRMSSANGNCDKVFDLAESAKEGTKTLGSANVSSNLQSSFRRESGNTLQGPSGEENRYKRDPSLRSTKMADTVSDLGRQLPLDRNTLSHSRDLGSQFPRKFPEQHPSQSSVRSCPSSGFGIFSSPQADRTPGKENNVATQNRYKKSELFNSGRNSSGSDPRGLPMANAQARRPDLSRRDGQEKYPIFGDSANLKPSHNSETQR >Solyc11g040305.1.1 pep chromosome:SL3.0:11:38155093:38155875:-1 gene:Solyc11g040305.1 transcript:Solyc11g040305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEYTDGLDNPKGQLGLGGIDEMGGENEVKLRELEVGGVENSKLQVGFGEIKEMKSEGKAHVGVVQNSIMKVDFREIDDIGSETGTEFLPDFGGVEFGGVKFGDVEEMSESCVEEKEENETVEGEKTDGIVGVEVSKAGEQKSSVVWWKAPLDILKCCAFRIRPVWE >Solyc02g082820.3.1 pep chromosome:SL3.0:2:47024618:47029901:1 gene:Solyc02g082820.3 transcript:Solyc02g082820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin B2 [Source:UniProtKB/TrEMBL;Acc:Q9XGI1] MAITDENKHPLIKESSVRVKDRAEMATRKVVGVETRSNRRVLGAINQNLVGGQGYPCVVNKRGLSDGNGFCDKNLPVHGHRPITRKYAAQIASSQKHSSEENKKPKIAAESFSVWEDDMEAANDKPVPMSLEQTEKVSKGKDQMTYIQEVEMEDIFEEAVIDIDGDDAKNHLAAVEYVGDLFANYRTMEVNSCASPYYMAQQADINERMRSILIDWLIEVHHKFELREETLFLTVNLIDRFLEKQGIVRKKLQLVGLVAMLLACKYEEVCAPLVEDLVLISDKAYTRKEVLEMESMMLNTLQFNMSVPTAYVFMRRYLKAAQCDRKLELLSFMLVELCLVEYEMLKFPPSFIAAAAIYTAQTTLYGVQQWSKTCEVHTTYSEDQLLECSRSIVGYHQKAATGKLTGVHRKYSISKFGYAAKCEPAHFLVQQTQE >Solyc05g055010.3.1 pep chromosome:SL3.0:5:65634036:65638039:-1 gene:Solyc05g055010.3 transcript:Solyc05g055010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSSQYNYLDGTYFPTPLHLQQQPSQPYSAPPVVPPVYSAPAAVPDVYSLPQYLQTQQLFERVAQTITPEAIENVKAALASSELEQKAGVKKKAVPRKAAGQTWEDPTLAEWPENDFRLFCGNLGNEVNDDVLSKAFSRFPSFNMARVVREKRTGKTKGYGFVSFSNPSDLVVALKEMNA >Solyc09g005770.1.1 pep chromosome:SL3.0:9:541522:544146:1 gene:Solyc09g005770.1 transcript:Solyc09g005770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMKMKCTCSHRRHAHVNLAHTKAKKSILKRIKANLKFSNGDEEEEAIKKFNLKSPSNHNTHHIEIHQVPTKIHLQDEIMMDILRRLPVQSLVRFKCVSKLWKSLMNDPYFKRTHYIHNRDNQKVLFAERLLDKDETYNFYTSSLSMVEDKQKLDGPTSCNPVDAILFCSCDGLVLIRVCSRKFCEELLLWNPSTRESILLPRPEFPLLNYVFGMEYDATSEGYKIVAVNLNGSKSINISVECLSRTSPCWRRIDYPTDIERVSGFRDCGTDNLAFLHGAFHWLGKSPSGYHTTVSLTISNEVYGEVPLLKQMYYLCPLYFFVDHGVSVLRGMLCFYSAYNLIESRGTFKLWIMKEYGVRESWTNFIKIKGGALGGNEVGAVGGGEGRGGGHIVEIGMPGLVLQDGADGGKGTNFGNILPKLSM >Solyc05g051530.3.1 pep chromosome:SL3.0:5:62741654:62744114:-1 gene:Solyc05g051530.3 transcript:Solyc05g051530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLTVKEAIYYSAQLQLPDSMSISEKRERGEETIREMGLQDAMNTRIGGWSVKGLSGGQKRRVSICIEILKRPKLLFLDEPTSGLDSAASYHVMNKIVQLAKQDGRTIVASIHQPSSEVFQLFHNLCLLSSGRIVYFGNISNANEYFALNGFPCPTMRNPSDHYLRTINKDFDIDIEKGVGGKATATEAIDILVKSYKTSQGCQQVQRKVLEICQQSGGEEAEKGRQAGFITQCMVLTRRSFVNMYRDLGYYWLRFAIYIALCLCVGTIFHDIGHDYGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFTRERLNGHYGVAAYVVGNTFSSIPYLILISVIPGAIAYYLVGLQKGFDHFAYFSLVLFATMMLVESLMMIVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPNDLPKPFWKYPMYYIAFHKYANQGFYKNEFLGLNFPNEQIRGPAMISGEEILKNVWQVQMGYSKWVDVAIIFGMVILYRLMFLGIIKTVEKVKPMIRAFMAHSSKNPTHAEDTDS >Solyc01g017710.1.1.1 pep chromosome:SL3.0:1:24813700:24813855:1 gene:Solyc01g017710.1 transcript:Solyc01g017710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYTSSVQLEVSKTFPTTLSSQFSFLFENFRLKWYEFVHLPYLLRNFELLH >Solyc03g025250.3.1 pep chromosome:SL3.0:3:2723045:2725340:1 gene:Solyc03g025250.3 transcript:Solyc03g025250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVEQSAERLRRWGDLKEWWIVFWIETVKLWEIGGPIAFNVLCQYGTYSITVAFCGHLGAVELSAISVAQNVIGTFSFGFMLGMGSALETLCGQAFGAGQIHMLGIYTQRSMVILLFSTFLLLPMYIFATPLLKFLGQEHEMAVLAGKFVLLSIPELYSLAVTIPTSKFLQAQSKVRVVAWIGFVDLLLHALLLWLFIYVFKLGTDGAALAFNITGWADAIAQFIYVVGWCKDAWTGWSLSALNEIWAFVRLSVASAVMLCLEMWYMMSIIILTGHLKDAVIAVGSLSIW >Solyc03g114465.1.1 pep chromosome:SL3.0:3:65939051:65944569:-1 gene:Solyc03g114465.1 transcript:Solyc03g114465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKIPVVPEEKRIFVNASTGLVFGIYDSKAGMVLVVLVQSGVKQFWCIAADVFVVISDDLQLKKESEVIPASSMNSTLQANSMDLKNDEFSSLAMKCHHHAVLCSKWSFYWELQLPSVIEHQSLYCRFSVRILVVQNYKADTPGRLGYSSYLLIPNNASKIDKLYLVAVSAGIVQAWKGNSTSFSYQMVAFIHSGKNQIAQPHNTQNSLLSSQQAWKLNRAEPLLDHAPGVPGWQPVYPVTSLKPCRPVIAYFNKKFSFQSNSQADQETYDINIQMLVEDLNINLQHSSFGFPTSLT >Solyc03g081310.3.1 pep chromosome:SL3.0:3:53612064:53616530:-1 gene:Solyc03g081310.3 transcript:Solyc03g081310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSISTQKSAGSNWLDRLRSSKGFSFADNRNLEQFLTHQTPNGSDSLPSSTETEIRDSNNKDNTGSESSSDPIRPVNESVLPRDQAPAASHNSGDNEELCSVVTNVLSDLFCMGESTSFPKLSVKRGSRKQTNPRFCASSEINGDAVVEGGQRKEETESLDKCRVEIKDSQVKLLEEGHNLNLAEEEDKSNANLMGFSRTEVMVIDTSCAPWKFEKLLFRKKNVWKVRDKKSKTLNLGKKKRKVDVTSEDARGEKKRKFISGHNGYAEKGRECKSSVSEKLQLDDKLEGTCKRTSDSFGQASKKKQRYLKLKKASSSVVLIKSIPTSKKNGVGFAKNSLKPSHR >Solyc05g055380.2.1 pep chromosome:SL3.0:5:65893872:65897152:-1 gene:Solyc05g055380.2 transcript:Solyc05g055380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNKQRRSKSHHHSHRGQSSRTRQPDDSFKVEESLPGEFLEEEEPAGPKIQLAMWDFGQCDAKRCTGRKLARFGLLRELRVGSGFGGICLSPTGTQCISREDSSLIDRKGMSVVDCSWARLDDVPFTKLRCPAPRLLPWLVAANPVNYGRPCQLSCVEALAAGLIICGEEETGNLLLNSLCQTSSEGENSSNDSDDGLPPLEKNMNHVSLADNSIVESVLPISSIQW >Solyc09g083360.3.1 pep chromosome:SL3.0:9:69446701:69450206:1 gene:Solyc09g083360.3 transcript:Solyc09g083360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFQVSWFCDDENETNNNFVVNQSAFVSFGSKSNEGFGVSSYGNVSMNHRNMNKRMIEFLKKNWSPKNGQVKIEKEKVHKHMIKERIRREKQKQSYLNLYKLLPMGTKNEKNAIVQTATRRIEELQKYKENLEKRNDEIQLILAQSDKKEEEFEKAKIKAKVGNPICGVDSMLEVLKCLRNCETKANSIQSSFSHQEFSTLIEIETKSGAAEIEKAVQNTLFEVERNLRAH >Solyc10g050327.1.1 pep chromosome:SL3.0:10:49064436:49083773:1 gene:Solyc10g050327.1 transcript:Solyc10g050327.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSCSSKYPHQDQHPLNPVESPCLCQNKVKYWMYLLDISEATSQLPNALSHEILRFVFLPWILKDALMNLRVCINQNGFVNGSIGRPNENTQDLQSWIEKSDIILSCLTNAIAKELQGSAAHVEAAREIWVDLEERCTQGIAPRYYELESAISLLKQDRASISSYLCLPISKHRKSLCYDNTRRKQKSVATNCISTIEATALLTKGVNQDNEKLRMGVIGYPPDWRKPGKKNNKKTNNDPMQFRGHQKNQKEQKALKGMALVATIEEAISPVPVLIHGMTKEEWLVDTSATSNITYVISCISNKIVHTALLTVEVPNGYMDLPTRMLIGVGRETNGLYYLEPIKGGQELMLKNSVSARSQEEKGMSRIDLTQLIIFDGLSAQETNWIRQCDTSENCTIIKNSEVVPTSTSSPLVTLPKPYASSSHDSSDINQSTASRSIDSTFLALSKCSRQVSRKWAINYKWVYIVKYKHEGSIERYKARSVAKRDVNNVFQHRELHEEVYMKIQQGYAKQGKKDCVDYKSHCTSQITKRHCTKSTQFLHAPRKPNLEAAYRILHYLKRIPCQGILFHSDNSLSINAYYDVDWERCLMTRRSTTRYIVFLGKSPISWRSKKQTVASRSSAEDEYSAMTTTPSKIFWFLRLLLDIHLSSSLRLHYDLKKVSYEWTGKYWSCKGMPPKQNSKHSQGRNQGWEDDNDIFEEIESQWKHVRVVLVHHVTENVVCFQHALILRILLCFVLVNGMVIAQLLVVSNGNALCTSFVSYMGSRDLFVCKPSGSSSRSDISADITLSICSAVGFFCDSLSNNNGAFLFAHSYKFQNDAYSVLKYEASIRSPMINPATSEAVGTPEMQWELCGGISCDYVIDNNIMAMLWDTLLFLKFALCIKEPMNN >Solyc06g062750.3.1 pep chromosome:SL3.0:6:39701708:39705055:1 gene:Solyc06g062750.3 transcript:Solyc06g062750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPNFLPPGLVSNLQDVLSSRKGAPQNNDSTEPNSDPVEVDDTKPVVLVTNADGIESPGLTYLVDALVRLGLYNVNICAPQSDESTAGHSFTLKESIAVTSAEIHGATAYEVSGTPLDCVSLALSGALFSWSKPMLVISGINKGSSCGRHMFYSGVVAGARESLFSGVPSISISLDWKKDESQESDFKDAVGVCLPLINAALRDIEKGVFPKCCLLHVEIPKSPLTNKGFKSTRQSHWSLKLCWQAISASRNPAAGRFVPNQQMLGLQLAQLGRDASAAGAARRLATQKNNIEEVESVGVSGKSDSNRKVKYFRLELLDKKQEEEDEDLDFRALENGFIAVTPVSLSMHVEADVHAAATEWISTALEVEQ >Solyc06g069190.3.1 pep chromosome:SL3.0:6:43074579:43079229:-1 gene:Solyc06g069190.3 transcript:Solyc06g069190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPQQSQPHNQDVNAGVLHVSLERSFFFRPTIVFGLLGISLIALSFWSSLTQETLFELRDVEQDHKSSNSSFILPLYPKRGGAWNSRTDVEFKLGRFVDFKPDNFMDQEKIAKSLSAATKLDSSANFPVRGNIHSEGLYYTYMLVGNPPKPYFLDIDTGSDLMWIQCDAPCTSCAKGAHPLYKPRNVNMIPPKNPYCVEVQENLRSKYCDNCHQCDYEIEYADRSSSVGVLAKDELQLVLANGTGTKPNVVFGCAYDQQGTLLNTLASTDGILGLSRAPISLPSQLASHGLINNVIGHCLRTDTNGGYLFLGNDFVPQWRMSWVPMLNNPFPNLYQAQLMKMNYGGKDLQLGSRGYGQDSVVFDSGSTYTYFTDQAYKALISMLEEISSEDLIKDASDTTLPICWRAKFPVRSIEEVRQFFKPLNLQFGSKWRVVSTKLWIPAEGYLTISVSSPLLFLGHWYFAISSPSSFASSSNQQWRTIILQEKSNVCLGILDGSNVHDGSAIILGDISLRGQLFVYDNVNQKIGWIRSNCERPENVPSLPFF >Solyc01g066330.1.1 pep chromosome:SL3.0:1:73926756:73927964:1 gene:Solyc01g066330.1 transcript:Solyc01g066330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPKSYMDNIDHQDLYSSSIPWANEYDIGEEVELSKIFDMTQEHHVDTTIISQLPNVVSEEKQPMTTTNTSSNSSSDKNSSRITYPIILDDDDDYLLSYIYSLGLKNVSMLQEDGGTSTNVINQENPETTNYMIFQQPLPKIPILEKFEREASLKSMFIIDQPLRTSMDSWKEEENHKTKDMSHILTLEKLESHFIKSKLIVGQENGILCSLDNEFPQSISISSGGPIRRSPNRTSTKYQLFGKEMIHPNNNQGSCRIGQNSSESMFNIQQNFNEYMQGYGYPNEAITSRILNSRKENENGTPGQLQSNSWNTQDTTNWPWGPFH >Solyc04g045430.3.1 pep chromosome:SL3.0:4:33193134:33203526:-1 gene:Solyc04g045430.3 transcript:Solyc04g045430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLERLTLIPSTDSDGRLNGADDSNKVPRLPRWTRQEILVLIQGKKVTENRIRRGRTGVVELGSPQVEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWESQIKEETDSFWVMRNDLRRDKKLPGFFDREVYDILDRGSGDADAHEEGGLVLALGSQVLFDSGKSAASGDDALFSDFEPDETPHKPINDPPIPTPVSEQQHQPLSQVSPTQGTSQPTTREPDIGSGHEGRKRKKSESDADDEEARSVQHHLVRALERNGKLVSSQLEAQNMQFEQDREQRKDHVDNLVAVLTKLADALGRIADKF >Solyc01g106350.3.1 pep chromosome:SL3.0:1:94173777:94181611:1 gene:Solyc01g106350.3 transcript:Solyc01g106350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRVMLPASEVDLTAVKYIPENIQGFAPHLTGFWLKLFVKFVEAPGVGSLIMNHLKNENKIEEKLKYTVIPEGPMFKPEFPPQEPEPAVVSLEEDVRPEDRVGLALKYLPEYDPASNWSGDSSAPFRYWKIRDYAYAYRSKFTTPSMERHFYERFISAIEEFNSKNPAAPLLISFDPDELRKQAAASTQRFEQGNPLSILDGTFMAIKDDIDCYPHPSKGATTWMHEVREVTKDAVSVSRLRSCGTILVGKANMHELGLGTTGNNANYGTTRNPHDPERYTGGSSSGPAAIVASGLCSAALGTDGGGSVRIPSSLCGVVGLKSTYGRTDMTGSLCDDGTVEIIGPIATTVEDTILVYAAILGPSPADRISLRPSLPCVPNFPSRESSRSLESLRLGKYTEWFNDVFSTDISDKCENVLSQLSEKHGCKTVEIVIPELHEMRIAHIVSIGSESLCSLNPDCGDGRGARLTYDTRTNLAFFRSFTAADYVAAQRLRRRLMYFHMEIFKKVDIIVTPTTGNIPITISDTSFFFSMTAPRIPPSALKVGETDLQVSGNLMRFIITANLLGLPAVTVPVGYDKQGLPIGMQLIGRPWCEASILRLAAAIEETCAEPKKKPLQYYDILKGN >Solyc02g014720.3.1 pep chromosome:SL3.0:2:18451250:18459255:1 gene:Solyc02g014720.3 transcript:Solyc02g014720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVLWKMEIIRKAEELVEKEMSGNDASHDAAHAFRVRDLALSLAHEETLSTSPDSILIVELAALLHDIGDYKYISHLRQRSLRNFFRVKA >Solyc07g045290.3.1 pep chromosome:SL3.0:7:58519507:58531496:1 gene:Solyc07g045290.3 transcript:Solyc07g045290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSEGSNPYMSILKRLASYDYISKNYGSSGIAGAFFIAIIIPTFLSILLMGKKKAKQRGVPVQVGGEPGLAMRNAKSARLVEVPWEGATTVAALFEQSCKKHSSYRCLGSRKLVSRDFVTASDGRKFEKLHLGEYQWESYGQVFDRTCNFASGLISLGHDVDTRAAIFAESRAEWLIAFQGCFRQNITVVTIYASLGDDALIYSLNETQVSTLICDAKQLKKVASVSSSLKTIKNVIYFEDDETATDSTNIDSWRVSSFSEVEKLGRNSPIQARLPVKEDIAVIMYTSGSTGLPKGVMITHGNIVATAAAVMTVIPKLGTSDVYLAYLPLAHVFELAAETVMLTAGACIGYGSALTLTDTSNKVMKGTKGDATVLKPTLMAAVPAILDRVRDGVMKKVEEKAGSAKKLFHIAFNRRLAAMEGSWFGAWGLEKQLWDIIIFKKVRAVLGGDIRFMLCGGAPLSGDTQRFINICMGAPIGQGYGLTETFAGAAFSEWDDPSVGRVGPPLPCCYIKLITWEEGGYRIVDKPMPRGEVVVGGCSITAGYFNNEEKTNEVYKVDETGMRWFYTGDIGRFHPDGCIEIIDRKKDIVKLQHGEYISLGKVEAALLSSNYVESIMVYADPFHNYCVALVVPSRPVLEKWAQENGIQHKDFSELCDKVESVNEIKQSLSKVAKAARLDKFELPAKIKLIPESWTPESGLVTAALKLKREPLKARYKNELEKLYQ >Solyc08g076460.3.1 pep chromosome:SL3.0:8:60586407:60590333:1 gene:Solyc08g076460.3 transcript:Solyc08g076460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DAD1 description:Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit DAD1 [Source:UniProtKB/Swiss-Prot;Acc:Q9SMC4] MAKSSATKDAQALFHSLRSAYAATPTNLKIIDLYVIFAISTALIQVVYMAIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Solyc06g064675.1.1 pep chromosome:SL3.0:6:40425469:40427162:-1 gene:Solyc06g064675.1 transcript:Solyc06g064675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTLNFRYGKQRKTRHIFNIEVQFFKIRKPPPQPCIHIIEVFSVAKVMECTYPDFVEGSYVWGVIG >Solyc02g086750.2.1 pep chromosome:SL3.0:2:50003735:50007893:1 gene:Solyc02g086750.2 transcript:Solyc02g086750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDDSNFKEDPQNLPFNLNRPITLLSLSLSQLTEEARRFLQKFASSGRFWFVPFLCLCLFILFICYSFCMFVVCVSDYVFFWGGGIFLSWVCFVTFYLGMSSEEKTEKSENLDGLSAEALDSETLMADSVTGLSDEVPRVSDDNGNVDTGAISEPVVATEETLEVGSDAGGDVVEVHGSLDNVSGGELASEGAEADQGSGHLVEEMNGEENVAGGSDDEMIDAVDGETAEDNSGDDTTSVKHVYAVGDFVWGKIKSHPWWPGRVYDASTASDFAMKYNQTGRLLVAYFGDGSFSWCPPSQLVPFVDNFEKMSKQSTSKSFLYAVEKTLDEIGVLVEFQMTCQCVSEESLTGLSWPLAVNAGIKKGVQVPVSETVSLLLSQFEPAERLKGLKRNALTNSHSNILEFAVLNSWLSAFYRAKYGHPLASYCEPLLVEGLEDKKEDQVIDANDFSIPIEVPIQGPSEEIPNSGSSKFPMTACDKIYQKRKQKSVAELMGENAKPKGKKTTEDDSTPSSVETSEKKRKKSGEKAKGQTGSSMSVDEKIGKRVNKKSGDSDLVKTKKLSVSIPESDEVGNQQDNAGPLSRERKKSKYLSPPYTSPKWNAGKSSFKRELAIESQKFSDNSKIGERMTKAARLLLSSPDSNGKEAFKDDVDKSSGINKRSSRTFDTVAINSSVDEVLSEVQSTALNPLLLRNGSLEKARGFISTFRNSLYYDGSNYKQYHQMETGKKRKSAGSGNLISQSDTESPDSIPSKKRKTNYAKSEVTKLKKDYGPSSQGKEDEDDGREASSVILLVAFLTGFSLPPEDEIIRIYNKFGELNEEETEVLRDSNSVRIVYRHGADAAQAFKESVRQSPFGAANVNFTLSYSSKSESPLSSLKARKGKSQVQLIKQKLKGMASILDKCKGKITSAEKSELENEIKGLVEKVSVLLLLIVLVYCPAYDASSVQLICSSYYKVAITLISFLKMRLCFAFMNILVNGYSRFSESIICTFRVNIILI >Solyc02g088000.3.1 pep chromosome:SL3.0:2:50859485:50874333:1 gene:Solyc02g088000.3 transcript:Solyc02g088000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLSTCWLLIELYVIPHGYLKWYFLSFYIHPFLLPLCQLYRWIKVLQKFLLTFILFVYKSSCFLISYLTKLCKFCLLLFKKCTFILRNRKNHHNPIEEAYEYYDAIPNTENQNEIILYTSLNMRRSSSESYETIHHFNMKNKSYDDIIYVYDFQTRVSQMFDNNHKISSFVEPNQGEFMELDEEHEKEYPSEVVTDCCSVISSVDDKTNLFDNYNTVPLSPGSVLSETEMELEFSLSSSVSGFSPGIDGRGFDEYFPSPNSSNLSSPLDYGTMNQNFPSLDSYTNDMEMDQQLVHEYTNCAEEEVDLLYKKYAERMSWFDVLNHERLCALNAVLRKHLSSPNSFEYEMEPTVGLPVQYSSLNKMDRKRLVRNLESDLELVYVAQSCLSWEALHHQYKKVKALCGSTSKNGVFYGNVAARFQKFQVLLERFVEDDSCGGKRHLNYVHTRFSQKNLLQVPEISGYVESNERVDGEIMKPIEVLKAIEKCIYAFWFYVRRDCKKKKNFLWSQSRVEDPRDILFLPDLTRKLQMKELWMKDVKGKRKCWLRRAKKGEQEELNKIELVLTLIEMKLTLSFPFSVNLILTGIPSSGTHFASNDFNIDLHCRYLLVTLVLEILGSCYPCELHLSVMENSIVLHTGNQFHPSLPFFSFRPKKLSRIHGSSREQMWRIKRVKATGENSGEAASADESDDALQVTIEKSKKVLAMQQDLLQQIAERRKVVSSIKSSLADATGTYDGGSGSLSDVDIPDVDKDYNVTVPSTAATPITDVDKNTPPAISQDFVESKREVKRDLADERAPPLSRSSITASSQTSSTVSSKRILNVPPETPKFSQETLLNVNSRKSLVDVPGKKIQSYMPSLCKESSAQSLVEKRNENLEGSSAEANEETEDLVNIDEKPPPLAGTNVMNIILVASECAPWSKTVQAYLLLVKSFLGGLGDVAGALPKALARRGHRVMVVAPRYDNYPEPQDSGVRKIYKVHGQDVEVTYFQAFIDGVDFVFIDSHMFRHIGNNIYGGNRVDILKRMVLFCKAAIEVPWHVPCGGVCYGDGNLVFIANDWHTALLPVYLKAYYRDNGIMNYTRSVLVIHNIAHQGRGPLEDFSYVDLPPHYMDPFKLYDPVGGEHFNIFAAGLKTADRVVTVSHGYSWELKTSEGGWGLHQIINENDWKLRGIVNGIDTKEWNPELDVHLQSDGYVNYSLDTLQTGKPQCKAALQKELGLPVRDDVPLIGFIGRLDPQKGVDLIAEAVPWMMGQDVQLVMLGTGRPDLEQMLRQFECQHNDKIRGWVGFSVKTSHRITAGADILLMPSRFEPCGLNQLYAMTYGTIPVVHAVGGLRDTVQPFDPFNESGLGWTFSRAEANQLIHALGNCLLTYREYKKSWEGIQTRCMTQDLSWDNAAQNYEEVLIAAKYQW >Solyc04g051153.1.1 pep chromosome:SL3.0:4:49686243:49702651:1 gene:Solyc04g051153.1 transcript:Solyc04g051153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIGVNMDLQPLSGIEYIILVEIVFQQVLVLIFCLFMASHGHSLRRRCRNRREKNRSIKVDYIRSGWSVSHAFNECLSAILKLTPLLLVNPKPVLEDEIEDRWKWFEGCLGALDGTYIPIRVPIQHKPRYRTRKGEIATNVLGVCDRNLNFTYVLPGWEGSAADGRKIFEFRLDIREDL >Solyc09g092410.3.1 pep chromosome:SL3.0:9:71988424:71992488:1 gene:Solyc09g092410.3 transcript:Solyc09g092410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFRGEDTRKNFTSHLYFRLCQVGVNTYIDDEELRKGDVISNELDKAIEQSRISIVVFSKNYASSSWCLDELVKILECRAKLNQVVLPIFYDVDPSQVRKQSGSFGEAFAKQKQRLFGAEIMEKWKAALTEAANLSGWDLRNIADGHESKFIESIIKQVLQEVNQTPLDVAHYPIGLDSSIQHLELLLQSGCEHEVRMVGICGVGGIGKTTLAKAIYNRIFQQFDGSCFLSDVRSKTEEFGLVKLQEKLLNQILKSKEFEVDSVAEGVNLIKARLGSQKVLIVLDDVDHRSQLESLAREKSWFGSGSAIIITTRDEHLLYGLGTSEIYQAKLLTDNEAQQLFSRHAFNSLSPPQEYDELAQDVIQYSGGLPLALVTLGSHFQGRSIEEWRHEFKKLRAIPHCDIQKILKISFDGLDDNTQSVFLDITCAFHGCYEDEVTKTLNACGFYTESAISTLVQRNLLQRDCRYLVMHDLVRDMGREIVRLESPRDSGKRSRLFNPQEVRDVLQGNKVGKFGSENVEVLVVERRALKGVKLSIKAFQKMINLRVLKIDDLYISGDFELLSKELRWLSWKGCPLKYIPSNFPAEKLVVLNMEGSDVQDFGLNLQCCRSLKELNLSDCKRLRSTPNFSGSRSLKILSFENCSSLKEIHPSIGNLESLIELQLSGCKKITDLPSSICQLKSLEYLCINDCLSLQTLPVDIGDMQNLVILHAWCTGIKQLPVSVEMLRNLEHLQMGSRNLEAKRSFSRRRRRVRRIESLPIFIFHLSLPYFGFSEHDIPRDIGRLSNLRYLDLRGNNFLYLPFDFSKLPLLISLFLNDCKHLQTLPSLSNLDYLENLYLSNCQKLVKITGLDYLPSIKKINMIDCTSLQNQFNEGFFSAIALSIPSIKYADIKLQIYVESNEIPDWCNNKVTASSICLTMPTVQNNEYNFFGMVLWFVSHFCNVTTRLQKFDVTIDEPSGFFWNWSFYVPESQGEVSCVYYFSFSNGRPFNDLDIIKGGEQLRVEDGSDGGIIKKIGVHLLYLDQHGNVTSFPAVVDDSYTPKSQPTKIDL >Solyc07g018220.3.1 pep chromosome:SL3.0:7:9771586:9776641:-1 gene:Solyc07g018220.3 transcript:Solyc07g018220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:K4CCN4] MSHGDTIPLHSSSQSDIDEIENLIYSNPSTVLPARPPSPPRAAIPVSSSPFMPSNLRPPPPPTSTTTNNYKPTPVPAIPSPPPLPSSGQSNIAATGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGAFICMLKDNVILKVVVVCVALAWSSWAAYPFMSTAVNPRRKALALYPVVLMYVSVGFLIIAID >Solyc11g020230.1.1.1 pep chromosome:SL3.0:11:10562948:10565284:-1 gene:Solyc11g020230.1 transcript:Solyc11g020230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILAQKTHFFFSLIFIFSLSFQPISSLSTIAISKTSNQTLVCALISSSLYPQQSSLNCTSFPQGIQIPLNPSVSFTGIVGGNGFLCGLTSSSNSIMVCWRFSDNGTDLNYKRVYIGPLLTNLDSGNSHVCGIVNGTNRLQCWQWREFSSSNNSLITSNLAVGEDFVCGLLPLRQIQCLGSYRNVTDAVPVGNYSEIAAGSQYACAISMNGSLDCWGNMVGEKPVDQFKSLALGDDRGCGLKVDGKVVCWGENGFSLPSNLSDISFETLEAKQDIFCGIETSNYSLFCWGNEIFNSNPVVYDGVQVVPGPCTTSCPCAPLGNYARFCGQELMICEHCVWPGFGQNPPIVNGSGPSPPPLSPQPTPAPSQWSTRNVVFLVVGCVGSLMMLSVLVILFLKYCKSRGCRVHDSGRLDEAGTPPQQGSQTSQVQDQLGPQPSILEKRPSKFLSMGNGGHLEEFSLQLLLQVTNNFSEEHKIGTGSFGSVYHATLDDGREVAIKRAEASASSSYAGGSKYRQEDKDSAFLNELEFLSRLNHKNLVTLYGYCEDNNERVLVFEYMNNGTLHDHLHKLETSSLISWTARIKVALDAARGIEYLHEYAVPAVIHRDIKSSNILLDTNGNAKVSDFGLSLMGPQEDESHLSLRAAGTVGYMDPEYYRLQQLTTKSDVYSFGVMMLELLSGYKAIHKNENGVPRNVVDFVVPYIVQDEIHRVLDGRVPPPTPFEIEAVSYVGYLAADCTTLEGRDRPTMTEIVNNLERALQACLTTPNFSRSNTDSST >Solyc12g006540.2.1 pep chromosome:SL3.0:12:1050650:1056045:1 gene:Solyc12g006540.2 transcript:Solyc12g006540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal peptidase I [Source:UniProtKB/TrEMBL;Acc:K4DBJ1] MGWIGEQIDSIKSIQYRQLVTQAISLGMIVTSALIIWKALMCVTGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERKDTGEVNVLTKGDNNFGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >Solyc04g017920.1.1.1 pep chromosome:SL3.0:4:8693618:8693896:1 gene:Solyc04g017920.1 transcript:Solyc04g017920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFVQCLRVSKLAGLGCQDPYQPNRVAMQFGYDQDFPKWIPHSHSSPELAWYNYSIPITSDLRLYYPSRLFEPNVTTRYLKWWRNETDRQM >Solyc02g066920.3.1 pep chromosome:SL3.0:2:37747643:37750264:1 gene:Solyc02g066920.3 transcript:Solyc02g066920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQLWCNKTYNCIGTETWRIQHPLQILHTSNWQQPIFQPFNSSISHSLTCRHIHRNGIKTFATRRRRSNIETDTYVLMEPGKSEEFVTEEELRDKLKSWLENWPAKTLPPDLARFEDSDDAVEYLVKSVCELEIDGDVGSLQWYQVRLQQDII >Solyc03g113230.2.1 pep chromosome:SL3.0:3:64924407:64925881:-1 gene:Solyc03g113230.2 transcript:Solyc03g113230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYITAPREPSRWRCYDKRCDKWIHFKHANGLTVRGNGTINGRGYNWWNVNVSQFSKFLFLILPCFCFTILTFFITSISRTAFEISHSENISLTGLRFIDSPRMHVHFEKSKSARVTNITIDAPGESPNTDGIHVSGSSDVIIDHCQIGTGNFGHTYYIFIHRDDCISIVDGCSHLNISNIICGPGHGIRLVFIYLLLNLIFGSNDNVEDIFVSDVLFISSTNGARIKTWQVKYFSFLFDQGGKGHASNIIFERIRTQDSYNPIIIDQFYCDGEYCTEHVSNFYLKCSGLLQDSAVKVSNVTFRHVQGTSQGEFAVKLDCSASVPCTGIVLEDIDIRSGYENQARVYTSNVQGIVMGLTIPQTHF >Solyc08g060810.3.1 pep chromosome:SL3.0:8:45625720:45631166:-1 gene:Solyc08g060810.3 transcript:Solyc08g060810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVFNFSGDHGGTVYPSPKESSLFLSLRNHVDVYFPPCKRSRVAVPFVFSEKKHKLSSIDVLPDECLFEVLRRLSDGKDRSASACVSKRWLMLLSSIRGDETVISNPNPSLETEERSIQTALVKSVDCVKKGEVVDSNAAEVAEAESQDIEGEGHLSRCLDGKKATDVRLAAIAVGTPGHGGLGKLSIRGSNPIRGVTDTGLKVIARGCPSLRALSLWNVSSVSDEGLTEIAQGCHLLEKLDLCQCPAITDMSLMAIAKNCPNLTSLTIESCSKIGNETLQAVGRFCPKLKFVSLKNCPLIGDQGIASLFSSAGHVLTKVKLHALNISDIALAVIGHYGIAITDIALIGLQNINERGFWVMGNGQGLQKLRSLAITACHGVTDLGLEALGKGCPNLKLFCLRKCTILSDNGLVAFAKGSVALENLQLEECHRITQAGFVGVLLSCGEKLKVLSMVKCFGVKELACRFPSVLPCNSLQSLSIRNCPGVGNATLAIMGRLCPKLTHLELSGLLQVTDEGLFPLVQSCEAGLVKVNLSGCVNVTDRSVSFITELHGGSLESLNVDECRYVTDMTLLAISNNCWLLKELDVSKCGITDSGVASLASTVRLNLQILSLSGCSMLSDKSVPFLQKLGQTLMGLNIQHCNGVSSSCVDLLLEQLWRCDILS >Solyc07g016140.1.1.1 pep chromosome:SL3.0:7:6320054:6320815:-1 gene:Solyc07g016140.1 transcript:Solyc07g016140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRNPYCWTIEHQNNLPENDDQNLSKGKLFILCGIRKVYLNYYFTQDVNWRFYDRTYFIYENTRRLCFDIEEHETWSSKFSQMVESLYVPLMYQQEMVQDIKDKALLIVQENDTSKNISIIIDIAHRIPLTIANIYHGHDHEEANEDELGLIEEQVVMDLMTLEETRVFMPVVPTSKDAIEGLEKVKVETLNGVKSFGETCMICLGKLITKDIVELTRMPCKHVFHGDCIIQWLEINHVCPLCRFRMPIDKED >Solyc10g006650.3.1 pep chromosome:SL3.0:10:1150839:1155311:-1 gene:Solyc10g006650.3 transcript:Solyc10g006650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKIYIVYYSTYGHVEKLAEEIKEGAASVEGVEAKLWQVPETLSEEVLAKMSAPPKSDVPIITPQELAEADGFVFGFPTRFGMMSAQFKAFLDATGGLWRTQQLAGKPAGIFYSTGSQGGGQETTPLTAITQLVHHGMIFVPIGYTFGAGMFEMENVKGGSPYGAGTFAGDGSRQPSELELQQAHHQGKYIAAIAKKLKGAA >Solyc08g007355.1.1 pep chromosome:SL3.0:8:1918027:1918519:1 gene:Solyc08g007355.1 transcript:Solyc08g007355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSLASPSLSQVPLSPFSSIPSSHTLPLRKPTTPIGSNPQYSTQTAYDRGAVSPRLLQPRLQQTSHWNLQGTRRDMLERHLCGGVGRVSNAVLHRAGEERRGQPLDIKPNYKFVMSPAMQMHSFQNPVINNDGILRNSHLDFITIEFDSVRFRH >Solyc12g062870.2.1 pep chromosome:SL3.0:12:35623474:35631445:1 gene:Solyc12g062870.2 transcript:Solyc12g062870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGCIMPSAGGKPHTDAMLVDKLPEEINEMKIRDDKAEKEMEAAVVDGNGTEKGHIIVTTIGGKNGEPKQTISYMAERVVGQGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQTIRLLDHPNVVSLRHCFFSTTEKDELYLNLVLEYVPETVYRVLRHYSKANQRMPMIYVKLYTYQIFRALAYIHGIGVCHRDIKPQNLLVNPHTHQLKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTFAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEACTHSFFDELRDPKTRLPNGRPLPPLFNFRPQELKGASAELLNKLIPEHAKKQCTSLGF >Solyc07g008363.1.1 pep chromosome:SL3.0:7:3151862:3152508:1 gene:Solyc07g008363.1 transcript:Solyc07g008363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKSISVLVFMLLILFIGRNIDADQKCCKDHRDISPCIAGKDDNPELDGKCWRYCNDEPESCERGGACKSVGEKTLCHCTCS >Solyc03g032220.3.1 pep chromosome:SL3.0:3:4761505:4764329:-1 gene:Solyc03g032220.3 transcript:Solyc03g032220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSNTEGSKGLLQSRELFEYVLETSVYPRETELLKEIRVITANHPQSLMSTSPDAGQLIALLQKLINAKNTIEIGVFTGYSLLLTALTIPQDGKITAIDMNKDTYEMGLPIIKKAGVEHKINFIQSQALSTLDELLKDDANRGSFDFAFVDADKVNYQKYHERLLQLVKVGGIIVYDNTLWLGTVAMPEEFVKEDLKPTRLYTIEFNKFLANDDRVQISQVPLGDGITICWRL >Solyc07g054200.3.1 pep chromosome:SL3.0:7:62685798:62688857:1 gene:Solyc07g054200.3 transcript:Solyc07g054200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFINLPNHKTLTLEINPFVTSLQTLTLAIHQKFHIPITQQRLYSSCRRLLDVEALLSDLGISPNSTLTLHVPLFGGMQAPGAPKARLEFLNTRPPPNYVAGLGRGATGFTTRSDIGPARAAPDLPDRSAVGGNDVGLFASAEYDEDDKEADAIWESIDQRMDSRRKDRREARLKQEIEKYRASNPKITEQFADLKRKLYTLSSDEWDSIPEIGDYSLRNKKKRFESFVPVPDTLLEKARQEKEHVTALDPRSRMVGGMETPSSQTPVADLTAVGEGRGTVLSVRLDRILDSVTGQTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKMQVARQLIKKGCEECPKNEDVWLEACRLASPLEAKAVIAQGVKANPNSVKLWMQASKLEDDTANKSRVLRKGLEHIPDSVRLWKAVVELANEEDARLLLQRAVECCPLHVELWLALAKLETYENAKKVLNKAREKLPKEPAIWITAARLEEADGNTASVGKIIERAIRALQREGLEIDREAWMKEAEGCERAGSLGTCQAIINNTVGVGVEEEDRKRTWVADAEECKKRGSIETAKYIYAHALTVFRTKKSIWLKAAQLEKSHGTRESLDAVLRKAVTYIPKAEVLWLMGAKEKWLAGDVPAARAILEEAFAAIPDSEEIWLAAFKLEFENCETERARKLLAKARERGGLERVWMKSVIVERELGNVDEERRLLDEALRRFPSFFKLWLMLGQLEERLGNSNKAKDAFESGIKNCPNCIPLWLSLASLEEKMNGLSKARAVLTMARKRNPQNPELWLAAVRAEARHGYKREADVMMAKALQECPNSGILWAASIEMAPRPQRKTKSSDALKKCDHDPHVIAAVAKLFWQERKVDKARNWFNRAVTLAPDIGDFWALYFKFEQQHGAEEQRSDVLKRCVAAEPKHGEKWQATSKAVENSHEPTESILKKVVATLKKEENLAENNHN >Solyc05g024245.1.1 pep chromosome:SL3.0:5:30900447:30909843:1 gene:Solyc05g024245.1 transcript:Solyc05g024245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNKPTPPFAPATSRGSRHIKFMQEAITALEFRRKPMIATLHGACIGGEIDLTTACDIRYCSSDAFFSIKEVDLAMTADLGILQRLPSIVGFGNAMELALTGQRFTGSEAKDLGLVSKVFTSKEALEEGVKVVAGEIAIKSPLAVIGTKAVLLRSRDLTVEQGLDYVATWNSGALLSDDLKEAISAHSQKRKPKFAKL >Solyc03g083920.1.1.1 pep chromosome:SL3.0:3:55286191:55286661:-1 gene:Solyc03g083920.1 transcript:Solyc03g083920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSQRSESMNKCFKDYLNSCTSMSIFVMRYDNTFDVRYDKVRKKYYKTKYSRLSLNTLYPMEDKATKEYIRKIFQIFQEELIQSQKFISEKIDVKDRRNIYKVHQLQRQKSTHIISLDLALKRAICSCHKFEFMEVLCRHVLMVFTKKQIHSLST >Solyc01g096780.3.1 pep chromosome:SL3.0:1:87661930:87670185:1 gene:Solyc01g096780.3 transcript:Solyc01g096780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTVSISANPATASTRRRAVEKKQPTALDLADSNLATATAAAAAAAAAAADPISTPNVSYRSDSRDAIQVVKKTLPHTNVPTSSTRRPSGSASAVKKHTKTPKPRWLTVVSVVTKNLLLVIVLIGLVQMVRRLVGNSYQTSDSDGLAVISGDFDGKFAEMESFVKKTTKMMQVQIDVIDQKLDTGIRNVRDELSVKMDDKVEELQLKLKEVSGTSENLEKLMGEFREKNWVSRDEVENMLEEYRKMKGSSEVDDKSLDEFRVYARQMVEKEIEKHAADGLGRVDYALSSGGARVVKHSEPFITKSGSGDVFSWLTNRNAVSNNADKILTPSFGEPGQCFALKGDSGFVQIRLRTAIIPEAVTLEHVAKSVAYDRSSAPKNGRISGWLHNEKGTDLAADSEKMFLLTEFMYDLDKSNAQTFDVLESAGSNVVDTIRFDFTSNHGQPHTCIYRLRVHGREPSSLVMQS >Solyc08g006300.3.1 pep chromosome:SL3.0:8:964575:965542:1 gene:Solyc08g006300.3 transcript:Solyc08g006300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSIIPLLCSFLFLSASSASAFNVTKILSQYPDYTNFNDLISKTGLASEINAKSTITLLAIPNGAIGDLTSKPNDVVKKILTTHVVLDYYDTMKLQKLKDKTSKLTTMFQESGKASNDQGFLNVTAKDNTFVFGSAVKDAQRDSRLEKSVMNQPYNISILGISQPIVTPGIDSPLSPSSAPSPKANTPKSSPPKAESPAEEEAEAPTKDADSPSADSPAADAQAPSSSSADKLKISFGFFVVLASMVVIFKKDIKTNIMIFQNIERRICLLLK >Solyc04g024910.1.1 pep chromosome:SL3.0:4:27526035:27526321:1 gene:Solyc04g024910.1 transcript:Solyc04g024910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHSKLKVCVVNFVGKQAAIVLGMRYVTYSAILYVLIGKLLGVHNVDVEIMVGTFTKFL >Solyc02g065055.1.1 pep chromosome:SL3.0:2:36772860:36773932:-1 gene:Solyc02g065055.1 transcript:Solyc02g065055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTFEAVTHKTTRISNWGLVVNILGEIIRKLVYHEENHQLVTNGIYRFVRHLGYCDFIAITDRSNTSFTVPSL >Solyc08g060970.3.1 pep chromosome:SL3.0:8:46214662:46221617:-1 gene:Solyc08g060970.3 transcript:Solyc08g060970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGQALIFLICITTFLIERSVAGIFDVTCYGAFGDGVHDDTQAFKQVWRAACSDENPSILIPSEKSFLVGPITFQGPCKSANIHLQLLGSIVAPKDPVAWTGVAGLFINGSGTINGNGQRWWDTPSRTRVNGTCTKPTVVQFNYCNGLRLNGIKLVNSSRDHIILTYNKGVTISNIHISAPNYSRNTDGIDIFFSRQVQIRDSIIQTGDDCIGINTGCSDINITGIRCGPGHGISIGSLGPNNTFANVENVYITNCHLEDTQNGVRIKTWQGGSGYARSIHFENINLKNVENPIIIDQNYCNSVHSCQPQVSAVKVSNVTYKKIYGTTSSKLAIKMNCSNSTACTNVELENIYITSVEPGKKIFATCNNVKGKASSNSPHVSCLSQ >Solyc07g054893.1.1 pep chromosome:SL3.0:7:63192887:63194046:1 gene:Solyc07g054893.1 transcript:Solyc07g054893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFSTTKNSVFATIKRKRRILKEFTHQPNSLFSCLVVIIFCCTCYFCREDLFSSKEAFYIVDMEKSASKVKKHFVLVHTLGHGAWSWYKIVALIRCSGHNVTALDLGGSGINPKQALEIPKFSDYLSPLMEFMTSLPVDEKIVLVGHSVGGLAISKAMETFPEKISVAVFLSGVMPGPNISASIVYTEAINAIIRELDNRVTYHNGSENPPTTFNLGPKFLETNAYHLSPIEDLALATTLVRPFYLYSAEDVSKEIVLSSKKYGSVKRVFIFAAKNEVVKKEFFQTMIEKNPPNEIEVIEGSDHATMTSKPQQLYTTLLNIANKYT >Solyc07g054237.1.1 pep chromosome:SL3.0:7:62723644:62724544:-1 gene:Solyc07g054237.1 transcript:Solyc07g054237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRPPPYGMAVYDPKAPIYKFEALDVKEFEYNDSNINADLIITLRADNPNKAIGFIYEEANTFNVTYSGSTICSGKFPSFHHGQKNVTMLQIELKGKNPFAKSLYESLQVSESHGKVPLTILAKVPFRFVFKDSKLRELSILANVTMSVHDMKLGKKTEIDQGKIDYRFVK >Solyc08g029343.1.1 pep chromosome:SL3.0:8:36994132:36995157:-1 gene:Solyc08g029343.1 transcript:Solyc08g029343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNVHARIEHMYILLNNLDQLVCPTENVMTELSSFFGTLRRNEALCPFDTLDLRRMDTKKGFVKLFKFRELLKYWKFEKFKKMSVTNSKNREKLMNPHTTRKKSFTLIGRKIKGICIHYGPLCGYKNKKTWSFVQGIE >Solyc02g087430.3.1 pep chromosome:SL3.0:2:50517890:50519300:-1 gene:Solyc02g087430.3 transcript:Solyc02g087430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKERKSRRKVFRLWFSKRRSRADAASHLSEISDDDGQDDVYMNGLSVMSLDRRPCILNNELRIFVGTWNVAGRSPVGSLAVDLDEWLNLKEAADIYVLGFQEIVPLKPKTVIGAEDPTEATNWNVLVGKTLNSKYGGAWLTPMVNPITNDNYRYDQATESDSRLRNDYEIVSARGQSRTEYKLSDCVGSYKLMASKKMVGVFISVWMRRTLLKKYCVSEVKVSSVACGIMGYLGNKGSVSVSMSIGGTSFCFVAAHLASGEKKGDEGKRNRQVTEIFRRTSFPRLTEDCHKNHPLTILGHE >Solyc04g080360.3.1 pep chromosome:SL3.0:4:64652439:64657244:-1 gene:Solyc04g080360.3 transcript:Solyc04g080360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKHNTALTRFGRKVKGSYQSYGLGVKLAAVVILGLCFVFIWSVFSPSSYSVAYQRDTFDDIREPISSANRKKVTPLVPSTKKEQPHNKKLKHRSSSGEKKKRVDRSSLPSKSGGWHKNDKSGVDRKRKGEDLKLPEKVDEVKEQELEGSETDELDQEAAEKVEDEDEKAKDNGKKNKNLGPLFDPKAHYTWNLCSTRSKHNYIPCIDFESASGKLQNYRHHERSCPKAPQMCLVPLPPGGYETPVSWPESKSKIHYKNVAHPKLEVYVKKESWVVESGDYLIFPTNQSIPKGGIQHYLDFIEEMVPDIEWGKNIRVVLDIGCEDSSFGASLLEKDVLTLTLGLKDDLVDLAQVALERGFPAVVTPFGTRRLPFPSGVFDAIHCNDCHASWHSNGGKHLIEMNRILRPGGYFILSSTHSSIEVEEGMSTLTASICWNILADKTDEISDIRIKLYQKPQANEIYQLRRKKVPPLCKANENPDASWYVPIKSCLHTIPESIEQRGTEWPEEWPKRLETYPEWMNNREKLIADSEHWKAIVDHSYLVGLGIEWSNIRNVMDMKAINGGFAAALAQQKVWVMNVIPVHAPNTLPVVFERGLIGVYHDWCEAFGTYPRSYDLLHADHLFSRLKNRCKHPIVIVVEMDRILRPGGWGIIRDKVEILDPLEKILRSLHWEIRMTFAKDKEGILCAQKTMWRP >Solyc01g074050.2.1 pep chromosome:SL3.0:1:81261864:81262248:1 gene:Solyc01g074050.2 transcript:Solyc01g074050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFKEFGDRIKDWITINEPYSYALFGYVAGSYAPGRCNMCGIGNAATEPYMVGHHMLLAHAKAVNLYRDKYKIGISLISYWFVPNSIKKEDVNS >Solyc09g011970.2.1 pep chromosome:SL3.0:9:5237716:5249413:1 gene:Solyc09g011970.2 transcript:Solyc09g011970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIGILLIVSIIALCLLHNVSAEIRHYKFEIKLQNVTRLCHTKNIVTVNGKFPGPPIVAREGDRLEIEVINNVQNNISIHWHGIRQIRNGPAYVTQCPIQIGQKYVYNFTIIGQRGTFWWHAHISWLRSTLYGPIIILPKKNNPYPFTKPYKEVPIIFGEWFNGDTETIISQALQTGGGPNVSDAYTINGLPGPLYNCSKKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTLQVIDADGVYVKPFETNTIIITPGQTHNVLLKTKPHFPNAKFYMLARPYVTGPGTFDNSTVAGILEYESKSKPHLKNLSIFKPSLPSLNDTIFVTDFTSKLRSLATPQFPANVPLYVDRHLFFTIGLGTSPCDQNKTCQGPNGTKFSASINNVSFILPTTNSLLQSHFFGQSKGVYKPDFPYSPLNWFNYTGNPPNNTLVNNDTKLMVLPFNTSVELVMQDTSILGLESHPLHLHGFNFFVVGQGFGNYDPNKDPSNFNLVDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWLVQDGKLPNQKLPPPPMDLPKFDTLALAKHEGITRHYKFNIQMQNVTRLCQTKNIVTVNGKFPGPRIIAREGDRLVIKRGQSYVYNFTITGQRGTLFWHAHISWLRVTLYGPIVILPKKGVAYPFPQPFKEVPILFGEWWKADTEKIVNQALQTGGPPNISDAYTINGLPGLLHNCSAKDTFKLKVKPGKTYLLRVVNAALNDELFFSIANHSLTVVEVDAVYVKPFNANIILITPGQTTNVLLKTKHFHPKATFLMSARPYATGPASFDNSTTTGILEYHQHSNNTKKSNKFPLLNSKLPIFNDTTFATSFVKKIRSLANAKFPANVPKRVDKHFFFTVGLGLNPCPKNQTCQGPNNTKLAASVNNVSFVQPNVALLQSHYFNQSKGVYTTDFPVNPPFKFNYTGNPPNNSFVTSGTKVVMLPFNTSVELVMQDTSIISAESHPLHLHGFNFFVVGQGFGNYNSSKDPANFNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMVWMVTDGKSPKQKLLPPPADLPKC >Solyc08g074260.3.1 pep chromosome:SL3.0:8:58487469:58489320:1 gene:Solyc08g074260.3 transcript:Solyc08g074260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQFSPFNLFPLFLFFSFLYILLNKCNTKNTKLPPGPWRLPLIGNLHHLKGKLPHHNLRDLARKHGPLMYLQLGEVPVVVISSPRIAKAVLKTHDLAFATRPQFMASDIVFYKSRDISFAPYGDYWRQMRKVLTQELLNNKMVKSYNMIRKDELSKLLSSIRLATGSAVNITEKLLWFTSCMTCRLAFGKICNDRDELIMLIREILALSGGFDVCDLFPSWKLLQNMSNMKARLTNVHHKYDQIMENIINEHKQNHAAGIKGNNEFGGEDMIDALLRAKENNELQFPIENDNMKAVILDLFIAGTETSYTAIIWALTEMMKHPNVMAKAQAEVRQVFKDNENFDENDLDKLPYLKSVIKETLRMHPPVPLLGPRECREQTEIDGYTVPLNARVMVNAWAIGRDPESWEDPESFKPERFENVAVDLTGNHYQFIPFGSGRRMCPGMSFGLVNTGHPLAQFLYQFDWKLPDKVNANDFRTTETSRVFAASKDDLYLIPTSPREQE >Solyc11g005680.2.1 pep chromosome:SL3.0:11:502073:504855:-1 gene:Solyc11g005680.2 transcript:Solyc11g005680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVANEDFQHILRVQNTNVDGKQKIMFAMTSIKGIGRRFANIACKKADIDMNKRAGELTAAELDSLMVVVANPRQFKIPDWFLNRQKDYKDGKFSQVTSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Solyc06g063290.3.1 pep chromosome:SL3.0:6:40111668:40117901:1 gene:Solyc06g063290.3 transcript:Solyc06g063290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSHTLSSSMEAAISSSNRVSRFSETPPVFQSIKPSNRRSICYPKISFSPPSTSSFGGGCSPRLVVSRAELSGESGCEEEDINGLKCDNAFGLVPESTFSLSQDNSDKQEINRNDLNQASKVVSPLEHSTSGGTRAGLFRTPISGGVQSATSAHGLPKPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGTLVDFAPDSMGHPIFSFSPLAIHTRNLLADPRCTLVVQIPGWSGLSNARVTIFGDVYPLPEDQQEWAHKQYIAKHQQGPSQQWGNFFYFRMQNISDIYFIGGFGTVAWVDVKEYESLHPDKIAVDGGEQYLKELNAIFSKPLKDLLAQETEVDDAALISIDSKGTDIRVRQGAQFNVQRISFEEGHSVETLEEAKAALWKLINGGRLHNLQK >Solyc02g068740.3.1 pep chromosome:SL3.0:2:39239361:39243311:-1 gene:Solyc02g068740.3 transcript:Solyc02g068740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycine cleavage system H protein [Source:UniProtKB/TrEMBL;Acc:K4B7K6] MATKLWASRAASYLRISAFHRAFATVPKDLKYTESHEWVKVDGNSATIGITDHAQKHLGDVVYVEFPEVGSSVEQFGSFGAVESVKASSDINSPVSGKVVEFNEELNNGPALINANCYEQGWILKVEMNKPDEVKALMDPDQYTKFCDEEDAKH >Solyc08g008340.3.1 pep chromosome:SL3.0:8:2756490:2765064:1 gene:Solyc08g008340.3 transcript:Solyc08g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGAQAMKRIPRIKFPQRHSKHSGTTSQNSQHQKTPSAEETPRTFFSRSPPSMSVAGKASDQPKRTPVTQEEIESILMNSQICRSASRATKSLFTSSSRAFSGGRAAAAAATVSLRGVVPSLATYGRTKSRNSSTGWISGILALPAAAYMLQEQEAHAAEMERTFIAIKPDGVQRGLISEILSRFERKGFKLVAIKIVVPSKDFAQKHYHDLKERPFFNGLCGFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKDEIKLWFKPEELVRYTSNAEKWIYGVN >Solyc12g039120.2.1 pep chromosome:SL3.0:12:53377914:53379935:-1 gene:Solyc12g039120.2 transcript:Solyc12g039120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARSVKDVSPHDFVKAYAAHLKRSGKMELPEWTDIVKTGKLKELAPYDADWYYIRAASMARKIYLRGGIGVGGFRRIYGGNQRNGSRPRHFCKSSGSVARHILQQLQNMNIIDFEPKGGRRITSSGQRDLDQVAGRIAVAC >Solyc01g010600.3.1 pep chromosome:SL3.0:1:5551403:5554065:-1 gene:Solyc01g010600.3 transcript:Solyc01g010600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFDTGVTSFLGKRSMSFSSKCDDQNHGDQEDVLSDDGTHHEAILREKKIRRLNMEQVKTLEMNFELGNKLESERKIQLARALGLQPRQVAIWFQNRRARWKTKQLEKHYDVLKREFDVIKSQNEALLAHNNKLQAEIMTLKNGKGPTESINLNKETDQGSICSTRSENSTEIMKLQCNNMKLMDQTVKEETLSNIFCGIDDNSSGFWPWLDQQPHFN >Solyc05g014640.3.1 pep chromosome:SL3.0:5:8629426:8638379:-1 gene:Solyc05g014640.3 transcript:Solyc05g014640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSPAMRCSPGRELRAENHKRGRSLESGIQLRDKDDDLALFNEVQTRERDNFLLQSNDEIEDLFSTKLRYFSDYKLGISIPARGESSDLLNAEGDKNDYDWLLTPPDTPLFPSLDDEIHERRPTNHEQRGRPRSQPISISRSSTMDKSHRSSRGSASPNRLSPSPRSSYTADQSRGRPSSAPHSSPPPNLRHSTPTRKPSPSPKKFSTPPPRSSTPTPRRLSTGSSGTAAPSQVRGSSPVKTSRGNSASPKIRAWQSNIPGFSLEAPPNLRTSLGDRPASYVRGSSPASRSGSRSGRQSMSPTASRSVSSSHSHDRDPFSSHSKGSVASSGDDDLDSLQSIPVSRSDRSGPRSISGFQNKKALGHSKKPTRVVSSSSAPKRSFDMAIRQMDHRKSPQNMFRPLLSSVPSSTFYAGKTSTTHHSIISRNSSITSSSNASSDQATTGLHDNEGIEQNQEDIGNDQVKTTYADLQDEVFVLDKADSTSEDLGKQIYNRGSCSSLGDPDGDPRVDSLLVGSKICSPHDKALEMVVDVEVLNSNASVTRVNALEDAVLCSRCGQWYYYTGSPDGDLKLCPDCVHSEVQLRATPPLSLVVGENSPETLTAILDRSVDGFESAGNSHDSSEATGNNKLGDYHHRLSPDEGEKAYMKSNVNEGVQSHQPMAQSPNADISSKLVQNAEKREGAGISVLLNRSSSGKGNIVQNRTLSATNINYDDLSYVRDAVNNSLRSSTGYGSASASSSIDLGSAGHTETRFQRQLSGRKLDLENYRNQNDRKLQSSNSSLSGISSHAVQTLSIVTSSLEESFETSASADLQKNIEIAYVDREKEPLHGENTKVDNLCVEVESDDNCRIASKSVDHSGTVPSVANFEESSSYMNCDNLANSDNSVNMDPCDLISETHPIEEDVSNTSVDKVEIVASLNQSSLHAISELEIENGHVGSLDLQSDVCSLHSESSIDELNEQSLHAASGDGNEILASADSMDHKDIVREESTVTLEGQGGNKPRSLTLEEATDTILFCSSIVHDLAYRAANIAIEKEDSVLLKDSRPTVTIVGKANSDRRDPRGRISGRRNSKSSQKARQKMEVDTKSPQSKANTESDEKMDKSTTRIVGAPIKGDSLNPPKLESKCNCTIM >Solyc03g115220.3.1 pep chromosome:SL3.0:3:66475335:66491365:-1 gene:Solyc03g115220.3 transcript:Solyc03g115220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFFLILYTIISSIILHFSLSLFFRKRYPVPLPPGPKPWPIIGNIIQLGPKPHQSTASMARTYGPLMHLRMGFVDVVVAASASVAAQFLKNHDANFSSRPPNSGAKHMAYNYHDLVFAPYGPRWRMLRKICSVHLFSTKALDDFRHVRQEEVRTLTRALANAGQNPIKLGQLLNVCTTNALARVMLGKRVFADGTNGMDPQAEEFKLMVVEMMVLAGVFNIGDFIPALDWMDIQGVAEKMKKLHARFDAFLTTILEEHKEKRVGESKEQGDLLNTLISLKNEEDDNGGKLTDTEIKALLLNLFIAGTDTSSSTVEWAIAELIRNPKILAQAQQEIDKVVGKNRLVMESDLAQLTYLEAIVKEIFRLHPSTPLSLPRIASESCEINGYFIPKGSTLLVNVWAIARDPNQWADPIEFRPERFLPGGEKPKVDVKGNDFEVIPFGAGRRICPGMSLGIRMVQLMTATLIHSFNWALPTGQLPDKLNMEEAFGLTLQRADPLVVHPIPRLEAQVYDAAWCACKGLSDAVLQKTLDYACGAGADCTPLHTNGPCFNPNTVRAHCNFAVNSYFQRKGQATGSCDFSGTATVTATDPSTAGCVYPATARCIRRRQQRLRPVGRWHEHRYKPWWDFTATRQPLRTFFCGWTRKLMQCAWVCHFTLGAFLVASEPFAYTCLPYTDPLVLSLWSNASTFINRSPPEIPDVILPEYIVLGVAAALRTEINKALENNFYCCYTQSCCCCTQDCMLSCLSC >Solyc07g005340.2.1.1 pep chromosome:SL3.0:7:266475:267680:-1 gene:Solyc07g005340.2 transcript:Solyc07g005340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQMRNFPVFIQSPELQIPTHVLNIENPNPNFTLQDLKSCLLEKTQKSLAAIKDSVYLTFDGRPIKDSTLLYGSGISPFSTLVLRFRLRGGGGDGGATGAESRDCYLKMYAVKKPDKIDPNEIRLSRWLNCALSNESLKHPVVIDKLGNLFNKETLVEALLKKRLPKQFGYIKGLKDMIPVELSVIPGKEDRGLGDGEGTGFQCPVTGLEFNGKYKFFALRGCGHVLSAKALKEVKSSACLVCHKEVVESDKIVINGSEEEVAALRERMEEERVKLKDSKKVKKGRNVDVAVNGEEVIGASRLSGMKHGIEDKLVGKDARKVEGNGKIGIKSKIEVKDVKNSSSNGSGKRFKAVDVAPAHATKEVYASIFTSSRKSDFKETYSCRSLPLGRN >Solyc02g078600.3.1 pep chromosome:SL3.0:2:43822820:43825338:-1 gene:Solyc02g078600.3 transcript:Solyc02g078600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQENGNGGLLKYKRMDSDGMEEDVAVLCEDKRNDMSSRKYVLVCAIFASLNSVLLGYDVGVMSGAIMFIQQDLKITEVQEEVLVGILSIVSLLGSLAGGRTSDAIGRKWTMAFAAVVFQSGALIMTLAPDFKVLMIGRFLAGIGIGFGVMIAPVYIAEISPTVARGSFTSFPEIFINLGILLGYVSNYAFSGLSPHINWRVMLGVGILPSVFIGIALFVIPESPRWLVMKNRIDEARLVLLKTNENANEVEERLAEIQQAAGHVNAEKYEEKAVWRELLNPSPGVRRMLITGCGIQCFQQVTGIDATVYYSPTIFKDAGIKGNTQLLAATVAVGFTKTIFILIAIFLIDKVGRKPLLYVSTIGMTTCLFGLGLTLSLLGNGSVGIKLAILCVCGNVAFFSVGIGPICWVLTSEIFPLRLRAQASALGAVGSRVSSGVVAMSFLSVSRKITVGGTFFVFAAISALSVAFVHKCVPETKGKSLEEIEMMFQNDPPQQGGEMELEDVKHLMQTQ >Solyc11g051025.1.1 pep chromosome:SL3.0:11:17241730:17245024:-1 gene:Solyc11g051025.1 transcript:Solyc11g051025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLNLVKGLPNSSNFCGGEICVGCHYEKAHRLPFDKSVSRCIAPLELIHIDLMGPISFSVARLQEELALRFDIKKFGELHHFLGLEVTNTSKGVFVTQEGYAKKLVDRFGVKQSKKCSTPLENSMGLRREEVSLLVDPKPYRALVGSLMYLTITRPDIAFSIGYVSKFMQSPRKPHLKAAKKILKYINSTSDMSLFFKRKNDLVLIEYTDTDFGSDMDNQRSTSGYIFLCGGTVISQFLCQLRRQNIKATSLTAQECVWLQRLAEDLHRSISKTTTIFGDDQNIIGRRRLW >Solyc09g015320.2.1 pep chromosome:SL3.0:9:8531160:8532222:1 gene:Solyc09g015320.2 transcript:Solyc09g015320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSRINGNFIDKTFSIVADILLRVIPTTFGEKEAFTYYRDGNCAEALQNYYEVMRLEIDPFDRSYILYNIGLIHTSNGEHNIGLIHTSNGEHTKALEYYFRALE >Solyc11g070120.2.1 pep chromosome:SL3.0:11:54939829:54948070:1 gene:Solyc11g070120.2 transcript:Solyc11g070120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRLLGKPKQETNALATLDKLNETLEMLEKKESVLLKKATAEVERAKEFTRAKNKRAAIQCLKRKRLYEQQVEQLGNFQLRIHDQMILLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKMIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATAAPSAPIHMPAGRQQVRPAAQHSRTEEDELAALQAEMAL >Solyc09g074330.3.1 pep chromosome:SL3.0:9:66529984:66547746:-1 gene:Solyc09g074330.3 transcript:Solyc09g074330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILNEEGISCSTELLSSTAKPIDPALDLISAVKGLHGLSSQELSRLIREAENNMLQYTPENGLNIQIDVERLARYLALHLIAVILGSEGNAGLLKYLLSGFQLLHSLGDLASRHPKIEQILLDDVKVSEQLLDLVFYSLVVLCTYRKVSNDMVLLHSTLVASSLYLLTVCISSQWLELAQVLLAYNKVDVLMDSAFAAVTADIKILQRNLSADHAHSRQAYGLKAEETLNHLCQQCEASLQFLQSLCQQKLFRERLVKNKELSSKGRVLLLAQVVLRLDVSPLVTVSSSIVAAVSRLKSKVLTILLNLCEADSLSYLDEVASTPASLDLAKSIALEVLNLLKKMFGMDVQQSVAPSDKIYPKGQLQLNAMRLADIFSDDSNFRSFITTHFTEILTGIFSVTHGEFLSTWCSSDLPIREEDATLEYDPFAAAGWVLDLFPFSDQLNAMSTESTFVPSNVPRLSYPHQRTSLLVKVLANLHCFVPDICKEEKDLFLNKFVQCLRTEVSNTSEGFITFSDPQKAATVRRNLGSLLSHAESLIPTFLNEEDVQLLRVFITQLESLVTPFTENRVQEAQNLGGYLPPQLREVSLGLNNRSANSREDILDNSSLQRLNQLNSRTNDAGQSGEAGTKGEMIEHERFIATCIEMKDIETQNVETSGSDSSSTRSRHPTDQVGKVEQINCNGPGEVREDETVEAQHEEKQQRKRKRTIMNDKQISLVEKALMGEPDMQRNKNLLEKWAVKLSDHGSEVTKSQLKNWLNNRKARLARAAKDGRVLSEGDSLDKQGGLLTLLPCGSPGSPVEDVGILSAARENAPRLTGLAPSSTCLTENTTAVPAASSEPAVCVAGDYVVLINEKAEEIGRGKVCQVSGKWYQRDLEELGTCVVDIIDLKVERSAKLPYPSELTGTSFDQAERKFGFMRVLWQSSKLLVLPAR >Solyc06g006090.1.1.1 pep chromosome:SL3.0:6:1117319:1117738:1 gene:Solyc06g006090.1 transcript:Solyc06g006090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARGCEGVSVVKPEMTKNTKIASKFQKQNSTKSVVDGTTNNARSTTTTTSCSFKMPNRSQLSPIKIFKQLGGKMVALMKMVSSSKRSCRKVTNSSERATISAKPTATLNIDSHRAEAIDDCIQFINLSSSLPRSNSVS >Solyc05g018910.2.1 pep chromosome:SL3.0:5:24595170:24604627:-1 gene:Solyc05g018910.2 transcript:Solyc05g018910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGAVAMPLIQQIFRYYALASCYQDLPHNDCVQCFLISCSKLPTCLPAVSGRVYLDGCFIRYDYYNFFGEATDSFKDKLNCSSSFGRAVTDSDQLGLGVAAGNLIENVTKTAIENDGYAVGKLNGIYGLAQCWRTNKIKVLSWKERLHIIVGTAEGLAFLHEADKTHLSSGIAGTLGYMAPEYLVKGQLTEKADVYSYAALVLEIVCGRKNISLAEDDSGSLLQTVWKLYTTNQVTKAIDPMLKDDFLPEEASKVLKIGLLCTQASVTLRPSMSDVVQMLTTYGQQPIPEPCQPPFLRSSSSLKRFVSNTISKLDESGNSSTMHSSSHGPHIK >Solyc03g082890.3.1 pep chromosome:SL3.0:3:54212437:54215037:1 gene:Solyc03g082890.3 transcript:Solyc03g082890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENNGGAANNTHKNKIKMASISIPLAYKSHYLLRSPVYRPLQLHSPIQIKCSASKDCSNSEESSTQFKQLRNVACGFLAVWALSSVSPVIAAGQRLPPLSTDPDRCERAFVGNTIGQANGVYDKPLDLRFCDYTNEKTNLKGKSLAAALMSDAKFDGADMTEVIMSKAYAVGASFKGTDFSNAVLDRVNFEKANLQGASFKNTVLSGSTFNNAQLDGADFEDTIIGYIDLQKICTNKTIDEEGRVNLGCR >Solyc03g071555.1.1 pep chromosome:SL3.0:3:20320971:20322866:1 gene:Solyc03g071555.1 transcript:Solyc03g071555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAYGSNANTYIPGEEQGGGCTGEGGAKQVQDCRIFVGGLSWDVTEHQLEDAFSPFGKIVDCQVRYFP >Solyc06g069170.3.1 pep chromosome:SL3.0:6:43061649:43063266:1 gene:Solyc06g069170.3 transcript:Solyc06g069170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTGNRILCNSSSTKIPFTQFPLRRPFLLPSQGRRSQFTAFAAKKFTSGKNKRSSISTKEPVKEEEEEFQTINIRIDSQDRVSATTTTGDAFVMPKLPGEETDFWEGPQWDGFGFFVQYMWAFGVLFSLIACGIAVATYNDGATDFKATPVYKEAMESQELLEEPEASNSDVFESNPTEEAPSLE >Solyc02g079220.3.1 pep chromosome:SL3.0:2:44386817:44390325:1 gene:Solyc02g079220.3 transcript:Solyc02g079220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexose transporter 1 [Source:UniProtKB/TrEMBL;Acc:D0EXD9] MAGGGGIGPGNGKEYPGELTLYVTMTCIVAAMGGLIFGYDIGISGGVTSMDTFLNRFFPSVYRKQKADNSTNQYCKFDSQTLTMFTSSLYLAALVSSLVASTVTRKLGRRLSMLSGGILFCAGALINGFAQNVAMLIIGRIFLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITIGILVANVLNYFFAKIHWGWRLSLGGAMVPALIITIGSLFLPETPNSMIERGNHDEAKARLKRIRGIEDVDEEFNDLVIASEASRKIEHPWRNLLQKKYRPHLTMAIMIPFFQQLTGINVIMFYAPVLFKTIGFGTDASLMSAVITGGINVIATIVSIYYVDKLGRRFLFLEGGIQMLFSQIAVAILIAIKFGVNGTPGELPKWYAIVVVIFICVYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMIFTFAVAQVFLTMLCHLKFGLFLFFAFFVVIMTVFIYFFLPETKNIPIEEMVIVWKEHWFWSKFMTEVDYPGTRNGTAVEMAKGGAGYKIV >Solyc11g072550.2.1 pep chromosome:SL3.0:11:56029266:56032252:1 gene:Solyc11g072550.2 transcript:Solyc11g072550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICKTSYPINSPLYEEDLDSKLNIEIEEIRVLNMSCPAISPVKETFFISHGSPTLSIDESLPARNFLKSFKQKFLMNQKPNSILVISAHWETSEPTVNSIRGRNDTIHDFYGFPKSMYQLKYPAPGSPELAKRVKDVLMASGFPIVHEDKKRGLDHGAWVPLMLMYPEADIPVCQLSVQPNRDGTYHYNLGKALASLKDEGVLIIGSGSATHNLRALGPSKNVSSWALEFDNWLKDALLSGRHQDVNNYDMKAPHAKVAHPWPEHIYPLHVALGAAGEGVNGELIHHSWDLGALSYASYRFPSLNRSS >Solyc10g085280.2.1 pep chromosome:SL3.0:10:64623898:64637013:1 gene:Solyc10g085280.2 transcript:Solyc10g085280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIERKQSVVLVPHPYQGHLTPMLQLGSILHSQGFSVIVAHTQYNTPNYSNHPQFVFHSMDDGLQGIDMSFPSLENIYDMNENCKAPLRNYLVSMMEEEGDQLACIVYDNVMFFVDDVATQLKLPSIVLRTFSAAYLHSMITILQQPEIYLPFEDSQLLDPLPELHPLRFKDVPFPIINNTVPEPILDFCRAMSDIGSSVATIWNTMQDLESSMLLRLQEHYKVPFFPIGPVHKMASLVSSTSILEEDNSCIEWLDRQAPNSVLYVSLGSLVRIDHKELIETAWGLANSDQPFLWVIRPGSVSGFQCAEALPDGFEKMVGERGRIVKWAPQKQVLAHPAVAGFFTHCGWNSTLESICEEVPMVCRPFLADQLVNARYLSQIYKVGFELEVIERTVIEKTIRKLMLSEEGKDVKKRVADMKQKIVAGMQIDCTSHKNLNDLMKTQRKQSVVLVPLPCQGHLTPMLQLGSILHSQGFSVIIAHPEFNTPKYSNHPEFVFHSMDDVLKGINISSPSLENIYHLNENCKVPLRNYLVKKMKEEGDQLACIVYDNVMIFVDDVATQLRLPSIVLHTSSAAYLHSMITIFQQPEKYFPFEDSQLLDPLPELHPLRFKDVPIPAVNNTVAEPVLDFFKAINNIGSSVATIWNTMQDMEYPILLRLQEHNKVPFYAIGPFHKMAPMASSTSILEEDNSCIMWLDRQVPNSVLYVSIGSQMRINDKELTETAWGLANSDQPFLWVIRPGSVSGFQCAEALPDGFEKMVGERGRIVKWVPQKQVLAHPAVAGFFTHCGWSSTLESICEEVPMICRPFLADQLVNARYLSQIYKVGFELEAIERTVIEKTIRKLMLSEEGKDVKKRVVDMKQKIVAGMQIDGTSQKNLNDLSVVLVPLPFQGHLTPMLQLGSILYSQGFSVIIAHPELNTPKYSNHPEFVFHSMDDGLKGINISLPSLENIYDLNENCKVPLRNYLVKKMKEEGDQLACIVYDNVMIFVDDVATQLRLPSIVLHTSSAAYLHSMITIFQQPEKYFPFEDSQLLDPLPELYPLRFKDVPIPAVYNTVAEPVLDFFKAINNIGSSVATIWNTMQDMENPILLRLQEHYKVPFYAIGPFHKMAPTASSTSILQEDDSCIKWLDRQVPNSVLYVSIGSQMRINDKELTETAWGLANSDQPFLWVIRPGSVSGFQCAEALPDGFEKMVGERGRIVKWAPQKQILAHPAVAGFFTHCGWNSTLESICEEVPMICRPFLADQPVTARYLSHMYKVGFELEVIERTVIEKTVRKLMLSDEGKDLKKRVVDMKQKIVAGMQIDEEKTKMRVERKQSVVLVPFLLQGHLTPMLQLGSLLHSQGFSVIVAHTPHNAPNYSNHPQFVFHSMDDALQGIDMSFPSLVHINCMNKNCKEPLRNYLVSMMEEEGDQLACIIYDNIMFFVDDVATQLRLPSIVLRTYSAAYLHSMITILQRPEKYFPFEDSQLLDPLPELHPLRFKDIPITTVNNTVQAPLLEFSRSMSDIGSSVATIWNTMQDLENSLLLRLQEHYKVPFFPIGPFHKMVPVASSTSILQEDNSCIDWLDRQAPNSVLYVSLGSLVRIDDKELIETAWGLANSDQPFLWVIRPGSVYGFQCAEALPDGYEEMVGERGRIVKWAPQKQVLAHPAVAGFFTHCGWNSTLESILEEVPLICRPFLADQPVNARYLSQIYKAGFELEAIERTVIEKTIRKLMLSEEGKDVKKRVVDMKQNIVAAMQIDGTSHKNLNDLVDFISALPSRLALPMPVVGAIMSSNHIASKCIIES >Solyc03g025795.1.1 pep chromosome:SL3.0:3:3226238:3227095:1 gene:Solyc03g025795.1 transcript:Solyc03g025795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQMKVVMKVLTMSDEKTKQKAIEAAADILGVDSIAADLKEQKLTVIGEMDAVAVVKKLKKAVGKRK >Solyc12g013910.2.1 pep chromosome:SL3.0:12:4745694:4750698:-1 gene:Solyc12g013910.2 transcript:Solyc12g013910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:PRA1 family protein [Source:UniProtKB/TrEMBL;Acc:K4DCS6] MAFSANPLSLSVPEPVFESWLRDTGYLEILDQRTTDLHRHSSTTTVAATTASSDAAVTNSAAAAVSISNGVFVLIFSRIGTLLSLLTLNPFAKLTSDDFSGDTPSWTLQFVGSFDSYSFPSSPSQARLRVHENVKRYARNYASLFVLFFACSLYQKLFALVGLISCLALWDVLKLCGDRWGLEHRPVIKQSLIRIAQCATAVILFCTNVQMALFSALAVSYVVMILHASFRKLTPSKQSTSKEVNRRVLRS >Solyc10g044860.1.1.1 pep chromosome:SL3.0:10:28414514:28414702:-1 gene:Solyc10g044860.1 transcript:Solyc10g044860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILAYQSLRVVYGDLSISPLYVYKSTFIEGIHYSETNEEIFFIRILDSNSDSSIEICLYRG >Solyc12g100035.1.1 pep chromosome:SL3.0:12:67911686:67914214:-1 gene:Solyc12g100035.1 transcript:Solyc12g100035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILASHLGFPVENMIYIMDNPIHSPLPYPIRAAFQKSFRNSESFSSSSSPDVVSFVSESLPQPSESGNPRNLSLPSYELFSKERFKTSREVREANCAGISPTRWLPDRSNDSTEDRLPSDDDQQWCTGTRFLQLQAPIPWLRELLSLGNIDSLHHSVHSSFDQLSSWLSNVATDSLSPQPHGECVIEVEPLKMRELEETAIGVNLSYKTTATEIKLNHMASHFITYDSIP >Solyc05g026510.3.1 pep chromosome:SL3.0:5:41682631:41702109:-1 gene:Solyc05g026510.3 transcript:Solyc05g026510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEPVVSETANRRTKRTRAQTRINEEQLHSSVNEEEREESSEDFEDFRARPKRSKALGGTSSAAAARNAHQSLIDVVKGDRRRIPLVVKHWVEHYEKDPKAAMAGLLSMMFEACGAKYHIEEDFLDQTDVDDVVVALVNMAKRGEVEDYQTSKKKDFKNFKDNLVYFWDTLVAECENGPLFDRVLFDKCMDYVIALSCTPPRVYRQVASLMGLQLVTSFIHIAKVLGSQRETTQRQLNAEQKKKVDGPRVESLNKRLSMTHEKITIIEEMMRKIFTGLFMHRYRDVEPDIRMACIQSLGVWILSYPSLFLQDLYLKYLGWTLNDKSDGVRKASVLALQNLYEVDDNVPSLGLFTERFYKRMIELADDVDISVAVCAIGLVKQLIRHQRVPEEELSSLYDLLIDDPPEIRRAIGALVYDNLIAQRLNSSQSSSGDNADSSEVHLNRLLRILGEFSKDEMLSMYVIDDIWEYMDAMKDWKRILSMLLEEELSAELSDADATNLIRLLFASIRKAVGEKIVPASDNKKQYYTKAQKDMFESSKRDITIAMMRNYPQLLRKFISDKAKIPYLLEIIVHMNLELYSLKRQDQNFKSAVLLMKEAFFKHGEKEALRSCVKALNFCATESRGELQDFALNKLKGIEDELIMKLKSAIKEVADGDDEYTMLVNLKRLYELQLSRQISTESLYKDLAETLKNFRSIDDEVIGFLLLNMHLHVCWCLHSIINSGTVLEQSISSLISKRSALFELLESFLTTNSPEGLRASQLACRVCVIFSEQWCLFKKATFASTEIEALGYSPDEAILQKFWKLCERQLHISDEAEEEDSNREYIEETNRDAVIIAVGKLVAVDAVPKEYLAPEILSHLSMHGTSVSAVIKHLLTVLRNNGADVAWLFIEALKRAHERYLVALFSDDDESARKTFHECEDLASGLAKTFGNAARNKHRSDLLNIVTGGIQYAFTDAPKHLSFLDGAVLHFISKLPPSDIMNILKDVEKRTENVNTDEDPSGWRPYHIFVDTVHEKYAKGDVLQDDKEGAGRRRGRPTKKQNIQGKKLFDEHNSSEDEESISGSDQEADEEKQDDEEVPLIHSFKSSSKLRSLKISRES >Solyc01g108830.2.1 pep chromosome:SL3.0:1:95909187:95909616:-1 gene:Solyc01g108830.2 transcript:Solyc01g108830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSGHNVTALDLGASGINPKQALEIPHFSDYLSHSFGGLGISRAMEDVSKEIVLSSKRYGSVRRVFIVAAKDKFQKKEFRLQMIEKNPPDEVKEIQGSDHRTIMSKPQQLYITLLSIANKYNKFT >Solyc10g044643.1.1 pep chromosome:SL3.0:10:27147059:27151211:-1 gene:Solyc10g044643.1 transcript:Solyc10g044643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLVVKKNRLNEDNTDDYLDFYLELSHNNKNDFDAYVNQNTEPTDDVLAWWRNRGKGFPKLQPMARDVLAIQASSVASKGIFSAARFQIGEHMHSLAVDSLEISVLFRDWINAERRNLGREPLPTRFQSDVDEIIQDYNNSEIEHIKQDAVSELDSSVPFREKDLIVGPDAGFNGIRSESIKCIGVYNSLVIMPTHQSVSYSKSREQLSEEFNIISTFQLERKLRQGTEQHAGYTLKLIVSTVERLVPALTPEAPAFFNPFFLIIKLAPMNKLEDKARTNPLILSDDMPLYTSFQLCVSIPSTNHKKNPKP >Solyc03g078050.1.1 pep chromosome:SL3.0:3:51135805:51136140:-1 gene:Solyc03g078050.1 transcript:Solyc03g078050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLECTLAYIETIRERYQGKWICGLCAEAVKDDSSTPPPDPTIHFIAAMRQLLRRSLESPKSIKSMPCSPTRNYIWRPCSIIWGKEF >Solyc02g062460.3.1 pep chromosome:SL3.0:2:34617149:34617731:1 gene:Solyc02g062460.3 transcript:Solyc02g062460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKSVKVPTIDFSNYQELKPNTPLWESTKIQVFEALQEYGCFEAIYDKVSKEIREETFDMSKEIFEFPLETKVKNISEKPMHGYMGMIPQLPLYESLCIPDLLNPQSLEKFSNIFWPQVD >Solyc12g088790.2.1 pep chromosome:SL3.0:12:65003643:65005594:1 gene:Solyc12g088790.2 transcript:Solyc12g088790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIDLLKSSPCEDQMEMMLMMQMENEMPMLDYSSRRSNNSNNNNNNNTDCNNFFEIIDHHDSSSTFLNNVPSSTIPFNDSRSPQIVHQESTITLPFLENSSRDNEKWSTSVKGDYKEQVSTASHLSTFPSRTLKRNSMVAMREMIFRIAAMQPIQIDPSSVKAPKRRNVKISSDPQSVAARHRRERISEKIRILQRLVPGGTKMDTASMLDEAIHYMKFLKKQVQSLEKVEINRPMSMSLSGNYLPNYNYPYHQSVQP >Solyc04g049873.1.1 pep chromosome:SL3.0:4:44271094:44271740:1 gene:Solyc04g049873.1 transcript:Solyc04g049873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWMILLSQELFISFFRVVLKLGEKFSMKDLGPLHFLLGIKVNYFEGGINLNQRKYGAEMLAKKDMTLAKAIATPLARKHGLLEDVGIASLQYLTLTRPDITRAMNLAIQFMKIPNVEHSRSKSDIQLIYTLDSELLHNHYVGCMSTQMQIGEVVEKLGDQLHVQIVFLDLEETCTIARSSAEAYYRALASTTAK >Solyc12g008540.2.1 pep chromosome:SL3.0:12:1947211:1949536:1 gene:Solyc12g008540.2 transcript:Solyc12g008540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAIHMQRWLMKYYLPFMLMLDQGQQVISTIQNVIGVIEGEQEPDRFVILGNHRDAWTFGAVDPNSGTASLLEIAQRLEKLQKRG >Solyc02g071145.1.1 pep chromosome:SL3.0:2:41207610:41215181:-1 gene:Solyc02g071145.1 transcript:Solyc02g071145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPELEAIRQRRMQELMAKQGVGTQQNTDQQKSQDDAKREADERRQMMLSQILTSEARARVARIALVKPDKARGVEDVILRAAQYGQITEKVSEQKLIELLEQINTQTTKQTKVTIQRRRNVLEDDD >Solyc08g069080.3.1 pep chromosome:SL3.0:8:58224537:58228038:1 gene:Solyc08g069080.3 transcript:Solyc08g069080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Splicing factor subunit [Source:UniProtKB/TrEMBL;Acc:K4CMC8] MQASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYVGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPEREDD >Solyc07g063880.3.1 pep chromosome:SL3.0:7:66363249:66368241:-1 gene:Solyc07g063880.3 transcript:Solyc07g063880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFSFFFICLSYLLVKSESITRSDFPEGFIFGTASSAYQFEGAVDEGNKGISIWDTFIKRPGRILDFSNANTAVDQYHRFESDIDLMKNIGMDAYRFSISWTRIFPNGTGEPNPEGIEYYNNLIDALLEKGIQPYVTLFHWDLPQKLEDSYEGFLSNRIIKEFERYAITCFEAFGDRVKHWITFNEPHGFTIQGYDFGIQAPGRCSILLHLFCRKGNSSVEPYIVAHNILLSHAAAYHAYHKMFKASQRGKVGIALDSKWYEPRSDCKEDRAAASRAMDFGLGWFLDPLLLGNYPLSMQKLVAERLPKITPYEAKVIKGSIDFLGINHYTTLYARNDRARIMKFMFHNAYSDSAVVTTPYRRGVAIGEKVNLISCCLYLRTADRCPKDHIFLQAASGWLRIVPWGIRKLMNHIKDKYGNPLVMITENGMDEPNKSHMALDDALQDERRITYHRDYLSNLSAAIREDNCNIKGYFVWSLLDNWEWNSGYTVRFGLYHVDFKNNLTRTPKSSAKWFKSMLTTERCLDALL >Solyc05g009070.3.1 pep chromosome:SL3.0:5:3216917:3220562:1 gene:Solyc05g009070.3 transcript:Solyc05g009070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRQERHRSDHDLVPLAALISRELRNEKMEKPTVRYGCAAQSKKGEDYYLMTTDCQRIHGNPSSAFSVFAIFDGHNGTAAAVYSRDHLLNHVLGAIPCGLGRDEWLQALPRALVVGFVKTDKEFQKKGLTSGTTATFVIVDRWTVTVASVGDSRCILDTQGGAVSELTVDHRLEENAEERERVTASGGEVGRLCIFGGTEIGPLRCWPGGLCLSRSIGDMDVGEFIVPIPYVKQVKLSTVGGRLIIASDGVWDAISSDMAAKSCHGLPAELAARQVVKEALRTRGLKDDTTCIVVDIIPPDNTVQPATPPKKYNKLRSLFFRRNSQKSAGKLSKKLSAVGIVEELFEEGSAMLAERLGSEDSNGPSMSGLFICAVCQVDLAASEGISVHAGSIFSTSSKPWQGPFLCADCCNKKDAMEGKRPSGVKVT >Solyc04g018197.1.1 pep chromosome:SL3.0:4:11403559:11412191:1 gene:Solyc04g018197.1 transcript:Solyc04g018197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSHVPFRILKILVMLICEDDQGGGYHSFEERLKSYCSQMFFDKQEHIKMKINTIIKKDPLTFLKFFVEYLSMVVKMNLMPHNRVLLCHALISPNDVKELREEKITFHTLGSDEEVVQVYKGLKTYGADNPLLFKHFKRNIQEHYNSKGKIWIAELIDTFIIVHEVLYYRHTPVRVHSKAAVKLSNVEASIIWVKKSQLRSALRRVDKYILATQTISHLEDERPRLKKKRKINWLLRALFGESEARGTVAYNLYLSYLHVLKTVPECIYCAAKRLEHEPPIFYFASGYIKLANTKAPTELYEMFVASTPDAVEFCKNIRAYNSIFAFTCFGVNLDKELESAKKGVYEGTKSNLSQSTIIGTT >Solyc03g005710.2.1 pep chromosome:SL3.0:3:502115:504437:-1 gene:Solyc03g005710.2 transcript:Solyc03g005710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLMLIPRTAMSLQHMLIFFGRSIMMTTSTNTQLDETKETEEVATSHNMYFEQDNRQASPLLNLAAGFGIGNCGFSGGMTLNELIAAEDYYKSVIQENPNNPLVLRKYAQFLDQCKGDLGGAKEYYSRAVLTDASDGEIISQYANFIWHLHHDQNKASSHFKRAVQASPGNCDVLASYARFLWE >Solyc11g066520.2.1 pep chromosome:SL3.0:11:52571531:52578067:1 gene:Solyc11g066520.2 transcript:Solyc11g066520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKKSLFVFSLLFLIPFFHGGITTAIAATNQDFSESWGYVEVRPKAHMFWWYYRSSYRVEDPNKPWPIILWLQGGPGASGVGIGNFEEIGPLDTNLKPRNSTWLRKADLLFVDNPVGTGYSFVEDKKLFVKTDVEAATDLTTLLIEIFNKNQSLQQSPLYIVAESYGGKYAVTLALSALKAIQSGKLKLKLGGVALGDTWISPEDFVLSWGPLLKDVSRIDWNGLQKSNRSNIFFNYIQLQIDAGQFGAATESWGTLESIISESSNSVDFYNFMLDSGMDPVALTSSELSQSIGMKRYSKYLQLSRITPGSDGDIDDLMNGVIRKKLKIIPKNVSWGGQAGSVFDALNDDFMKPRINEVDELLAKGVNVTVYNGQLDLICATKGTEAWVEKLKWEGLKTFLNMERNPIYCGGDKSTKAFTKSYKNLQFYWILGAGHFVPVDQPCVALDMIASITQSPAVSKK >Solyc10g076440.1.1.1 pep chromosome:SL3.0:10:59517669:59520686:1 gene:Solyc10g076440.1 transcript:Solyc10g076440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEALSILKENVWDPYMGLEEKLETVKRKMEVLISRRKDIADEVKDAELHSSKRRKTEVKNWLSSVQKLENEFQCFEQELEQSSSFSRIGLSNQADKIHDEVEYLLDQGKFSEGILLHLNEEKMQQLVMKNLKGEAFVESLRKVLTSLNEVSSIGIYGMGGVGKTTLAMHIHDHLLKESRFWGNVYWITVSQDFSISRIQNNIANTIGLDLSSEDDDKKRTAKLFHSLKRKKSFVFILDDVWNIFDVTKMGIPLEIGGGKMIITSRSSEVCDKIGCQKKVKVETLSMTESWELFIKTLGCQWGDLSMEIEEITKKMTKKCDGLPLGIITMAASMRGVNDVFEWRDAFEEFTNSCMEMEDMNNDVFPILQCSYKRLRDPKLQKCFLYCCLYPEDYKIRRDELVRLLIVEELLVKRNSRKAELDQGYAVLNKLERACLLESVVNGNGSRCVRMHDLVREMALRIARDEINLMVRAGAQLREIPGEQEWTEDLDKVSLMNNDMTKISQPLSSICPKLTTLLLQGNSSLSQVIDPFFVQMPGLRVLDLSYTAIHQLPSSVSNLVSLSALLLRRCYGLRFVPSLKNLKNLIELDLFHTIIQEVPQGLESLVKLRCLDMTRDERVPKTLSKKPAVDILAKLSNLQFLSIPFVVRVEDLVGMRQLEVFHGKFVDVCSFNGFVKHQQQWGKPSSFVIALDTKSSSEPILESGHLSYDLTFYAERVILRHLLVTGDSVEMLRYDQIVDEARNVTLLPVNIQELLISECDFRTLGNSLLDAIPSLIQTKDLRLIKIGRCNGIEFLIRTSNCRSTRHQGLMSTCNTLETLERLVLHCLKEFSSLCKLELGEPLPPVGTFSHLRCLEVSFCDKMKKLIPKWLLQYLQNLTEIAVRICDEMEEIIADDEEEQVKQCASSASSSPIIVLPKLQMLYLHALPELKSIYKGRMTCGSIQRVTVSLCGKLKRLPFTLPLQNGQPSAPPELEYIRMSEKSWKTLDWDHPQYKNVLHPFVKKT >Solyc10g048155.1.1 pep chromosome:SL3.0:10:44362571:44368784:1 gene:Solyc10g048155.1 transcript:Solyc10g048155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTDIAHYANEFVPFQTYLLSGAFVSVSIKAYGIPLHQFSWTIDKGTIVEPIDKVIPPEQPLLPPTLLKTTSFDSFDYQAIGFEFDILALVINGSPPSYASNGSRIQEFIIIDYERKPTKLTLWEEFIDLYGNKLLKHLKEHQEFPVIIARKVAKSKSSSGLSNRFGTTIQIDPPYPQAIALKTWSDEIKLVLNTYTTKSTTATGSLLFVPFEEHIVPIVNIQQQSFGQVFHVQAQLLISNETQKFCVLVCSDCKQVFPRIWTQRTFYCTACRRPTQLTPRYKNHISKINNCITITSLNLNLVR >Solyc12g040335.1.1.1 pep chromosome:SL3.0:12:54340318:54343235:-1 gene:Solyc12g040335.1 transcript:Solyc12g040335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNIKLKIELQNSPS >Solyc05g017855.1.1 pep chromosome:SL3.0:5:18499243:18500385:1 gene:Solyc05g017855.1 transcript:Solyc05g017855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIIKGFKRGEPTFIATLIEDTGSCDEAVPLPPCIEHVLDTNKDVMPAELPQRLPPRREVDHQIELVPGEKPPAMTPYRMAPPELEELRKQLKELLDAGHIRPSKAPFGAPTACVTRYGAFDWLVMPFGLTNAPATFCTLMNRLFHSYLDQFVVVYLDDIVVYSDNMEDHVEHLCKVFKILRDNELYVKREKCSFAQPIVRFLGHTISHGKIQMDSDKIAAINNWEAPTKVPELRSFLGLANYYRRFIFNYSAIAAPLTDLLKKDRAWNWSAACQAAFERLKLAVTQEPVLALPDFSKPFEIHTDASDFAIGG >Solyc10g005950.3.1 pep chromosome:SL3.0:10:725096:731696:1 gene:Solyc10g005950.3 transcript:Solyc10g005950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLAFQNGVKKDQLLSAVNDYHGGVIVELKEPMDPNVFQNMLKASLSKWRLQGKKGVWIKLPIELANLVETAVKEGFWYHHAEPHYLMLVYWIPETENTIPANASHRVGIGAIVLNDKRELLVVQENSGRLKGTAVWKIPTGIVEEGEDIFEGAIREVKEETGIDTEFMEVLAFRQTHKALFGKSDLFFICMMRPLSFDIQKQDLEIEAAQWMPIEEYAALPFVQKHGLFKYIKDLCLVKAERNYPGFTPVPITSFFDASMSFLYCNKDGLDQDALQVHL >Solyc07g018370.2.1 pep chromosome:SL3.0:7:10412150:10414091:-1 gene:Solyc07g018370.2 transcript:Solyc07g018370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGYFGEPKLGNERSSSSSRKSKKNNNLEKPKQPQRGLGVAQLEKIRLHTQMGCNTYNPYSSTPSFSSYSSSTSSYAFTPQQTIMMGLGDIERANIRYGDSQLPSTPSAWHPGTVYEPHQFAQPNMNRYLLNLQIEESMENRTRNNSIGSSTSHISESNGDHELDLELRLSI >Solyc08g080890.3.1 pep chromosome:SL3.0:8:64170636:64189332:1 gene:Solyc08g080890.3 transcript:Solyc08g080890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVKFFSLSCLRNESSYGDFPSKAHYPSMPKYPKGFSVSSGEEKKAIFSVNGMSCSACAGSVEKAIKRLSGIKEAVVDVLNNKAQVIFYPTFVNEETILETIEDVGFEATLVTEETNEKTSQVCRIRVQKAQVALATEVAEIQYDPRILTHNQLLEAIEDTGFEAILISTGEDRSKILLKVDGVHTENSMSIIESSLRALPGVEDVDIDPELKKLSVSYKSDTIGPRDFIQVIESTGSGRFKATIFPEGGGKQSHRQEEIEYCRRSFLWSLVFTIPVFLTSMIFMYIPGLKDGLDIKVVNMLSIGEILRWVLSTPVQFIIGRRFYSGSYKALRHGSANMDVLIALGTNAAYFYSVYSSCYFSKLQVSDFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMNLSPETASLLQLDDEGNVVKEEEIDSQLIQKNDVIKILPGAKVACDGFVIWGQSHVNESMITGESRPLAKRKGDMVIGGTVNENGVLHIRATKVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIILSLFTWLAWILAGKYDGYPKSWIPSSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASRGVLIKGGQALEGAQKVDCIVFDKTGTLTMGKPVVVNTKLFRSMVLREFYELVAAAELNSEHPLAKAIVEYAKKFREDEENPRWPEVQDFESITGHGVKAVVHNKTLIVGNKSLMLDQGVSIPVDADELLAEAEELAQTGILVSINGELSGVVSISDPVKPGAREVISLLKSMKVESKLVTGDNWGTANAIAMEVGISDVIAEAKPEDKAEKVKELQSLGKVVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYFWAFGYNLLGIPIAAGALFPFTRFRLPPWVAGAAMAASSVSVVCSSLLLKNYKRPKNLDNLEIGGITDEMIRDTMEDVGFQANKLIEEEMHHEKSSQVCRIQVNGMTCTSCSTTLESALQVIPGVQKARVALATQEAEICYDPKIVECNQLLEAIGNTGFEGILISTGGDSSRILLKVDGVDTENCVKLIKNSLLALQGVQEIDFDIQLKKLSVSYTADVTGPRDFIRAIESTESGCFKACIFPQGREREEHRQHEIRQYYKAFIWSLVFTVPVFFTSMVFMYIPGLKDVLETKVANMLTVGQVVRWVLSTPVQFIIGRKFYVGAYISLTHGYANMDVLIALGTNAAYFYSVYSVLRAATSPTFKASDFFETSSMLISFILLGKYLEVLARGKTSEAIAKLMDLAPKTATLLTLDDKGNVVNEEEVDSRLIQKNDVIKTIPGSKVACDGLVIRGQSHINESMITGESRPVTRKTGDMVIGGTLNENGVLHIKATRVGSETALSQIVRLVESAQMAKAPVQRFADHISKYFVPIVIALSFCTWISWFLAGTFNSYPRTWIPSSMDSFELALQFGISVMVVACPCALGLATPTAVMVGTGVGASLGVLIKGGQALESAQEVNCIVFDKTGTLTIGKPMVVNTRIFKTMVSEEFYQLIAAAEVNSDHPLAKAIVEYAKKLRGSEENLAWSEASDFKSIAGHGVKAIICNKEVILGNKSLMMEQGIVVPVEAEEALAETEGQAQTGILVSIDKELIGVLSVSDPLKPEAPEVISILKSMNIESMIVTGDNWGTANAIAKQVGIERKHVVAEAKPEQKAEKVKELQDLGKVVAMVGDGVNDSPALVAADVGIAIGAGTDIAIEAADIVLMKSSLKDVITAIDLSKKTFRRIHLNYFWALGYNLLSIPIAAGVLFPSTHFRLPPWIAGAAMAASSVSVVCSSLLLKNYKKPKKLNTLDLQVINEMKMHIN >Solyc07g008050.3.1 pep chromosome:SL3.0:7:2762909:2767447:-1 gene:Solyc07g008050.3 transcript:Solyc07g008050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTLQIHTVDSPQPIPTTIATTSSAVYGPNPNSDLSSSSGSLHLSELRGIAHLFRHLPSSTSTTISNPISRTTTVFIVAAPNYLSPDDFLLFCGTHLADFTHVMFLKNDGIEHSYSVLINIVNQLAADGFYCSFNGKRFKPTEVEVCHIYFIQSVAYEESAYITSTPPVGYTELPTCPVCLERLDQDTSGIQSTLCDHSFQCSCVSKWTYLACQVCRLCQQQDEKPACSECGTMKNLCVCLICGFVGCGRYEKKHAIKHWMDAAHHYSLELETQQIWDYVGDKYVHRLNQSKGDSKLVTVNSRCTATEGECTTCGDDEDSSFSGALFSSKVDSIVDEYNNLLASQLETQRQHYESLLAEAKSGKESSISRAVEKAVFSKLNDLQAKIEMYTEETKSIAERNQVLLKNQELLQTKYRETAERERLLLKSKDENKLDLKEQIRDLKVYVEAQKKLSNMGISDGKGGTVLSVEPNKQSSSNSRRRGKLGRRRN >Solyc12g010130.1.1.1 pep chromosome:SL3.0:12:3267217:3268515:1 gene:Solyc12g010130.1 transcript:Solyc12g010130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4DCA0] MGTEEKCAVLYGKYELGRVLGQGSFAKVYHARNVVTGENIAMKVVGKEKVIKVGMMEQIKREISVMKMVKHPNIVELHEVMASKTKIYFAMEYVKGGELFEKVAKGKLREDNARGYFQQLISAIDFCHSRGVYHRDLKPENLLLDEEGNLKVTDFGLSAFTDHLRQDGLLHTTCGTPAYVAPEVLGNHGYDGATSDIWSCGVILYVLLAGFLPFQDDNIMAMYKKIHKGDFKCPPWMSSDAKKLIVKMLDPNPRTRITASKIMESNWFKKTVPRTLRSKVEEEFSHVGDEDCVGKAKKIESLNAFHIISLSEGFDLSPLFEEKKKKEKEQLRFATTKPASSVISKLEEVAKTSKFSLKRSDSSVRLQGQESGRKGKLGISADIFAVTPSFLVVEVKKACGDTLEYNQFCSKELRPALKDIVWKSAPENPTIA >Solyc08g014090.1.1.1 pep chromosome:SL3.0:8:3724057:3724617:1 gene:Solyc08g014090.1 transcript:Solyc08g014090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKDLRISEEEDLDDTLSLSDLILTHNDDNIDSVECDKYYDSKSSVTSSSDGEDHTFEFIVNYKNNVVLPPENILFCGKLIPYKNNNSILSSSMSKKRSFSFSRKNKFLGYNPTHEMELKDLKNRLDRKVGDEISDYNKYGKGSGNGKGKGILWSLIKAISCTSGGASYHAQSAVKASIGCMSLV >Solyc03g083780.1.1 pep chromosome:SL3.0:3:55136734:55137441:-1 gene:Solyc03g083780.1 transcript:Solyc03g083780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLADRACDPVRNQMSKLTLTKPIARQRFRPHCFSV >Solyc03g094127.1.1 pep chromosome:SL3.0:3:57339217:57339465:-1 gene:Solyc03g094127.1 transcript:Solyc03g094127.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKSESYASLFIHKVSNNILYAWIMWMISSSQEITIPRILGICTSSMELRCSVMPKVLPYHSLST >Solyc03g006250.3.1 pep chromosome:SL3.0:3:859214:868785:-1 gene:Solyc03g006250.3 transcript:Solyc03g006250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNSLICIIILLITSFKHVYGSYESIISFGDSLADTGNLIRLSKSNKIVASSVLPYGETFFHHPTGRFSDGRLVIDFIAEGMGFPLVPPYVGVMKNMSSSKNSIRGVNFAVAGATAVDISYLEKRGIKNPATNVSLGTQLEWFKQMLPILCDSPTSCKEYLENSLFLMGEIGGNDYNHPFSQGKSGEEVKSFVPAVISAIGQAINELIELGAQTLIVPGNLPIGCSASYLTIFKNSNKKDYDNSTGCIIWLNEFAEYHNQLLQQEIHKLREIHPHANIIYADYYNAAMQIYRSPKKFGFTSTIVACCGGGGVYNYDSNRPCGSPSSNYCDTPSSYVSWDGVHLTEAAYKLIAKGLLQGPYTIPQMNYGLYNKGISDQYKKILVSSHPQSYNAIFSFGDSLADTGNFLLSGAMTFPVIGKLPYGETFFKHATGRCSNGRLVIDFFAEAYGLPLLPPYLALKKGIKAENGVNFAFAGATAMAAEYFYSKNIKILWTNISLTHQLGWFKEVKANICVTRKVGEIGGNDYNYPSFLGGSIKQLKVLVPLVVETIIGATSALIEEGAVELIVPGNLPIGCSAVFLTIFGTTNKDAYDKYGCLKAYNAFSKYHNAKLKLGIENLRKEYPHAKIIYADYYGVAKRLIHSPKHYGFSNTLVACCGGGGPYNFNNSARCGHIGSKSCLDASSFTNWDGIHLTEAAYHHIAKGLLNGPFTSPSLTFPPIKQI >Solyc06g053200.3.1 pep chromosome:SL3.0:6:36036393:36037896:-1 gene:Solyc06g053200.3 transcript:Solyc06g053200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQKGKKTVLKFDSEEDVSKALAKYTAELSEKFIKQKGSFTVVLSGGSLIDTMRKLVEPPYKDSIDWSKWWIFWVDERVVPLGHDDSNYKLASDGFLSKVPIPSSNIYAINDKESPEGAAADYEARLKQLIESKVLPLSAITGFPKFDLMLLGMGPDGHVASLFPLHPHRHEKERLVTFITDSPKPPPPRITFTFPVINSASEIAMVVTGAELAHMVDVALGNAPPPDGIPPPCTEVSAEEELTWFLDKDAASELQTSR >Solyc08g078083.1.1 pep chromosome:SL3.0:8:62042752:62044155:1 gene:Solyc08g078083.1 transcript:Solyc08g078083.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLVSIADITLVAWWQSPQILRFLNILIGISGGNFIKPKAMANFSLCHRSSCSFCSSPTSCNEESRSGSRPSKFLAANSATSKFLWILQEPKSDPNSAIWGLNCIFLIKSTASFSFPARPMFHVTILFSTISWNIFSAISTFPDLIYPVTIAVLAITPFTGASLNNSNADSNSPDSTYPATIAFQVTSFLTGITRNNSSASPISPF >Solyc06g010140.1.1.1 pep chromosome:SL3.0:6:5018033:5018503:-1 gene:Solyc06g010140.1 transcript:Solyc06g010140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGMACIIAFRQHTRTKNIGHGMPSSPLGSTHEQTTSSVACHHRPWTAHTIRLLRAWHSIITLGLHMRLDDIGYCMTAWPLGSTHADDSGIACHQLNWSSAWSDDIGHGMSSTPLGSTRGQMTPGVTCHLFPWKAHTLRQHNLWHAIIAVVQHTR >Solyc11g072880.2.1 pep chromosome:SL3.0:11:56342158:56348096:-1 gene:Solyc11g072880.2 transcript:Solyc11g072880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGGENYGKRENLGGKSVSDKEMFPAWSKDVKECEEKFEVKRDYGLSEDEVVKRRQIYGLNELEKHEGQSILRLILDQFNDTLVRILLGAAVISFVLAWLDGEEGGEKEITAFVEPLVIFLILIVNAAVGVWQESNAEKALEALKEIQSETACVIRDGKRISSLPAKELVPGDIVELKVGDKVPADMRVLRLISSTLRLEQGSLTGESEAVSKTTKAVAEDVDIQGKKCMVFAGTTVVNGNCICLVTQIGMDTEIGKVHAQIHEAAQEEEDTPLKKKLNEFGEALTVIIGIICALVWLINVKYFLTWEFVDGWPRNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAAKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGAKANTLRSFNVEGTSYDPYDGKIQDWSMGRMDSNLEMIAKVAAVCNDSGVEKSGQHYVASGLPTEAALKVLVEKMGLPDGISSISSSSDKDGLRCSYTWNNIEKRIGTLEFDRDRKSMGVITSSTSGKKSLLVKGAVENLLERSSYVQLQDGSVVELDNSSRNHILQSLHEMSSKALRVLGFAYKEDLQELATYNGDEDHPAHQLLLNPANYPSIESKLIFVGLAGIRDPPRKEVRRAIEDCREAGIRVMVITGDNKNTAEAICREIGVFGSHEDIKSRSLTGKEFMELANPKAHIRQSGGLLFSRAEPRHKQDIVRLLKDDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGLIPVQLLWVNLVTDGPPATALGFNPPDKDIMKKQPRRSDDSLISAWILFRYLVIGLYVGVATVGIFIIWFTHDSFLGIDLSKDGHSLVTYSQLANWGQCKTWNNFTASPFTAGSEVIRFDNPCDYFVEGKVKAMTLSLSVLVAIEMFNSLNALSEDGSLLSMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQIFGIVPLSLNEWLLVLAVALPVILIDEILKFIGRCTSGTRSGRSPTKQKEE >Solyc02g094500.3.1 pep chromosome:SL3.0:2:55640327:55656306:-1 gene:Solyc02g094500.3 transcript:Solyc02g094500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRFSRKPALVRLLSVAALFSIILIAIQSSFFTGSRAPVNLDIPILSHFQSNLQQCVANRGLGLTAHIIDHCNVILKFPQGTNSTWYNEQFKIFEPLEYKYDVCETILLWEQYRNMTTVLTREYLDSRPDGWFDYAAKRIAQLGADKCYNQTLCEEHLNLTLPAKPPFHPRQFRRCAVVGNSGDLLKTQFGEEIDSHDAVIRDNEAPVNERYAKHVGLKRDFRLVVRGAAGNMIKILNGSDDEVLIIKSVIHRDFNAMIKKIRNPVYLFQGIVLRRGAKGTGMKSIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAKRKQDWSDVPSREMINNAHRAALHLKKKQAGQEGGLGRFVNCKVWGKSGPYGTGPVSGSTDMTDTRKESNYNRWEIMPFESLREEARKHYMQMEGVSLYKMDGNKLDDLVCVKHPLKSEA >Solyc01g073840.1.1.1 pep chromosome:SL3.0:1:81068262:81069641:-1 gene:Solyc01g073840.1 transcript:Solyc01g073840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKQTCEGKQHFSHPHILIPIVNPTETLTCNACEQPNITSNFYGCNTCQYFLHENCLNAPRFLDHSSHPSHHLTLLPAPTYSNRSFTCKACGSAGNGCSFSCACCDFDIHVQCALLPQTVVLPQQHHHELELIFESPYDDDADESTVFICDVCHDNADLSNWLYYCADCDFGTHLKCAISKSVRQQEPKQRKTEKEPIKIQEINQKEENRGITTSKSKNLKHFSHSHPLELCKVQQSNEIICSGCEDELCDTANYKCTKSICEFTLHKSCFELPEKIQHSSHPNHPLTLYPTSPERRLYFGCNACGEIPNSFVYECLECNFSLHAKCATSLAENITREDHQHSLKLQYQWPFPSEDSVDIYCNVCDGYCNDSLWLYYCAECKLGTHLKCVTVKKEEDSSLENEKEPVHEENMTNAERLMMATIELQEHQARMNFRSNMAHQNAQFMNNLFSYPYRYY >Solyc12g042173.1.1 pep chromosome:SL3.0:12:58139770:58140621:-1 gene:Solyc12g042173.1 transcript:Solyc12g042173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYYYRTLRSRSSFQGSSNPLSSHYHGGVHDEKPVAHRTVNLLTSTIKADAEQSDLRFCFRIISPTKSYTLQAESIAEQMVWIEKITGVITSLLSSQTPERHFSESLYQK >Solyc06g064700.1.1.1 pep chromosome:SL3.0:6:40444477:40447218:-1 gene:Solyc06g064700.1 transcript:Solyc06g064700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWPYNFSSILLFAFIYFMHDDMITTITASRLLQTPSFSAPANPSFSTSVVPSFSTPTTPTFLMPATPSFSNSPGLSKPENPSFSKPETPSFSKPENPSFSKPGTPGFSKPETPSFSNFKISSFTNPETPSFSTPTTLSFSSSPSLSKPETPSLAKPDIPSFSKPETPSFSKSKSPSFSKPETPTFSKPEIPTFSKPETPSFSKSKTPSFSNPKTSSFSNPETPSFSKPEIPSFSKPEAPSFSKSETPSFSKPETPVSKLETPSFLKPETPTFSKPETPSFSKPETPSFSKPETPSFSKLDTPSSPKPETLTLSKPETLIFSKPKTPSFSEPKTPGSPKSENPTFSKPENPSFSMTKTPTSPKPDTPTLSKPETPTFSKPKTPTLSKPEIPSFSKSETPSSTKPKISSFLKPKKPNSLKPETPDSQKLETPSFSKPKTPSFSKFETPTFSKPGTLNSPKLDTPTSLKPEIPSSSKPETPSFTKLETPSFTKLETPSFPKLETPSFSKPETSSSAKSKTPSFSKPETPSSPKSETPTFTKPEMASSPSPKTQDSPKPETPSFSKPETSSFSKPKTPSFSKPNTPNSSKPKAPSSPTPEMSSFSKPKAPSLSKPDTPSSPSPSSSLKPETPSFSKSETPSSPKLETPSFSKIETPSLSKPETSSSPNPKTLIFSKPETPSSLNLETPSSTKSDTPSSLKPATPSSQKPETPSSPKPKTPRSPKPKTPSSAKFEMLSSPKPETPSSPKTETPSSPKPETPSFPKPKMPSSPKHGTSSFETPSFPKSETPSSQKPDTPSSSNFEMPNSPKYETPSSPNLKTPSFSEPDIPGFLKPKKSNSSKLDIPVAPAAAPESETPTVSWPKKPSVPNHKLPTTPNSEIPTFTKHELPVILDPEVPSVLKTELPKPSTQTSSTSP >Solyc05g017720.2.1 pep chromosome:SL3.0:5:18191009:18192021:-1 gene:Solyc05g017720.2 transcript:Solyc05g017720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAIMTKTLILCVVEVEDQIHDCKGMMNQIKAL >Solyc08g075140.1.1 pep chromosome:SL3.0:8:59416277:59417892:1 gene:Solyc08g075140.1 transcript:Solyc08g075140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEEEKAKVKEILRSLNGRVSLSVERQTYNKFNDPGYWPTSDCEETCFDFICIRVHFISEDWKLRSWVINSGIEDKICAVTVHSYLDFDEVIDVIKSKLLEKKRLHPDGQLFRVPCCADIFNSMVKRAFGMIEGLISDIRHIVCWGRSLPVWNVTFHKLQEALELEAKGEYLKTDDYKGYHIPSPREWEKVRGVSKLVGHVYTAAEVLFMAKRPTAGLYFHNLNNLRFNLLKDSASSDKFTRNLANTTIMDPRYKVKYLEFCFLKYKENDHSPLLSILESIRSLHHDYVVHKSSMEYTISDSGSEDFDTGEDLFEQMEILDDDSFGFDCLDEFSKFIQTTSQPPKSELDCYLEEPIVPWTKNFDVLSWWKSASPKYPALSKLARELLIQLSLVTGYDAYLIDVNEPDRNIRSVESDLVNALMSTKSWFDKQRCDAEVDTDDLLVKHMYLTYSAIQSLLDHFKMWDIFFYFDNHAQ >Solyc12g015920.2.1 pep chromosome:SL3.0:12:5929192:5930535:1 gene:Solyc12g015920.2 transcript:Solyc12g015920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKIVIDIPVSSDRCISKAMQMAVTICGVTSVNVDKGKGHLIVIGEGVDSFALMKCIKRRFRCANIVSVEEVKPPNPEEEAKKKKEAEEKKKKEEEAKKKKECKEEKEKCCKSCCDCCPPCCPPSCPPYCPPNPPCVQYYPVCQPVYDNYNPSCSIF >Solyc05g016520.1.1.1 pep chromosome:SL3.0:5:17393976:17394314:-1 gene:Solyc05g016520.1 transcript:Solyc05g016520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPRHEEFRKLASNNENENNPGDIGHGLSHQPFPIHIPMLTLRMDLSYLPWLVHITYCMSSLIFQHHLCPIHNDYKVLDMGLLVSAVACAFFNRHWSAHIDRHFFALLSFY >Solyc01g086980.3.1 pep chromosome:SL3.0:1:81772135:81784808:1 gene:Solyc01g086980.3 transcript:Solyc01g086980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGDREDDRTFRVNFTAEGFAKLRERVNEKLKEFMGDYTDDTLVEYVIVLLKNGRRKEEARNELNVFLGEDSVSFVDWLWDHLGSNIDLYVQRKDYDTGGTKTKPAIAEQPAKNDMHHQDTVADKEKLNKSKSRTRREWKGLVSDGHPSLRSSLAENIPEEEAHRKVGHAKRSLSPQPEHVRKRSRPEEKPKKRESSSQTTVAATRRLLQFAVRDAVATNRTSSSTLAPSPSLKRLRSVVSTSVEDSSLPERPQRIRSVARAPTALATAIRAVAEAAKDVTKVRSSGNVFDRLGRAGDVPDHSIPSLDESRQAVAEDVQDQSFADASEAYSTYLHRSDYSGKHIGKSMLHDDAVVVYDSASDDEANRGSSAVNRRAFDASRSGAPVKNIGENLLIVEHGIAYNVDDILDKSQKDQAQPTFAPGAPHQPMNIPLSVNTWKSPQYQDARQSFEIENRRSAQSSEGLAEKSDIMLTKESTNPIAVTNGIVKPYVETQKESQKTGFLNHGLYSTGAPTEDADSRTIFVNNVHFAATKDSLSRHFNKFGEVLKVVILTDAGTGQPKGSAYVEFMRKGSAENALSLDGTSFMSRILKVVRKSSATPEAASGTVWPRVARGGPFAVSRFGRVPFPRGSPTLYRSRLPIRPGARSMQWKRDAQPTSTDNSGLATPSSKAVPSPIPRSMTYVRTESKTNGNSTAA >Solyc08g065535.1.1 pep chromosome:SL3.0:8:53729322:53729864:-1 gene:Solyc08g065535.1 transcript:Solyc08g065535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVLENHYPGMKVTKTESMIMCKVLEEKWKSPWIIANMVREIQKCLSDKQYNIQNILRKGNKLADHLANIDIDKGNGSYTEFNSLEMEGRMIINSEKLQYP >Solyc06g060940.2.1 pep chromosome:SL3.0:6:39041740:39051381:1 gene:Solyc06g060940.2 transcript:Solyc06g060940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQGPCYHCGVTSTPLWRNGPPEKPILCNACGSRWRTKGTLANYTPLHARPESDDLDDYRVCRVKNMSLKNKEAKVLKQKQNHDNTVVRTPPDYYQGFLKSLDEDTSNRSSSGSAISNTESSAQFGSTEASDLTGPAQSNKWEAMVPSRKRTCISRSKHSSVEKLTKDLCTILHEQQSSYFSGSSEEDLLFESDKPMVSVEIGHGSVLIRHPNSIGREEESEGSSLSVNNKRHYVNEAYSRLSAPPVNINRGVNLPNLGTEITKKPNSQGMEQHPIKRDKDHLEKLHILGHHTSPLCHIDLKDVLNYEEFVTHFSSDEQKQLLKYLAPVDSFAPPDSLKSMFHSSHFEENLSSFQKLLAEGVFDSSLPGVKLEDSRTLKRLILCYLTKSKWVEKYNLFKEPKCTSSANGNEVTGRPNAIGTGHSGNVKRPLEGHHPKYAGAKKAMKSPKRVVMKSSYEQKELVDNNNSCFSPKCLFPLPSKSSPVLDSFHVTNDQDVLLDVPSNSSFAQAELLLPTSNFAAQASTGSSSVYPHLVRP >Solyc06g011373.1.1 pep chromosome:SL3.0:6:6848659:6861050:-1 gene:Solyc06g011373.1 transcript:Solyc06g011373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERKAHEALKGQYAAIVSEQRHYNSILEAFQMQTFLEAYELWETVTEDKPLAALLADPTLAQIKSNNEEKAKKSKVKSLMQNAVADTVIYKIMACKTAKEVECARNEILRMQTSKERLAS >Solyc12g044930.2.1 pep chromosome:SL3.0:12:61224137:61225686:1 gene:Solyc12g044930.2 transcript:Solyc12g044930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNREKIKSFPMDFFRLLPEGCVSDILFFTSPKDAAISSAVSRGFNSATESDLLWGKFLPDDYEDISSRYVSPRICSSKKELYFSLCDSPVLMDGGKLSFSLDKKTGKKCFMISARELAISWGVDTPWHWEWIAHPQSRFSEVAHLHTVCWLDIRGTIGSQMLSKRSKYVVYLVFKLAEEHYGLDIAYASVRFVNSVSDKEAEERASILSLVGENINYMTCPQKRVDGWMEMELGNFINDIGDDGDVEARFMEIKHLDGKGRLIVQGIEFRPE >Solyc01g105750.1.1.1 pep chromosome:SL3.0:1:93701086:93701532:-1 gene:Solyc01g105750.1 transcript:Solyc01g105750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEIPELPKPKVLELPNLKVPIMHKPEIPELPKPKVPEIPKLEVPTMSKPEISELPKPKVFELPTMPKPEIPELPKPKVPELPKLKVPAMPKTEIPQLPKPKVPELPKLKVPTIPKPEIPELPKPKVPELPKLKVPTMPKLEIPELPL >Solyc05g006020.3.1 pep chromosome:SL3.0:5:754331:759740:1 gene:Solyc05g006020.3 transcript:Solyc05g006020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGAAAPPKQDENIPHPVKDQLPNVSYCITSPPPWPEAILLGFQHYIVMLGTTVLIPSTLVPQMGGGKEEKAKVIQTLLFVAGLNTLTQTLFGTRLPAVIGGSYTFVPTTLSIVLAARYNDISSPQEKFERIMRGIQGAMIVASTLQIVIGFSGLWRNVTRLISPLSAIPLVALSGFGLYEFGFPLVAKCAEIGLPQLIILLIFSQYIPHLMKGDKHVFDRFAVIFSVMIVWVYAHILTVAGTYKNAPMKTQLSCRTDRAGIISGSPWIRVPYPFQWGAPTFDAGEAFAMMAGSFVALVESTGTFFAVSRYASATPIPPSVLSRGVGWQGVGILFSGIFGTGTGSSVSPENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIVAALYCLFFAYVGSAGLSFLQFCNLNSFRTKFILGFSIFMGLSIPQYFNEYTAINGYGPVHTRARWFNDMINVPFSSEPFVAGLLALFLDVSLHRKDVATRKDRGMPWWDKFKSFKTDTRSEEFYSLPFNLNKFFPSV >Solyc01g087540.3.1 pep chromosome:SL3.0:1:82357181:82359651:1 gene:Solyc01g087540.3 transcript:Solyc01g087540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVVLNPVILKPFYIIPSYLILSSPQLLLHSANMVFKVSVLILFSFLFTASAVRPAPPLEGLLPNGNFEELPKAKDLKKKTVLQGKYALPKWEINGLVEYISGGPQPGGMYFAVAHGVHAVRLGNEASISQTIPVKKGSLYALTFGASRTCAQEEVLRVSVPPQTGDLPLQTLYSSNGGDTYAWGFYATSNVVKITFHNPGVQEDPACGPLLDAVAIKELFPPRPTRVNLVKNAGFEEGPHLLINSSHGVLLPPKQEDLTSPLPGWIIESLKAVKFLDSAHFNVPFGQAAVELLAGRESALAQIIRTVPKKVYAFTFSVGDAKNGCHGDMMVEAFAAKETFKVPFKSQGKGSFKTVSFKFTAIADRTRITFYSSFYHTKINDYGALCGPVVDEVKVTPVA >Solyc07g065910.1.1.1 pep chromosome:SL3.0:7:67629001:67629750:-1 gene:Solyc07g065910.1 transcript:Solyc07g065910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLTPSPTPTPAPTYKRGGPSPMSQIIMAKQALNQPLTPEMSHEIKSKPIVQYVVPRQRRRTNPAVWCAAILCMMFCLLLILFGIGTLVIFLSIKPRNPVFDTSNASLNVIYLDSPKYMNGDFTFIANFTNPNKKLDVRFEHLVIELFFSDSLIATQVVQPFSQRQRETRLVQVHMISSLVYLPPISAFKLQKQVLSNRVVYNIRGTFKVRVNIGLIHYSYWLHGRCQLEMTGPPTGALITHSCRTKR >Solyc07g052560.2.1 pep chromosome:SL3.0:7:61173318:61176098:-1 gene:Solyc07g052560.2 transcript:Solyc07g052560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNLTLISTFDDVLNTELQMLEIRLYLSFHRFMSSTLLRVAGEHNSVVAVVGKGHLPGIKKSWKQPIELNELLTIPSQKRAISVIKSCQHLELQSAIISGIYTSIKK >Solyc02g072220.1.1.1 pep chromosome:SL3.0:2:42097834:42098493:1 gene:Solyc02g072220.1 transcript:Solyc02g072220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEAVLTHFDSCWFNLEILNNHSNSTPFSNYQKNPDDKIQENLTESVYDSPNLEIKTESQSDDLSYDSDSVSPDTVLPVTHFQPFCKNAESKKVKGRRRRREKCLGKSLSELEYEELKGFMDLGYEFSEDDVNSSLVEILPGLQKLSKNRDNDQKLNFVEKSDELRARPYLSEAWEVVEKKKRMNPLMNWKVPVMSNEIDLKHNLKLWAHTVASTVKA >Solyc05g014170.2.1 pep chromosome:SL3.0:5:7924443:7925068:-1 gene:Solyc05g014170.2 transcript:Solyc05g014170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACSSKGGCPSDYVALSAALLSMILLIKDGKDQTLEYEARLASFLINQFDEGVKKVDI >Solyc09g091810.1.1.1 pep chromosome:SL3.0:9:71499358:71499621:-1 gene:Solyc09g091810.1 transcript:Solyc09g091810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKITQPKTLFFLLLFICLLINFSPFNGKLVTNMQVHHKQSTPSDTDTTTTMTTTIVGTGGTGTPSRKYYGEALHEVPSGANPESNK >Solyc01g081455.1.1 pep chromosome:SL3.0:1:80519294:80522951:1 gene:Solyc01g081455.1 transcript:Solyc01g081455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKGKDIESTTGNVATTSTAGMITTFMSDVVNRNWIIDTGASNHMVHNASLMTQYRNLDDKSNMHVNLPTGSQASISHIGESLVLTDKTAHNSTTEVSNNTKSKVEKELLVILVYVDDLLVTGNSLHHIQQVRKDLQHRFKMKDLGELKYFLGIEFSRTNDGILMNQRKYALGLVSELGLTGCRPASTPLETNHKLTSIEFDECSGKVIGRLLYLTMTRPDIAFVVQVLSQFMHSPKTSHMEAAIRVVKYIKGTTGLGLFMPSSKSSELTAYCDSDWAACVESRRSVTGYVVKFGNAAISWKAKKQNTVSRSSPEAEFRSMATTVAEIKIQNIKFD >Solyc09g059970.3.1 pep chromosome:SL3.0:9:57194043:57197606:1 gene:Solyc09g059970.3 transcript:Solyc09g059970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small ubiquitin-related modifier [Source:UniProtKB/TrEMBL;Acc:Q9SMD1] MSASGGTGDEDKKPNDQMVHINLKVKGQDGNEVFFRIKRSTQMRKLMNAYCDRQSVDMNSIAFLFDGRRLRAEQTPDELEMEEGDEIDAMLHQTGGSCCTCFSNF >Solyc03g045085.1.1 pep chromosome:SL3.0:3:11512097:11513443:-1 gene:Solyc03g045085.1 transcript:Solyc03g045085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPATVTPSYIRQESFKKVVYKLSKPLISDDGKVYLCSEKKFFAFESNGSVAWTLSLSYRCSSSIAPVQGESRKARLHFKTESDK >Solyc12g088680.2.1 pep chromosome:SL3.0:12:64944192:64947422:1 gene:Solyc12g088680.2 transcript:Solyc12g088680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGIARGRLTEERKSWRKNHPHGFVARPETGADGSVNLMVWHCSIPGKAKTDWEGGFYPITMYFSEDFPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPSDPAQTEGYQLYMQDGAEYKKRVRQQAKQYPAPV >Solyc03g026360.1.1.1 pep chromosome:SL3.0:3:3821533:3821889:1 gene:Solyc03g026360.1 transcript:Solyc03g026360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSNKYKCKIKTSILIAELASWICAISLMALVLMSSIRENNLRNWDSVVRGNQLSMSHRPCDEIYVVGEGETLHTISDKCGDPYIVERNPHIHDPDDVFPGLVIKIVPFNNNKSLR >Solyc08g082750.3.1 pep chromosome:SL3.0:8:65565741:65574907:1 gene:Solyc08g082750.3 transcript:Solyc08g082750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPEEEMNFIFRSKPKDFVHPPPATAAAIFAAAASASNPMSPFLVSAASEQPCSPLWAFSDENEDKPNGNALSTGSLRLSNYPRFVTYANEHEAAPETVSVTDDKKRIPPPIKGLAPLDYLDSSCIIKERMTQALRYFKESTGERVLAQVWAPVKNGGRYVLTTSGQPFVLDPDCNGLHQYRMVSLMYMFSVDGETDGVLGLPGRVYRKKLPEWTPNVQYYSSKEFPRLNHALDYNVRGTLALPVFEPSGQSCVGVLELIMTSQKINYAPEVDKVCKALEAVNLKSSEILDYPNHQICNEGRQNALVEILEILTAVCETYKLPLAQTWVPCRHRSVLADGGGFKKSCSSFDGSCMGQVCMSTTDVAFYVVDAHMWGFREACAEHHLQKGQGVAGRAYASQKSCFCEDIGKFCKTEYPLVHYARLFGLSRCFAICLRSTHTGNDDYILEFFLPPNDGDYTDQLALLNSLLLTMKQHFRSLRVASGEELEHDWGSVEIIKASTEEKLGSRFDSVPTTKSLPQSASVANGRRHPDLMEEQHSTVAKGAEGVNVTAEAHNHASVPQNKQTGKKSERKRGKAEKTISLEVLQQYFAGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKRVIESVQGADGTFSLTSLAPNSLPVAVGSISWPAGINGSPCKASEYQEEKNEFSNHGTPGSHEEAEPTDQMLGSRIIGNEELSPKLNGFVREGSHRSRTGSFSREESTGTPTSHGSCQGSPSPANESSPQNELLNSPTQESVMKVEGSLEPARQTTGELNLSTAFLMPGLFIPEHTHQQFRGMLVEDAGSSHDLRNLCPAGETMFDERVPEYSWTNPPCSNGIATNQVPLPVEKMPQFSSRPEVTSVTIKATYREDIIRFRLCLNSGIYKLKEEVSKRLKLEMGTFDIKYLDDDHEWVLIACDADLQECIDISSSSGSNVVRLLVHDIMPNLGSSCESSGVKEKIKLALQRIETSQVILLQFWGLENIEGRKFLSTSGQPFGLRYLYKGLCWYRKHCQGYKYSVDKGENHEQGMEKTHLFGPPTRVFQQKLPESSTHVGYYTNEEFPMRDHVLQCGVRTYLALPVFEPVDKNCIGVIELVTVWKGGYLTCEVERVLNPLEAVDLKCPKIFLNKDRKVQAEKYNEGEEFKRMLKIVRETHKLPFIRVWIPCVNLEMDHNGMYVGCTELAMSASNEVYFVADEEMDANDHVYDDYYYDDMLCFRDISKLQPLQKDQGVVGKAFSSGKLCYCTNITEFSIIEYPLVHYARWCGLTTSFAICLKSRDDAYILELFLPPDNGDPNMLLGSILTTMGQHFQNFKFASGQELGNDSSVQVVKASSDKNVDYFHIYQTRDSSFMPEVLHVEDSRNQLMEGNENHMEKKEDRKQSMVQSNVLSIDSHVISEKQCVSVSHLQKESRTRTKDSVNYDDLKQHFDKNLSDAAKSLQISRSTLKRLCRKYGIRRWPLSKRKKSSESDQQTLTKVSKKNKSIGSETTTQKDHESCSSSFTVKATFGDDMMKFKLYTFSRKDDLDNEVSKRLKLPIGRFRINYMDEDNDWIWIACDDDLSDCFNNAQSLGNNTIKMLVLPAAINHHFEL >Solyc08g081150.3.1 pep chromosome:SL3.0:8:64347707:64348959:-1 gene:Solyc08g081150.3 transcript:Solyc08g081150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFASSFFRYKERERVMATFESDLHLPVTCVDATEEFLSKLKGVTEPEMKRKIIGKEFINIFDIFAHDLEKKVGKKPAYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRAMGKIMNIPVAFLRRHPFPGPGLAVRIPGDVTAGNSLDILRQVDEIFIQSIKDAGIYDEIWQAFAVFLPVKTVGVQGDQRTHSHAVALRAVTSQDGMTADWYYFDFKFLDDVSRKICNSVRGYSLTSLFPFWGGEGKGCKTEGEKVHETSIGPSVV >Solyc08g014580.3.1 pep chromosome:SL3.0:8:4796216:4802260:-1 gene:Solyc08g014580.3 transcript:Solyc08g014580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMDVVEKFEDDEKNALEGLSEAPPPRRKAHSYSHQLRTNTGTHHKRHHQIRNHSLDVDYSINNAGLYEDSSDEDEEDEGFYPYSMNSNEINTSINAATTNACASAAADRQDFHLMTQNFSGLGVIPDCLDDDQQLPLPEFAASGGGVGMFKVPTRAAVHPSRPSCHELRPHPLRETQVGRFLRTIACTDTQLWAGQECGVRVWNFSDQYEAGLGFNGRAKRGDEDAAPFYESVNTSPAICLIADSGNKLVWSGHKDGKIRSWRMDQPNSDDSPFKEGLSWQAHRGSVLSMVISSYGDIWSGSEGGIIKVWPWESVEKSLSLSPEEKHMAALLVERAAIDLRTLVTVNGVCNISSSEVKCLLSDHVRAKVWAAGSLSFSLWDARNRELLKVYNVEGQIENRVDISSVQDQSTEDDLNVKFVTKSKKEKSQGSSFLQRSRNAIMGAADAVRRVATKGAFVEDSKKTEVLVLAADGMIWSGCSSGLLIQWDGNGNRLQDFHHHRCAVLCLCAHGSRIWVGYVSGMVQALDLDGNLLAGWVAHNGPVIKMAVGNDYVYSLANHGGIRGWNLTSPGPIDNILRPQLAEKENLYTSQENVRVLIGTWNVGQGRASQEALATWLGSAVSDVGIIVVGLQEVEMGAGFLAMSAAKETVGLEGSSVGQWWQDAIGKALNEGSTFERVGSRQLAALLIAIWVRKSIRNHVGDLDVGAVACGIGRAIGNKGGVGLRLRVFDRIMCFTNCHFAAHLEAVNRRNADFNHIFRTMVFTKSSSLLNNSAAGVSSSAQMLRGANTAQINPDEGRPELGEADLVIFTGDFNYRLFGISYDEARDFVSQRCFDWLREKDQLRAEMKNGKVFQGMREAIIRFPPTYKFERGKPGLGGYDSGEKKRIPAWCDRVLYRDNRATPSVECSLQCPVAFATSALLACNILKHCIFLMCCRYEACMEVTESDHKPVRCKFHVEIAHVDRSVRRQMFGEIFRNNEKIKSLLQEFRYIPETLVSTSQIVLQNQDTYNLRISSRSKEDKLFFQITCGGQSTIKEDEQASEYHPRASFGFPRWLEVTPASGIIKPDQAAEILVRHEEFNKLEETVDGIPQSWWCEDTRDKEVILLINVTASRSTEARTHKVNVRHSFSANAVRTTSKSSSRRSQGGSSHHRSSFRHVGSGSESTTDPQSLRGL >Solyc06g052060.3.1.1 pep chromosome:SL3.0:6:35808235:35810797:1 gene:Solyc06g052060.3 transcript:Solyc06g052060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEKIDGLARWFGTSVASAFFASLERCACVNLTTYASDDEEEEEAKDRPLFMNNLPSHVDPCPRNNNSHSVDNLPV >Solyc03g095615.1.1 pep chromosome:SL3.0:3:58218007:58220279:-1 gene:Solyc03g095615.1 transcript:Solyc03g095615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNHQNTIFVQHGDKNQDDIHMPDSDDQRHQCCHQPHLVEELKPLHGMGRHTLNHGPIENTQSSSNAEGLKNQTGDLAKGSSFSRQLTPEELGSSSVEFNCRGSSLLQSPASV >Solyc03g059390.3.1 pep chromosome:SL3.0:3:31232359:31234427:-1 gene:Solyc03g059390.3 transcript:Solyc03g059390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNITAFLNFLAFMCSIPIIASGTWLASNPDNECIHWLRWPVVFIGIAIMLVSLTGFIGAYWKKEGLLGVYLVCMALLIVLLLVLLVLAFVVTGPTGAYMVPGRAYSDYRLEGFSYWLRDHIVGPDNWGNIRACLADSAICSKLNNHYVTAEQFFAVDLSPIQSGCCKPPTICGYQYMNPTLWINPTNAIVDVDCSIWNNDPNQLCYNCDSCKGGLLGNLRKEWKKSNLILIITLVILISVYLIGCCAYKNTLTKSNSKGKK >Solyc07g037920.2.1 pep chromosome:SL3.0:7:44316171:44318939:1 gene:Solyc07g037920.2 transcript:Solyc07g037920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERYNFAMGAIFPTEHDLKGVVYDIPKGVNNLMNISQRMVKNFFEILSMKDGLEFSTSSQLNCGERVSVRKNLETTQQQGFIATAATSLWLPLSFETIFNFLKDDNTRCQWDILYGENNVFEKDRVKTRASLENNIKIIQCYMPTENKLLLQESNIDEMGAFLIYELIDLSTYNSIVDGSDAKEFAILPSGIIISHDDRLGSKRNNNGNVQNGSILTVALQELVCANNHLISQQQQMEAMTYIHNLLSSTILKIKAALCYSD >Solyc09g011090.2.1 pep chromosome:SL3.0:9:4421255:4423708:1 gene:Solyc09g011090.2 transcript:Solyc09g011090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDDTVFFTKNLVTVLSKYDHNQMYYIGGNSESVEQDLVHSYGMAYGGGGIAISYPLAEVLVKFLDGCINRYHDFYGSDQKIGGCMAEIGVPLTRELGFHQMDIRGSARGLLAAHPLAPIVSLHHLGVIHSLIPLMDRVDSIKKVIEAYKKDSSRTMQQSFCYDLKKNWSISVSWGYSIQLYPNLMNGKELETPMRTFETWKGFEEPFTFNTRPNYIEPCQRPIEYYLDRVFELDNGETLTSYKRIGDYNKQCDNENYSPALAIQMVNVTAKILSPQIWRQAPHRQCCEVINGEDNSNIIDTVLQIRIRSCNKWESATLPF >Solyc06g054280.1.1.1 pep chromosome:SL3.0:6:37210387:37211082:1 gene:Solyc06g054280.1 transcript:Solyc06g054280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLETASTSVDPNSGPRISFSSEFLDEKNFISICPNSQPEKKREKELNAAEFEFLSSNFTNGNMTTADELIFEGKLLPYWQIHHAEKLNKISLKTEHVEEQVNEKQGSSKEEQSRPVNWFIDEDPSPRPPTCTVLWKELLRLKKQKQRPSSLSPSSSSSSSSSSSSANSEILHTDESREKHVVDKIKKRLERSKSATIRVRPLINVPICRQGKNSAIPPIFPIKKGRVER >Solyc07g016220.2.1 pep chromosome:SL3.0:7:6492156:6494805:1 gene:Solyc07g016220.2 transcript:Solyc07g016220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSSYSRSWFKRLFSFKTGFIIMVRSCSWPWLERNVIPRHKMLDCYRHGLIFHHNIPIFSRVSSCICAATILQVK >Solyc11g063620.2.1 pep chromosome:SL3.0:11:49936937:49941236:1 gene:Solyc11g063620.2 transcript:Solyc11g063620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIREISKGRGKPSLEFDDVTQKMTLFAGMSGVVFQARIERSKGVVKPGEMDFVSISSTEPKGDFDHSTLPARLNDGNLEQLVQGGGTIATQKDRKTGISLETRFTRSCLQRLTYSRAVCVGFYRSGGFPTLRIAKEEWLIYKNPTWKLSLPQKTLYPQAPLLGWAKVDPCLVIRIIVLLRSGAKRSRRDRARRWVAQRICFGYRGDEGFESCHLSCGSSCGYRMMGITKKKFGNEHEMSIYELFHYSLFPGLFVAFTYNKKQQPVFGAALAFWCILISFLGLSFRHIPNNLSNYNVLTANAPFFFQISRIWSNHEGSILSWCWILSFCGFLLCYWGRPLSHNVSKRGAESHLTVRRGGHRESSFYSFVSNFVKNSILSLSRYEQKSGMKSQLYTPFVLRTLVDSKLRSQRKQTFDGPALFYAPLYPQRKMSFALLGARRSRGSREGKRMLHLARDDKERASSIDEQRIDGALGIALFFSPFLSAGSDPFVQNFFVCTELLGESNPAGDVASAMGFGLCRSKMMNGIVALHSPPMRKDAAEKNGTLFRSVGCVGSRITSELFTLKFKHVGAKCYPALLLPSNRSLLMLLRQRFFALSSLWTGALVDTRREQAKRVVRNGKKDTTTSPFCWTAGILTCRWFLTVGIFPGSWWAYHELGRGGWWFRDPVENASFMPRVLATTHIQSVILPLLHSWTSFLNIVTFPCCVSGTFSIRFGLLAPVHSFAKDDTRGIFLWRFFLLMTGISMILFSQMKQQASVRRTYKKEMVVARSTLVHLRHSARAQKVGLIVFQAYVLAGLEGAHAKLDRAKGGPVNLLQRFKFAIEELFPASVAVAANLTEEGGGEGWRTSNGLEFSKN >Solyc01g007955.1.1 pep chromosome:SL3.0:1:2073470:2078656:-1 gene:Solyc01g007955.1 transcript:Solyc01g007955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKWWVTAFQLTELFVSSLVHLSYGFYLFSTAVAGDVSQSVTNWIFKPKFEGNKEIKSSNDLPPIVLVHGIFGFGEGRLGGHSYFAGAEKKDDRVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSKACGHAQFGRVYEQGHYPEWDEDHPIHFVGHSAGAQAVRVLHQMLADKAFKGYENTSENWILSITSLSGALNGTTRTYFDGMLLEDEKLIMPVSLLQLCRIGVIVYEWLDIPWMKDYYNFGFDHFSMTWRKVGIRGLLDYLLGNAGPFASGDWILPDLTIQGSIKLNRHLHTFPQTYYFSYATKHPTKVMGFAVPSGIRGIHPLLFIRVLQMSQWRHPQHVSPPYKGYRDEDWWDNDGALNTISMTHPRLPVEHPSCLVIKDSDCQPLQRGIW >Solyc08g006605.1.1 pep chromosome:SL3.0:8:1200688:1206777:-1 gene:Solyc08g006605.1 transcript:Solyc08g006605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNSKRSNSNSNSDVAQSTHPSFFIGSSVIPIINKLQDIFSPLGDIDDRFTGLRLPQVAVIGSQSSGKSSVLEALVGRDFLPRGCDICTRRPLVLQLEKRSAEIGNDSLEWGEFGHLSEKRFYDFSAIRREILAETEKEVGVNKGVSDKQIRLKICSPNVLNITLVDLPGITKVPVGDQPSDIEARIRDMIMSYIKQETSIILAVSPANADLANSDALQMAKQVDPTGTRTIGVITKLDIMDKGTNARSFLLGKVIPLRLGYIGVVNRSQEDINNNRPIREALAYEEQFFRDYHVYRGLSDRCGIPQLAKKLNQILEQHIRNVLPTLKTDLTSQLVAAEKELHAYGEALESKGERGAMLLNILTKYSEAFSSEVDGKSQAMTTKELSGGARIHHIFQSIFVKSLEEVDPCEDLSDEDIRIAIHNATGPRNALFVPEVPFEVLVRRQIARLLDPSLQCLRFVYDELIKPY >Solyc04g054960.1.1.1 pep chromosome:SL3.0:4:53437929:53438696:-1 gene:Solyc04g054960.1 transcript:Solyc04g054960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERSPPAAGGKARNLLSSATSLRLSLTPARSTDHTYVVQIPRDQVYRVPPPENAKIVENHRQPETQKKRKCTCCCWIFSALLLTGIAIGIIVLIVNAMYTPKSPEFSIMNVHFKNVTQPQNNKSHTQQFEIDLKIVNINERMDTSFGKGDHGIATLNFNNHEIGHGKYTAISQKPKDSTNSQFNLDAGKLPADFQKTLNDDKKAIPMTLTVKAPMEITSWTKTLKKDVTVTCDFDVQSVKGKSMIKSEDCKTDF >Solyc07g062960.1.1.1 pep chromosome:SL3.0:7:65722701:65723369:-1 gene:Solyc07g062960.1 transcript:Solyc07g062960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVQEGEEMEFEFSGSVSPGSPKSPADHLFFNGRLLPHYFPCQPVSNINSPISFSRSTSRTSNASSRDSLWSSRSNSTNSRSSCSSSARTSTSECSERKLMNQRNNSAFAMYRNSSNNMNFSTTLHTGISPKWQFIATPAPVMKKKQQFSAPAMKKKGQFVLKSQKQSAKGGKEMKSKKQRKENGKRKVRFWFLRRVFRKFMSACRECHAMEPTRRERLSRR >Solyc12g014595.1.1 pep chromosome:SL3.0:12:5590067:5595513:1 gene:Solyc12g014595.1 transcript:Solyc12g014595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMWTNLNYIIKLHSSVEINNHFFGAGIDGVIRDFPQTGATYRSNPIETGGLLQFVAQQLLPPAETPNPIILSENDVVEPPLPPIAKITPAGNESTTDRSILRSSMREINNLKTRLSATFEMKDLSPAKQILGMKISRDRSAGTLNLSQELYIENVLSRFRVNDAKPRTTPLENHFKLSNEQSPKTVEERKVTLHDFVDADLGGDVDSNNSTSGYIYTIGGTAVSWMFRLQKCVSLSSTEAEYVAIAEAGKEMI >Solyc09g075080.3.1 pep chromosome:SL3.0:9:67317741:67328311:-1 gene:Solyc09g075080.3 transcript:Solyc09g075080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSRTLYVGNLPGDVREREVEDLFYKYGPIAHIELKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGNSSSNDLLVTGLPHSASWQDLKDHMRRAGDVCFSQVFRDGSAHGTPPCGMATYNDTPSNKSLMKHLDLHITVISGPQVILISTNLLGLSGTTGIIDYTNYDDMKYAIKKLDDSEFRNAFSRATIRGLINICLSSKSPKVKSSKRSRSRSRSVSSQSRSGSKGRPVSRSPSRSRSPAPAVRLLESSVIEGAIMLCLSSLMSNSCMVDGFFSKLSEDLILNIFFKLEDDPRNWARLSCVSTKFAFLIHTICYKSKCSATIPSVVSDLVSSTSSCPPGGWSSLYKLAVCCPGLHQAGVLLENSDFGLEREIGPDESYSGRVFPKAELIPSSSSNNNNRCDSGEVVDCGWSLFDDLMFDTVYDASESSSNQIEVVEEEPTSFVVNPTRLSKRRKIYRSPCSHLASGVWNLSREQGNKLLASRFKGDCLYICDWPGCIHMEEKRNYMLFRGIFKNFKQSRVWRTINDGNRKIDLNCAFCSSKQTWDLHSAFCLRRYFGYHDDGEPVVRAYVCENGHVSGAWTDWPLYT >Solyc09g057680.3.1 pep chromosome:SL3.0:9:50364733:50367909:1 gene:Solyc09g057680.3 transcript:Solyc09g057680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRYKFYEKIPCCLLFFLLIAVASPDELSVVVRDGSADDASIMAKLAKSLIPTPPGWSGSNVCKWSGVSCDSSGRVSSISLISKSLGGQLPPDLNQLSNLQSFNIQKNRISGSLPSLSNLPSLQEAHLDSNNFTSVPTNFLSGLTNLQKFSMDENPSLPPWTIPDSLTDSTTLADFSASNANIMGQIPDIFGSFPSLESLRLSYNNLTGFLPYSFAKSGIQNLVLNNQKLGLTGRIDVLGSMEQLTQAWIHVNKFEGPIPDLSLCTNLIDIQLRDNSLTGVVPPSLTSLPKLTNASLQNNIFLGPIPGFKPNVQATLGNTNHFCNPFPGPCDPQVTILLDVAGAVGCPKTLAESWSGNNPCKGWNYITCDAKGTVTVINFAKQNWVGTISPAVANLTGLKSLVMNDNNLTGPIPVSLTSLPELQLVDVSNNNISGKIPKFRPDVILKTSGNSFIGKDVPLSAPPGARPSSSSSTNNNSPSAAKDEHKSSISTWVIVAIVIAVVVLILVLCLVLYKYKRNRKSKLNKGKEQKLKNGSSKNMKGYGAIPSTASQSDTSNSEIYVYDGGHVTIPVELLREATNNFSKENILGRGGFGIVYKGRLHDGTEIAVKRMEASIASNKGLTEFRAEIEVLTKVRHRHLVALHGFCVNGYERLLVYEYMPQGTLGQHLFDHDQLGFLPLTWKQRLTIALDVARGVEYLHGLAQQSFIHRDLKPSNVLLGDDMRAKVSDFGLVKNAPDGKYSVETRLAGTFGYLAPEYASTGRVTTKIDVFAFGVILMEILTGRKALDESLPEDRSHLVVWFKKMVVNKEKIIEVLDPTLDPDEETYQSICKVVELAGHCAAREPSQRPDMGHVVNVLAPLVEQWTPTATAGDDSFNIDFTMSLPQALQKWKANDNSMLSEDTSYGDYSASTRTSQSITVIRKVSETDKNTFSCTKEHQ >Solyc08g075960.3.1 pep chromosome:SL3.0:8:60157953:60166821:1 gene:Solyc08g075960.3 transcript:Solyc08g075960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESLFPQRLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQEIIESIIVPY >Solyc03g112750.3.1 pep chromosome:SL3.0:3:64571740:64575693:1 gene:Solyc03g112750.3 transcript:Solyc03g112750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIGHLCSRIQLSSKKTLNNRPNSSLGSGENCRGPVSMETPPFFPESSNAPEIEECQQSPLPGDSSSDGCSSSSAQENKMKLDGVTFIAITIKAGELHASSIMAAYYKLREFQMVSQVKKMEANQKLRDLQWGYQVIKSSSLDLHSISFYLSQPTTCCHQETENSITINISKGSLSHFSDLLVLLKTSTSTQSSLRDLEFHQVEWELQQLRNLGVLLGSSSSIKQLVFKRNRFTAECLSELSEVLKKNGVIKEIMLSESNIGPVGASLLASALKVNGSLEELQIWEDSIGSKGAEELSKMIEVNSTLKLLTIFDSKSITATPLISAVLARNRSMEVHIWNGENNEKISKVVEFVPENSTLRIYRLNVSGACRVACALGMNSTVKTLDLTGVRLKSRWAKEFRWVLEQNRTLKEVNLSNTCLKDKGVVYVAAGLFKNHSLQKLYLKGNWFGGVGVEHLLCPLSRFSALQYQANISLKSLTFGGKKNKIGRDGLAAILHMLTSNESLTSFGIYNDESLKPDEIIRIFRSLEKNATLRCISLQGCKGVNPWIEDIDLSRTPLHNAGKTEAIYQRLGQNDKAEPEIDLLKGMPMTEPKSCRVFLCGQENAGKTTLSNSIHQHFSSPKLPYIDQVRTLVNPIELAVRPIGMKIKTFKDEDTKISMWNLAGQQEFYAFHDLMFPGHGSASIFLIICSLFRKPNNRELKTPDEVEEDLQYWLRFIVSNSKRALQQCMLPNVTVVLTHYDKINQSSQNLQLIVDSIRRLRDKFQGFVEFYPTVFTVDARSSASVSKIAHHLQKTSKTVFQRVPRVYELCNDLMQILSDWRLENHNKPAIKWKDFGDLCQVKAPLLRIRSRLDNKEKVEARRRAVATCLHHIGEVIYFDELGFLILDCEWFCGEVLGQLLRLDFKKQTSAGDGFISRKDLEKVLRSSLDSQIPGMGSRVFQNLDASDLVRMMLKLELCYEQDPSDTNSLMLIPSFLEEGKEKQPKWQINSSECIYAGRHLQCDDSSHMFLTPGFFPRLQAGPSFSFMLYSILQKHMTVQMKQHPFCF >Solyc02g065315.1.1 pep chromosome:SL3.0:2:37066067:37067429:1 gene:Solyc02g065315.1 transcript:Solyc02g065315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAKSDAKAPETNKVNEVKMEPVILLDSEEERVDPSRGSKDGGNPCYSRRGKSEAKADNMHGVKKEVVKTKEAKKAAKDPEKHFLILRLVVCASGLK >Solyc12g042540.1.1.1 pep chromosome:SL3.0:12:58820635:58820949:-1 gene:Solyc12g042540.1 transcript:Solyc12g042540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVNISSPHRRSQSLTAFSTSSSSKRQPPRGDEFGSCATLLQRHRFLLTALSLLACLCTIYLYFAVTLGAADSCSGLKGTQKAACYVEQGKTSMGKGKLKFF >Solyc04g024590.3.1 pep chromosome:SL3.0:4:29939537:29957179:1 gene:Solyc04g024590.3 transcript:Solyc04g024590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEIVRLNLRNMMILFLVFLVIFVYFFIKFLTADGDFTLLSKGKVKRAEIEDKVIWITGASRGIGEILAKQLASLGAKLIISARNEAELQHVKQQLKGKYAPRDVMVLPLDLTSGEESLRLAVEKAESFFDAAGVDYMIHNAAYERPKSTALDVTEETLKAMLNVNVVGPISMTRMLVPYMLKRGRGHLVVMSSAAGKTPAPGQAVYSASKFAVNGYFHSLRSELCRKGIKVTVVCPGPVETPNPRTGSTERRVSSERCAELIIVAASHGIKEAWISYQPVLAVMYLMQYMPSVGYWLMDKIGEKRVEVGAQKGNTYSINLLFDKKKES >Solyc09g065900.3.1 pep chromosome:SL3.0:9:64425969:64432963:-1 gene:Solyc09g065900.3 transcript:Solyc09g065900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutathione reductase [Source:UniProtKB/TrEMBL;Acc:K4CUL6] MATSLSSPKLSTTLSSPTFHSLYKTKFSNFSLLSLSNPIKPLRFNFLSHTRTSSLSYGRRFTTPRAESSNGAETPRHYDFDLFTIGAGSGGVRASRFASNFGASVAVCELPFSTISSDSTGGVGGTCVLRGCVPKKLLVYASKYSHEFEESCGFGWNYEAEPKHDWSTLIANKNAELQRLTGIYKNILKNADVTLIEGRGKVVDPHTVDVDGKLYSAKNILISVGGRPFIPDIPGSEYAIDSDAALDLPTKPDKIAIVGGGYIALEFAGIFNGLKSEVHVFIRQKKVLRGFDEEIRDFVGEQMSLRGIEFHTEESPQAIVKSADGSLSIKTNRGTVEGFSHIMFATGRSPNTKNLGLDTVGVKMTKNGAIEVDEYSRTSVPSIWAVGDVTDRINLTPVALMEGGALAKTIFAGEPTKPDYRNVPCAVFSQPPIGLVGLTEEEAIKEYGDVDVYTANFRPLKATLSGLPDRVFMKLVVCAKSSKVLGLHMCGDDAPEIVQGFAVAVKAGLTKADFDTTVGIHPTAAEEFVTMRTPTRKIRSSPSEGKAEHDSKAAARV >Solyc09g008180.1.1.1 pep chromosome:SL3.0:9:1627825:1628040:1 gene:Solyc09g008180.1 transcript:Solyc09g008180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDFGLASVYVSFGPFARPPTSESKLAPYVEKLREECIFIAKDLIQSRIHLRLHYTFNMMYVKLYSRTQ >Solyc11g006380.2.1 pep chromosome:SL3.0:11:1086651:1089858:1 gene:Solyc11g006380.2 transcript:Solyc11g006380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFMQKLARTKNIGKRSKKKYLEEALYKNLFKEGSEENHVRKNLNQFLKSHKSAFKWEVGKSIKVLRQRKLYAPALKLSETMEKRGMNRTISDQAIHIDIVAKSKGIEAAESYFLNLPETSKDLLTYCALLNCYCKELMTEKAEALMEKVKELNLSLSSMPYNSLMTLYTKTGHPEKIPAIIQEMKANDVMPDSYTYNVWMRALSSMNDISGVERVVDEMKRDGRVAEDWTTYSNLASIYADAGLTDKAVKALKELEKKNACRNITAYQFLITLYGRVGTLLEVYRVWRSLRLAFPRTANISYLNMIQVLVNLNDLPGAEKCFKEWESGCPTYDIRIANVLIGAYTKQSSLEKAEQLKERARRSGAKPNAKTWEIFMDYYLQSGDIKSAIDCVDKAVSIGRGDGSKWFPSSAIVTKFMSHFKQHKDVAGAEHFVEMLKKAKDELGVDIFESLLRTYIASEKTSPIMRRRIKMENIELTDEGKRLLDAVSVE >Solyc03g112060.3.1 pep chromosome:SL3.0:3:64079555:64086586:1 gene:Solyc03g112060.3 transcript:Solyc03g112060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAVNLAMKSSSSSFFLKTPCLFSSTKPISRAPSSVFTLPSTFRPLVKCIQAPFLPSPLNPDSKKPLNSVFTCSAVTSFPSQSHPNVHSGFSSAKLKLLISEFQSLIEPIDRVKRLMHYASLLPSMDGSVKTTENRVPGCTAQVWLHVSLDEEGKMRFLVDSDSEITKGFCACLVWLLDGAAPDEVLALKTEDLNALNAVGLNGKGSASRVNTWHNVLVSMQKRTRAAVAERDGKPRSELFPSMVVTADGIQPKGSYAEAQARFLFPDESRVQELVNALKEKKIGVVAHFYMDPEVQGVLTAAQKFWPHIHISDSLVMADSAVKMAKSGCQYITVLGVDFMSENVRAILDQAGFPEVGVYRMSDEHIGCSLAEAASSPSYMDYLTTASVSSPSLHVVYINTSLETKAYSHELVPTITCTSSNVVQTILQAFAEVPDLKVWYGPDTYMGSNIMELFSQMSVMTDEEISEIHPLHNRMSIKSLLPRLHYFQDGTCIVHHLFGHEVVGKINEMYSDAFLTAHFEVPGEMFSLAMEAKKRGMGVVGSTQNILDFIKQRVQEALDRNVDEHLQFVLGTESGMITSIVATVRKLLGSADPSSGGAKVTVEIVFPVSSESVTRTSTSSSLDRTFGEMRDSLKVSVIPGVASGEGCSLHGGCASCPYMKMNSLSSLLRVCHSLPHNKAGLSAYEAGRFRLLTPKGKQIADIGCEPILHMRHFQATKRLPEQLINQILQPCDNGQSSSHN >Solyc01g080220.3.1 pep chromosome:SL3.0:1:79287547:79289964:1 gene:Solyc01g080220.3 transcript:Solyc01g080220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAQCMKNPPTLNSASGVGDLQEIGGLSSYVSGSIHSKLAILLISDVFGYEAPLLRKLADKVAASGYLVVVPDFFYGEPLDREKHDVQTWLKDHGIDKGCEDAKVVVESLKSKGVSAIGAAGFCWGGMVVSKLAKYESTIDAAVILHPGPITVDDINEVKVPIAILAAEHDHIFPPDQAKLLGNALSAKPEIESFVKIFPGVEHGWTVRYNVEDEPAVKAAEESHTDMLNWFTKFIK >Solyc07g038100.3.1 pep chromosome:SL3.0:7:45451616:45463977:1 gene:Solyc07g038100.3 transcript:Solyc07g038100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPEIRSLFVSLASELKSISPATGNEAPNMDLTITNLNRSLNLSETVPRVRVLDTALSVMCFTSSQVFNCTIEYLVKTFATVLSSSIECKVLRTADGEVLQIGGLISGQDCTRIVESCAVLQKLGRSQPDLSPIILYAAVRVAVLASKVHYSLKLPPMLDVRSIDGRHCALLKQVHCQKEINIESGKIPLRLLSWQLDHMLLKYDVSQILQEVIKRPFLCLEMEFRKRKEWRSIVICLVHSPVMFTETRSLLHNWFLLTGLASILELHVKLVSLVLDIISRPMWWGISMDIGSKLPFSYSYFPCKKQILRILTGPLSLESLEFLVDEVCKPVEAFSNKAVNIAKVNQSSIWAITVTFPSWFIFASILLFSEKSLRDKYSSACIYGEGNLNNSQDVNAPLLATAAKFIAWSLNPTGGSYLELLVDNLTKFSNIWTIKKFGSDEGNETTLCRNKEVRGSLSFKKEMVNILDSNCQELAFWIKEFQDMYNGHSNKVNESLALDKERTPGVSVQKNMLFRRIPIGILFGCLNHINDAECELLLHYGATGTLMQLTGAQPGMKDKKSNHERQKGLIAWAETYTGKEATAGARIVFDITDASESISASMFETEECGLNFVCDVKLKVGRYLVKCVKRLLQLTLEKNSIQLNMKDLYDRMIRWRNQGRDVFQNDRELVDIIDACASAAF >Solyc06g016700.1.1 pep chromosome:SL3.0:6:12856860:12858586:1 gene:Solyc06g016700.1 transcript:Solyc06g016700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKERYEIISTPIVLSLHSGFSDIASPSLRLLCLVKTLKKMVEVIVPYMQQGVIVPCKDMKI >Solyc12g042967.1.1 pep chromosome:SL3.0:12:59481592:59482338:1 gene:Solyc12g042967.1 transcript:Solyc12g042967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKASVRYSEYHTEPVVYQNYQAALDTYNKFKALSSSAQSKHMMTTEEFIKKIIDKKKDQLYKLQRENDPKEKTNMMHEVARGKKISKDINGNDLNDLMNAMKRNFEMFRKLKIKADKEGSTLYASQPISSDNPVSTVPLASPSIVSSGTDREGPRSPQWSLKWLK >Solyc04g079080.2.1 pep chromosome:SL3.0:4:63757069:63760300:1 gene:Solyc04g079080.2 transcript:Solyc04g079080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFFRPSRNNKIKCPCSHVHLSDEQLINIIKMYDSNGDNYLNKEDLKKLFQQLGSNAPRWRAARAIHHADKNGDGRIDMSTMELDQLVKYARKHGNIIRCTCGQVPLTEVQLTNIFKQCDFNRDGYLTKEDLTEAFRQLGSTFPGYRAGRALHRADKNGDGLIDKKELDKLVEYARKRGYRLRNMAFKNIEYRSVSSDGKREMTLAEFKRWLKKFDENKDGKISKEDLREAVRSNGGWFRRIKVHRAVKYADVNGDGSIDEDEIMNLAEFALKHLGIRIVSY >Solyc07g008680.3.1 pep chromosome:SL3.0:7:3662521:3663706:1 gene:Solyc07g008680.3 transcript:Solyc07g008680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELCTQTVPADHEVEITRRVGSHHPTVWGDHFLAYANLSGASEEEEKQHEDLKEEVRKMLVMAPSNALEKLELINTIQCLGVAYHFEHEIESYMCTHYEEYWIDDLHAIALCFRLLRQQGYRVSCGKYRSYNIKRMKKLIYENAYKKFTDDQGN >Solyc01g056520.3.1 pep chromosome:SL3.0:1:54531478:54572457:-1 gene:Solyc01g056520.3 transcript:Solyc01g056520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLAHLEALCERLYNSQDSAERAHAENTLKCFSVNSSYISQCQYILDNASTPYALMLASSSLLKQVTEQYLSLQTRLDIRNYLINYLATRGIDLEPFVTASLIQLFCRVTKYGWFEDDIFREVVKESTRFLNQTESHYATGLKILNQLVSEMNQPSPGLPSAQHRRVACSFRDQSLLQVFQVSLTSMAQLKNDVKVPKNAASSKLHELALALSLKCLSFDFMGTTVDESSDDFNTIQIPSSWKQVLEDQSTVQIFFDYYEINKPNISKEALECLVRLASARRSLFSNDTSRVKYLAHLMTGTKDIMQTGKGLACHDNYHEFCRLLGRFKVNYQLSELVNVESYGDWIRLVAEFTLRSLQSWQWASSSVYYLLGLWSRLVSSVPYLKGDTPSLLSDFVPEIVKSFITSRFGSFQGEVSDLSENPLDNVELLQDQLDCLPNLCRFQYESCSSYIMQITDPLLQMYMESADPQVLAVVETKFAWIVHIIAAIVKTKQLSGYSGESQEIHDAELSARVLRLINVTDSGLRSQRYAETTKQRLDLAILVFFQNFRKSYVGDQAIHSSKQLYTKLAELLGLHDHLLILNLIVGKIATNLKFYRESDGVISQTLNLMLEMASGYMTAKLLVKLDTTQLIISNQNREEFPFLGDYRCSRSRTTFYYIIGLLIFMEDSFLKFKASMDPLLQVLLSLELIPDALFHTDDVKQALIGLMRDLRGIAMATSSRRTYGFLFDWLYPAHIPLLLKAITIWADTPEVTTPLLKFVAEFVLNKSQRLTFETSSPCGILLFREVSKLIVAYGSRILSLPNHVDMYQFKYKGVWISLTILSRALAGNYVNFGVFEIYGDRALADAFDITMRMTLSIPLADILSYRKLSGAYFSFLEIMMKNQIQLILNLDSSSFTFIAGSLESGLKVLDENIKSQCASAVDNLATFYFEHITTGESPTTPVALNLAQHLADCPNIFLEILKTVLEIVLFEDCGNQWSLSRPMLSMILISEEMFSNLRAQILSSQPADQQHRLSLCFDKLMADITRSLDQKNRDKFSHNLTRFRNEFRTR >Solyc12g006780.1.1.1 pep chromosome:SL3.0:12:1199528:1199782:1 gene:Solyc12g006780.1 transcript:Solyc12g006780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIFKIIGFQKRRSCSDGDDDGDYDYAPSACLEGGGDGDDGDYDYTPAASLEGDCNDQDYDYAPAVSFEGHDVDGDYDYAPAA >Solyc03g118175.1.1 pep chromosome:SL3.0:3:68604088:68608621:1 gene:Solyc03g118175.1 transcript:Solyc03g118175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSHRHRSPGYGGLLGQRLEKCVGLGSMAGSVAVTHLREMQRRGIIRFSSSNEGSSVGASVLRPPLNLILHAQLSHLMESSVVSFLLDCKTSIAIHRPSKTSERLLTRWFPPSLFSRPMLAKHLTLSLLTSCSHNLRLKCFQKWIGQGMDTRVKWRSQISRQMINQSTTNRLGIGDCIKVMLLQGLPQVACPQKITLTTRLLGKEQLYKRDGIDIASSERIFVTLSPIF >Solyc01g049745.1.1 pep chromosome:SL3.0:1:46269558:46270055:1 gene:Solyc01g049745.1 transcript:Solyc01g049745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRLSWNRLVWWPKILISHLHYFGYNLPQFLMFIIIDAQTILMIKIFYATDKIIELPGLSPLSPIDFPSFVFDDVESSHWAVKSIKSKIEILSSEENIREFEALRILKNATTVGIAPLISSIFLDGNNCIRRQNFESVIFLEPFFKPELIFLHT >Solyc12g062733.1.1 pep chromosome:SL3.0:12:34617370:34618250:1 gene:Solyc12g062733.1 transcript:Solyc12g062733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQISVNIDEVDICKCGYYCRLKTLRTPLNPGRRFFGCKSSKENGGCGYFRWIDPSLENVDESSSMNRLIDGQNRIDRLKRKVKELEEEKDSLKFQLNENEVKLMVLNKKLKEVKLQRDWENVKFNRI >Solyc08g083370.3.1 pep chromosome:SL3.0:8:65953443:65954779:1 gene:Solyc08g083370.3 transcript:Solyc08g083370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEQRHAPRLNERILSSLSRRSVAAHPWHDLEIGPEAPNVFNVVDRVLYSSMVYPQNYGFIPRTLCEDNDPMDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYRHYTDINQLAPHRLAEIRRFFEDYKKLENKEVAVNEFLPPSTAVQAIQYSMDLYAEYILQTLRK >Solyc07g053920.3.1 pep chromosome:SL3.0:7:62460490:62464546:-1 gene:Solyc07g053920.3 transcript:Solyc07g053920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSSMNRMLAGNGLFYPIIGFASFIAFLYLSFGDLWVNYSKEINLSFVERNGTQFFVDGKVFYINGWNSYWLMDHAADYNTRPRIRTMLQAGAKMGLTVCRTWAFNDAGYNALQISPGKFDEKVFRALDHVIAEARRNGIRLILSLVNNLQAYGGKTQYVKWAWEEGVALSASNDSFFYDPSIRRYFKSYVKTVLTRRNIYTGIEYRDDPTIFAWELINEPRCMTDPSGDTLQDWIEEMSTFVKSIDRKHLLTVGLEGFYGPKSPKKTTANPEIWAADLGSDFVRNSILSTVDFASVHVYPDHWFHHKNFEEMLKFAAKWMLSHIEDGDKELKKPVLFTEFGLSNDNDDFEPAQRDRFLKMVLDVIYKSAKRNKSGAGSFFWQFLVEKMERFNDEYGVVPWESPSTYRLITEQSCRLAKVQGLHSTQIENVNNFCASRKN >Solyc06g084570.3.1 pep chromosome:SL3.0:6:49684105:49695874:1 gene:Solyc06g084570.3 transcript:Solyc06g084570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFRGRVHFFPFSTQSTYFSIRARASFKVQQENDPFFQAAIERASLRFRESQSPDPLLIDPYVACLLPCNSLEDMDQQLHPYCLATKFIDDKLLETMQSTDGLKQVVLLTDGLDTRPYRLNWPKSTLVFDICPDKVFRGALQKLQAHEAYPYGTTVDDIDAGAKIPRGCMSFHVPSESFDVENTLCSKGFSGTRPSIWAFQGLPVVNLESFKDILSTVSNLAMKGCLFLGELPVWLAEMDVGDQVGDLSAIKKWLDDLFMSYGFRVKMIEYDEVARNLRQDSAKRVSEVSTSILFVAEHLRFSDDQMETWRTEFQRIEEEGDEEGFEEL >Solyc03g071730.1.1 pep chromosome:SL3.0:3:20619524:20620095:-1 gene:Solyc03g071730.1 transcript:Solyc03g071730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNKTSRKHSFLDQTSSRRISTSRRRRTCDCATGKEGQFEQGKGHQRDTFRPLLKLFFTLMLWIHKLTLATPMDVVEEYNDHYGSITSINFVKLIVLTLISIREYNERVHNVFKYKVLKIEKVNFMVTYYLEYWMTAKVRNVTPIEIFQMHAAVGDLANCNLSS >Solyc07g044850.3.1 pep chromosome:SL3.0:7:58034902:58048092:1 gene:Solyc07g044850.3 transcript:Solyc07g044850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAEDDDEPPSKRVKVSSSQLVDISDRTFVRDPVSCSLGDSMARPLTLQGDDEVVGTKGVVKKVEFVRIITEALDSLGYKKTRALLEEESGIPLHTSVVNLFMQQILDGKWDESLNTLRNIGLVDEKIVKLASFVILEQKFFELLHKEKVMDALRTLRGEISPLCINNDRVHQLSFFIISPSQQVLTGVSGQGTARVKSRTELLEELQRLLPPTVMVPEKRLVHLVEQALDLQLDACRFHNSVVGEMSLLSDHHCGRDHIPSLTMQILHEHKDEVWFLQFSHNGKYLASSSADFAVIIWEVKLDGSVCMKHRLLGHETYVSYISWSPDDHQLLTCGEEEAVIRWDVESGVRIHTYEKKFVKFISCGWAPDGKRIFCGVTDKSISMWDLEGKELECWKGHRATRISDLGITSDGKHVISVCKENMIVLVGWESKVERVIQEDQAITSFVLSMDSKYVLVSLSNQEIHLWNIEGTVKLVAKYKGHKRSRFVVRSCFGGLDQAFIASGSEDSQVYIWHRGSGELVATLAGHSGAVNCVSWNPTNPHMLASASDDGTIRIWGDNRVNTKQHDTANGVNYCNGRS >Solyc07g052810.2.1 pep chromosome:SL3.0:7:61382393:61384432:1 gene:Solyc07g052810.2 transcript:Solyc07g052810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLFRCIPGDQGKDARRRKASSFFYQTSQCVQIIDHKKSQNLAILLKASNVTTEEVYDAFEEVELLEMVACFLDFHDISEPPNLTMNPVTDLLGGFGFLNEIDITSSDHQVIYIY >Solyc06g082400.1.1.1 pep chromosome:SL3.0:6:48275392:48275568:-1 gene:Solyc06g082400.1 transcript:Solyc06g082400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEFFTTKIQKKSIVKSEYSITKINYRPEGIVNTTPLEYFQTKKKRKGEIFHFNNRL >Solyc06g011455.1.1 pep chromosome:SL3.0:6:7489832:7490121:1 gene:Solyc06g011455.1 transcript:Solyc06g011455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYRKLNCCTKNDHFPMPFTDRMFDRLAALEDQEKTTFTFPYRTSAFKRMPFCFSNALVAFQHCLMSIFSDIGEDTIE >Solyc10g079680.2.1 pep chromosome:SL3.0:10:61305501:61308138:1 gene:Solyc10g079680.2 transcript:Solyc10g079680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFSSSNMFPTMNSIAWSLEEPLSYDDHHKNTTIITTPQFQTDQNNKLFEGLRADNTIDLPSSHHYQQQCLKGSEFDVDELGVERSLMEKKLNHNASERNRRKKMNFLYSTLRSLLPPPTNKHQKKKLSFPATVSYVQEYIPELKKEIERLSKTKDLLLSKKSNYSLLKIDDNNKRKLIIGGTSCNSSTTSICASQLSNSQVLVQISTTQENNFPISQVFASVEEDGLILLNASSFKSFGDKIFHSLHFQMQGPIEMDIQVLKTKLLVMCEKRRKNSYIV >Solyc01g014745.1.1 pep chromosome:SL3.0:1:14822197:14823032:-1 gene:Solyc01g014745.1 transcript:Solyc01g014745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLGKMHYFLGLEVVQSDDGIFVSQKKYVREILNRFKMKNCNSTDTPVEFGLKLNKAGRGAKVDNTLYRIIIRSLMHLTATRPDIMYGASLISRSKHIDVKYCFLRDLNNEGIVELQYCRSEDQLTDIFTKPLKSLPFQKLS >Solyc02g014730.3.1 pep chromosome:SL3.0:2:18460365:18462682:-1 gene:Solyc02g014730.3 transcript:Solyc02g014730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITATTNNIFYHLNLMNTTFTSINMTCSSSSLEHLSLFLWPHIQIMEIFIALVVFIAIHSLRQAKKQGLPNWPFVGMLPSLILGLRKDMYEWISDVLCHMNGTFTFRGPWFTNLNCVVTSDPRNLEYLLKTNFSNFPKGDYFRNTVRDLLGDGIFNADYDIWQKQRKPASIEFHSAKFRNMTADSLLELVHSRLLPVLEDSIKQSIPIDLQDVLLRLTFDNVCMIAFGVDPGCLHPHLPQIPFAKAFELATEATVLRFVTPTLVWKTMRCLGLGTEKTLKHSLKKVDEFADEVIRTRKKELCLADSKQRSDLLTVFMGLRDEQGQPFSDKFLRDICVNFILAGRDTSSVALSWFFWLLDRNPEVEQRILAEICKILNERGDAIDETPLIFKPAEIKKMEYLQAALSEALRLYPSVPVDHKEVVEDDVFPDGTVLKKGTKVVYAIYTMGRMEGIWGKDCREYKPERWLRDGRYMSEPAYRFTAFNGGPRLCLGKDFAYYQMKFTAASILYRYHVKVVKGHPVMPKLALTMYLKYGLQVKLSRRDQSQLPLNNNNYFL >Solyc12g035440.2.1 pep chromosome:SL3.0:12:40477478:40480250:1 gene:Solyc12g035440.2 transcript:Solyc12g035440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKNSKQRIEAVKLEYGEEISEEIATNALRTSVNFFSALKATDGHWPAEMPVMCLYISGHLNTVLPAEHRKEILRYIYCHQNEDGGWGLNIEGHSTMFST >Solyc03g006300.2.1 pep chromosome:SL3.0:3:897786:904078:-1 gene:Solyc03g006300.2 transcript:Solyc03g006300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQILLILLLPTLILSINQESLYLHTIKLGFDDPNGVFSNWNLHDNSSPCNWYGVKCDSLTRSVTSIDLSNTNIAGPFPASLLCRLKYIKYISFYNNSINSTLPVEELSACKSLVHLDLAQNLLVGSLPSSLAELHELKYLDLTGNNFTGEIPASFGAFRRLEVLGLVENLLTGTIPPEIGNISSLKQLNLSYNPFSPGRVPPEIGNLTNLEVLWLTDCGLIGEVPGTLRGLNKLVNLDLALNNLYGPIPSWLTELTSVEQIELYNNSFSGEFPVNGWSNMTSLRRVDVSMNRVTGSIPNGLCELPLESLNLYENQLYGELPVAIANSPNLYELKLFGNSLNGTLPEDLGKFSPLVWIDVSNNEFSGEIPVNLCGNGVLEEVLMIDNSFSGGIPQSLSQCRSLLRVRLAHNKFSGDVPVEFWGLPRLSLLELTNNSFSGGIAKTIAGASNLSALILSKNEFSGNIPEEIGFLESLVDFVGNDNKFSGSLPVSIVNLEQLGRMDFHNNELSGKFPSGVHSLKKLNELNLANNDLSGEIPREIGSLSVLNYLDLSGNKFSGEIPVALQNLKLNQLNLSNNGLSGGIPPSYAKGMYKNSFLGNPGLCGDIGGLCDGKDEGKTAGYVWLLRLLFVPAVLVFVVGVVSFYWKYRNYKKAKRLDRSKWTLTSFHKLDFNEFEVLRALDEDNLIGSGSSGKVYKVVLSNGEAAAVKKLSRNSKKVDESCDIEKGKYQDDGFDAEVETLGKIRHKNIVRLWCCCTTRGCKLLVYEYMPNGSLGDLLHSSKSGLLDWPKRFKIATDTAEGLSYLHHDCAPPIVHRDFKSNNILLDGEFGARVADFGVAKVIDVDDKGTMSMSVIAGSCGYIAPEYAYTLQVNEKSDIYSFGVVVLELVTGKLPVGPEYGEKDLVKWVCATLDQKGINHVIDPKLDSCFKEDISKVLQIGLLCTSPLPINRPPMRKVVKMLQEVGGGDQLKTALTDGKLTPYYHEDASDQGNDDKNNIVTALTVLGSSLSGATFLASVSLSLSFLIGAWMANNSVFSSELIYGDTRLETMSIKFISLLLCFMLAFSCFVQSSRCFIHANYLISTPDTDIPISYVELAVIRGGDFWSLGLRSLYFATPLLLWFFGPIPMFATSIGMVFLLHYLDKNTKELHKHRSSVNRKQPYQKLEGTTSSRVPIDRLVM >Solyc01g008150.1.1.1 pep chromosome:SL3.0:1:2236789:2236938:-1 gene:Solyc01g008150.1 transcript:Solyc01g008150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTTIVTDGLYGKVNTTAYMNGGEPPGGACANGRGGGDSDDGVFAVAHS >Solyc03g120200.2.1 pep chromosome:SL3.0:3:70135629:70150038:-1 gene:Solyc03g120200.2 transcript:Solyc03g120200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIYKIRGIDVDFPYEAYDCQIAYMEKVIQSLQNRSNALLESPTGTGKTLCLLCATLAWRKSLGGFSVRKSGRRDHISSSQQSDESSQSESSTLPSIVYASRTHSQIRQVVKELKRTNYRPKMVVLGSREQLCIHEEVSQLRGKTQTNACHALCKKRKKRYCAHFSRVAEFMKINPSIGEEPIDIEDLVNIGRSSGPCPYYVSRELHKTVDILFAPYNYLIDRGYRKSLNIQWTNSILIFDEAHNLESLCADAASFDLSSGLLTACISEAKNCIDLSIERREMSSDKSCNPDNFAILRALLLKLEKKIGEVPIDSKELGFTKPGPYIYEFLADLNITQKTANMLIDIIEEASVLLEEDTNTAEDKKTNKSKSTVCRLESMGDILQQIFRDDGNAHAQYYRVHVQEVQGNGMDSFKGKGSRTLSWWCFNPGIAMEQFSRLGVGSIILTSGTLSPMDSFAEELKLDFPVRLENPHVISDNQIWAGAVPVGPSGYTFNSSYRTRDSIEYKQELGNAIVNFARVVPDGLLVFFPSYYILEQCIGCWKTLGQSISMGSSTIWERICKHKLPVVEPRQSSLFPTAIEDYMAKLKDKSASGAVFFAVCRGKVSEGLDFTDHAGRAVVITGIPFATRTDPKVRLKREFLDQQMALQPTGSKVLTGEDWYTQQATRAVNQAVGRVIRHKDDFGAIIFCDERFTYSNRQSQISCWIQPHIKCHSKFGEVVFSLTRFFRDGRIHGPTKPEMMLLDDKETVKSLGSSQSQLHFEKLLTSLVSSVDIPCSTNQSSSSVKQGNGPGGLEDILPANKSSLRSDKIAKLLAVKHASNLLVPGRKDMPISNQKIIDLTKHELADEPPKDVVAPCSMKRPRLALTGSDCQSDHFRKPHDSPDCSSVAHHLLSESDFRYLLNNKKSQYSDNQSTRNSNLDRANLLADERTSRKIAGLVDLQNEDGILSSAPCNNEEKGSAFLVQVREKLTDTEYHEFVGYMKSLKSKAMKIGQVLQSITRLFSLPDRLPLLHRFKDYVPAKYHSLYDQYLKRNQEVAHL >Solyc06g066290.3.1 pep chromosome:SL3.0:6:41703335:41707395:1 gene:Solyc06g066290.3 transcript:Solyc06g066290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGIFSRFSVSRAGHRRTQSALDEREVFPPNSGATIERASSGTPHGIEFAVEFKPVKHPSEPLHIDRPIQCPFPEPSVLNEGRIWKERGSSVRIRRPEGKATDSMATQTIPGTPMNRAILPSMSAPEHSLLKLLEESGIC >Solyc01g034190.1.1.1 pep chromosome:SL3.0:1:36600332:36600625:-1 gene:Solyc01g034190.1 transcript:Solyc01g034190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLHGKAWEVKVEKSQGQIWLAKGWNDLCAYYSLSISILFIFTYIPSSHFDIAIYDQTTTEIEYEIDQDIELDEEEEVIPVLQANAYVIKEYIQVNP >Solyc01g008973.1.1 pep chromosome:SL3.0:1:2927009:2929518:1 gene:Solyc01g008973.1 transcript:Solyc01g008973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYSIFKIRVNTKAKPDPESERIMGRPHQHLQFTKRKKREKKTVSRFEQNTNTDTWDTHTISVFFFSLKIDSSSSSARQNSWFNLTLYEVENQLVDLGKPPGSMSFDEYSQVSSVWNADLIQTSGGNIDGTSTATSFQHQASLTLARVISSDTVDKVWGETYNKGRR >Solyc01g058320.3.1 pep chromosome:SL3.0:1:66029264:66033961:1 gene:Solyc01g058320.3 transcript:Solyc01g058320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHTPCDFVIDVEMCNNTIEEVATTHTNSIDKVGNRFFNNICDEEQLIRPENGFCMISDVKDDDELSPENVKVLVDHKVKGLVAENGVEKKNVKDKRKSGSAKKPPRPPRGISLDAADQKLIKEIAELAMMKRVRIERIKALKKMKAAKASSNSSSSSSTSSVLAFLFTVLFFLVLCFQGMSSGSSAVISHDSPQPSGSRSNSFIIQQYNSDLSARTATKSAGSHNLVGQISGSDPKGSPKSVAS >Solyc11g019935.1.1 pep chromosome:SL3.0:11:9810834:9812079:1 gene:Solyc11g019935.1 transcript:Solyc11g019935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEISGKTHFEGRETEGDRDAPWPICIADDEANDDLRDDNEDKEARLEESNGVDSDAGGSPLLVLASRLQTGQNVLHDEFAIGVLLCL >Solyc01g090380.3.1 pep chromosome:SL3.0:1:83955987:83958427:1 gene:Solyc01g090380.3 transcript:Solyc01g090380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRWTGILKVPLYNTYYRVAASLCLSPSSKSLSVPVANAIFFNGDKIEGTGNPVIERLSDLQQIAEILVSKFGNSINAWVIEAPVFNGPFAVYKDFIPSVNEYGEPKSYDADGFPASSSIVLLLWNCLKEAKSVISGKQKEPYQAEVSTSSLSTPRTLLLGFSKGGTVLNQLVAELGFAPVQLTEDVPLANKNVTNGGYSSQPQDQIIPNSKDGLLNSIAEFHYVDVGLNTEGAYVTNQDVIHRISDRLVQGAPGIRFFLHGTPRQWCDRDRIWIRKEKDEFFRLLKEATRKNMGKLYIRERLYFGNKLPDLQIHFEIIEHLDVS >Solyc10g055730.2.1 pep chromosome:SL3.0:10:57234485:57235537:1 gene:Solyc10g055730.2 transcript:Solyc10g055730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLEVVSTCVIKSQKSIEKKIELTPWDPQVLTLDPNQKGLLFRKPNPKELAKSSSEIIVNHLKISLSKTLNCFPLLAGRLVARKNIDDDTLSFFVDCKNEGAEFAHAIALDLNVADILEQTYVPKIENIAQLKAKANSEYGNNIVCISSLQALLAHLWQSVIRCRFSTNAAEITYRSCIYLKDILEMPCILSTSQPPLRRYWSMISWVKKSKMLKKSGVFANSLIASSSPRFNVYNNDFGWGRPVAVRSGAGNKHEGIITIFCGAEEGSMDIQPQTLRAMGQDTKFMAAVTKIHDIV >Solyc10g079135.1.1 pep chromosome:SL3.0:10:60868319:60868805:-1 gene:Solyc10g079135.1 transcript:Solyc10g079135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYGLLIAMTECQHPIEWKQQHYYSRWIKKLGYFNFSNTFPEETSRWIMSARYTLLNILYASKLPIYF >Solyc02g071660.3.1 pep chromosome:SL3.0:2:41598508:41607601:1 gene:Solyc02g071660.3 transcript:Solyc02g071660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIWWFKKKRIVHPIQEDFFSEEDWNRFRRMILRRTINNRRRTTSRRIKVEPKLSRFWLESRGRLPPVQPVPVVEVQTEPCRSTTEAFERLLQNIHSSIAAGCSFIGLDPLLPLTHSEDRKLATFQLIRSRNVVSDNYAIRKKSSTEEKHVTMAQLVTDGEKPYFEDIEPPKANDQNPFDEMFHLNVGTLYEEDGARKVALGLGPAAFQQSYK >Solyc09g015850.3.1 pep chromosome:SL3.0:9:11295522:11299356:1 gene:Solyc09g015850.3 transcript:Solyc09g015850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHKVARKKGPIKEKGRWGAFGKVGPIGCLHSSDGTEEERNEVRGRGAGKRVESIRLNDREKQNEIRIWPKKKQGYGYRDRSPSIKKNLSKSLCVSGAFKHPKYAGIENDIAFLIENDDSFRKTNLFKFFFPKKSRSDRPTSHLLKRTLPAVRPSLNYSVMQYLLNTKKKMHFDPVIVLNHFVAPGVAEPSTMGGANAQGRSLDKRIRSCIAFFVESSTSEKKCLAEAKKRVTHFIRQANDLRFAGTTKTTISLSFLSSDAREQLLGQLRRKCWNLMGKDKVMELIEKFIDLNRIGELIRGIEMMIEIILRNRRIPYGYNYYLNEVQKMRSLLYNRTNTNTLIESVNIKSVYQSASPIAQDISFQPRNKTRSFCSIFSQIVKDIPLVMKKGVEGIRICCSGRLEGAEIARTECGKYGKTSRNVFNQKIDYAPAEVSTRYGISGVKVWISYSQKKGGCAISETYEI >Solyc07g053840.1.1.1 pep chromosome:SL3.0:7:62378756:62379880:1 gene:Solyc07g053840.1 transcript:Solyc07g053840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSFPITTTAFVILLLSLTSNVFNNSAAKHSHSSSGKGIKNPRLQKAYIALQAWKRVIYSDPNNFTSTWVGPSVCNYTGIYCAPFPNNTKVQVVAGIDLNHADIAGFLPEELGLLIDLALLHLNSNRFCGILPLSLSNLTLLHELDLSNNRFVGPFPNVVLSLPSLKYLDLRYNEFEGPLPPPLFSKDLDAIFVNNNRLSNVIPSNLGSSSASVVVFANNYFGGCLPPSIANFANTLEELLLINTSLSGCLPPEVGFLYKLKVLDVSNNKLAGTIPYTISGLAHLELLNLAHNMFTGTVPEGICVLPKLSNFTFSYNYFCEEQGICSNLTSKGIVYDDRQNCLPEKPLQRSKKECDAVNEHPIDCLAFHCGT >Solyc05g026335.1.1.1 pep chromosome:SL3.0:5:40895227:40895307:-1 gene:Solyc05g026335.1 transcript:Solyc05g026335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFNDNCTRSWSTNCIVVETNKCQKDD >Solyc09g083410.3.1 pep chromosome:SL3.0:9:69493757:69500021:-1 gene:Solyc09g083410.3 transcript:Solyc09g083410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINKLESPITQKKKRKMMALRFSLFIFIISLYFISDFPGIFGHDEDMTIKTMEEFSGYQIHEPKYPNSLSVNSDTLQKQIDELATFSDSPAPSVTRILYSEKDVLARSYIKDLMELSGLSVKEDAVGNIFGRWNGYEPELAPVLTGSHVDAIPYSGKYDGVVGVLGAIESINVLKRSGFKPKRSLEVIMFTSEEPTRFGISCLGSRLLAGSVQLAELLKKTVDNQNISFSDAAKSAGYANAKGDLSEIFLNKGSYFAFVELHIEQGPILEKEGTSIGVVTAIAAPASIKVTFEGNGGHAGAALMPDRNDAGLAAAELALAVEKHVLDSGSIDTVGTVGILKLHPGAINSIPSMAHLEIDTRDIDESRRNLVIEKIHQSALSIAKKRRVILSEFEIVNQDPPALSDESITKATELACQELNLTHKKMISRAYHDSLFMARVSPMGMIFIPCYKGYSHKPEEFSSVEDIANGVKVLALTLAKLSLS >Solyc10g085090.2.1 pep chromosome:SL3.0:10:64525552:64531179:1 gene:Solyc10g085090.2 transcript:Solyc10g085090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMSRLCSSKPIVTINGRFPGPTIYARENDRVLIKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATVHGAIVILPKRGVPYPFPKPNHEAVVVLGEWWKSDTEAVVNEALNSGLAPNVSDAHTINGHPGPVPNCPSEGGYTLSVAPGKTYMLRVINAALNEELFFKIAGHKMTVVEVDATYVKPFKSDTILIAPGQTTNVILTADQKFGKYMMIASPFMDSPITVDNVTATATLHYTGALSSAPMTLTSAPPQNATLVANNFIDSLKSLNSKKYPAKVPNNVDHSLLFTVGLGINPCPTCIPANGSRVVASINNVTFVMPTTALLQAHFFGIKNVFTTDFPGNPPFIFNYTSTLPPPSLATTNGTKLYRLRYDATVQLVLQDTGIIAPENHPIHLHGFNFFAVGKGLGNFNPKIDTKNFNLIDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEIHTTWGLKMAFLVDNGKGPNESLLPPPKDLPKC >Solyc12g044737.1.1 pep chromosome:SL3.0:12:60920878:60921857:1 gene:Solyc12g044737.1 transcript:Solyc12g044737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTSFVKVTLLRVSIDTLRARWAYNNLKMILLSWWNHKSINLILTSLLKIFLNYLLGTLEIKIIPITWIIPPPLRVKLNSDGSCCNGQSRGEGIIRDQEGDFIFAYSIPLGNGTSNTAEAETLLYGLQWCARKGLEIVIGETNSLLLTKSVKREWKPPWKISNQVREIQKIIEDHKFNTVHCFGETKMH >Solyc07g056600.1.1.1 pep chromosome:SL3.0:7:64543650:64543979:1 gene:Solyc07g056600.1 transcript:Solyc07g056600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNIPVKIVIINTQYIETDASSFKSIVQKLTGKNSTVVVESAFAPPPPPLSPVAASYNECGHGSNNYLEDQNVGASLGRLKSFNEFDKLFKELPTLDDLLRLYSDEIQQ >Solyc12g099400.2.1 pep chromosome:SL3.0:12:67543374:67546725:-1 gene:Solyc12g099400.2 transcript:Solyc12g099400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHSTPPVSVGPLSLPYARVYTRSRPTYVASATSPPRSLRFSLSRRRDYFFPRKFTMPSAGSADFPVDGDELKFPLELADESDFDRIVSSDGLITICGFGSLLSERSARSTFPDLINFRVAKLSGFRRVFAHVAPIFFERGIAKPETKEISSLSVEPCEGETLIVTIFEIQRSEIPAFIEREHEFRFLAVIPETLNDLFYVNPAVICARYSDEEYLKNRCKGDKEIFFQRYGRYNIDKIWRDDIFPCRVYLRHCVLAAQNLGNLAYDNFLDHTFLGDRRTTIREYLLTTGSGIMEEEPPELLKQRYGG >Solyc07g041755.1.1 pep chromosome:SL3.0:7:54240059:54248521:-1 gene:Solyc07g041755.1 transcript:Solyc07g041755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGINLPTDSAARPGSVALIDYHHPLYLSALDGPGSLPVGIQLVGMENYMLWTRAMKIALIGRNKLGFVDGSITRSTYGSAFEHLWDRCNAIVVSWLTGNDESQKLAAINTSTSYEAASTVFFTSKNSGSKKKNWNSVCDFCHMKGHSREDCFKLMKCDHCGKTGHLIGKCYQLIGYPADYKFKGENVQANLVNVQQEGQVTISEDQYKEYAIWKQMRDKASTSEASANMTAHELPSDDQHIETDTAVASSYNGVDSAIATDSHESDPSLHGTHADEPLPNSHSAPLRKSTRKSKPPTWMQDYVSKSSGAHACIYPLSAVLGYTKLSSKYQAYLAQMSADIYVDDLLITGSDGDLIQATKDHLQLSFKIKDLGDLKYFLGIEFARNKDGILMHQRKYAVELISDLGFTGAKPFQTPLEVNKKLTSFEFDQHMQDDTDHLLSDPGEYQRLIGRLLYLTITRPDIAFAVQYLSQYMHSPKVSHMVAATRVIKYVKQSPGLGVFMSAHASSCLTAYCDADWAACIDTRKSVTGFLIKLGDSPISWKSKKQSTISRSSAEAEYRSLASTVAEVVWLTGLLKEIGVIFPGPFDRAPLKKTMRAFKRASGSPFVEVGVGSGLGHFYGLKLESTTGN >Solyc12g087970.2.1 pep chromosome:SL3.0:12:64445292:64454296:-1 gene:Solyc12g087970.2 transcript:Solyc12g087970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKMKKQKCFISEEDIAILLQRYSVSTVLAILREVGQVADEKIDWNVMVRKSTTGITNAREYQMLWRHLAYRHDLIDKFDDEAQPLDDDSDLEFELEAFPAVSSEASAEAAASAKMLIASGAPNDANMLNGSTIEAPLTINIPNGQTSRTGMDNSFQGTSMHGTNITVPVAVQKQPLSTVVAAEGLDTHGPGCTNLPPRRKRKPWSEAEDVELIAAVQKCGEGNWANILKGDFKGDRTASQLSQRWAIIRKRQGTMVGNGSQLSEAQLAARHAMSHALNMPIGASVGPNSGGGSSNSSLPVTADLASGGAQSQHQQDPLSSKPRIVPQKPAPKPTTSSDSMVKVTAVAAGARIATSSNSASQVKLAQPKTPLQIPGGGSAVKSSVLGSTNGLPSNVHFIRTGLVSHSAGPPKAVHSAGPSHASRPGTQQGLSHSLKPASPTVQPKPIGNSSKPNALAVPTAPTSTPVAELKVNTNQEVQQDQTPPSVNSLIKVSESKEHKKEDRDPVHANAPGVQVQEKLISLQGQEIANNDTSDPNEVPTRTESGSANGGDPSKEPAETENGKNKME >Solyc01g014535.1.1 pep chromosome:SL3.0:1:14025524:14025850:1 gene:Solyc01g014535.1 transcript:Solyc01g014535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRDELNENEVLKKSSKVARGKKANLMGFSCVVRIYITYNDVTDSSSDERKIIKERSPKNKKGFTKVISKNVSFKEKKDTKLHQENVKKYRGVRQRKWRR >Solyc06g005880.3.1 pep chromosome:SL3.0:6:884261:888428:-1 gene:Solyc06g005880.3 transcript:Solyc06g005880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERICNLLFALAVFIMLHHHTSSLANISTDEAALLALKSHISSDPNNILARNWSSSSPVCSWIGITCSSRHHRVTALDISSMQLHGTIPPHLGNLSFLVSLNIHNNTFHGDFPKELTHLKRLKSISVTRNNFTGAILSSLSLLPNLRFVYLSMNQFSGEIPSSVSNITKLEVLSMQSNFLEGEIPREFGDLRYLTFLDLQYNQLSGSIPTSIFNITTMKILGLTYNNLTGQLPTTICNHLPNLEGLYLSKNYIGGVIPPNLENCRKLQNLSLSYNEFIGIVPRELANLTALTQLYIRALHLEGSVIFYKKNENVHPFLSSAKLATHTFPMRNYECWNYQRIIPPTIFNMSALQLLGLTENKFSGTLPLDLGCGMPSLEQLLFGVNNLSGFISDSISNSSRLRMLDLSGNSFTGPIPGSLGNLEHLEVLSFSGDNFVSDSTLSFLTSLTNCRNLRVLWFDGNPLDGVLPASGVIPREIGNLTGVTRIDLYNNELTGHIPNTVQGMLSLQELYLQRNKIEGRIPEVICGLNNLGALDLSRNQFSGSVPPCLGSVTSLRTLYLAYNRLNSRLPASLGGLHDLIELKISSNLLSGEIPFEIGNLKAATLIDLSKNDFSGKIPSTLGGLDNLINLSLAHNRLEGSIPDSFGKMLALEFLDLCDNNLSGEIPKSLEALVYLKYMNFSFNKLSGEIPIGGPFANITSQSFLFNDALCGDSRFNVKPCLTKSSKKSRRKGVLIGLYILLGIGSLFTLAIGFVVLRLRKTKKCASQEDVSLVKGHERISYDELEQATEGFNETNLLGNGSFSMVYKGILKDGIIFAAKVFNVQLEGAFKSFDTECEILRNLRHRNLTKVITSCSNLDFKALVLEYMPNGTLDKWLYSHNLFLNLMQRLDIMIDVASAMDYLHNGCSTPVVHRDLKPSNVLLDEEMVAHVSDFGIAKMLGAGEAFVKTRTIATIGYIAPEYGQEGIVSSRCDVYSFGILIMETFTRTRPSDDTFTGDWSIQRWFSVAAG >Solyc05g018515.1.1 pep chromosome:SL3.0:5:22130535:22131239:1 gene:Solyc05g018515.1 transcript:Solyc05g018515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVVELGKPVVQPLTVNATINEFKKYDELVTRSPRALTCIHSSLTDVMFTRIMTCETTKEAWDKLKEEFEGNNRVKSVRLLALKREFEILKMKDSDSVKEYSSKLMENVN >Solyc03g123520.3.1 pep chromosome:SL3.0:3:71832974:71855638:1 gene:Solyc03g123520.3 transcript:Solyc03g123520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLQSPITDPSRTSRRLTAEFLWGRFDLGKKQKNPNNYHSKAKHLRSEVVDDFEADFQDFKELSDDEDVQVDVKPFAFSASKHSTGSKSLKTVDSDKDAAADKSSKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAAKAYDIEARRIRGKKAKVNFPDEAPAPASRHTVKVNPQKVLPEESLYSLQSDSAIMNSVEDDHYDSFGFFEEKPMTKQYGYENGSSASADTGFGSFVPSAGGDIYFNSDVGSNSFECSDFGWGEPCSRTPEISSVLSAAIECNEAQFVEDANSQKKLKSCTNNPVADDGNTVTMVPEELPAFEPQMNFFHLPYMEGNWDASGGNFLNTSATQNGGENAMDLWSFDDVPSLMGGSDPLFSSVIGVTLLAQNPNKVGIRYKPTRFHVFNGGVVIGMVQVPAFYQPPHSNNLTLETRAIFYCVNVTKILSNISLQQNSSTKSVTLASILGDVKAQVQLFNVNLPKVKLAVECAINIDQNYIKLSNQMVYSLEARKSNKPISNDEEQHYINGAKFASSGTGSLLQTFQGAVIDLKTQLNEVKILLRNKFGFSKSDKILSIEQLPQKLCRRYTRREDCGTGIYSCGGKRAVKQFESELPVQRFGIGDMGSESHQQSENNEQEDSTPLYLQKFRLYETTSNFYMVGRDKTRTYWKVLKIDRLEPTELIMYEDSATYSEIECIDLLKRIHEGNKSTGGLKFVSTCYGIVGFVKFLGPYHMLVITKRRKIGVIRGHAVYAITKSEMFPIPNSTVLSNMPYSKNENRYKKLLRTVDLTRDFFFSYSYHIMISLQKNLSNRQSGLTLYDTMFVWNEFLTRGIRHQLKNTLWTVALVYGFFKQVTLPLSGRNFILTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVLEDVPAGCPLQISAVVQNRGSIPLFWSQETSRLNIKPDIILSRKDLNFEATKRHFENLVKRYGNPIIILNLIKTREKRPRETILRAAFANAIEFINKDLSEENHLRFLHWDLNKHSRSKATNVLTLLGKVAANALELTGFLHCQLIPASKTGKLLKLSPIDNTEDQAGEDPCEERTEPNSPSGDYHVNLSTLQRGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGFIDVESIDLDSPLADNLMKLYEEMGDILALQYGGSAAHNKIFSERRGQWRAATQSQELFRTLQRYYSNAYMDAEKQDAINVFLGHFRPQEGKPALWELDSDQHYSVGGHASSLAMESSRSFIKRSLSEGNLICGSNSPVKETDTEQTDDSDQPLPESAKGGSKGLSESTPEISTCETDISFARYTPSMSGRQLFLDMQLEQRLGSGSVRLHDRVDSIDFSNFLDVEWLSSSGNSCEDEAFERSAIIGSPCCVLSSDCVTVEFKAETSSSVIESSSLKRQEQTSKDINFDAKGSSKHIAEFSEKFVHWVNNGDMLFP >Solyc03g082940.3.1 pep chromosome:SL3.0:3:54233744:54239042:1 gene:Solyc03g082940.3 transcript:Solyc03g082940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVTQILLNAQSVDSTVRKHSEETLKQFQEQNLPGFLLSLSGELANEEKPVDSRKLAGLILKNALDAKEQHRKFELVQRWLSLDMAVKAQIKTCLLQTLSSPVPDAHSTASQVIAKVAGIELPQKQWPELIGSLLSNIHQVPAHVKQATLETLGYLCEEVSPEVVDQDQVNKILTAVVQGMNAEEGNNDVRLAATRALYNALSFAQANFNNDMERDFIMRVVCEATQSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVKEDVEPVALQAIEFWSSICDEEIDILEDFGGDFTADSDVPCYYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNLAMAGGTCLGLVARTVGDEIVPLVMPFIQENISKPDWRQREAATYAFGSILEGPSPDKLTPLVNVALNFMLTALTKDPNSHVKDTTAWTLGRIFEFLHGSTVETPIITPANCQLIITVLLQAMKDAPNVAEKSCGALYFLAQGYEDMGASSPLTPFFQEIVQALLTVTHREDAGESRLRTAAYEALNEVVRCSTDETAPMVLQLAPIIMTELHQTLEGQKLSSDEREKQSELQGLLCGCLQVIIQKLGASEPTKFVFMQYADQIMNLFLRVFACRNATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDVCRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSCLGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSGADDEMVEYTNLLRNGILEAYSGIFQGFKNSPKTQLLIPYAPHILQFLDSIYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKEFLNECLSSDDHLIKESAEWAKLAITRAISV >Solyc11g064880.2.1 pep chromosome:SL3.0:11:50409807:50453001:1 gene:Solyc11g064880.2 transcript:Solyc11g064880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRIGTSNTVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKNDPSTLPQQGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETLEDLYEWKTVLEHALAQAPSAALVMGHNGIFRNDTGDSIEGSLHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKYGTKVEGILRQSADVEEVGRRVNEYEQGKTEFVLEEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARVNAMRSAIHETFPEPNRRLLQRILKMMHTISSHASENRMTPSAVAACMAPLLLRPLLAGECELEDDFDVSGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDDNLHRCSISADSQIANSGNIESSDDENLDMKDNGFHDAENEVDPDSDDDRERLWSGKLSESSGSAASDLYDYKDFSGDDSDVESRRDIHVQGVTLKPTVNTQPHAVSNVPFSEQLERCGNEVDDPCELAGSESQRSMGGILPSMDPAHGISRRDSSADKSSSKLTPPNLNVKKSTFWGRSNARKTPSVESIDSSGEEELAIQRLEITKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQLSGTRDMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDACERFQNGPNHNSQLKYFQQDFDTTLAFCNHERRQRSEELLGADTRNIKGQVLTSGASSRRPARKLFLDTTLSDSKSTEASTSLSLDELGAVDSPCGPSISRAIEAMDYGQHPSAASSTLVELTTRLDFFKERRSQLMEQLHGLDVNYGSTSQDFMYKPSSPPWN >Solyc10g086760.2.1 pep chromosome:SL3.0:10:65606275:65609099:-1 gene:Solyc10g086760.2 transcript:Solyc10g086760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:K4D4A7] MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYSGDSDLQLERINVYYNEATCGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADDEGEYYEEEDEEDVNEN >Solyc03g026330.3.1 pep chromosome:SL3.0:3:3791427:3794154:-1 gene:Solyc03g026330.3 transcript:Solyc03g026330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQIYSSTPQFSCQFPKIKSRQISSYSSVQLKPRISIISCQQQPQSTGVVTETPVPRTAIYNVDFKTFEDCKLGISRYPDFVYNAQGGTGTGTGKRIESSDEISIDFDLEKLYIPPLTSATAKFLGLPLPPFLKIDVEPELLRGYVNQETGKVNLEFKAKFWFSVGSIYRAPPLLVDTLLTSDESKGGMRGGKGERLNEEGRCKLVGVATVEPIDDLFMNTFLGLPTECLAKMNSTISLSST >Solyc02g021290.1.1.1 pep chromosome:SL3.0:2:22893957:22894112:-1 gene:Solyc02g021290.1 transcript:Solyc02g021290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMQDDLTLFQALIG >Solyc05g014420.2.1 pep chromosome:SL3.0:5:8281655:8283638:1 gene:Solyc05g014420.2 transcript:Solyc05g014420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDNTDMNEDFKLLEPMDLITKSVDELRKIIKEKQHKEKEREHDSERSDVMVLEGHISEVRYDFSIGVIFCFLSDILTLQFSFVSILLLGFCLCLESRRVTACIWREGTLLATGELVCTLNKHKGPIMSLKWNKKGNYLLSGSIDTTAVVWNVKSGESKQQFGFHSGQLLDVAGQNNDSFATSSADRMIYDCKVGENKTVKKFSGHQNEINAINWDPSGSLLASCSDDTTVKEIYTIKWSPTGAGTSNPNQQLLVASASFVTTVKLWDVHHGCLLHSFNGHR >Solyc12g008620.2.1 pep chromosome:SL3.0:12:2003354:2006606:1 gene:Solyc12g008620.2 transcript:Solyc12g008620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVELDDSLIEGDSKCVNSERRVIVGVDAKRVLVGAGARILFYPTLLYNVFRNKIQSEFRWWDQIDQFLLLGAVPFPSDVPRLKQLGVGGVITLNEPYETLVPSSLYHAHGIDHLVIPTRDYLFAPSFVDINRAVDFIHRNASCGLTTYVHCKAGRGRSTTVVLCYLVEYKNMTPAAALEYVRSRRPRVLLAPSQWKAVQGFKRHRMASSPLSIDAVLITKADLEGYHSSSDDSRGKEIALVPRIARTQPMIARLSCLFASLKVSGGYGPVTRQLTEARAC >Solyc01g066650.1.1.1 pep chromosome:SL3.0:1:74659986:74660348:-1 gene:Solyc01g066650.1 transcript:Solyc01g066650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWHSEKKIPEYLSHVFHEFDNFKNDFSKCLHFTTTPEEFETSWINIMKMYSLEEHSWLHRRYTTREKWISAYVRTTFCVGMSTAQRSESMNKYFKDYLNSSTPMSVVSNMIKLLTLGMTK >Solyc12g009150.2.1 pep chromosome:SL3.0:12:2459815:2465210:1 gene:Solyc12g009150.2 transcript:Solyc12g009150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLKVSQISLILIGFVCASVLLLAYVKSLLLSSSVLPQNHVLQLSPDLRVKDEEALGEGSGSEQSQDSVNFESEKYPTYANSSPVIKVTEELITHEERGGIAPNITEKGDSKDVSDKKTGLIEQEIDVSTAVSSGGEHSDNFTATPERQDCNFAKGRWVIDDSRPLYSGFGCKQWLSSMWACRLTQRTDFEYEKLRWRPKNCEMEDFTGVKFLKRMQNKTLAFIGDSLGRQQFQSLMCMISGGKDRPNVLDVGHEYGLVQSRGSGRPDGWAFRFPDTKTTILYYWSASLCDLTPINPSDPATDYAMHLDRPPAFLSHFLPRFDVLVLNTGHHWNRGKLKANRWVMYVGGVPNTNRKIVTIGGAKNFTIHSIVNWVNSQLPKYPHVKAFYRTISPRHFFNGDWNTGGTCDNTTPLSEGKEVLQDESSDYDAARAVRGTDVKLLDITALSQLRDEGHISRYSIKATPGVQDCLHWCLPGVPDTWNEILFAQI >Solyc03g115243.1.1 pep chromosome:SL3.0:3:66511238:66511757:-1 gene:Solyc03g115243.1 transcript:Solyc03g115243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSVAGQIVLMSMLELKLSTLDYEMTKSNARFVEWSDGSVQLMIGNEVLDISTQDAQQDHSHLFLRHGKVNLMSIVIYDAKS >Solyc09g074610.3.1 pep chromosome:SL3.0:9:66864862:66866634:1 gene:Solyc09g074610.3 transcript:Solyc09g074610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKPLPLRLLKSDTKQISNSMASATAPPAPFTFLTRNQTNNEHRTDTRWLTTKQRRRRVGLQVYAKEEGATGRQRAPPGVDTRIHWENEDEGWVGESKSRSTQERIKTDEKNLFDEKFSDLLNSSANSHYQFLGVSATADLEEIKAAYRRLSKEYHPDTTNLPIRAASEKFMKLREIYDVLSDEEQRRFYDWTLAQETASREAEKMKMRLQDPRMLEVENWESVPDMVDRLGGRNMELSNQAKTALTFDILIIIFSFCCIIYAVVFKEQY >Solyc07g049730.3.1 pep chromosome:SL3.0:7:60202265:60206215:-1 gene:Solyc07g049730.3 transcript:Solyc07g049730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNQAGRSSPCSPRVLKSVLGLMAISLAAYILGPPLYWHLMEGLVAVSRSSSVTTCPPCNCDCNSEPFFFIPPGLSNVSLTDCAKRDPEVGEDTEKNFADLLSEELKLREAEASENQRKADMALLEAKKLTSQYMKEADKCNSGMETCEEAREKAEVLLLAQKKMTATWEMRARQKGWKEGAAKSRTQSQGNVQTM >Solyc10g008655.1.1 pep chromosome:SL3.0:10:2735902:2737251:1 gene:Solyc10g008655.1 transcript:Solyc10g008655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVIEQCQVAPPPGGATEVILPLTYFDHVWLGFRQLDNVDVIRSFSIAGSPKHDLYAADFGWGRAAKLEFISIDNDDDGISMSLSKSKDFDGDLEIGLCLSKTRMNAFATIFTHGLSFLCQV >Solyc08g005420.3.1 pep chromosome:SL3.0:8:313082:316083:1 gene:Solyc08g005420.3 transcript:Solyc08g005420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAGSRYNKFRPPLVNQMSHKKRTRENLDRFIPNRSAMDFDYAHYMLSGGKVKKEHYGVNSPSKEAYSKQLAEIFNMNRTRILAFKNKPPHSAERVSESPSSIQQPKTVKKRRYIPQSSERTLDAPDILDDFYLNLLDWGSNNVIAIALGNSVYLWDASDGSVTELLTVDDDFGPVTAVSWSPDGRSLAVGLNNSHVQLWNTLQGSSRLLRTLQGHRLRVGSLDWNGHILTTGGMDGMIINNDVRIRSHIVGTYRGHNQEICGLKWSASGQQLASGGNDNLVHIWSISMGSANSTHQWVHRMTDHTSAVKALSWCPFQSNMVASGGGIGDQCIKFWNTNTGACLNSVNTGSQVCSLLWNRHDRELLSSHGFIDNQLAVWKYPSMTKISELLGHTSRVLHMAQSPDGYTVATAAADETLRLWNVFGNPTETKPVLKRKLEPFFDLAQIR >Solyc01g100360.3.1 pep chromosome:SL3.0:1:90293964:90301497:-1 gene:Solyc01g100360.3 transcript:Solyc01g100360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSISLSLSSPSTICRSDSSAAVSNVNVNLLNPRSLRFCGLRREAFGLNSSLSTHRIQSLSNATRRPCSNRIVASSAGNGAASKGFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKSFGLQVAAAGYDRQGVADHANNLASKIRSNLTNSMKSLGVDILTGFGTVLGPQKVKYGDTVITAKDIIIATGSVPLVPKGIEVDGKTVITSDHALKLEFVPQWIAIVGSGYIGLEFSDVYTALGSEVTFVEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFASKITPAKDGKPVTIELIDAKTKELKDTLEVDAALIATGRAPFTQGLGLENINVQTQRGFVPVDSRMRVIDASGELVPHLYCIGDANGKMMLAHAASAQGISVVEQVTGKDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEIGIAKTSFKANTKALAENEGEGLAKLIYRPDSGEILGVHIFGMHAADLIHEASNAIALGTRIQAAKVMSRFPVIAETPKKGIQSSPCQDAPVKANTSSPAAEPVAA >Solyc01g104460.3.1 pep chromosome:SL3.0:1:92822372:92829600:1 gene:Solyc01g104460.3 transcript:Solyc01g104460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTRGEEEAKQLAAELGKTLKEGERLLAPTRRPDGTLRKPIRIRAGYVPQDEVAIYKSKGAIWKKEMESLQDVPPGYDPVMDEKPKSKAAKRNERKKEKRQQAALEKGKNPENDEVSSAENSVDGPDQVESVMSQINNLAISANPVVPPSNSTESSGMGDSLQDIDKKIRALKKKIRLTEAQQQKTDEKDMKPEQLEKMAKLESWRKELKLLENKKAELEAS >Solyc11g030730.2.1 pep chromosome:SL3.0:11:23189918:23192716:-1 gene:Solyc11g030730.2 transcript:Solyc11g030730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVFVAFAGVVVLAFLCKVSTCRRPVNRKLPPGPKPWPIIGNLNLLGPIPHQSFDLLSKKYGELMLLKFGSRPVLVASSAEMAKQFLKIHDANFASRPLLAGGKYTSYNYCDMTWAPYGPYWRQARRIYLNEIFTPKRLESFEYIRVEERQTFISQLNSLAGKTFFLKDHLSRFSLCSMTRMVLSNKYFGESTVRVEDLQHLVDQWFLLNGAFNIGDWIPWLSFLDLQGYVKQMKALKRTFDKFHNIVLDDHRAKKNAFVPKDMVDVLLQMAQDPNLEVKLTDDCVKGLMQDLLTGGTDSLTAAVQWAFQELLRQPRVIDKAIQELDQVVGKERWVEERDCSQLSYIEAILKETLRLHPLGTMLAPHCAIEDCKVAGYDIEKGTTVLVNVWTIGRDPKYWDRAQEFLPERFLEKDIDMDGHNFSFLPFGSGRRRCPGYSLGLKVIRATLANMLHGFNWKLPQGVNTESVSVEEQYGLTTHPKFPVPVILEPRLSSHLYSPK >Solyc05g018510.3.1 pep chromosome:SL3.0:5:22106435:22117208:1 gene:Solyc05g018510.3 transcript:Solyc05g018510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSAENLSVRSTSFREDRDDDEEALRWAALERLPTYTRVRRGIFRNIVGESWEVNVDNLQHDERKVVLDRLFKSVDDNWDNLFNRIRLRFDRVDLEFPKIEVRFQHLAVEAYVQLGSRALPTISNFVFNMTEAFLRYLRIYSGKRTTLTILDDISGIIRPSRLTLLLGPPSSGKTTLLLALAGRLKSDLQMSGDITYNGHGLKEFVPQRTSAYVTQQDWHIAEMTVRETLDFSVRCQGVGSKYDMLLELSRREKMAGIKPDEDLDIFIKALALEGNDAGLVVEYILKILGLDNCADTLVGDEMLKGISGGQKKRLTTGELLVGPSRVLFMDEISTGLDSSTTYKIIKYLRHSTHALDGTTVISLLQPAPETYDLFDDIILLSEGQIVYQGPREDVLNFFEYMGFHCPERKNVADFLQEVVSMKDQEQYWAVSHRPYHYIPVTKFAEAFRSYRTGKNLSEELTIPFDKRYNHPAALSTSKYGAKKTQLLKTGFDWQLLLMKRNSFIYIFKFFQLFLVSLITMSVFFRTTLHHNTIDDGGLYLGQLYFSMVIILFNGFTEVSMLIVKLPVIYKHRDLHFYPCWVYTLPSWVLSVPTSLVESGLWVAVTYYVVGFDPSVARFFKQFLLFFFLHQMSLALFRLMGALGRNMIVANTFGSFAMLIVMALGGYIISRDRIPSWWIWGFWISPLMYAQDAASVNEFLGHAWDKRENKNSDLRLGEALLKSRSLFPQSCWYWIGVGALLGYTILFNMLFTFFLAYLDPLVKHQAVVSKEDLQDRGRTKKDEPTVIQLQEYLKHSGSLTRQSFKNRGLVLPFQPLSMTFKDINYYVDIPLELKQQGMAEDRLQLLVNITGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGTIEGSIHISGYPKKQETFARISGYCEQNDIHSPCLTILESLLFSAWLRLPSEVDVETQKAFVDEVMELVELSPLRGALVGLPGVDGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPKSCKLIEYFEAIEGVPRIRPGYNPATWMLEVTSSVEETRLGVDFAEIYQRSNLFQYNQVLVERLSRSRGDSKDLNFPAKYCQSYFSQFLACLWKQNLSYWRNPQYTAVRFFYTLIISLMLGTICWRFGSKRDSQQDLFNAMGSMYVAVLFVGVTNGTAVQPVISVERFVSYRERAAGMYSALPFAFAQVAIEFPYVFSQAIIYSIIFYSMAAFEWTASKFLWYLLFMYFTMLYFTFYGMMTTAITPNHNVAAVVSAPFYMIWNLFSGFMIPHKRIPIWWRWYYWANPVAWTLYGLVASQYGDDVRLVKLSDGIQSLPANLLVKNVFGYRHDFIGVAGFMVVSFSLLFAVIFAYAIKSFNFQKR >Solyc04g008150.2.1 pep chromosome:SL3.0:4:1829341:1834179:-1 gene:Solyc04g008150.2 transcript:Solyc04g008150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPFTAAALTTAVTATVSLLVENLSHLISYNWKLYTGLKKSCEDLYDEVKRLNAFLVDNANQRSNSTQWDVLVDKIRRTVYKAEDVVDKLLIQGKLDQESNIAKKMFHKTYKNRNFTEEINEILVEVRKILEENQHLFEANPTIDHHQPEKVVQEEQGSSLENHEVVGFDEEATKVINRLVEGAECLDVIPVVGMPGLGKTTLARKIFNDPKISREFFSYIWVFIGQSTCVKRDILFNILKGFTNSFDEFKNRNEASITDEIRKRVANGGKCLIVLDDVWDPNVVDIVKTVFPDNKKAHRIMMTTRHEDIARSVNKYPHNLKFLDGDESFQLLEKRAFGVSRCPVELVEHGEAIVAKCSGVPLTIVVIAGALRGRTSEIDWKVVRENVGKHLIQEDKLQRCVNVVRLSYNHLPQEKKSCFLYFGAFPQGFDIPAWKLIRLWIAEGLIMSKLSGNEIEEIAEYYLNDFANRNLVMVMKKKSNDRIKTCRVHDMLHEFCVEEATRLTLFKQVCLTSDQDIQNSITCRRVSIQSSVPQNFISKKTVEEHVRSLLCFSSKQKQVDFSNIDVKLIPTAFPLMRVLDIESVKFSITREFYQLLHLRYIAISGDFEQLPKLFTSFCNAQTLILNTSKPTLDIKADIWNMPRLRHLRTNKPAILPPPTSSSSSSSTNSCFLQTLSLVTPESCKGNVLSKARNVKKMSVKGNLTPFLETSKGEFFSNFQVLKLLESLTLLNDDKSNKSLHLPSAFSECLPNLKKLTLSKTRFDWNQAYRLGQVKNLQVLKMKENAFMGPSWRMEPGGFKKLQVLWIEMADFVSWEASNCPFPRLRSLFLISCLNLEAVPLDLAHLDNLQEMTLENTSKASKSAREIECEKKKKQADHPESGKFKLTIPY >Solyc10g055590.2.1 pep chromosome:SL3.0:10:56983886:56986411:1 gene:Solyc10g055590.2 transcript:Solyc10g055590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILMSFDGKTFEVDEAMALELETIKHMIEDDWANNTIPVANVTGKILAKVIEYCKCHVEVSKVEDKIAKEDLKSFDAKFVKVEQGTLFESHAVADMIKEKTPEEIYKTFNIENDFTPEEEEKIKRENAWAG >Solyc03g097110.3.1 pep chromosome:SL3.0:3:60880294:60885757:-1 gene:Solyc03g097110.3 transcript:Solyc03g097110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARTVKVSNVSLSASEQDIKEFFSFSGDIEYVEMMSENERSQIAYVTFKDLQGAETAVLLSGATIIDQSVTIVPEPDYELPPTALVPIKVCIIYLDILATESANAAGGGSAIQKAEDVVSSMLAKGFILGKDAVNKAKTFDEKHQFTSTASAKVASLDQKIGLSEKINLGATIVNDKVKEMDQKFHVSEKTKSALAAAEQTVSTAGSAIMKNRYVLTGASWVTGAFNKVTKAAGEVGQKTKEKMAEEQQAKNSAEGYVPIHALSESPKASKTEEPTKPSSPKGLIL >Solyc01g066190.1.1.1 pep chromosome:SL3.0:1:73106866:73107180:-1 gene:Solyc01g066190.1 transcript:Solyc01g066190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPQRDLNKIGSEGFALIDEYFDKKRTNRSQITVETQQSCNYRYVLPESLVYRMIPPTGREVMVSAPTPPVAALNRYEAAQLHDGIRLADYYSKRPRMPMAYAT >Solyc11g013770.2.1 pep chromosome:SL3.0:11:7152364:7155696:1 gene:Solyc11g013770.2 transcript:Solyc11g013770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLKGFDIPNRVESGSINSIVGQISSSQWRTSETMENIREHGAVMINNTSKVTKAVEAIWIPLFVMLVC >Solyc11g032060.2.1.1 pep chromosome:SL3.0:11:24617699:24617734:1 gene:Solyc11g032060.2 transcript:Solyc11g032060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding WYQLCLLLETH >Solyc01g008400.3.1 pep chromosome:SL3.0:1:2419401:2429286:1 gene:Solyc01g008400.3 transcript:Solyc01g008400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLLWLCNPDHLVVLEHVVSNLLLMYLMRWSKFAYGMFYQLVRVPSLSICGSNCLKQCHMNADL >Solyc11g012870.2.1 pep chromosome:SL3.0:11:5656238:5659570:-1 gene:Solyc11g012870.2 transcript:Solyc11g012870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPFLFLLLSFSFIDPTTSKSTIEPCSNSDTCSSLLGYSLYTDLKVSEVASLFQTDPISLLTTNAIDISYPDVENHILPAKLFLKVPVTCSCVDGIFKSAFVHYKTRPSDTLSLIADIIYGGLVSADQIKEGNPNAVGPDPSVLNVGTNLWIPLPCTCFNGTDNNLPAIYMSYVVRAVDTLAGIAARYSTTLTDLMNVNALGGPSIKEGDILSIPLSACTSSFPRSASDYGLSVANGSYSITASHCVQCSCGPGGRNLYCMPASLAVSCSSMQCKNSNLMLGNVTIQQTGGGCNVTSCNYGGLVNGTIITTLSTSLQPRCPGPQQFPPLVAPPTSLGPDLMFAPAPSPSESGGAPMYRPHTSIVPASGSIIAFPPSGGPSGSTSSACSLNPFVSLLMAVFLCLFMKYAIALPL >Solyc02g090920.2.1 pep chromosome:SL3.0:2:53032944:53035197:-1 gene:Solyc02g090920.2 transcript:Solyc02g090920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVSSNKYLQLKPDETSLLDLIRILFSGDLKSKKFIESWTEKEIAFERRRYIFLSVSVQKAFHFISKPLFFFGSTSESCLNLLASYQSLPTLLLRVLQGKVEMPDKESASYLSTIGFIDQRVNSHKKFKPGDKTYIVALSAVASKVAYENKAFIRATVEDQWKMDLLGYYDFWNEYHEKKSTQGFLFHDKTRCPGVIIVAFRGTEPFNSYDWSTDFDISWYEFQDMGKVHSGFMKALGLQKDKKWLPNITQDDQRPLAYYTIREKLRDIFQKNKQTKFVLTGHSLGGALAVLFAAVLAFHDETFILERLEAIYTFGQPRVGDAEFGDFMKENFRNYGVEYYRFVYSHDIVPRLPYDDSIMLFKHFGTCLYYNSTYEGKIVSEEPDRNYFSVRSLISKRVDALWELACRLMVLKSILMQFVWEMLICSPMLLYHLDNSRLLWCLIGNWNLFLH >Solyc06g061200.1.1.1 pep chromosome:SL3.0:6:39320095:39321006:-1 gene:Solyc06g061200.1 transcript:Solyc06g061200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLKIVNIVFFVLLGIGICSAARTLLTYDHVGVGGEVGAYAGGGGGGSGGGGAYAAGGHDGGYAGGGGSGSGAGGGHAGGGYAGGGGGGSGAGGAHAGGHDGGYASGGGEGGGAGGGHAGGGYAGGGGGGSGAGGAHAGGEHDGGYAAGGGEGGGSGYGGAGSADGGYAAGGGGGSGGGGGGAVAGGGAHGGGAYAGGSGGGTGGGYGAGGAPGGGYGGGGGHGGGGGSAYAGGEGGASGGGYGSGGGAGGGAGGAHGGAYGGGGGSGAGGGGAYAGGEHAGGYGGGAGGGEGGGHGGGYAP >Solyc07g055560.3.1 pep chromosome:SL3.0:7:63677617:63685265:1 gene:Solyc07g055560.3 transcript:Solyc07g055560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVAYYLVSFLLTITSVIYAWKLLDWAWFRPRKLEKCLRKQGLKGNSYKLIFGDLKELAKSFEDVKSKPLNVFDDDITPRIVPYFVDTIKKHGKNCFIWIGPKPMVLVNDAEVIRDVFNKYVLYQKPKPTPLTKLLAQGLASYEEDKWAMHRKILNPAFHMEKIKNMVPAIHLSCTEMVSQWEESISTKGTSCEIDIWPYLQKLSSDVISRTAFGSNYEEGRMIFELQKEQAQHFIEATRTLYILGSRFLPTKKNRRMKEIDKNVQAMIRGIIDKRVKALKAGEANTDDLLGMLLESNFKQIEQHGNKDFGMSTREIIEECKLFYFAGQETTSVLLVWTMILLSRHLDWQTRAREEVLQVFGDSKLEFDGLNRLKIVTMILNESLRLYPPADSLNRKTTTNTKLGELSLPAGVMLVLPIILLHHDKEIWGEDATEFKPERFSEGVSKATKGQMTFFPFGGGPRICIGLNFTMIEAKMALAMILQHFSFELSPSYTHAPQSVITIQPQYGLSIYVLSREGNETVFVCGFLCDYNGTACVFGVLILPNIYDSYLYYPRLVWSANRNNPVRTNATLQLRQDGGLFLMDSDGALIWSTNTSGKAVGGFNLTVMGNVVLFDKSNDIICQSFNHPTDTSVPGQIMVPGKKLISSISATDWNEEGEKGSRKMRRSSLIKYQECLLDFSYEELTVMTENFSEKLGQGGFGAVFEGTLSDGTKIAVKRLQGFGNAKKSFLAEVATIGSIQHVNLVKLVGFCPEKSHRLLVYEYMVNGSLDRWIFLGTWEKSLGWDVRKKIILKCR >Solyc04g049077.1.1 pep chromosome:SL3.0:4:39304029:39306847:-1 gene:Solyc04g049077.1 transcript:Solyc04g049077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTSRSNSRNKKPYNPNAFCEYCHMKGCMINDCHKLLKCDHCHKTGHVKLDCFRLIRYPPDFKGKRDTVVAGNSVYEASSAPYHAPQIPHKASHQVAKFWMMTMPMIIPQQHQKRLQMLGQKTIGDAHCVANMADLLNYDELITIVPHSTVSSCLVDAPSQLPLDHVVPPVRRSTRSLKPPIWHKDYITTNDFATSFQDQGFGRTKIFSWAGIARSDVGILIHQRKYDLELISNMGLAGA >Solyc05g009540.3.1 pep chromosome:SL3.0:5:3747436:3758066:1 gene:Solyc05g009540.3 transcript:Solyc05g009540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSNEPSRRKHHRSSPSDEDTGEPLKRRKHRHHHRHHRHHRHHSKKHLEKSDSKSEKEMVKSKSEIENGGKPGEEMKIGTVVGVSTLGIDYDMEEGEIIEDDVVDTAAVGDADETTVTEKLGNEDDLNMELHEAQEQGPADNVEKVDRDYTVSGRHDEQSKSRKADRSRERKSSDKHCANGSYIDKFHKLDSEPQKEDSITAEEITKQKSYDEDENEVQDRIIRSSTPENVGKRHRSVRVSSSHYEHPEERHVTKSSKSPDRSRGRSRSKSVLEESFLVPKSREIDDTYEKESRYKGNFDDEKIVYNRDYRHGSRDSSRDREKEHNSGNSRSSRDRYYNRESVDRFRESSLENDRDRVRDKDRERELAREKRRESDKERRRESDKERERVRERDREREREKARDRERDREREHERGRGREREREREERERRNRDRESSGRSREADRDRNSDRYSRHQDDSVAYNRRNRHYETDIERGRTSNHLKTETTKQHAVETESERSRRDEVEQEDYQEKVVFQLAEQDEEDELDRIKEESRRRRQAILEKYKIKNSPKEQVTQSGDVVEIHMDQSSKKPAIRQNVVQESIDAKSNGADVEPTFSVGKSPLQGGQIGDVLASGAGGLGQGTPKSERSADMFCDDIFGESPAGIRKMGKGDGVAVERSGLHDNWDDPEGYYSYRFGEILDGRYEIVAAHGKGVFSTVVRAKDLKARPGDPEEVAIKMIRNNETMYKAGMEELVILKKLVGADPEDKRHCVRFISSFKYRNHLCLVFESLHMNLREVLKKFGRNIGLKLTAVRTYAKQLFIALKHLKNCGVLHCDIKPDNMLVNEAKNVLKLCDFGNAMFAGKNEITPYLVSRFYRAPEIILGLSYDHPMDIWSVGCCLFELYAGKVLFPGPTNNDMLRLHMELKGPFPKKMLRKGAFTEQHFDQDLNFLAIEEDPVTKKAIRKLIVNIKPKDISSIISGSPGEDPKMLAHFKDLMERIFVLDPEKRMTVSQALSHPFITGK >Solyc08g083250.3.1 pep chromosome:SL3.0:8:65883987:65884675:1 gene:Solyc08g083250.3 transcript:Solyc08g083250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFVVCFLVLLGVASGHSGEQPLSNIAIHKATVALDASLTIKAYPFILAPKGGDTEWVTLHLDNPNPSHDDWVGVFSPAKFNGSTCYLENDGKQQPPYICTAPIKAGDYNSTIIRKGVCNF >Solyc11g056440.1.1.1 pep chromosome:SL3.0:11:45663894:45664091:-1 gene:Solyc11g056440.1 transcript:Solyc11g056440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSFGLAISFLVLSFKLLSILGISSHSVRSASWCRPLSYLSIPIHLYLSYSTTSLLQGVINAP >Solyc11g069860.2.1 pep chromosome:SL3.0:11:54777594:54782274:1 gene:Solyc11g069860.2 transcript:Solyc11g069860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAAFSNSTSLSSIPILLIIVSVTVLLYASTVAGGGDSSAFVKKTISSHSIVIFSKSYCPYCKKAKAVFRELNQKPHVVELDERELLSKLCFFLLLDDGWNIQDAISEIVGRRTVPQVFINGKHIGGSDDTIEAYENGDLAKLLGVNSKNDDL >Solyc05g010380.1.1.1 pep chromosome:SL3.0:5:4523947:4524123:-1 gene:Solyc05g010380.1 transcript:Solyc05g010380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHYEKRVIVVVHAMNMVLILKDFLGLMICVCPVESGNQSNISGFMNSILSMWSSNK >Solyc08g081110.2.1 pep chromosome:SL3.0:8:64326080:64327230:1 gene:Solyc08g081110.2 transcript:Solyc08g081110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHENFFAPMQISHPQEQIMICLYVVLLVNDPLWLQSDLLFHCQPNLPNVGSLVEYHLFPSLYRARER >Solyc10g044710.2.1 pep chromosome:SL3.0:10:27463567:27466835:-1 gene:Solyc10g044710.2 transcript:Solyc10g044710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITHIYHVRVQPSVMKPFDKCYLGGKVVFGSRIQTYQNPVPTLSRRVFVCRVWDALTSGNSAVMAIRKGMHLFRQGDVLGSLVEFDKAIQLDPRQKAYLWQRGLSLYYLDRYEEGAHQFRIDVAQNPNDTEESIWCFLCEAQLYGVHEARKRYLEVGRDPRPVMREAYNMFKDGGDPEKLVAAFLSGRPNEYFYASLYAGLYYESQNEPDEGKVHLIAACQSPYGSRSDDYMAALSKVHCKCRNWNIN >Solyc03g007170.3.1 pep chromosome:SL3.0:3:1743776:1748516:-1 gene:Solyc03g007170.3 transcript:Solyc03g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FK506-binding protein [Source:UniProtKB/TrEMBL;Acc:K4BEE0] MAFWGVELKSGKPFTHNFEKERGRLHISQATLGSGSSNKKCIVQCKVGDKEPIYLCSLLPEKLETCPLNLEFEEDDDVTFSVVGSHSVHLSGFFYGESEDCCGDEHGSDYEEGASETDSASDDSFEFNYDTEDEDGSTDDDDFSMYPPSPIPNSGVRIEEILEDEKPADENGTSKKPKKKKNHSNGIDDSERQIVVKGNTESPLMESEDEDGFPISAPSENKTKSVRSQKSDGTKDQDTGEEAGEKKGLKKRLRDDTGKDNDQQGKDNAKQNKKNKKKKVVDGEELDHEDVLKRSANPEHVEGNDEAFSVGKTEDGQKPTNEKDTEKKKKKKKNKKNQQDGKAVTEVEKDKKNESQEENAGTKPSHARTFGNGLVIEELAMGKPDGKKASPGKKVGVRYIGKLKKNGKIFDSNIGKRPFEFRLGIGQVIKGWDVGVNGMRVGDKRRITIPPAMGYGAKGAGRDIPPNSWLVFDVELVNVN >Solyc02g081680.3.1 pep chromosome:SL3.0:2:46100009:46105355:-1 gene:Solyc02g081680.3 transcript:Solyc02g081680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDAWCSSIHENRSSGAIRSLMRAFRTACHYGDDTGEDAKSKWSTMSSTVFNKIMLFVLKEMDGILRGLLKLPTSGGKKEMIKDMSKTKRWKSNNHLVKSYLGNALHVLNQMTDTEMISFTLRRLRFSSVFLAAFPVLLRKYIKVLLHFWGTGGGALPVVSFLFLRDLCIQLGSDCIDECIRGMYKAYLLNCQFMNASKLQHIQFLGNCFVELLRVDLPNAYQHAFVFIRQLAMILRDAHSSTKTKKSSKKANQSSKEAHNTKGKESFLKVYQWKYIHCLELWTAAICAYSSEPEFRPLAYPLTQIISGAARLVPTARYFPLRLRCIKMLNRIAASTNSFVPVSPLLLDMLEIKELRRPPTGGVGKAIDFRTVLRVSKLTLKTRAFQEACVFSVVEELAEHLAQWSYSVGFFELSSVPVVRLRNFCKSTNVDRFRREIKQIIREIEANSEYTNKKRMTVSFLPNDPAAASFLEDDKNAGVSPLSKYVASLRQRAQQRNDSLKESSILVGQDSSAFGSKITESDEDDDVEDSKGDAVFSSSWLPAGTPKDEESTEEKQQKKKRRKDQQDETAFDEDIVEDFILSSDDEEGSLSDAPSDEEASIKQKPSKAPSKKKGKTHRRKNKKRKQSNQAASSV >Solyc09g064720.3.1 pep chromosome:SL3.0:9:62295034:62298763:-1 gene:Solyc09g064720.3 transcript:Solyc09g064720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTQCCENPPALSSSSGYGSVFELGGLKTYVSGSSDSKKAILLISDIFGYEAPNLRKLADKVAAEGYYVVVPDFFNGDPYNSENKERTIQVWLESHGTDKGFEDAKQVIAALKDKGISAIGAAGFCWGGKVVVQLATSANIQAAVLLHPSFVSVDDFTEVKAPIAILGAELDRPTPPELIMQFKEILSSKPEVDSFVRMYPGVAHGWTVRYNVEDKKAVQSAEEAHQDMLNWFAKHVK >Solyc10g045433.1.1 pep chromosome:SL3.0:10:33400967:33406993:1 gene:Solyc10g045433.1 transcript:Solyc10g045433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GIASTNTERDIASSNAERTIIFKCQKGYHLLAAKKVVTSSNAKMVIASSNTKKDIMYKKCQRAIASSKKQKGLLHLFIAKRAITYPNRQEGHHIQTPRNPSYSSRREGCYIFKIVTSYMQMSRVTLHSRRQDGHHLHTPRGSSSSTTKMTIIFHFQEGHYIFKLPRRPLHLQIPRGSLHLHMKRGPSFSNAERSITFKCREGHCIQDAKRAIIFIRQEGHHLSLTRGSLHIQTTERILQVQMPRGPLHFHMPRGPSFSNSERFIIFKRREVHCILTTLKTVTSSIAERAIIFICRERGSLHLQMPRGPSYFNRRDIAFKPPKGPSSSNAERAIVFKPPKGTLNLQTLRGIPSSYAKRVIASSNAMRSIAFICREDHCIQMRFTVKLGVSPRCPKKTYQIRPESSQTLSDRLAIQ >Solyc05g024320.2.1 pep chromosome:SL3.0:5:31071796:31072637:-1 gene:Solyc05g024320.2 transcript:Solyc05g024320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDEHFVLCCPKNLLKDVAPLLYAGITTYSPLRYFGLDKPGMHIGVVDLGRLGHMVIMFAKEFGTKGTFISTSAKKNQEAIERLGADSFLIIRDPKQMKLVMVGAPENPVEYLPMFSLFIGGKLEAGSCIGSTKET >Solyc02g063125.1.1 pep chromosome:SL3.0:2:35713807:35714215:1 gene:Solyc02g063125.1 transcript:Solyc02g063125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVKCDLHHGKYMACCLMFRGDIVTKDVNVVPTCSRCKGMLCMISNSTSVAEVFSRIEHKFHLTYVKRAFVHWYIGEFSEAREDLAALEMDYRRFGVELEEGGDDDHEEY >Solyc10g006230.3.1 pep chromosome:SL3.0:10:913417:917123:1 gene:Solyc10g006230.3 transcript:Solyc10g006230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIVLGISHNHKHKSEEFADMASACASSTIAAVAFSSPSSRRNGSIVGTTKASFLGGRRLRVSKYSTTPTARSATTVCVAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLASDPESLRWNQQAELVHCRWAMLGAAGIFIPELLTKIGILNTPSWYTAGEQEYFTDTTTLFIVELVLIGWAEGRRWADIIKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPAKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATIFAAFSPK >Solyc01g057510.2.1 pep chromosome:SL3.0:1:61229446:61233245:1 gene:Solyc01g057510.2 transcript:Solyc01g057510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVRAYFVRNWTREDLMNTGEMTEHAYASLKRVYLTLFFAMWSFTSGSFSHWIWEVGGHSTILSSVASILCLYFISPLRVRTRVLLLMIAAFSIGASIGIFTKYFFEMDQELVCHLLAPPTLGIGIIWFGSMFTRERKALYLGSLFHSSLLIFSTFNASNSEYIDSHTAHRMLKVYIVFALFMGYVVVYSQEILYDAHFGEINFVNRTLSIFFRLPGILVHAARLCLGA >Solyc04g024540.2.1 pep chromosome:SL3.0:4:30074551:30078188:1 gene:Solyc04g024540.2 transcript:Solyc04g024540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPIRKDRDWELFDRLSLRKSRNRINFNSGPLFEILVKHWISYLISAFREKYQLKWRVSSNNKGLGQLFNQMAISLQNCAQFHIGQFSQDLFVSWGKNPPESDFFRNVSRENWIWLDNVWLLNKDRFFRKVQNVSSNIQYDSTRSSFVQVTDSSKLKRSSDQSRDHLNTISNENLEYHTLINEREIQQQKERSVLWDPLFLQTEHKDIELGEKQMINHLFLEEIKGFLGNPTRSIRSFFYDTWSKLHLGSNPTERSTRDLKLVKKQQDLFVVPSRRSEKKEMFRRMNHNFECGIQRDQIGKDTLNYRNIMKYTIKQYLSNMKKSQKKWFEPFILISQTERSMNRDPDAYRYKWSNGSKSFQEHLEQSVSNQKSHFQVVFDRLRLQIVHLKKLKPFLLDDHDTSQKLKFLINGGKISPFLSNKIPKWMIDSFHTRNNRRKSFDNPDSYFSMIFHDQDNWLNPVKPFRKSSLISSFYKANQIRFLNNPLHFCFYWNTRFPFSMEKTVSIIRILHMDNSQYLVHSDTISPIESQVSNIFIPNNFPQSGDETYNLYKSFHFPSRSDPFFRRAIYSIADISRTPLTKWKIVNFERNYCQPLSDMNLSDLEGKNLHQYLNFNSNMGLIHSPCSEKDLSSENNKKWSLCLKKCVEKGNTYRTFQRDSKKLCLPQWNLISEIWSKFLHNLLLSEEMIHRNNESPLISTHLRSPNAREFLYSILFLLLVNLFLVALEQLGDSLEEIRSSASDGNMLGPANEVKSIRSKKKDWNMNLIEIIDFITNSINQITFLRNTRHLSHTSKEIYSLIRKRRNVNGDWIDEKIESWVANSDSVY >Solyc06g051800.3.1 pep chromosome:SL3.0:6:35568630:35570316:-1 gene:Solyc06g051800.3 transcript:Solyc06g051800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:O04359] MGIIIFILVLLFVDSCFNIVEGRIPGVYSGGSWETAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFELKCTNTPNWKWCLPGNPSILITATNFCPPNYALPNDNGGWCNPPRPHFDLAMPMFLKLAQYRAGIVPVTYRRIPCRKQGGIRFTINGFRYFNLVLITNVAGAGDIIKVWVKGTKTNWIPLSRNWGQNWQSNAVLTGQSLSFRVKASDHRSSTSWNMVPSHWQFGQTFIGKNFKI >Solyc08g078350.1.1 pep chromosome:SL3.0:8:62291069:62291578:-1 gene:Solyc08g078350.1 transcript:Solyc08g078350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTSIHSTPSASAKSIDNIVVQGGVNTGNALLVQLIWV >Solyc05g044540.2.1 pep chromosome:SL3.0:5:57651274:57652366:-1 gene:Solyc05g044540.2 transcript:Solyc05g044540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAVQHDICSSEDEDSIHQIIPRYTKCSHHYHLSCIYDWQDRSETYVNGELTIPLEDDANFKFQLSKEVDRDFVTSPVSLTHKIQRAVENHQDLSGAVHHPSEQISGKFDGLKLEEEASEVDDFPEIGDAGMGNGSLKRKVL >Solyc09g056320.1.1.1 pep chromosome:SL3.0:9:48841848:48842213:1 gene:Solyc09g056320.1 transcript:Solyc09g056320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNAVIIIGPCETFLRTICRQPTTGNCGFPRASHRNTKTYRPLPTILIEPLGRLFKISNNNCTECGRNPRYGYSGSPYEGDSHTILARDGEASHLCSTINCMFRNLGSNIPIKALTKGMS >Solyc09g008810.3.1 pep chromosome:SL3.0:9:2224894:2228118:-1 gene:Solyc09g008810.3 transcript:Solyc09g008810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWNGNIRPFVSRQVIDNSLNFLYNYNYDQYPGIEMKHAMQTQHGGVQVPTMDNNNNNFVLNQHQLDKKKRLSSDQLESLENSFQEEIKLDPDRKMKLAKELGLQPRQIAVWFQNRRARWKAKQLERLYDSLKQDYDVVSREKQKLQDEVLALRAILKEQATKKQVNSTVYTEISGEETVESTSMPSSNKTITRGVTISNHQNNNINNNNNIAECSYVFNNVVDGLNPVMPPYWATLPTYP >Solyc03g078433.1.1 pep chromosome:SL3.0:3:52348055:52348327:1 gene:Solyc03g078433.1 transcript:Solyc03g078433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLITVGLPEKPLELPTIVSARSYIINLASLVIKLTGRKLVGGSDIGGMKETQEMLDICAKHNNTADIELI >Solyc02g069040.3.1.1 pep chromosome:SL3.0:2:39548074:39550246:-1 gene:Solyc02g069040.3 transcript:Solyc02g069040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSINHIKAAWIAYNVQSFCILSLFIQALLLLLAPFRKRTGSKILMVFVWSAYMASDWAPAFILNLIVNYSKTRHDKAELMSLWASCMLLHLGGSDHAIAFSLEDNDLWFRYAFTFVFMFLSSGYVFYLSFSQVNYLWIPNVLVFLAGIIKCYERARARFIGSMDKSITLSHRKYEDVVKKKSSEEPHNFRARELDDVEVIQLAYTIFRAYKGVLVEHKFTFEEYSRIQKLLQNRTDLFAFRIAQAELEILHDLLYTKAQIFHESFGNSARSVYWVLLTAALASFSLLVRNNNQKQFDPFDVGLTYSLLIGGMFLDAVAFVVLLYSSTFTIATMSRAKTRPITWVVKFLKALKWPRPRALWCWRPSLQQFNLINYGLNRPPKAWECIIDYLHLTNYLDEMIYVKNKSLSPLLEAEILRQIEICINRHDWDCNSYASKFTKCTSKFSMSKELNKNEFLIVWHIVTEIFFNVDVPEANIGSDSRELKNLRECSKSLSDYMVYILIFRPFFMPVPNKKKIEETNNKIKILLHEKKHLSQKEACKEIMKKAAEGSILQESFLYEAFNVVNCLQTEPHWCQDRVKCWKIIHKTWIAILFDAAKCSAPRAHAQYLVKGGEVLSLIWLLGANCGSMAHFAFFEDSDEENQDRNNG >Solyc03g120420.3.1.1 pep chromosome:SL3.0:3:70306127:70306383:1 gene:Solyc03g120420.3 transcript:Solyc03g120420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLATYYKFPVFSHYVSFVTLPPTLCLKTVKLNKLVLAFKSFSIEFQRTTFYGICLFGVSIRILHFDETWTMTYQTH >Solyc01g106480.3.1 pep chromosome:SL3.0:1:94254491:94258640:-1 gene:Solyc01g106480.3 transcript:Solyc01g106480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyoxisomal malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:Q645M9] MQPSGAEVHQRIARISAHLYPSNPQMGDGSILERTNCRAKGGAAGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQSELEGALTGMDLVIIPAGIPRKPGMTRDDLFKINAGIVRTLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKKLLGVTSLDVVRANTFVAEVLGLDPREVEVPVVGGHAGVTILPLLSQVKPPCSFTHEETEYLTKRIQDGGTEVVEAKKGAGSATLSMAYAAVKFADVCLKGLRGDAGVVACAFVASQVTELPFFASKVRLGRTGAEEVYQLGPLNEYERIGLEKAKKELAESIQKGISFIRN >Solyc11g043085.1.1 pep chromosome:SL3.0:11:33865305:33865940:-1 gene:Solyc11g043085.1 transcript:Solyc11g043085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSIMYTCIRGFSESSIPQYAIHIFIEMLKNSQVQPHLLTYPSAYARGGLAINGAQIHGRIIKLLSCCFLVEARELFNEDEIEDVVSWNFMIIDASWNSIISGFVRNEKWNEALELFSTMQEENIKPSEFTLVSLLNACGHSGALEPGN >Solyc02g005110.3.1 pep chromosome:SL3.0:2:6644099:6646717:1 gene:Solyc02g005110.3 transcript:Solyc02g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCKNEYLQDIMLDKILDEAGRKGQTPSEEKEGEALCTDGSEISNIETEMK >Solyc07g007540.1.1 pep chromosome:SL3.0:7:2213102:2215006:1 gene:Solyc07g007540.1 transcript:Solyc07g007540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIFVLCLLIAIEPRLWHVVGVQGFGPGFLGGLGVQGNGRAFSFGSDGQGSGRAFSFGSGTPGSGPGFSSGLNNDKYLHAHANIYGDKQIRIKLMI >Solyc06g083930.2.1 pep chromosome:SL3.0:6:49269407:49272064:-1 gene:Solyc06g083930.2 transcript:Solyc06g083930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSPCGACKFLRRKCAVDCIFAPYFNSEEGPSKFAAIHKVFGASNVSKLLHNVPTDDRCDAVVTISYEAQARIKDPVYGCVANIFALQQQVAYLQSQLMQVKGQLAQSLMNSNNCYNPQWINNNINMTSSSGQMTSSSFPANYPNYINLKSNSSPQSSSMESVDHYSDGHGIMNMQDIQSLDHFLYQPYNTSS >Solyc03g083540.3.1 pep chromosome:SL3.0:3:54910060:54917236:1 gene:Solyc03g083540.3 transcript:Solyc03g083540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLKFVAERTGDGAGQPFLDTDNGEELMHVQPGTAIVLGSRPPESPGTLYITSKQVVWLSDTDRGKGYAVDFLSVSLHAVSRDPEAYRDPCLYAQIDNGVEDDESEGSCDEGDVSSDLSRITELRLVPSDPSQVDTLFQIFCDCAELNPEPIEEEEEEHNWIFSADQLENQEAEEDSEWLISQNSTHPIGHSNGDHDLAHNVLQLQINDQRFEDAEEMDSDSKNGHHHP >Solyc05g051320.2.1 pep chromosome:SL3.0:5:62451984:62455331:1 gene:Solyc05g051320.2 transcript:Solyc05g051320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLCWVIFFVSFSCLFRIFDASIGDIDPLYRACIVQCEKTGCAGEICLQHCKYSLDGSLLNSQKEPLYMQLNQRDCPSDCKYHCMVQREKKRSSLGFGPVKYYGKWPLKRVFGLQEPLSVAFSALNLAKNVQGCLSFFNLNKKRSYNYAALWHIYGFLSINSCVWSVVFHSRGMEITEKLDCTSAVALLGFSLIISILRSFNVNNEAARVLASSPLFAFTITHILYLNNYQMDHGWNTKVCVTMGVAQLLIWAIWAGISQHPSKSKIWIVVFGSGVAMFLENCDFPPYAGLIDAHALWHATTIPLTCIWWSFIQDDAKYQTFDLNKKQK >Solyc07g018144.1.1 pep chromosome:SL3.0:7:9438966:9446566:-1 gene:Solyc07g018144.1 transcript:Solyc07g018144.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAISLQTSPKFDMQKTSNDISKSSANYELVGEDPFTPFDTRSQSTPTPFTTPATGSASTPTPSTTTLVLKYPFTATHFTKNSTTTTAPPRSSSTTEAVIEDVDDLFSGPIEPDFLEEETKEQTEAEHSRKRSRGRPHVEPSSSPGPAKRSRGRPPVVAPSASPRPAKRSRGRPPVVAPSASPRPAKSASPVPSASAAPTKGARERPPAAHSAPNASATHAKSARGRPPAAPSAPSTCPSPDNNTNIDTLKNGVMSTKDIFYNRKD >Solyc03g119330.3.1 pep chromosome:SL3.0:3:69455246:69462890:-1 gene:Solyc03g119330.3 transcript:Solyc03g119330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTRSSSGQINIAARTKKLDVDNRIALRIYYRIADNVLKQADIFRAEGDVIDLYVMLLRYSSLVSETIPCHRDYRASLQSNKIYLKKRLLNAVAELEDLKPIVQQKLEALNRKSTYQVNKGNNHHRNNLLGFSGEYSPANNQSFRAWGTNKIAAPVREFGYQVPKTTQFLPVKPVDEHIRRLSLSIPRPTDETLNRHSILGPNGLRGQLQLPASDRGVSYPSNVDFTPVLIPSLLKQPLESESSDKKDNISSECGKPCQELMLPVNSDKPVLRLEEPASLISFDSEESPLQSEIIRQPSPPPVLAEVQDLMPTAACPIEEVECGLVKSSPDGLICSEDPLQLHISASVMDTFMKLAKSNTNKNLETCGVLAGSLKNRKFYITALIIPKQESTSDSCQTTNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTQYSYQIMLPEAIAIVMAPRDSSRTHGIFRLTNPGGMTVIRQCPRRGFHPHDPPPDGSPIYKHCTDVYMDSNLKFDVIDLR >Solyc12g036840.1.1.1 pep chromosome:SL3.0:12:48419505:48419720:1 gene:Solyc12g036840.1 transcript:Solyc12g036840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPRIKLIRYFNRWSWAVTDGIRAGLPLLTVVWQTSVRMLSHNWAHVMPMLKDKLLKKNCTLHPGVMGEES >Solyc03g046280.1.1.1 pep chromosome:SL3.0:3:12219600:12219998:1 gene:Solyc03g046280.1 transcript:Solyc03g046280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTLGTTNLKRNLEKHNPDKAKEGQNEPIDQKTYREKMSLAICKHNYAFSFLEHKGIKDIHSYLNPIARHISRNTTKAGIFNLYAREIELLKAELALISSRVCLTSDMWTSVVSNAYMCSIDHYGHKLGSS >Solyc05g056090.3.1 pep chromosome:SL3.0:5:66315128:66319612:1 gene:Solyc05g056090.3 transcript:Solyc05g056090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRTLFHLVRSQANLLKSTNFHSGGHLASFGTRSLVSGTKPDPSCVTHLAAIRKRWLSQSATAQDDNKISIGPRKGGEGGNDEKDGGVVYYGPISNTIKNVKLLSLSTCCLSVSLGPVITFMTSTDSNVILKGAVASTVIFFSASTTAALHWFVSPYIHKLRWKPGSDSFEVEMMSWLATFMPKTIKFADIKHPDTNRPYVTFKANGNFYFVDAEHCPNKALLAKLTPQKPTHESAFKNL >Solyc04g039900.1.1 pep chromosome:SL3.0:4:12393712:12394638:1 gene:Solyc04g039900.1 transcript:Solyc04g039900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLEMYQTHIHLQFQTTFFLPTPLIHHPPLCKGDTNHLVSLTSSTYGSLDHRVLDNPTTSPDATINTWELMEGLDDIDFCMVPSPVYDIQKELVKSNEHPSTKPLWKHLSEESLLVKMDPNVTLSYRKALYSSNQYRHDQGLSSFSRNTDHIYLKGTEDKVVVYFTSLRGIRKTYEDCCSVHMIFKGVRVCVDERDISMDSSYRKELQDALQGFAFMNDGFTCESCGEVRFVSCPSCNGSQKFFKEEEGKSMRCPHCNENGLIRCPECCP >Solyc02g085655.1.1 pep chromosome:SL3.0:2:49123348:49127304:1 gene:Solyc02g085655.1 transcript:Solyc02g085655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFNLVPIFQMQEIYGASGYFMEAESTKQKKQLGTKRLTEVSVSDKLEESRASKEHFRGLSFPTTSSVGSNAAIIHYKPEAETCAELDPDCIYLFDSGAQVLKGRISLGIARSPNGTNDGLDYMHGTGHGIGSYLNVHEGPHNISFRPSARDVTLQVSMAVTDEPGYYEDGNFGIRIENVLIVKEGNTKFNFGNKGYLSFEHITWSKRKQDILCPFLFAPYQRKLIDVNLLIPEEIEWLNEYHAKCREILTPYLNTSEMEWLKKATEPIAA >Solyc01g067490.3.1 pep chromosome:SL3.0:1:76002713:76018480:-1 gene:Solyc01g067490.3 transcript:Solyc01g067490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVPKDYMTTLLDHGLFASAQMLGSFLVSSSSVNLDTSPHLKAENLVLLGDALLRDKEYKRAVHAYKQALHCHRIIPKQNASAVRSSLSASTRSSSPNSFNISTVNENEVKFKIASLYFVLNENRAALVEMEGIPSKARNLQMNLLMGKLYRNSRHTRAAITCYKECLRHCPCIIEAIIALAEMGVAAKDIISLFPQTPTRGARSTFDHFDSSRWLQRYVEAQCCIASNDYKGGLEFFAELLRRFPNNIHILLEMAKVEAIIGKTEEAITDFEKVRSIDPYVITYMDEYAMLLKLKSDNSKLHRLVHDLLNIDPNRPEVFVALSVLWERRDERGALSYIEKSIRIDERHIPGYIMKGNIYLSMNRPEAAVVAFRGAQQLRPDLRSYQGLVRSYLAISNIKEALYAAREAMKAMPQSAKALKLVGDVHASSTSGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVTLLERYLKDWADDSLHVKLAQVFAATNMLQDALSHYHAALRINPQNEAANKGLDRLEKQLKGVDPDAPEEDEENDVEDADADQEETELL >Solyc10g080010.2.1 pep chromosome:SL3.0:10:61559031:61561707:1 gene:Solyc10g080010.2 transcript:Solyc10g080010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKMVYYQRYHQWRKGDVPFVCENSKRVARPKLLFLVFLSFMSFSFILAPLFFPSAPTLSLLYSFGFEDEGVVSTSDVYASVCSSVSNGSICCDRSSIRSDICVMKGDVRTDSVSSTITLYRSNGYGSQVIGASGENDEIFQHEKIKPYTRKWEKSVMDTIDELNLVTKGENSAIHQKCDVQHDVPAVFFSTGGYTGNLYHEFNDGIMPLYITSQHFNKKVVFVILEYHDWWISKYENILPHLTEYPIIDFRGDNRTHCFPEAVVGLKIHDELTIDASLMGTNKTIRDFRDMLDRAYLPRIRGLIQEEEHETQLDKNISAFSPSAKTKIETTEEKLDVKKPKVVIIARNDSRAILNEASLVKMAQGIGFQVEVLRPQRTTELARIYRALNSSDVMIGVHGAAMTHFLFMRPDSAFIQIIPLGTDWAADTYYGLPARKLGLRYIGYKILPQESSLYNEYEKTDPVLTDPDSVNNRGWEFTKKIYLDRQNVKLNLRRFHKRLLRAYYYSMAKKNGHLHHQNQ >Solyc06g082370.3.1 pep chromosome:SL3.0:6:48253916:48260130:1 gene:Solyc06g082370.3 transcript:Solyc06g082370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSILRSAATAAKSHPTTGDIRIQAAISASSKVSSSRTFATSTHPKKPNFRPDIKHENAAAGAKAAETLNKDLRARFLKEDEKDKSLDIGPDGRSLFTSAASISELTKKDTCTYMKFRREELDRVLPEGLPVGMLKEFGDSMRDALLIRQSFLDLRDNFRMVVDPTLQSNTKGLKARKQVVLDGPVSSGKSIALAMLVNWARDEGWLVLYVPKGREWTHGGFFYKNPKTGLWDTPVQAANVLQDFLKYNKDHLQKIPCKIFEPIPLGEGAGVGWMKGADVVQIPEDYTLLDLVQIGLNSTHAAVGVLVRLREELSLVKDVPVLIAVDQYNSWFTFSEYEEPVTVRSCRPIHARELATVNAFRSMIHDNMMVGAFSHSTAVGKLRKDLPDVPADARVNFPRYSVDEAASVCHYYLRQRLIQREAFTEQSWKKIYYLSNGNGSEMRWLVPFMR >Solyc04g076830.3.1 pep chromosome:SL3.0:4:61787520:61793832:-1 gene:Solyc04g076830.3 transcript:Solyc04g076830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSGGQSVYPLHRCKTIHLVRHAQGIHNVEGEKDHSAYLSRHLFDAHLTPLGWQQVDNLRKHVQTSGISKRVELVITSPLLRCMQTAVGVFGGEDSTDGTDVPPLMVTDAGESNHPAISSLNCPPFIAVEGCREHLVEELGMQNDLFMLFFGGNLFHLRKRSALMGMDKKAKAQYYWDEGREFTGVIREEALANTSLYFLQLTFPWQSSLIEQGSPLFSQIESDDDVLWELDVREKIEDVASRGIEFFKWLWTRKEKEIAIVTHSGLLTHTLAKFGRDCHPDVKSEISKRFQNCELRTMVLVDRSMVGSDSSATNYPGKIPSGEDAPSDLACTTPDGLPN >Solyc03g115180.2.1 pep chromosome:SL3.0:3:66467349:66478131:1 gene:Solyc03g115180.2 transcript:Solyc03g115180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHDQRQKGQRPHKEKICKVCGDVSCQIATVTGHDYKDVVMHEDSRVDCYKDAPDNWCCEKCLKKQNNENSEGSELHASANICQSTLPPKKRSKFLGENWKKEEQIRKTKYIPVDEAIGLLNSVSSRVMSTKSKTTETRGNSSKPRTQILDIFPKKRTLQYSLGSTGYKKPHSYLNFKEIEPSIKQIESSKGPERVTILEHKTFNAVKTSGMMNPPMTHPCDPALAHSWKGSFEISSASEFVQSDLNDFIQAHSPSRVKRKVYDLLALLPHTLKFELVPREDIWTKLFNNYCPGKEDIGLYFLSSERERSGIYTSLVEFIRNRDYVMRMMINDVELLVLASTTLHNDCQKWNNEYFLWGLFYRIGQNTDGCAEGGSDEVIDMEIDMIAGENV >Solyc02g080100.1.1.1 pep chromosome:SL3.0:2:44961097:44961840:-1 gene:Solyc02g080100.1 transcript:Solyc02g080100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKFGHVLQPQEQLTLVSTMYPVKTDNAHELPVYVDQDHPVRRHHSARYYAHHIKESLTTRVSKLICTIFLTFLSILGIIAFILWLGLRPHRPRIFLHDFSIPAISQGIGPESAQINFNVTARNSNQVIGIFYDAIQMSATYQEQSIGTSQLLTPFYQLPKNTTVLAGTFSGPMVTVTGTQWQQMLDDRSRGTVVFRVELTARIRFKIWSWKSKHHRMHANCPVGVGQDGVILVGYIDKRCPEYFN >Solyc07g026630.1.1.1 pep chromosome:SL3.0:7:29730346:29730561:1 gene:Solyc07g026630.1 transcript:Solyc07g026630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPFFLFASHQGQPNPFDSTHPKRHLHPEELIISITNVRPIYVVLLLPVTTIHQPGAYFSFSTSLILGET >Solyc02g088600.3.1 pep chromosome:SL3.0:2:51278138:51281284:1 gene:Solyc02g088600.3 transcript:Solyc02g088600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHVIKNFPTLAVSLDNNRLFCSRALYSGKGFTSSRLVNAFERCSLFGIEQIKLSTVLFNIKEVNNLCDIALNVACEIEPMCGEETEGSDIEKDNSAKDQYSLELFGKDCLMDSFTERYDTNQPSSSLEETKSSVDNGILYLEEMDEKVLSERILKLSRLNKHKSALVIYKSMIFSGLKPDLHACNSLLSSLLRNGMLDNALKVFNSMKASGLTTGHTYSLILKAVADARGYDRAINMFRELISSRNLREQIDIIVYNTMISIFAKANNWDQAQKIWKILQYDGQVGTTVTYRLLICTFVRCGQYELAIDAYSEMIHNGLSPESDTMHAIIGAYSREGKYDSALNILQCMLDSELKPNARACNIVINSLGKAGKVKLAFEIYDLMKSLGHAPDVYTWNSLLNALNRANRYCDAIQLFERVRNIRSVILNEHIYNTVLTSCHRLGLWEKAVQVLWHMEASELPVSTASYNQVIGACEVARRPKVALQVYYRMVRQNRSPDIFTLLSLMRVCIWGSLYNEAVEILKFSEPNGSLYNAAIQGMCLTGRLDLAKKLYTEMRERSLQPDGKTRAMMLQNLPKDSRRNRKPWTVINQWRTGPSWVCGVTWQSIQIQQLYSMTIGLCPPCKHTESRH >Solyc06g076320.1.1.1 pep chromosome:SL3.0:6:47558829:47559194:1 gene:Solyc06g076320.1 transcript:Solyc06g076320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYMDERWKLSKDDPCCNSYSSSNNKSSSLFRSFSQKSPNSNISSLPRSLSQKNPHKSSLSRSSSQKSSTSKCRLTKSASQRCANFRSKCSNLAKEQKSKFYIVKRCIGMLVRWKKHGDS >Solyc02g036330.3.1 pep chromosome:SL3.0:2:30984928:31001695:-1 gene:Solyc02g036330.3 transcript:Solyc02g036330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRLARALHSHYRTLASPSLHQSTNLPHNGIFGCKQRTYFSRSVPSTTFPAILAGLLGVGVLNVAYADSDEAGAEVPPFDSLPIPSYNPLEETAKRERLRLENLLKSKGVKYGSYPRFTVAVKGQKVTIKFQIPPTCEIPLLIATLVSRLGVKLEDPNAASNMLLRAWDSGVAWKLALSRPQMKNGTAGEQAGGRDTNPIDEDLCILIFRPLINADKAEIEFMKPVTFTDEELDALAYVLQFAGQQKSLDLRSKGVPSADKIVTTLESMGVKIFGINVSTSGNPNANIAWENIAGYNQQKREIEDTILLALQSPEVYDDIARGTRCKFETNRPRAVLFEGPPGTGKTSCARVIANQAGVPLLYVPLEIIMSKYYGESERLLGKVFSLANDIPDGAIVFLDEVDSFATARDGETHEATRRLLSVLLRQIDGFEQEKKVVVVAATNRKQDLDPALISRFDSMITFPLPDQQTRQEIAAQYAKHLTDSELSEFARATEGLSGRDMRDVCQQAERHWASKIIRGQAPKHEGSGGSLPPLQDYIESSRNRQRALFDIEIQKRSMNPTAKKPLFDFA >Solyc02g090080.1.1 pep chromosome:SL3.0:2:52356255:52357200:-1 gene:Solyc02g090080.1 transcript:Solyc02g090080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRVDCKKCGKYSWGGCGKHLNTLYGSIQQGNHCNCRPWPGVVMPSSQTITQNKQTVTQNECDPTTTTAGTQRGDDAVG >Solyc01g109020.2.1 pep chromosome:SL3.0:1:96019610:96020568:-1 gene:Solyc01g109020.2 transcript:Solyc01g109020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSFCIFFLVAHFATPIVCIRNPINQTPALFVFGDSVFDPGNNNYINTTTNFQENYLPYGESFFKYPTGRNSDGRLIPDFIGIFISVFPNCLKSINCGRPEHNCWLYFAAEYAKLPFIPPYFEIGKKHLVHGVNFASGGSGCLAETARGFKKVGETESKQILSNAVYIFSTFNKDMVAPLFANSSFPYSDTEYLQMIMGNLTSVLKFLSNEQVMGIYKEGGRK >Solyc04g009030.3.1 pep chromosome:SL3.0:4:2597623:2600795:1 gene:Solyc04g009030.3 transcript:Solyc04g009030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BP59] MAALSVANTSLKVNNKGFSEFSGLRTSSAVPFGRKTNDDLFSVAGLQTSAIGGRKNKRIVTEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGTDGISVDGKVIQVVSNRDPVNLPWGELGVDLVIEGTGVFVDREGAGKHIQAGAKKVLITAPGKGDIPTYVVGVNAELYSHDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPSLKGKLNGIALRVPTPNVSVVDLVVQVTKKTFAEEVNAAFREAADKELNGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANQWK >Solyc02g062510.3.1 pep chromosome:SL3.0:2:34747103:34749804:-1 gene:Solyc02g062510.3 transcript:Solyc02g062510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4B6D9] MAQSMSFFMVIVLLAFAPICLSSKTYGGYLFPQFYDRSCPQAKEIVKSIVAKAVAKEARMAASLLRLHFHDCFVKGCDASLLLDNSGTIISEKRSNPNRNSVRGFEVIDEIKKTLEKECPQTVSCADILALAARDSTVLVGGPNWEVPLGRRDSRGASLSGSNYNIPAPNNTFNTILTKFKLKGLDIVDLVALSGSHTIGNARCTSFRQRLYNQSGNSLPDYTLEQSYAAQLRATCPRSGGDQNLFFLDFVSPMKFDNSYFKNLLASKGLLNSDQVLVTKNQQSLALVKQYAENNELFFEHFAKSMVKMGNISPLTGFKGEIRKNCRKINN >Solyc06g048815.1.1 pep chromosome:SL3.0:6:31862236:31866067:-1 gene:Solyc06g048815.1 transcript:Solyc06g048815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEQSAAEIEDPAATKIDLHHPLYLQASDSPGLVIILIKLTGPENYSLWSKSMELARRGKGKLGFVDGNYTNIKFKGELEEDLWNELDVMVPLPTCDCEESNAYINHLKSQLLLQFLMGLKESYSNLRSHILSRNASVIVNKTYATMSQEESQRSLGVVDAQKDHLTMMTKRPQGYRSRKPGGSGGSGGAGRGYEGSGGAGIPCIHCGYEFHLKENCYKDQVIFSLNNNIRNCLGRRITRPPLVNVSNMAGIHSSLSNVFAYEWIVASGASHHTTHCNDLLFDIKKLDNHLSDKVQMANLEDTTVQDVDSISTVSAPACSRPIRATRPPIWLNDLLITGNNQDLIYEAKQYLHSKFKVKDLGPLTYFLGIEIMRSKHGALLNQRKYALEVISDAGLSGSKLASTPLEANIKLTSVSYDDHLGNLIEDLLLENISAYQRLVGKLIYLTITRLDICFVVLPLSQLMQQPKKSHWDAAIRIVRYLKHSPGLGVFMKKDSKLKLSA >Solyc06g050235.1.1.1 pep chromosome:SL3.0:6:32861201:32861209:-1 gene:Solyc06g050235.1 transcript:Solyc06g050235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SY >Solyc02g094380.2.1 pep chromosome:SL3.0:2:55558618:55561574:1 gene:Solyc02g094380.2 transcript:Solyc02g094380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTTPHLPPDNAIKHSSLLEPTQQVLISKHDSPPRSNLPTFSYRDIATATNNFRRQSIIGEGGFGPVFKGKLNTNQVVAVKKLNHSGLQGDKEFFVEVHMLSLMRHPNLVNLIGYCSEGEQRLLIYEFMPLGSLEYHLHDITPDMKPLDWDTRMVIASGAAKGLEYLHNHADRPVIYRDLKSANILLGEGFHAKLSDFGLAKFGPIADNTHVSTRVMGTHGYCAPEYAGTGKLTMKSDIYSFGVLLLELITGCRAMDDSHEHGKEMLVDWARPMLKDRMNYVQLADPMLRGKFPQSVFRRVVELVLMCVQDDPHARPHMKDIVLALSYFASQKHDSPAAQIGSHGGEGTNGSSVDFDGAQMDITEIRASNKDQERERAVAEAKKWGETWREKGKQNADDDLDYKSRW >Solyc08g016240.2.1 pep chromosome:SL3.0:8:7130839:7132292:-1 gene:Solyc08g016240.2 transcript:Solyc08g016240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVLAFPQWGDQITDAKYLIDVFKIGLRLCRGVAENRIIPREEVEICVREATIGPKTLELKENTLKWKKRRRKQWRRVAPPRGTCKLLWAMLDVCDSNSRMEPPYN >Solyc01g111950.3.1 pep chromosome:SL3.0:1:98014920:98018656:1 gene:Solyc01g111950.3 transcript:Solyc01g111950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSSSGKGGISSLLRCRVVPKAKRRTIVVGLKSDNSSREMLLRLLNLVVVPGDYVLSVHVQQPNDTFDPNTFHIHEDLCKSKQVDFQIKVCVADTYITELSNQVRINFATMLAVGCSSSRPTDQIAGKILKELPPTCSLLVMDNGGKILLQRPGTSQEGAPIKVFQSPQSSLSVSSSSTQSGDKYQIHKSLSMTCSSTTTTSSQPTRNATFPRIKKLNNAAAKSLFERIACLESMGCARRFTTDELSCATDNFSPSLLTGVGGHSQVYRANLENGQLAAVKVLKNTRYAEDDLFQEVEILSNLKHENLIQLVGYSYSKDMQAIVYNLQKDSLKQRLKQLNWNTRMQVAIGVARGLEYLHSQTPPIVHRDVKSSNILLSDDCHPQVSLLVACFPTLDGFSYSFEYFGSAAVHQETQQDSACVKPIHVVGTFGYLAPEYIMYGKVDEKVDVYSYGVVLLELITGKRAIEKDLEAHHESLVLWARSLLSCGLSDRLVDPDINENYNKDEMKTMMFAARLCLLHSSSRRPKMKTILRLFEEPEHWLELQRKREELLDGIGSEDETCLCRYYGSDSEEGMFIEDS >Solyc06g070950.2.1 pep chromosome:SL3.0:6:43695699:43716645:-1 gene:Solyc06g070950.2 transcript:Solyc06g070950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHFWNQTNALFRKNLVYHVILFPAILFILLGALQSYSNKADRGDKVKPRVGTEFPPLLQIPSPPFRAVMTDSMPFTGLPDASCRGTGSCPATVLITGNNRTIGESIRVAGKMFVDSPEPTSRSDYQTIADGIFGTDGGGVRVDSNLLYHVRPQCSASPFRNSTSETGNQKYVKCTDFTSMPTLDIICVEGLNLWRNSSAEINDELFKGYREGNTQGMLNEILAAYDFLDTSGENFNVNVQFNSTYQSNFYNDEPELLRIPRSENMVTNAYLQFLLGSSTKMVLDFVAEMPVPGGYKRPDDISTFFNIVFYTWVILQVFPVILSSLVYEKQRKLRIMMKMHGLGDLPYWMITYVYFLVISLIYMSCYFGFGVLTGLTIFKLNSYSVQCIFYFVFTNLQISMAFLLAAVFSNLKTAAVLAYTIVFGTGILGFLLFQSLVNDASFPRGWVIFMELYPGFSLYRGLYELSQYAQGGYLVGTSGMFWEYLSYSNNGMREVLIIMSIEWVVFLIVAYYLDQVISSGSGNRRSLLFFLRNSKRKHLMSLEKSSFHSAESRVQIENNDVSEEREKVEQLLEKPHSNYSAICYNLKKMYPGKDGNPDKLAVKGVTLALPRGECFGMLGPNGAGKTTFISMMTGLLKPSSGSAYVDGLNLRTQMNEIYGSMGVCPQHDLLWDTLTGREHLLFYGRLKNLKGAALSEAVENSLKSFNLFQGGVADKLAKKYSGGMRRRLSVAISLIGDPKVVYMDEPSTGLDPASRKMLWDVVKHAKKDRAIILTTHSMDEAEYLCDRIGIFVDGNFQCLGTSDELKARYGGCYMFTMTTSPENGSKVEDLVKRLSPTAKKTYHLYGTQKFELPKYEVKLSDVFLTVRQAKERFPVQSWGLADTTLEDVFIKKRNVKTNVRLILVPISLLLLIRAIEFSSTVMTAKDTKCGCQCVEKNGTGKCVKECGLQYSNPLQAASCEVKEPYEWHPVFQLPEPQFSAVKSDVITYPDLPNESCRDSNSCPAIILVTGSNQTFGQSMLFPNATTTMLQCRTGLSLWRNSPSEINDELFKGYIMGNTEKKTGEIAAAYDWLNTNANSSIVWYNGTYKYDGQSEQLVRVPPMVNLASNTFLQNLLGPSFNILFDNIKEMPKHGSFRMSEISSQMGPILFSWVILQLFPVAFVALVYEKQQNLRIMMKMHGLKDGPYWTITYAYFLLISSLYMFGFVAFGSILGLSIFLENSFGIQFVFYFIYVNLQIACAFLLAGFFKDVKTATVMGYLVVFASGLLGSFFFANFLSGASVSEVWIIVMELYPGFALYRGLFEFGEYSKSGLYTGESGGMKWANLSDPGNGMKEVMIIMLVEWIVVLLVAFYLDQINSSGESPLFFLENSRKKKTLSTMNLLEKKDSGVCLEMEKEDVAQEREKVEQMLAGSTSGYPIVVDNLKKVYPGIDGNPDKYAVRGLSLAVPEGECLGMLGPNGAGKTSFISMMIGLTKPSSGSAFVDGLNINTEMDKVYTVMGVCPQHDLLWDTLTGREHLLFYGRLKNLKGEVLHRAVEDSLKSLNLFNGGVADKQSGRYSGGMKRRLSVAISLIGNPKVVYLDEPSTGLDPASRDTLWTVVKNARKGRAIILTTHSMEEADYLCDRLGIFVDGSLQCIANSSELKTRYGGSYVLAITTSADNEVEVEKMVRQICPNANKVYHLSGTQKFELPKHESKIADVFQLVENAKSRFPIYAWGMADTTLEDVFIKVASCAQSFNEA >Solyc04g074560.1.1.1 pep chromosome:SL3.0:4:60624149:60624397:-1 gene:Solyc04g074560.1 transcript:Solyc04g074560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTVEVTPNLNLISCGGSVAALEKWLEEYSGLLSIEKLNEIKKKSTSLKEAESRLCLRRVNLIAEQGKLMDEAMNASGIDI >Solyc02g090970.1.1.1 pep chromosome:SL3.0:2:53061908:53062990:1 gene:Solyc02g090970.1 transcript:Solyc02g090970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWVRGETVGHGSFGKVSFVIPRNQSTLFSPSMVVKSCSASCSATLMNEKIILDELKGCPQIINCVGDSYSYENGEKLYNVLLEYACGGALSDKLKNSGDQRLPELEVREYTKGLLRGIHYIHKNGFVHCDIKLPNILLGENGQVKIADFGLAKRAESKRDDKLRCELRGTPLYMSPEMVIGGEQNTPADIWALGCVVAEMATGNPVWRCLDISKLLMTIGLGDQLPEIPQNLSEEGKDFLEKCLMKDPKKRWTAEMLLEHPFVADEDDTVLLNYERCNSGSPSTSPRCPFDFPDWVSNNSAESSVTCSITSLPSPAFQELMNWNDGSWSTSPTERIRELVCERKPESEWSTADGWVSVR >Solyc08g061850.3.1 pep chromosome:SL3.0:8:49684528:49687643:-1 gene:Solyc08g061850.3 transcript:Solyc08g061850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQISKKRKFVADGVFFAELNEVLTRELAEDGYSGVEVRVTPVRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFNFKENTVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKQGPMTPLPDLVTIHPPKEEEEFVVPPPMIAAPAIEVLPVA >Solyc07g062000.1.1.1 pep chromosome:SL3.0:7:64994393:64994626:-1 gene:Solyc07g062000.1 transcript:Solyc07g062000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNIYKKSGVCRPNHRSGTRCSLSLGKMSNIYKVVQGRDSVDQPTNVACEVHQLLGNNRVRLVAMSATDGLTRGMTA >Solyc03g118710.3.1 pep chromosome:SL3.0:3:69040790:69043406:1 gene:Solyc03g118710.3 transcript:Solyc03g118710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLLRIRVKKGVNLAVRDVRSSDTYVVIQMAEQKLKTRVIKKDVNPEWNEDLTLSVADPNLPVMLTVYDHDSFSKDDKMGDAEFDIKPLIEALKMNLSGLPEGTIITRIQPSRSNCLSGESNIVWKDGQVVQDMCLRLRNVECGEVELQLQWINIPGSKGL >Solyc09g076053.1.1 pep chromosome:SL3.0:9:68183026:68183634:1 gene:Solyc09g076053.1 transcript:Solyc09g076053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYFEHVDMHTEATKIRTAAMYLTDTAMLWWRRKKADMERGTCQIENWEQFKTELKRQFYPQNVVHEARRRLRELKQTSSVRDYIPSLTSEDLLFYFLDGLQNWAKQELQRRQVHDVDEAIVVAESLNDFHGNAVKGRDNRSRTIPPKVDNNNRGRSRPNTNRSNDTRSNPRDQPSNFRKNYEDRKRGAPQ >Solyc07g039550.3.1 pep chromosome:SL3.0:7:47837072:47840788:-1 gene:Solyc07g039550.3 transcript:Solyc07g039550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSELEAHLGFGDKVLAEFITELGRNCSTVDEFDAKLKESGAEMPDYFVRTLLTIIHAILPPKLTSKSDKDLNKDNNDSEFSALKIRDNRERVKELEKEIELEAKTKRRDGEEEERGSRRERDRDYRRERGRDKDRGRRDEYEQESDDDRRDMRKLRHHMDEPELYAVYKGRVSRVMDSGCFVQLSDFRGKEGLVHVSQLATRRVTNAKDLVKRDQEVFVKVISISGQKLSLSMRDVDQNTGKDLLPLKKSLGDDQLTTHPSTMNGEGSKTRIGLSGIRITEQEDVIPSRRPLKRMSSPEKWEAKQLIAAGVLGVQEHPMFDEEGDGMLYQEEGAEEELEVELNEDEPPFLQGQSRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAYGKALTFGQRSKLSLQEQRQSLPIYKLKKELVQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTKGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPETVIKYMTDGMLLREILIDDNLSQYSVVMLDEAHERTIHTDVLFGLLKQLMKRRPDLRLIVTSATLDAEKFSGYFFDCNIFTIPGRTFPVEILYTKQPESDYLDAALITVMQIHLTEPEGDILLFLTGQEEIDYACQCLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIYYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAFHSEMSPTAIPEIQRINLGNTVLMMKAMGINDLLSFDFMDPPSPQALISAMEQLYTLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTIIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAIGAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVVDPKWLVELAPRFFKVSDPTKLSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Solyc07g007120.3.1 pep chromosome:SL3.0:7:1876193:1882717:1 gene:Solyc07g007120.3 transcript:Solyc07g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQDHFSQEMVLHQQQQQQQQQQNAVLRSMLPESPHHDARKSPPTWLNTSLLRQQHSQFGNASSPSSAAAAAAVAGGNNFLHLQTSNSDSSNSNQWLSPTAAAGGGGNGGGGGHNDELSESMNFAKKMSQQHSGGGEENNNNNNNNNNNNNNEEENSWEREKCKADILNHPLYDQLLSAHVSCLRIATPVDQLPRIDAQLAQSQNVVAKYSVLGQGQPPLDDKDLDQFMTHYVLLLSSFKEQLQQHVRVHAMEAVMACWELEQSLQSLTGVAPGEGTGATMSDDDDDQADSDTNFLDGGFDGPDSMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSSSQKSKRKSAGEIKQ >Solyc07g054680.3.1 pep chromosome:SL3.0:7:63050762:63054028:-1 gene:Solyc07g054680.3 transcript:Solyc07g054680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAAFNGRAKLTTLTFLLCRSCFNQLNYSVSVGVHSSAKGFWALNTLHRSGNFFLYNYIKSIPVGVLLNWPTFAPSIFGCCQSFSTQASPAEIVDDVLAEILMVMANSQSSGEELCATYIDKFCNDRNLSGAIRLVRTLHDKSIHLRPSAYDRLLKAAIEESDIHLLCQCFKDLLVSCKPLNSSTYLIFAQAFIKENDVACLLRFVREISELIFPSSTPVMNRIIFAFAECGQLDKSLLIFDQMKSLKSKPDVITYNTILGLLGKCGRIDEMLNQFVAMKEDGLIPDIVSYNTLITGLRKVGRLELCLVFFREMCEREIEPDLRTYSALIDSFGKSGNIEESLRLFNEMKHRGICPSIHVYKLLISNLKKMGKFELAIAFSNEMKESVSNHRGSNYNRQKNR >Solyc08g082210.3.1 pep chromosome:SL3.0:8:65176293:65177021:-1 gene:Solyc08g082210.3 transcript:Solyc08g082210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPVFEPLGTSVYLRQRDLLQKFCQENIANISIPTTSKTIPFRNSLYTQSYKLPEKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYETAEAAAYAYDRAAYKLRGEYARLNFPNVRDPSKLGFGDGEKMNAVKNAVDAKIQAICQRVKREKAKKAAKKKSENENGLWRSEDSTCSVFGDCLKDPLMESEFDSCSLARMPSFDPELIWEVLAN >Solyc03g118020.3.1 pep chromosome:SL3.0:3:68489904:68508190:-1 gene:Solyc03g118020.3 transcript:Solyc03g118020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACYAAIEKGGDVFFPTPARSVRRSFHLLMFVLIFTLLLNSNCVSSYSSGYWDFSSSNSTVMERGKLGPGNPGVCSRKAKHLPVCECGFKMLDSCFLNKNKMLEIEKGANDFNIPIIRSNRKLVASTDGGLHKPSCLVFNSAWKARQVEHEPNKKLNYPSPAGIQRPKSDEDIAFMSILELGQLLKENLITSVELTGIFLKRLKRYGPVLESVVTITEELAYKQAKEADQLLAEGKYLGPLHGIPYGLKDIIAVPNYTTTWGSTSFKDQVLDIEAWVYKRLKSAGAVLVAKLVTGSLAYDDIWFGGRTRNPWNIEEYSTGSSAGPASCTSAEVRTYCFKKESGIAGLVPFAIGSETCGSITYPASRCGVTALRPTFGAVGRTGPFCRNSVDCVIILDAIRGKDPDDVSSRDISFRDPFSVDITKLTVGYLEDAEMEVVHVLQSKGVNMVPFNLSYTVDSVQGVLNFTMDVEMLAHFDKWQRSNLDDEYEAQDQWPTELRRARAISAVDYFQAQRARGILIQQVRENFSVDAFIGNATDWEKVCVGNLVGIPVVIVPTGFKKISDAPSNDIRRRTTITTGIYAPPDRDHIALALAIAYQSVTNHHKQRPPIDDLGPNDPIPESPKSLGQFFPSIFYARDKAIKGITRHLAVEMASTGWLKGRVKAVPSGDSLVIMGSSKAEIPPEKSITLGSLMAPRLARRGGVDEPFAWQSRDFLRKLCIGKEVTFKVEYTVPSIGREYGTVFIGDKNVSMLVVAAGWAKVREQGQQKDANPYLKPLQDAEEQAKQQGLGRWSRAPGASEASIRNLPPSAIGDSSNFDAMGLLERSKGKLIEAFVEQVRDGSTLRVYLLPDFQFIQVFVAGIQAPTMGRRATSETVINASVTSDEPNGESTTENRAAPTSAQRLASSAASVTEVAPDPYGREAKHFTETRVLNRDVRFYLLALTDFYFLFTIFLMQFNIQVRIVLEGVDKYSNLIGSVYYPDGESAKDLGLELIENGYAKYVDWSANMLEVEAKKKLKSAELDAKKTRLRIWTNYVAPATNSKAIHDQNFTGKVVEVVSGDCLVIADDSLPFGDPSAERRVNLSSIRSPKMGNPRRDEKPAPYAREAKEFLRNRLIGKQVHVSMEYSRKVGMADGPAAPTSGADSRVMDFGTVFLASKDGDDASPAPSAAGSQLAGVNVAELLVARGFATVVRHRDFEERSNYYDALLSAESRATSGKKGIHSPKEAPVMHVTDLLTAASKKARDFLPFLQRNRRMSAVVEYVLSGHRFKLFIPKETCSIAFSISGVRCPGRDEPYSEEAIALMRRKIMQRDVEIEVETVDRTGTFIGTLWESRSNVAVTLLEAGLAKLQTSFGTDRIAEVHLLMQAEQAAKRQKLKARARSIWENYVEGEEVVSSGTAERRQKEEVKVTVTEILGGGKFYVQLVSDQKVAAIQKQLASLNLQEAPVIGAFNPKKGDMVLAQFSADNSWNRAMIVNAPRGAVESSKDKFEVFYVDYGNQEVVSYSQLRPLEASVSASPGLAQLCSLAHVKVPGLEDDYGQEAAYRLSELLLSGPKEFRAVIEEKDASGGKVKGQGTGTVFLVTLVDPESDISINATLLKEGLARMEKRKRWEPKDKQQALDELEKYQTEAREKRFAMWEYGDVESDEEDIPARKPAGRR >Solyc08g080700.2.1 pep chromosome:SL3.0:8:64045247:64046065:-1 gene:Solyc08g080700.2 transcript:Solyc08g080700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHPTLNGDNTPRLYARFEVGSAGCFNTLCSGFVLVNTDIPLGMPLVPSRIGGPINSQIMYLEQDVANGNWWVMLGEDYKQVGFWPKSIFTTLQAYATGAKYGGITYSAQGLQFPPMGSGLFPKKNLLENAYFRKCTFLCYVNDEMVTYSLDHIGTYPFQSNTTIYTVQDFIEQGDVLGHLIVYGGPGG >Solyc01g097300.3.1 pep chromosome:SL3.0:1:88076242:88080494:1 gene:Solyc01g097300.3 transcript:Solyc01g097300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQKAGTSGSEVEVTWEDQQNINKFGRLNNRLHELNDEIKAAKEKCENLEDASNELILTDEEIVRFQIGEVFAHVPKEEVESRIEKLQEETSKNLEKLEEEKESIVAQMAELKKILYGKFKDSINLEED >Solyc02g083720.3.1 pep chromosome:SL3.0:2:47573670:47578080:-1 gene:Solyc02g083720.3 transcript:Solyc02g083720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIYSWRTWENYAKSIALQRLEGHLLASLTLGSEEAVPNNTRMGRLSTFTFHQTTHPWSQHRALVWLLCFSRQFWSSINEADYMALRLGFITTHQLPLTYDFHKYMLRSMEEEFRDIVGISSVFPLNSYSYHLILLVGTKLHRVVVKLAVEIIDSSPLEGFHQFNLRDELFWFGKPRFLLRIIQFVSFQNAFEMATYIWSLWEIKGSSCFTDNHTFLVIRLSFGVVSQFWCSFVTFPLYVIVAQMGSRYKKTIVSENVRTSLHGWRHKVKTRLEGSVVSPETLLATTSLDSMAEDEVDQIHSVATISTEVFDESTVESEQRVPIEQSCTNEISECDELHIPLSPRNHGEV >Solyc04g078450.3.1.1 pep chromosome:SL3.0:4:63270155:63271346:1 gene:Solyc04g078450.3 transcript:Solyc04g078450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPHKAHRRRSQHQSEVNHRSDRSFCSLNHRWKYRRRTCLAGLPPHIRPSPVHKYLSVIRSCELGFQRMPHTNPIQLMEFCNRTEFFL >Solyc02g031840.3.1 pep chromosome:SL3.0:2:27554901:27567418:1 gene:Solyc02g031840.3 transcript:Solyc02g031840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4B5D1] MEIDEENNENKGGMWDLEQKLDQPMDEEAGRLRNMYREKKFSTLLLLRLAYQSLGVVYGDLGTSPLYVYNNTFPHGIQDPEDVIGALSLIIYSLTLIPLLKYVFIVCRANDNGQGGTFALYSLLCRQAKINTIPNQHRTDEELTTYSRSTFHEHSFAAKTKRWLEAYPYRKNALLILVVVGTCMVIGDGILTPAISVLSASGGIKVDHPKMSNDVVVVVAVIILVGLFSLQHYGTDRVGWLFAPIVLLWFLLVGGIGIYNIWKYDSSVLRAFSPVYIYRYFKRGKRDGWTSLGGIMLSITGTEALFADLAHFPVSAIQLAFTVIVFPCLLLAYSGQAAYLMQNTDHVVDAFYRSIPESIYWPVFVIATLAAIVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMVLCIAVTAGFKNQSQIGNAYGTAVVIVMLVTTLLMTLIMLLVWHCHWVVVLIFTVLSLVVECTYFSAVLFKLDQGGWVPLVIAAAFLVIMYVWHYGTVKRYEFEMHSKVSMAWILGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEDERFLVKRIGPRNYHMFRCVARYGYKDLHKKDDDFEKKLFDNLFMFVRLDSMMDGCSDSDEYSLYGQQTQHSRDYNGNSSTANIELSYSSMDSIAPAKCHPQGNSTITSSGHESSQTEVDELEFLNSCRDAGVVHILGNTVIRARRESRIYKKLAIDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Solyc01g091580.3.1 pep chromosome:SL3.0:1:85047373:85054401:-1 gene:Solyc01g091580.3 transcript:Solyc01g091580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLSSRLPRLPSKTVFPHSNSGSTLLHFTSKTRFDQSRFRCSAGQTGFFTKLGRLLKEKAKSDVEKLFSGFSKTRDNLAVIDELLLYWNLSDTDRVLDELEEVLLVADFGPKITIKIVESLREDIYAGKIKSGSEIKSVLKKSILDLLTSKAPKTELSLGFRKPAVIMIVGVNGGGKTTSLGKLANRLKREGAKILLAAGDTFRAAASDQLEIWAERTGCEIVVAEKEKAKASSVISQAVKRGKEEGFDIVLCDTSGRLHTNYSLMEELVACKKVVSKIVNGAPNEILLVLDGTTGLNMLPQAREFNEVVGITGLILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVDDLQPFNAEEFVDAIFP >Solyc05g026210.1.1 pep chromosome:SL3.0:5:40118568:40119745:-1 gene:Solyc05g026210.1 transcript:Solyc05g026210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSIIKVLGTPASPFANRVSIALNVKSVDYEFVQEDMSNKSELLLKSNPVYKKIPVLILGENIICESLVIVQYIDETWTNGPSVLPSNPLDRAITRFWVAYIDCKWLPLMSDLGKAQGEEAILEVQEKLQQALVPLEEAFVKCSKGKSFFGGENIGYIDIALWCILGWIKAIKIMLGIEIFNVTKAPELVNWGNRFLEDKCVKGAMLEPEKLVEIVKLHLAKKEANNAN >Solyc01g067170.1.1 pep chromosome:SL3.0:1:75392316:75392879:1 gene:Solyc01g067170.1 transcript:Solyc01g067170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLFGFFIPFHVRSTRFSTRSQAPKTISPTDVFEFNSKFTDKMRRIKIPFPAVDEKKKIIEDLDKDRPYAIDASIVRIMKRHKVLAHQQLAVECVQKLGRMFKPDVKAIEKRIKDLISREYLERDKDNPNLYK >Solyc06g075360.3.1 pep chromosome:SL3.0:6:46917663:46920952:-1 gene:Solyc06g075360.3 transcript:Solyc06g075360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSNHLIGLVNFLTFLASIPILGGGIWLSSRANNTDCLKFLQWPLIVIGVSIMVVSLAGFAGACYRNTFLMYLYLWAMFFIIAALIGFVIFAYAVTDKGSGRPVMNRVYSEYHLQDYSGWLEERVTSQSYWSKISSCIRDSHVCGKMRRTYNRGIPEPVEMFNLRKLSPLESGCCKPPTECGYTYLNETVWNPGSGIVGSDPDCGRWSNYQQQLCYNCNSCKAGVLASLKKSWRKVSVINIVILIILVIIYMVAIAAFRHNKRIDNDEPYGETRMEKSQPSRIHF >Solyc11g032074.1.1 pep chromosome:SL3.0:11:24785548:24785870:-1 gene:Solyc11g032074.1 transcript:Solyc11g032074.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREKGAALEIGVRTYMESLFNEYTKPVDFDKNGQFFSTEGGASSKSELFKYLDEEVDLGKSDFYVLIWWKVISPIFPIVS >Solyc12g017870.2.1 pep chromosome:SL3.0:12:7604297:7606497:-1 gene:Solyc12g017870.2 transcript:Solyc12g017870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4DDB5] MNSLALISCLILCFSVFTDASYKYNYDYTSPPPPPPKKSGYPKHPPKKSTFGIGFYSKSCPQAEGIIKKAVFKAVLMNPGIAAGIIRMHFHDCFIRGCDGSVLLDSIPGKETAEKDSPINNPSLRGFGVIDEAKVLLEKVCPHTVSCADILAYAARDSAFFVGGIKYAVPGGRRDGRVSLSSEVIQNLPPPFFDAKQLEDNFKAKGLSLDEMVTLSGAHSIGVSHCSSFSNRLYGFNTTHPQDPSLDPRYASYLKHKCPRPMSDTQNDPIVNLDVSSPIYLDNKYYLNLRNHKGLLTSDQTLYQSPLTSKLVLNNIKFRSTWARKFANAMVHMGSIEILTGNKGEIRKNCHFIN >Solyc12g089220.2.1 pep chromosome:SL3.0:12:65308059:65312189:-1 gene:Solyc12g089220.2 transcript:Solyc12g089220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQGPVVCPLVHVKQTGRLTSPIVKAKMLRSTEFWGFNGIHRRRINVVRLPRSRISKLIVCSFNSSSNDSGGMAGNFKETDADYVNSSVVEAVEVQSGKDGFMIKMRDGRHVKCVPNNPQGVHVHNYAPNPAIVLRMEDGTGLLLPIIVLEMSSVLLMSAIRNIQLARPTMYQVLNKMVEKMGYTVKLVRVTKRENETYLAQLHLTKLDNDAESISFDLRPSDAINIAVKCKVPIQVNRNLAYSDGVRIVESADPEPRAATSDVPLFSGLDKSAGQPPSMDEKEFILVRNMLVAAVEERYKDAALWRDKLTQLRSNKNWT >Solyc01g060450.3.1 pep chromosome:SL3.0:1:70960502:70964072:1 gene:Solyc01g060450.3 transcript:Solyc01g060450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTHADLEPSRPSTNLGSKMGAFLMVLSILLGLFCFILSLIAEATRSQVRMRGKDECTYSGSGKTPLLCASAAFFVLAIAMVIEHTYLLIVVSKTTPPHVLYWDPNSPSVKTLVWQAGFFFVSTWVSFAVGEILLLIGLSVESGHLRHWETPRESCLVLGQGLFSAAGVFGLLTVILAAGLYVTALRAQQLLLHQESISRQILETSMPFASPPRSPRTIIRPVPNENPILRTDQSNIEHDTTSLAQYLSDFDKYLHLV >Solyc05g013260.2.1 pep chromosome:SL3.0:5:6339420:6343582:-1 gene:Solyc05g013260.2 transcript:Solyc05g013260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKALKIFTLNNIAARLEAASKPIISGRKMISEVLKMLKPEKIAERIEASKSSSQITTMEMVRFVDFLLDYVKDPTLVKLRYLRAFFILSASRCIEHESMHYFFIHVENVAYTALKLRFKWIDKYIDMISYELHSLRSSLSPNLTQNLLTALKSSKSETTLNSECMLDFVNVLLEDLKVHLHCCQIWGLKEELLNSVHFLRCIEHGGTQLRIFSSLQSLIEDLVFEAALVINAYDEDLLFVLKPKLNHVNLVIKMIQLRNSEATFLLRADSLKLIDYALEELIVVTNFLMDSLDQCKQQPKITDLLTLIQSVTNEACSAVKNLLCYPRGEDFVREMNRSHFLLLLKFNFIKAAIRQMCSTICASSTEIHLLNFLPINFEVIGSYLNSSEKSSSGYRNMNLVMMDFHQYIIDNLLLKHETDLSFTVADDVKKFYDGLLLLLTHLVDTLSQCSEFGTTAIEAKSANSNKSNLILQFLTVAFKLIGCERSLMDLQKHKATLKAHILDLIKSSHEELIYLRVFRSQATHMTANKIAQIIKRRSTEEIGLLLSEIESVKVEIRKVCFQFLDASPYNMTDGEDLIRFLSKHQDWLLNFDAFSIPFLKKQIPEIKGKLFYLGSFIADIVQHRDMHQELKDLVKRVQDIKFVCLFPIRDSAPSWCYRLYLSDVKQLLKFVETEVEMICLKVPDFSSHSFPKINELGFLDCFLGKLDEMLSSKLESVIDLKCQIEPLKEGLLCLRTLTDHFPEIYDEHDEVYSLITRVTAMAYKAEYVMDSCLTYSYPLWYKVCWISEAVENIKLVNEVVRETCERKKVDVAVLKIRKTSIHLVPSLSSNTPGSDEEMESFQEAMDQMKMQLLGGSRQLDVVSLVGMPGIGKTTLAEKIYNDPVITFHFDVRAQCRTTQVYSWRDLLLAILNGVLEPNDRNEKEDGELADELRRFLLTKRFLILIDDVWDDKVWDNIHMCFKDARNGSRIILTTRLSSVANYAKCESEPHHLRLFRDDESWTLLQQELFQGKSCPPEIVDREKDNKSRIVEGNRRKSMFAEY >Solyc04g076010.3.1 pep chromosome:SL3.0:4:61024704:61029375:-1 gene:Solyc04g076010.3 transcript:Solyc04g076010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTINRGGGAIECCMCGDYGLSSELFKCKICQFRSQHRYCSNLYPKAESYKICNWCLSPKDISGEKTQNSSNSSSSCKNTTSDHNNIQDGPKLKKKLIIRSENNDIIGRTSPKGKIKGSNCNLKVQLINKNPIKLQKSPLLAARKRVIIDKVNIEEKIRRTKSEEMSIRGITRKVFKNRVRRYKLLDEVSS >Solyc10g081240.2.1 pep chromosome:SL3.0:10:62474035:62477956:1 gene:Solyc10g081240.2 transcript:Solyc10g081240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAIPRKTYFMGSLDGAASEEASDEDDNAAAEETLSVVAASLQLYRDALATNDDSKVAEIEISLKSIEDEKIELQTKVASLTKELSSERDRVLRISADFDNFRKRTDRERASLVTNAQGEVVEKLLSVMDNFERAKTQIKVATEGEEKINNSYQSISKQFMEILGSLGVEPVETVGKPFDPLLHEAIMREDSSEFKEGVVIEEYRKGFRLGDRLLRPSMVKVSAGPGPAKPETTEPKEKEQIETDEKTAETPGDEGTGEGGN >Solyc07g007220.3.1 pep chromosome:SL3.0:7:1956787:1961034:-1 gene:Solyc07g007220.3 transcript:Solyc07g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIQDSEQVNEGMENLAISNTDDNFKNQSLHSEAEFQHTQNENCGKVTSSSPSVMRNSFPLESISEDTTIADWKQILLSNFLPVLRSGDWSDIGGRVDMEDTHICIADLAKNFGLNILGEDAISFYGVFDGHGGKGASQFVRDYLPKIIVEDADFPLELEKVVTRSFAETDAAFAKSCYVDSALSSGTTALTAMIFGRSLLVANAGDCRAVVSRRGLAIEMSRDHRPCCVTERTRIESVGGFVDDGYLNGQLGVSRALGNWHIKGLKEVEKGGPLSAEPELKLLTLTKEDEFLIIGSDGIWDVFRSQNAVDFARRRLQDHNNVKRCCKEMIDEAKKRGAIDNLTVVMVCFHSEPPPPVVFQRSRIRKSISAEGLANLRSLLED >Solyc12g006910.2.1 pep chromosome:SL3.0:12:1336503:1337188:-1 gene:Solyc12g006910.2 transcript:Solyc12g006910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPPKYQNMSYYDNVQRQHEEKGCLYACMFAMCCCFCCNERTCCCC >Solyc07g041217.1.1 pep chromosome:SL3.0:7:52237897:52253652:-1 gene:Solyc07g041217.1 transcript:Solyc07g041217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFKILPKFNLIKFSLKSTQFPTLYCFSATAVDIPLRGRNVATNEAVIDTHTNATVIDSNRSKDQFGIDYHHPLFLHASDAPNSMSIAMQLSLLTRNKLGFVDGSINRGTYGYAYELLLQIEGNTQTTIPATSITTPMFTHEQYTRLLELLNNEGGQNASAYMTGISSHTSEFNPNWIIDTGATNHMVGNSHLLVAGTEVGNTGKMQLPNGESTDITHVDHDFFLYSDSSDLIPTPTPLIHVLPELPNPSSSSSAESSSTNVDTQPTAEFQPTSTLFSSERKYTLDLISNLGLSGAKPTNSPLELHEKLTSTDLDCLICTKDDPLLTDISSYQRLVGLGIFLSSDCDSTLTAFCDANWASCPNTRRSVTGYLIKFGSLPISWKSKKQSTISRSSAEAEYRSLASTVAENVWLVGLFTALNVKERTKHIDTDYHFIRERIHTGLISLHHLSVGISPELLVVVAHRRSNNDDDLVLFGVVSPFMELDFEPKHLRDFYLVILNFKLYFVGDEEEERNVVGVGENELTSGWLGLSSLVAVRRRW >Solyc04g077400.3.1 pep chromosome:SL3.0:4:62384099:62399190:-1 gene:Solyc04g077400.3 transcript:Solyc04g077400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLAALLTSAGINTAVSVVLFSLYSVLRKQPSFVNVYFGAKIAQVRSRQQDAFRFDRFVPSPSWILKAWETSDEEICATGGLDAVVFVRMIVFSKLTLLLLIVDSFRIFSIAAIVCNFLVLPLNYFGKEMQRHQIPAETLEVFTIANVEEGSRWLWAHCLALYLVSCCACFLLYLEYKSISRMRLAYFTSSMSNPSYFTVLVRAIPWSREESYSGTVARFFTNYYASSFLSHQIVYRSGSVQKLVTDAGKVCKMLKLAPRELHIGSNSMRCGLCGTSTPFSMLPMDADDDQGRSDFGGSDLSKKESAAALVFFRTRYAALVASQSLQSRNPMSWVTNLSPEPGDMYWSNICVPYRLLWIRKVAILVASMALVAFFIVPVSLTQGLVHLDKLQKTFPFLRGVLKRKAMSQLATGYLPSVVLIIFMYMVPPIMLLFSTLEGSTSRSGRKRSASIKVLCFFIWNVFFGNILSGSVIERFSKIFKDVNYLLATAVPSTATFFMTYVLTSGWASLSCELMQPFGLLCNLFYMFILRNKDVTTYGTLTFPYHTEVPRILLFGLFGFVYSTLSPLILPFLLVYFSLAYLVYRNQILNVYVTKYQTGGTYWPIVHNATIFSMVLMQVIAMAVFGLKKSTVASSFVIPLIIMTLLFNEYCRQRFQPLFMQIPAQILIEMDRQDEQNGKMKEIHQKLTTSYTQFKSKSRTLGDPMPPNNNCRLEDLEINPGKIPVHHLSS >Solyc09g097915.1.1 pep chromosome:SL3.0:9:72402715:72403474:-1 gene:Solyc09g097915.1 transcript:Solyc09g097915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSNFYPNLHLHSILLEDPFPRILLTTKFLHLVRLSDICGIDLGEAARELASCRRHLNSKL >Solyc05g055520.1.1.1 pep chromosome:SL3.0:5:65982980:65983225:1 gene:Solyc05g055520.1 transcript:Solyc05g055520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYAEGYCLHGTQLDSLSCCNTFYWTIEAASSNFFQARSRCSTRPLAPAAFSLYSFSHSSKSSESISKNILLTLEVNPWTA >Solyc08g006570.3.1 pep chromosome:SL3.0:8:1175260:1178968:1 gene:Solyc08g006570.3 transcript:Solyc08g006570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQILSLGVLIDVVDEEWMRDTLPADDLPLPPVLLPKTDDNEDSNQEAPQVDGDTWHDLALENHRH >Solyc06g051245.1.1 pep chromosome:SL3.0:6:34555246:34555962:1 gene:Solyc06g051245.1 transcript:Solyc06g051245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGCSYKVDSTYMMLSRVIEYEGAIVEYTDRDIGLILQNLEKNFALMTCKIGGKKIASCLDFMVLMLDHMFRITMKNLKKMKMDCGQ >Solyc09g065200.3.1 pep chromosome:SL3.0:9:63405941:63409781:1 gene:Solyc09g065200.3 transcript:Solyc09g065200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAPEPEVTCSKQYLALGLKISGKEKSGKPRVLSLLSTLLERSVQNNESLLESSQSEDVITIFHGSRAPSLNIEQYLDRIYKYSCCSPSCFVVAHIYMERFIECTSAHLTSLNVHRLLITSVMVAAKFIDDAFYNNAYYARVGGVTTKELNKLEMKFLFGLDFQLHVNVPTFGSYCSLIEKEGTVGLQIERSIQACRISESWSNKDDSKCAQIAR >Solyc01g109390.3.1.1 pep chromosome:SL3.0:1:96276380:96276694:1 gene:Solyc01g109390.3 transcript:Solyc01g109390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKQNLVILFATLVIVAAIGIEMASADSLCGLTIYDLMTCKSAVSGPKPLPPSDKCCAALTKADFPCLCTFKNSPMLSDFKINSTLAMDLPSKCKLDSPNCSA >Solyc12g062690.2.1 pep chromosome:SL3.0:12:34043350:34043904:1 gene:Solyc12g062690.2 transcript:Solyc12g062690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHKLWNMEAENMKLGDVVIRRMDAEVNDYPSSGANNRHTPSHP >Solyc09g009880.3.1 pep chromosome:SL3.0:9:3356212:3360991:1 gene:Solyc09g009880.3 transcript:Solyc09g009880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRKRRKMKSLAVTAPNNGAASSEEREESDEQNSLFCVPVDILEQILSRLNLKENILASAVCKQWLAAAISVRVANKPPWLMFFPKFGDLVEFYDPSVRQTYSVELPELRGSRLCYAKDGWLLLYKPRTLRVFFFNPYTKEVINLPGLELTYQIVAFSAAPTSPDCIVFTVKHVSPTLVAISTCKPGATEWTTANYQNRLPFVSSIWNKLVFCNGLFYCLSLTGWLGVYDPEEHTWLVRVVPPPRCPENFFVKNWWKGKFMAEHDGDIYVIYTCSTANPVVYKLDQINKIWVEMQTLGGLTLFASFLSSQARTDILGVMRNSIYFSKVRFYGRRCISYSLDKNRYYPRKQCYDWGEQDPFESIWIDAPADLSAFT >Solyc01g008290.3.1 pep chromosome:SL3.0:1:2331218:2339467:1 gene:Solyc01g008290.3 transcript:Solyc01g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydroorotate dehydrogenase (quinone), mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4AT27] MKQRVGFALIRESLYRKLIKPSAAPPRHYCTSSANVPPLPKVPHSSKKGRLLTGATIGLLIAGGAYASTVDEATFCGWLFSATKLVNPFFAFLDPEVAHKLAVSAAARGWVPREKRPDLPILGLDVWGRRFSNPVGLAAGFDKNAEAVEGLLGLGFGFVEVGSVTPIPQDGNPKPRMFRLPNEGALINRCGFNSEGIVVVAKRLGAQHGKRKLETSSTSSPAGDEVKHGGKAGPGILGVNLGKNKTSEDAAADYVQGVHTLSQYADYLVINISSPNTPGLRQLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKQDLEDIAAVALALRVDGLIISNTTIQRPDSVSQNPVAQETGGLSGKPLFNMSTNILKEMYLLTKGRIPLIGCGGISSGEDAYRKIRAGATLVQLYTAFGYGGPALIPNIKAELAQCLEKDGYKSIHEAVGADCR >Solyc02g078515.1.1 pep chromosome:SL3.0:2:43740381:43743774:-1 gene:Solyc02g078515.1 transcript:Solyc02g078515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYRCPMNCTKEWKKLPINERADSNLNTIICCVMRLRSSLSNQIGKIHGKEILEVGWLLVMRQPGCPDGKVSVKQDLLYSLNGFFHCSIELVKVLFLILMDLCRRTAGLVHPLPVSQVGSNNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPAEEWHRRNMFGGPWSDPEDVDDNSKLSPSRDLTQYSSLENCDVYYGAHGLWPRKRRMSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSAFASPGGNPSANQHEKEVWWISRWACGCPMCGGTWKWFSTWRQTPLTLPAYCGFVTLVMYYTLKISVSSEAGPEVG >Solyc10g052450.1.1.1 pep chromosome:SL3.0:10:52665284:52665472:-1 gene:Solyc10g052450.1 transcript:Solyc10g052450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTAFMHISGLILLALISTYSWTISRGPLASRIPSISLLGGCVFKDTMTLFTVLCPVTSHF >Solyc09g065335.1.1 pep chromosome:SL3.0:9:63635039:63639057:1 gene:Solyc09g065335.1 transcript:Solyc09g065335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESISLNVNAGRGSQGGRASSCNESSVKQSREVPVIFDSSSTNNTDVLNNYASIESLKDGGVLISQRKFVLDLLKEYECSNYTSLSSPLDPNVKLRAKEGAPLYDPTYYRKLVGKLNFLTNTRMDIDFNVQQLSQFMQDPRLPHLQAAFHLLRYIKKDPTLGVYLSRNSDCTMRAYCDSDWATCPDSRRSVSGYLVLLGDSPISWRSKK >Solyc02g031985.1.1 pep chromosome:SL3.0:2:27728224:27739563:1 gene:Solyc02g031985.1 transcript:Solyc02g031985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLALQASNLNSQTGRGRGFKGNGNSEGWKRNTDNSDSRKREQSSFSAVNCADVASSSKDTVGEMPITTDQNDCIVVSSPSESIIELSSDELADSELNHETQVFGRSEDDNADHNSATDLGSTSDAVNTRRTSGRISKQPIWLKDYVHKSKSLYPMSNHLSYENTSAKTKKKGADIVIILVYVDDLLITGSCSKMISDANDVLHKQFKVKDLGDLKYLLGIEVLRSKAGILLNQRKYVLELISEMGLGGAKPSTTPLETNVKLTTTEFDESTGRKGDTLLADASVYQRLIGKLLYLTITRPAISYTVQTLSQFMQQPKRSHWEAAIRVVKYLKLAPGQGILMSSSSSHELTCWCDAEWAACPNTRRFVTCYLVKFGSSLVSWKSKKQQTVSRSSAEAEYRSMASAVAEVTWLLGLFQELGGHPEIEGELTTTQSLQI >Solyc09g091860.3.1 pep chromosome:SL3.0:9:71550868:71560142:1 gene:Solyc09g091860.3 transcript:Solyc09g091860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVYRVKVIRKRAERHERKRMQNYKVQAERLSAFEELLNEIYTVCRPKPRDYEVRRDLISAFNEIAKDIYGYSGNAPVVEEFGSFVMDLFHSKSDLDLSVNFDNNSAQFSHEKRIQTLRKFARKLYALQIFTMEYGPHGVCNEAEHGHVSGVHPITAAKVPVLKVVDCGTKVECDISVENRDGVSKSKIIHMICSLDERFQKLSFLMKTWAKAQNINSSKDKTLNSLSIILLVAFHLQLNDYSITSCDANNKRLKHQASRPEELKCRSPWKRKKSFRRRSVFVFGAVQLLEWSSLANGSDPDVVAKSLKKFVNYGKGNKESVAELLVTLLIKLLSVEKLWAKGLCASTYEASWLSKTWDSKVCCIGVEDFIDRSQNVARAVGKGEVKRIYKCIQRTSEYISLFMDGLVEIPKLKSQLFGNAAPFQDVFETRNIKEDGNIITLPCRDMKNKKDQSKEGQNGSQWAIPSEPVAKSTEGWEGLASVNGGQSKGKWSTEGWEEVRSASWGQPKEDREPADSPWSKGKWSTEGWEGIHSANWGQAKEDGGPADSRLSKGKWSTEGWEGIRSASWGQPKEDREPADSRLSKGKWSTEGWEGIRSASWGQPKEDGGPADSRLSKGKWSTEGWEGIHSASWGQPKEDGGRADSRLSKGKWSTEGWEGIHSASWGQPKEDGGPADSRLSKGKWSSEGWEGIRSASRGQPKEDDGPPDSPWSKGKWSTKGWGNTSSANWGQSNGDNAPPVSILTKRKRSEETPRRTSSAQWLGKSDTKSWPNRKHNSKYAPNAKWSKMQRGGQGGS >Solyc11g063740.2.1 pep chromosome:SL3.0:11:50146949:50148123:1 gene:Solyc11g063740.2 transcript:Solyc11g063740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKFIVIMGATGTGKSREIEPDSDFTAEDFYLQVVIYIEKILKTQGVPIIVGGSNSYIEKLVEDPVFMFKYKYDSFFIWIDVEQSVLNRRVDMRVDQMVKADYTKGIRRSIGVPEMDIYLREETNIDGDDESKQMILQDSISSIKRNTRMLICNQLAKIQRLISEKMWSVHHIIATDVFKEDREEDLDEAWTNTVLQPCLDIVKIFLKNDHNNIIIECT >Solyc12g006460.2.1 pep chromosome:SL3.0:12:933787:938699:-1 gene:Solyc12g006460.2 transcript:Solyc12g006460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYNLALFFIALILGIFTFYAILMRINGWYYAIKFCSNKYNIPNGYMGLPYFGNTLSYFKASMCGDPKSFIDFFATRFGEGGMYRAYIFGKPTIMVTKPEIIRKVLMDEEYLERGLPNYMKKLIGLTTSIEEDKYFRRLTAPVKSHGLLSDYFDYIDKTVSSTLEKYATTEEPVEFLHKMHKLTFEVFMRLLIGDEVNQELFDEMFEEITAVISGVHNLPINLPGFAYHKGLKARKVLGEVFKKLIDERREAMKDGKSMPKANIIDMLLSNNNQDYEANMLSDKKIIEILVLFSFAGFEPVALMSVKAIFHLQKHPHFLEKAKEEQEEIVKRRASSNAGLSFDEIRQMTFVSKIINETLRIATDQSVFLRDTSTTFNINGYTIPKGWKFFAVVWNIHMNPDVYVQPKEFNPSRWDDIETKPGIFLPFSMGPKSCPGSNLAKLQISVILHYYLLHYRVEQINPEARCYPPENCLVKFKKLSISSNGN >Solyc02g086810.1.1.1 pep chromosome:SL3.0:2:50064092:50064913:1 gene:Solyc02g086810.1 transcript:Solyc02g086810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDEFFSPSFSSYSSNRVAEIAGKISDEIKRDSQVVEENVDGADGDEDFEFSLVCENPEDSVGVFPFDRPIYPVFNRDLIPNDVSYGVDREGVNGESSENVNSSVQVSLKDLFLEEREPLSSSSSEVDELESVPPGTYCVWKPNITEPSPSRCKKSNSTGSAFKRWNIRDLMRRSNSDGKDSFLFLTPEKGLRNETSKAKDSAEASKIAGKLKAKGNSSSGNKTSSMTDVYLRNQAAKEMDKNRRKSYLPYRRDLVGFFASASNIGRTFPPF >Solyc07g017904.1.1.1 pep chromosome:SL3.0:7:8457828:8458256:1 gene:Solyc07g017904.1 transcript:Solyc07g017904.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGLTNAPDTFCTLMKKVFNPYLDQFVVMYFDDIVIYSSTLEEYVENLRKELQVLWENHIYVKREKCNFSQQEVHLFGHVISHGKVQMDEAQIRAIQELGVPTKVIELQSFLGLANLYYRFISGYSTKAVPLTELLKKNKS >Solyc12g082790.1.1.1 pep chromosome:SL3.0:12:17469396:17469575:1 gene:Solyc12g082790.1 transcript:Solyc12g082790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGRDRACLAIIAIGKHKRSDDVGRDMPSLPFDSTHDGMTLVRYGIIALGQHIQLENIR >Solyc07g051930.2.1 pep chromosome:SL3.0:7:60600972:60602407:1 gene:Solyc07g051930.2 transcript:Solyc07g051930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKYVCMPNGSNPIGEVIVVLQTTQAAVWPQAFMRPPKLYPTNLESLHIHIIIYTQKSKQTKNTTLFLLIFFFFINGLDQRPYHRPRLEVFAVKSVELSESQLLQKEQKILSELSSPYVLSYKGCDVTKEKDKLMFNIRMEYMPDGTLSDEIRKQSGRMNEPLIGYYTKQMVQGLEYLHSRGIAHCDIKGQNILLGKSGAKIADFGCAKWIDPANRDGGANSIGGTPMFMAPEVARGEEQGCAADIWGLGCTMIEMATGGSPWNNVTNAASLLHKIAFSEQSPEIPTFLSLQAKDFLNKCLRRDSKERWTAKQLLKHPFLESNSTTIQDFVTSSPTSILDQDIWNSETTILQTVSSMDCPVERVSKLSQESGKQNWCWVDEIWTTVRNTSKMTALSEIDLESCNRVVPNNSVINSLNFPTHFTYSVNKIHYS >Solyc05g051540.2.1 pep chromosome:SL3.0:5:62757021:62758917:1 gene:Solyc05g051540.2 transcript:Solyc05g051540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYYEAPKQINVTNPMISNSTNFGYDDDVALSEKLINEGIYLTWKDLWVTVPDKKSGRRTILQGLTGYVQPGEVLAIMAPSGCGKSTLLDTLADPANADASQANREEVDSRSIFVGNVRNKNLLFLFGD >Solyc09g072610.3.1 pep chromosome:SL3.0:9:65641425:65645256:-1 gene:Solyc09g072610.3 transcript:Solyc09g072610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Long-chain-alcohol oxidase [Source:UniProtKB/TrEMBL;Acc:K4CUT7] MVKETECHSLLKGGRINSSYTHGFSSSQIQTISAFCETLVPPCNNNDNSFFASSGAHPPSTNEVAELLVKRSKPEALLIIRIVVFLLTTRLGSLLLCGRVCLDKRWPFVHNFTELDLKDREALLQRWSRETFLIPLRITFLMIKIIYLFIFFSWTDENCKNPTWEAIGYHLPETTEALYENKKERPLERGIVETINESDETLKESLSKKDVVITEDAKDNIFKIECDVLIVGSGCGGGVAAGILAKSGYKVVVLEKGHYFVPEDYSGLEGPSLSELYETGAMLSSLDGKVMIMAGTTVGGGSAVNWSASIKTPNDVLKDWSVNHKISWFGTSEYQSAMDAVCKRIGVTENCSEEGLQNQVIRKGCENLGLKVEKIPRNSSENHYCGSCGYGCKTGDKKGTDSTWLVDAVNAGAVILTGCTAERFILEDGKMRKTCLGVIATTESKKITRKLHIKARATISSCGALFTPPLLLSSGLQNKNIGTNLYLHPVLLAWGYFPESMSEIKGKNYEGGIMTSLHEMVPEETNAQAIIEATAVGPASFASLFPWTSGQDMKEQMTKYSRTVTLLALVKDQGRGEVKKAGRIKYSLDRIDKENIKAGLRRALRILIAAGAVEVGTYRSDGQKIKCKDIKNEELEEFVDTVEAPEGPMSKEENWTVYASAHQMGSCRMGSSKEDGAVDENGECWEAKGLYVCDGSVLPTAVGVNPMITIQSTAYCIAKRIAESLHNEKLV >Solyc12g005810.1.1.1 pep chromosome:SL3.0:12:449948:450163:-1 gene:Solyc12g005810.1 transcript:Solyc12g005810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITSKMAIVLITLMAVCLLLSGPVTGQRRGKGGGGGGGDSGSNNLSSSDYGFFCLLISSLSYIVFLYSPY >Solyc11g045330.2.1 pep chromosome:SL3.0:11:31096005:31105840:-1 gene:Solyc11g045330.2 transcript:Solyc11g045330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCVKIVSRLKGSFCHLLLVIALWLCSYQNVVALPLLPKPGHISSTSELPSSPNSANFQPIEISPTMIPHYPFHGEPMPPMYPSFPKTYDPVLTGRCPVNFSVISSITTKTASDCTQSLSTVVGNVICCPQFNSLLHIFQGFYSNNSDTLVLQNAVADDCFKDIISILASRGANSSLSSMCSVKSSNLTGGSCPVKDISTFEKAVNTSKLLDSCSTVDPLKECCRPFCQPAISEAALQISGIKMTLSDNKNIVEAPSEIDTLNDCKGVVYSWIARKLRFEDANNAFRLLSSCKVNKACPLDFKQPSEVINACRNLAAPSPSCCSSLNGYITGIQKQMLITNRQAIICAAVFGYMLQKAGVMTNVYELCDVDLKDFSLQAYGQEGCLLRSLPADLVYDNSTGFSFTCDLNDNIAAPWPSSSSVTSLSLCAPEMSLPALPTSQTLSSSGCHLDGVHVLLSTILIFVSTLLY >Solyc05g051080.2.1 pep chromosome:SL3.0:5:62133619:62135819:-1 gene:Solyc05g051080.2 transcript:Solyc05g051080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQQRQRLISLKKKTFFFNFVSSKAEEEACKADNTQRRRTRELLETGNANGTTLKFNFMPSKSEEESCKASNTRRIITRELIETGSMDLEIATSFVNENQVRVELWDDMKNNVVKKYDRGSFTVTKLPDDDYNLSIVTLIKDRELLIGDKIGLYWHPWNSTFVFRLFSKVADHKFLTSMKKTFFHEFIPSKVEEEACQVNNASWVVTRELVEIRDIYSAPIIDLEDPWNIKKKITHNEVILGKLVISFLEMFEYILRYWKLDMAKSLTNGYEVCGNIWDITEENDPKKYEGESVCFRKLYNDYEYSLSCMRLFNDRRLNVGDEIALYWDPRSSSIMFKLLSQVYA >Solyc06g036677.1.1 pep chromosome:SL3.0:6:27245979:27252257:-1 gene:Solyc06g036677.1 transcript:Solyc06g036677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKARQRQGVSGVQAWKMCGLIRVDFDEIMNIQCLDNVLESKLWRKIAAKDRNPISKGTCQLHTTVIADYSYAPGDQRLGRLALAPCRECKGKRGNPPRTRTQKSGDSGLHESGQGPEIAKKKSGPHYFRKLVRNGRMIKTMMGSIREVSKEWEDDQGHSGINSTYKRIASKGLPDDVTWYVEQWQIQWDDVCKETTGIAQLFLDNIYKLHGMPEFIVSGRDLVLVSKMPPDQHTKEDYHALKMRFPVLP >Solyc05g024458.1.1 pep chromosome:SL3.0:5:32000236:32001762:-1 gene:Solyc05g024458.1 transcript:Solyc05g024458.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEVSSMREINNLKTRLSAAFEMKDLGPAKQILGMKISRVKSASTLNLSQEIYTLRRLRVNDAKPRTTPLANHFKLSKEQSPKTVEEHDHMTLGFVDADLSGDVDSSKSTSGYIHTIGGTAVSWMSRLQKFVSLSSTEVEYVAIVEARKEMIWLADYLEELGKKQNKIIVEQQFLYRMEEGNIAWKDHHYQYNIP >Solyc03g078355.1.1 pep chromosome:SL3.0:3:52112376:52117305:-1 gene:Solyc03g078355.1 transcript:Solyc03g078355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNIDQEPAPQIPVQNLASNQIPVQNLAARTSTVAHGSHDNYKGKGPEGSEGRCALDMRNTTITKQQIDQLVGVSLVFVVVYVDDIILTGTDVNEIRSLKSFLHDQFKIKDLGKLHYFLGLEILYKDTGVLISQRKFTTDLLKEFDCTSCKPSMSPLEPTVKFKANEGALLKDPTFYRKLVGKLNFLTNTRLDITFSVQHLSQFLQSPREPHLKAAYHVLRYLMNDPCLGVFLSNSTDCTITAYCDSDWAACPDSRRSVSGYIVLMGDSPICWKSKKQATISLSSAEAEYRAIRKVVGELVWLEKLLTELHSPCNLPLAD >Solyc12g008570.2.1 pep chromosome:SL3.0:12:1956434:1966998:1 gene:Solyc12g008570.2 transcript:Solyc12g008570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDKEIIGSQKQQIAKLFEESLRAAFPDIPDVQPLVAICNDPKHGDYQCNNAMSLWNKIKGKGTQFKGPQPVGKAIIENLPASEMIENCSIAGPGFVNIVLARQWIAKSLQKMLIDGIETWAPKLPVKRTVVDFSSPNIAKEMHVGHLRSTIIGDTLARMLEFSNVDVLRRNHVGDWGTQFGMLIEFLYEKFPNWEAGNAPAIGDLEAFYKASKQRFDSDADFKERAQKAVVSLQGGDEKYRMAWAQICEISRKEFQKVYERLGVHLEEKGESFYNPYIPKALEMLNEKGLIEESEGARVIFIEGKKIPLIVVKRDGGFNYASTDLTALWYRLNEEKADWMIYLTDVGQREHFEMVFSAAKRAGWLPPNENDYPKASHVGFGLVLGEDGKRFRTRSTEVVKLVDLLDDAKKRCKAALEERGKTKDWTAEELEQTAEAVGYGAVKYADLKNNRLTNYTFNFDQMLSDKGNTAVYLLYAHARICSIIRKSGKDIEELKKSAAIDLAHPDERTLGLHLLQFAEIVEDACTNLSPHLVCEYLYNISEDFTKFYTNCQVVGSAEETSRLLLCEATAVVMRKCFHLLGITPVYKI >Solyc08g076560.1.1 pep chromosome:SL3.0:8:60654492:60654941:-1 gene:Solyc08g076560.1 transcript:Solyc08g076560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAVTNDYDYVFSENGLVAHKDGKLIRKQSLLSYLGDEKLKVSQYVFLI >Solyc11g006805.1.1 pep chromosome:SL3.0:11:1385581:1387041:-1 gene:Solyc11g006805.1 transcript:Solyc11g006805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPKTFTFREFIAKLNAQEQDFFSKLRAKQSLNENPPNDDPKVKRVIDEKPNRKKEGH >Solyc07g017800.3.1 pep chromosome:SL3.0:7:8110413:8117373:-1 gene:Solyc07g017800.3 transcript:Solyc07g017800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAILQQSFLISPLSSTYKPRKYSTFFPVHSTKILCKHNPDDPQDSLRKSENKFEKLALAAVAVGVLTLGSVDPASAAKTGGRIGGQAFRPSSPPRSSSPRINNSRTNIYVNPPVAPPLVGGYGYGYGIPFYGGWGWSPFSFFAPGPGVAVGIGGGFDTLVLFLVLGAVASFLRRVLGKSRDEDEY >Solyc01g080820.1.1.1 pep chromosome:SL3.0:1:79968843:79969370:1 gene:Solyc01g080820.1 transcript:Solyc01g080820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIRLNSKRRRLEDPAELASSSRNPCNKKEVQSPTTPDPVVGLSGSKSQGDQLFGNVLKQVHPDNVKLKPTIAKFISGLGAPASSIQVEAIHVKRSSFVYETKLQSFNLLSEAMTKKCNDNPILKIGWYGASKEEIVDIITIGLFDQVTVMEFSFLLKITLLIFSKLLFKTKMD >Solyc10g009320.3.1 pep chromosome:SL3.0:10:3378016:3384004:-1 gene:Solyc10g009320.3 transcript:Solyc10g009320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFNWVHRKFHQKDGKKDIDELKISNEIIGHHDTQILLQDASFAHMLDIWNGGLLTIGTFGFDPLMKNVQDQQSVIDIESLEDEEEILEEEEEYYSVENEIQECEIPFNDHEGIDEELYPLIYANIGDEMIYNEDNIESNNNSFTDQTNTKMMKKERITLADLFSADSDHHHNKANRRSVRESEIFTKKSNSSPQVKNGLSFAKKLIPRVKDEPRPIQKLQKLMTKVLKRKVHPDIENKLSKNNNQVKAGSMLGLSCVKHVRVESSVSLLLTDQDLTA >Solyc03g123480.2.1 pep chromosome:SL3.0:3:71810162:71814905:1 gene:Solyc03g123480.2 transcript:Solyc03g123480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BN47] MSRGTRDWSARIFSVWADWKGDVMLMGIAKNKKWRKCPKCKCYVEKGEGCFQLTCRCGHDFCYRCGMVHESQHICPST >Solyc06g069480.3.1 pep chromosome:SL3.0:6:43344314:43373728:1 gene:Solyc06g069480.3 transcript:Solyc06g069480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQLPRLTNALREPFDADQAYLHRKTILQKLKSRSTATSLEESELARKIVYKWDEASPELRQAYKQFIGAVVELMKGEIVSEEFREVAFSVYRLFSGPMVEGEEHRRIAEKKLNLQKLVGYVVSDSLLSRVASLAQILYELQNNHPGIETASLPEVSNGTTDDVEFGSDLVFRPPARFLIDVSLEDSDFFVEQDSAPSSSHETQNEHGSFSKFRESVSGGKFDLSWLRDACDEIVRGSTSQLPRDELAMAICRVLDSEKPGDEIAGDLLDLVGDGAFETVQDLIMHKKEIVDAIHHGLIELKADKMTTGGQSRAPSYAVQVTVQTESEKQIDKLRRKEEKKHRRGTNNGVEGDLSTVSFSSLLHASEKKYIFEDLVGHGEGINTLGPTALPQGTIRKHQKGYEEVIIPPTPTASMKPGERLIEIKELDDFAQAAFHGYKSLNRIQSRIYHTTYNSNENILVCAPTGAGKTNIAMIAILHEIQHHFRDGYLHKDEFKIIYVAPMKALAAEVTSTFSHRLSPLNVTVRELTGDMQLSKNELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQSMIRIVGLSATLPNYLEVAQFLRVNSETGLFFFDSSYRPVPLAQQYIGISEHNFLARNELLNEICYNKVVDSLKQGHQAMVFVHSRKDTVKTADKLVELSGKSTESELFKNDEHPQYEILKREVFKSRNKEVVQLFEHGIGIHHAGMLRADRNLTERLFSQGLLKVLVCTATLAWGVNLPAHTVVIKGTQIYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHDKLAYYLRLLTSQLPIESQFINSLKDNLNAEVVLGTVTNVKEACAWLGYTYLFIRMKMNPLAYGIGWDEVMADPSLSLKQRDLISDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYTSVETYNEMLSRHMNESELINMVAHSSEFENIVVRDEEQNELEMLSRTYCPLEVKGGPSNKHGKVSILIQLYISRGSIDTFSLISDAAYISASLARIMRALFEICLRRGWCEMSSLMLDYCKAVDRKTWPHQHPLRQFDKDISSEILRKLEEREADLDHLHEMQEKDIGVLIRYGPGGKVVKQCLGYFPSVLLTATVSPITRTVLKVDLVIAPQFVWKDRIHGTALRWWILVEDSENDHIYHSELFTLTKKMARADPQKLSFTVPIFEPHPPQYYIRAVSDSWLQAEALYTITFHNLALPETQTSHTELLDLKPLPVTALGNGTFEALYKFSHFNPIQTQAFHVLYHTDRNILLGAPTGSGKTISAELAMLHLFNTQPDMKVIYIAPLKAIVRERMTDWRKRLVSQLGKKMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLMILDEIHLLGADRGPILEVIVSRMRYISSQTERPVRFVGLSTALANAHNLADWLGVDETGLFNFKPSVRPVPLEVHIQGYPGKFYCPRMNSMNKPTYAAICTHSPTKPVLIFVSSRRQTRLTALDLIQFAASDEHPRQFISMPEDSLQMVLSQVTDQNLKHTLQFGIGLHHAGLNDKDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVVIKGTEFYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHDHINAEIVTGTISHKEDAMHYLTWTYLFRRLMVNPAYYGLEHAEPGILNSYLSSLVQSTFEDLEDSGCIKVTEDSVEPLMLGSIASQYYLKYTTVSMFGSKIGSDTSLEVFLQILSGASEYDELPVRHNEENYNEKLAEKVPYAVDHNRLDDPHVKANLLFQAHFSQSELPISDYVTDLKSVLDQSIRVIQAMIDICANSGWLSSTITCMHLLQMVMQGLWFDRDSPLWMLPCMTDDLLNSLQKKGIASIQQLLDCPSESLRAITGSSAASKLYQDMRHFPRIQVRLKIQPKESNGGKILTLNIRLEDANTQRRTAKAFIPRYPKVKDEAWWLVLCNTSASELYALKRVSFSGRLQTHMDLPSTLTNFQGIKLILVSDSYIGFEQEHSIEGLT >Solyc02g092830.3.1 pep chromosome:SL3.0:2:54439558:54446052:-1 gene:Solyc02g092830.3 transcript:Solyc02g092830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRCMSFSRTLLSSCRPSTVSSSPSVQSLLGQRLQSRRSLSTILTRTNGVLGCAQSLLPLHSAVAATRLTSHIQMEARTCCQLSQGTFFCRTCPDR >Solyc09g059423.1.1 pep chromosome:SL3.0:9:54646545:54647587:1 gene:Solyc09g059423.1 transcript:Solyc09g059423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISSSTNGADTPFADITHFRSLIGALQYLAITCPDIQFAVNRVAQGMHQPSEHDYHCLKRILRYIFGTLGFSDSDWANDKNDRKSTLGSESASTATTSVVNNSSAPASTATTSAVDNSSAPPLTATTTPVDKRMELHCDDS >Solyc03g120240.3.1 pep chromosome:SL3.0:3:70172518:70187758:1 gene:Solyc03g120240.3 transcript:Solyc03g120240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMHSENQKESNVCNRRSILFVGFSVLPLLNLRARALEGLSTDSQAQPQKEETEQTIQGSAGNPFVSLLNGLGVVGSGVLGSLYALARNEKAVSDATIESMKNKLKDKEDAFVSMKKQFESELLSEREDRNKLIRREGEERQALVNQLKSAKTTVISLGQELQNEKKLAEDLKFEIKGLQNDLMNTKEDKKKLQEELKEKLDLIQVLEEKITLLTTEIKDKEVSLRSNTSKLAEKESEVNSLSDMYQQSQDQLMNLTSEIKELKDEIQKRERELELKCVSEDNLNVQLNSLLLERDESKKELHAIQKEYSEFKSNSDEKVASDAKLLGEQEKRLHQLEEQLGTALSEASKNEVLIADLTREKENLRRMVDAELDNVNKLKQEIEVTQESLENSRSEVSDITVQLEQLRDLCSKLEAEVSKLQMELEETRASLQRNIDETKHSSELLAAELTTTKELLKKTNEEMHTMSDELVAVSENRDSLQTELVDVYKKAEHTANELKQEKSIVATLEEELKFLESQITREKELRKSLEDELEKATESLDEINRNVLALAEELELATSRNSSLEDEREVLRQSVSEQKQISQEAQENLEDAHSLVMKLGKERESLEKRAKKLEDEMAAAKVWDPWLIVAQIVCFQCLYYLTLGIFMTILVGTRVSRISLVYFFDYATVTASTVTGWCVIASFILSSLAGAGFLLYLIERAKKCLDFSATLYIVHLLICIIYGGWPSSITWWVVNVTGLAVMALLGEYLCIRRELREIPISKFRSRSVSEEKANEDMDEQIPLYRVDNMA >Solyc10g006525.1.1 pep chromosome:SL3.0:10:1088010:1088990:-1 gene:Solyc10g006525.1 transcript:Solyc10g006525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFTYRPKLLLKRTHASPKFSRILGPKFKLHTLRQVPPAKFFSDEPTPVKSFPAITPLFAVCILIVPLVLVPAIMQCE >Solyc06g071750.3.1 pep chromosome:SL3.0:6:44337135:44338376:1 gene:Solyc06g071750.3 transcript:Solyc06g071750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSTQIVKFLYSYGGRILPRPSDGTLRYIGGFTRVLSVDRSISFAELMVKFGELYGSSMRLKCKMPTEDLDVLVSITCDEDLANVIEEYDRVSAMTNTEMKIRALLSPINLPKKDSRPSSPMSCFDFAASSLKPGKFVQFNSPPSYAAARRLFSPVVGYPTGRRTDGEELYYPYCGQAGVKPLYNVVPIHCQ >Solyc01g058120.1.1 pep chromosome:SL3.0:1:65191776:65192846:-1 gene:Solyc01g058120.1 transcript:Solyc01g058120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEEILILKQYPKCRVGVPDKVKVPHNEKVQKEKCSTQTDQPSRKLPFQDDSTESRSDHCWRIDRPLLEKTEAHSALVDKGREFETSKSYLNAKEHLDLVLHEKNEKSEELSIACQSLKEAKKRVNELKVLQDATMKEVEDVESKVLEAEE >Solyc12g038220.2.1 pep chromosome:SL3.0:12:50096404:50107872:-1 gene:Solyc12g038220.2 transcript:Solyc12g038220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVTRFLLGSLNGLLGQIKAYAAKIFREEYQALGMSTISSAWGIGLVIGPALGGFLAQISLFLALPLHISVRLGFGCWFILDSAHELQETLHNHDSERPRQDTYKALEAASDTKDENELAPKENLFKNWPLMSSIISYCVFALHYMAYSEVYLNISLISIILRVADQTQGRSFEKTHRRSFISHIHSKRICLYIFSH >Solyc08g081590.2.1 pep chromosome:SL3.0:8:64717744:64722384:-1 gene:Solyc08g081590.2 transcript:Solyc08g081590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFCRSTIFFSDQHLCYADILPSSQVRARIEVAVLNFLKALSSNSPSISDLSLISRNSSNSRVSRGLLTGDSWIFLSHSFCTRSLTRENSAKSFIRVWKVMEMCYQILVQEKRVTQRELYYKLLCDSPDYFTSQLQVNRTIQDLVALLRCSRYSLGIMASSRGAIAGRLLLQEPDKEVIDCSACGSSGYAISGDLELLEKLTMETDARYIIMVEKHAIFQRLAEDRVFNHIPCILITAKGFPDIATRFLLHRICRMFPNLPVLGLVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRKDDINQLIPEECLVPLKPRDLQIAKSLMSSEILQDSYKEEVAAMIQSGRRAEIEALYCHGYDYLVKFLATKIVQANYL >Solyc05g005920.3.1 pep chromosome:SL3.0:5:708296:710571:-1 gene:Solyc05g005920.3 transcript:Solyc05g005920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESERELLFVEENPRKGGLRTIPFIIVNESFERVASFGLQSKMIIYLMTYYNMSAATGTSILGLWNALSNGLALFGAIIADFYLGRYRAVAYGSISTLIGMIILWLTAMIPQLKSLSCSEIHHVCNGPTTLQLAVLFSSLGFMSIGAGFVRPCSIIFGADQLENKKNPENQRILESYFNWYYASSGVSTILAVTLIVYIQDLYGWKVGFGVPAILMFLSVLTFQIGSPLYIKVKAKTTENLVIGLFQTAVAAFRKRNTRLSSTNYDELYRWPLESEVLPPSKDFRCLNRACIIEDPQRDLNPDGSASNPWNLCSVEQVESLKALIKALPMWSTCFMIFVDMNIFSFSLLQTKTMDRHIFPHLQVPAASFSVFMITALTIWIAFYDRVLIPLLSKYTGQPRGLSPVTRMGIGLIASGMSIALSAITESIRRQRAIEEGHEDDPNALVNMSAMWFVPQYALLGVAEATHGVGQVEFFYALFPKSMSSIASSMYTVGTAVSSLLGSILVSSVDWLSSTGGKTSWLSSNINQGHIDYFFWLLSFFSFLNFLYFLLVCRFYESLNDGRSRSSHVAKEKECDYRLLHES >Solyc11g028110.1.1.1 pep chromosome:SL3.0:11:20392920:20393072:1 gene:Solyc11g028110.1 transcript:Solyc11g028110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRDSHELNKIGKLINNLWKSYISMMAEHYEQQIIKTPNLKNKLKCKIN >Solyc06g051560.3.1 pep chromosome:SL3.0:6:35163322:35166704:1 gene:Solyc06g051560.3 transcript:Solyc06g051560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMCAQFKAFVDSTGGLWRTQQLAGKPAGTFLSTGSQGAGQETTTWNTFVVVLEWPFFALFCCCH >Solyc07g021595.1.1 pep chromosome:SL3.0:7:19774079:19774864:1 gene:Solyc07g021595.1 transcript:Solyc07g021595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPKLEEIIKKLKELLEASHIRQSKAPYGMSVYFQKKKDGILPRRIVEGDEQKTTRVSRYEAHSAFCLNQCTRHLFHDDEQPISPIIGVVRGCVKHIGGERGALGENLPSLTGKPTLCQVGQVRVFPARGALLSPCYKLGRTTDGRGEDLDISGVGGTNDGDRSTILPWTFKLLSQVHPWKLTKATSLSELLKKNKALVGARSA >Solyc11g068790.2.1 pep chromosome:SL3.0:11:53712003:53714416:1 gene:Solyc11g068790.2 transcript:Solyc11g068790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASALQISSQYSKIALCSLFPVFPNLRKPNKALNSVTSNSNKKFKIRALKEKTTEEVKSAEEITKKFGLEAGLWKIFSSKEDRDEENKDKKSKGDQAKELLAKYGGAYLATSITLSLISFGLCYALINSGVDVQSLLQKVGISTDETGEKVGTFALAYAAHKAASPIRFPPTVALTPIVATWIGKKVDKEK >Solyc05g007600.1.1.1 pep chromosome:SL3.0:5:2130528:2130704:1 gene:Solyc05g007600.1 transcript:Solyc05g007600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGHDPKLPLLIRAMVRSWCENSAAISVSVMAFAVVDGPFSRRKSPEDMVRILLEFN >Solyc05g016620.2.1 pep chromosome:SL3.0:5:17636257:17638242:-1 gene:Solyc05g016620.2 transcript:Solyc05g016620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVGQATTRSELTFYMMGNTIIHEITTFGRVDSAAQIILDREPDLFGMHNKTGETALFRVIRYGKAVMFDFLDQQVNQFFFSVYEREACYYKLGGATILHADVRSEHFGLALSIAKKYEYLVNEQHADRMTALQLLACN >Solyc09g059910.2.1.1 pep chromosome:SL3.0:9:56662509:56663291:-1 gene:Solyc09g059910.2 transcript:Solyc09g059910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERTTSLGTKLLSLVSNFPIRVITCKASNEICSSLSIENRFPTMVCDICCSEPCFFRGCCCILCCKTIGSDYDGYKYVRCEATIDGYECGHVSHLDISLRAYMAGKVGEIINLDAQYLCRYCDSRMDLVLHALKLINTCTFMSSRADIEKILNVGIIFCLAHKKGVEKSCCIILNQSMRRYN >Solyc06g083420.2.1 pep chromosome:SL3.0:6:48867915:48885052:1 gene:Solyc06g083420.2 transcript:Solyc06g083420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGCGVGSDVIVDAGIDSMGGFVEGGVGIGTITSPQTIAIEKVRAELRQECSGLHERKRQLEFLEEGGDPLNFKIVDAASLSVQSTSLTDKHPDQFVTSEIKGSFAITTSAHGDSVESSGRPAAPQLCEPNSADNLMLFDGENKFVGNDRGYRHPSRSNVTPSGQSSKFEESQNAKELGKSTAFGIPKKAYKRRYRPRPNRDSARSSSSDIARGGHDTSLPSQHFPKDVKGLVSDLDKDQNSSLNIAQTLSPNGGMALQTMPSDNQLDLEVDGVKAAESTTDFKKDDMLDTVPDASASRGLLDNQHNQNPLTCVQKVSVQQAPEKPQVPKVKGRVGSAGLDCQPDTTEREVENSSSLMNGFGSRKGCKKSFVNEAENSGVALGAKGLDSESSCTQTSLSLDGHNDSETCTNLNILDSNGNLNGQLVVPDGMAVIRSDVKVKNEIEADMNSDLKNENPNSGHGNHQSNGSVPKSPKQLVSTVSKLQSEIKDKLITEKMEEVGPSELETTRKCFVLKREDPNPQDVCNVGTQGMIDTCIPEHSECVSQTRVLNLSPEGQTPRIQGDEDSILKEAQIIEAKRKRIAELTAVTCPLENGRKSHWYYVLEEMVWLANDFAQERLWKITAAGQICHQVAFNSRLRFQERSRSWEQKMIAHNVAKSVMDFWHSVEVKSQKMDLERSKKDYTNAIKEYAIRFLKYNDSDVSKNQAEVPVTPDRISDWGNMDASLEDHLTEENLFYPVLLGAMDAYRKSIESHVQLCEASISTIIILPAKTGNGMQEEVESSACDAVTDCAYEVDEGETSAYDRSVALEGNKSSRFPQKARKILLKGYNGRPYDVGAGIQFTQCMENRVGSHQSVVLGKRRASTLNVSIPTKRVRTASRQRVVSPFGATTAGCVQLPIKTDASSGDTGSFQDDQSTLQGGSHMNSLEVESVGDYEKHLLFDSAEVSKPKKKKKAKLLGTSYGQRWQVDSNYQINQKDHSRKRFEGHQLESNGSSGLFGQHIAKKPKLLRQSFENSFENNTPIGGSIPSPVASQMSNMSNPNKLMRMLSGRDRNRKAKTLKMTAGQAGSGSPWSLFEEQALVVLVHDMGPNWELVSDAINSTLQFKCIYRKPNECKERHKVLMDRTTGDGADSAEDSGSSQPYPSTLPGIPKGSARQLFQRLQGPMEEDTLKSHFEKIILIGKKYLLRKTQGENYDLKQIQQPHDSHMHALSQLCPSNLNGGSFLTPLDLCEEPPRAPSSPDFLPAGFEGSYSGGLSMSSPGGGSVLPASGANSGVQAPTNMILGSNFPSSTSPLNASVRYAVPRAVSFPVDEQQRSQQYNPMLSGNMQSNKSATGALAASDSGGARTHPSGNSMGALSGLNRGMTMARPGFQGIASSSMLSSGTTTMPSTVNMQSGVSSNQGNSMSRPRDVLHMIRPSPNQESQKQMILPELQIKVSQGSSQGVPPFGGSSTSFPNQTASSPVSSHPLHQPHLLSSQQPLVHSPRQPHLQGASHATSPQHQAYAIRLARERHLQQRLLQQQHQQLSHTQPHLPIPSSLQNSPQITSQTSSPPVSLSPLTSSSSISPMPQHQLKHPFPAHGLGRSAQTGGSSLITQMSKPRPHQIGQQQLQNVSRHHPPQRQQSESQKQAKFLKGVGRGKSMIQQNMQIDPSLSEGLPTDQVNQSAEKGEQATQLLQGQGTLAQPAKQKVSQPQHPHSKINSGQVPLSKKQQIPPNSDSTNQALASLSVLGPNLPHQSVPTSVSGSSNHRMLMHPQQQVQLRPKLTPQSQAALQGVLQRKRSLNSEPSNKLQAGELKSEQRNICNTSQIGKTSLQGSNNLTNAAEVSAAGATQMKVAVPSLDSIGNPPINSAASETGTEVNQGVSQMQSSGKLSPIGRDAGVKWKQKSSELHPPSLVNQPQLHQQQQQRPLLQHPDQAQVLQAGNRGLLARPSEPRLD >Solyc07g042580.3.1 pep chromosome:SL3.0:7:56164858:56172009:1 gene:Solyc07g042580.3 transcript:Solyc07g042580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHVNMMKGRGPSRVNGQQVVFELKQRVVLALNKLADRDTYQIGVEELEKIIERLTPDGVPPFLSCILDTDSEQKSAVRKESIRLMGTLANFHDSIVVPHLGKMVTSIVKRLKDSDTVVRDACVDTVGILASKMSSVACDNENDGVFVVLVRPIFEALGEQNKHVQTGSALCLARVIDSIQNPPAVILQKMLGKTVKLLKNPHFMAKPAVIELNRSIIQAGGASTHSALSTAMTSIQEGLKNSDWATRKAACAALGDIASVGGAFFSAFKSSSIRTLESCRFDKVKPVRDSALQALHMWKRLPGHGTSEPSEAGSSIKENLYKDDYGDITSASESTLKDVTPKKFGCDSVKNKLPLSLRKAGQNHIEKPQHSGADEWHVEIAVPKTRKIFMPEVRDEESESSSVTKAFEKGSGTRSSHDVEYEYVHIDDKQECSSGSNLFPDNFQCKEVVGSHDVIDEASLSTPLGTSRRSAVEEISIEEQRYLSGMQDRRSLDSTVTDLSSQKLHGCCSQVTKEMLSVRKQLLDIENKQSNLLDLLKEFTSNIVDNLSMMQMKVSGLEGMVDRMAKELSHGGKFPDPATTKFMKRSPAVASPRLSTYTPRPSVDIPHRTSPLVPTKDVEVRGDRTLVKSRSSSFRNQNLDKWIDPAAKQGGYPVGKGMHQNSGQVTHGGQLRRNKDAFGRNSTTNDKQNQLDVKNNLWRVVKGHLLGGDVDSAYMEALYSGDELVLFKLIDTTGPVLENLSQKTTNDLLATLASYVFEQTYVNSIIPWLQQVVELCSAHGPDYIVLPTNAKREFLSAFQEMIKGGYSTLAEKKSLMQLAMTLNQIWGKNAP >Solyc04g008470.3.1 pep chromosome:SL3.0:4:2087222:2089012:1 gene:Solyc04g008470.3 transcript:Solyc04g008470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGFKKLLATFFLVLMLVFAAELTEARTCESQSHRYKGPCVRKNNCANVCKTEGFSGGHCRGFRRRCFCAKHC >Solyc01g080380.3.1.1 pep chromosome:SL3.0:1:79479693:79480685:1 gene:Solyc01g080380.3 transcript:Solyc01g080380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSGFEGFEKRLELHFSGDDPIIRMGGLRQLDFESIEEILNAVQCTVVSAVGNQYFDSYVLSESSLFVYSTKIIIKTCGTTQLLKSILPLIQFTSELGFMMSECRYTRGNFIFPKSQPYPHTNFNDEIFYLQQQLPIHLCYRKASVMPSKFISHSWHVFTACNEESNNDLFTVEVCMTELDRVLARKFFKHPNMASNEMTEVTGISDINPNALICDFVFDPCGYSMNGIDGDRYSTIHVTPEDGFSYASYECVGSIYDDPNDIINILKKVVQVFRPGTMSISTTSTTNEVWTRIAKAVEPLGMKCRSCTMDDFPSTGSVVFQTFTSCRK >Solyc05g026240.2.1 pep chromosome:SL3.0:5:40182635:40187231:1 gene:Solyc05g026240.2 transcript:Solyc05g026240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVGSFHFFLRIEVKYFNEGIHLSQSNPNPGAVPSQSSAYTALQAWKSAITDDPSGILKNWVGPNVCSYKGIFCSNSQDYMGNPTDPVVTGIDLNHANLQGTLVKELSYLTDVSLIHLNTNRFSGIIPQTFRDLSSLVELDLSNNHFSGPFPTTVLFIPNLLYLDLRFNSFTGPIPEDLFNRKLDAIFLNNNQFDGELPQSLGNSPASVINFANNKFTGNIPFSLGYMGPRIKEILFLNNELNGCIPEGVGLWTDLQVLDVSFNSLMGHLPDTLSCLSGIEVLNLGHNKLSGDLPDLVCSLRNLVNLTLAYNFFSELSQDCDKLPLRNVGIDFSLNCIPGRQMQRPQPECSVIPGGSLSCLRVPAVKPLVCG >Solyc11g072910.1.1.1 pep chromosome:SL3.0:11:56365782:56368286:-1 gene:Solyc11g072910.1 transcript:Solyc11g072910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGFGLFGKSLIILVLGVLCVSGFEPADNFLVDCGSSKDTNVGNRVFMADKSASKFLSTSKDILADTPSNSITKANDSPLYQTARIFTQQSSYKFPISLKGRHWIRLYFYPFVYQIYDMSTAMFSVSTQNNVLLGNFSPKNASVKEFSVNVTSNDLVVTFSPSSNSFAYINAMEIVSVPDVLITDDAFTISPAGTFRGMYAQALETVARVNMGGSLVSFDNDTLWRTWVTDQSFLIQPSSAKSVSKIGSVKYPADGATPDFAPPSVYGTCSEMNVAGAGDDSNANFNVTWTFNVDPGFQYFIRLHFCDIVSIAANQLLFNIYVNSWNVASDFDPGQKVQGILATAYYNDYVTPTAKSNRLNVSVGPSRRSAYPDAFLNGLELLKLNNSQGSLSQVGSVPTNPSSKAKKNVGVIVGVCIGIPVLLVMVGILFCMHRRRKQEKLAQSKIWIPVSMNGGTSHTMGSKYSNGTTISAASNMSYRVPFAALLEATSNFDESLVIGIGGFGKVYKGVLYDGTKVAVKRGNPKSQQGIAEFRTEIEMLSQFRHRHLVSLMGYCDEKNEMILVYEYMENGTLKSHLYGSDLPSMSWKQRLEICIGSARGLHYLHTGYAKAVIHRDVKSANILLDESFMAKVADFGLSKTGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKMGQLEQIIDSNLEGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVIQDDPEENSTILIGELSPQVDDFSQVDPGVSAAHNGTPNLDDLSGVSMSRVFSQLVKSEGR >Solyc12g095990.2.1 pep chromosome:SL3.0:12:66055269:66063540:-1 gene:Solyc12g095990.2 transcript:Solyc12g095990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSAPEGSQFDARQFDAKMTELLGTEQQDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGVLQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVIEELPANVADLL >Solyc05g023857.1.1 pep chromosome:SL3.0:5:29634130:29635196:1 gene:Solyc05g023857.1 transcript:Solyc05g023857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDMNRHSKFSQKFSTIAFTFMEHYGASSSFSKDQMAPILNPLRIVQDPYKSSSSKPYEGQLNQPTICSTKHKQI >Solyc05g045955.1.1 pep chromosome:SL3.0:5:58667960:58669470:1 gene:Solyc05g045955.1 transcript:Solyc05g045955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENMKEKFDKYWGDTEKMNKMVFIPCVLDPRHKFITLGFALRKMFGEKGAALEIGVRTYMESLFNEYTKPVDSDKNDQFSSTEVDISNSRSEGEFGIFFEELQKHTLKKEEQVQIYSPRFPILSEMARDVLSIPVSSVASECVFSTGGRILDWFRSSLTPKLVQALVFLQDWLRNFIMPQLHGSNARSPICNHYEKLEEKEDGSWTVKCIHCGRVAYYHSHYNGTASLRNHVKHCLETRNQNR >Solyc01g049970.2.1 pep chromosome:SL3.0:1:47632466:47635890:-1 gene:Solyc01g049970.2 transcript:Solyc01g049970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEKKKYYRIDGMPLAMQIWIYECCSAVDSNIAVKKINRIPKIVNWMTRNSRIHYEFLMEGMFSDNGNPLKFKNIEPSLKEIAFYQLESKSTANTKNTFQIVSDKDDDKDDDFTSKPPSHKPHNKEKGLRLKDKRPTVLNDCRKAKSTTLNSDSNPLEDNVSVQEMHNCPDDSANRTPPRSSKEPQDTKADEIGLLRQDLASFKNYANNEFKEFQLFIMGNFRQVMDVLNRSCRESGAPRQEDATEYPSHVPNWSNNNQISNVMDKPHCDANEVRTPRFVLQEHVKINVKEYLQPVQIHIQDPLTVHEQPNDINVFQNHDIQQPQSQIELIDALLPDIDAIKPKKNDVVHSEVVVHPEGVVYDTTPVPVKRNRHPDRLSGDGNVIQNDGIQQPQPQFELLDALLPDIDTIYPKKNVVVHSEVVVRSEGGVYDNTRVLVQTIIHSDQLICSPYSTNIGSSSDLFDDYCLWLSEGLLASHMNKMHDEDRAAGHNYHVTEEIQKLGQLLSMYVSMEIGNDSDDTEDNHFAYDVTCVEDIPQQGSDFLDCGIYLLAFAEYLSEGEGIPVKYLDSKLHRIRYGALL >Solyc06g034380.1.1 pep chromosome:SL3.0:6:24293000:24293474:-1 gene:Solyc06g034380.1 transcript:Solyc06g034380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIPNRITIKVKANLIEIEGPRGKLNSRKATAAIRTTLSHVKNLIIGIAKRYRYKMCFVYAHFLINYFITGGNKSIEICDFLGEKKVRKVDMLDGVTVVHSEKVKDELVWMEMTLNIEDIYVTEKGRIVEEK >Solyc10g044920.2.1 pep chromosome:SL3.0:10:28868241:28869108:1 gene:Solyc10g044920.2 transcript:Solyc10g044920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLNSYHFLLSHHFSCNDKYTSENPTKMF >Solyc06g069310.3.1 pep chromosome:SL3.0:6:43181325:43185450:-1 gene:Solyc06g069310.3 transcript:Solyc06g069310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPMDIVGKTKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLISSESNEVCNREEKRTIAPEHVLKALQVLGFGEYIEEVYAAYEQHKLETMDTVRAGKCSNGAEMTEEEALAEQQRMFAEARARMNGGVTGPPKQQDSEAEQTLNS >Solyc10g079940.1.1.1 pep chromosome:SL3.0:10:61511100:61511435:1 gene:Solyc10g079940.1 transcript:Solyc10g079940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKATHWIYESLWVEFMYGEYFNGSANGRLANAFRSTKQRNFSNRGVENWTTIEGLGDEMSSDAVAECVKRLMASAEGDKMRKRATELSKSVVNRGGGGKEMDSFISHITR >Solyc04g064470.2.1 pep chromosome:SL3.0:4:55615655:55618649:-1 gene:Solyc04g064470.2 transcript:Solyc04g064470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKDSSDCTEQALFDELKALEEHLKAHMSLAPKMYHLEVALGHFKKWSVTESLSHVRNYMKLDPFLLPVVQGSILVHVLEEFDEGKRSCRRRLTGHKKRRRKTHPENVANGASVNDEGNYLLISLLRILANVQCNSLYGFHGFPAIYSFCMTKDVGKINKVIAFTQ >Solyc02g080530.3.1 pep chromosome:SL3.0:2:45294816:45296528:-1 gene:Solyc02g080530.3 transcript:Solyc02g080530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4B9S4] MATKHLFFFAILLFSAASVFAEENPSLVMDYYKDTCPQAEEIIKEQVKLLYKRHKNTAFSWLRNIFHDCFVESCDASLLLDSTRRMLSEKETDRSFGMRNFRYIETIKEAVERECPGVVSCADILVLSGRDGIVALGGPHIPLKTGRRDGRKSRADILEQHLPDHNESMSVVLERFANIGINTPGVVALLGSHSVGRTHCVKLVHRLYPEVDPQLNPEHVPHMLKKCPDPIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLMLVDHQLAMDKRTKPYVKKMAKSQNYFFKEFARAITILSENNPLTGTKGEIRKQCNLANKLH >Solyc08g005510.2.1 pep chromosome:SL3.0:8:378849:384377:-1 gene:Solyc08g005510.2 transcript:Solyc08g005510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSNSQYCSPRKYDVFLSFRGEDTRRTFTSHLYEGLKNRGIFTFQDVKRLDHGDSIPEELVKAIKESQVSLVVFSKNYGASRWSLNELVEIMERKDKNGQTVIPVFYDVDPSHVRNQTESFGEAFSKHESKYKDDVEAMKKVKRWRTALTVVANLKGYDIRDGIESEKIQLIVDYISTKLCKSVYSLSSLQDVVGINAHLEKLKSRLQIEINDVRIIGIWGIGGVGKTTLAKAIFDTLSCQFKAACFLADVKENAKRNQIHSLQNILLSELLRKKYDYVSNKYEGKSIIPSRLCSMKVLIVLDDIDHSDHLEYLAGDLRWFGNGSRVIVTTRNRHLIEKDDAIYEVPTLPDDEAMQLFNQHAFKKEVPDERFKKFSLELVNYAKGLPLALKVWGLLLHKKGLTQWGRTVDQIKNNSISEIVEKLKISYDGLEPEEQKMFLDIACFFRGYEKKEVIQILQSCDFGVEYGLDVLIDKSLVFISKNDTIEMHDLIQDMGRYVVKMQKDSGEQSRLWAAEDFEEVIVNNTGTKAVEAIWLHYIQNLCLSKKAMKKMKKLRVLYIGRFHTHDDTIEYLPNNLRWFECIWYPWKSLPENFEPKRLVHLDLQYSMLHYLWIGTKQHFPSLRRINLNFSRSLIRTPDFTGMPNLEYLNLEGCSNLEEVHHSLGCSRKLIELNLSWCVSLKRFPCVNVESLESLNLQHCSSLEKFPEILGRIKPLELDIQMRKNVIGELPSSVSQHQARLTELDLSFLINIVALPSSIGMLKGLVKLHVRYCSKLEILPEEIGDLENLEKLDASYSRLISQPPASIIRLNKLKFLSFEKGNTKVGHKDGVCFVFPQVNEGLCSLEYLNLNYCNLVDGGLPEDIGSLSSLKELYLWGNNFEHLPRSMSQLGALKFLNLSHCKKLKELPGFTGMPNLETLNLIKCMNLEEIHHSLGFLKNLCRLTLTNCIQLKRFPGLCIDSLKYLCLRDCSSLEEFPEIFGSMKLKSDIHMLDSVLRDLNSMYISFPRSLSQDIVSLQQGIISASDSLSLRVFTVVHCGNKIPSWFHHRGTGKTVSVNLPENWYVRDELLGFAVCYSGNLIDTTAHLIPIRDEGMPWMTQKLELSSLPNYDTGCTIHFFLVPLASLWDTSTANGNTPNDYGLITFSFSGGMEEYGFRSLYKDEFEGKY >Solyc08g079600.3.1 pep chromosome:SL3.0:8:63220064:63221335:1 gene:Solyc08g079600.3 transcript:Solyc08g079600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGSRARLAVAHTNPAVINVSAGNMGTASDAREKQQKLTKLLVNVNIQNSLGPVNLVMSPENTVGELIRAAIEIYVKEKRRPLLSRSDPLCYELHYSQFSMESLRKEEKLVNLGCRSFFVCPKPST >Solyc10g012060.3.1 pep chromosome:SL3.0:10:4371643:4392469:1 gene:Solyc10g012060.3 transcript:Solyc10g012060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIRLSTLKRTLNFSYKLANQIDVRPSYACINGNLHSREPTYSKNYADPKCFNTREIHSASGTIHVTSYLSGRSDNKSLWGSKSIVVTSPIWNYRWYSSSFSSKGDSPKGSEVSTGASGSDMDTGGVSGSEWVGNIKEAWRTATDAVTSTGEKVKEASSEMTPYVEQVLNAHPYLRDVIVPVAGTLTGTLMAWVVLPRLLRRFHKYSMQGPAALLPGSSIWGQVSYERSIWGAMEDPVRYLITFMAFSQIAVMVAPSTIASQYLLQTWRGAAILSFVWFLQRWKTNVISRALAVKSLEVGDRDRLLTLDRFSSVGLFILGLMTLAEACGVAVQSILTVGGIGGVATAFAARDILGNVLSGLSVQLSQPFSVGDTIKAGSVEGQVVEMGLTTTSLLTAEKFPVIVPNSLFSSQVIVNKSRAQWRAMVTTVPFQIEDFDIIVQISDDVKSMLKSNPNVFLEKEAPYCYLSKIEKSFAELTLGCNLRYASKDKLFSAQQDILLQAVRIVKQHGGTLADPWSQ >Solyc10g084350.2.1 pep chromosome:SL3.0:10:64060240:64062898:-1 gene:Solyc10g084350.2 transcript:Solyc10g084350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAAAIPTTTVQSFENDMATDGGSAASSVPLPAVMKAPIRPDVVTYVHANISKNARQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQAAYGNMCRGGRMFAPTKTWRRWHRKIPVNQKRHAVVSAIAASAVPSLVLARGHRIESVPELPLVVSDSVESIEKTSNAIKALKQIGAYADAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNLPGVEICHVDRLNLLKLAPGGHLGRFIVWTKSAYEKLDEIYGTFDKPSQKKRGYLLPRPKMANADLARIINSDEVQSVVRPIKKDAKRATLKKNPLKNLNVLLKLNPYAKTARRMSLLAEAQRVKAKKEKLDKKRHQITKEEASAIRSAGKGWFKTMISDSDYTEFENFTKWLGVTQ >Solyc10g006780.3.1 pep chromosome:SL3.0:10:1215405:1224002:-1 gene:Solyc10g006780.3 transcript:Solyc10g006780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDKTPLGSMEKEAESRQKREVLEKVGQVIASINDAKHVDQVICALHSLALRLFPLDSHSLAGSISEQYREQLTSTRLPDTHERDEWWQIFYKGPAFATLAKILLYDVAYDWLTCLPISARMHIYDVFFLRGQVIEVVQKLAPCLQWRGSSDDDNCSVHSNAERLLVLCLLDNMGVTQIARELSTYCQEDLAHEELKQIISLVGTLLVPITIFFEASWLHTYCSFLLFAIYSFFASLVLFHSLPVNFSVFFKHITAQLLAGAQEWDKLLDGGDHIDKNNLGGVMLLMGEAFARISRRGSTDVLLGVVVPEIHKHVQSFLPPNSDVPMDEAFQSTPGLRFWLKMMESIKDPYSLERMTEQLLKQLAAQNTGDIEAHWILWILFHQVFHQQASVRSMFLEKFLVWKVFPSKCLRWILHFAVFQCSPEKSSSVKSCNLRTLSETLQRLVKTWSKRDFVQSIPIEQQAYITAALGLCLEKMSKEDLDATKDAMHCILEGVSCRLGSTDHLIRKMASSVALAFSKVIDPQNPLYLDDSCREEAIDWDFGLLTPEKRLLARPTDIDGNKGCSTTAAGKVNIAASRHDNKMTKKKKLFGYEAVDPDEIIDPASLNNEVDSSKDDDDNASETSESSNDSSLQPYDLSDDGADLKRNFSQLVDVIGALRKSDDADGIDQAIDVAEKLVRASPDELKFLASDLTSILIQLRCSDSTIEGEEESSEEKRQKAIVALIVTCPHESLSTLNKLLYSPSLDISQRLMILDVMTEAAQELANTRISRLKQRSNALVSSIGDEAWFMPKPIGPPGAGPWKEISTPGTPFNWSHGYERELPPKSGQIKRGKTRRWSLHSALPVNQLEWSQNKFPQYAAAFMLPAMEGFDKKRHGVDLLGRDFIVLGKFIYMLGVCMKCSAMHPEASILASPLLELLRSREISRHVEAYVRRSVLFTASCVLISLHPSSVAAALVEGNSEISKGLEWIRNWALHIAESDIDRECYTLAMTCLQLHAEMALQTSRVLESPENLHGSNKSSLPSNILRGAIKIPSSNGGILSAP >Solyc10g084960.2.1 pep chromosome:SL3.0:10:64435220:64437360:1 gene:Solyc10g084960.2 transcript:Solyc10g084960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVKLLGVYGSPASQRVEWALKIKGVKYEFITEDLQNKSPLLLKSNPVYKKIPVLLHNDKPIAESLVIIEYIDEAFEGPSILPKDPYDRAIARFWVKFLDEKCLPAVWKALWSQGDEQEKDKEEAYEVLKVIDNELKDKKFFGGDNIGFVDVVANFVGFWIGIVEEATGVVLVTSENFPNFCAWRDEYLNCDRVKENMPSREMLLGYFKSRVQAVAAISK >Solyc03g033745.1.1 pep chromosome:SL3.0:3:5362233:5373114:-1 gene:Solyc03g033745.1 transcript:Solyc03g033745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMDEINGSSKLKKMKKRTCVAIADADYCVIAADTRMSTGYNILTRDYSKIIKLADKCVLASSGFQADVRALQKVLAARHLVRC >Solyc03g122360.3.1 pep chromosome:SL3.0:3:71711639:71717818:1 gene:Solyc03g122360.3 transcript:Solyc03g122360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGTTWTATAVFLATLFLLFLSKFLRKRKLNLPPGPKPWPIIGNLNLMGSLPHRSIHDLSVKYGPIMQLQFGSFPVVVGSSVEMAKIFLKTMDINFVGRPKTAAGKYTTYNYSDITWSPYGSYWRQARRMCLMELFSAKRLDSYEYIRAEELHSILHNLNKSSGKPILLKDYLTTLSLNVISRMVLGKSYLDESDNSIVTPDEFKKMLDELFLLNGVLNIGDSIPWLDFMDLQGYVKRMKVVSKKFDKFLEHVLDEHNMRRNAVENYVAKDMVDVLLQLADDPTLDVKLERHGVKAFTQDLLAGGTESSAVTVEWAISELLKKPEIFKKATNELDRVIGQNRWVQEKDIPNLPYIEAIAKETMRLHPVAPMLVPRECREDCKVAGYDVKKGTRVLVSVWTIGRDPTLWDEPEAFKPDRFLEKSIDVKGHDFELLPFGAGRRMCPGYSLGLKVIQASLANLLHGFNWSLPDNMTPEELNMEEIFGLSTPKNWTAVFLATLFVLLLSKYLFQRKLNLPPGPKPWPIIGNLNLIGSLPHRSIHDLSVKYGPIMQLQFGSFPVVVGSSVEMAKVFLKTMDINFVGRPKTAAGKYTTYNYSDITWSPYGSYWRQARRMCLMELFSAKRLDSYEYIRAEELHSILHNLKKTSGKPILLKDYLTTLSLNVISRMVLGKSYLDESKNSIVTPDEFKKMLDELFLLNGVLNIGDSIPWLDFMDLQGYVKRMKVVSKKFDKFLEHVLDEHNVRRNAVENYVAEDMVDVLLQLADDPTLEIKLERHGVKAFTQDMLAGGTESSAVTVEWAISELLKKPEIFKKATEELDRVIGQNRWVQEKDIPNLPYIEAIAKETMRLHPVAPMLVPRECREDCKVAGYDIPKGTRVLVSVWTIGRDPTLWDEPEAFKPERFLEKSIDVKGHDFELLPFGAGRRMCPGYSLGLKVIQASLANLLHGFNWSLPDNRTPEELNMEEIFGLSTPKKFPLSTVVEPRLPSKLYSI >Solyc06g036225.1.1.1 pep chromosome:SL3.0:6:25782189:25782266:-1 gene:Solyc06g036225.1 transcript:Solyc06g036225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SPVLSIIFGLGMLSWLSSLLMVYVS >Solyc02g071960.1.1.1 pep chromosome:SL3.0:2:41868530:41868715:1 gene:Solyc02g071960.1 transcript:Solyc02g071960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGHSYASGDTTTSGGGSHHHGHTSGGGRSSDHGGSSWSGGDHGAGGGGDTCDCFGGRGW >Solyc12g041960.2.1 pep chromosome:SL3.0:12:56633597:56635171:-1 gene:Solyc12g041960.2 transcript:Solyc12g041960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNDNTREILAAQAHIWNHTFSYINSMSLKCAIQLGIPDIIHSHGRAMTLSDLVNALPINNNVKTLDYIFRLMRILIHGGFFNKIKVNDKEEGYLLTPASCLLLKDEPLSQVAFVQTELDQSFMDPWHSLIKWIRSDHDNSSTPFAISHGKPLFEYDETQPNINRQFNEIMASDSRLVISVLIKNCKGVFEGLKSLVDVGGGIGIVGKVLADAFREMNCIVFDLPHVIEGCEGSKNLCYVGGDMFKFIPSANAILLKWVLHDWSDEECIKILKKCKKAIPSKEKGGKVIIIDMVLMDRKIEKGDGKSYETQLFFDMLMMVHVSGKERNQQDWAKLFCSAGFSDYNIIPMLGLRSIIEVFP >Solyc04g026274.1.1 pep chromosome:SL3.0:4:17544102:17548017:-1 gene:Solyc04g026274.1 transcript:Solyc04g026274.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMENNNIKSPSTKEMIPGYLYNHQTKEFYNLTYAREQPESSARFGGSFRWFLFKEFSSRFASKIKIDEVILRWCYEIRGQRKSAIIIPEMDYNVGWSDLADKIIRSLVNPAFRKFVTQGKSFMDAANIQKWPCCLVGTFNDPYSSSPNPTVIHQSSLKRWKMTAGLHVLQLTHNQMMFKSPSRQEAERIIMGECGYIDADEDTKILRNRVGPKKTTLGQLKAKALLWQKTSDQAYVLKNEQPIDPNIKSAEDPRSGPKSSNANLGQLKAKARLQQKFSDHLYYSRRKKGNLHLNKRSGRLLGQPKPNLQWQRHKSFLNDSRMLSYLKSCCWLPKHKLIFLRSAREVETTKPNACDLYLFSLFLLSTLISLLGVLKIQKSKGKEQQKKRSKEKGEIVAKKLQCTINYENGEGSSRGRWPYQGFSSTSPLALESGDWTSDPYFKFENMWL >Solyc02g092783.1.1 pep chromosome:SL3.0:2:54388963:54391800:-1 gene:Solyc02g092783.1 transcript:Solyc02g092783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTSTSRSCLLCGKMEEKDPSIRKTLIAQCFKDLHLIEDMELVLTLRCLWNTALAQPDDPEFPSLGVFSCMARLLNRCTRDQKWLSRGHNVYVPYYAAHIIGSYTMNKVRFSVLAVKSDVISPLIDLLRGKITWVEQRVAVRALGHIARHRRTFEDIKVHEVEIIKLAIDIASKCIYTIYSEFVSKRSENRVEYHRYLMIKGLGEFEMESKKAESWACQMQCWSLYLLNCFVTKKRSINLICKEHFLKNLCDIWGGLQNQNSFSGIGLIRSLCESEDGRKNIAQLVQVVENICDLSRSSDEWQFMAIECLLLLLKDPKTKNRVTNIAAPFLADLVELRTIKGRRKMGDMITQLLLQDYAKIKYGQVGFSETGSIKEIWDLKVEKRKRDKIISEQEVKETELLVSVLKREGNKKFWSSEIEAAVNKYTKALDLCPLNLRKERIVLYSNRAQCHLILGEAELAISDTTRALSLSGEMRPHIKSLWRRSQAYHMKGLARLSLIDCLMFINERSKLNGNKSSTRKIPYYAMRMLNKQMTATWIFAGAAKSMEDDTYDNGTHKSRVQYRLAGGKMKGKIEEALLKRIPIQGKDEEHGLLKKGRLWRTSRRSKGVIEPSQEGKM >Solyc01g087560.3.1 pep chromosome:SL3.0:1:82382829:82388850:1 gene:Solyc01g087560.3 transcript:Solyc01g087560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AYE6] MSKQGAFDLAFGVGGRIGKDEVLSAVDKYEKYHGYYGGEEDERKNNYTDMVNKYYDLCTSFYEYGWGESFHFAPRWKEESLQESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQISRGQVLNRKVGLDQTCNFVKGDFMKMPFPDNSFDAVYAIEATCHAPDPVGCYREIYRVLKPGQCFAVYEWCMTDAYNPNNEEQKRIKEEIELGNGLPEIRSTQQCLEAARKAGFEVVWDKDLAEDSPVSWYMPLDTSHFSLSSFRLTAVGRLFTRNLVSALEYVGVAPKGSQRVQAFLEKAAEGLVGGAKKGIFTPMYFFLVRKPISDSQ >Solyc07g065290.3.1 pep chromosome:SL3.0:7:67237590:67242750:-1 gene:Solyc07g065290.3 transcript:Solyc07g065290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSEATKHVYEKIMKSESQHVAREIMCYIFFQQYPEQEMIRLALGPNTLIQNVIQKAKDTFNINSNPVFSSPSMTPDSIVDPAVRFTNFASTYPVSTPRCKPQLSDEHSHLPLIPYSSNEPPLQQFHQLEDTMYFGTLNYPSDYCYPEVPLIRRYRNSPSSFQSPKPCHYFNKGFCRNGDRCRYFHGPPSSENYPLTMDPNLCEKGDEDEVLSPGSLDKLELEITELLNDKNGNPVSIASLPMMYYMKYKRTLQAEGYLTESQRNGKAGYNLTKLIDRLKYVRLIERPHGQHSVVLAEDAAKYMDTHGVRSDPGPIVSDSRQIYLTFPAESTFTEEDVFAYFNTFGPVQDVRMPCQQKRMFGFVTFFSTDTVKMVLSTGNPHYVCGARVLVKPYREKSKLSERRHQERLDSSMYYHFDSRLQEKFKSPRMLRKHLMEEQIILEHEARRLAQLQLSRKRMATPPYSGPPMDEINISLPEHSSHIIDVRDSVSPDEDNPENSESHYADDNSNQRIILPDSPFASTVVANSIAEFL >Solyc06g062300.2.1 pep chromosome:SL3.0:6:39419473:39421376:-1 gene:Solyc06g062300.2 transcript:Solyc06g062300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRKSFFLAWANGRLHAPYHRVMMRGKEARYSIGFFSTPKVGYMVEAPKELVDEDHPLLFKPFDHVEYLTFSYRAAFNNTKEGMRCESILKTYCGV >Solyc01g014185.1.1.1 pep chromosome:SL3.0:1:11757391:11757771:1 gene:Solyc01g014185.1 transcript:Solyc01g014185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKIYFNLDINNELDKIPSCQEVKDNIKFEARKLYDLYNSNINLSSDQEPKSSRSRLNEDNIDAYLDSYLELSHNNRNDFDGYLNQNIEPTEDVLAWWRNRDKGFSKLQLMARDILAIQASSVAS >Solyc11g071330.2.1 pep chromosome:SL3.0:11:55141591:55152293:1 gene:Solyc11g071330.2 transcript:Solyc11g071330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLWKWYQNCLALHPVKTQVISSGLIWGLGDVSAQAVTHYTAKKHHHLHSNENKEFAINWRRVATTSLFGFAFVGPVGHFWYEGLDRVIRLRFQMQPKSLRFVATKVALDGIIFGPLDLLVFFTYMGYSTGKNTAQVIEGVKRDFLPALILEGGIWPIVQVANFRYIPVRYQLLYVNFFCLLDSSFLSWIEQQEDAAWKQWLKNIVRLKEQKE >Solyc01g109690.1.1.1 pep chromosome:SL3.0:1:96493645:96493893:-1 gene:Solyc01g109690.1 transcript:Solyc01g109690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSLQSSSWTPQQNKLFERALAQFDKDTPDRWQNVARAVGGGKSADEVKRHYEILIEDLRRIESGRVPLPNYTHEQQRYS >Solyc05g055750.3.1 pep chromosome:SL3.0:5:66112477:66115935:-1 gene:Solyc05g055750.3 transcript:Solyc05g055750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLTIPPGISPAALLDSPFMQPTSLTPTKNVGEILNCIESRKNEEEFSKKQNQQYEQRGIYNSANNSSDDGYTWRKYGQKQVKGSNFPRSYYKCTEPKCLVRKKVESAPNGHVIEIVYNGAHTHPKTRRKTSDVVAQQNGSSSSSDLLWRNDQYSRDVAAALEPVISDASDSMLSNNINNVFESNDISSKLTTFDAENEHLATHFLGDGINQYEFETKRRKKDGYLAEPSSLSRTVREPKVVLQVASEIDILEDGYRWRKYGQKVVKGNPNPRSYYKCTSGGCTVRKHVERAADDFKSVITTYEGKHNHEVPSTNKTNGVSGNVRSASMLNNGQQPCTTTSRKSLKDSNTRVQFQDLPIPFERKHFMGSEYLRPSYLGDLSYGATSLQLPDFPLPLPLPSRMSFPARQNESHFHLNTNFLLPNGASNSFLADGSTQNIHGDNNNSRLVKAKDEIQYWT >Solyc10g050771.1.1 pep chromosome:SL3.0:10:50527499:50529940:-1 gene:Solyc10g050771.1 transcript:Solyc10g050771.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIYSNSDTIINTQMIHDFTPRLHAKNSLCSRMKSNNINVKGSNPPYVSELVLQPGKKFAMKDLGPLHYSLRIEVKYFVGGIHLNRRKYVVELLSMIEMTLDKVVATSLDSKLFHNNHVGCMATQMHIWEVVPQLGDQLQDKAST >Solyc01g028900.3.1 pep chromosome:SL3.0:1:40717765:40727649:1 gene:Solyc01g028900.3 transcript:Solyc01g028900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNFRRIGKLAAISSKNQSCMGFSSTVNKNDTSTKSVNLFSAINQALHIALDSDPRSYVFGEDVGFGGVFRCTTGLADRFGKQRVFNTPLCEQGIVGFAIGLAAMDNRAVAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRAPYGAVGHGGHYHSQSPESFFCHVPGIKVVIPRSPQQAKGLLLSSIRDPNPVVFFEPKLLYRMAVEEVPKDDYMLPLSEAEVLREGTDITLVGWGAQLSIMEQACVEAAKEGISCELIDLKTLIPWDKETVEASVKKTGRLLISHEAPVTGGFGAEISASIVERCFTRLEAPVARVCGLDTPFPLVFEPFYLPTTNKILDAIKSTVSY >Solyc03g110850.1.1.1 pep chromosome:SL3.0:3:63075095:63075586:1 gene:Solyc03g110850.1 transcript:Solyc03g110850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear transcription factor Y subunit C [Source:UniProtKB/TrEMBL;Acc:K4BJU8] MENNYEKSAVNAGQSAAYPMLSPPHLEKKQEKLEMFWTDKRREMENVIDFKSNLLPRIHRIKKIMKTDKDVRMIATESPVLLAKACELFIQELTLRSWFKAEENHRRILKKDDVTDVIMETDTLDFLLDDDANVTDGSTQNVVPFYVAEGTMGVHTDNLDHQM >Solyc05g052490.3.1 pep chromosome:SL3.0:5:63547692:63556564:-1 gene:Solyc05g052490.3 transcript:Solyc05g052490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNKVTEIVSAAVARLSAPKTSNTPYPSSGLPPLPGSLNVSNLDQKNKLRLSSSLQDLSAYRRLDLEDGGPNPEIERDSTNLKRLNLFKRENLGTSFSKVKGTPTVTSARTKWTRVIFVLLCLLLVAFLLYVMFFHFNLFGRDSKYYVVLDCGSTGTRVYVYQASPNYVKDNDLPIVLRSLPESFQRNSRLQSGRAYNRMETEPGFDKLVHNTTGLKRAIKPLIKWAAKQIPRHAHKTTYLYLHATAGVRRLPNSDSEWLLNNAWSILKSSPFLCKREWVKTITGMEEAYFGWIAMNYHTGVLGAKPKKGTFGALDLGGSSLQVTFESKGSLPDETSLELNIGAVNHHLTAYSLEGYGLNDAFDKSVVQLVKRLPKISDADLTSGNIEIKHPCLNSGYKEQYICTHCFSLYQEGGNPSSGREVASKGGKPGVRVQLVGAPKWEECSSLAKFAVNISEWSNKSSGIDCELQPCALAENLPRPFGQFYAMSGFFVVYRFFNLTPDAALDDVLEKGREFCDKTWDVAKTSVAPQPFIEQYCFRAPYIVSLLREGLHITDSQVTIGSGSITWTLGVALSEAGKAVSTGAELISYKLLLMKMHPAVVFAILFASLAVLLCALSCVGKCMPRFFRRAYLPLFRNNNASSTSIINIPAPFNFKRWSPVITGEGRVKTPLSPTIANTQQRPFDTVHGFGGNGIQLAESSLYSSSSSVAHSFSSGSLGQMQYESSTTGSFWSPHRSQQRLQSRRSQSREDLISSLSTEVPLPKV >Solyc09g074667.1.1 pep chromosome:SL3.0:9:66910996:66913207:-1 gene:Solyc09g074667.1 transcript:Solyc09g074667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIQFTNRKSSHGPWKSVDRFKVGFAKDVGETVVKYYKNFFTAENVTKDQTVMEMEAIVNQHISITSNIDDKPFWTCNDTGKFTISTAWELIREKNPISQFDSKIWLSKVLFKLNFLTWRAINNKLPTEDKISRLEINIVSICCCCAGVNRRPIGKSAEHIFFSGYFAEQIWSFYAEALGINPRTTSLRSLSNSF >Solyc08g023475.1.1 pep chromosome:SL3.0:8:27723593:27728082:-1 gene:Solyc08g023475.1 transcript:Solyc08g023475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEKDVKVHINEIYKLVEDLKSEKIILLKQFVAGILIQKLSKSWNDYKQNLKDKQKLLSLEDLVKHVIIKDINRQQSYFVKAKEIATKVNLVEDNHNKHNKYEKELDYKLRVNNQNFKKKKEGQKDHFVRGHHCLSHHIIGALEPIIDVSLSDASIVVWNMNPARSYISSYIAALRTPNYYSSVSALDSAVVSYLSTSLIIPTRGIYELARTYTLGSSGTSTF >Solyc02g077900.3.1 pep chromosome:SL3.0:2:43297423:43305360:1 gene:Solyc02g077900.3 transcript:Solyc02g077900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPEVVDVARNYAVMVRIQGPDPKGLKMRKHAFHLYNSGKTTLSASGMLLPSSFVNGSVSEQIQGESKLQSIGGHLLVLTVASVIEPFVVQQDTSDISKDKPKLIPGAQIDILREGEIKLQNDLKESSKEGLNWLPAELLRVVDIPVSSAAVQSLIEGSSSSIEHGWEVGWSLAAYGNAHQSFINTKRRQVEQMSFPSQTPTVEAQSSLPSVIGTSTTRIALLRVPSNPYEVSCFYCTKWHQTSPFCWFLMVMTGLGIPFQDPPPLKVSPWSRRGDLLLAMGSPFGILSPSHFSNSISVGTIANSYPPNSLNKALLIADIRCLPGMEGSPVLGEHAELIGVLSRPLRQKATAAEIQMVIPWEAITSACASYLQEERQTGRKIHFNNGNLISVKKESSSNSIQDGPINYTQEHLLTGSVPPSLIEKAMTSICLITVDDGAWASGVLLNKQGLLLTNAHLLEPWRFGKTSVNGYNTKSDVVFTTSNQSEHPGDDKFTIHHRNKYLLQKELKTPQFLVNNEQGSFRVNLANTSSRTIRVRLDFMDPWVWTNAEVVHVSRGPLDVALLQLQLVPDELCPITVDFMRPSPGSKAYILGHGLFGPRCDFLPSACVGAIAKVVEAKRPLLDQSCLGGNFPAMLETTAAVHPGGSGGAVVNSEGHMIALVTSNARHGGGTVIPHLNFSIPCAALKPIFKFAEDMQDLLPLEYLDKPNEQLSSVWALTPPLSSKQSPSLLHLPILPRGDSNDDAKGSKFAKFIADQEAMLKNATQLGKVERLPNKLVQSKL >Solyc01g108800.3.1 pep chromosome:SL3.0:1:95895277:95903959:1 gene:Solyc01g108800.3 transcript:Solyc01g108800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNNGGTNEILVEETGSVRTFILNRPKMLNALSSQMIHRLTELFYASEEDPNVKMIILKGQGRAFCVGGDLSLILPSLRNWKIGANFFLKQYTLNYVMATYSKTQVSILNGIVMGGGAGASIHGRFRVATEKSVFAMPETVLGHFPDVGASYFLSRLPGFFGEYAGLTGSRLDGAEMLACGLATHFVSSDVYSPSLIFSLFFPFTIASIGNQKLPLLEQALVQVNSSDPDAISAIISCFSHTPNLKEESPYHKMKIINHCFSRRTIEEIISTLESEALDKKDEWISSTIQSLKKASPTSLKISLRSVSQSIPCDTITLSPKLLLIRNWSCRMKYGKRYLAWFDIGSIAGDTSSSNKNMMKSCSQPHLESLSARSYCLDLATTFLESSSIREGRLQSVGSCLVREYRMACHVFRGEFSKDLFEGHRAILIDRDRNPKWEPSKLELIRDDDVDRYFSKVDDEDWEDLKLPPRSNLPQYAIAKL >Solyc03g121040.3.1 pep chromosome:SL3.0:3:70757693:70760453:1 gene:Solyc03g121040.3 transcript:Solyc03g121040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPENLLTQVGVPQQKLYNGVPFPAVLSPNSNQPISQLPQIIKEQKTWVGSLLHQSGAILFRGFPVNSASDFNDVVEAFGYEELPYVGGAAPRTSVVGRVFTANESPPDQKIPFHHEMAQVPEYPSKLFFFCDVEPGSGGETPIVVSNVVYEKMKNKYPEFVERLEEHGLIYIRVLGEDDDPSSPIGRGWKSTFLTKDKSVAEERAAKLGMKLEWLEDGVKTVMGPIPGIKFNEERQQKIWFNSMVAAYTGWKDAKNDPVKAVTFGDGQPLPAEVVHDCLSILEDESVAIPWKKGDVLLIDNLAVLHSRKSFNPPRRVLASLCK >Solyc10g079830.2.1 pep chromosome:SL3.0:10:61444204:61445808:1 gene:Solyc10g079830.2 transcript:Solyc10g079830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPKGDKLVLRGLKFHGYHGVKQEERKLGQKFLVDVDAWMDLRSAGESDCLSDTLSYTDIYRTNDFISSRSKNLTHLKHLREHTEADFLMLCSFLDIPSYMGILMPLLEALRVAIRKARNSNSLISDIRLVKEVMEGPPKNLLESVAQLIASTTLTKYPEVSAVRVQVGKPHVAVQGPVDYLGVEIIRYRSLDVQN >Solyc10g012435.1.1 pep chromosome:SL3.0:10:5085262:5087898:1 gene:Solyc10g012435.1 transcript:Solyc10g012435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKDDSFIYLVLYVDDMLIVAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDIERRKLFLSQRSYIQKVLARFDMSSSKPIDTPSAANIHLTAMFAPQGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTSYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKRLRLNVQSIYFLDIQAG >Solyc05g043365.1.1 pep chromosome:SL3.0:5:56978835:56979135:1 gene:Solyc05g043365.1 transcript:Solyc05g043365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCTDYQKLNAWTEKDHFPIPFMDQMFDRLISIAPKDQEKTTFTCPYGTFAFKRMSFGLCNALATFQRCIMPIFSDMVEDAIE >Solyc12g038160.2.1 pep chromosome:SL3.0:12:50019101:50026483:1 gene:Solyc12g038160.2 transcript:Solyc12g038160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLVDHVLAVTKESVKTFTYESLNNVVRLINGVSALLLTILPGNSSILEGIHGWELRPAFRGPRLPRWMEDGVSSFNQFIHELSVEPNASSSVEYSSQEDVDENVGPMSPPLQSSRASRVSSFTRQSSSWVHLLRCIFSWFLFPIKFMLGIPLYLYGSRTTSGSLQSSPLQATKRLQSLKDHFVQRATDRRRGVVEDLHLAIEIIIETIFGFAHKAVRCLLSPIVTVTEVVKWFFSCMSGPENVPADGSGVSVPTDTLSENDPTPRERQTGFYHSLNTDSRTCQDVITELGYPYEALRVVTSDGYILLLERIPRRDARKVVYLQHGVFDSSMGWISNGVVGSPAFAAYDQGYDVFLGNFRGLVSREHVDGNISPRQYWRYSINEHGTQDIPAIIQKIHEIKVSELKNSQAGFEEEFESDQPYKLCAISHSLGGAAILMYVITQRIEEKPHRISRLILLSPAGFHHDSNIVFTVMEYVFLVLSPLLMLFVPAFYIPTRFFRMLVNKLARDFHNLPAVGGLVQTLISYVVGGDSSNWVGALGLSHYNMNDMPAVSFCVALHMAQIKRSRKFIMFDYGSAATNMEVYGSSQPLDLGEYYLFIDIPVDLVAGQKDNVIRPSMVRKHYDLMTDAGVDVSYKEFEYAHLDFTFSHREELLAYVMSRLMLVGCSSKKLAGQKSLRNQKNEVQSSSH >Solyc06g084230.3.1 pep chromosome:SL3.0:6:49456180:49459164:-1 gene:Solyc06g084230.3 transcript:Solyc06g084230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:K4CAS8] MADKAVTIRTRKFMTNRLLARKQFIIDVLHPGRANVSKAELKEKLSRMYEVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKIRGVKKTKAGDAKKK >Solyc08g074890.3.1 pep chromosome:SL3.0:8:59160197:59161232:1 gene:Solyc08g074890.3 transcript:Solyc08g074890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCCSDFDSFIIEVPNLKIFEFSGRANSLCFRRTPLLEEVALGMPFNMLESFTVPEPQLTLDNIKILNFKNLIFAKVDWVTYVLDLISRFPNIERLLITSDALEACECMYTSLAIKRNDTKCNEAAQKRGYDPPLLG >Solyc07g017720.1.1.1 pep chromosome:SL3.0:7:7753290:7753910:1 gene:Solyc07g017720.1 transcript:Solyc07g017720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISIPTGTFIDMMEKFASKQNKKQWAIGTIFVAAKVDHISDKRNKCLDWLDKQPPRSVLYVSFGSSTIFSDKEVMELGMGLERSKQKFVWVLRDGDRDNIFSEEAKRFELPDGFEERVEGVGLVVRLWAPQLEILGHSSKGGFMSHCRWNFCIECITMGVPMAAWAMHYEQPLNSFFVIKILKSLCWILKRCEWKMKSCDFYEEL >Solyc03g044747.1.1 pep chromosome:SL3.0:3:10482753:10484573:1 gene:Solyc03g044747.1 transcript:Solyc03g044747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERFRRVNSESKCNGKWGRAGQYHALNSFDSQQQSKARELKRVVDPKLEKGSHLFGLKKSSPTRFSSRLAAPRKHFPVGMRPS >Solyc03g080000.1.1 pep chromosome:SL3.0:3:53322845:53323659:1 gene:Solyc03g080000.1 transcript:Solyc03g080000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEILDYVLVPLGLLIMAAYHLWLLQRILKHPTHTVIGINSINRRLWVLAMMEESPQNGVLAVQTLRNNIMASTLLASTAIMLSSLIAVLMTGGSSGRSVSFHVQLVFMFMGIRASFACQLSFFQYCHASILINVPYKKLDSSNSKHCVTVEYVGRTVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLCCIFLVVMLYFLDASSDFGWVAPADENSQQTA >Solyc01g080660.3.1 pep chromosome:SL3.0:1:79750990:79757105:-1 gene:Solyc01g080660.3 transcript:Solyc01g080660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRYRSRSRSLSRSYSPVRRKRHDEPRDRRRERRSPGPSGLLVRNIPLSARPEDLRVPFERYGPIRDVYLPKNYHTGEPRGFGFVKFRYAEDAAEAKAHLNNTVIGGRDIRIVFAEDNRKTPREMRKVLSTSGPSARGSYWRHSSPSRRYHSYSRSASPARRDSRC >Solyc02g005060.2.1 pep chromosome:SL3.0:2:6321658:6322565:1 gene:Solyc02g005060.2 transcript:Solyc02g005060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSTLLPQDSVNFLVATMGGIDEVALDCLSLVTEMTRHIRVRASGGKASASKLRQFSPVFIWLPRDFYLDWVKDNRKITPQDYLKLALKPVQGGEKDVAAKNEESVFSQNQFHMLHYLFAHTQNFTLFTPNNDGA >Solyc01g067406.1.1 pep chromosome:SL3.0:1:75733488:75733824:-1 gene:Solyc01g067406.1 transcript:Solyc01g067406.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPEIAFVVQVLSQYMHSPKSSHMEAALRVVRYIKGTAGLGLFMPSNKDNEMYLESQRNKAQYQGALQKQNSGVWPLQLLK >Solyc02g014830.3.1 pep chromosome:SL3.0:2:19110791:19115380:-1 gene:Solyc02g014830.3 transcript:Solyc02g014830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4B4T2] MFRYFFYINFLGFIISILLGTSRGDINKQLFEEQEADRVIELPGQPPVSFKQYAGYVTVNETHGRALFYWFFEATTNSSKKPLLLWLNGGPGCSSIGYGEAEELGPFLTQKNKPELKFNHFTWNKAANLLFLESPVGVGFSYTNTSTDIKELGDTVTAQDSYKFLVNWFRRFPQFKSHKFYIAGESYAGHYVPQLAEQIFDNNKKVKKEDRINFKGFMELQIGNALIDDETDQKGMIDYAWDHAVISDHLYDSIKRVCNFSLKPVGDDCDNLLNQYFAVYKILDMYSLYAPNCVNSNFSTLPNSLSTIDGWLKRPLGYDPCLSDYTETYMNRLDVQAALHANITKIPYPWTHCSNNISFWSDAPFSMVPTIKKLIDSGLRVWIYSGDTDGRIPVTSTRLTLKKLGLKITEDWTPWYTNNKQVGGWTVGYDGLYFVTIRGAGHQVPTFKPKQALQLVRHFLSNKKLPSAPF >Solyc06g062623.1.1 pep chromosome:SL3.0:6:39647774:39649255:-1 gene:Solyc06g062623.1 transcript:Solyc06g062623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNKYSLRERLIGQACAACKYQRRKCAPDCVLAPYFPHDRQRQFLNAHKLFGVSNITKIIRHLDQPFKDEAMRTIIFQSDVRANDPVGGCYRIIRDLQRHIDYCKAELDIILTDHHATEESFNDNNCDGVVNQVDALVNINNNASSYDQQPINQYNPHDSFYNHPRSDQQAEDDQQYNEIQDGQLDLTDINFPWSLHEQESTSTSATNSMMKQLSVNDQCDNIKDPILEGISGFGSFEHDQTFDQHRIAKIE >Solyc12g005430.1.1.1 pep chromosome:SL3.0:12:260590:261963:1 gene:Solyc12g005430.1 transcript:Solyc12g005430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGFVNENDCIKVEILCKKLIKPSSPTPSQNQRYKLSFFDQIAEREHIPVVLFYPYNNINSHTIDERLEKSLSDVLTHVYPAAGRYDDNAECSILCLDQGVSYTKAKVNCKLGNFLEKTRKDLSVATLFGPHENKNMDQNNFMVSPIVIIQVTKFECGGLALSFSVSHPAMDGFTGLQFLFGWGKVCRLGTPIDKIHFLSFNLGNIFPTRDTSALFNSADVVNREENIVVKRFVVREAALSRLKKQCIDESGGALTFQPSRVEIVTAILWRAFIRASAARNGFVRPSLMDFPLNLRSKSSLPQVKTSMGNFRIDVPIKFIPGETKMELHNFITLIRNTMNKVVASFAKPSPDEIVSTLVNIYNQSFTSPEWGGNNEIDKVACSSLCKFPLQDIDFGLGKPSLVYFGLKDMEIFWLYDTDCHTSEIGVQLDLKESTMQLFECDNDIKALMFIRDAKL >Solyc04g079550.3.1 pep chromosome:SL3.0:4:64058628:64062138:-1 gene:Solyc04g079550.3 transcript:Solyc04g079550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRFTVTYSGYLAQNLASSASSKVVGCRFFHECTVRSRIFHPPAQKPESNCSDFRRTKPKPRPVSNTYSSRSFSSSSACSSFASELFGGSSNSPLVVGLISLMRSSSGSCTMNALGISPLKASSFLPFLQGSKWLPCNEPSIGSSGSSEVDKGGTETRCSESSVRSEPLSNEMKVSKSRWVSKLLNICSDDAKAAFTALSVSIMFKSSLAEPRSIPSASMSPTLDKGDRIMAEKVSYFFRQPDISDIVIFKAPPILQAVFCILQHIFGCSAGDVFIKRVVALAGDYIEVREGKLFLNGVAQDEDFILEPIAYEMEPVLVPEGCVFVMGDNRNNSYDSHNWGPLPVANIVGRSVFRYWPPSRVSDTLHGSVMEKRVVAVS >Solyc04g071250.1.1.1 pep chromosome:SL3.0:4:58273469:58273639:1 gene:Solyc04g071250.1 transcript:Solyc04g071250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCKFEYYIDIIKFVYSDIYKSLYIAICSILVVIYKIVCRYIQTQIVFISFYFYK >Solyc07g053165.1.1 pep chromosome:SL3.0:7:61742178:61746738:-1 gene:Solyc07g053165.1 transcript:Solyc07g053165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFSGVGMCDRGGSEESSIVQVVNRKNQGYGIAADIWSLGCTVLEMLTRQFPYSHLENVLYQIGKGEPPAVPNSLSEDARDFINHCLQVDPSARPTATQLLEHPFLVAFSSTLTNVKGTSTDNHCNNPKLYSSSLDPQNFEGGLTWMHLLKSVFETTSRAVCNCGQYLCCGVILSPQPLSVLSSSRHLSHSTMEGKTRSSGRTKVLQYKNDFFEESFSICSEASNAFTLYKLFGEFVRDSTNVCSLDNT >Solyc08g067460.1.1.1 pep chromosome:SL3.0:8:56555905:56556441:-1 gene:Solyc08g067460.1 transcript:Solyc08g067460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEKKKTEAPPAESQKWIEERKKKIGNSLDADGLDMSKAYTQESEPAPAGSDVFNKKTLYDAHKKRTKNVAEYNRMKEADREASSLQYGKAPKLSDDKKERMVKELKDRDEKHQSFSRRRKFHEEKDIDSINDRNEHFNKKIERAYGKYTLEIKNNLERGTALPDCDVPLLSCFPVS >Solyc09g005527.1.1 pep chromosome:SL3.0:9:354885:355366:1 gene:Solyc09g005527.1 transcript:Solyc09g005527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVGAYVQSSNCNILDSWERRYSSMRIPATSAGYDQQPATVHGYQITAYLNQLAIERGSDYFNGQLESPSPRSVSSLTSNYAEPLSRASGQKPHSGVSSPAPPARNNLMQPNNTSVDLSSTETAESVAGSANSKKYYSLPDISGRDVPREDS >Solyc09g008210.1.1.1 pep chromosome:SL3.0:9:1671902:1672057:1 gene:Solyc09g008210.1 transcript:Solyc09g008210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPILFRAINCWLNWLIWLFNLSTFLHQNVVKLKIISNFNLLVTNVMVKT >Solyc03g110987.1.1 pep chromosome:SL3.0:3:63169255:63172013:-1 gene:Solyc03g110987.1 transcript:Solyc03g110987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVRRSTISSLEQLELERPSSKIIKADSLSIYETTLLKLREGSRRDTSFPAESSVDGNICCITSGDSPREESSITDTDSSREYLEDTSSCQPIGSLKETKKKNLSLAYMFSRYRSSQCAGGINEENAMDIEDNTCSANSSLSPNNSQLMSSSVQSTIQEHICSPMI >Solyc02g069750.2.1 pep chromosome:SL3.0:2:40129224:40138917:-1 gene:Solyc02g069750.2 transcript:Solyc02g069750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSKAHICQCLSRLSTARRSSTVMIIGERRKTGMKFVGGDLGLAHGLIQLGLKPGDVVAISALNSSNLYPQSERIMMMMQDVWNQLGPAIATIMFAWTMYQNYFPHELRGHIRRYTDKLVSYIYPYIHITFHEYETDGWFERSKAYEAIERYLSKNSSIQAKRLKANVVKDGQSLVLSMDDHEEVTDDYEGAKVWWISSQKEAIRPTIAWYPRDDENRYFKLKFHRKNRDLITILYLKYVLDEGKAISVRERQRKLYTNNKGSDGGFGGYNRRMWSQVVFEHPSTFNTLAMEPNKKQEIKDDLETFSKSKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANFLQYDVYDLELTAVKDNTELRKLLIDTTTIGGERLIVFTTNFVEKLDPALIRRGRMDKHIELSYCCFESFKVLANNYLDVTSHDDYFPEIHRLLGETNITPADVAESLMPKSSKENADTCLERHLIACDSMENSKSVNKEDVIPEEAKVKLKKLASEWDDIVDPNALDVFRLKGAMTNEVYQIKWPSKNPEKQRSRKVLVRIYGKGVDVFFDRQNEIKIFEFMSKQGQGPRLLGRFQSGRIEEFIRARTLSAPDLRDPEISSLIAAKMREFHVLDMPGPKTVILWDRLQNWLNVAKGLASTEEAKDFKLDLLKDEIVLLEKNLAGNHLSTGCCHNDLQYGNIMMDEETRSITFIDYEYAGYNHVAFDIANHFCEMVADYHTETPHIMDFRKYPGLEERKRFLSTYLFSSGRSPSEPELEKLVQEVEKYTLASHLFWGLWGIISHHVNKIDFDYLGYARQRFQPYWSKKSELLGSSGSKKG >Solyc01g107070.3.1 pep chromosome:SL3.0:1:94616313:94618997:-1 gene:Solyc01g107070.3 transcript:Solyc01g107070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSIISKWTVKAEGKSQHHTDNCKIIELTPWDILELQIDYFQTGLLFLMPTFEQSDYNPYVVRDFFPLNGVQNLEATSQPCFAVQVTELEDGVFVGCSNNHVVVDGTSFWHFYNSWTEISRGFNVISKIPFLKRQFPFNINHFSDRISIPNERVNAGYRFIPPPMLRERVFHFTKESVAKLKAKANLVMNTTKISSLQAVLAHVWRSVIRCRHLDLSEETTFEVSIDMRGRLNPPLPEGFFGNAICPATVTIKTGELLEHEFGWAALQINETIALHDQEKLKCIYESWMNDPEVVKLGDLPSNYFMLIFTSMILDGENRLPIEVALETC >Solyc10g018260.1.1.1 pep chromosome:SL3.0:10:7280715:7282022:-1 gene:Solyc10g018260.1 transcript:Solyc10g018260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKHVDNIPSTPGKFKEKSHYNRLRLHFSVAKLTFWSFVFLGLIFICFFKSTSSSSSPVSSDLSRRSLRTSSYDGPAWEKRIKASAKIRSTNGISVLVTGAAGFVGTHVSSALKRRGDGVVGLDNFNDYYDPSLKRARQTLLESAGVYIVEGDINDVALLKKLFDIVQFSHVMHLAAQAGVRYAMENPSSYVHSNIAGLVNLLEFCKNANPQPAIVWASSSSVYGLNTKVPFSESDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTRDMLKGKSISIFEGADHGTVARDFTYIDDIVKGCLGALDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVSDLVTILERLLKVKAKRAVMKLPRNGDVQFTHANISLAQRELGYKPTTDLQTGLKKFVRWYLSYYGEGKKSAQ >Solyc01g013800.1.1 pep chromosome:SL3.0:1:10113080:10113725:-1 gene:Solyc01g013800.1 transcript:Solyc01g013800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNGMDIDFIYAPMLRRFIVNAKNLVNVRYLEITPKLQVLRPFAASLHEHILHASPDLQRQICYTVENFEKLDIVSTFGWESATTFPIVVGDVEGPLLVRSLLILGLKKILNTFLNKGPLVVCASPSFGEINTTLKVRDDPKCS >Solyc07g008720.3.1 pep chromosome:SL3.0:7:3684279:3696207:1 gene:Solyc07g008720.3 transcript:Solyc07g008720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSKLSAQIEVKAKNDVFHDAFRHKPHHISTMTPVHVQGCDCLEGDFGTVGSKICWKYTHDGKEKFSKQIIESINEEKKIITFKEFEGDIVNEYDNWKVSLHVDEEGEKDLVSWTMEYERPNENVPELTSLLQFFIDMTKSIDDHHMWFSVVYGHGGCYRSLMNVEKLQKMAGSVRTGGKGTMRRKKKAVHKTTTTDDKRLQSTLKRIGVNGIPAIEEVNIFKEDVVIQFVNPKVQASIAANTWVVSGTPQTKKLQDILPQIIHQLGPDNLENLKKLAEQFQKQAPGAADAAAGAVAAQEDDDDVPELVAGETFEAAAEENHAS >Solyc01g103680.3.1 pep chromosome:SL3.0:1:92105728:92110867:-1 gene:Solyc01g103680.3 transcript:Solyc01g103680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHSFSLSPLSSFILPRGDTLFYLIALFSILSLLIHHLSPVSAESRHFEGFDADEIDDFGDSDEPISAAKYIPPPPPTTTLSVSDPIESHHGPPLPSADPTSQVTSQPSDPKPASTSFEVWDEDEFEGFPQSPPSDSPLITESDTAAASKSDPSLKLEPESEPESPKTISSYTVEIVCVSFLIMFVINYFTGKKENEKLALAWASKFATKDSIFDKNFSLLGVGETDDSPLLLKEGQNVFKFYASGRRFCQGLLATMELKSRHDLISRLYNMVVPCKDEITFEVYMNDDAMDHVVVAVAKKKLAKTMQKETTDLQRFASLVSPPSGKKWIAEELAVVAESKEVAGDMLNETVLDQVFGDKAYEKFGKGFISMHFSDQHLGSHKKMLLFKFALPDAKNMADMTRLVALVPYYIDLIGRYKLSSHARTKTDGARMKVAQEIYKELQNARQEALQRKKAEQRKKGEEAEAKLSAEALRKKEAKERARQMKKAMPKMKMTRTG >Solyc03g044900.3.1 pep chromosome:SL3.0:3:10791132:10791914:-1 gene:Solyc03g044900.3 transcript:Solyc03g044900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRSSKSFSFSSHYKNLRVILPRLRSKSSNSSQSSPRTPKSSHISRRNSSREDEFREVFRHFDTDNDGKISAFELRAYFGSIGEHMSHEDAQEIVDELDRDGDNFIDFDDFKKLLMQKEGSSEEDESLKSAFEMYEVEKGCGRITPKSLQRVLSRLGDSKSYDECVTMIKVYDIDGNGELDYHEFRQMMTT >Solyc05g055610.3.1 pep chromosome:SL3.0:5:66020584:66029409:-1 gene:Solyc05g055610.3 transcript:Solyc05g055610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFEKRKLAALSSMNSPEPDKSPKGNIDAPIIPLLNTLNSHPSYFTTSSCSGRISILSQPITPITNPTKKKAKGGKWVFISHDPIEPHLILSHLFPSKSIQPVKSVTDVADLHSLVFRFEPLIIAVECKDIEAAQFLVSLAISSGFRESGITSVNRRVIIAIRCSIRLEVPLGDTEKIMVSSEYVKYLVELANEKMEVNRKRTDNFLDILLKNGFLGSQISNGEVDCDDSDLLENSLVNGVNGNGNAKRRDFDDSCSGSEVAPDINLHTVKLVISGESIERLFLWGHSSSTMDDVDKKKVLIFGGFGGMGRHARRRDLLLLDLECGRMEVIDVLDAPCPRVGHTSSMIGDAMYVIGGRADPSNILNDVWVFNVTKKNWRLLECSGTPFLPRHRHAAAAVGSRIYVFGGIHNDMIFSSLYVFDTQNIEWSEIQVQGDLPCARHSHSMAAYGTQIFVFGGYDGQKALGDLYSFDVKTCVWKKENMIGRPPSAKFSHSMFIYKKYLGIIGGCPVSQHNQRLSLLNLESHGWKHISISSIGEGLFVRCTANIVDTDLIMIGGGAACYAFGTKFSAPVKINLLPLISLIESSIHLHEENMHAICQEEKIMGEMNVSFCSPQNAVEAVTNGSFHQNSEGIDSGIARSQMVASHWVLRLKKKDAKMAKDMLKKLGWLDLGRKAHSQEDGKDICFPVTENFRALFNQRNNLEGVSESVCQSEKDTCMIALNILIECGATILADEIVKVKKASHSPFKVMKEAVGSLLSDRGLPLQLLEELPSRWERLGDIVVLPLTSFKDSAWDLIGQELWFIVAKSLGAIRLARQGRVAPTGTRDSTLEILVGDNGWVNHRENGILYSFDATKCMFSWGNLSEKLRMGHFDCKDEVIVDLFAGIGYFVLPFLVRAKARLVYACEWNPHAVEALRHNLEANLVADRCVLLEGDNRITAPKGVADRVCLGLIPTSEGSWLTAVRALRDEGGILHIHGNVKDSEEHIWTNHVSQSIQEIARSEGHDWDVTVEHVERVKWYAPHIRHLVADVRCKMIQT >Solyc08g077000.1.1.1 pep chromosome:SL3.0:8:61065222:61066058:-1 gene:Solyc08g077000.1 transcript:Solyc08g077000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAELGILSSTQLQKLAQSQEQHSQQQLSSLQPPFNPDSVTDCWMWNAKQATQDDDDSWEVRAFEEDTGNAMGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQAPPQYASNSIHNHNHNHISSQNSNSTFLVPTQEFVTNGGLCLLYSLPNPSNTHIFNNPTSRKSCTMNNNSSSSSTLNLSISPYPTNNNLMSPTTPYPTPTSLNFPINKPNPRICNTSNDDDNNNNNHDYGSNKRDSLIEDELDLELRLGWRSSSSTTTTTTTTSSP >Solyc12g017310.2.1 pep chromosome:SL3.0:12:6539227:6541814:-1 gene:Solyc12g017310.2 transcript:Solyc12g017310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKRIPLRISLRFDQKLCGLIWSLNYIHSEKYAIQTMKTNDWRLEGALEAYYNQEHIKAATEKNRWEVLFNKYKDPNVDMIMADGISNLCNDLQVDPQDIVMLVLSWELKAETICEFSKQEFIGGVQSLEIDSMEKFKKKIPQLRSQLKDEDTFREIYNYTFDWAKEKGQKTLALETALALWHLLFAELEWPLIDQWCQFIQERHNKAISRDVWLQLLEFAKTVDATLSNYDAEGAWPCLIDEFVEYSIENGTCSKKSDE >Solyc05g016395.1.1 pep chromosome:SL3.0:5:16043870:16045920:1 gene:Solyc05g016395.1 transcript:Solyc05g016395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGITLLPEKSLLLFFNYERPYYQEYAVGFSGVLFAMKIILNAQSHDYTYVHGLLVPTRYAAWAELILIQMFVPGVSFLGHLGAILAGLLFLCLKASYSGANPLRTIIRGFGHALNWPLMFMNCLFRRQIIGGRGTVGGRQTRDTSQMWRCQGCTFDNSGWLNVCDMCSTSRTDDVLSSLSSTDEVQDLSLDELRHRRIQRYVGDGGGRVGSKGVGIAAGVRGGVIVPYGDEFCGLGGTDFKGAGGEDIPNGGELI >Solyc11g061880.1.1.1 pep chromosome:SL3.0:11:48879884:48880042:1 gene:Solyc11g061880.1 transcript:Solyc11g061880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKVIFIMGATGTEKSRLSVDLATHFRGETINSDKMQVYKGL >Solyc03g093390.3.1 pep chromosome:SL3.0:3:56083431:56084266:-1 gene:Solyc03g093390.3 transcript:Solyc03g093390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGGSCGYGSAVSEAPLSSFVTGIGPSLYKSGKECGACYQVKCTKKMHRSCSGKGVRVVITDFCPGGPCVAQSAHFDLSGTAFGAMAIPGQEQKLRDAGVLQIRYARHACMKCKLLTFLLLVACDYSRKNIVFHVDQGSNSEYFAVVIEFEQGDGDLAKVELKEKRSSSIISRGNKNNYKWRQMQQSWGAVWKLDAGSKLHPPFSIRLTSQYSDQILIANNVIPTGWQPGATYRSLVNYRV >Solyc08g075730.3.1 pep chromosome:SL3.0:8:59971560:59979468:-1 gene:Solyc08g075730.3 transcript:Solyc08g075730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:K4CMU0] MAVTGGQDGDGSILVLVEDVMVPVSVVYNAINILKKEGVEKLDPMIVTQASAQSLTPVETASMDVVALICRSLEFPSDKLCGDISRVLKPGGTVLLSLSSQSVSKEKSTHDRKLLLAGFSDTQSFEAGHSIVITAKKPSWKVGSSFSIKKVAKSLPKVQIDDDSDLIDEDSLLTEEDLKKPQLPSVDDCEVGKTKKACKNCTCGRAEAETKVQLGPTAEQLNNPQSACGSCGLGDAFRCGTCPYKGLPPFKLGEKVTLSASFLDADI >Solyc11g065700.2.1 pep chromosome:SL3.0:11:51526673:51535024:-1 gene:Solyc11g065700.2 transcript:Solyc11g065700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSKSTNREETNAYNVPRSTVYPEPWWNGAVYTPVSPGLMRENASDSSSLEQSVDGQSQSDGGINEEDDDAPEKSQSVVPLHADGSYGKADQNFQPAAPAIPPRLDGSLAQPQQLELVGHSIACAPNPYVDPYYGGMMTAFGQPLVPPHVLDMHYARMPLPQEMAQEPVYVNAKQYRRILQRRQSRAKAELEKKQIKGRKPYLHESRHQHALRRVRASGGRFAKKTDASKGTGSVSSSGSEPLQFNAADIQKRNENGRLAELQQSYSNGSSYGNQSSFQESKDEYQSAESREGGFSVK >Solyc08g066040.1.1.1 pep chromosome:SL3.0:8:54564729:54565151:1 gene:Solyc08g066040.1 transcript:Solyc08g066040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQQKELRGSPPNYEALRLVAAGTLGAALLGLSSLIFAGTVIFMILAAPFLVVFSPILVPAAMILVLSTAGFLFSGGSGIGGLAAILWLCRKARGQLPYAAGQLDFARMRIASKAWDMKERAKEGEQFVQNKAHENTEA >Solyc11g066880.2.1 pep chromosome:SL3.0:11:52972207:52973439:-1 gene:Solyc11g066880.2 transcript:Solyc11g066880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokine peptide [Source:UniProtKB/TrEMBL;Acc:Q7PCA7] MMKQNVYFVLLLLVSMIISSQASSRFLVNNLQVEKEAKLTNKSSDGDSIEKMRSTNLNRLMGLEEYSCEDENDQECIKRRVLVEAHLDYIYTQHHNHP >Solyc12g099600.2.1 pep chromosome:SL3.0:12:67670529:67674999:1 gene:Solyc12g099600.2 transcript:Solyc12g099600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGGQSKSDSGGQIKISFGYHCNNSTDDSGEESDGIDVRPGSKLRRANSSFSCLSGAALSANATLANTNICNGLFGAEILPALDSPTSFRRIPSSPSFSKLDLLTSSFQSSLSNLSCSPSSPSELAEDNSSSLRSTSAPSRCESFLNATEVKIAGGAAGEDRVQAVCSEENDSLFCGIYDGFNGRDAADFLAGTLYETIRHYLGLLDCELERESKVSDRVGFYGLPYLEVEKSCPSFNQRVLNSLELALIQAENDFLHMVEQEMDNRPDLVSIGSCVLVVLLLGKNMYVLNAGDSRAVLATYGEGVGANSDGRLQAVQLTVSHTVDDESERIQLLKNHPEDPSTIVGGKVKGKLKVTRALGVGYLKKKSMNDALMGILRVRNLVSPPYVTVQPSRTVHEISSSDHFVVLGSDGLFDFFNNDDVVMLVHSYIQRYPFGDPAKFLLEQLVMRAADCAGFSKEELMSIPAGRRRKYHDDVTVMVIILGMNKRTSKASTRL >Solyc03g082870.3.1 pep chromosome:SL3.0:3:54203446:54208948:1 gene:Solyc03g082870.3 transcript:Solyc03g082870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFSDDEGECRFFDAPESISQGSDLGSNFIPIPDSGSGFVNGVSYDEWIKTPRSVVERRKQFRCWMGLSLDGMSGEDPVDIGGSSNLSTGEIERIMESSGAVLRTSIHKDEFSSYRASRPGLCGGEGLDSPKQLGSNRDFSFRSGNVDSGIGCNVHVQAENGQHGNNRPVRLERLLMSRELENSPCTSPVIGELGQGELDKNGDIPKKLNGVKSRLLSRLRSFTCIANAEGRCLELKDNNSNPVQRSRVQRVKVHHCKKRLKELSALFTGQDIQAHEGSILTIKFSFDGQYLASAGEDKIVRVWQVVEDERSNEFDIPELDPSCMYFTVNHLSQLAPLVTDKEKSSSKLKGLKKTRDSACVVFPPKVFRILEKPLHVFQGHTGEVLDLSWSKNNCLLSSSTDKTVRLWQVGNDLCLRVFPHSNYVTCIQFNPVNDEYFISGSIDGKVRIWAINSCQVLDWTDIRDIVTAVSYQPDGKTQKIEQIIETNNLLHIGWDYWLNGRQLSFFQFGRSTYSGEHKLPDVTAICSYHLELRQSCIVTGHEIQLEEQMCLANKKKSICKRITGFQFFPQDPSKVMVTCADSHVRILDGVNVIGKYKGPRTAGNHLSASFTSDGKHIVSASEDSNVYVWNCDVPKDYESQPKVVRSSEFFSSDSTIAIPWSGLKIVNPDNGRHCGGGLSQTSNNVLPFISSPYLSLGRELFLEAIPKGSATWPEEKLPVSSPRSTSSGMCKSEYNLLRSSCQSSSNSHAWGLVIVTAGYDGRIRSFHNYGLPLPL >Solyc11g039807.1.1 pep chromosome:SL3.0:11:42546708:42547375:1 gene:Solyc11g039807.1 transcript:Solyc11g039807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLSNPEFVDEKFSLLSTHLPYTLFPKSILESDNYKKISVVTLDKEFYLERVFFFKYEDLKEDMLGCVKKSVDFMDKHFSKEEQSEFEVNKSEKLRETLPGISKSLFFLKEEIDDWKNYLKKDMEKFIDFITLGIFKSLGLTFASLVKY >Solyc03g096640.3.1 pep chromosome:SL3.0:3:60320820:60326875:-1 gene:Solyc03g096640.3 transcript:Solyc03g096640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAKAAGSSRWSLSGFTALVTGGTRGIGWMSVLFRHAVVEELAELGATVYTCSRNEAELNERLQEWAVKGLQVKGSVCDASSREQRIQLMENVSAAFDGKLNILINNVGTNIRKPTTDYTAEEYAHLFSTNLESAYHLCQLAHPLLKASGNGSVVFISSVAGLVHLSSGSIYGATKGAINQLTRNLACEWAKDNIRVNGVAPWYIKTSLVEHLLENKTFLDGVISRTPLRRPGESQEVSSLVAYLCLPGASYITGQVIAVDGGFTVNGFEMPSF >Solyc10g018880.1.1 pep chromosome:SL3.0:10:10809730:10810456:1 gene:Solyc10g018880.1 transcript:Solyc10g018880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYDVDHTEANVDAPAAAMEVIGQEELIEESEVDYAEASMESEAHSLQPLFLSYLMHPRIVSVRLRPDASQDSECEIKVGGSHQCFHVSDTSHYYRSCWREQSGIDHDEGNVDGVVHVLCPLVYLISNAAPHILHCRTEYYAKSCSLGKKTPECITQTSCLTLVKKSSSKTPLKNPSAVTNIRQILVTD >Solyc04g009157.1.1 pep chromosome:SL3.0:4:2661563:2662694:1 gene:Solyc04g009157.1 transcript:Solyc04g009157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVAEGFLNDLIQVVHTFCEKVGKCRIHDLLRDLAVQKHWRHAIHSQGKRYLTLDLSNLKLSSLMFLDPDFLNMAPIKFCYVFQHLYVLYLEMHVDNMSIVPKAIGSLYHLKFLKLRGIHDLPSSIGNLKNLQTLLVNDYGYFCQLPRETTDLINLRHLVASYSKPLKRINKLTSLQVLKGIHCDQWKDVDAVDLVNLRELSMHDITKSYSLNNITNPQRPLLYNFCVNIREKKLSFEDIHVDVGCRSMNQVTVESDYLPRELT >Solyc04g082420.3.1 pep chromosome:SL3.0:4:66164079:66171371:-1 gene:Solyc04g082420.3 transcript:Solyc04g082420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYQQYCQVSKEELFPQGEMMEKKQKKFLTVAPFECAWPNDLRFREAGRGCVAFDAFAHNDVTVVFREQVGSQHYHYKRDNCPHYTVIIGSHRNKRLKIEVDGKTVVDAAGVGLCCSSAFQSYWISIYDGLISIGKGRYPFQNLCFQWLDSNPNCTVQYIGLSSWDKHVGYRNVNVLPATPNHLSLWKHVDFVEHDFGEDDLEQELEDGIANYESWGLGKFLENWELSDMFFIVGKEERVVPAHKLVLEACGDFCLSSSVEEVVHLPDISYSVLHALLQYIYTGHTQILESDLCSLKSLSLQYKVMSLVRQCEEILERIMSDKQLVDSTQLVDIFYPSWLQCSKTFPYGLPINRERLERFLSTGEYSDLDLYVGVHDIVLRSHKVILGSWSTPFTKMFTNGMRESVSSVVCLKDVPLEAFKIMLEFMYSGELNKEATAGINTLLLQLLLLADEFGVTLLHQECCKILLECLSEGWSSPIGRRIQYAQFFK >Solyc03g112160.3.1 pep chromosome:SL3.0:3:64142014:64144767:-1 gene:Solyc03g112160.3 transcript:Solyc03g112160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFWAARINSARHNLSAVQTNRLSNYDSLSNVDNTQGEEDVRAWFPCPFCYVEIEVQMLCNHLKEEHCFDFKNAVCPICAATLGKDPLGHFMVQHAQSVKRKRKYLKSGFWNNTTAISGKDPHEVNSFFCTNLGVGRYNVPEPAPDPLLLPFLCSVAPSDPKDGPQDNSLGSAAATPDVESSKMPVCDPALEEQYEEKRQRAVFLQELIASTIF >Solyc06g053140.3.1 pep chromosome:SL3.0:6:35964078:35966545:1 gene:Solyc06g053140.3 transcript:Solyc06g053140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALTSVSAGSTSASLQHRFYSTPIELASVTCSLASTGKRRSFSFSNNSSTTLRIRCAATKPAKSPAEEEWSTKRAKLLEKRVRSVEAKEAFRLQKENNFVILDVRPEAEFKEAHPEGAINVQIYRLIKEWTAWDIARRAAFAFFGIFSGTEENPEFIRLVESKINKDAKIIVACSSGGTMKPTQNLPEGQQSRSLIAAYLLVLNGYTNVFHLEGGIYNWYKEELPVASEE >Solyc12g008490.2.1 pep chromosome:SL3.0:12:1891752:1901916:-1 gene:Solyc12g008490.2 transcript:Solyc12g008490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVDTFDEYLFNASRALCSPIAVFIQIQGCLIVLILALGWALAAYVRGREIRRMKSSMKRGNSFAFLTLDINELEHSKQVNLPRVTVVMPLKGFGEHNLHNWRSQITSLYGGPLEFLFVVESTADPAYHAVKCLLADFKDAVEAKVIVAGLSTTCSQKIHNQLVGVEQMHKDTKYVLFLDDDVRLHPGSIGALTTEMEKNPEIFIQTGYPLDLPSGTLGSYCIYGYHMPCSMGFATGGKTFFLWGGCMMMHANDFRTDRHCVVSGLRDGGYSDDMTLAAIAGAHKRLITSPPVAVFPHPLATDLTFPRYWNYLRKQTFVLESYTTKVNWIMNRALFTSHCYLSWGFVSPYIMAGIHVAAALRHYLKENSLEGTAMTCNGLLLVACLAVCTVIELLSIWNLTRIEVHLCNMLSPEAPQLSLASYNWCLVFIAMLVDNFLYPLSAMRSHLSQSINWSGIRYHLKDGKICKIDRHKHLVPKITDLAGKDLLGKQGSIPKVAIISTLSRTLAQWRQPKKYNI >Solyc09g091330.1.1.1 pep chromosome:SL3.0:9:71081206:71081658:1 gene:Solyc09g091330.1 transcript:Solyc09g091330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISFVHIFFLLLLLLTPLHLSLAKKCIFTEKYEVHIINKLPPNSPQLKVHCASKDNDFGMHYPITNEDFNWSFCGLLFSETTLYFCHFWWNSKDKAFDVFNDKDYCVHNQTFPNHLKYCKWEVRSDGFYLEQYNSDTKQYFMSHYMGWS >Solyc05g054110.3.1 pep chromosome:SL3.0:5:64928107:64933980:1 gene:Solyc05g054110.3 transcript:Solyc05g054110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKEGKGGTATPIAESDSEIEHEPGEKNVSRQMSESSLYTTEDEEEDETHNKIELGPQCTLKEQFEKDKDDESLRRWKEQLLGSVDINAVGESLDPDVKILSLEIKSPGRPDIVLPIPEGGKPQCPWFTLKEGSKYSLKFSFLVTNNIVTGLKYINTVWKTGLKVDSTKQMIGAFSPQSEPYTHEMPEDTTPSGMFARGSYSARTKFLDDDNKCYLEINYTFDIKKEWLAT >Solyc07g042500.3.1 pep chromosome:SL3.0:7:55885305:55898954:1 gene:Solyc07g042500.3 transcript:Solyc07g042500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRHVRTPLARSLEKWDPQAPFSTVTELPHLGPRALFFSLPVLLFSTVWISLSSLAASGSMSFSCSSSYFQFPSTFQRRTLHCRWKHRRLKWNQRRRRRHTVVAIMNLNHMPLHNLFQNIVSRFPSVNSLDLIAPALGFVSGFALYLSQSQTSVKLLETSLPELGEWILFTSPTPFNRFVVLRCPSISFQDSELMEDANERLVKEDRHFLRLDSGRIQVRDYECCDEKLVYQRVCLSTEDGGVVSLDWPANLNLEEQYGLDSTLVIVPGTTEGSMDKNIREFVVESLRRGCFPVVMNPRGCAGSPLTTARLFTAADSDDISTVVQFINKKRPWSTVMSVAWGHGANMLTKYLAEVGEKTPLTAATCINNPFDLEEATRTTPYHIDLDQKLTRGLVDILRSNMELFQGRGKGFDVENALLATSVRDFEKAISMVSYGFNAIEDFYAKSSTRDVVGKVKIPLLFIQSDEGSAPLFSVPRSSIAENPYTSLLLCSYFPHNETTNSRSTLSWFQHLTIEWLTAVEVGLLKGRHPLLEDVDVSINLSKDVTLVGRPSDRSFRSNKLLNLPNSDALDSCSLDPSLKILEGGDIEETIYSRCGRDFKDLGSTVQLQEPYITLENGSADDAEPREDEAGSPVDGERGQVLQTAEVVMNMLDVTMPDTLTEEQKKKVLTAVGQGETIMKALQDAVPDDVRGKLTTAVSGILHNQGSNLKFDGLQSVGHTPNVTSSSMSNTDGGSETSGLSNAKTRASDFSDEFDKNDSSIDKSSQELVSEPEAVDNVQKSVDTGQSQAMSSHGSEVPALDNNGSADLSVERTSLTSDCIEIESKAGAKVESSSGSEVDGDTDKVIAEQSKVQHDGGKYQTDLKEVISTQQKEEKITDMCSDQNKSTSSPQIDEKTLLAASPSETNAMENEGSDNVKREERSTQTNSNQITPNAISQSFDVSQALDALTGIDDSTQLAVNSVFHVLEDMINQLDGVRNTEGEIQNGDGKDGLEKSGTKDGDNEDGLTNRDKVLDQNTSRMVENHDLDDVEKRESEVISDSQAKYETDLFGKVESNTVDFQESDRENHTEGDLKRKNVVNGEVPPEDSLKSLNYIQKTVPVYMNTNFSGDPLYKEYLQSYLSSKAVITKPLDLDTTTALFLDYFPEEGQWQLLEQTGSNSGISDRVAADEKSHVEMQHDSPMKNNNMDNVIEPSYVIFDPENQNPDEECVTSNNSDENVEVDNDTTHGSALFLRNIIVDALKVEVGRKVNAEDLEEMQPKLSNELEHVANSICETVGHEEELISFIKSKDRTSGKVGTLHAEHVVRAISSAVQGTSYLRRTLPVGVIVGCSLASLRKFFDVYAEEVNGQSKELILDEISELEKVDPIPTASKRINEMHPNEQVYRLQSPTCQVEGAADSENSEGNAVMVGAVTAALGASVLLVPQQDAETFEGYSKTFEDEKNQSKEVGKADEETVDKTNNNIVTSLAEKAMSVAAPVVPMKEDGAVDHERLVSILAELGQKGGILKVVAKVALLWGGIRGAISLTDRLISFLRIAERPLFQRILAFVCMVLVLWSPVFVPFLPTLVQSWTTKKPSRTAEIICIIGLYMSIFLLVTLWGKRIRGYEKPLDQYGLDMTSMHKVQIFLKGLFGGTILVLLIYSVNSLIGCVDFRFPMAPPTSSAALTWLKVYGRIFVLFVQGVATATSVATVEELLFRSWLPDEIAADLGYYRGIIISGLAFALFQRSLWAVPSLWLLSLALAGVRQRSQSLFLAIGLRSGILACSHILQTGFFLTYLPKFPPWFTGSSPAQPFSGVVGLAFALSLAILLYPVEPLHRKKIARKIKE >Solyc01g110210.2.1 pep chromosome:SL3.0:1:96887401:96890293:1 gene:Solyc01g110210.2 transcript:Solyc01g110210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMLLPVHQFPPVMLPTHQFLPVILSTHPYPPALQPHPYPIVDSPPQEKVRRRRHRMNTIATRVRGMEIRIILDETTVMIKNIPFHYNRGSMMEFLDYFCLQENIKARDSNGENIHVCAYDYLYLPLHFKKNRIRGYALVNFTDERTLWKFFLAFSDGVTAFPNSARSVKISSAYIQGKKDLKRRYQYARFKQEAIGFNPPRDGSHIIAS >Solyc05g053920.1.1.1 pep chromosome:SL3.0:5:64793503:64794810:1 gene:Solyc05g053920.1 transcript:Solyc05g053920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSSGNICKSKCNILFMTKQHMRLVFPISKCFKLSKHGARRFKITCSWNQGSNHFCVADRAVVGLRDRWMEFQGIKNWEGLLDPLDDDLRKEILRYGEFVEAAYRCFDFDMSSPTYATCLYPKSSMLSDCGLDKTGYKVVKNLCATCVVQMPRWTKKMFPNLASPRSSWFGYVAICDDEKEIARLGRRDIVIAYRGTATSSEWLENLRATLTCLPDDMTTFDENYDQPMVQSGLLNLYTTNTQCDQSLQDTIREEISKILDKYNDEPLSITITGHSLGAALATLTACDITTKFSNAPIVSVVSFGGPRVGNKSFRCLLEKNNTNILRIVNSDDPITKVPGFVIDDDIDDMAESHVASTGMPSWLQKCMEDTQWVYAEVGKELRLSSNDLCQQIINKGNVAMCHDLKTYLYLLDNFVKVDHKREKPIEKSGWPSD >Solyc03g005220.3.1 pep chromosome:SL3.0:3:124446:125081:-1 gene:Solyc03g005220.3 transcript:Solyc03g005220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGNGNIKSFGTDGSGNGGGSFGDFGCQKIGIGLLGGGLISCTGLTFALGSARKMHCNKNQMKLDFCLDRRISLSLSPQMNIVANDMLLLGTM >Solyc08g078790.1.1.1 pep chromosome:SL3.0:8:62630039:62630212:-1 gene:Solyc08g078790.1 transcript:Solyc08g078790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFRSTFSFAMGTGLGIYLAQNYNVPNIQKLVNTGVVIAKHFEENYRKPKKTDDDN >Solyc06g074463.1.1 pep chromosome:SL3.0:6:46217613:46217965:-1 gene:Solyc06g074463.1 transcript:Solyc06g074463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVPHARSTFYQVYQNGANAIGYCRQRLESTQRLVQPIPILGFVAEKIIEILIGVQTRYTYISGS >Solyc03g043900.1.1.1 pep chromosome:SL3.0:3:7629948:7630559:-1 gene:Solyc03g043900.1 transcript:Solyc03g043900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTILSSDGIFPNTFPSFYDDFTQWDCTEPTSTFPKQGVELVFSPTQSPTPEPVISHDSGSGFDKSKPDSPNDSPNSGTHDLAERKRKRMISNRESARRSRMRKQTHLENLRNQSNRLKLENRDLTNRIQLITGHYQQIKRNNEMLRAESIFLQERLKGIRDLLITRQLQQQLYNSAFAWPCNNLYVEQRPHMINQPIINHQ >Solyc06g072850.1.1.1 pep chromosome:SL3.0:6:45071473:45071649:1 gene:Solyc06g072850.1 transcript:Solyc06g072850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRAEFFIYLLQIFCVKFFFILKYKILLNIFIKYESIVYNHSLIKSYIKASVIKFLP >Solyc11g030787.1.1 pep chromosome:SL3.0:11:23541514:23541858:-1 gene:Solyc11g030787.1 transcript:Solyc11g030787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGTKAFLQASYTEVLPASFWNNTSRDGSRFRKMKGPKSK >Solyc01g095530.2.1.1 pep chromosome:SL3.0:1:86637406:86637952:-1 gene:Solyc01g095530.2 transcript:Solyc01g095530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGMESMSRPAPPDDGGGVNGGDRCGYGFHMPLHYPRYTRAEYEAMPEWKIDCLLTQYGLPSNGDVYQKRKFAMGAFLWTY >Solyc04g018173.1.1 pep chromosome:SL3.0:4:9812037:9812599:1 gene:Solyc04g018173.1 transcript:Solyc04g018173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLYVDDMIITGNNEDAISLLKNDLLVRFYMKNLGEVSCFLGLEIENADQGYFISQKTSARKLLQHFDTGELKEKATPMEPHLKLMKVHAMTKKLTSRCTRRILRYVKGSLDYGLMYRTPEKFMLNGFTDVYWAGDKNDRHSTSRYIL >Solyc08g068440.3.1 pep chromosome:SL3.0:8:57677814:57680364:1 gene:Solyc08g068440.3 transcript:Solyc08g068440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVLKMAKEYAPAGTLTKTSIMLGCGETPEQVVRTMEKVRAAGVDVMTFGQYMRPSKRHMPVTEYITPEAFENYQVFGTQMGFRYVASGPMVRSSYKAGEFYIKSMIESDRAASSS >Solyc12g016040.2.1 pep chromosome:SL3.0:12:6089280:6094945:-1 gene:Solyc12g016040.2 transcript:Solyc12g016040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIVNEHTRGGSMCHFLQNQAVPLKLAVKLVLDVEHVHGLNLIHQDLKSDNPLIAANKSIKIADFGVARIQHVHDLNLIHRDLKSDNLLIAADKLIKIADFGVARIKVLTKGMTPDTCTYRWMAP >Solyc10g049360.2.1 pep chromosome:SL3.0:10:45168032:45175053:-1 gene:Solyc10g049360.2 transcript:Solyc10g049360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDEENKVPATAAASRGSNKRAFDSIAITNENDPLQISERPYPANKKRVVLGELNNLGNVIVSTQNSDLTETHESKRKIKLRKTRNVVKETVELETSANSSPKDNLQKCSYGPLIYQHLHSLEVEERRRPLSNYMEKVQNNVIPSMRTVLVDWLVEVTEEYKLVSDTLYLAVSYIDRFLSSHVLAMEKLQLLGVSCMLVASKYEEISPPHVEDFCYITDNTYTREEVVNMERDLLSFLNFEISSPTTITFLRIFLKAAQDNLSFLTLQFEFLSCYLAELSLLDYSCVRFLPSMTAASAIFLSRFTVLPEVCPWTLALQQCTGYKPSELKDCVLVIHELQSSLMEATGRALREKYMNHKYKCVAALHPPDIPSCFFDDA >Solyc01g060314.1.1 pep chromosome:SL3.0:1:70129948:70130370:1 gene:Solyc01g060314.1 transcript:Solyc01g060314.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRPNHCRSIQTARMQNQTSRQAVFSKRRFGLFKKASEISTLCGADVSIVSIVVRFLKEDLTPGTNDPNPIIIAQQNANVDYINRNLNTLEKELAKEKLRFSGLKNLCEALQAADEEVERVMS >Solyc02g070310.3.1 pep chromosome:SL3.0:2:40660556:40662617:-1 gene:Solyc02g070310.3 transcript:Solyc02g070310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLLNKKVVKKRVKRFIRPQSDRRITVKESWRRPKGIDSRVRRKFKGCVLMPNIGYGSDKKTRHYLPNGFKKFVVHNASELEILMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVITNKLARLHSQEDE >Solyc11g044270.2.1 pep chromosome:SL3.0:11:33347230:33394692:1 gene:Solyc11g044270.2 transcript:Solyc11g044270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CLFPRKSQFQKYLYDPTTKFDSKPNSSLPAKTDMVSSTLAPQPSWFTAKRLLAIFCIINLLNYVDRGAIASNGVNGKHSECTKSGTCSSGSGIQGDFDLNNFQDGVISSAFMVGLLLASPIFASLAKSVNPFRLIGVGLTVWTFATAGCGLSINFWSITICRMMVGVGEASFISLAAPFIDDNAPVDQKTAWLGIFYMCIPTGIAVGYVYGGLVGSLLNWRWAFGIEALLMLPFAILGFVMKPLQLKGFSHTGSKRPLTSVQTEAVASPCQDGSFQTRNDSMDDSKSAPGILNQLTRFWMDMKVLLLDEVYIVNILGYIAYNFVIGAYSYWGPKAGYNIYHMNNADLMFGGITIICGIFGTLAGGFALDRMTNTISNAFKLLAVATFFGAIFCFAAFCFKSLYVYIALFAIGELLVFATQAPVNYVCLHCVKPSMRPLSMAMSTVSIHIFGDVPSSPLVGVLQDHTNNWRVTALILTSVLFLASGIWFIGIFLHSVDRFDEENELQVSVTDRSNTIPLLGETTQSL >Solyc10g083470.2.1 pep chromosome:SL3.0:10:63381738:63385436:-1 gene:Solyc10g083470.2 transcript:Solyc10g083470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIQFFVRLFSGGKTLVVQADSTDRVEVIHEKISLMTRIPASVQRLIYQGKQLRVDQTISDCGINMESNLQLVGRLRSTKYSHAWKLMNELSSLIWGFCKSEFYLIQNDKDHLEDVLIQILIMIPHDIDEASEYLEIFISSSIPAALVMLYTSPYLDNKIVADKCIRQIINSFDSESLTPMYSTYAIILEFCKSLRDAGIEDDLYIFCRSSLRDIIALVGIARCEADTKKFISLQDVLPFVREIAVKLYHNLNLTMGSAPLSLSYSLVHNFAGFMFPVRNAIWFQVPFGSTITYPLIKNDTGDAEYYRQSIECLYYSFRGLLKATLLSLGLLETRLGLKEEVEDVRVVQWWSLYLTLLKELNNISKLYTGMENVFWQKMRQVKASLCFLVVKFATKLEDYGWLFEHKEVLSFEGRRHLAIMMLPEVIDGDGLYSMFIDRSQLLESSFEYIITATRKNLHGCLFIKFKHEEATGPGVLREWFLLVCQAMFNPQNALFVACPNDRRRFFPNSASKVKPLHLDYFWFSGRMIALTLTHKIQIGIVFDRTFYLQLAGKDITLEDVRDADPPFYKSCKEILEMDPEMLDGDNLGLRFICDVESLGSKKEIELCPNGKDTIVDSKNRDEYINLLIEHYFVTSVADQVACFANGFADVTITSEHQPFFRCLNLEELDLMLDGSGNDISVEDWKAHTDYSGYNKSDCQISWFWKIVECMSVEQRNVLLFFWTSIKFLPPDGFAGLGSRLKIHKSSAPSDHLPTSQTCFYSLHFPPYKSESIMQDRLHMITQEHIGCSFGSS >Solyc02g064895.1.1 pep chromosome:SL3.0:2:36571180:36577329:1 gene:Solyc02g064895.1 transcript:Solyc02g064895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSENPPENPNQLISMEHMQQLFQMFQTLNKNSTNIELGTSQIVRVAEKLNFTNYTKWCKLMQIAIGGRGRLNHIIVNPISPDNPEYQQWAQKDSMVISWIIENIDGDLVNQFLDYKTARDLWKGIETLLSSGRDELQIYDLNTKATSMKQGIDTIEVYFSKLNTLWKEIDRRMSNPMKCAEDITLFNSFIQRQRLYQFLAGVNDSLDKEKRDILNLDPLPTIDAAYATIRREISRRGIMTGNSSLERGPSEIGSGLVTQRRSDSSFSRSDSSFRREDKTHLKCSHCGGTKHTKEGCFKLIGYPEWWEDLRQRKAATKVTKTGSKANATIGEGEPTSKASSTTVTNRRTGTGEASSTSVTDRRTGTSGKNGFTKVSGEPWMETEEATGRRREKILEESSSRNERERKQEALEKSDPLPLVNQKPKKSEAQLYKKPKEKQSVGLMCNKSNWIFDCGATDTMSYDPSDFLSFTSTTRTKIQTANGEFIPITQAEDDAQTGRIIGRGIERGGLYYVNEVTQQGNALLAQGSSEYQIWMWHRRLGHPSLSYLKRLFPSFKDIDFVLDCEACLGPQGETTNDDLSWLIYPEMMDLDPPTQVSNTADVNFETSVSAPSPQSTPMTTTEHPESTSVKVNSESCTVPTDSVSSDNCQNRYELPHRSTRGVPPKRYDPEYEDQRSRYPIERISNENLSNTAVAFTTSLYSTNIPRIVYILDLLTETGMLGGKPADTPIVANHGLQVIEGAKATGKEQYQKIVGKLIYLAHTRPDIAYAVGIVSRFMHLPQIHHMTAVMRILRYLKGTSSTGIYFGKNDSLDIIAYTDADWAGDRDERKSTSGYFTLVGGNLVTWRSKKQKVVALSSAEAEFRGIVKGITEILWIRKLLNELACSCVCNVVAVNSSTLALAC >Solyc09g055910.3.1 pep chromosome:SL3.0:9:46301692:46309757:-1 gene:Solyc09g055910.3 transcript:Solyc09g055910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMCYKISINSNINTNGLLSPPPNKLHSHLLLSNQKFCGRRTLASPPFSLIRSKKCWHGSLRVSNSSQSSASNSFDVVIVGAGIIGLTIARHLLLASDLSVALVDAAVPCSGATGAGQGYIWKAHKTPGTEKWDLMMRSHQLWESLAKRIQLQGMDPLEVLGWKKTGSLLVSKTTDESAILKRRVEELSQEGLRAEFLSSNDLLSEEPELVVEKEGGAAFFPDDYQLDAHRTVAFIEKGNRHFAVEGRYAEFYHEPAIGLVRHGNSCEVGAIQTSKNTLHSKKAVVIAAGCWTGSLMHDLIKQPDIDLDLPIKPRKGHLLVIENFKSFKLNHGIMEAGYTKHQSATLKATSSDSGPVYNAQDLSVSMTATMDASGNLVLGSSRQLVGFNTEVDESVINHIWQRVGEFIPALRHESLEDLRESREVRIGLRPYIPDGKPVIGLVPGFSNVFLAAGHEGEGLSLALGTAEMIADMVLGNPSKVDAAPFTLLGRCFH >Solyc12g038710.1.1.1 pep chromosome:SL3.0:12:51674398:51674643:-1 gene:Solyc12g038710.1 transcript:Solyc12g038710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSTPPTSPSPPPPYVYKSPPPPSPSLPPPYVYKSPPPPSPSRPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPCV >Solyc03g114730.3.1 pep chromosome:SL3.0:3:66118537:66122133:-1 gene:Solyc03g114730.3 transcript:Solyc03g114730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRIEGEKSEFEGRERKRRRWGLNVMGFKAAALGDSRVEKLKSSMMSRSRMKLWMIRATTSILLWTCVVQLMTLGETWGPRVLKGWPSCFSQESTAAFALQSSLEVPARVLPPKRVYKNNGYLMVSCNGGLNQMRSAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVDHFITSLRDEVRILRELPPRLKRRVELGMLYTMPPISWSDISYYHNQILPLIQKYKVVHLNRTDARLANNGQPMELQKLRCRVNFDALKFTPQLEELGKKVIQLLRQKGPFMVLHLRYEMDMLAFSGCSQGCNKDEIDELTRMRYAYPWWKEKIINSDLKRRDGLCPLTPEETALTLRALDIDSSIQVYIAAGEIYGGRRRMASLAAAYPNLVRKETLLEPSDLMFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRYLGYKKTILLDRKLLVDLIDQHTAGSLTWDEFSNAVKHAHAERMGNPTKRLVIPDRPKEEDYFYSNPWECLESSNEDETLSSSI >Solyc07g041730.3.1.1 pep chromosome:SL3.0:7:54196664:54196744:1 gene:Solyc07g041730.3 transcript:Solyc07g041730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SQVSMAMAKKTTCLLGWPIYGEGVLH >Solyc03g032070.3.1 pep chromosome:SL3.0:3:4609154:4612135:-1 gene:Solyc03g032070.3 transcript:Solyc03g032070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RuvB-like helicase [Source:UniProtKB/TrEMBL;Acc:K4BFH8] MAMGELKISEMRDLTRIERIGAHSHIRGLGLDSSLEPRLSSEGMVGQIPARKAAGIIVKMVQQGKIAGRALLLAGQPGTGKTAIAMGMAKSLGQETPFAMLAGSELYSLDMSKTEALMQAFRKAIGVRIKEEAEVIEGEVVEVHIDRPAVAGAASKTGKLTLKTTDMETVYDLGAKMIEALGKEKVQSGDVIAIDKASGKITKLGRSFSRSRDYDAMGPQTKFVQCPEGELQKRKEIVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENDMAPILVVATNRGITTIRGTNYRSPHGIPIDFLDRLLIISTQPYKEEEIRKILDIRCQEEDVEMSEDAKVLLTKIGVNTSLRYAIHLITSAALACQKRKGKAVEVEDITRVYNLFYDVKRSTQYLMEYQSQYMFNEVPAGEAEEDETAAMVS >Solyc01g099800.3.1 pep chromosome:SL3.0:1:89825577:89826380:-1 gene:Solyc01g099800.3 transcript:Solyc01g099800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDVEVAWTLSGKDLYQDPDLHFHLRLVGGIGGARAGAGVSRILALRYEIRGGRLEVTLVLL >Solyc11g013295.1.1 pep chromosome:SL3.0:11:6216593:6217055:-1 gene:Solyc11g013295.1 transcript:Solyc11g013295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHIWPSNECIVSSLVHKYKNFQGILSSNFLTPFTYQRQYLIVNHIGAIRAEHDDFTVRFASAMSQVTLSLTSHTHMD >Solyc02g030085.1.1 pep chromosome:SL3.0:2:25568808:25575196:-1 gene:Solyc02g030085.1 transcript:Solyc02g030085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKKKGIVRRLFQHWEADIYVLVETKLGGSEVNVFKQLWQNRWMKEFHLDVIGRSDGIVVMWDKRVWRRELVLAANQMAVDGRTPVFVQTSNSGATSSEDPLLQQ >Solyc02g086090.3.1 pep chromosome:SL3.0:2:49503634:49504355:-1 gene:Solyc02g086090.3 transcript:Solyc02g086090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANKTVNFSVTLAVPPCINSMFRCSFCNIAVNCDLSDGFSPPLTFGIVIHLSEIQNNSCTTVEAHSLMNANIYSQESGSLKETPKILFSSHVSVSDGTKPHISFRIYKIQQQMDIKAKSRSCL >Solyc08g067180.3.1 pep chromosome:SL3.0:8:56218854:56221333:-1 gene:Solyc08g067180.3 transcript:Solyc08g067180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRPGDWNCRSCQHLNFQRRDSCQRCGEPRHGGAHEFGSNCFGGRGGGSSSPFGFSTGPDVRPGDWYCNVGNCGAHNFASRSSCFKCGAFKDESSAGGCGGGSSFDSDHMMSSRPRGFGFGGASGGSRSGWKSGDWICTRLGCNEHNFASRMECFRCNAPRDLAGNKSSY >Solyc03g044905.1.1 pep chromosome:SL3.0:3:10850695:10864191:1 gene:Solyc03g044905.1 transcript:Solyc03g044905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGSKKTIFLCPLWIRCWIDLPERDGIVFLMVIRGIIRFLLHRILKEKTTFNCPYGTFAFKRMSFGFCNAPATFQRCMTSIFFDIVEYTIEAFMDDFSVIESFHESFLRLSLSSNRVVWHLIQLRLQLLVPIVVSKPQ >Solyc12g026400.2.1 pep chromosome:SL3.0:12:23105493:23117729:1 gene:Solyc12g026400.2 transcript:Solyc12g026400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVNKRKRGRKPKSDPPATPDIPTSTSPHIDDVVFSVSNVELIDPPPSSTSHRPRPRPRRGRPRKTPLLPKLTNPENGKTLKVTSPTRRLIDKPNGVPSLISSETTIINASVARVMPAMDAVVKVFCVHTEPNYSLPWQRKRQYSSSSSGFVIKGRRVLTNAHSVEHYTQVKLKKRGSDTKFVATVLAIGTECDIALLNVDDDEFWEGVSPVEFGDLPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGNCVGIAFQSLKHEDVENIGYVIPTPVITHFIKDYEKNGAYTGFPILGVEWQKMENPDLRLSMGMKPDQKGVRIRRIDPTTPESMMLMPSDVILSFDGVDIANDGTVPFRHGERIGFSYLVSQKYSGDYAAVKVLRRNSEILNFIIKLATQMRLIPAHNKGRPPSYYIIAGFVFTTVSVPYLRSEYGKDYEYEASVKLLDKLLHEFRQSPDEQIVVVSQVLVADINIGYEEIVNTQVLSFNGEPVKNLKSLASMVEKCKDEFLKFDLEYQQVVVLQTKTAKSATSDILTTHCIPSAMSEDLRT >Solyc11g050993.1.1 pep chromosome:SL3.0:11:17172574:17172842:-1 gene:Solyc11g050993.1 transcript:Solyc11g050993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTMTFVFQNCLIEHALQWRSNITQSQRIKEILTPEKATLDLVKSDNVTTHLGRLRGIFSRIVMMESYIGNLIDPRGW >Solyc11g013830.2.1 pep chromosome:SL3.0:11:7241566:7259310:1 gene:Solyc11g013830.2 transcript:Solyc11g013830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLESGNSSKFNDRDSVQLRQLCREMPDNSILMINPAASLPSLNSSGSCCSDFSVDANSDVRVYVDECSTDSSQEDFSSAIGRDAEQTRTGNSEEPNDSRNERYDNDEAGTSCNDEFDAQFWFPPQPEDDDDDIEDSVANYDDDECVDGQKWGSPASLISFGEEDFGSYKLKEERRKALQEVMNMKLKAFVSGHLKSFGVAASVKEGNNWVDIITSLSREAASFVKPDPTEGKMNPIEYVKIKCISTGSRSQSRFVRGLVFKKHAAHKHMPTKYDKPRLLLIEGALGLSRKSELSSFQESVQQEKDSVKSILDMIERYQPNVVLVENAVSRDIQESILKKGVTLVFDMKQHRLEKVARCTGSLSADILVSQKLRQCDSFHFEKFVEEHSATGDAGKKPSKTLMFIEGCPTRLGCTILLMGSNSDELKKIKHVVKDAIIVAYNLILETSFLLDQKAMFSTLPLSQEVNLTLGNETPSVSDGQGIISNTEEHVGEISSSGTVDIPISNGFHEEISHKLDAQSESLQYEPYNPVVLSGLSSISSSVRRIIGNKFPLFSTSHQSMSSYFSLNGTTKDDQVQADVQVSNMPDLIHSEAEQKTSFDGVKAPEKEQHHTPLVSQVESLELEGSGEQLEDQEHMKDNVTSFLDSESILVLMSCRNASKGIMCKHSHFSRIKFYQDFDIPLEKFLQDNLLNQKECKTCGESPEAHIFHYAHHNKLLTIQVRCLPMDKGLRGEHEGKLWMWSRCCKCKSQNGSSSSTKRVLISTGSRGFSFGKFLELSFSNPSFFSGLSACGHSFDKDFLYFFGLGRMVAMFKYSTVTTYSVFLPPKKLEFSSSIKGEFLMQESNDVYLKGIMMFVDVEKALKAIESHVGTVLNLQGSVIKFSEIENMLKEERSQFEVDVKNVIEDGIQDVMVYKHLSLNRIRLDLLLESCVWDRRLHSLLSSYYMDGDSKAINPKQSTLPDIEPISQKEKLGKYSGERDANGTEANLGGGDEALEDCHDINIDFAADSSAEENNGTEAIKEHLNRNCDLKLNLVSTEANGSLIVEIPVEASVGGFREQNGSLDSSAFTEVIELSTAAKTTGNGSSIDDPAGKFECLHSGDENNLQSNLPSPTHLQLENPSVSSTNGRSASDSMDPQRSKSLASILSNIENDKGWWAPFPEIRHKYMKDLQRGYLPKLGSITTHAVETTAYKLVIDEGARVHIPLGNDKYIVSDYEDEFSSIIACALASLKDLPIVGEDLRDVGRKDRGIDDKAHESSQGIMRLFSLAPHFSSSSSLDLEGIQSTQVSEQTRSSSMNGLDMLNSLVSFSTLHPEVSMGSGKLPGKRKYSVICLYASEFSHLRGRCCPSEVDYIASLSRCKKWDAKGGKSKSLFAKTLDDRLIIKEIQRIEFESFLKFGPNYFEYMEQCYEKGNQTCLAKVLGIYQVIVRPTKSGKETKHDLMVMENLSFGRNITRQYDLKGALHARFNSAGSSDGDVLLDQNFVNDMKISPLYVGTRSKRNLQRAVWNDCGFLNSVNVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQIENWVKSSLVVPKNQLPTVLSPREYKKRFRKFIDTHFLSVPENWCSQRPSNPCILCGTAGTNAPPESKSEDANSKGQEEHRHESSGTQSTAHGNQNDISA >Solyc02g081730.3.1 pep chromosome:SL3.0:2:46117115:46124317:1 gene:Solyc02g081730.3 transcript:Solyc02g081730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEKWCVVTGGRGFAARHLVEMLIRYEIYHVRIGDLGPTIKLEPHEEKGILGEALKSGRAVYVSMDLLNKSQVFKACEGAEVVFHMAAPDSSINNHKLHYSVNVQGTQNIIDACVELKVKRLIYTSSPSVVFDGVTGILDGDESLPYPAKHNDSYSATKAEGEALVMKSNGTKGLLTCCIRPSSIFGPGDRLLVPSLVAAARAGKSKFIIGDGNNLYDFTYVENVAHAHVCAERALASGGTAAEKAAGNAYFITNTESIKFWEFVSLILEGLGYDRPSIKIPAPVMMPIAHLVELTYKLLAPYGMKVPQLTPSRIRLLSMSRTFSSSKASDQLGYAPIVTLQEGIRRTIESYPHLRAEHGSGKDGPKSSASLKRFFLLVIFFLLILSVLGIISPWSFFVIGILAAFVVFLIFDKSKKN >Solyc12g076353.1.1 pep chromosome:SL3.0:12:22277310:22278497:-1 gene:Solyc12g076353.1 transcript:Solyc12g076353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGMEPNQFQDQEAIDSIASPEECMTISLKLSLGHQGKKRVFRVATTQVRTTKDKCHHIQAITTTVNGIPVVLVPLMEQYKGIFDIPTSLPPHKGPYDHRILLIQNAGPVSKKPYRFPRVKKDIIEKLVQEMLDQGVVQHSTSPYASLVDGSWRLCIDYMDLNYVSVKDKFPFPIIKDLLNELGGWLSSMRMAEFDVHKIAFKTHDGHYEFLVMPFGFTIAPSSFQSLMNSVFKPLLRI >Solyc08g076950.3.1 pep chromosome:SL3.0:8:61008206:61011344:1 gene:Solyc08g076950.3 transcript:Solyc08g076950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEISGGFIAVVGGRRKGQRRVGRREKGGLAGYNI >Solyc05g008170.1.1.1 pep chromosome:SL3.0:5:2569407:2569595:-1 gene:Solyc05g008170.1 transcript:Solyc05g008170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHPIRVVESVRVMKQKLDYEKLLLNQLPRGPVPPSGPSLCHNKLDPNFRKINFSQDYILCP >Solyc01g073670.3.1 pep chromosome:SL3.0:1:80926112:80930440:-1 gene:Solyc01g073670.3 transcript:Solyc01g073670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSNVENEEAKPLGRWSVLAYGVGHVLNDITSTYWYTYLLLYLTSMGMPPKQVAALAITAQFTDATMTIIAGELIDRFGHFKIWHAVGTVLVSAAFYSFFWGVCVPCKIIGIDTPLVQMIGYYMFDILFSGGWSCTQVSHMAMVNGLTLDQTSRVACVSCRNAFTMVASLIVYGIGFFIFNSSVKQVEIKEQYHLLATITVLIGCFFVILFHLGTKEPSVKQVSHQTNRRGSSWKRWLKNGLYYRVASIYVLTRVVTNISQVFLALYVISDLHMSQSSKALVPAIIYLCSFITSIFLQELEWNNHRLKAIFSVGGLLWLFCSAVVLSLPINMNVFMYILSVVIGIANAFMMVTSVGMESELVDKEVEGSAFVYGSLGFVEKVLCGVMLYILESYESVTPASCNPAYPCFTVTRFSLGFIPGVAALVGVIVTCFTKFRTSLPEPFTEPLLT >Solyc06g083260.3.1 pep chromosome:SL3.0:6:48791932:48797170:-1 gene:Solyc06g083260.3 transcript:Solyc06g083260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANFWISSQYKELLDQEEVDVVHQLDKERGITLDDFKLIKLHMSNYVARLAQNVKVRQRVVATAITYMRRVYVRRSMTEYDPRLVAPTCLYLASKAEESTVQARLLVFYIKKLYSDEKYKYEIKDILDMEMKVLEALNYYLVVYHPYRSLAQFLQDAGMNDATQLTWGLINDTYKMDLILIHPPHLITLACIYIASVLKDKETTAWFEELRVDMNVVKNIAMEILDYYDGHRSISDERVNAAMSKLAGR >Solyc02g091620.2.1 pep chromosome:SL3.0:2:53500271:53524249:1 gene:Solyc02g091620.2 transcript:Solyc02g091620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKRFRLRVAKLHFLPCSQRNLLESAFSREIQSSQNILCRSASEKHDPESRFLCQSKSSSRSIGSPAHCTAGVNWKSKSSAQPLSSNILPREDDFLDNKFSASVRSNYKSDCEAGSGWEDMIFKQL >Solyc02g088550.3.1 pep chromosome:SL3.0:2:51233389:51243280:-1 gene:Solyc02g088550.3 transcript:Solyc02g088550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSVEIMATSKPQRTPAEIEDIILRKILLVSLVDSMENDTRVVYLEMTAAEILSEGKGLRLSRDLMERVLIDRLSGNFVSAEPPFQYLVNCYRRAHEEGKKIASMKDKNVRSEMELVVKQVKRLAVSYCRIHLGNPDMFPNWDTAPANVSPLLPLLFSEVSSSVDVFGGSSGSGGVSSPPGFLDELLKDADFDSMDPILKQLYEDLRGTVLKVSALGNFQQPLRALLFLVKYPVGAKCLVNHPWWIPNSVYMNGRVIEMTSILGPFFHVSALPDHAIFKSQPDVGQQCFSESATRRPADLLSSFTTIKTVMNNLYDGLAEVLMSLLKNSTIRENVLGYLAAVINKNSSRAQLQVDPLSCASSGMFVNLSAVMLRLCEPFLDANLTKRDKIDPQYVFSSTRLELRGLTAMHASSEEVSDWINQNNPGKVDVAKEGSDGENRLLASQEATSSGNDSGGPSILQYNNPISSSSEKAKYPFICECFFMTARVLNLGLLKAFSDFKHLVQDISRSEDNLSTMKTMLEQTPSPQLQQEISRLEKDLESYSQEKLCYEAQILRDGGLLQRALSFYRLMVVWLVGLVGGFKMPLPCPCPMEFASMPEHFVEDAMELLIFASRIPRALDGVLLDDFMNFIIMFMASPEYIRNPYLRAKMVEVLNCWMPRRSGSTATSTLFEGHRLSLEYLVKNLLKLYVDIEFTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRNAWRQIAKEEEKGVYLNFLNFLINDSIYLLDESLNKILELKELEAEMSNTAEWEQRPAQERQERTRLFHSQENIIRIDMKLANEDVSLLAFTSEQITVPFLLPEMVERVASMLNYFLLQLVGPQRKSLSLKDPEKYEFRPKELLKQIVKIYVHLARGDKEKIFPAAIIRDGRSYSDQIFSAAADVLRRIGEDMRIIQEFIDLGAKAKIAASEAMDAEAALGDIPDEFLDPIQYTLMKDPVILPSSRITVDRPVIQRHLLSDSTDPFNRSHLTADMLIPDTELKAKIEEFIRSHELKKPGEDLNLQHTKTTIQTTDTSNLIE >Solyc05g008850.3.1 pep chromosome:SL3.0:5:3078325:3079636:1 gene:Solyc05g008850.3 transcript:Solyc05g008850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNSSEYLLNHATTLPSVYYQTNNKENGNFIDLGLSLRALQPEAYYPSTHGGYDELIDWQHLHPQLSKNSRSEYPTNFNNYDDESEGIQSKERWEYVKVNMDGVIVGRKICLLEHSSYSSIATQLEDMFGKQNMDGLRLFQDGSEFSLFYKDRNDQWRIVGDVPWK >Solyc10g079730.2.1 pep chromosome:SL3.0:10:61344575:61363515:-1 gene:Solyc10g079730.2 transcript:Solyc10g079730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPFIFPPNLYSLEEEDSDSNCLSVQNPTSLSNLRPSELEEFVKGVSFDLSDKELFCVEEHDVFDRVYSLVKEFSCLTPGCKLNLVESLRSNLSVLLPTVDSLLRVSQQKDGNADEEKNDSEEDECSLADRVASYRNAFKIYTFFLIHIVLIEESTSSSNNSTKVVASSRKKQLVSAWNWEPQRARILNSVANSLEINLSMLFGSSDPDENYLSFIVKNAFSLFENAAVLKDSDTKDALTRIIGTCATKYHYAAQSCASILHLVHKLDFAVSHLADAVAWAEKKYADGSMASSLIREIGRTAPKDYVKDTVGAENVGRFLVELADRMPKLISTNIGLLIPHFGGESYKMRNALVGVLGKLVMKAFDDGEGEVSSKSIRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGMWNEVAEVAAGRLEDKSAIVRKSALNLLIMMLQHNPFGPQLRAASFEATLEQYKKKLDDLGPKAQPTSVLDGLSSCDEISNEDGEVLNVGEEMNKEQSDSLTDSCLPHEEDMIGQTDDSVPDFGNLEQTRTLVASLEAGLRFSNCVSATMPTLVQLMASSSATDVENTILLLMRCRQFQIDGSEACLRKMLPLVFSQDKAIYEAVENAFITIYVRKSPEETAKNLLNLATDTNIGDLASLEFLIGALMSKGDLTSSTLSALWDFFCFNIAGTTAEQSRGALSILCMAAKTSNSVLSSHLQDIIDIGFGRWAKVEPLLARTACLALQRLSEEDKKKLLNTNGNRVFSILESLVTGFWLPEHIWYAAADRAIASIYTIHPYPDKMAADLVKKALRSVFDCSGGDELQNGSSNMLTTVQVTKLSRLLFVVSHVALNQLVYIESWVRKIQKDKAKREKMITEDKGDSTDNTGPPKDNGINAELGLAASEDAFLDTLSERAEKEIVSGRSCERNLIGHCAPFLSKLCRNYSLMQKYPELQASGMLALCRFMIIDVDFCEANLQLLFTVVENAPSETVRSNCTVALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAICLEDEDERISNLAKLFFHELSKKGNNPVYNLLPDILGKLSVQNLKEESFCNIMQFLIASIKKDKQMEALVEKLCNRFSGVTGEKMYGVLVVCILQGYLFYIRLCEYISYCLSQLSYTDKSMRKLIELFKTYEHALSEDSVMDNFRTIINKGKKFAKPELKSCIEEFEEKLNKYHIERKEQELTAKNAQSHQQKVESLESIKVTVKEEEEINESEISEDSEVTNPSMGAQTECSPSEPACAESEANSHASSEVTDSVIDENEVQSPTSRTRGATKSRAKSSSRSDQSLDTSNSTRRITRSRRSCFAYWVFLIFCCVSEECLKLSNLRISGVLNGLVVNEGKLPVNFPVTFGCMNGKGILIEKKFQLNCSRDKEMDLTASALIDSAAAECMGEMVELPPRVEAREAKEPSVSTMLMNFSNDFDPYGALSTPLYQTSTFKQPSATENGQYDYTRSGNPTRDALEKLLAELEKADRAFCFTSGMAALAAVTRLVKAGEEIVAGDDIYGGSDRLLSQVVPKAGIVVKRVDTTNLDEVASVIKPRTKLVWLESPTNPRQQICDIRKIAKIAHAHGALVLVDNSIMSPVLSHPLELGADIVMHSATKFISGHSDLMAGVLAVRGESLAKEVYFLQNAEGAGLAPFDCWLCLRGIKTMALRENAQKIAEFLSSHPRVKKVNYAGLPNHPGRSLHFSQATGAGSVLSFLTGSLALSKHVAEATKYFSITVSFGSVKSLISLPCFMSHASIPVEVREARGLTEDLVRISVGIEDVNDLIDDLDYALKTGPA >Solyc02g011990.1.1 pep chromosome:SL3.0:2:14179776:14181961:-1 gene:Solyc02g011990.1 transcript:Solyc02g011990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFNTGRIHINQLSNHSRDFMGYLSSARLNHSMMIHTLLTATSVFIIAFIDACPADIDGIREPFSRFLLYENNIISGAIIPTSAAIAPVRSATTIFLIYPIGQANFSDGMPLGISCTFNFMIVFQAEYNILMHPFHMLGVARVFDGSLFSATYDAHGCFGLLIFQYASFNKSRSLHFFLAARPVLGIWFTALGISTMAFNLNCFNFNQSVLDSQCRVINTWADIINRANLSMKVMHERNANNFPLDLAAIEPHLQMDKILT >Solyc02g085380.3.1 pep chromosome:SL3.0:2:48944743:48948291:1 gene:Solyc02g085380.3 transcript:Solyc02g085380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPRADRELELLIPMGGVENINASHSKSSSPSHSPKIPSSSRSHHSSGKEAFSKVIRSWTWKKFISGCVILFPIAITFYITWWFIHFVDAFFSPIYNHLGINVFGLGFVTSMTFIFFVGVFMSSWLGASLLSLGEWFIKKMPLMSYIYSASKQISSAISPDKTSHAFKEVAIIRHPRLGEYAFGFITSTVILHKHSGAEELCCVYVPTNHLYLGDIFLVNSKDVMRPNLSVREGIEIVISGGMAVPKVLNIQEEQSILSPRVGKFAVPQV >Solyc06g068260.2.1 pep chromosome:SL3.0:6:42420319:42421713:-1 gene:Solyc06g068260.2 transcript:Solyc06g068260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSVPLSEVLLSKRVQEMVLHGEEPLGPYICRSGEDDEKEDIMDTSPIIDQNLLSSSTPSDNEREQGLDKLGSALSSWGCFQDIPNTVSEYVLLCNFLDIQRVLFDEQGIGHGIQISFLDKIRQVSREFFKQPMEEKNKYAKSVDDFQGYGADPVPEQGQSLDWFDRLFLEVFPENRREYNLWPQIPISFREVLEDYSEKMKMVTEITSKPMAKSLKLEENCFLEQFGKQAQFDARFNYYSPCQRPDLVLGLKPHADGTGYSIILQDEVGLQVLKDGKWYTVPKDPTALFVLMGDQMEVYINTLSYKKRTKKFGLKALK >Solyc05g052170.3.1 pep chromosome:SL3.0:5:63308196:63312484:1 gene:Solyc05g052170.3 transcript:Solyc05g052170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAAVNGGYLPSKFRRFTQPTVSQIRPTPPPLFISTNPNDVNPIHLRDLYTACNHSCHRFPKLNSEGRVEPVDIDKLRKALLHSYVVASVFTRPEFVPDLAPENVSGSGLTGIGGDWIGKVVPVTPGNGELVGFGRAVSDSGLTAAIYDVM >Solyc04g079270.3.1 pep chromosome:SL3.0:4:63869446:63874320:-1 gene:Solyc04g079270.3 transcript:Solyc04g079270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFRRLSSERVPIRVHDVIIQGNTKTKESLIEAEMEALKSATTLQELLKAASIANARLQHLDIFDSVKITLDSGPPELPGTTNVVVEIVESENPLTGSVGVFSKPEARAWSLEGSLKLKNLFGYGDIWDGSLAYGLDLTSEVSAGVSLPRFKKLITPVTARLSLLSQDWLKFSSYKERALGLSLGLLSSRSHDLSYSLAWRTLADPSQMSSRAVRRQLGHSLISALKYTFKIDRRNSPLRPTRGYAFLSSSQIGGLLPDHRGLRFLRQELDFRYALPLGFYNAAFNIGISAGVTVPWGSGFLSRPTYLPEKFFLGGNSSPVCALGGPSSLLGFKTRGLGPAEPRRQVTENSNDESSDASSAIDFVGGDLAVTAFADLSFDLPLRVLREAGIHGHAFACTGSLNKLTENAYKDLSLQKFKESFRASAGFGVIVPTKLFRMEVNYCYILKQQEHDRGKTGVQFSFSSSF >Solyc11g020340.1.1.1 pep chromosome:SL3.0:11:10884687:10885187:1 gene:Solyc11g020340.1 transcript:Solyc11g020340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPLSPYFSLPFVPSSLVSIPISLSGQALSTSLGNTNDLPFASPCSTNFDPIFSPTFSSSASLAATNIINLVTIKFQYVEDYLMWRTRFTSLLISHDLLKFVDGSFKPPSQFICDSFVNQQPNPNYRSWLRVNQNVRSWIFATLSREVLVDVHLLPTSRDIWCL >Solyc09g010385.1.1 pep chromosome:SL3.0:9:3766940:3768239:1 gene:Solyc09g010385.1 transcript:Solyc09g010385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLSCLLKLLKWQSIKSGKKEKNAFYTFITQLSRGSVTFSAVFDDMIGFYFDGIVLFDASVLKANICFSHAYGDSYVLLIP >Solyc01g017500.2.1.1 pep chromosome:SL3.0:1:23877212:23879095:1 gene:Solyc01g017500.2 transcript:Solyc01g017500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGFSIREETWGPPRDRLDLTSESKVQSTLDLTRIALSILLRRSLV >Solyc03g083195.1.1 pep chromosome:SL3.0:3:54463027:54466790:1 gene:Solyc03g083195.1 transcript:Solyc03g083195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNFDSKVNINFAIKIVVPHSSLQRRSLALLEISGNSTMEDEQQRMSIDNEEDMAYASDNVMQTWCHSILSNQILNLRCPTWEKLEDAIKETGLQIKHHDDNIKFLEGQKNRLDDSILDLEAAISVQLQKHTGAQITNIPFMKDIIGIVALLGKVYDDNLSSPCSRDYSTRSFCPNQDYSMWLPDGEDLRQIV >Solyc08g006800.2.1 pep chromosome:SL3.0:8:1349384:1353926:1 gene:Solyc08g006800.2 transcript:Solyc08g006800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVRGNNEEWRKMADTHKMSPEEVKKAGVESSRRPPGHNPGTILHQRGRLPYSITTMTIVGLGIAGAIWYGTMYAMKKPEASAVDVAKVATGVGGPKDTHPRK >Solyc04g016040.1.1.1 pep chromosome:SL3.0:4:6708556:6708708:1 gene:Solyc04g016040.1 transcript:Solyc04g016040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGYAKCNRAFCYDNKNKDNIGAIRQNLFDSIKFEKGLMEIHPAKSHCL >Solyc02g024005.1.1 pep chromosome:SL3.0:2:25254820:25256274:-1 gene:Solyc02g024005.1 transcript:Solyc02g024005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPPQIVSYFSLFNPEPKSVISSVPDTMPPVSSNTPTPFSSYDCNPNHSPRSPSPVSPYHSLIIDTFIRESSMNTKTPSYLKDYVCNALQLTISVPFVSLVLSFSFTNVSPTDQAMLDPSWKDATRQELAAFELNKTWLADLFTESLTGPTRHSLLHKLGVSSPPT >Solyc01g006200.3.1.1 pep chromosome:SL3.0:1:829576:832645:1 gene:Solyc01g006200.3 transcript:Solyc01g006200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCLSLKCLIDCTTRACGRRAAFLYHNDQSSEIDDIVVWKTRQKKLTKQPS >Solyc02g086780.3.1 pep chromosome:SL3.0:2:50034073:50036558:1 gene:Solyc02g086780.3 transcript:Solyc02g086780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPVQQKKISLDVKGIKTDIVICAYDDHFMAIATQIGSMGTILQARKEEGVSIHPTFSVSVLLGKRDEPMLVACARQIIEHISNAGSSRSLVLSLGLRDHSLPTLKGIVSAVTENCLW >Solyc02g082430.3.1 pep chromosome:SL3.0:2:46671346:46678842:-1 gene:Solyc02g082430.3 transcript:Solyc02g082430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MLO-like protein [Source:UniProtKB/TrEMBL;Acc:K4BAB3] MAGGGDGTSRQLDQTPTWAVAGVCAVIILISIALEKILHKLGTWLTDRHKKALFEALEKVKAELMILGFISLTLVFSQYYIAGICIPPSVADTMLPCPANNKDAAKEEEHRRKLLWYERRILAGAEPKCKEGRVPLVTVEALHQIHILIFFLAVLHVLYSAITMWLGRLKIRGWKGWEQETSTHSYEFTNDPSRFRLTHETSFVRAHTSFWTRIPIFFYIGCFFRQFFKSVSKSDYLALRNGFISVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWASFVLFLLLNVSGWQALFWASLIPLIIILAVGTKLQAVLTRMALDIKERHAVVQGIPLVQASDKYFWFGRPRLVLHLIHFALFQNAFQITYFLWIWYEYGLKSCFHEAFELVIAKIVIGVGVLFLCSYITLPLYALITQMGSHMKKSIFDEQTSKALKKWHMAVKKRTGARGDRSPTRTLGNASPRSAMSSPVHPSGPGLHRYKTTGHSSRFQGYSDQEASDLENDPTTPMTRAEIATTHIDHDDTEIHVHIPQNGESTRNEDDFSFVKPAPQR >Solyc05g013400.3.1 pep chromosome:SL3.0:5:6470152:6474649:-1 gene:Solyc05g013400.3 transcript:Solyc05g013400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFSKISNIRPFSLALVSLLKSGFTPTATQFNQFLFFLSKSKRFKLIIHLVKSNQFKGDSKTRRIFIEALVKEDKYDEAVQCLKEKNTQMEKRLFDSLIQPLCKRNPEKALSILQDCSVSNGVLLSSYAFSSLIYCLCSQGKMDEAIQVLDLMNNEKNKYPFDNFVCSCVISGFLSVGKAELAVKFFENAVSLGYLKPNVVTCTGLLSAYCRLGRIDEVSDLLAQMQIYGLELDVVFYSNWIYGYFREGAIEEALCRHSEMVCRRIELDTISYTILIDGFSKEGHVEKAVGFLYAMRKRGLQPNLVTLTAVILGFCKKGKLSEAFAVFKIVEDLQIEADEFIYAVLIDGVCRKGDIERAFELLGEMEKKGIKPSVVTYNTIINGLCKVGRMIEADDVSKGIPGDIITYSTLLHGYMQEENVAGMLETKNRVEAADVSLDITMCNLLIKGLFMMGLFEDALAIYKKISDMGLTSNYVTYCTMIEGYSKVGMLDEALEIFDEYRKASITSAACYNCTIQGLCENDMPDMAVEVFVELIDRGLPLSTRIYMILIKKIFGVKGADGVVDLFQRLGRIEHENFGLLCNDAVSFLCNKGLSEAAFDLLMVIQSNAFVLSKNSYYLIMRSLLYGGKTFLTGLLLTTFIKNYGMFELREKEILVYFLCIKNVETAVRFLATMKGDVSRVTFPAIILRTLTKGGRYLDAFDLVMGAGDKLPLLDVVDYSIVIDGLCKGGHIDRALDLCNFAKNKGISFNIITYNSVINGLCRQGCVVEAFRLFDSLEKNNIVPSEITYGILINTLSKEGLLEDATRLFEEMSLKDLRPNTHIYNSLIDGCSKSGQVQETLKLLLDLQAKGLTPDEFTVGAVLNSYCQKGDMEGALGFFSEFKMRGTLPDFLGFMYLVRGLCDKGRMEESRCILREMFQSKSVIDLLDRVESEIGTESIRSFLSLLCEQGSVQEAVNILNEVVTMFFPVREKRADSKDSPCKYKIDIDSRSCESRKLVKASHNCHSQDTQITQFLDFNSYYSCIALLCAKGEYDNANEVAKIVTDFREWVIFGFPLEDGLLLYGCSGYLGIEDWSERCYDCMCLGESGKFARHLSSIFTSTQQMQKDKP >Solyc07g032625.1.1 pep chromosome:SL3.0:7:40705213:40713934:1 gene:Solyc07g032625.1 transcript:Solyc07g032625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRCLHDCGGSLTTVVKESPAESANLSTISPSSQNPLQDLLQKAGLEKCTSQPTPMAVSSSTKGADTPFAYITHFRSLIGALEYLDITCPDIQFVVNRVAQRMHQPSDMITIV >Solyc05g050480.1.1 pep chromosome:SL3.0:5:61480033:61481338:1 gene:Solyc05g050480.1 transcript:Solyc05g050480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGGSSGGGKLAYRPRPGNIFIDKLTGTKYILEKVIGTCDFHFIYKASYYTAESTIEGRLLPTGYATVKFIEPGYDFYKSKAAEQLVRNSNMIHVERWRIQKFRHGYCVAFPYMSEGSLRYILSTRFQNGLPEDCIAIALKEALLGLFDLHFSGRVHKRFSAGSIYVSFKPRSVLNVEIKLGYATTIYESALETPTVLKRGPETGNQPYLGLKGKNLGGPPILDLSFLPDWAAAPEIFHLNFYDSDNENRNPARSSSRSEIDENYSVKSDIWLVGIAALELAYGNLRISHREELEGLIRKIERSRRLPNKLEDVLEEYHEEEKKGKTKKVMGYLKDKMKLVKYNRMNKFSKEFEELVLDCLSTKESKRPSVGGLLHRPFFRNAKNLQWFQRRVLYAKDPMPYY >Solyc04g005600.2.1 pep chromosome:SL3.0:4:395648:396894:1 gene:Solyc04g005600.2 transcript:Solyc04g005600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCLDKDGLKKGPWTHDEDQKLLAYVDEHGYGSWSDLPLRAGLQRCGRSCRLRWINYLRPNIKRGKFSSEEERTIFQLHALLGNRWSIIASHLPNRSDNEIKNYWNTRLKKRLINMGIDPMTHQPKRDGSNYKSIASLSHMAEWETARLEAEARLNTRTKICDSTTRSTFNNIEENVEVGEDLCIFEDTITKDNDIQTEFSIIEGLDELFPEYGYSQNPGNYSSEVQMDGCFGNFEDNKSTNWNNIAHL >Solyc12g009690.1.1 pep chromosome:SL3.0:12:2940642:2942211:1 gene:Solyc12g009690.1 transcript:Solyc12g009690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKIGLILLNMVGPLFFLMGLMLRGTHINFPSLAILSLSSCELRDFPHLLKNVKTLKFLDISNNKIRGQIPNWFSSPLPSSICYTNKLKFLDLSHNNFSNSIPSCMGSMANLTVLDLRRNNFTGSIPPLCAQSTSLRTIVLNGNQFEGPVPMSLLNCAGLEILDVGKNAIDDTFPAWLGTLQELQVLISKSNKFRGPISTCQAKFCFPKLRIFDVSRNEFSGSLPAKVFGNFKAMIKLDGGDKGETKYMKPLIQNEFSDIRIDKSSRYMIEIVSDEVYKDSLRLVIKGQDIEVERISTIMTTIDLSSNHFEGVIPKTLKELNSLWQLNLSHNNLTGHIPMGSSYISICTSRGSTETTTFSRYTLLVELITLNMLCYYSDSLLNT >Solyc02g079790.3.1 pep chromosome:SL3.0:2:44791847:44792841:1 gene:Solyc02g079790.3 transcript:Solyc02g079790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIYTLFLSIFLFSFTISSTSIHELLRSRGLPAGLFPKNAVKSYDLDENGHLQVYLDSPCVAKFETRVFFDSVVRANLSYGGLIGVEGLSQEELFLWLPVKDIIVYDPSSGLILFDIGLAHKQMSLSLFEEPPICNPQGVLMEKEGRKEGVWISD >Solyc07g062890.3.1 pep chromosome:SL3.0:7:65651979:65656125:1 gene:Solyc07g062890.3 transcript:Solyc07g062890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRSLRCSSGRAYLFNEVVNITFGEAEERTLLSGMHTVMDIFCVRCGQIVGWKYVKSHEESQKYKEGKFVLEGIRIVEGEFDSEFYIDTRSSSSDDEDGDTV >Solyc02g050190.1.1 pep chromosome:SL3.0:2:4342613:4345513:1 gene:Solyc02g050190.1 transcript:Solyc02g050190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDLLSLSRGPTKYSMRSNGYVANGYRFHAQDYDNKLRTQNCGVVVFGENDEDSENLDYYGVLTNVIELKFIIDQRVILFRCNWSDVYDEIKGVKKDEYDFVSVNPSRFFKTDEAFILANQASQVFYDNDNSNKGWQVVVKTQPRDSFDIVEQMDDDIVELESPSQKKRKRTKEVQDETIEDLSSTRRNGSRRSIFTYHRDCEKCCGPQTRSHVFGFGDGVMAKDLKGETSSKAELLSALRSTRQDIKSLKEENKCLTEENKSLKIGCLP >Solyc12g008430.2.1 pep chromosome:SL3.0:12:1860654:1866813:1 gene:Solyc12g008430.2 transcript:Solyc12g008430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKMKEKSEAVLDMSPKSTVEGGVEDIYGEDCATENQLITPWAFVVSSGYNLLRDPRYNKGLAFTENERDVHYLRGLLPPAIIPQELQEKRLMQSLRRYEVPLNKYVALMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSIFKRSQGLYISLKEKGRILEVLKNWPERKIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEQLLEDEFYIGLRQKRVTGKEYYDFLDEFMKAVKQNYGEKVLVQYEDFANHNAFELLAKYGTTHLVFNDDIQGTAAVVLAGLVASLKLLGGSLADHTFLFFGAGEAGTGIAELIALAISKKSNAPVEEARKNIWLVDSKGLIVNARKESLQAHKKPWAHEHEPVNNLLDTVKAIKPAAIIGTSGVGQTFTKEVIEAMASINKRPLIMALSNPTAQSECTAEEAYTWSEGRAVFASGSPFPSVEYNNKLHIPSQANNCYIFPGFGFGLVMAGAIRVHNDMLLAASEALAGQVTEEHYGKGMIYPPFGNIRKISAHIAANVAAKAYELGEPVSSWI >Solyc06g011270.1.1.1 pep chromosome:SL3.0:6:5816793:5816981:-1 gene:Solyc06g011270.1 transcript:Solyc06g011270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYNRDRGYLFTYIIRSFNFLFSLGLTHFNLILVHDIQLPCALFLPAEGMFQWEIVYLETVL >Solyc09g082950.1.1.1 pep chromosome:SL3.0:9:69062333:69063307:1 gene:Solyc09g082950.1 transcript:Solyc09g082950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGSSSRPPQKTYDLEITIVSAKHLKNVNWHHGDLKPYVIFWVDPDQRRATQADDSGNTRPVWNERFVLHLPQSQSPPHDAVLTLEVFHSKPSETPKPLVGTLRVPLKELVNVDDFNKIRTFELRRPSGRPHGKIRLKLAIRELSPPLDYQIPPPCSYYYSTAPPPPPPSYRAFPSSPYPSHPHPPPSPVVAPPPPASPSPPPPPPQQHSFPYSGFADPYSSYFPGYYSQPPPPPRPFVERQSSYNSLGSRPSAPVDYYPPYDQKRSGKMGTGLGTGLAVGAVAGTLGGLTLGEGLKYEEAKIAERVENNIATRDDYSNYSEY >Solyc12g005940.2.1 pep chromosome:SL3.0:12:563513:566260:-1 gene:Solyc12g005940.2 transcript:Solyc12g005940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFPIINLEKLNGAERVATMEKINDACENWGFFELVNHGIPHEVMDTVEKLTKGHYKKCMEQRFKELVAKKGLEGVEVEVTDMDWESTFFLRHLPSSNISQLPDLDDVYREVMRDFAKRLEKLAEELLDLLCENLGLEKSYLKNTFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGRWIDVPPMRHSIVVNLGDQLEVITNGKYKSVMHRVIAQKDGTRMSLASFYNPGNDALIYPAPALVDKEAEEHNKQVYPKFMFDDYMKLYANLKFQAKEPRFEAMKAMESDPIAIA >Solyc08g005160.1.1.1 pep chromosome:SL3.0:8:104326:104508:-1 gene:Solyc08g005160.1 transcript:Solyc08g005160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENDAHQGKRKFEEESSANSDMKEEEYGSDHDMYVGNSVMDRETREKYWKQVEESDVRA >Solyc01g103490.3.1 pep chromosome:SL3.0:1:91966317:91973854:1 gene:Solyc01g103490.3 transcript:Solyc01g103490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKLSAAEAAKVAIQSIGCGYDISLDLRLKYCKGHPDNSRLIEIDENESGEVVLPGGISIPNVSKSIKCDKGEHTRFGSDVLSFQQMSEQFNQEVSVNGKIPSGLFNTMFEFSGSWQKDAAYTKTLAFDGVFITLYSVALEKSQMVLCDYVKKEVPSTWDPAALARFIEKFGTHVIVGIKMGGKDVIYMKQQHSSSLLPADVQKRLKTMADKRFSGANEQGGVESQQTHQNEKFEIREHRLRFADPNISGSYAHKEDTVCICKRRGGSDSRGLMHNQWLQSVDQEPDVISMSFIPITSLLNGISGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFGDLPLGPQRRHLSFPSLQFSLLGPRLYVNTTPVDVGKRPVTGLRLYLEGRRSNRLAVHLQHLSSLPKVFQLEDDPKGNFRRESYDRKYYEKVQWKNFSHVCTAPVESDEDLNIVTGAQLELGDYGFKKVLFLRLRFSTVMGATMVKHAEWDGSPGLARKSGLISTLISQHFTSVQKPPPRPDDVNINSAIYPGGPPVPVQAPKLLKFVDTTEMTRGPQEPPGYWVVSGARLMVEKGRISVRVKYSLLTVIQPDDEISE >Solyc12g044895.1.1 pep chromosome:SL3.0:12:61185081:61185519:-1 gene:Solyc12g044895.1 transcript:Solyc12g044895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIASDRQTKAEISSLVGLICFFVTPVYFVGYIVDTLFVAFSNTSGRMLPEILPTASLEHKAIIGSEPICLEFETSGEAILPLHHMVKRSK >Solyc07g008070.1.1.1 pep chromosome:SL3.0:7:2775782:2776894:-1 gene:Solyc07g008070.1 transcript:Solyc07g008070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQTPTPSSTITADSSLSSQPLHSSSPNNNNNNHILEITLISAQDLSPVCKSLRTYALTWVNPNRKRTTRIDNHGHNNPNWNDKFSFRVDDEFLISDSSAIHVEIYTVSWFRDILVGTVKVILNNLVNPFENTSNSSKKFVALQIRRPSGNPQGILNMGVSLIDKSKRSMPLFSEITPLSMDHRDILDRKINDINAQDEMINNNHNDTDEKLKITNKVQIWQSHNLAYSEINNGEFPNQAGSIVNGSELCSDIGPSASIVAAETAKKLQPMLPQRVASNRGNEDGESSILGELTAEEAYAKGLEETKRGRGGHTRDGGLYSCFGNAYCFEFTIVCGAGNNNNNNNNNQGNRRVNNSNSSTGKSRKKTYSA >Solyc08g075720.2.1 pep chromosome:SL3.0:8:59966619:59970443:-1 gene:Solyc08g075720.2 transcript:Solyc08g075720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIRSVLWAANAFKLRSSDHPQAFRTFSTLPNFGIQGKTESKIYSNQNSISGTNGCSTCNVGRRCFLHSGSPKEAEMGNNYRPMDFVRGLTEDNARRFPSGAPLSRYHIEQDADIVHIKVLRNNAFVTVTDSKGNKKFGASAGKLTGKGGKVARYSAESTAEHVGREARDRGLGSVVMKVNGFTYFKKKKQAILSFREGYTHSRGDKNPVVFIEDTTRKPHNGSYVLLTVTDTLK >Solyc01g050045.1.1 pep chromosome:SL3.0:1:48243969:48244821:-1 gene:Solyc01g050045.1 transcript:Solyc01g050045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGMIKIKKVLKVKVKKHHGMSHQGINQEVTIQHRNHPQGGNQWEANVKHEVVQESREEYGSGYHRGNPRGYHYNDQGLDGDRDTFKRECHPDAYLEWESQCDMIFNVNKLAEVKSSCNAIAQSSEDRPPPSTNLKRLMRTMYVPERYRQRLLAKLYNLRQGSKSFEAYHDEFQNIVTKLEHREIKEHVVIHFKVGLNKEISSKMSLHRFATLNDSFEAAHEIELEFKKKKMSEFKT >Solyc06g053625.1.1.1 pep chromosome:SL3.0:6:36544693:36545188:-1 gene:Solyc06g053625.1 transcript:Solyc06g053625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLKRNYCVGGELGRGRFGTVFKCYSSATGELFAVKSIDKRLIADDAIDRQCLYNEAKIMHLLSPNPNVVRVFDIYEDDTHLDMVLELCNSGDLFQRLSSQPIFSESDAVDVMVLFQITKISLVADQNGEILLLLRKMA >Solyc02g070250.3.1 pep chromosome:SL3.0:2:40573836:40579104:-1 gene:Solyc02g070250.3 transcript:Solyc02g070250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KIFSVIQSKSLSALEERGIPKLDLFTQYIRTGPDRTGITTKKEFRRHHGADSMANHVAEEKPLRKMAEAFKDLANTLNSQTLDEAAKMEVAPFSHACTLVSPLFRCLGIAFKFAELDYVAKVNDLAEASKSITTLHTMMDQDIQANCVRKAGSHTRNLLRVKRGLDMVKVLFEEIIASEGNSLKDPASKAYTQVFAPYHGWAIRKAVSAGMYALPTRQQLMIKLNEDEDSARTQMQNYVASCDTVILYIDKLFTSRDLGTDW >Solyc03g013110.2.1 pep chromosome:SL3.0:3:48936665:48937693:1 gene:Solyc03g013110.2 transcript:Solyc03g013110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSYIWNTFQQQNCPADRKNVPKVSLFIDDMRGVAFAINNEIHVSARLLLDVKREITCVLYHESAHIWQWNGTCKALGRLIEQIANYVKLKAGLGPSHWVKPG >Solyc08g013690.2.1 pep chromosome:SL3.0:8:3155571:3164841:1 gene:Solyc08g013690.2 transcript:Solyc08g013690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFFGSVVGEEEASSSSYNSQQLKRPSSSTTTELMLMDSSSTCDALVEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNNKGGLLLNFEDSNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDVVSFQRGAGELSKHRLFIDWRRRPHHENGNNQFMLPHQFSDGRLFYYPTTFSSNLLLEGGSNIVHPPNYYNPQSYMYEIGNRSYNISNGTVINANPLKNEIRWGGCSSTTTTGNYVEPRVFDSVPVVQGKVAAKRFRLFGVNMDCPMDQDHPDTSSIPATTTLMLMDSSSTCDALVEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNDKGGLLLNFEDSNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDVVSFQRGAGELSKHRLFIDWRRRPHHENGNNQFMLPHQFSDGRIFPLQSYPTTFSRNYYNNPPHSYYMYGIGNSSASTPYYSFNNNTSVMVNASHFDHNLMKSTSVEEPRVFNSVPVVQGKVAAKRFRLFGVNMDYPTPSEESSEKGKSS >Solyc07g018380.1.1.1 pep chromosome:SL3.0:7:10447190:10447390:1 gene:Solyc07g018380.1 transcript:Solyc07g018380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGKVHCLGVLTSSHSVVIIVSCSSSLLIVSCSSIVVLFGHYTVFLLFVALLMIKSQVQHLSFDN >Solyc01g057080.1.1.1 pep chromosome:SL3.0:1:58284094:58284435:-1 gene:Solyc01g057080.1 transcript:Solyc01g057080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGAKYRGIRKRKWGKWVSEIRVPGSSERLWLGTYTSPEAAAVAHDIAYYCLRPESSSSLHKLNFPSMLPPNVQPGMSPTSVQKVASDAAMAIDAQFLTTPTPAQQHADNYG >Solyc01g097735.1.1 pep chromosome:SL3.0:1:88331555:88332733:1 gene:Solyc01g097735.1 transcript:Solyc01g097735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSVFMPPNSEIEFTNHKTRSTSLQSAEKKQRPKEKLLKFQLGRNSSSVLSLEGLSSSVHVLAGDSLWNTRAIKMHKLA >Solyc10g047087.1.1 pep chromosome:SL3.0:10:39611733:39612438:-1 gene:Solyc10g047087.1 transcript:Solyc10g047087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGIFNDINDSKGRVKKTHTLRVRCGRRSFHLQKSRCSACAYRPAHKRDTIGSQKKTTTTDHMRYLYNVPRRFLANFKEGTKAPPRKKGVFKE >Solyc01g098050.1.1.1 pep chromosome:SL3.0:1:88527439:88527921:-1 gene:Solyc01g098050.1 transcript:Solyc01g098050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGKKKIEIEKIIKETSRMVTFSKRRKGLFKKAKQFESMTGSRVASIVLSPTGRPYTCGDVDYAIRTHFSNSGRCMKLLITDIMNSHDSNSSSNVVVYGETSRSKFSSAPKKNSLHNWVKRIDVEQCQNLNWLLMLKQQLEGTKEKIGEDVESFKAFFV >Solyc11g068530.1.1.1 pep chromosome:SL3.0:11:53520929:53521906:1 gene:Solyc11g068530.1 transcript:Solyc11g068530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAACGSLQHIFEKPLPENPSLIESISSSWNQSKSLKHIDDSSFTEIFGELHFKENNCVTVSTSSSFSSSSVGSSSSSFSSCLPLSSASSSFSSTSSSFFLDAAIHQSEIEGLDNNKDKYERNSKSPVSSYSKITSNKSKQDRHNDSLSSRTSDSLSMCTEGLGFESSDDVEDLMNDLRNEDLPHNHQQEQEQEQKQQGRRTRISCRLENRVFFNQDYNSKRSRTKKRSSFPPPISCIGRSGKPWVCFKSFREDGRFILKEIRIPTQEFLHACREDGRLMMHVIQSDDEIVDEDEDDEDEDEDDDDDGDNNDENNVEEGHDNKHV >Solyc03g095802.1.1 pep chromosome:SL3.0:3:58574364:58574738:-1 gene:Solyc03g095802.1 transcript:Solyc03g095802.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNKRSCQYPISNVVIYTTSTITEPDTYLDIVKVPLWIDTMKEEIIDLEDNKTWKVFPLPQGQKFIGCRWVYKVQYKAYGHTERYKESLAAKTYILPKDFFTPSKNDDC >Solyc02g067670.3.1 pep chromosome:SL3.0:2:38370484:38380239:1 gene:Solyc02g067670.3 transcript:Solyc02g067670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQGLWDLIAPVGRRVSVETLSGKKLAIDASIWIIQFMKAMRDEKGEMVRNAHILGFFRRICKLLYLRTKPVFVFDGGTPALKRRTVIARRRQRENAQAKIRKTAEKLLLNHLKAMRLKELSVDLENQRKLNDAKGKKVITEATGMMENMAEGNALGVENYDKEALDEMLAASIQAEEDWNFADDASTSCAAAPAENDNTDEDEEMILPDTQGKVDPSVLAALPPSMQLDLLGQMRERLMAENRQKYQKVKKAPEKFSELQIQSYLKTVAFRREIGEVQKAAAGRGIGGVRTSRIASEANREFIFSSSFTGDKDVLASAGEDQTSKKSSEVQTENNLANAASDASTRKSSSVLESIVSEPETAFNDDVETYLDERGHLRVSRLRAMGVRMTRDLQRNLDLMKEIEEENVSRNKDFSDVPTVSDTDVHTPVIVSDTISHLNSSNPDDDGKACLNNKNEQSELRSGTTIQISFEDNFEHDCANDDDDIFASLVAGDPTMEFLMDHSPSKKQSLDSASDVEWEEGVIEKKGDLLSNNSQGERQAPLEIDGMDDEAEVEWEEGCVDICEDPPLLPSDSKSAYKGALEEEANYQEAVRRSLEDMKDHRYIDKSHEKEMSEEAIQIAAQGISSESFGQENYCPTVHKILQQKDLPSEIQTADLHDTVHEMDIAGSNKSLGSHMGEQFQANSGYGNMQIEKANSHADRNLQIEKATSHTNRNLHCDIHMEPTIPLDGSEVDMTKKKIADTTVGVSCNNNTQTAHHYTHETTEITKAFTEGFTTDINSAQNLDEEGACDDPLFERIGNLDSASTKEDQKVMMASLEEEMHVLDKEREKLGDEQRKLERNAESVSSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELTDLVDGVVTDDSDAFLFGARSVYKNIFDDRKYVETYFMKDVESELGLDREKIIRMALLLGSDYTEGVSGIGIVNAIEVVNAFPEEDGLQKFREWVESPDPSILGGLDSQVGSNSRKRGCKGGDPDMSCSTSNLEGNAASEDRAEKSRQSFMNKHRNISKNWHIPSSFPSNAVISAYTSPRVDKSTEPFAWGKPDVSVLRKVCWEKFGWSSQKADELLVPVLKEYNKHETQLRLEAFYSFNERFAKIRSKRINKAVKYMTGNKSSDLMDGSAQDAPGICKKRVVKSNDMNEEKMEDPPRGHESAGADYEETTTKRSVGKQSRKRKGGHLQTEHLEPPEGAGSKRNTSKKSSGSIGGRKETARSVWKAGKNSSRSSKISSEGEKDSDIEQQSQIEKPEKTNQTRRILIALVLMSSHALFSVPLTSTEYPLRIKVDIPFSQSQRHRKIVNYSEKRDDESDKDDGDSTAEKLERREAGVDVDVAERYPADSSKMNENDASNDYCPQELPNLETNAGGAEMESTAQPIFDETYDPIPGDLLSKEYLKMGGGFCLEENDGDMEHEINASSPILSVEGSDIYNSSQLLGDENNGNASNQLISSPSRKTSEKQCEAGIGASEIEQDLHNTTNITCNDVSPHLENMGKNDYVSSSVFLRAMPNLRKRKKNS >Solyc02g014850.1.1 pep chromosome:SL3.0:2:19210244:19210574:-1 gene:Solyc02g014850.1 transcript:Solyc02g014850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYHLSRGQECLARIKELLRWWQQWWFRGYDFIYWFNNCALINCPSELMKRNSIRLDRLGDKCPQ >Solyc03g020070.3.1.1 pep chromosome:SL3.0:3:62629088:62629630:1 gene:Solyc03g020070.3 transcript:Solyc03g020070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMPSLVLRNVVILGLGYAHVHKEVRKIPYAQTVVQVLKVAIIIVLMELLFVKDNLTLETQKLALEIVIHILPIQSVPVQEERRLFIPLDVPRVARDTKVATISVKMASLFVKERVMNPRYVRTLECDPRVAYMICPSSKLAKLNRVCVNCCTAGDGCKLYGYDGSLICTGEPQSYISTA >Solyc05g015570.1.1 pep chromosome:SL3.0:5:11437937:11438472:-1 gene:Solyc05g015570.1 transcript:Solyc05g015570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNHFVSMLKECFPEDGEENNALTISLAYSPDRGTPRKTTNNLRNNDFDVKAHACKRKRKDLQTCCNINHRQMYMASTTLVRGRVIRIGTPVRGRGIGIGRASTTTSSPARRIGRGEVIQQFKHQEVTGV >Solyc09g056350.3.1 pep chromosome:SL3.0:9:49014803:49037491:-1 gene:Solyc09g056350.3 transcript:Solyc09g056350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLQRRVESWIRGQKSKMLKITWPQQWKMVVRWPWADAREQRKLMEDEFKRRKKQLEDLCHAVKAESVADLHDILCCMVLSECVYKRPDAEMVRAVNKFKADFGGEVVSLERVQPSSDHVPHRYLLAEAGDTLFASFIGTKQYKDVMADVNIFQGALFHEDAVEDIHGLEPIESGQVDTQRSNRESHYKISKSKTRPSNLTQKPAAHRGFMARAKGIPALELYRLAQKKKRRLVLCGHSLGGAVAVLATLAILRVFAASSKDNEKVQVKCITFSQPPVGNAALRDYVNEKGWQQYFKTYCIPEDLVPRILSPAYFHHYNARPLPIPSDGGASVSMSKSSELSLLKQKIEKPKDDEREQLVLGVGPVQNSFWRLSRLVPLEGVRKQLYRYRGKKVEPLETPTDSDSIASVNDIADTPQSLEIQEGSDGISLRLLPTDQDILGEGNLGKSVAESNVNNGDKRGWRRMPYLPLYVPFGQLYLLENSSVEFLSGAEYSKLTSVRSVLAEVKERFQSHSMKSYRFRFQRIYELCMSDDTIPFLGIEQVQQFPQLQKWLGISVGGTVDLGHIVESPVIHTATSLVPLGWSGIPSGKNTDPFKVDISGFGLHLCTLVEARVNGRWCSTSVESFPSSPVHSPDHGEQSEVQNMRVLVGGPLKRPPKHHMVEDIPMFSSIDSSYIDTKLKQNVFKVEGRNLVLPDGLDDFVIYCTTDFSTVWKEVNLRTRRVKLIGLEGSGKTSLLKAILDRGRRAHTESIENLNADDDVQEGIAGGLCYSDSTGVNLQNLNMEATHFRDDLWKGIRDLCKKTDLIILVHNLSHKIPRYNDSNALQPQPAMCLLLNEAKSLGIPWILAITNKFSVSAHQQKVAINAVVKAYQASPSTTEVVNSCPYVTSSAAGASQSWYTEGKDPEWMFGAQKLIFAPLELVRRPFQKKTAVLPIDGVSALCELVHRVLRSQEEAALLEFARDRLFVELARERAVEIQDAQTKVNPLNAAAVGASLGAGLGLVLAVVMGAASALRKP >Solyc11g056510.1.1.1 pep chromosome:SL3.0:11:45702272:45702652:1 gene:Solyc11g056510.1 transcript:Solyc11g056510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKQERRSGICYRSKALITYSLSHLLHSQFGKVRVGESWKSICHLCDASMTSKSVALVRWIKPPLLFVKLNSDGRCRDGICGGGGVVRDSMGALIMAYSIPLGAGTSNWAEAKAMLFGLKCCIERR >Solyc09g082900.3.1 pep chromosome:SL3.0:9:69005462:69008785:1 gene:Solyc09g082900.3 transcript:Solyc09g082900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEMGNTNSSVDHQENVAVDVQQKGEDTGNVDGVKEENTIVPEGETKYNHEKDAALPTFDTSTDKEPQLKNFEDQKTDEDKGETQIKQPFQCSEVQTDVNGISSEVTNIETHTSQYGQKVDQLLEENDEVHDQICNEKGEAEGSLSNDEVLKFDPVESSSVATDTVEEHFDSSPSEEQETPEEGRSGQNEDKTQLISSEISLADKTVVADANDQNVIIKQEECLVGELDATGDMSNEEKHENTSAENQVDLDETPKVESSPSDTTGSLLADSPRIIPSSSLASVVEPQDKSMIHTPEAELTSSESENAVKKCDPKETESFMQEVHEMQNDNSAPSVESHPIEATEETGTNNNTDSVLDYSIEEDKARLEINVQPDILYHDHAPPEEQHVNFEETFEMVPEIGVLPTKFIVTTTEENGFLEQEKVEVEKPSRQETREECILHLGPSKSENGIDIAYADGRVQGFESVEDQKEHNADLTEHDKCEFVVTEDSGVFELNISKKETENAQNVQPSLEALAFSNGKCSFDQKVPNFHNETPSKAPESIGSLSLQTIPQKSSNGNELRKSPSFDFGVHRRSSESDQTPLLCPEKTPPRSLSVGSNAKFSNSITRTGYNRTSLDYEAVTVEEKTIRVERSDSDISSTPLLGLSNKGENGDLKVTSETQQNHVVVTKREDFQASEEKETCLTSPKGSGKRKPRPSFFTTCICCTAATHY >Solyc06g065280.1.1.1 pep chromosome:SL3.0:6:40831805:40831972:-1 gene:Solyc06g065280.1 transcript:Solyc06g065280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFRDADTFQISLQNYICIPSSLHVFLQYVYITFGIKEDLELLFHKQNKNKVDF >Solyc01g005950.3.1 pep chromosome:SL3.0:1:616639:624436:-1 gene:Solyc01g005950.3 transcript:Solyc01g005950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDPVVSSSQGNLDEQIAQLMQCKPLSEQEVKGLCQKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKTFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNGLKLIARAHQLVMEGFNWAHDQKVVTIFSAPNYCYRCGNMASILEVDDCNGHTFIQVYLPLFEPAPRRGEPDVTRRTPDYFL >Solyc02g071675.1.1 pep chromosome:SL3.0:2:41613081:41614117:1 gene:Solyc02g071675.1 transcript:Solyc02g071675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRRIDLFFKYVVEGAPQVSCYFVFGDSLFVNGNNNDLNTTAKANYVPYGVDFPDVHSEHQTWAYVPDDLDFLD >Solyc01g006090.3.1.1 pep chromosome:SL3.0:1:753737:755322:1 gene:Solyc01g006090.3 transcript:Solyc01g006090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVDELAMMNPENGDFDPETESESEDEEGDQQVVKLAEPSKTAVYNRDGLLERLADISWPDDLDWIHRLSIDREEQEEVDVNDDLAREHSFYTQGLEGIRQAYVNFQSTGEPFLRPSDYYAEMVKSDTHMEKVKGRLLAEKRRIEESEERRKARDNKKLAKDVQAQKMKERTKQKKQEIESVKKWRKQRQQSGFDKEDAGGLDLAFNGGNTDKPYQRSNKKRPGVSPGDRSGGKGKGFNKKRKNREFKDSKFGFGGRKGLKKQNTADTTNDFGGLHKGDRSAKNNKRVKR >Solyc10g007320.3.1 pep chromosome:SL3.0:10:1713478:1717875:-1 gene:Solyc10g007320.3 transcript:Solyc10g007320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Uroporphyrinogen decarboxylase [Source:UniProtKB/TrEMBL;Acc:K4CXR1] MAMAFSPLTTSGCSSYCNLGLSWKSSSLFVELGFVSNGSKGVSAKPAKLNASKVFRACASSSNSDPLLVKAARRERVSRPPAWMMRQAGRYMAVYRKLAEKHPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVQFDIEDVRGPVIQSPIRSEEGLKALHSIDLEKLQFVGDSLSILRKEVGEQAAILGFVGAPWTIATYIVEGGTTRTYTKIKDMCHTAPHVLRALLSHLSKAIAEYIVYQVESGAHCIQIFDSWGGQLPPHMWDCWSKPYIDEIVGIIKRKCPQTPLVLYINGNGGLLERMKTTGVDVIGLDWTVDMADGRRRLGTDIGIQGNVDPATLFCPLPALTDEIKRVVKSAGSSGHILNLGHGVLVGTPEEAVAHFFDVAKSFDFDKVEDHVSEADKVVA >Solyc08g069160.3.1 pep chromosome:SL3.0:8:58279495:58285184:1 gene:Solyc08g069160.3 transcript:Solyc08g069160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGGSLRTRSQAAPDWTLHESVTLVNEMKATQIECGNSLASFQKWQSTVHNCNSLGVNRSLNQCKRRWESMLEQYNKVKPWESAYWDSFDEERKRELELPEQFDFELFNAIARYLSLEGEDGGGAETDPDTDPEAQQVQGNNAFLEIGPKRQRRRTKTKRYKIEERLNPWRRILNENRKYEQSKMGIKHEASIDAGLEAPRHENSSLEIKRETSSPEEMTELPNLSMVNKVKAEQFHVDNPEELMAATLRENAEMITAITEGNTMDDRDCSLAGLNNFDAGRLHLIRSQGNQLIDCLGKISDTLIQLCDAIHKK >Solyc12g062175.1.1.1 pep chromosome:SL3.0:12:30940334:30940633:-1 gene:Solyc12g062175.1 transcript:Solyc12g062175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKVQSILDWQAPQNVKDLRSFIGLANYYRKFIVGYSKRAVALTDLLKKDSKWIWVVRCREAFQNLKEAIASKPILKLLDFELPFEVHTDASVKAIGG >Solyc05g025635.1.1 pep chromosome:SL3.0:5:35288009:35290490:-1 gene:Solyc05g025635.1 transcript:Solyc05g025635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRLKKPRNAKRKNKVCNIVFEVEGLSDSVASKVWEAGVDKLEEGEELQCDPSAYNALHAFHIGWPCMRYLTVLRDSLGMVRTEFSHTAYCVAGTQVSFLHSMLLPFIHCIFHADKRASNSIGIFKLSNIFGKRRDLVPTKTGDDDNMDSESSDSDDDDNEEKEVVEVVFHEGCVNRIRAMTQNPHIVASWSDTGHVQVRLTVHWQVWDFSSLLNALAEAESDCSQGVSAVSNHSPLFKFGGHKDEGYAIDWSPHVPGRLVSGMGWDCKNSIHLWEPTSDTTWNVEDPFIGHSASVEDLQVQWSPVDPCVFASCSVDGSIKIWDTRKKRSPTATIKAYKTDVNVISWNRGESCMLAFGSDDGDFSIQDLRVVKCAESVVAYFEYHKHPITSIE >Solyc08g066270.2.1 pep chromosome:SL3.0:8:54830109:54832345:-1 gene:Solyc08g066270.2 transcript:Solyc08g066270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINEKMQLFFLIYFVLISPSLQLEQTVLLKLKQHWSDSEFLQSWNSNSSECTWSGVWCIDDRVVTELHLGGKNITGTISSILCELKNLTFIDLSNNNISGIIPLSLKDCSMLQHLDLSNNSLSDRIPGELFEMKQLLNLYLNGNMLSGEMPKEIASSQLKNLNLSENYLNGSIPEDIGNLKNIVKLDMSHNSLSGSITNKLFQLHHLRHLSLSFNYLSSVIPDEMTLFSLYDMDLSHNQLTGSIPRGFQYLPGLHALDLSYNQLSGDISQSIEHLRPRNTLKLCSNKFSGSISAEFVKLTYEENCFDESNLCSASKNLSVPSLPSCSSGDEVQKSSRPKHLIIIIPIVGFGVAIQLTWIFYMVRKHWWKTKKRNVKDDMKLISFQKLKVTTEGILCSLKDENIIGNGGSGKVYRVVIDQTGNTYAVKSIGHGGKSGGRNQKEFVAEVRTLGSIRHNNIVKLMCCISSLDRKLLVYEYFEKQSLDKWLHGEKKAASPGQSSTPALDWRKRLNIAIGAAQGLCYMHHHCTRAIIHRDIKSSNILLDSEFNAKISDFGLAKILSRRDDDPETASAIAGTFGYIAPEYASTFKVNVKTDIYSYGVVLLELTTGREPVLRDEQMNLAEWALQRYREGNSILDALDKEVMETSNLEQMRSVFKLGLMCTGASPSGRPSMKEVCYVLQSCRDSNI >Solyc12g017230.2.1 pep chromosome:SL3.0:12:6422133:6427589:1 gene:Solyc12g017230.2 transcript:Solyc12g017230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARYRGPVNGNRSSSMGVGASPERFARGGGEYRNYSRGRGQSKQFGLPHGNEIFMEAGRLAAEYLVSKGVLHPSALAGKYQNGSLNNSVGEFQGFRSQEADFMGVPVEGRISAIPRLGSAAADVGHGRKRFPEEYNSMSSRSFVRESRRNETARNYGSEFDRELGRVGSWNRVRNSPDVDAQDNAFSGNRAEQKVAKNSDAVLQSSPPRKIDPVIESSGNSLIDSDSAGQNKAGDDAGKKASPIRIEINLPLEDERQHTEKCIEMETSKIEVDQVAVSNDYCDLETKSAKEDIEVEPCTEEHMHTSKSTEEHTQMTKSSNNLLSVWRFEHVPKKTRSSLANRVLKVFDNAVIKDENAREMELPKDTQMHSELNHVDVSAGVISSPHSHDARNLDSGKSEPLDLEEESRSSQVIKQVNETDSSSLGDSMVIKEDETIVELRGFESCNSINLERGEKRALEHDDDCIGRTKKPRELVSSMCSLSDAILYHSSSMEDWSNSQEPGTSHGEGVLLSLDEKKLVDIPLVTKSDLESGTDFTGKQLFPGSLKTCDLNLLEASVVNETQNADPVNIFPGITGSVKEEAPVDIDLTMSSNCNTASQYAKSAFDHIDIEVIDLDNDSEQEDKALNNPDTRSEVVFTGSDGFSNNPHGTNDTTDVQDGYGLMISELLGNDIPRCSSVPENMNSFHNDMGPHNGEGVLGDDDSIYMSLGEIPISFLTAWEQQTQEFGKPF >Solyc06g048700.1.1.1 pep chromosome:SL3.0:6:31573702:31573935:-1 gene:Solyc06g048700.1 transcript:Solyc06g048700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTNYLCCNFTTVLILFLVISTSSCARPLLNKGSSSIVEFHTKAVMNGNLDLLLHRLPKGKVPASAPGKRTNDNNN >Solyc11g069420.1.1.1 pep chromosome:SL3.0:11:54297098:54297523:-1 gene:Solyc11g069420.1 transcript:Solyc11g069420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCLFFVVVLCLPFIVKAQERSPHGLAFESPIAVSPEAYSFFHPETQKKNTTTSESLCNDNNNTSGCSRFPTASSVQSNLAHESLPPTDEGGDKRMGAGGMVGIALGFGFAIVLALGVYYVVIAQKRNTDKGAPIQQLNV >Solyc02g065115.1.1 pep chromosome:SL3.0:2:36853403:36856663:-1 gene:Solyc02g065115.1 transcript:Solyc02g065115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDPGPLDPSVLTGQLTHRSRDIWIGNDNMILNTRKCDGKFWDLVNEHPIHPRVLDVIKLSRLCGVYRSHRPVINRSLITALVERWRPETHTFHFRTGESTITLQDVEILYGLPVKGNAVVGYEPQRSVVDWQNICQRLLGFSPQPQDFEHSILKVSALNAHLRLQPRLPDLATQDMVNEKARCYMFWIIAGLLLADTSGGLLKLMYLPMLEDITTVRIWAWERVTVLTPQIVVKRDTRNIFPVGLPRGPHAARWYAHFSWTDTTKHVLRVLRDALDSMTEDQFIWEPYSSDIIESLPEYCRVGRDIWRARVPIFCWDVVEVYLPDRVMRQFGLVQAIPSSFAFDATHFNHDRRGRSNTNWELEHAQWLHFCNHIDQYVWNAPILHESLRIQFCCSCLNFKQSKAKFLST >Solyc08g008270.3.1 pep chromosome:SL3.0:8:2694473:2696604:1 gene:Solyc08g008270.3 transcript:Solyc08g008270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNCLHPVWKNPIILASSASPFFHNLRNTKQLNYGEKTRLYSKRSRIVCGFLPVDPWAPNVDSQSIASQLFAFSLFPYVGFLYFITKSKTAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLREAIRKAENPEESKNNDVSRIKEKKKSSI >Solyc08g074790.3.1 pep chromosome:SL3.0:8:59057899:59059990:1 gene:Solyc08g074790.3 transcript:Solyc08g074790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:K4CMJ7] MAVEAANQPKIRWGELEDDAEDLDFLLPPKQVIGPDRNGLKKIVEYKFNEEGNKVRITTTVRICKLANARLSKGAVERRSWPKFGDAVHEDVGARLTMVSTEEIILERPRAPGSKQDESKSGGDSLNQIAKAGAVLMVCRTCGKKGDHWTSKCPFKDLAQPSETFVDRPPSRGEAPAAGAGSQKSAYVPPSMRGGAAERGSGGGTEMRRRNEENSVRVTNLSEDTREADLLELFRPFGHVSRVYVAIDQKTGMSRGFGFVNFVNREDAERAINKLNGYGYDNLILRVEWAAPRAT >Solyc04g053080.3.1 pep chromosome:SL3.0:4:51371534:51376305:-1 gene:Solyc04g053080.3 transcript:Solyc04g053080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTPKAEDQLPEGASESAPQKMLETREEMLSRHRKEISKLQDKEIAMKKAAAKGSKAEQKAKKKQVDEEVSKLSAKLKEGHAGELASLGYGDDSNNDNGKDKGNLDTLVKAIAGVSVSSQTDHSKPSKSVKRREKRAQQEAAREQRIQEEQSNIISDRVIENEKLERKLEPLGLTVNEIKPDGHCLYRAVENQLAIHTGGSSPYSYLELRQMVAAYMRKHATDFLPFFLSENAEEGESDDSLVGRFENYCREVESTAAWGGQLELGALTHILKKHIMIFSGSFPDVEMGKEYKSSSGSGSGSSAFSIMLSYHKHAFGLGEHYNSLIPSSA >Solyc01g111520.3.1 pep chromosome:SL3.0:1:97656762:97665415:-1 gene:Solyc01g111520.3 transcript:Solyc01g111520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVSTILGFCGFGVGVSCGLTIGYYLFIYFQPCDVKDPVIRPLVERDSKSLQQLLSEIPLWVKCPDYDRVDWLNKFIEYMWPYLDKAICRTAKDIAAPIIAEQIPKYKIDSVEFETLTLGSLPPTFQGMKVYVTEEKELIMEPSIKWAGNPNVTVAVKAFGLKATVQVVDLQVFAAPRITLKPLVPSFPCFANIFVSLMEKPHVDFGLKLLGADLMSIPGLYRFVQETIKDQVANMYLWPKSLEVQILDPSKAMKKPVGVLHVKILRAMNLKKKDLLGASDPYVKLKLTESKLPSKKTPVRHKNLNPEWNEEFNMVVKDPESQALELSVYDWEKIGKHDKMGMNVIPLKDLTPDETKTMTLSLLKNMDANDSQNDKDRGQIMVELTYKPFKEDELPKDFEDNDAAHKVPEGTPPGGGVLMIIVHEAQDVEGKHHTNPYVKILFKGEERKTKQVKKNRDPRWEEEFTFVLEEPPVNDRVHMEVVSTSTRIGLLHPKETLGYVDINLSDVVSNKRINEKYHLIDSKNGRLQVELQWRTAS >Solyc06g065600.1.1.1 pep chromosome:SL3.0:6:41098559:41098918:1 gene:Solyc06g065600.1 transcript:Solyc06g065600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:K4C7I9] MATSPRVMLLLFILIIYMVAAPPPAKAVITCDTVYDGVKPCLNYVLFGGIVSTDCCNGLESVIASATTIADHQSTCSCIKSLASQATDDELSRAASIPGQCGATIPFEISPNVDCSKVK >Solyc03g045000.1.1.1 pep chromosome:SL3.0:3:11304471:11304704:-1 gene:Solyc03g045000.1 transcript:Solyc03g045000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNSQKAKMTREKKIDKMKGAKGSQLDANKKAMYIQCKVCMQTIICTTSEVKCKEHAESKHPKSDLATCFPHLKK >Solyc03g119470.2.1 pep chromosome:SL3.0:3:69558203:69565623:1 gene:Solyc03g119470.2 transcript:Solyc03g119470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCSNETIARLFYNVSSSFQLFLIFSYFTSILLGKVFYFLGGNPFFWRNRVNGYEFAEFSDEENEYNYHNKAETGEEKHFLAHTFGERKFTKDKKVKKSKKLRREDNFLVYAPAKLESKKLEFRKKEDNNVDKIFGDSYSVGSISKSSSEWRSSIKDSGTEEEDPFSSSSRRSCPKWESYTVFQKYDEEMLFLDKISVQKLHETESLRSIQSCPRSISDRIVHKLAVKNRKSSEFRHNPYHELEAAYVAQVCLAWEALSWNYKYFKSLRASRLEEDPGCPAYVAQHFQQFQVLLQRYIENEPYEHGKRPEVYARMRSLAPKLLQVPEYRGAISSSTIQNTLMGCVGVGEIRGGDFSVSDGLVCPKPRRNGLFNEPIRPSRFLQINNQQTEGYELKAGTELLDIILSKGSYDVEMTNFEMDSSPPFFLGSPPSRVPNPLIQDAQFGNDNFVPILTIPEGAPAPPPSFTSTCGRNNRGGCVPVKFGIKPAAVRIEGFNCCSSISAVA >Solyc06g072680.3.1 pep chromosome:SL3.0:6:44960986:44961631:-1 gene:Solyc06g072680.3 transcript:Solyc06g072680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFLTLMTHLHTLAGPSVMLLYPL >Solyc03g095360.3.1 pep chromosome:SL3.0:3:57839182:57853476:1 gene:Solyc03g095360.3 transcript:Solyc03g095360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLVRKLGDPTLPPNASEKSSLDLSTSHPIPNLESPTSVRVRIKATSLNFANYLQVLGKYQEKPPLPFIPGSDYSGVVEAVGPNVTKFKIGDLVCSFVALGSFAQFIVADESDLFQVPDGCDLVAAGALPVAYGTSHVALVHRAQLRPKQVLLVLGAAGGVGLSAVQIGKVCGATVIAVARGNEKVQFLKSLGVDHAVDLSSANVIESVKGFLKSRKLKGVDVLYDPVGGKLTKDSLKLLNWGAQILVIGFASGEVPVVPANIALVKNWTIHGLYWGSYKIHQPNVLGDSLKELLAWLSKGLITVNISHTFSLTEAHLAFTALKDRRAIGKVMITFDGGKIVKSKL >Solyc12g062227.1.1 pep chromosome:SL3.0:12:31566053:31568595:-1 gene:Solyc12g062227.1 transcript:Solyc12g062227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNTIFFQNCCCGNLKAGMGYSEKEFHGSRKGYFSRVSAIANHMKAYNDKITDETIVSKILSSPNKRFNHFVVAIEESQVLNARGYGGRGSFHGRGRGRGWLSFVVSTDNSRAIFSAAIAEKWGTRKLIIGLNRRTNNNMPISVSNNKMRMLVQMTYGSLIVVDPATCQAEGQCSMNMMNQRSQKFVLEMTIDEKAFKKNLKQFAVSVPGNTSSSSPANSS >Solyc02g092537.1.1 pep chromosome:SL3.0:2:54237772:54238773:1 gene:Solyc02g092537.1 transcript:Solyc02g092537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRIFPPIGLSAFWCPINTVTSKEAKSVQMLVNSDLTEIHQLHSPRNSAKRSVIFHKYHHFEDRNNGPKANPGPNCLL >Solyc04g014578.1.1 pep chromosome:SL3.0:4:4862628:4872047:-1 gene:Solyc04g014578.1 transcript:Solyc04g014578.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNQEKQVRTQSSVCPDTSAVPRVVPRTTQTTSTMGGPSTIGGPSHSTSRTTYATTQSSQTTSICADTTSVPRPAQNRVQVGTGRGLGRKKANARGTSFVTERDSSSSELPPLSGHKRPYSSASFAAAT >Solyc02g011890.1.1.1 pep chromosome:SL3.0:2:14160834:14161010:1 gene:Solyc02g011890.1 transcript:Solyc02g011890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWHVQNEILILNSTRFCMKSFYLLLFEGSFIFQECILIFGQILLLVIDLTSDKKYTS >Solyc02g067470.3.1 pep chromosome:SL3.0:2:38229717:38233291:-1 gene:Solyc02g067470.3 transcript:Solyc02g067470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKERFGKFDDVLEPGCHFLPWCIGSQVAGYLTLRLQQLDVRCETKSKDNVFLTVVASIQYRALADKATDAFYKLSNTRSQIQAYVFDVIRASVPKLNLDDVFEQKNQIAKAVEDELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRVAANEKAEADKIVQIKRAEGDAEAKYLAGLGVARQRQAIVDGLRDSVLGFSVNVPGTTAKDVVDMVLITQYFDTMKEIGASSKSSAVFIPHGPGAVSDIAGQIRQGLLQGSAVEQQNLL >Solyc07g042280.3.1 pep chromosome:SL3.0:7:55532612:55536625:1 gene:Solyc07g042280.3 transcript:Solyc07g042280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDGEIERLERGLLVEHEEEPVIYTASFEEREDNFVKYQTVRWVTRLVPFPCFGDLKEEKYVLLPSVADIAVEQGYLQSHCGVYSIRIENVGVRRSPSDDLQIQGIADALDFRKVVLTQLSNLRSKAFSRQASAVDDTLNLQIGLSCTTLMSPSRPISMIHYLILEMLHYYRSWKKLEVPLKVKIYGFP >Solyc12g016170.1.1.1 pep chromosome:SL3.0:12:6258381:6259040:-1 gene:Solyc12g016170.1 transcript:Solyc12g016170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKRLRNTRNYSENVRNSILDRREKSLFKKAEELSILCDVEVAIVIFRPGKIQPITWKSASLAQDVLTRYLGFIEFKRLNKLVTHEDYLQKKIDKKEEQISKLEKMNEAKEMEILFNQLVEGKSINELDAREMKGLLKVFAAKMAKLDERKKELNQTPNPPSNKENITLSGSPMEESFNGPWFIQTIATLGDGSDIEFSPKEGNGVNVEDDGHSKDLD >Solyc09g075050.2.1 pep chromosome:SL3.0:9:67301697:67302854:1 gene:Solyc09g075050.2 transcript:Solyc09g075050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EVDFILSLGGAANVVASSVGSGLISNWNRRIWDQGYRYRGYAGNEGAYGNQSGYGVVGRASVVPSGSTCWRWCRWRHPIRRRGLSTGCWRCCVKIGLFDGLLS >Solyc11g006400.2.1 pep chromosome:SL3.0:11:1102175:1106965:1 gene:Solyc11g006400.2 transcript:Solyc11g006400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKALALAGSALQQQHQPWRTIACRRSSGVSSAVNSIILRSLKDHYLEVSKMTPPPKVSPPSPFTVLKGALDHGGPVLRRTHGNEEISISVMRLANIIAGGIADEEEDGINQLFLHVDISKPGQKESLHFLCGLYPDALGIHSVSLRSKTESSGFLAVPTNYGGPVFQDIDEKMRDALHSFIEERGINESLFPFLQAWLYVKDHRNLMRWFKTIGSLVNDRKQGASHA >Solyc07g063390.3.1 pep chromosome:SL3.0:7:65981903:65997428:-1 gene:Solyc07g063390.3 transcript:Solyc07g063390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISSSNYFSCLFFLCLLLFVCINSFEEQKNLKKSEFPDGFLFGITTSAYQIEGAILEDGKGLSNWDVFSHTKGTINNGDTGDVADDHYHRFQEDVELMHSIGLNSYRFSISWSRVLPRGSFGKVNFAGVTFYNELIDSLLLRGITAFVTLNHHDHPHELEEKHGGWLNPIMQEEFSYFAKICFESFGDRVKYWTTINEPNMFAEMAYVRGVYPPARCSPPFGNCSAGNSDTEPLVAMHNMLLAHAKAAKLYREHFQPKHGGMIGIVVHSFMYKPLRNNDFDRDAAHRAVLFTAAWVFDPLVHGDYPPEMRKYLADALPRFTSDERKLIKDSIDFMGINHYGTLYAKDCINSSCVCSNSSCIAGGDHPIHGYLITLGEKDGVSIGEPTGMSRFFVVPNGMEEIVDYMKKRYHNKPMFVTENGYASLNPTTAQADELQHDTKRVEFHKSYLASLARAIRKGADVRGYFIWSLMDNFEWTSGYELKFGLYYVDRHTLDRVPKLSAKWYTDFLTNKSLNAEKKENFITFNIKNGGNGDIADDHYHRYLEDIDIMESLGVNAYRFSISWSRVLPRITPFVTTHHNDYPQELEERYGAWLSPFMQEEFVHFASTCFKNFGDRVKYWATINEPNLFSELAYMKGIFPPSHCSPPFGKCGYGNSDIEPLLVVHNSILAHAKAVKIYRDQFQVEQRGMIGMVASAYMYKPMTDDEVDKKAATRALTLLDPLVHGDYPIEMRHYHGKKLPRFSFEEKLLIKKSIDFIGINHYSTLFVKDCLHSNCTCMHENNPTCSHGENHAIVGFLLTSGQNKDGEFIGDPMGLPGLYVVPQGMEDIIDYIKKRYNNMPIFVTENGYGSNDNDLDKDINRIKFHKAYLASLARSISESEFATTTIHVIMNLCWNRNGADVRGYFIWSLMDNFEWNFGYTIKFGLYYVDPFTLDRSPKLSAHWYHNFLTNDIQAEKSI >Solyc10g084800.2.1 pep chromosome:SL3.0:10:64331829:64339194:1 gene:Solyc10g084800.2 transcript:Solyc10g084800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKDGNQSGSGGGGFFSFLGSSLTNIAKSVNGMLGHEGLEVVNPDGGNEDAGAEAQRGRWKQEDRDNYWKMMQKYIGADITSLVTLPVIICEPMTNLQKMAELMEYSYLLELADECEDPHMRLVYAATWFISVYYALQRTWKPFNPILGETYEFVNHAGITFIAEQVCHHPPIGAAHAENEHFKYDITSKVKSKFLGNSVEVYPLGRSRLTLKKSGVVLDLVPPPTKVHNLIFGRTWIDSPGEMILTNLTTGDKVLLYFQPCGWFGAGRYEVDGYVYNSEEEPKILMTGKWNESISYQPCDLEGEPMAGSTLKEVWKAAEAPKNDKFQYTYFAHKINSFDTAPKKLLASDSRLRPDRYALEIGDVSKASSEKSRLEERQRADRRTREAKGDEFKPKWFNLSNEICPTPWGELEVYEYNGKYHEHRAAIDSSDHVEEADAKTTEFNPWQYEDSVVSA >Solyc02g084920.3.1 pep chromosome:SL3.0:2:48607717:48616195:-1 gene:Solyc02g084920.3 transcript:Solyc02g084920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:K4BB06] MTKQQANWSPYDNNGGTCVAVAGADYCVIAADTRMSTGYNILTRDYSKIIKLADKCVMASSGFQADVRALQKVLASRHLIYQHQHNKQMSCPAMGQLLSNTLYYKRFFPYYSFNVLGGLDNEGKGCVFTYDAVGSYERVGYSSQGSGSTLIMPFLDNQLKSPSPLLLPAVDAVTPLSESEAIDLVKTCFASATERDIYTGDKLEIVVLNADGIRREEMDLRKD >Solyc05g053140.3.1 pep chromosome:SL3.0:5:64122566:64129683:-1 gene:Solyc05g053140.3 transcript:Solyc05g053140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQYLESFCNAPSQLSDWYTTLADLYQRKLWHQLTLKLEQFVALPVFKAGDGLIQLYHNFITDFETKINLLKLAQFAVIVSRQYPEKEAAIGFLEGVTEKLHNTKEIRIEEPILYIKMQIALFKLEQGDPKECQKLLDEGKTTLDSMTDIDPSVYASYYWVSSQYHKTRQEFAEFYKSALLYLAYTSVESLSESFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLIGTKVEWLYYILEAFNTGDLVHYQKLCHVHQAALTAQPALVQNEKKLLEKINILCLMEIIFSRPAYDRTIPLSVIAERTKLSVEDVEYLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWVDKVHTALLSVEAETPDLVAA >Solyc01g095650.3.1 pep chromosome:SL3.0:1:86732889:86738343:-1 gene:Solyc01g095650.3 transcript:Solyc01g095650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKHLAKRSRTTSAAPSATPSTPSRKSPRSAPATSVQKPKQKKRYRPGTVALREIRHFQKTWDLLIPAAPFIRLFLGLCTIVKERFPFRIDSIWDWNGKTNYDLPDFQKWKLVNSFQLVGSASVGGLIISDFKKKVWTKTVYQNLHSDYSLNVREISHFYAPGVTRWQAEALIAIQEAAEDFLVHLFEDAMLCAIHAKRVTLMKKDFELARRLGGKGQPW >Solyc06g084620.1.1.1 pep chromosome:SL3.0:6:49717753:49719447:-1 gene:Solyc06g084620.1 transcript:Solyc06g084620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4CAW7] MVGKIVVSLVSLFLVVGVVLGVAIVVHKGSKDHAGQNPKVQMKASIVEFCKPAEFEIACFKSLDAVSKNDSATMKDYLLASLQVTGDEIKKSLAVVEKGNPIDNKTDPYNHMAVEDCKELLQYAVEELHDSYSMVGDTALHSLGDRVSELLNWLGAVYSYQSMCLDGIIDKPDYKQVLENGMRNATQLTHNAINIVAKISNVLQDAFNISSTIPSTSSHRRLLANYPTWFPVADRKLLAGGGRHRAAPHAVVAKDGTGQYNTVAAALAAYPKNHRGKYIVYVKAGIYEEQVIISKKQPNVFIYGDGAGKTIITGHRNFGIMKIPTQDTATFAVLGNGFVARGITFRNTAGPQGHQAVALRINGDMAAVFDCSIEGYQDTLYYQNHRQFYRNCVISGTIDFIFGRGSAVIQNSLIIARRPLDSQFNTITADGKEIANKPGGLVFQNCRIVPEMELFADRFKLASYLGRPWKPYATTVFMESELGDFIRPEGWMIWQGESFERTCNYYEFANRGPGANINNRNKSFKNFRLINPLEAVQYTVDRWINGYLWLKHTGAPFYLGLGGR >Solyc11g006200.2.1 pep chromosome:SL3.0:11:943582:954298:1 gene:Solyc11g006200.2 transcript:Solyc11g006200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKKKKQNQNPKKSGKKKQQQQLFLSEDDYRLRLQEGLYSPDYILAKIFRKDGPTLGDEFDILPSNAFSHLKKGSRISGQARQENQGATKRRKVSVPATMHCRALCESNPPVKKHGTGKGLITKDVSVKKHSAGKRLMTEKRATLRNHGMGKGLMTVWRATNPHSGDIPVGVDFGESAEERKKKLLQRQSILRKIEKKLQDKKKVGVKCRKAENKRIEKQKMPRKEKCELALEWRKCQEGLPIKKRNYQQEFTQLGSLVDDEELELMELEEGPNSLTCCTHFASNGLRGCSLCKGLLPKFPPNSVIMKLPLYERPWDSSPELAKKLFKVFHFLCTYAARINICSFTIDEFAQAFHEKDSLILGQVHLAFLRLLLADVEIQLNKGFIHQASRSCNFLGLVHSIEHEEFSLELCISSLNALTWTEILRQVLVAAGFGSKRGRVPGEALCKERSLMAKYGLALGTLKGELFSILLIKGTAGMKVHELAKLQSILELNLAATTIQLEDLISSTLSSDITLFEKISSSGYRLRINPSSQESEICFSDSEGDEAEVISGYMRDNSECESRELVRAESERSYHQFENRNNLSTLNTEIDESYSGEAWLLGLMEGEYSDLSIEEKLNALVALVDLLIAASSITEKDSMPSVVECAPATIHHASGGKIKRSSAKSSYLTGHVQSHKGQLSNQDPTVSLELQSVDSSVSMSKLCEKNKSPRTAKNAKELKAGDELHPMQSIFLGSDRRYNRYWIFLGPCNELDPGHRRIYFESSEDGHWEVIDTEESLCSLSAALDRRGIREALLVASLEKRETFLCQAMSNALNDSGDSQSPRCGRNFSREDSSSSAVSDVDNLSLVEVHNGSIGQKVPVGRKGEHQQDKWNIAQAFDTWIWKSFYCNLAAVKLGKRSYLDSLARCEQCHDLYWRDEKHCRICHTTFELDFDLEEKYAIHTATCRQNLDTDKLSKHKILPSELQSLKAAIHAIESVMPEGALIGAWRRSSHNLWIKRLRRASTLSEILQVLADFVTAINEDWLCESGHTLGLNYDPEDIIASFSSMPRTSSAVAFWLVKLDALIAPHLESVPISTIQV >Solyc05g049900.3.1 pep chromosome:SL3.0:5:60590766:60594847:1 gene:Solyc05g049900.3 transcript:Solyc05g049900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPRTLFTIQSPTNASFSSHFTLKNPSKSQQQPPVYKKLNFRVLCSSQPSRTPQNSNLISTLVKILRVVPDWADKIQEGGMRKKRSLYKHETWVQHRSSLRHVRHLFSSFNSRVVLSLIPPVIAFTSFAFVIASYNSAVSFHWLPEFFPILRASPQPYQLTAPALALLLVFRTEASYSRFEAGKKAWTKVIAGTNDFARQVIACVDKRDDVLKEALLQYIMAFPVALKCHIVYDSDIASDLKNLLEADDLAVVLSSKHRPRCIIGFIAQSLQSLNLEGTILSQLESKISCFHEGIGVCEQLLGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPATFISAASLFCIEEVGVLIEEPFPMLALDELCQRVHDNIHEAMANEKQIQEIVNSKRKRSFSEHSPNGWPTS >Solyc06g062880.2.1 pep chromosome:SL3.0:6:39801231:39808514:1 gene:Solyc06g062880.2 transcript:Solyc06g062880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCIACSKQLNTGSLREPEEDETAATPSKKQAIKALTAQIKDMAVKASGAYKNCKPCSGGSNNNQNPNYADSETGSVSERFHYSYKRTGSSNSTPRVWGKEMKERLKVLSSGESTPVSVSGRSESVVGMEEDDEESNEWVAQVEPGVLITFVSLPEGGNDLKRIRFSRELFNKWQAQRWWAENYDKVMELYNVHRFNRRTVPLPIPPRSEDENLKLDYAESSPVTPPLTKERLPSHFHRSTGVEHLSSGSVERDPSQGHHYYDAGGLTSTPKLSNISATKSEAPSMDASARSSSSREADRSGELSVSNASDVETEWVEEDEPGVYITIRALPGGTRELRRVRFSRERFGEMHARLWWEENRARIQEQYL >Solyc11g017377.1.1 pep chromosome:SL3.0:11:8281322:8297090:-1 gene:Solyc11g017377.1 transcript:Solyc11g017377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSRSILELTQRRQRDARHLNNPTSQVNSDTVKAMLLYSDSDELLATVFCFLDFHDIRMLDRLAEKGWYCFLDGYSGYNQISIALEYQEKTTFTCPYGTFAFKRMPFGLCNAPATCQRCMMLIFSDIVENTIELVYDQVFYGKLVYVQWTFCYNRMPAVHFQLIV >Solyc09g098260.2.1 pep chromosome:SL3.0:9:72610222:72630293:1 gene:Solyc09g098260.2 transcript:Solyc09g098260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLTDSTLSPNDDTNAKQLLEEEERNQQVDGEDDDRERVTPLRYVPLCDVYSATSPYVGASGSKKVKAARKILPHLETEDHHKHSLTHHISSMSGRELPIIHFYTRRRKRKRHEPSFYDSLISRSVKLANGDRESGVDDGEGNEVGVKFKENQRRKERYSNPFSPQMKLGNFSNGNREDEGRDHEMVLNNKQKRKVGNSNQVPHPKKLANIFNGNIEDESEDKEKLIKSRDKQNKNVGDLKLVSHSVRLANSSKGNRIDEGETEDGEEEVVIKNKEKVENLEVVDFCIESERDDHGEYDGAVVVKHIMKKEKKRSKVVNSELEKNLGVEANVISLLDESCSRGTRNNAGKNKIDTNHGSNSKDFNSTGNMKEQKEHCILGNSLNKKCSGSIRTKKWVWLSFEGVDPKKFIGLQCKAYWPLDAVWYTGRITGYNSETGRHHVIDFLVKYVDGDEEDLLLSNERIKFSVTLEEMNRLKLRPRDTSPETDVIGVDEMIVLAASLADCEALEPGDIIWAKLTGKLWLPFSTSDYRSGHAMWPAIVLDESCAGGCKGLNKVSGEKSVLVQFFGTHDFARYLSEQKLSEGMLWLQNSINADNNNENEENEGSSDSEDEGLRKKLEEVRSCPLELGDLKIVSLGKIVEDSELFRDEEFIWPEGYTAVRKLPSVTDPSVRVSYKMEVLRDPDFRTRPLFRVTSDSREQFKGSSPSACWNKVYKQMRKTQVDNFDESISSRKSERTFGSGSHMFGFSHPEISKLIKELSKSKILAKSLKLASSKNQDLPAGYRSVRVKWKDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGEREPMDGVLWLCNLCRPGAPVVPPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDIKKMEPIDGLSRINKDRWKLLCSICSVPYGACIQCSNPVCRVAYHPLCARAAGFCVELEDEDRLHLIPMDDDELDQCIRLLSFCKKHRAVSNERPAVDECVGQKACEYSDYVPPPNPSGCARSEPYNYFGRRGRKEPEVLTAASLKRLYVENRPYLVGGHSQHDQSSNTLSSSCAGSKHTFDLQKLRCSQLTSRSIVSMVEKYNYMKETLGQRLAFGKSGIHGFGIFAKLPQKAGDMVIEYTGELVRPPIADRREHLIYNSLVGAGTYMFRIDDQRVIDATRAGSIAHLINHSCEPNCYSRVISVNSIDHIIIFSKRDIEQWEELTYDYRFLSIDEQLACYCGFPRCRGVVNDTEAEERMAKLYAPRSELIDWEGE >Solyc07g009000.1.1 pep chromosome:SL3.0:7:4008756:4009185:1 gene:Solyc07g009000.1 transcript:Solyc07g009000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALGVVDLALNLRAYDFVSQEIRVVFTLGWRLKISFMKTLYSLRRFYHVERLFNGILALAGRDQESTGFAWWAKNARQLNLSGELLGAHVAHAGLIVFWAGAMNLFEMAHFVPTKPMNEQELI >Solyc01g005560.3.1 pep chromosome:SL3.0:1:394353:399328:1 gene:Solyc01g005560.3 transcript:Solyc01g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isocitrate dehydrogenase [NADP] [Source:UniProtKB/TrEMBL;Acc:K4ASC2] MAFQKIIVQNPIVEMDGDEMTRVIWKSIKDKLILPFLELDIKYFDLGLPHRDATDDKVTIESAEATQKYNVAIKCATITPDEARVKEFNLKSMWRSPNGTIRNILNGTVFREPIMCKNIPRLVPGWTKPICIGRHAFGDQYRATDTVIKGAGKLKLVFVPEGSDEKTEFEVYNFTGAGGVALSMYNTDESVRAFAEASMNMAFQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEEAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWTRGLAHRATLDNNERLLDFTEKLEAACIGAVESGKMTKDLALIIHGSKLSREHYLNTEEFIDAVADELKAKLLKAKA >Solyc12g027883.1.1.1 pep chromosome:SL3.0:12:27988620:27988856:-1 gene:Solyc12g027883.1 transcript:Solyc12g027883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHGNEKEIDMRTEEEKAIDAWLPITSDRNAKWWYSTFHNVTAMVGAGVLSLPYAMSEMGWYICFFTLIPTSYLFGWS >Solyc02g077530.2.1 pep chromosome:SL3.0:2:42999856:43003492:1 gene:Solyc02g077530.2 transcript:Solyc02g077530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNNNVERANELFKAQAHIYKHAFAYANSMALNCAIQLGIPDIIHNHKKPITLPDLLSGLKLPSSKSNAIHRLMRLLVHAQFFDIIKLEENSETEGYVLTTSSRLLLKSEIPNLLPCVRLMVDPVLVTPWQLLGEWFHKNEEATPFETAHGMPMWDFCAQNPIFDTAFNEAMASDSQMMKLVVKDCREVFEGLNSLVDVGGGTGVIAKTILEAIPHLKCTVLDLPHVVANMPQTENLIYVGGNMFQCIPHADAILLKHVMHDWSDEDCVKILKRCREAIEDKDEGRKGKVLIIDMVLGRDEEEANMTEVKLIFDVLMMVVTTGRQRTEKEWEKLFTEAGFMSYKITPLLGLRYLTIPHTTIMGFENNDKRAWVERIMQASDPKIIDTALNVLGSNNSAATFLATVSLSLSSLIGAWMANNTLFTSVLIYGDTRPETMSIKFITLLIFFLMAFACFVQSSRCFIHANYLITTPDTDIPISYVELAVIRGGEFWSLGLRSLYFATTMLLWFFGPIPMFVTSIGMIIFLHHLDKNTKQLHDHRSSRIRKQVHKRVEEATNRATLL >Solyc03g116725.1.1 pep chromosome:SL3.0:3:67493172:67494748:1 gene:Solyc03g116725.1 transcript:Solyc03g116725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSKGNSGIREDRIKLVSGFFPKYGGERRVTFERSGVKIAVTSSQVRGESRDYGVKTYKGSPSGVIN >Solyc11g011310.2.1 pep chromosome:SL3.0:11:4355011:4362432:1 gene:Solyc11g011310.2 transcript:Solyc11g011310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTGIQYNEIDNLLENGNKDDNRGYWDLVWNKPEEKGIFDKFQYMAISDERQRIMPTAHDREVGQKLDYKEAVLLTNPNNSFIKGEVDDKYQYSCENKDNRVHGWISQTPRIGFWMITPSDEFRTGGPVKQDLTSHTGPVNLNMFFSTHYAGEVLGLKFTTGEPWKKVFGPVFVYMNSLSPDEPDPLTLWTDAKEQMLVETENWPYNFPLSEDYARADQRGIVSGRLLVRDRYVNESPMIANSAFVGLAAPGNVGSWQLENKAYQFWTQTDSEGYFLIKNIIPGNYSLYAWVPGFVGDYINGPTLWEIGIPDRTAAEFFIPDAQPKLLNQLYVVHNQERYRQYGLWDRYTEIYPDDDLVFTVGFSNYQTDWFFAHLNRYFYNDDGNKTYAPTTWQVLFDLEDVDQSSNYTLQLALASAHEAELQVRFNDPEIDAPHYSTGLIGKDNAIARHGIHGIYRLYTINVPGSLLSFGTNILYLTQSRGDRPFRGLMYDYIRLEGPSDENN >Solyc01g096140.3.1 pep chromosome:SL3.0:1:87128003:87133030:1 gene:Solyc01g096140.3 transcript:Solyc01g096140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENEVAGRLEWRVNMPNGSSRILAPESRETCSRLQSLVMGIVLNIKAFLDKAWNLAVNEPKKVVHCLKVGLALSLVSVFYYMRPLYDGVGGNAMWAVMTVVVVFEYTVGSTLYKCVNRAIGTCLAGSLGIGVHWVASQAGDKFEPVILQVSVFLLAAAATFSRFIPTIKARFDYGAMIFILTFSLVSVSGYRVDKLVELAHERVSTIAIGASICIFITMILCPVWAGTELHHLISNNLEKLADSLAGYAAENFSVDGSKNVHEKDSSKKLQGYKCVLNSKAAEESMANFARWEPAHGKFNFRHPWKQYLKIGASMRSCAYCIETLHGSINSNTETPEFLKKPLNDVCMRLGTTSSKVLKEMSSMIKTMKKSTKLDILVDEMNSSVEGLQNALKIFPSYQHIPTPDPGTEEAPNGTEEPSLKSTALSLMEIVQMATLTSLLVEIASRIEGIVEEVKELASQAEFRDESSMKSKQTQTKINGNDGNEHEVTMKTLQKV >Solyc04g049010.3.1 pep chromosome:SL3.0:4:39105433:39147113:-1 gene:Solyc04g049010.3 transcript:Solyc04g049010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:5'-3' exoribonuclease [Source:UniProtKB/TrEMBL;Acc:E5LBH0] MGVPAFYRWLADRYPLSIVDMVEEEPKDDVPVDISKPNPNGMEFDNLYLDMNGIIHPCFHPEGKPAPATYNDVFNSIFDYIDHLFSLVRPRKLLYMAIDGVAPRAKMNQQRTRRFRASKDAAESEAEEKRLREEFEMEAAILVPTEKPETSDSNVITPGTPFMAVLSIALQYYIHSRLNKNAGWRFTKVILSDANVPGEGEHKIMSYIRLQRNIPGFNPNTRHCLYGLDADLIMLSLATHEVHFSILREVITPPGQQEKCFACGQVGHLAASCHGTNGNHGKDGKAVNDTPIHKKKYQFLHIWVLREYLQYDLEIYNPPFQIDFERVVDDFVFLCFFVGNDFLPHMPTLEIREGAINLLMSIYRRDFTTMGGYLTDAGEVFLDRVEHFIQAAAVYEDQIFQKRARIQQSIENNERVRKEANAQPHPPAEDKVKLGEPGYKERYYSEKFGVSTAEDIHEVRQDVVQKYMEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDLKGLADLEITFFPGEPFKPFDQLMGVLPAASAKALPEKYRMLMMDPSSPISDFYPTDFELDMNGKRFAWQAVVKLPFIDEKKLLAETKKLEDTLTDTEQLRNSVMFDLLYVHHHHTLAPYIISYYHHNVMIPSRAQRPWIIDANLSGGMNGFIWLSERNGLRDRVPSPLNGLEDILNNKILNITFLNPAPHKHITQPPEGVFMPKKMLRAVDIKPFPVLWHEDHGTRRHLGKDRGSVPGAIAGPSLGEAAHRLLKNTLNIKPGGTNFGVLDQSFSRNFSGNHVLNRPRPAGPSCYEGGFYDQMSRRNSSPNHRPRFPGPSGHASGFFEDPSYFPSNLMPRGASGNPRYAPSPYEFQNTRQNFRIQDRHSYQDQYHSMRNEMSVLTIGSGARTRPPSNAARMPNSGQLSNVCPPPPFTQNVGPLPSPPLQWINKPARGATAMHSKYQETSKGPAYDKQVKQVYQIKSRPTQESPSTETQQ >Solyc11g062350.2.1 pep chromosome:SL3.0:11:49606112:49608207:-1 gene:Solyc11g062350.2 transcript:Solyc11g062350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTIFNNRSLSCLVLLLILISFSTSVDAYKNYTVGDSLGWFDNLEKPLVNYDKWIANKKFSLGDFLIFNTDNNHSVTQTYNFTTYKNCDYNNALDNDTMQWVSADPSSTSIFPVTVAVPLLKVGPTYFFSSDYDGEQCENGQHFKINVTYGQGLPRDLKDPSDDDSMAPISPISGDEESAPDTIVPSSFDHPRDVSTDDSPEPSNSISLSMFSKVLGIQLNWVFVMLALVFGIC >Solyc02g087465.1.1 pep chromosome:SL3.0:2:50539416:50540498:-1 gene:Solyc02g087465.1 transcript:Solyc02g087465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGMKAFLGALVLLSLLWLILHGILVNQETKKITAATIDRLDFWKITQTERYDLQKDLNYVNKRIQINGTPNRKAGKGTRAATKNVSAGAIKHVLIEAEKQYLQRNPNINYVSKRRVPTGPNAIHNRKVGEYRVPPSRA >Solyc01g104320.3.1 pep chromosome:SL3.0:1:92625234:92629305:-1 gene:Solyc01g104320.3 transcript:Solyc01g104320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLCMSSSSPVKLHPISPFINSSFPANPKLLLSCSRRRSFFHHFTAVNYVPESLKLRARVSLFPFLFTKSEDIESLKQELLEAIAPLDRGAEATPEDQKLVDQIASKLEAANKVKEPLKSSLLNGKWELLYTTSQSILQTKRPKFLRANGKIYQAINADTLRAQNIETWPFFNQAQKSSQVRFICNSRTKSFSRSTCAVPQGASYTRTSIWQLPI >Solyc07g053530.1.1.1 pep chromosome:SL3.0:7:62084794:62085546:1 gene:Solyc07g053530.1 transcript:Solyc07g053530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHQNLFSLILLFILVTRAESTVNRFRILEDESTVDVYHILEGGGEYNTFIKFLKETKVGDRINYKANSSDGVTVLAPTDNAFKNLINSISDDEHKLQQLINYHVISKFYEFDELQTVHNPVETLATGEDNEPLGLNFTGKKNQLNVSSGYVVTNVYEKAISISTDPPLAVYKLDKVLVPSEFIDPKSPSSNDAPAPAKAKNGTSDDDEITADDKPSPITAAATKPNDAKRINVGILCLISCVFLMGQLS >Solyc08g065537.1.1 pep chromosome:SL3.0:8:53730180:53730618:-1 gene:Solyc08g065537.1 transcript:Solyc08g065537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHQFGMKNALGWPPRSEGRTNKPCWILEMRGEFTLKSSWHYIIHKEEEITIYKWIWAKGVPFKMTFILWRALKLKIHVDYRLRRWGLELNKRH >Solyc08g081970.3.1 pep chromosome:SL3.0:8:65011953:65013034:-1 gene:Solyc08g081970.3 transcript:Solyc08g081970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINGSSSKVMFGFFVAFALIFSIALPTAQAQQPYAPAPAPASDGTTIDQGIAYVLMLLALAVTYFIH >Solyc09g007940.3.1 pep chromosome:SL3.0:9:1439898:1444293:1 gene:Solyc09g007940.3 transcript:Solyc09g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDGILLGMGNPLLDISAVVDQDFLNKYEIKPNNAILAEDKHLPMYDEMAAKPTVEYIAGGATQNSIRVAQWMLPFPGATSYMGSVGKDKFGEEMKKNAQDAGVNVHYYEDETAPTGTCAVCVLDGERSLVANLSAANCYKVDHLKRPENWALVEKAKFYYIAGFFLTVSPESIQLVAEHAAANNKIFSMNLSAPFICEFFRDPQEKALPYMDFVFGNETEARTFSKVHGWETDNVEEIALKISQWPKASGHKRITVITQGADPVVVAEDGKVKLFPVIPLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIAECVRAGCYASNVIIQRSGCTYPEKPDFA >Solyc10g086690.2.1 pep chromosome:SL3.0:10:65581571:65584923:1 gene:Solyc10g086690.2 transcript:Solyc10g086690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIGREASKIWKRICTESGIEINLLSEKWKYILGGLIFQIYQVVKCLTYCQYIHGFAARGVHYIHRPGRTLQDVGFFLLPELGQDKGYISETVFTTLFLSFVLWTFHPFIFKIKKIYTVLIWCRVLAFLAACQFLRIITFYSTQLPGPNYHCREGSKLATLPPPNSVLEVLLINFPRGMLYGCGDLIFSSHMIFSLIFVRTYHKYGARRYIKLCAWLAVIAQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDKQLAELPDRTSAVLILPLSKDSKAKEENHKFLNANSGDPAEWVWRSVPNCV >Solyc12g038750.1.1 pep chromosome:SL3.0:12:51802929:51804808:-1 gene:Solyc12g038750.1 transcript:Solyc12g038750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQIGVLQLRIDPSHVDNEGSGYQIFASRRAVRDFAARCRLGWQEQYLLGYGEVAEPIVKDGNANNMEKRAKNRYTSGSLKHEGKNEEEQPMKVVEAKTSQSMISLRSMQRFQGFYRRRYGFNLIQLVAWSLLVCQTNLTIFGVLLPSKRWLSYLLELISFGPKLMSPFMGVFMFMCPLRSRITKSTMQSHGSECLAIAV >Solyc11g012563.1.1 pep chromosome:SL3.0:11:5370806:5374942:-1 gene:Solyc11g012563.1 transcript:Solyc11g012563.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVPSSKNDSKSRYAGLMGCFTGFLISKMDFGIRNDLEDGNTARRSINRWEMCGTENGGIN >Solyc05g041590.3.1 pep chromosome:SL3.0:5:53190899:53193159:1 gene:Solyc05g041590.3 transcript:Solyc05g041590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVAVPYLKHVRDKKSIHHNAVKYATCLCEKLENMNYEEVDSIVANSLLDAACYDNYELVELILKKFPHLAFYEDHNGKNILHIAIENRCKNVFKLVCQMSQILNHLVIYFDSSGNTILDLAGKLAPQNKLNLVSGPAFQMQLELQWFKEVKKIVPSSFWRRLNLEKKAPYVVFTEEHEKLKVDGEKWMKDTSSSCTIGASLIATIAFAAAITVPGGNDQNSGFPTFSGSIAFIIFSISNAASLFTSSTSLLVFLSVLTSRYAEEDFLHTLPRSLIMGLLTLFLSITSTIISFSATVYLMFGQKKAWVLIPVATMACLPITSFVLLQFPLLVALISSTYGAGTFRN >Solyc07g043080.1.1.1 pep chromosome:SL3.0:7:56731771:56732145:-1 gene:Solyc07g043080.1 transcript:Solyc07g043080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTNQMYGLLNGEQIPNRMNPEDLSGLTYVINKSLKQVNDAIKAKSHEDGFTSTAPQTIVGLMDSSVTSSEMSWDPLLASVDAPVLSEIPLLVPSIVTSETNFEKPSAPLNSVHVTPKFSTSS >Solyc05g032660.3.1 pep chromosome:SL3.0:5:46272541:46287625:1 gene:Solyc05g032660.3 transcript:Solyc05g032660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMSVTTASLQSFPKSLLCSLSTRKFNSSFHPSAILSPISRFCLISKFNSFRFSAAVVKAASSPHANTKEPMLPPYDVLITGASKGIGYALAKEFLKAGDNVIICSRSDERVVSALDRLRVETGKQHVWGTKCDVREGDDVKNLVAFAKEKLQYIDIWINNAGSNAYSFKPLAEASDEDLIQVVTTNTLGLMICCREAINLMQNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELEMQDVKNVLVHNLSPGMVTTDLLMSGANTKQAKFFINVLAEPADVVAEYLVPNIRSIPTNGSTKATYIRFLTGLKAYSQIFSRIAFGARRNRYVLED >Solyc04g049095.1.1 pep chromosome:SL3.0:4:39624041:39631909:1 gene:Solyc04g049095.1 transcript:Solyc04g049095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVGEAIGEARLAGTDPKSIAIMCEIEDDEYIQTKEGGVSHLFPKTSHPIGSENIRKGKIFNMEQHELFEAHRYTLFNTGDEQVQAFIKEHKSLTDNRTRGNAWV >Solyc07g042105.1.1 pep chromosome:SL3.0:7:55222935:55224634:-1 gene:Solyc07g042105.1 transcript:Solyc07g042105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEATITLQDIEILFGMVVDGSPIILNGADSLGIIGRQEMIFQLTGWLPDTSCFSGVIRLLTYKLIEYIEGLEVINDNSTEHEVQQRFRLYLLWLCGGSIFPDKSNNKINLDILIDMRNLDLMSTQAWESDTLSYLYNCLCRASMKKSNEVCGFLSLVQIWAWERIIPLQPLPKPLRTNQLEASPALARKWTRGRNHRNEA >Solyc03g120670.3.1 pep chromosome:SL3.0:3:70468956:70472142:1 gene:Solyc03g120670.3 transcript:Solyc03g120670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMACPMSSYLEQELDKRFKLFRFWNVPQKNEFLSQHADSIRAVVGNAFAGADAELINSLPKLEIVSSFSVGLDKIDLNKCKEKGIRVTNTPDVLTEDVADLAIGLMLAVLRRICECDRHVRKGLWKSGDFKLTSKFSGKSVGIIGLGRIGLAIAKRAEAFGCPISYYTRSEKPNTNYKYYPSVVELASNCQILVVACALTPETRHIVNREVMEALGSKGILINIGRGPHVDEKELVSALLEGRLGGAGLDVFENEPEVPEQLFGLENVVLLPHVGSGTEETRKAMADLVLGNLEAHFLNKPLLTPVV >Solyc03g112830.3.1 pep chromosome:SL3.0:3:64620561:64626935:1 gene:Solyc03g112830.3 transcript:Solyc03g112830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIGEGTEKLSSMVTSPCDPLGDELLQGQWSREETDHKGIEKGLDLYGSVDESEFMDSMDMGEEWIGKVIQLQNTSENCDKLFESTNSCESAENKNSELVGKEIESFGTSDGSLEIRSMGIDDDLDFLRDVKTMGGSLEGSRLQSGVEIGGAEFVKCSDDSETSSKYEHSDGEDSMFGGSTNDEKNINSYYGREVHCSLEENDKAENKLVMGSAIAFGLDDWDDFTQENGEFTLSSMVHEELQPENQPTSRSENECLNITTTGVIEYSSVGLATPKEEDLSSNHEQGGDNLINYLTTCSVDPLSILNHGKPDHVKDENAMLITNTQIQQINESAKFFEQSCAFKLFNQDRSPQTQIDEVPIKEDLKIEGGEGAYDETLIHIHDDLVSGEVELKRRSLSLEPISHPDQNKYHSSTEPSKDVKLELSTDQISSTSLASVTNDNTNAKSTSRSVGCSEYHLASKTQNLEVNELYDELVHDMEEILLESGESLGFNFGNKIYQSYIPLPSRDGGSTASTSGTDDAYAAIQNPLKFDRVEVIDTIQKIGDVSLSERLVGVREYTAYRIRVWSGKDKWEVEKRYREFSALYWRLKKLFADQGRILPSVWSSVEQESRKVFRSASPKVVADRSVLIQECLNSLLQSRFPTGALNVVVCFLSLSKDLPGSPTYDTNALQSPSTLRSRNRGNVSSLGKTISLIVNKRPYKSNKQLLDEQHYSCAGCYKNFDDGKTRIQELAQTMGWGKPRFCEYSGQLYCSSCHTNDTAVLPARILHLWDFNQYPVSQMAKSYLDSIYDQPMLCVSAVNPFLFSKVPALQHVTNIRKRIGTMLPFVRCSFQRSIYRGVGSRRYLLESNDFFSLRDLIDLSKGVFAALPVMVETISRKILEHIAEQCLICCDVGIPCNARQACDDPSSLIFPFQEEEIERCKSCQSVFHKHCFRRTSSCPCGTQFKPELEGNTSRGNHESSMGNLSLALSGKKADLSKGLFSRVFSKVRSLKSSEGGEQQPEDKSTAIVMGLLPLTNL >Solyc10g018635.1.1 pep chromosome:SL3.0:10:8880847:8881651:-1 gene:Solyc10g018635.1 transcript:Solyc10g018635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKVRSRYLNDVETITIPQRLARKKRSLVTTKAIENTLVDFVIVPYEGATNLYNIKLKKNEDQESDSYGLNSNYLTCYMDGKLKGDHVKM >Solyc06g060830.3.1 pep chromosome:SL3.0:6:38967419:38969728:-1 gene:Solyc06g060830.3 transcript:Solyc06g060830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEKEDLGLSLSLNFPAEKRTTTTNLISLPPSSSFNNNYWTTHPPFPHSSSDRNMETRSFLKGIDVNRMPAMAAEEEEGGVSSPNSTISSLSGNKRSEREGNCTEENEMERASSRGISDEEDGETCRKKLRLTKEQSAVLEDSFKDHHTLNPKQKLALAKRLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCVENLTDENRRLQKEVQELRSLKHSPQFYMQMTPPTTLTMCPSCERVATGPTNTPVSIPPHRVGPPHQHHQPMPLNMWDSSSTPISQGHYGQVDTYPSLARQK >Solyc12g036720.1.1 pep chromosome:SL3.0:12:47783835:47785019:1 gene:Solyc12g036720.1 transcript:Solyc12g036720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTKLKSWIFELREILREIKNSHHFLDSWTQFNSVGSFIHIFFHQERFLKLFDPRIWSILLSRNSQGSPKAPIKKILRRLRDRGLISRRRPWPIHVASLTNVSDEDIVNWSARIAISPLSYYRCCDNLYQVRTIVDHQIRLCLL >Solyc01g012710.2.1.1 pep chromosome:SL3.0:1:9838183:9838732:1 gene:Solyc01g012710.2 transcript:Solyc01g012710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVVFISPMLGHAHTRRGLMFKNVLRVSETTIGKVVSLPHPNVDTISNFSKFSTV >Solyc07g053250.3.1 pep chromosome:SL3.0:7:61850241:61861415:1 gene:Solyc07g053250.3 transcript:Solyc07g053250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYMQKELMNKIFTRLPINSILRCTSVCKSWIQSDNEDLL >Solyc07g053650.3.1 pep chromosome:SL3.0:7:62222676:62234159:1 gene:Solyc07g053650.3 transcript:Solyc07g053650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTPDPNSTDASGSKSTKEEATIKVPSKDPKKKDDKKDEDLSEEDLALKQQLELYVERAQDADPGLQKVALESMRQEIRTSTSSMTSVPKPLKFLRPHYGTLKGFYEKMPDSDLKKLMADILSVLALTMSAEGERESLKYRLVGSQGEIGSWGHEYVRNLAGEISQEYVKRQSEEASIDDLMELVQQIVAFHMKHNAEPEAVDLLMEVEDLDLLVEHVDNTNYKRTCSYLTSSANLPPTYKLFSFDSGTIVKIGVDLLHYEPYLSNKVLRKPFFPIFSLLWMCQFLSGGSQGVKTPYLPGPDDMLVLDIAYTIYMKFEEYPSALVTALYLDNTQYVKQVFTSCDDLLRKKQFCYILARHGQTFELDEEMCAEDEEREGLQEIINNTKLSEGYLTLARDIEVMEAKTPDDIYKPHLLDGRASAGASVDSARQNLASTFVNAFVNAGFGQDKLMTVPSEASSGGASTSWLFKNKEHGKASAAASLGMILLWDVDSGLAQIDKYFHSTDTHVIAGALLGVGIVNCGIKNECDPALALLAEYIDKEDPSIRIGAIMGLGLAYAGSQNEQIRSKLTPILGDSKASLDVLAFTAISLGLVYVGSCNEEIAQAIIFALMERSESELGEPFARLLPLGLGLLYLGKQESVEATAEVSKTFNEKIRKHCDMTLLSCAYAGTGNVLKVQHFLGQCAQHFEKGETFQGPAVLGIAMVAMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALALLCISNPKVNVMDTLSRLSHDSDSEVAMAAIISLGLIGAGTNNARIAGMLRNLSSYYYKEANLLFCVRIAQGLVHLGKGLLTLSPYHSEHFLLSPTALAGLVTLLHACLDMKAIILGKYHYILYFLTLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPDYRDDQ >Solyc12g099370.2.1 pep chromosome:SL3.0:12:67525899:67528365:1 gene:Solyc12g099370.2 transcript:Solyc12g099370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTSDKVQETGEMIGQNQTPERVTSETNQKTCVDCGTTKTPLWRGGPAGPKSLCNACGIRSRKKRRALLGLNKDDKKSKKSSSKSVVNHHQQNQSSNSSSSTSSSGESMSNVIVKNECIPYKKRLLHFDREVGLQRPRSNSTHRRKLGEEEQAAFLLMALSCGSVYA >Solyc08g062860.3.1 pep chromosome:SL3.0:8:52294172:52302654:1 gene:Solyc08g062860.3 transcript:Solyc08g062860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTQGTTLSTNVVGLVDGSSASHREASVFDGLPIYVKELIAGGAAGAFAKTAVAPLERVKILLQTRTEGYHSLGVHQSLKKLLKNEGVIGFYKGNGASVLRIVPYAALHYMTYERYRGWILENYSALGTGPVVDLLAGSASGGTAVLCTYPLDLARTKLAYQVKETRGNGSRATHIGPQYSGTKNVLEAVYKEGGLRALYRGVGPTLIGILPYAGLKFYVYEELKRHVPEEHQSSIMMRLSCGAIAGLLGQTFTYPLDVVRRQMQVEHLRPSLQDRARYRSTFDGLSSIVRNQGWRQLFAGLSVNYMKIVPSVAIGFTAYDTMKAYLNVPPRQKLKSVSAA >Solyc03g079930.3.1 pep chromosome:SL3.0:3:53279671:53281240:-1 gene:Solyc03g079930.3 transcript:Solyc03g079930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTNIIRRTASQVVPLAARVIFRTQSYHHRSSALLSTIVNRSAASRNFFRSSAPSTLHFYSTKRPSSDESLLKVIQSEIQCAEESDEQDEVEEAPEGFPFKIEDHPGQQTITLTREYQGESINVEVHMPDLVTGDEDENDNGGEDDDEGSNQSNIPLVVRVSKRNGPALEFGITAFADEIAIDTLSIKDPNVAEDQIAYEGPDFTDLDENLQKAFHKYLEIRGIKPSTTNFLHEYMVNKDSREYKMWLKNLKKFIEA >Solyc08g016160.3.1 pep chromosome:SL3.0:8:6963094:6967570:-1 gene:Solyc08g016160.3 transcript:Solyc08g016160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPEPLVDVGKLNEKVLCYAQTIYRFNGVWEGPDPLTPIIPLFFIQVSLAILITRFVSFVLKPTKQPPFVAEIISGILLGPTALGRIMRFRRLLFPNYNFHVIETMAHVALVFYGFLVGLQMDLKSVLRIGVKARNVAIIGIIIPFVLGTILYFSLAHDEEVRGFIFYGGGLTITGFSVLSKILDKQKILQTDIGKMAMSSAVINDIGAWFILTLGYVVTGSTANIHWALICTIAYALFCVFYLRRAIGWIIRKMPEGQGYSEFFICSILAGMAISGVITDALGTHPIIGAFLFGLSIPNQLLQAEIIDKLDDFVTGIFMPSFFVVCGLRTNFGEMGSIYEIVGYVLLFVSAKILSSIAATFFSEMTIKEALAVGVLSNTKSIMALIIIEAGQAQQVLSTQLYSLMVAGILVMTVLVTPMTMLYRPSQEIAPHKRRTIQKARIDEELRVLACIHGTQDIPSVINLLGSSHSTPASPITVFALQVVELVGRASSMLEVHSSGKRGSRSLGHEETQTRQIITAFDNYELRSDGVMVQVLTARSAMSTMDEDMCNIAKDKRVAFIILPFHKQRGIDGEMEDVNPEIRAVNEGVLANAPCSVGILIDRGLSETSDYAKNIVVLFFGGADDREALAYALRMVDRPDTRLTVVKFIPDEGASDVEQTEFADESHVNVQIDKESEKLMDDEFLNRFKISTANDKSVTYIELVLNDVEEAVKAIKLMDQHNYDLYIVGKGRGVVSPLTAGLVDWCDCPELGAIGDLLVTSEFDSTFSVLVMQQYVKPIGDGSVTSYGSMSERIAGIGMDMDMDMQHADSESGDVFSSFRRRTEPMPRA >Solyc08g013940.3.1 pep chromosome:SL3.0:8:3417411:3427135:1 gene:Solyc08g013940.3 transcript:Solyc08g013940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYHIYEAIGRGKHSTVYKGRKKKTIEYFAIKSVDKSQKNKVLHEVRILHSLDHANVLKFYSWYETSAHLWLVLEYCVGGNLMSLLQQDGKLPEDSIHDLACGLVRALLYLHSKGIIYCDLKPSNILLDENGITKLCDFGLARKLSDISKTPSSQLPQIKRGTPYYMAPELFQDGGVHSYASDFWALGCVLFECYAGIPPFVGKEFTQLVRSVISDPTPALPGTPSRPLVNLINSLLIKDPSERMQWPELAGHAFWKTRFAPVPLPPQPAFDNMIEMSSKQCLSERNSEKPIQNKTPQKTREKDSKVSLKHDENSNTGSRGYVTPIKGISSGRKAQAKGSGKTTDDKQKDTSNNTRGVNLLRLSRIAKSNLMRENEKENYRRPLPNNSENDAELKVENTDMELDFNENNEDDTQDEPDETDSTQSPESTTSTPNLTEGNMEEMDIDSRHPDTPAVVNTPCSDYSRTSDHEQSSKYEVAAMLPNDSPQLKTPVIKENSANISDISKPSTNLSDILWHPSDLSVRPVMPSRKSDKGSDAIPSLPFDAPQLSDFVKMSKEQLDSFNSRIISIVSGNTPSGEKQNVIRYLELLSSNADAANILTNGSIMLVLVKMLRHSKVTLLRAQLASLIGLLIRHSTFIGDELANSGILGALTDGLRDRQEKVRRFSMAALGELLFYISTQNEHARDNKPMESPSKDSRPSSCWQVTSPIISLVSSLLRNGEDDITQLYALRTIENISSQGGYWSARFTSQDVITNLCYIFRAPGKQESMRLTAGSCLARLVRFSPSSIQRVMEKLSFKDMVSSLVKRNPREQQICLNILNMTLLESHTLPSIGRYLLALVEDKNLVLNLVTLIEQGSEVLKGKALIFVALLCMNGKRWLPLFFCNAKLLSTVDRLVKEKDDFVKQCLDALGMVIASTVPSLLECISGDIQQLKGGKRRGQIISVTSRNSSKNSMHLFPVVLHLLGCASLKRRVANHQVLQQLANLLKLVESPFQGRDDFQITLLRVLESIAEEMSLVQDSSSIFISQILPSLSVVYKGNKDGDARFLCLKILFDVMVILLDETSENEQKPENLKSISNSYFLPLYPSLIEDEDPIPVYAQKLLVMLIEYNHINIADIVHMKIISQCFEFLLGDFSTANVNNVLLCLALTSAPELETKSLSQLKVVRKIGSLLEFVYAKEMEDFIEPTLRLCRAFLLRSVGTVRGSMFAKEPVRLYENSSDGASAFDQNECIRDIMDFGENVGVLLELTHLNEINVADLASECLILLLKAAPREATTGFLTNLPKVSLILESWRQSISHLLLQRILIALGYSCRQYLSHAMILSISLPEISKIEGIVSQVKSSTIPSLVDAVSRAALELQRLPRCI >Solyc04g045660.3.1 pep chromosome:SL3.0:4:35249107:35288130:-1 gene:Solyc04g045660.3 transcript:Solyc04g045660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEESIIEVEMEDEAIPVPIPKEPPKIQRLEECVVNRIAAGEVIQRPVSAVKELIENSLDADSTSISVVVKDGGLKLIQVSDDGHGIRYEDLPILCERYTTSKLSKFEDLQSIRSMGFRGEALASMTYVGHVTVTTITMGQLHGYRATYRDGLMVDEPKACAAVKGTQIMIENLFYNMAARRKTLQNSADDYPKIVDIISRFGIHHTHVSFSCRKHGAGRADVHTIATSSRLDAIRSVYGASVARDLMNIEVSDTGPLISVFKMDGFISNSNYIAKKTTMVLFINDRLIDCGALKRAIEIVYTATLPKASKPFIYMSIILPPEHVDVNIHPTKREVSFLNQEFVIEKIQSVVGSKLRSSNESRTFQEQTMDLSSSGPMATSKDSTKESSPSGIKSQKVPHKMVRTDTLDPSGRLHAYMQMKPPGNSERGPCFSSVRSSIRQRRNPSDTADLTSIQELVNEIDNDCHPGLLDIVRNCTYTGMADEIFALLQHNTHLYLVNVINLSKELMYQQVLRRFAHFNAIQLSEPASLPELVMLALKEEGTDPEGNESKELRGKIAEMNTELLKQKAGMLEEYFSIHIDSNGNMSSLPVILDQYTPDMDRIPEFILCLGNDVVDWEDEKICFQTIAAVLGNFYAMHPPLLPNPSGDGLKFYRKRVLSSGSEVTSIDNIENDTTEAEFDEELRLEAENAWAQREWSIQHVLFPSLRLFFKPPTSMVTNGTFVQVASLEKLYRIFERC >Solyc01g017700.1.1.1 pep chromosome:SL3.0:1:24777078:24777302:1 gene:Solyc01g017700.1 transcript:Solyc01g017700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRTTLNNFSDYGTSLKIGQDIRKLNGCSQTLGEVIYYNPDKCLGVLDLSYHHLPNNLKPCFLSIGDFREDYQV >Solyc12g007180.2.1 pep chromosome:SL3.0:12:1616338:1626340:-1 gene:Solyc12g007180.2 transcript:Solyc12g007180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSEGGDSFPPNKGQSEVVVSSTVGTGVDFPAKKLARQLDFTVFGQSPAAAVTAVTEQSQKIQPLVMTTSGTQIVPVKLTTVQRPQLQPQQHMVLMPMKQTAVPPAHPSIRPPKPESPRARPRQSASEVKDGTPKKQKQCNCKHSRCLKLYCECFASGVYCDGCNCNNCHNNVENEPARREAVEATLERNPHAFRPKIASSPHGARDNKEEAGDGLVLAKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHANNMAYLQQAANAAITGAIGSSGYGSPPVNKKRKSQELFFGSTIKDPIHRHGQLQQGNHIKSSVLPSLSSSPGPCVGNAATVGPSKFTYRSLLEDLIQPQDLKELCSVLVVYSGEAAKMLADEKDASKKQAEGQTQASLASSTQEQVQNQKDSGSEKDMLDCSLSGDQGEKISMKESSLDGADVSKRRPMSPGTLALMCDESDSMFAAAITSPDDLATLGCNTSSQLPHGQGMTETYAEQERIVLTKFRDCLNRLVTLGEIKEKKCSSMVRGIDFVDQRDMIGNGFTTTETRIQHDSFHKGVSNSPIPQPRTTQMFSSVATTANNNALPKVHHRPDENGDVKPTI >Solyc05g026315.1.1 pep chromosome:SL3.0:5:40479451:40479975:-1 gene:Solyc05g026315.1 transcript:Solyc05g026315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKETQQRVSLTTDTWTCIQRINYMTLHKRIIKFFPISSHRGEHLGKSISKCIHEWGLHRFFTVTVDNAGSNSVAITELSKQLTKWGTNLMGGSHLHIRCMAHIVNLIVQDCTKEENVSIERVRQTVRYIRPSPSRLKKFQECCEDENLAKSLYAWMFLQG >Solyc06g082807.1.1.1 pep chromosome:SL3.0:6:48524732:48525196:-1 gene:Solyc06g082807.1 transcript:Solyc06g082807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVDKKRTISHSLALAARPITDEELMSAILFGLDSSYGPFRSAINPHLDNLTTDSLLGLLLQEEEKLAEETKSFQLQANAISRQYSNCSPITGYPNQQSVTTSQKSSTRPTNTNLPRSSNRSSPRIICQICEKPNHHARNCYNRTNMDTYPPT >Solyc09g075180.3.1 pep chromosome:SL3.0:9:67379705:67384550:-1 gene:Solyc09g075180.3 transcript:Solyc09g075180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKNQFSDNSEAQTPQEEQQQTPILPIASISLALSTILPTHFLNPPKISTLFNKPNKVKIPTQVSSLTNLSLSASSLPPTNSKFKSTISANPLQNTLTLNPLRPSEPSNAAGLRRASIVWFRNDLRVHDNECLNAAHNESMSVLAVYCFDPRDYGKSSSGFDKTGPYRASFLIDSVADLRKNLQARGSDLVVRIGKPETVLVELAKAVGAEAVYAHREVSHDEVKGEDKIDAVMKDEGLEVKYFWGSTLYHVDDLPFKLEQMPTNYGGFREKVQGLEVRKTIEALDQLRGLPARGDVEPGEIPSLVDLGLNPSATMGQNGKSAANASLVGGENEALQRLRKFAAECQAQPSKENKDGTNDSIYGANFSCKISPWLAMGCLSPRSMFDELKKSTSRTISAASAKKDGGSGTGLNWLMYELLWRDFFRFITKKYSAAKQNSAAPVTTCVGAAA >Solyc05g016370.2.1 pep chromosome:SL3.0:5:16002725:16005811:-1 gene:Solyc05g016370.2 transcript:Solyc05g016370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQVSIWVLVNGTKGHLLK >Solyc04g082910.1.1.1 pep chromosome:SL3.0:4:66487384:66487647:1 gene:Solyc04g082910.1 transcript:Solyc04g082910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNLKQASIFPIHVASVTGSRRKGVQKVMMMMSGSAGVPKYKGTQMREKQLTEMIEKKVKEAKEVCSEDARSDECKVAWDEVEEVS >Solyc07g065310.3.1 pep chromosome:SL3.0:7:67251400:67260035:-1 gene:Solyc07g065310.3 transcript:Solyc07g065310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAAVFNPSSFITTCNKRSYPGVYTRQLGKPIKLSANNLRYSCGVASNFVLPYNSRCNFRNGICLSVKGGKGEFQNAEDSCLKIPFMHFLLKKGVILVGVICGFFLIGCRRVFAVEGVLNGGYGVLEQGLVLLRSYWPTVLLVLRMFKEQGLILAALLSLSAFFSMAETSITTLWPWKVRELAEKESDNEGVFKMLRSDVTRFLTTILIGTTVVNIAATALVTEAATAAFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSLILYPVGRVVTYLSMGMLKLLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASATLVDFHSLWVTHQYSRVPVFEQRIDNIVGIAYAMDLLDYVQKGELLESSIVGDIAHKPAYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTIGIVTLEDVVEEIVGEIFDENDSKEEIQKKTGYIVMRAEGIYDVDANTSIDQLSEDLNIKMPEDHQYETVSGFVCEAFGYIPRTGETIKLILERGNEDENNNYNDTESDRSDHNEKNQTFKLEILAGNARKVSAVRFERINDDVEVESSEVTRLVPKIMTRKRKSNGGSDRSNHDEISFMERRDEDDNSNNFVMAEREDNNLDVANKQ >Solyc04g011900.3.1 pep chromosome:SL3.0:4:4282922:4289735:-1 gene:Solyc04g011900.3 transcript:Solyc04g011900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKKAVLLLNLCVWWFKPTHQTLSTELLVIPQNSPNFFIFKKRKMSNSTSSLFTAPSQFLVQCNNPLIISGKLSFKIRASAASSSSPSSTGVVDLSTLRTAISKKDSNAVKEALDQLVEIGWSKKWGSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFVPYLIGSISLVVLGIGSTSPALLQAAIDGFSSFFPDYQERIARHEAAHFLVSYLIGVPILGYSLDIGKEHVNLIDERLEKLIYSGQLDSKELDRLAVVAMAGLAAEGLKYDKVVGQSADLFTLQRFLNRSKPSLSKDQQQNLTRWAVLFAASLLKNNSKLHEALIAAMTNKASVVDCIEAIEKAA >Solyc07g018140.3.1 pep chromosome:SL3.0:7:9281811:9287200:-1 gene:Solyc07g018140.3 transcript:Solyc07g018140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYVRTSSLKRLFSFRRQSFDGELPKPCDFNEQEQVAAAATRKPSWKCFSFHEIFHATNGFSSENIVGRGGYASVYRGILENGEAIAVKMLTKANDDERKEKEFLTEIGTLGHVCHPNVTSLLGCCIENGLYIIFQFSSKGSVASILHDEKLPTMDWETRYKIAVGTAKGLYYLHKLCPRRIIHRDIKASNILLSEEYEPQISDFGLAKWLPSQWTHHSIVPIEGTFGHLAPEYFMHGVVDEKTDVFAFGVFCLELISGKKPVDNSYQSLHSWAKPLLRRGVIEEIVDPMLQGTFDCTQLHKLAFAASLCIRASSIWRPTMSEIVEIILGGEVDKQKWKMPEEEEEEQEEFWGFEDLECECDSSFSTSPHDTFSTRSS >Solyc08g061692.1.1 pep chromosome:SL3.0:8:49498867:49499746:1 gene:Solyc08g061692.1 transcript:Solyc08g061692.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFINNEESNKKKVIFIMGATGTGKSREIEPDSDFTAEDFCLQAIVHIEKIRKTQRVPIIVGGSNSYIEKLVEDPVFKFKYKYDCCFIWIDVEQSVLNCRVHMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRY >Solyc02g091670.1.1.1 pep chromosome:SL3.0:2:53546284:53547096:-1 gene:Solyc02g091670.1 transcript:Solyc02g091670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKVKLMCSYGGKIQLRPHDHQLSYVGGDTKILTVDRNVKFSDVASKVNCLCNCNAEVCMKYQLPGEDLDALVSLIDDDDVEQMMVEYDRMQKVSNKPARLRLFLFYPIRLPESPLNPDFLFGFDKDYNPNPSPTATEDLLQLQFPENSGLDMSKNEGSGVIAVPKIVCGDSSSSSLLVKNHYTQGLRERHVNGGTYGNAVQMVYGVPLMTGGYHTGFGQLGVVAAGGGQYMDQPVYNFVPAVTSVMVPDQHKMIVSTTDTLSREIKS >Solyc03g120690.3.1 pep chromosome:SL3.0:3:70475689:70476760:-1 gene:Solyc03g120690.3 transcript:Solyc03g120690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dynein light chain [Source:UniProtKB/TrEMBL;Acc:K4BML8] MLEGKGVIEDTDMPVKMQIQAMRWASQALDVYDVLDYRSIAAHIKKEFDKKYGGGWQCVVGSKFGCFFTHTKGTFIYFTLETLNFLIFKGATST >Solyc01g005740.3.1.1 pep chromosome:SL3.0:1:493985:494469:-1 gene:Solyc01g005740.3 transcript:Solyc01g005740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KSNVRCWNTQGSSPHFNLVELITAAA >Solyc07g007870.3.1 pep chromosome:SL3.0:7:2548743:2553859:1 gene:Solyc07g007870.3 transcript:Solyc07g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:OPR3 description:12-oxophytodienoate reductase 3 [Source:UniProtKB/Swiss-Prot;Acc:Q9FEW9] MASSAQDGNNPLFSPYKMGKFNLSHRVVLAPMTRCRALNNIPQAALGEYYEQRATAGGFLITEGTMISPTSAGFPHVPGIFTKEQVREWKKIVDVVHAKGAVIFCQLWHVGRASHEVYQPAGAAPISSTEKPISNRWRILMPDGTHGIYPKPRAIGTYEISQVVEDYRRSALNAIEAGFDGIEIHGAHGYLIDQFLKDGINDRTDEYGGSLANRCKFITQVVQAVVSAIGADRVGVRVSPAIDHLDAMDSNPLSLGLAVVERLNKIQLHSGSKLAYLHVTQPRYVAYGQTEAGRLGSEEEEARLMRTLRNAYQGTFICSGGYTRELGIEAVAQGDADLVSYGRLFISNPDLVMRIKLNAPLNKYNRKTFYTQDPVVGYTDYPFLQGNGSNGPLSRL >Solyc05g007500.3.1 pep chromosome:SL3.0:5:2058173:2070312:1 gene:Solyc05g007500.3 transcript:Solyc05g007500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNLCITLTQSSPFSPKLYYSSKPLLQKSHRISIAPFLKFQDSAPSQGSTRGFTTICFSSPRNGPGYKGGGPDWPILRRWDVPWNWQTVSLSSLACGLRCSFVLTGLIEAASIPYVGLDVEALSLDEKAEILFADQAITTAVVLIVLYALTKSSQPLPDDIYRYDLKEPFNLQRGWLLWAGIGLGGAIGAIALTGVAMSAFNGEPPQRETDALARLLPLIGSSSISTASLLGITGVLAPILEETVFRGFFMVSLTKWVPTPLAAVISGAVFALAHLTPGQFPQLFVLGTALGFSYAQTRNLLTPITIHALWNSGVILLLTFLQLQGYDIREIIQGT >Solyc03g124050.3.1 pep chromosome:SL3.0:3:72267198:72269803:-1 gene:Solyc03g124050.3 transcript:Solyc03g124050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQIDGVLLWLGKGRMMTEVEDAGLSPVTFACSSSSSSSLRRSLLIIFLLVVSLITFVSSQPDDDDDHHYVPDAKSSSEALFNFKSSLSTSSGKGKEVLGSWVPSTSPCTGDNANWLGVICLEGDVWGLQLENLDLSGEIDIDSLLPLHFLRTLSFMNNNFKGPMPDWNKLFALKSLYLSNNNFSGQIPDDAFKGMIYLKKLYLANNQFTGNIPTSLATSCPRLFDLTLQNNKFTGSIPDFRGGVLKLLNVSNNQLDGPIPPSLSLMDPTAFAGNKGMCGKPLESVCNSPIPEANTTTPPNTLNSTINTTQSGDIDNKSPSLLSRVMLIVAVCLVVLCLVIVLILIILRRRSQNNPQLTSRAAVESSNIDGDQNMTVSSLAALPDTTMSGNPTYNARHDDNNKASAEAPGATVVGKLSFVRDDRTRFDLQDLLRASAEVLGSGNLGSSYKALLMDGQAVVVKRFKQMNHVAKEDFHEHMRRLGRLSHPNLLPLVAYYYRKEEKLLVYDYASNGSLASHLHGNHSRLDWSSRLKIIKGVAKALAYLHNELPSLALPHGHLKSSNVLLDKYSNPLLMDYTLAPLVNLSQVQHLLVAYKAPEYAQQGRITRKTDVWSLGILILETLTGKFPTNYLALSTGYGTELATWIDTIIKDNESAFDKEMDQLTHQGQIQKLFNIGVACCQEDLDTRWDLKEAIESIQALNDKDDQVDDGV >Solyc02g090950.1.1.1 pep chromosome:SL3.0:2:53051094:53051762:1 gene:Solyc02g090950.1 transcript:Solyc02g090950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQNIAHSLELGFSNSNIEMIPLQSPLHNSNYLMNSPPSNFSFMGNPIEEPAAMPILSSIDEIIASTHGNGNDYSCLQRRNSMEAMREMIFRIAMMQPIHIDPESVKPPKRKNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAVHYVKFLKKQLQSLEQAAVNNRPMISGFSTAMSSPGGPMNYNSSSIRACQPHQSMNSGAQMLS >Solyc11g028127.1.1 pep chromosome:SL3.0:11:20550906:20552389:1 gene:Solyc11g028127.1 transcript:Solyc11g028127.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPQGKTEKNCPKATTCVFIEYARSGLAALFRPAALLSIFCRLSACSADLRGLSLRMRLLQKISRKPEIILAGEMQYRKKSMTRKKIIRLSGLHGKKPFSASGFTRSSIGQMLKDSFKNIESNPFPYSQVAQGPLLEIRKHLLQVAKHHSWQSCLGYNQIVAFFQFDVHNRMPFNNIGKGERIRGQHQILSLFCLDDIAFSSNDLASINELKRRLRTRFDMKDLGDSTKSFLEIKVMRSQRVLEPSASIHWIYCQKLVFLHANRNKI >Solyc02g032260.1.1.1 pep chromosome:SL3.0:2:28544742:28545068:1 gene:Solyc02g032260.1 transcript:Solyc02g032260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTLTISVIAAHLSKVDRTLESWKDVARTLSEVLLVIQINAYHHLPIHLKPCFLSMACLPEDFQVDTRRLIQLLWIAEGFIRMSARSRKSLEEVAEYYFRILLAGT >Solyc04g017760.1.1 pep chromosome:SL3.0:4:8077240:8078035:-1 gene:Solyc04g017760.1 transcript:Solyc04g017760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFAENDGSFLEKLQDDKPILALCDVKDCMYKFKATIEEILNKDEHGTRLAKSVTKK >Solyc12g017266.1.1 pep chromosome:SL3.0:12:6471723:6473154:1 gene:Solyc12g017266.1 transcript:Solyc12g017266.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFVTQPRGEQPEEQVQGVSSKKKIGQTQMHNVHARKEHKLILLKSLDQPVGPTEDVVIKLSSFLGTLARNATLCPFDILDWRSMDTKKDLWDYIKKKYIIPEVAQNWALVTIRDAWRRYKSDLKTKYYDAYDNDEIRMAKKSGHILECQFRDLLKYWKSKKFKEKEKKYVSFKELLVVTRTRKPDRLYKASNENTTSKIFTRLIVGYDLLGYVKEIEKQMSINGQSVDAFSVVMDPEHPEHLTIWSGGYKDNFEKEI >Solyc06g008660.3.1 pep chromosome:SL3.0:6:2548314:2549128:-1 gene:Solyc06g008660.3 transcript:Solyc06g008660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKEVNDERFRKTYENMADDYGKCCTKCVALCTSSGKEKSACETRCGGECVAKIFRVFAEDIEKMKSLS >Solyc03g079897.1.1 pep chromosome:SL3.0:3:53207032:53207316:1 gene:Solyc03g079897.1 transcript:Solyc03g079897.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDISYGVKTLSQFLQHPKKSHVTATLRIVRYVKNQPRARLGLLPPNKKVNNWLLCSVWRVYGLMEVKETNNCL >Solyc07g054915.1.1 pep chromosome:SL3.0:7:63197612:63198420:-1 gene:Solyc07g054915.1 transcript:Solyc07g054915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWPAQNPRENPNLNQFQIVELRWQALVSKNLGPRMTVVGGFSGGYRGRVATAIFPGKVSMDLEMESPPMLLQTRTIFSSAGSEVMNSKSRAVTLCPEDLIILYQDQAPCLAYEQAQGAS >Solyc03g005750.3.1 pep chromosome:SL3.0:3:525187:537712:1 gene:Solyc03g005750.3 transcript:Solyc03g005750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRGGGKNSNNGGGIGVQSIPPGSRKMVQSLKEIVNCPEAEIYAMLKECNMDPNEAVNRLLTQDPFHEVKSKREKRKEIKDPTESRSWITSSTPSRGSRAGGDRYVGRGGSESTKPAPAYRKESGSQTNNFSSTPLIAGGNTDRRPTAISDAAGNDSKRLAPAAVDGHSAASQPSSGYQPTWGGVPGQVSMADIVKMGRPQSKVPSVPNISDSTAGVNQNHDQAPPPYGASHGNMQFSDDQSTVPEVHQEPRDNSSQNLSANDEWPSIEQPSAASQPAVSEPPTNSVPHPDPSNMSFDRVDHQTQIDESQEADESANENLDCSLSSRKLQEDNADGTSLYDNDPYRYQHQNHTFDHPQVEDVNVSVSSVAANLQQLSVKDAAGLPSDGDSPSVVIPDHLQVQTADCSHLSFGSFGGVSFSGSLASAPVKTSLEDASRDADSSSVGHLGTRATEYYGDGTLRNEADSNLFHRNNANAGNYELPAASQPESLKAEASDGHYSYPSSAAGYSYESAQQLNAAFSQPQTSSHMQNLASFSNETVYTNSLQSDMLTANVHPGRESELSYSPFSTTQAMPTKYGNSISSISGSAMPEAMKTVGFSSAQPTQQMLSGNSVATGPGVPQHLTVHQYSQQAVPIAPYGNMISYPFVPQNYSYIPSAFQQAYPGNSSYHQSLAAMLPQYKNTVSASSLPQSATIPSGYGAFGNTTSIPGNFPINPPAAPSGTNLSYDDVLSAQFKDTNHLMSLQQNENSALWLHGHGSRTMPTVPANTYYGFQGQNQQTGGFRQGQQPSQSYGSLGGYPHFYNSQAGISLDQQQQENLRDGSLSGSQGQPKQSQQQLWQNGY >Solyc03g082910.3.1 pep chromosome:SL3.0:3:54218054:54222459:1 gene:Solyc03g082910.3 transcript:Solyc03g082910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWQQYLQSIIFGLIFSFLLAKLFSIIFAFRDENLRITRADSSEAEEKTSPEEAGVSEDTEPLIQRNDGGLKGSSVSGGEDSDDDWEGVESTELDEAFSAATAFVVATAADRSHKVSNEVQLQLYGLYKIATEGPCTAPQPSALKMTARAKWQAWQKLGAMPPEEAMEKYLDIVTELFPSWLDGSANGEESSGAHNADTRGRPMGPVFSTFISEEDSENELKLDEIHAFAREGDDENLLKCIESGVPVDVKDSEGRAPLHWAVDRGHLNITKLLLSRNADVNAKDLEGQTALHYAAVCERADIAEFLVKHGADVEIKDNEGDCPRDVCELHWPWLQQATVHN >Solyc02g021600.1.1.1 pep chromosome:SL3.0:2:23736515:23737723:1 gene:Solyc02g021600.1 transcript:Solyc02g021600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRVRVSSHQAPVQRLGDSQMTLSPKFRLAAKQSDLLDPSFDLEMWRKGEPLIPGLPDDVALNCLLRIPVNDHMNCRVVCKRWYSLFATKDRFFSRRKELGFHDPWLFVFAFHKTSGKIQWKVFDLKNSSWHTIPAMPCKEKVCPHGFRCICFPHDGVLYVCGGVASDVDCPLNLVVKYEVRRNRWTVMKKMITARSFFASGVIDGMIYVAGGNSTHLFELDSAEVLDPDEGIWCPVANMGTNMASYDSAVLNGKLLVTEGWFWPFYVVPRGQIYDPQTGNWENMASGLREGWTGSSVVLYGRLFVVSEHERTKLKVYEPENDSWDTVEGLPLPEQICKPFSVDCCDNRIVVVGRNLHVAIGHIKSLQPSSKRCSFAVYWQVVDAPASLSDFTPSSAQVLFA >Solyc07g007070.1.1.1 pep chromosome:SL3.0:7:1850299:1850532:1 gene:Solyc07g007070.1 transcript:Solyc07g007070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQKMVFECLFDATLSIFGNTEQRPYHRNCNCALHKLKGKNSTSCLLKNIISFPKKQYKTTIFATPTTNKLNVKKF >Solyc10g009190.1.1.1 pep chromosome:SL3.0:10:3175947:3176324:-1 gene:Solyc10g009190.1 transcript:Solyc10g009190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSIALILIFLFISITFTFATTKNDDNNNIPGFGPVGGFNIPGFGPIVGGGYGGGFGGPKGGYGKGGIIRPTIVCKDKGPCFGKKLKCPSKCFKSSSGAGKGYGYGGGGGGCIMDCKKKCLAYC >Solyc05g008320.1.1.1 pep chromosome:SL3.0:5:2695117:2696187:1 gene:Solyc05g008320.1 transcript:Solyc05g008320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSITMAFYKPFLFFFSSLLYFSTAQSPPPPPPPQSLINAAETLSNSGYISMSLTLELIADTVISRATKNSLTGSALTIFSPPDSSFSDFGQPSLSHILLHFSPVSISLSSLQSLPFSSKIPSLSPSSSLYVTSVGSDSRVSINNVEIVGSPIYDDGYVIVFGIEDFFTQNFTQPETNRNPNFKSSPQCIRLDPFSRFYEVSLMLKSKGYLIMASFLELQLIGFLKNTELKLTVFAPMDDAIVGFSGDFPVYQQLFLRHLVPCVLYWTDLNEMVNGTEFKNYVNGLSLTITKVNDVSFVNGVEITYPDLYYNDWVVVHGLQSLIPLPDEIDGEIHEDPVKPEVDVSIAPDRSEF >Solyc03g114130.1.1.1 pep chromosome:SL3.0:3:65634231:65634656:1 gene:Solyc03g114130.1 transcript:Solyc03g114130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPEFSHTYTQPSEERRCCFCFPLSSGGGLKWWRKEQTEEVKEGSVWAKGINALMKLREWSEIVAGPRWKTFIRRFNRNKNGTQGKFHYDPLSYALNFDEGTINGEEDEYGLRDFSTRYASIPASARGSLDLSRDGPNFV >Solyc07g063730.2.1 pep chromosome:SL3.0:7:66239296:66243642:1 gene:Solyc07g063730.2 transcript:Solyc07g063730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNMSQNLSKGLLILFLCSHFFFILLISAESDTITTDRSIRDGDTIVSAGGIYELGFFSSGNAKNRYVGIWYKKISTQTVVWVANRDIPLNDTSGVLILKPNGILVLVDNSNTSIWSSNSSRPLKDPKARILDSGNLVVNDGNERDLEINFAWQSFDYPGNTFIPGMKLGRNLVTGMDWYMSSWKSIDDPSPGEYINRLDSHGYPQLFVWKNSTIVSSSGIWKGNAFTVSANSRPNTHYTSEFIINQQEIYYQFKLKNESLPSRMVLNPEGLIEHLTWIESSQSWFLYSTVQFDSCGRFALCGPYSSCNINNSPPCDCLQGFNPRVPQQSAADWSSGCVRSTSLDCNKDGFLKFTGIKMPDSRNSWFNKSINLEECEKLCLANCNCTAYSNLDVRNGGSGCLLWFGDLIDIRELSQNEQNLFVRVAASEIDRKQRRKMSVLIGVISAVVATFILSFLAWFYFQRRKRRIGPEVENEDMELPLFDLVTVTTATGDFSAMNVIGKGGFGPVYKGILPNGQEIAVKRLSKHSGQGLRELKNEFVLISKLQHRNLVKLLGCCLEREERMLIYEFMPNASLDYFIFDPSRKTSLSWKNRFEIAIGISRGLLYLHQDSRLRIIHRDLKTSNILLDTDMNAKISDFGLAKIFGGDQVEGETKSIVGTYGYMSPEYVVDGKYSVKSDVFSIGVIILEIVSGRKNRNFRHLEHHHNLLGHAWLLWTEGNALEFMDERLKESFSESQVLRCIQVGLLCVQKLPEDRPIMASVVFWLGNEGLVLPQPRHPGFFTERNPMESTDEECLSNNATLTVLEPR >Solyc05g007980.3.1 pep chromosome:SL3.0:5:2404529:2409146:1 gene:Solyc05g007980.3 transcript:Solyc05g007980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIYLVLVCVVSKKKMEENRVIDITRSSFEVHKVVSPPHRSTLLKLKNRLKETFFPDDPLRQFKGQTIKKKLILGAQYFFPILEWCPNYRFHMFKSDIISGLTIASLAIPQGISYAKLANLPPIIGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLVLGSMLSEVVSPTKDPLLFLQLAFTSTFFAGLFQASLGFLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKGLLGIINFTKQMAIIPVLSSVFHTINEWSWQTILMGFCFLVFLLLTRHIGMRKPKLFWVSAGAPLLSVIISTLIVIAIKGQNHGISIIGKLQEGLNPPSWNMLHFSGSYLGLVIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMIAIGLMNIVGSSTSCYVTTGSFSRSAVNHNAGSKTAVSNIVMAVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLIDIPAAFQIWKIDKFDFLVLLCAFFGVIFVSVQDGLAIAIGISMLKVLMQITRPKTVMLGNIPGTGIYRNVDHYEEALSVAGFLILSIEAPINFANVTYLKERISRWIQDYEEEGAKKQPGLRVVVLDLSPVSSIDTSGISLFKDLSMALEKKGLEFVLVNPIGEVMEKLQRADETKDLMRPDVLFLTVEEAVASLSSTVKYQIPEHV >Solyc09g089600.1.1.1 pep chromosome:SL3.0:9:69808269:69808844:1 gene:Solyc09g089600.1 transcript:Solyc09g089600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKINNYSDSSSEDTTIDRESEKFNDGIGRSYECNFCKRGFTNAQALGGHMNIHRKDKLKAKQNNQESSTKLSKEANYVFDNSRYNNNNNYAPISSHDELQHHDHYSRAQMNSYQFHFQLPQNPNHNQHIYNQHHDGSRTRHENYYDGNNLSLRIGPTLIDDDDEEGEGKKDVDESELDLELRLGYSNH >Solyc02g080660.3.1 pep chromosome:SL3.0:2:45392590:45395649:1 gene:Solyc02g080660.3 transcript:Solyc02g080660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTKKFPPFFFFFVLFSCCFFSTSSSNFAPEIKVQQDKDEPYVGVNIGTDVSNLLSPADLVAFLQLQKITHIRLYDADPDILKALAKTKIRVIISVPNNQILAIGSSNTTAANKGVVPIDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMIDSVYFSMKNLNITDVLVLVTESGWPSKGDSKEPYATIDNADTYNSNLIKHIIDRSGTPLHPEITSSVYIYELFNEDLRSPPLSEANWGLFHGNSTPVYLLHVSGSGTFLANDTTNQTYCIAMDGVDKRTMQAALDWACGPGRANCSEIQPGESCYQPNDVKNHASYAFDSYYQKEGGSPSSCDFKGVAMITTTDPSHGSCIFPGSKKVSNKTSEVVNATQPSGANTIRFLGAQTSIFDKNVHVLFGVALCLFYYSLIQVQLS >Solyc07g005040.3.1 pep chromosome:SL3.0:7:90440:92703:-1 gene:Solyc07g005040.3 transcript:Solyc07g005040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNLSLEDIKNEQIDLENIPVEEVFQQLKCSKEGLSSAEGQKRLEIFGPNKLEEKKENKLLKFLGFMWNPLSWVMECAAIMAIVLANGGGKPPDWPDFVGITVLLIINSTISFIEENSAGNAASALMANLAPKTKILRDGKWSEEEASILVPGDIISIKLGDIVPADARLLEGDPLKVDQAALTGESLPATKFPGAEVFSGSTVKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQQRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLAQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFPKDATKDTVMLLGARASRIENQDAIDTCIVNMLGDPK >Solyc07g005060.3.1 pep chromosome:SL3.0:7:104151:109460:1 gene:Solyc07g005060.3 transcript:Solyc07g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Defective in cullin neddylation protein [Source:UniProtKB/TrEMBL;Acc:K4CAX8] MPRASKRKSDTPKSTSVKSARSESITTASSKTTKFGLSVDNLFQKYANKLLGMIDPEGIEALCSDLGVDYTNVKILMLAWKLKAEKQGYFTQDEWRKGLKDLQVDTINKLKKALPKLEAEVMMPENFEDFYSYAFRYCLTEDKQKCVDIESICLLIDLVLGPQFRAQVDSFSEFLKNQTDYKVINVDQWMNFLRFCQEVSFPDLENYDLDQAWPVILDNFVEWMREKQK >Solyc05g051030.3.1 pep chromosome:SL3.0:5:62069080:62075500:1 gene:Solyc05g051030.3 transcript:Solyc05g051030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLLSWLFSFFLLVAVLGTILYQLMCLADLEYDYVNPYDSASRINRVVVPEFALQGALCFLHLVTGHWLMFLICLPYLYYNIKVYTDRCHLVDVTEIFNQLPWDKKVRLYKLGYLVILLAFSIFWMVWSIVDDEV >Solyc01g096865.1.1 pep chromosome:SL3.0:1:87732008:87732797:-1 gene:Solyc01g096865.1 transcript:Solyc01g096865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIHQVTIHLQLEEALFSEAHRWTGRYEAHLWDKSTWNSIQKKKGRQRAYDSEEAAARTYDLAALKYWGPTTLLNFPVDSYSVRLNLRLCDGKLFY >Solyc12g043120.2.1 pep chromosome:SL3.0:12:59713533:59719681:-1 gene:Solyc12g043120.2 transcript:Solyc12g043120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVGFDFGNESGVVAVARQRGIDVVLNDESKRETPAIVCFGEKQRFLGTAGAASSMMNPKNTISQIKRLIGRQFSDPELQRDLKALPFLVTEGPDGYPLIHARYLGEMRTFTPTQVVGMVFSDLKTIAEKNLNAAVVDCCIGIPVYFTDLQRRAVMDAATIAGLHPLHLIHETTATALAYGIYKTDLPENDQLNVAFVDVGHASLQVCIAGFKKGQLKILAHSFDRNLGGRDFDEALFQHFAAKFKEEYKIDVLQNAKACIRLRAACEKLKKVLSANPEAPLNIECLMDEKDVRGFIKRDEFEQISIPILERVKKPLEKALAEAGLTTENIHAVEVVGSSSRVPAIMRILTEFFGKEPRRTMNASECVAKGAALQCAILSPTFKVREFKVNESFPFSIALSWKGPSPDAQNGENHQSTIVFPKGNPIPSVKALTFYRSGTFTTDVQYADVSELQASAKISTYTIGPFQSSKGERAKLKVKVRLTLHGIVSVESATLLEEEEVDVPVVKETAKGPARMETDEASADAAPSTTSESDVNMEDAKGTAAASGAENGVPESGDEPVQMESDAKVEAPKKRVKKTSVPVTEIVYGAMAAADVQKAVEKEFEMALQDRVMEETKDKKNAVESYVYDMRNKLSDKYQEFVTDSEREQFMAVLQEVEDWLYEDGEDETKGVYIAKLEELKKQGDPIEQRYKEHTERGPVIDQFIYCINSYREAAVSSDPKFDHIDLAEKQKVLNECVEAEAWYREKKQQQDALPKYANPVLLSADVRKKAEALDRVCRPIMTKPKPAKPATPETPSPQSSQGGEQQPQGAASPNATEGDSADSGAPPAGEPMETDKSDVPSAS >Solyc05g014633.1.1 pep chromosome:SL3.0:5:8619733:8621569:-1 gene:Solyc05g014633.1 transcript:Solyc05g014633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLIITKNLICTHYLISEKKQEKEEDTYNTLQFQAYVSLMIHLLTRGVKLDNKGDALLVLAMSKLTNRDYIGNYLPKDIQQLYHFNDLKRKVGLNGIDTLRLYEELKNFRAAGDPFTEEFIQIFQSVYRQQMLMRDKRLKYIHAWRKVKTLD >Solyc08g074330.2.1 pep chromosome:SL3.0:8:58575118:58576880:-1 gene:Solyc08g074330.2 transcript:Solyc08g074330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPASAAVSDYQDPYLLDHGTAVDSKTPRSTLPRYGQDIVTKEFIDNHQEPAPTMYGMGEPEFGSFSRYGDPYSSSSSFPP >Solyc10g047330.1.1.1 pep chromosome:SL3.0:10:40509713:40510006:-1 gene:Solyc10g047330.1 transcript:Solyc10g047330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNRNKKKNGLAAMDVSTDQTVMDAQAMDTLESAAPKPHSGGSLRKTKGVQMKMTKNVRKKKAMAKAISKSEKLEERITRSESKIERTKNAKQLYE >Solyc05g018037.1.1 pep chromosome:SL3.0:5:19840339:19873900:1 gene:Solyc05g018037.1 transcript:Solyc05g018037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWVEDVLPNLKDILELIKVYDSVYTSLFTYDYDDNVLHAFWELRRPSTNTLYTFIEEMSISLWDFQDIAVVPSTKELKQADTQNDLLLPQSCRYLFLSSYRLAKYDLQEMSFHDWKVNHVRASIFKFVRFMSHGKKFSLEVLVLGSIYSSLREISTSSNLSVKNIIFPIHYLYGWLGEYFRTHHRVNRSHRSIPLCKISGETMAKCFDFTDAQKLIRQDDARRLHHLAMLQGKDLHIIDNDKLSNSWNEYDISLHVPGDLIERPYDDTLLTLQDSSSTSSREASDQPKEKSHLSSKSQAKSNDTLQVAKTSPKSKTLKDRDMSGKSKLRRVMSSSKTSLASKGCHGSSTTNELHVSLDNGNSQRSKLEIPCDDIFLIYHFIDDNDFVDEDSNLVSLFESAKQLERQKLRTSRSTNTSIPEFLEDLNTLNHFHKETQIIRFMTLPEPTCKSCDSQINSRIRVVAEIFLKIVLIFRSQSHPFVVITGTFIKEQPMRKLLPAELRKKKCRNGKQLKLAISIVQIQALINFFSTKMNHRCSKNSSSVLIVVDTCSDELEGNMGFFVLFLLCGCLLVLTCILCLKEWCY >Solyc02g083710.3.1 pep chromosome:SL3.0:2:47563770:47571707:-1 gene:Solyc02g083710.3 transcript:Solyc02g083710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEATMICIDNSEWMRNGDYSPNRFQALADAVNLICGAKTQSNPENTVGILTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEMNLAAGIQVAQLALKHRQNKKQQQRIIVFAGSPVKYDKKVLEMIGRKLKKNSVALDVVNFGEDDEGKAEKLEALVAALNTNDSSHIIHIPPGPNALSDVLISTPIFTGDGEGGSGFAAAAAAAAAGGVSGYDFGVDPNLDPELALALRVSMEEERARQEAAAKKAAEEAGNQEKGENQSTSQDVAMAENVNAGTSEPESKATDLMDDENALLQQALAMSMDDSSSNVATRDTDMSEAASEDQDLALALQLSVQDSTNDQSNPTDMSKLLADQSFVSSILASLPGVDPNDPSVKDLLASMQGQSEKKDEDNDKEQKEDKK >Solyc03g063495.1.1 pep chromosome:SL3.0:3:36823327:36823833:-1 gene:Solyc03g063495.1 transcript:Solyc03g063495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAPDIYEVSPTPVQQFLSIAPKISISANIIRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRLLAHSSIGHVEGIQSLLIGIFIYASMTIDLGLVATLQHYRNPFFLVIYMNRKIDPSIYPIRFRFCYLKRIDFIQPLIQRIALSPPP >Solyc01g090410.3.1 pep chromosome:SL3.0:1:83958620:83970080:1 gene:Solyc01g090410.3 transcript:Solyc01g090410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRKTFGFGMWSRIERECHVLLQQRNSKATLLRIHAIMLRNAIEDNVSLLTMLISSFSVSDPVAGISHARRMFDKSLQKDKTFLCNAMIKSHMGVGQFADSTFLYRDLLRHTSFKPDNYTLSSLSKCCGARLVLLEGLEIHNHVLKCGFASNLFVATSLVDMYGKFGEMAFARKLFDEMPQRSPVSWTALIGGYLKCRCTGIAEGLFDAMPEKDVAAFNVMIDAYVKKGDMLSANRLFWAMPERNVISWTSMIDGHCSNGNVSEAKALFDVMPQRNLFSWNAMIGGYCQNKQPQEALKLFHELQMGTTLEPDGVTVVSVLPAIADLGALDLGNWVHQYVKRKKLDRSSNVCTALIDMYAKCGEIAKAREFFNEIKVKESSSWNALINGLAINGSAKEALEVFEKMKSKGYEPNEITMLGVLSACNHGGLVEEGKKWFVEMEKYGLTPQIEHYGCLVDLLGRSGCLDEAENLIETMPYEANGIILSSFLFACGYAKDVTRAEKVKKKAIEMEPWNDGIYIMLRNMYATDKRWSDVEDIKGRMRREGAKKEAGCSTIEVNGMVCEFVAGDKIHAQCEEIHLLLEHLLLYMRGLDTPYSNDIGLKGVDAVGMYRPPTENQENISKESEMTASQLKFDESKGSDPSKPMEQLLDSLLAPITYTDKQVIPVLLIRLSLYTRLNLFAHIDKDGSGHELSGKSTVNGALPGKHWVVPPFEKIKECFEEREKAVDEERKKTVVVEFLKKYIQPNKADNTLLFIGLATPPAAMAVKKTGESVPQLKLLKRIPDVVFVPAATLLTLVSVKITRSLLLQRAASEDHLALQDIACKHVLRDKPDIIWSTTSSDVEIGNGEWDSWFMPFAKQVDIACQKLKKMSELSEGYNMIGLSQGNMVGRGVIEFCDDGPPVRNLISLAGPHAGIASIPFCGSGIWCILEDSLLKLAIYSNFIQAHLAPAGYIKIPTDIANYRKGCKFLPVLNNEVHRNSTYKKRFASLENLVLIMFEKDEILVPKQTSWFGYYPDGSFTTVLPAHKTKLYTEDWIGLKKLDEAGKVKLLKVCGSHLEISTSEMKKNILPYLLDTVTKPRSSGLLWPSSFDDECNEEKISLRSWKF >Solyc07g065110.1.1.1 pep chromosome:SL3.0:7:67137898:67138212:1 gene:Solyc07g065110.1 transcript:Solyc07g065110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSYSTMIFLLFALIITVQIATINAAETPEVICKVTINDLMLCLPAVMGKRPPKPTPDCCAVLRKADLQCMCNQKSELGKFGISPEAAMNLPKQCKIKVPDGC >Solyc08g061680.2.1 pep chromosome:SL3.0:8:49468564:49469741:1 gene:Solyc08g061680.2 transcript:Solyc08g061680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEVFNKKKVTFIMGATRTGQSREIEPDSHFTAEDFYLQAIVYIEKILKNQLVPIIVERSNSYIEKLVEDYVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKEDYTNGIRRSIDVPEMDKYLREETNIDGDDESKQMILQASISSIKRNTRMLICNELDKIQRLISETIWSLHHIIATDVCKEDTEEEHDEAWTNTVLQPCLDIVKRFLKNDHNNIIIECM >Solyc02g089460.1.1.1 pep chromosome:SL3.0:2:51910383:51910688:-1 gene:Solyc02g089460.1 transcript:Solyc02g089460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLSDTASSSSFWTWRSPLPYLFGSLGIMLLLIVVSLVILACSFHKRFSSNSDPEKSACTPPSSTIVEMSPSIIVIMAGDHKPTHIGVPASDKPTHSSAP >Solyc02g078930.2.1 pep chromosome:SL3.0:2:44105540:44107227:-1 gene:Solyc02g078930.2 transcript:Solyc02g078930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNFLHHSLLLSSSNMNPFGFHFVAILLLLLNAIMVLETQAAYVKPQRVTCYKRYSKCYLKYITCPSECPEIHPKDPYAKECFLDCYSPKCEAVYVSERKPNCDGPGAACYDPRFIGGDGIVFYFHGKKDEHFSLISDVNMQINARFIGIRPAGRSRDFTWIQAIGIMFDSHNFTLEATKAENWDQEADHFKFTYNGMSLSVPLGHSSVWNSPDQNLELERTSATNSVRVTIQEIVEISANVVPVTQEEDAIHSYGIPKNDSFAHLEVQFRFFNLSPKVEGILGRTYQPSFPNPAKSGVDMAIVGGDDKYKTSSLLSADCNSCTVYTPGKNVAERTFANGLWNFLRDDSIGIKVVDCYPTSLTLQFSTISRVYSTSKIIVILGS >Solyc01g016550.1.1 pep chromosome:SL3.0:1:20423828:20424155:-1 gene:Solyc01g016550.1 transcript:Solyc01g016550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVVIAEGWGGAALVGAAAADFAGRNSIVGCCLHCGALVAAAWQSCKRECKKDGGEEEGKREKGTAAPGCCRCTRLTGAAGCRR >Solyc10g017860.1.1.1 pep chromosome:SL3.0:10:6122561:6122770:1 gene:Solyc10g017860.1 transcript:Solyc10g017860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFRKGTRSMSDLIVAHVIESVNVQELKLFKKLINRSKISSNFDILFVLPKNSFFLKTPLLKKTSRF >Solyc08g023593.1.1 pep chromosome:SL3.0:8:27226452:27229463:1 gene:Solyc08g023593.1 transcript:Solyc08g023593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDGFGYIALNFTLPLMIRLWDLGQQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESVLLCTKDHPILQLALHGDSMWVATTDSSLHKWPAEVHNLHKVFERGGSFLAGNLSFSRARVSIEGSTPVS >Solyc01g079250.3.1 pep chromosome:SL3.0:1:78200579:78206511:-1 gene:Solyc01g079250.3 transcript:Solyc01g079250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTERKRKVSLFDVVDDSAAKKLNGGLNGGNSMTASINKWNAKPYSQRFYDILEKRKTLPVWHQKEEFLQALKSNQTLILVGETGSGKTTQIPQFVLDAVEVETADKRRKYMIGCTQPRRVAAMSVSRRVAEEMDVVIGEEVGYSIRFEDCTSARTVLKYLTDGMLLREAMADPLLERYKVIILDEAHERTLATDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFFGAPLMKVPGRLHPVEIFYTQDPERDYLEAAIRTVVQIHTCEPPGDILVFLTGEEEIEDACRKITKEIGNMGDQVGPVKAVPLYSTLPPAMQQKIFEPAPPPVVEGGPPGRKIVVSTNIAETSLTIDGIVYVIDPGFAKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFHNDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDDGNMTRLGEIMSEFPLDPQMGKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKNRFGHIDGDHLTLLNVYHAYKQNQEDPQWCYENFINQRALKSADNVRQQLSRIMARFNLKLCSTDFNSREYYVNIRKAMLAGYFMQVAHLERTGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNFIRTVTDIRGEWLVDIAPHYYDLANFPQCEAKRVLERLYKKREREKDESKSKK >Solyc08g079313.1.1 pep chromosome:SL3.0:8:63023896:63025100:1 gene:Solyc08g079313.1 transcript:Solyc08g079313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKSRFCMDRQDSGLVTSPALACEVLKNQDTIFANRDVPAACKESSYGGKDIAGSPVNIGEQMFLTALNVITSMLWGGTVKGEESSRLGAACCS >Solyc03g121230.3.1 pep chromosome:SL3.0:3:70865099:70869928:1 gene:Solyc03g121230.3 transcript:Solyc03g121230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRDLIFTFLICISLFALEANAQIDQSGFISIDCGIPRGSNYTDVATGLQYVSDSAFVDTGSNATISSEFHSDDLEQQLYTLTSFPQGKRNCYTIRVAEGKGKKYLIRASFLYGNYDGKSQLLINFDLHLGVDFWTTIQIVNASVPLYEEIIHILSSDFVQVCLVNKDRGTPFVSALELRLLNSTIYKTTSGSLQTFVRLDLGSTATQIVRYQDDIYDRLWWPYNNDENTISLSTTSTIDNTNSYLPPTKVLSTAIAADNDTDGISLWWEPANSTDEYYIYLHFAEIEANHVNRQFNIYVDGELYQGSFAPDYMSVTTIFSTSALKPKDRHQISLNKTGNSIRHPTINAIELYKVVKQIINPQTNDLDVEAIMNVKSTYEVKKNWQGDPCGPVADIWKGVTCNFNGDLPTVISLDLSSSELQGAISPYIISLTKLETLNMSNNQLTGEVPPNLSQLAFLQELDLSNNLLTGKVPANLAKLPYLKKLYLKGNSFSEKIPEELLEKSRNGSLDLRYDEFSPPPPPKDEISPPPKDEFLPPKDGNNLSAGALAGIIASVVVLGVLALLLLLWFIIRRMKNKKGKITETETTVQSPKIALELKNRQFTYSQVLHMTNNFQRVLGKGGFGTVYLGYVDNRDVAVKMLSPSSVQGFKEFQAEASLLMSIHHKNLISIVGYCVEGNHIGIIYEYMANRSLDMQLSDRNPNALTWEERLHIALDAAQGLEYLHHGCQPSIIHRDIKSSNILLDDKFQAKLADFGLSRTLPTGEGSHVTTIIAGSPGYLDPDYYRTNKLTEKSDVYSFGVVLLEIITGRHLLGKHDKIYVITWVNGMINDNGDVSKVIDPRLGGQVDLNSAKRIVALAMACVSLEPTNRPAISLVVTIIKQCLRQMIENYDSN >Solyc03g113920.3.1 pep chromosome:SL3.0:3:65452889:65459506:1 gene:Solyc03g113920.3 transcript:Solyc03g113920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKRQSQDDSKSTLDAGSSEDKRRRSLPSFSSVITEVMNMSKVQHFMEPVLEPLIRKVVKEEVELALRKYMTTIKRNCGKDVFACELRSLKLKFLDLISPPVFTGTRIEGEESSLKVALVDVLTGEVVCSGPESCAKVEIVVLEGDFDGDDGDNWTADEFKNNIVREREGKKPLLTGDAFLYLKEGIGWVSDISFTDNSSWTRSRKFRLGARLVDSFEGISVREAKTESFIVRDHRGELYKKHHPPSLSDEVWRLEKIGKDGAFHRRLSKERVNTVKDFLTLLYLDPTRLRNVLGTGMSTKMWEVTVEHARTCLLDKKVYLYYTSVSGPKTGVVFNIVGQVMGLFPDCQFVSADKLSETQKACIHNKYEQICFIDLFFFFIYQS >Solyc09g007360.3.1 pep chromosome:SL3.0:9:950387:958486:-1 gene:Solyc09g007360.3 transcript:Solyc09g007360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKGRTVSVEVPQRISAATLKTARKLRTPGSDVDSVSSPNPANRTPKDRSPKVVCRRSPRSPVIEKKRPVKASDLETQLAQLQDELKKAKDQLSSSESLKKRAQQDADEAKKQLVVMSGKLEDSKKQLLDLSDSEEARLLELRKISQDRDRAWQSELEAIQKQHELDSAALASAMNEIQKLKLQLDRVADCEAAQAHHAESAYVEIQSLRIELTKTLTLVDKLRNQLNDSKESEACSFEEVSKAQMQLEVAKITEDTLRSEGLKAMEACRTLSLELEKSKDRVASLEELVRKLQSGPVDSSESSVAAEGNSSNVEADKLNIELSTIQVEVSQLRAALEDSERKYQEEYIQNTLQTRSAYELVERTKSESLQREAEWERKLNEAKSEVEELKEKLMNVEAQLVDISDVNKGLSLHVEQMQSTDKEFELAAQLKNSESVLGDLRENLLDKETELLSLMEENEQLKSEISKRESESTKVNNEALALVEEAKTAEREALMKLGDLTEEADKSSRKVTRVTDELNAAQAANSEIETELRRLKVQCDQWRKAAEAAASMLSTGNNGKYVERTGSLDYHTIGGKLGSPLLDDLDDDSPKKKNNNMLKKLGFLLKKGQKKTRGMGAGRKLKSHRRRQRWADKSYKKSHLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Solyc02g038680.1.1 pep chromosome:SL3.0:2:31792054:31797664:1 gene:Solyc02g038680.1 transcript:Solyc02g038680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPTRLEDLESAIEEVSTRLKDLKSIIEEVSTDQKDLKSTNEEVSTHLKDLKSAIIEVSTHQKDLKSAIEEVSTHLNESNPSLKMSTPTEEVSREKGQVSADRKSNIEQVCTHLKESNYDHWISTVTKLAEWLYRFCDQHFPSTTQQRISDIERQIDLCIDVLESVPQVPDVYKEKAERYLVNATQLNPFLLEAWDCLALCVAKKGDYKRAKNCYKFVLKMGGESSRILRQIAHLELINARVPGNPAKHVDKCIKYAQRTLALDDKDGDILGCAYFTSFLLNGGWDHNNLQVAFGEYEKAMKIDAMKSSPYLQYDYSTVTRYLENYKESLIGFSDAAVKNPASDASHQVKVTVQLLDKLIELLQGKHKDKSKGKNKRKSKRKSTETSLSSLIQSLANIDLNPSYKRATVDLLTEGFNEQIEVVAAVRCLVKYEYKAPVYYMLCDSDENSFVLAVFGIQKEAIKQGDQVTLLDPICKFVDFEWEGKHYEFKSVRVNLLEQVLVNGNPLPPSSAMRDSIMQN >Solyc01g097370.1.1 pep chromosome:SL3.0:1:88135035:88136817:-1 gene:Solyc01g097370.1 transcript:Solyc01g097370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:K4B0C4] MGLPSNLSPDEASPGWMNKGDNAWQLTAATLVGLQCVPGLVILYGGMVKKKWAINSAFMALYAFASVLICWVGWGYRMSFGDKLVAFWGKPSVALDEKYLLRQAFLGYLPTATMVFFQFVFAAITPILIAGALLGRMNFIAWMLFVPLWHTFSYTIGAFSIWCPDGWLNKLGVIDFAGGFVIHLSSGVAGFTAAYWVGPRLDKDRERFPPNNILMMLAGAGLLWMGWTGFNGGAPYTASTDASLAILNTHVCTATSLLTWLVLDIAVSGKPSVVGAVNGMITGLVCITPGAGVVQSWAAILMGLISGSVPWYTMTILHKKVKLLRHVDDTLSVFHTHALAGILGGILTGFFAVPKLCRLFYLVPEWERYIGLAYGLQTGRTLAGLRQMGAQLAGVGFIVCLNIVMTSLVCLFIKLIVPLRLDEGVLQIGDDAIHGEETYVLWDDEEKYENTQVNSAYDADEYPSVVSKTLSELQMV >Solyc08g074860.1.1.1 pep chromosome:SL3.0:8:59121382:59122614:1 gene:Solyc08g074860.1 transcript:Solyc08g074860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDKPLENDSSGVPVPPPDNGINNDSNVESAAQKDEVMLDDVETEIDLSIDDVFPPPDVEYLNESPESSNRKASMESGNGYSINLNYPSLEYQHSGNCGMNNLVNSSPNVVNNSVKCGVVDEQQIRLKDLKRKKVSDDSNNAFKHEKSEFVVDGSNIRNVSSEKDEKKKSKLERDRVNSQTYRNRRKHYVEKLEDNVRTMQSTIQELNRMISHLTLENATLKTQMGSTSQIPPPHGMNPYHTIRSPWMFDGPPYMARPQGSQVPHIVIKPIPRKPQAVAPPMSREAPAAGAGSQKSAYFPPIMTGGASTSRSGEGTEMRRRNDENSVRITNISENTREADLLELFCPFGHVNGVYVAIDQNTGMSRGFGFVNFVNREDAERAINKLNGHSYHNLILRVEWAAPSVCFP >Solyc07g039220.1.1 pep chromosome:SL3.0:7:45904297:45905730:-1 gene:Solyc07g039220.1 transcript:Solyc07g039220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSETFEYEDAHISVFDKETEETCFKVGHVWAVYDTMDVIPRFYPVIRKILSPSLKLCIKWLEPEPLNEDETKWLSEGFPSSCGRFRLGNSEDLDDHPMFSRLVLSGYGDSISVHVAYLNKVKGFTCLFHRGKRLISSPAKDMFRFSHRIPSIKMTGMEKDGIPEGSCELDHASLTTERINISSPSIDQREVPEPVFYRFATEGSPEIFQIGQYWEICSKEDVFPRYNDLLKKINLLPEFVLHVTWFYEQNVKRGVYKIIPLKGEVWAVYKNWISQLKDLRFLQWVKGLKSVYKPKVEEEEADKVVKISISQHLRFSHLITAFLLTEKRGRCLRGFFVLDPVGMPLYLMFTD >Solyc01g111530.3.1 pep chromosome:SL3.0:1:97669238:97692162:1 gene:Solyc01g111530.3 transcript:Solyc01g111530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSRKRAEATSSAPSSSSSGPTTRAAKRARVTKVSTSTSTVNSTPVAPTASISTRSRITTRSQDSLASSTPMDSTNESSGSASRNRRGKNPSHGSDRDNLDKDSGGPGEDDDNDSEGGVGILHQNLNSASSALQGLLRKLGAGLDDLLPSSGVGSASSSHQSGRLKKILAGLRADGEEGKQVEALTQLCEMLSIGTEDSLSTFSVDSFVPVLVGLLNHENNPDIMLLAARALTHLVDVLPSSCAAVVHYGAVSCFVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALATAANMCKKLPSDASDFVMEAVPLLTNLLQYHDAKVLEHASICLTRIAEAFASYPEKLDELCNHGLVTQAASLISTSNSGGGQASLSTSTYTGLIRLLSTCASGSPLGAKTLLLLGISGILKDILSGSDLVATVSISPALSKPPEQIFEIVNLANELLPPLPQGTISLPTGTNLLIKGSAIKKSSASGSTKQEDMNPSSQEVSAREKLLNDQPELLQQFGMDLLPVLIQVYGSSVNSPVRHKCLSAIGKLMYFSGANMIQSLNNVTNISRYEYKQYKLAIFLRALICFLAGVLAWKDPQVLVPALQVAEILMEKLPGIFAKMFVREGVVHAVDALILSPSLGSSTSQPSSAEKENDCILGSSRSRRNRRRGSNSNADANSIEDPKSPVPGSGSPPNSMEIPKTSSNLRIAVSAGAKSFKDKYFPSESGATEVGVTDDLLRLKNLCMKLNTGVDEQISKPKGKSKASVPRLGDISASKEDTLAELVASMLGELSKGDGVSTFEFIGSGVVAALLNYFTCGYFSKERISDANLSRLRQQALRRYKSFISVALPSSVGGNMVPMTVLVQKLQNALSSLERFPVVLSHSSRSSTGNARLSSGLSALSQPFKLRLCRAQGDKTLRDYSSNVVLIDPLASLAAIEDFLWPRVQRVESGQKALASVGNSESGTTAAGVGASCPSTSTPASGSRRTRSRSAVNINDGAKKDSPQEKNGSSSKGKGKAVLKPAQEDGKGPQTRNAVRRRAALDKEAEVKPVNGESSSEVLGDDSLPVCMPDKVHDVKLGDSSEDSPATQTPNDNQTNAAGGSSSRAASAQGSDSVEFRSGSSYGSRGAMSFAAAAMAGLASANGRGLRGARDRHGRPLFSTSDPPRLVFSAGGKQLNRHLTIYQAIQRQLVLDEDDEERYGGTDFPSSDGSRLWGDIYTITYQRVDSQAERSTKGDGSSTSTKSNKASSSASASADPSLHQASLLDSILQGELPCDMEKSNSTYNILALLRVVEGLNQLAPRLHVQSVIDDFSEGKILSLDELNTTGVKIPSEEFVNSKLTPKLARQIQDALALCSGSLPSWCSQLTRSCPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGNGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSRDLQKVGLRMWRTSSSSSGHSMEVGVDEKLSGGDKELVQAPLGLFPRPWSSTVETADDNHFPKVIEYFRLLGRVMAKALQDGRLLDLPLSTAFYKLLLGQELDLYDILSFDAELGKTLQELQALVSRKQNLESIGGQGQENINDLHFRGIPVEDLCLDFTLPGYPEYVLKAGNENVDLCNLEEYVTLVVDATVRTGIGRQMEAFRSGFNQVFEISALQIFSSTELDYLLCGRKELWKAETLVDHIKFDHGYTAKSPAIVYLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSASNTAPNGNMPSESADDDLPSVMTCANYLKLPPYSTKLTLAPCFVRMMKTGFFSFAALLISLLVTSVNGQEKPRGVAYDGRSLIVNGNRELFFSGSIHYTRSPPEMWPEIIRKAKEGGINVIQTYVFWNIHEPVQGQFNFEGNFDIVKFIKEIAEQGLYVTLRIGPYIEAEWSSGGFPYWLREIKNITFRSYNEPFVYHMKKYSEMVIDLMKKEKLFAPQGGPIILAQIENEYNNVQAAYKDNGKKYIEWAANMAVGLYDGVPWIMCKQKEAPPSVINTCNGRHCADTFAGPNGLNKPTLWTENWTAQALRLSRRALLWGNPTVQKINQDLEITVYENTGEHMCAAFLTNNHTTQPSNITFRGANYYLPEKSVSILADCKTVVYNTQTVVSQHNSRNFVPSEKAKNLKWEMYQEKVPTVNELALKNREPLELYSLTKDKSDYAWYSTSINVNRHDLPMRSDILTVLQVASMGHALAAFVNGQYIGFGHGNNIDKSFVFRQPIVLKRGVNDITLLGELVGYPVFIIWYNSPFGFKINLSVRINEILLQNSGAYMEKRFAGPRAVTIQGLMAGTLDITLNVWGHEVGVSGEKEQVFTEEGAKKVKWTPVTATPPGPVTWYKTYFDAPEGTDPVALKMDKMQKGMLWVNGKSLGRYWVSFLSPLGQPTQSEYHVPRAFLKPSNNLLVVFEETGGHPEKIEIVTVNRDTICSMITEYHPPNVKNFESSGSKFCPVVEDLKAGAHLTCPDDNVIEKVEFASYGDPDGACGNFTMGTCTSQNSIKVAEKYCLGKHTCTIPIERVTFDEPNKDPCPNIFKTLAVQVKCVVPKKN >Solyc06g063365.1.1 pep chromosome:SL3.0:6:40153312:40159046:1 gene:Solyc06g063365.1 transcript:Solyc06g063365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRSLLSTRLQSTGKRLHYPKTLDFLKGEDEGTAPVKRLSPCQQFQHDNSEAINITLQTPYPIIQNMTLILKLEPDRHVKLYKFAPNPASISHPVDQYHSQLSDKKIMEGKWSHLQVSRFQNPNIQNCRKYLQYLKVYLHIPDETLPCHTHRDENSIQHRAQCLKFLYCRGDMMENKPHAVVLTINTNIKEITNCKRNNMKSLTYSRARATPRAILTLVFHFGHIANNFCEFCALPISEKGGVYFLYRQNLVKLTLPSGYFAMYTRPIDPSPRRRELAKAIITLSSNKTPNNIPAMAPIPRPDFPDSLSEFLVCGLAFCPGGGGGADPVDQLFPPVLLSKKKLIRNPSIKLVVCYIPANILLNTLA >Solyc01g050040.3.1 pep chromosome:SL3.0:1:48061037:48062102:-1 gene:Solyc01g050040.3 transcript:Solyc01g050040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRGLSRYWCHHCSRRVNPMMEVELKCPICQLGFIEEMGSDDNQTLLDSDSDPDHALSLWAPILLGMMSNSRRRSRLGHLEFEEDEDDHTREADTQLDPDLASIMNRRRRNSATILQLLQGIRAGMLAESENPNPENENRDTGRENQPIGSLGDYFIGPGLDVLLQHLAENDPNRYGTPPAQKEVVEALPVVTIDETLQCSVCLEDFEIGTEAKEMPCRHKFHGGCILPWLELHSTCPVCRHQLLSDESKVESDDGSANTNAQSSNGNGTRYEDEDTRNENGRRFPVSLPWPLSGLFSTPNSGSNGNSSSMTSSASNANSNAHMHED >Solyc05g015475.1.1 pep chromosome:SL3.0:5:10665411:10667376:1 gene:Solyc05g015475.1 transcript:Solyc05g015475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTVILLKPPTSYQVGDEDEKSVEIAKSDRHLKGDYMSSQRSHTINYDEQFTDEDQLRDADQINKNIANNIVGGTTMTQTFGLITFVFLDWMAKTNRVWHTREVKVAKGVASSSSITNEQRLKDKESDENIGRMITQMNLLTKHVTRGGSKSVNAVENKWK >Solyc01g009270.1.1.1 pep chromosome:SL3.0:1:3225642:3227063:-1 gene:Solyc01g009270.1 transcript:Solyc01g009270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell size regulator-like 3 protein [Source:UniProtKB/TrEMBL;Acc:K4ATC3] METPQLSKPPTPHMPKTNGIVSILGSDTQRGKSNATSIRRTFSADMSSKQWLTQNGFFSLIKKVASSKDLTLSTSSEEEEEEKEEEELERRKTSFDVWSSILSQKKNDDLQTPYIHPLVKRSTSSLSEKSLETCTENLGSENGSDGFSSYTPSENGDVDEEKHDHHHYHYRHHQYCSQFIEELRAVKYKNSKRSSSSFPPPITSLAIGDNKPSIQMQSRRQDGRLILEVVSIPPRYHFHAHRHDGRLLLTLVDNSTSTLSLEQEMEDNDEEFDHIFDDIDDHTPQLIMEQKPKFSSGVINMKTLTLMMNLLDSPVEITEKTKFTKFSSELKDHLRLGNKNLITWSHKFSNNLTCTVDSTELTKELSQITSVPQSLPTQLAPATALNVYEYFWRKSPTIAREYNKYTTKQVVVASYSTTPNEKGTTKVAPNEQQDLVLMRGNRANCNYLVPLQRGCKEPKMSLLIWEPYCIVTS >Solyc09g018600.1.1 pep chromosome:SL3.0:9:15502412:15503680:1 gene:Solyc09g018600.1 transcript:Solyc09g018600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESILHLHFHDCFVNKTAFANNNSARGFEVIDRIKSEVDKVCGRSIVSCVDILIVAAFNSVVALRGPSWQVPLGRRDSTTASRTQANNEGDSNLAPLYQNPSFFDTKYFNNLVTKKGLFHSDQELFSGGQTDNLLNTYSRNPWILSKDFANSMIKMGNIKPLTGNQSQIRVNCLMVN >Solyc08g014023.1.1 pep chromosome:SL3.0:8:3610398:3614998:-1 gene:Solyc08g014023.1 transcript:Solyc08g014023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRIRLVWFTIGFTSASAAMSQFIFRDLWAHQLSLSSQLEEKFGVLGTRVSNLESALHDIPNTQQDTYNAPFFGFVPEGTK >Solyc10g083950.1.1.1 pep chromosome:SL3.0:10:63770215:63771924:-1 gene:Solyc10g083950.1 transcript:Solyc10g083950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENFGIHLLSGRWFMFFASILILSVAGGTYIFGLYSEEVKISLGYDQTTLNLLGFFKDLGANVGIISGLINEITPPWVVILLGAFMNFFGYFSIWLAVTKKFSNPKVWEMCFCIFIGANSQTFVNTGVIVTCVKNFPQSRGIVIGLLKGFVGLSGAVLTQFYHAFYGSDGKSLILLIAWLPTVVSCVLLRVIRAIKINQQEKENEIRIFYQLLFVSFGLAGFLMAIIIVQNSVVFGATGYWLTAGTILVLLCAPIVLVVREELNLWDVKKRNLSVEIKVDEIERPLQCSAVVVPINDQKEHVSFFQDVFKPPERGEDYTILQAVLSVDMLILFIATIFGAGGLLTAMDNLGQIGKALGYPKTSITTFVSLVSIWGYLGRVGSGFASEIFLEKYKFPRPLMLAIVLFFSCLVHVLIALGVPNTLYVASVLIGLCFGALWPLIFAIISEIFGLKHYSTLLNFGGAASPIGAYIFNVKVAGNLYDREAMKQLAAHGIIRKRGEDLTCTGVECYKLAFLIIAASTFVGFIVSLVLVIRTFKFYKGDIYKKFREQAKAVDAAESQSRTNGDTPL >Solyc07g062040.3.1 pep chromosome:SL3.0:7:65006112:65011184:-1 gene:Solyc07g062040.3 transcript:Solyc07g062040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNSKNIALYVTICVISFIISKIIMTIVCYRRWKRKQMVVQDSLSGGKLVMFKSPKMNTLKSNMFLKRTMKLTNKDIIGSGGYGTVYKLTINESISFAVKRLNRISAEQDRGFERELEAMGDIKHRNIVTLHGYYSTTQYNLLIYELMTNGSLDEALHGKSAARKVLDWPTRYKIAVGAARGLSYLHHDCIPHIIHRDIKSSNILLGHNMEARVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGKKPSDEAFLEEGTRLVTWVKTVVQEKREEYVLDKNLQEFPIDEVNHVFNIALVCLEADPCNRPTMAEVVIMLEQIKINALA >Solyc01g007200.2.1 pep chromosome:SL3.0:1:1773390:1777038:1 gene:Solyc01g007200.2 transcript:Solyc01g007200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSSENLDDGELWLPSDIFPVEETVSSANNKLKNNNPIASSLCCCYSCVLLLRHPHNSIFMAEQQVLQSVPKPFPITERRFRPAERCCTCTDCSISDYFERSRRETTGPPPVYPPVQLHHQVESLMEVRAPFLQKEEQSRFIGIQRRNLGLNRFAGNWVSPFVGFGGNGCGFNGESSSVRDYGGTGVFLPRIPSNINNNGGKKQGGRNRQDVQQTDQRYPCI >Solyc08g076040.2.1 pep chromosome:SL3.0:8:60225123:60228430:-1 gene:Solyc08g076040.2 transcript:Solyc08g076040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENTYEMENASTRRPRSVEDEEDISKQYVHKVGMELHRDDYEEDYRGSYGGDDQEMKPTKIEVFGWHLYGMCSYFIHTVLIPIVFPLIISQTLYWPQKPQLGLVKNAKGLECRQRELELYEMLTKHRMNLAGTEYSALEWTSISWIIGLILEAPVLGFLSIHLDYGRNQQLIAAASTAIGGLFCLPAGFFKTRWIFPPYIAAIVAANTIVSSCHARHLGLMVRGLVGSPIRKSQFPDRKAVASWLSLHSTAAGCLGAALMSAFTYHMLRKSDSFTSLWVVSIFSGLIWFIGMVHIATSNRPGQNADLHTNSAPKTHVVSIFKYPHAAGSLAGVFLSSFTTMCIFTAGVLYSIGVLCIPPGNILKLWLTYFIFPLVSLPLTHPFQHLIRADAVKMQLLGFILSAIVSGFGFYYRHDHWNRTYIFIFTAIQTTATGILHAFGRVLWLDCSPSGKEGAFSVWFSWIRALGACAGFALASTSPQNIEMSFGVAFCAAILGKIILIFGNISNFGGAKAAGHVKDSERGSPVPTALDIGVEIKEPVSTEVQEEEKVQI >Solyc09g042790.1.1 pep chromosome:SL3.0:9:25871326:25871603:-1 gene:Solyc09g042790.1 transcript:Solyc09g042790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVISVVVISLIFSLMDKVKIGGWMDGWMYVGDWFRSQNNGERLDLWIEVVPVWVSVGRPGTPPSLHLKPSAFDPRQ >Solyc02g086540.2.1 pep chromosome:SL3.0:2:49844701:49857611:-1 gene:Solyc02g086540.2 transcript:Solyc02g086540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKLIRRSNSQKSQHRWKIKVFAMMLFVFFFGTLVLMETQYNKIRMLALLSAPQLQNPKIAFLFIARNRLPLDIVWDAFFQGDKENRFSILVHSRPGFLLNKVTTRSAYFLNRQMNDSIQVDWGEASMIQAERILLQHALMDPLNERFVFLSDSCIPLYNFSYTYDYIMSTPNSFVDSFADTKEGRYNPKMHPIIPVQSWRKGSQWAVLNRKHADIVVKDEILFPMFQLHCKARVSYPDDMQSSEFLCSHCIPSYFCGVHHNYIIIVQKKPLPEFWRDQYVPPDTSKIHNCIPDEHYVQTLLAHEGLEGEITRRTLTHTAWLILSSKERERKGWHPVTYKLADATPMLIQSIKDIDNINYETEHRREWCTSKEKPAPCFLFARKFTRPAALRLLNMVSLLGRKDPLAIVWKAATIPSNLKKSQYASTNVLSSLELIKSPTKRFELRQSAYLLFGVVRIHSKQVEYFLHDCKALKMGIRKAILSTKDAAHAPYNSITLPKTFQLDSFDFEDDLHNLNRIEDKNLKNNEEITLQDEIPVREDPILISEDVDEPEFMLDVVHDHHDPLWDDHVMDPDDGLEELMTMTDNGTANLEVEEMTENEEPPSVISADQVQEFTINPHEISFGHQSADHLVLQSTPKLMRKRRKLLIDAETELESNMQLGTLKRRRKNALLFFLMNRSKLNKKCRKDGMSFEPLLNGLCDDLRNICKEDIVSTKLKMASSQAEEEQDHAESSDGLSMDGFTPSTCYKEDTIMSDIHEFDSSAEDLSFLDQEDRTPFGEQGGTTEFDTLPVRTRTVARFLQEKSPISEDINLNTILQGKNKKMCVRMFYETLVLNNCGLVNVSQNEPYGDITLKVTSKLKEQLSTSSF >Solyc02g080560.1.1.1 pep chromosome:SL3.0:2:45309693:45309989:-1 gene:Solyc02g080560.1 transcript:Solyc02g080560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMRTRTSRSATPSFHTFLKPGALAQLRYSKISAKSRLKNAQSYQHIPLSVVPSSLPLPTMESLPCFNSSIRIRQPRFIQRKKLSAVAPIFTESNS >Solyc01g067030.2.1.1 pep chromosome:SL3.0:1:75182539:75184001:-1 gene:Solyc01g067030.2 transcript:Solyc01g067030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHESEFVSLLPPEIISEILVRLPVKSLLRMRSVSKSWLSLISTRQFIKTHLKFSTNKQDFDMLLLSTSCYEYSLKFYTCSLYAIMYQESPHVPDDLNFPYKDPLVDYNFVGSCDGLLCISSGVRDLFLWNPSIGKSKKLPISGSNVDCSSYLVYGIGYNECQDDYKVVQVVGSSHSEYGFQNEFRVYSVRTNSWKMIQEYPGVIFCNDPAKFVNGRLNWIATRVSDKNDSWFVFSLNLVDETYENVALPDLVYGNFDWELGILGGNLCVFVDYYKVRMDVWVIKAYGLVESWTKVASIPYFRAIEHSPFPVFISHNDEILLQDGSSLLIYNSTDNTFKHPQVQIHRGYEIQFSLYTRSLVSPHFVEEG >Solyc09g065387.1.1 pep chromosome:SL3.0:9:63733516:63737897:1 gene:Solyc09g065387.1 transcript:Solyc09g065387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPSCDCPKSREFSEHLQYHRVLQFLMGLSDSYSQARSQILMMPQVPNVNQVYAMVNQDECQRIVAGTSKMMLDYPTPTAMYSKTGDDTSRQKRGHIFCDYCNMKGHTRRECNKLKKCEYCHKTGHMKEKCFQLIGYPTDYKGKRQANMAVAGSLTGSSTGLADPNFAGSQRMQHSSQQMMPQCCHNAVQSRYDPHYCVQHQSHLLPQQFVSSSHIDACAGRNGVANSSVNLTALPSDNVVVPNEHIEVLPSAVAVPVDTGEVRKSTRGSKPPIWHKDYVIKTGSSSCTYSIAMRLVRYVKNAPGLGILMSSGGDNLMKVYCDADWGACVNSRKSITGYVLQYGNSPISWKSKKQATVPRSSAEAEYRAMASAVVEVVWMTSLFKELGVDIKES >Solyc11g008103.1.1 pep chromosome:SL3.0:11:2296705:2297793:-1 gene:Solyc11g008103.1 transcript:Solyc11g008103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHCAIQQGAFAACEDMWSSVSSISDKKDAVVCPKPRRLGLLNATITEPIRPLRWHVSHQQELCDSRAGADLLDIILAKVVHGFSITKYVK >Solyc10g055210.2.1 pep chromosome:SL3.0:10:56441822:56442637:-1 gene:Solyc10g055210.2 transcript:Solyc10g055210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPAQGIVLRPKAVEKWSDELPHAKQKVTKFRFYFHDIVRGKNPTAVQIAQANMTVKSSTFFRYVATANDPLTAGPEPNSTLLGSADENEIDLHMTLKFVFTTGDTQGIATAKTYWFNRDAIVEYNVIVLHNDNRRFG >Solyc06g005300.3.1 pep chromosome:SL3.0:6:307611:309827:-1 gene:Solyc06g005300.3 transcript:Solyc06g005300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFDSLWSYQESMDEMKQKLLYTSLEVERLNMEASEEKRKNNQLIHLIKLAYQERDEAKDHLHKLLNKINPSQIINIDSTTTTTTTIESNSLSETYNNSSSLSPIESFLDVVSSPEQYSTLPLIAQQVDNDDDQGSLIIDRLVKGKTLPQQGKFLQAVLESGPLLQTLLVAGPLPRWRNPPQFKPLHIPRVTIKNCEGVMMNNCYGSSLINNTQPYFDMACASSPYLGPNCNSFVHINKRQRLEI >Solyc09g015830.2.1 pep chromosome:SL3.0:9:11274996:11278321:-1 gene:Solyc09g015830.2 transcript:Solyc09g015830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDSKFCFFFVSSFLLLAIVINVTFAANYVPGDDILLNCGGPDNLPDADGRKWGTDVGSKYMLGSKSSISDAADQKPSKSPFIIDNSTALENVYRLNVGGNVISPSGDTGMFRSWGDDSNYIFGAATGVTNTADDENVTITYGESKNNKSAIGGGVGGGIAAVVLIGLVACLVMHRRNRGKVQSPSDGPSGWLPLSLYGNSHTSGSAKTNTTGSYASSLPSNLCRHFSFAEIKAATNNFDEALLLGVGGFGKVYQGEIDGGTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENCEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAFHCYKKGTFDQIIDPYLKGKLAPECLKKFTETAVKCVSDVGVDRPSMGDVLWNLEFALQLQESAEECGKGFGKMDIEEGFDNVTCKGKKDLNESPGYDASMTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Solyc10g062100.1.1 pep chromosome:SL3.0:10:24456724:24457421:1 gene:Solyc10g062100.1 transcript:Solyc10g062100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIHYLMLSGFNPSLGTILFGILQVGVTAGGALLIDRAGRRPLLMMSASGLLLGSLLIAFSFLCKVNQFLSPLNLLCNPMVLTFVFFSDKAHTLALTLVPNLAFVGVLNVQRHYCNFYNGLHKSSLIIDLQKLYL >Solyc08g068950.1.1.1 pep chromosome:SL3.0:8:58084094:58084252:1 gene:Solyc08g068950.1 transcript:Solyc08g068950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQSLKEAGDIDDNNNNNNNKPKLFGIICSLIDTKIKLGNSFVFIRFLYGNF >Solyc12g019758.1.1 pep chromosome:SL3.0:12:11608758:11615125:1 gene:Solyc12g019758.1 transcript:Solyc12g019758.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNDHNHLLFLSSSDVVGSVQIGIQLTCMETTPYGAVRCDQLACQKQIGIYRWQNHTYCNMRGHTMDNCYKLIGYPADFKGKRKIYAGNVQNQHTGDDGVTPHPHHVKQPHFIMDQYNQILRLLNKPQLNDASTNANMTGIPCSTSSLIHTHHSHSQWIVDSGSTNHMVNDNSFFNTGLTVARTRKVQIPKGESAMITHSGKCQLEGGDVITDALFNGKVKGIGEERDGLYTLNTNIKEESVRIKSLNVAECKETAELWHKRMDDTMLMPIFHPTKIAEEFPIARLGECDIVPLIDSIRTETMVEASSSIDPVGQPPIRRTSTRLSRPPIWPDIAFAVQSLSQIMHAPKSSHMEAALRVVTYSKKQPTVSRSSAEAEYKSLASTVADVIWLIEMFRELGVIELVSRY >Solyc02g077010.2.1 pep chromosome:SL3.0:2:42640502:42663706:1 gene:Solyc02g077010.2 transcript:Solyc02g077010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMADKWEELSGKNNWEGLLNPLDLDLRKYIIQYGELAQAINDTFITEKASKYAGASRYSMENLFTKVGLDPTKYRVTKYFYATASIPLPDGVFFVKSLSREAWSKESNFMGYIAVATDEGKVSLGRRDIVINWRGSMQTLEWVNDLQFVLVPAPEVFGDGGLLQPLVHQGFYNVYTASSSRSQFNVTSARDQVMEEVKRLVEEYKNEEVSITVTGHSLGASLATLNAVDIAFNKINKASNGKEFPVTAFPFACPKVGDLQFKAAFDKIIGLRILRIDNLLDIAPKYPPIGYFDVGQELMIDTTKSPYVKPPGQPVNWHSLESYLHGIAGTQGTGLLAGFNLEVNRDISLINKQLDGLKDEYCIPVNWWVEKNKGMGKERSNMAEKWEELSGKNNWEGLLHPLDVDLRKYIIHYGELAQATYDTFITERASKYAGASRYSMENLFTKVGLDPNKYRVTKFFYATASIPLPDGFIVKSLSREAWSKESNFMGYIAVATDEGKVSLGRRDIVIAWRGTMQKLEWVNDLQFLLVPAPQVFGDGGLLPLFQPLVHHGFYNAYTSSSSRSQFNLTSARDQVIEEVKRLVEEYKHEEVSITVTGHSLGASLATLNAVDIAFNGINKTSEGKEFPVTAFPFASPKVGDLQFKAAFDKIKGLRVLKIHNLLDIVPKYPPIGYFDVGQELMIDTTKSPYVKPPGEPVSWHLLEPYLHGVAGTQGLGLLAGFKLEVNRDISLVNKQWDVLKDEYCIPGLWWVEKNKGMVQQEDGSWLMLDRDESDMAGMAEKWEELSGKSNWDGLVHPLAVDLRKYIIQYGELAQATYDTFITERASKYAGASRYSNENFFTKVGLDPNKYGVTKFFYATASIPLPDAFITRSFSREAWSKESNFMGYVAVATDEGKVSLGRRDIVVAWRGTKQALEWVNDLQFLLVPAPNVFGNGGLLPLFQPLVHHGFYNIYTSESARSQFNQTSVRDQVMEEVKRLVEEYKDEEVSITVTGHSLGASLATLNAVDIAFNGINKTSEGKEFPVTAFVFASPKVGDINFLNKFSKLKHLHILRIHNLLDIVPKYPPIGYFDVGQEIMIDTTKSPYVKPPGEIVSWHLLEPYLHGVAGTQGLGLLAGFKLEVNRDISLVNKEWDILKNEYCVPAFWWTEKHKGMVQQENGSWLLMDRDDGKNNWDGLLNPLDLDLRKYIIHYGELAQATYDTFISERASKYAGASRYSMENFFTKVGLDPKKYCVTKYFYATSSMPLPDAFITKSLSREAWSKESNFMGYIAVATDEGKASLGRRDIVINWRGTLQVLEWVNDLQFLLVPAPQVFGDGGLLPLFHPLVHHGFHNIYTTENPRSQFNKTCVRDQVMEEVKRLVEEYKDEEVSITVTGHSLGASLATLNAVDIAFNKINKASNGKEFPVTAFVFASPKVGDVNFLNAFSKLKHLHILRIHNVLDIVPKYPPIGYFDVGQEIMIDTTKSPYVKPPGEPVSWHLLEPYLHGIAGTQGIGMLAGFKLEVNRDISLVNKQWNVLKDEHCIPPLWWSEKHKGMVQQEDGTWLLQDRDEYEF >Solyc03g116910.3.1 pep chromosome:SL3.0:3:67661568:67664398:-1 gene:Solyc03g116910.3 transcript:Solyc03g116910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cinnamoyl-CoA reductase [Source:UniProtKB/TrEMBL;Acc:Q4U1I4] MPSESGKVVCVTGAGGFIASWLVKLLLEKGYTVRGTVRNPDDSKNGHLKELEGAKERLILLRADLLDYQSLREAIYGCDGVFHTASPVTDDPEQMVEPAVIGTKNVITAAAETKVRRVVFTSSIGTVYMDPNRAPDKVVDETCWSDLDYCKNTKNWYCYGKTVAEKTARDEAREKGVDLVVINPVLVLGPLLQPTVNASVLHILKYLTGSAKTYANSIQAYVHVKDVALAHILLYEAPSASGRYICAERVLHRGDVVEILAKFFPEYPIPTKCSDETRPRAKPYIFTNQKLKDLGLEFTPVKQCLYETVKSLQEKGHLPVPTQNDEPIKIHS >Solyc06g060150.3.1 pep chromosome:SL3.0:6:38227801:38239569:1 gene:Solyc06g060150.3 transcript:Solyc06g060150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETISSMDIDDDKIDTKPSKGKNIVSNTEPKSVPWVEKFRPQSLSDVAAHRDIVETIDRLASSNRLPHLLLYGPPGTGKTSTILALARKLYGSQMQNMVLELNASDDRGIDVVRQQIQDFASTQSISFGAKSAVKLVLLDEADAMTKDAQFALRRVIERYTRNTRFALICNNVNKVIPALQSRCTRFRFAPLDAVHVSERLKHVVEAEQLDVPEGGLKALVRLSNGDMRKALNILQSTHMASQQISEDAVYLCTGNPLPKDIEQISYWLLNEPFAISCKRISEIKTRKGLALVDIVREVTMFVFKIKMPANVRVQLINEMADIEYRLTFGCNDKLQLGSLISAFTRARSALVAAAK >Solyc09g098330.3.1 pep chromosome:SL3.0:9:72675381:72682310:-1 gene:Solyc09g098330.3 transcript:Solyc09g098330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIERVEQLDYMADDREGTDSCDEFEGDFDNEEINLDDDDMPTKVTDTSAAQARKGKDIQGITWEGLNVTRQSYRLTRLEQYRNYENIPLSGEAVDKVCEQVEKGGNYYEFFYNARSVKPTILHFQLRNLVWATSKHDVYLISNDSLMHWSSISRNLSEVLNFSGRIVPTEKYAGNLLEGLTLTQISTMAVKNRFVVAGGFQGELICKSLDKPGVSFCARTTYEDNAITNAVEIYESVSCGPRFMAANNDCGVRVYDMEKFQQMNHFRFPWPVNHTSVSPDCKFFTVVGDDLDGLLVDSRNGKTVASIVGHLDYSFACAWHPDGRTFATGNQDKTCRIWDLRNLSSSTAILKGNIGAARSIRFSSDGQFLVVAEPADFVHIYNTKSDYKKRQEIDLFGEISGVSLSPDDESLYIGIWDRTYGSLLEYNRRHSCRYLDSFV >Solyc01g009790.1.1.1 pep chromosome:SL3.0:1:4175225:4175386:1 gene:Solyc01g009790.1 transcript:Solyc01g009790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNKFNKKKVMFIIGTTGTPLSSCCSDYENHFFLVELVGGDESNSFSHT >Solyc08g075490.3.1 pep chromosome:SL3.0:8:59764555:59767029:-1 gene:Solyc08g075490.3 transcript:Solyc08g075490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALKPIEPSFPSFIFNAFDDFVNTFIDPPRKSSVDPRYVLSNNFAPVDELPPTECEVVEGSLPPCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSIKISQGKATLCSRFVKTYKYNIENEAGSPIIPNVFSGFNGLTASAARGALTAARAIAGQFNPANGIGLANTSLALFGGKLFALGESDLPYEVKIAPNGDIFTLGRHDFNGKLSMSMTAHPKIDHETNEAFAFRYGPIPPFITYFRVNPDGTKTQDVPIFSMTRPSFLHDFAITKKYAIFSDIQIGMNPIDLLTGGSPVGTDSGKIPRIGVIPRYAKDESEMRWFDVSGFNIVHAINAWDEDGGDTIVLIAPNILSVEHTLERMDMIHASVEKVKINLKTGMVSRHPISTRNLDFGVINPAYVGKKNKYVYAAIGGPMPKVIGIAKLDVSVAEIDRRDCIVACRIFGKDCYGGEPFFVPKNPSIDEDDGYVVSYVHNEKTGESNFLVMDATSPNLDIVANVKLPRRVPYGFHGLFVSENDLMKL >Solyc03g032240.3.1 pep chromosome:SL3.0:3:4791212:4809111:-1 gene:Solyc03g032240.3 transcript:Solyc03g032240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKTAPIPMVVRQPSTSDNRLFDNPTLYRSIMGGLYYLAVTRPDIQHAVNRVSQSMHAPTEQNFQALKKILCYLKGSSRRGLLFQKENLELSIYSDSDWANDKDDRRSTTGYLLFLGQNLISWCTKKQTRVSRSSTEAEYRAMAAGILLRPLGQASPIVQILAGFLMGPSGFSRIKSVEQFFIQSYNSGYYEFMALIFRTIIMFLIGLETDFPYLMRNIRPASIIACGSSLGCTVFASAVTFLVFQETASHGSSFIMALMIIITLANAASPIVVRVAADLKFGTSETGKLAISSSLIADAYAVFLLFILSEYKSTSIPKWIFFFFLYFLIVAILYITAMALEQLGFSSIIASFLIGSMANITKLKNLRNFMVFCILILSSIGGKIVGTLAACFHLKIPYREGVLLSFMMNLKGHVDILALTIGLANEFVTSQNFYDVMIATIIVNTLIWGPIVAFMVRRESDIIGYRQIYFESHNPETELRILTCVHSPRPVATMRGLVAASRGPREVPITPYLMHLVELPGLLVNQIKAVSPFSRMHADVCNTAEDIRASIVVLPFHKHQRIDGKLENGKQGIRTTNQKVLRHAPCSVAILIDRGLTAGCLNPSGSDSLQHIAILFFGGPDDREALGFSKRLGMDHHVNLTIIRFLPSSSRGQISGVNIAHKTDDVMMAIPNDEVEKETDSAILADFHSRYVATGQVGYVEKVVENGADTASALRDMAEMYSLFIVGKDGRGHSILTTGMSDWEECPELGKVGDFLASAEFDISGSVLVVQQYRPSKNDDSDDDDK >Solyc03g121440.3.1 pep chromosome:SL3.0:3:71035626:71041428:1 gene:Solyc03g121440.3 transcript:Solyc03g121440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSREMKKGKQDMSSDAAEKVMVAVKASKEIPKTALVWSLTHVVQPGDCITLLVVVPSQSSGRKLWGFPRFAGDCASGHWKLHSGNSSEHKSDITDYCSQMILQLHDVYDPNKASIQILFISTAINVKIKIVSGTPHGAVAAEAKKSQANWVVLDKHLKHEKKRCMEELQCNIVVMKRSQPKVLRLNLVGSPKKEPDVTGTLSSEQTQICGKESNKKDSLDSSRGPLVTPSSSPEMFSTTEAGTSSVSSSDPGTSPFFVSEVNRDLKKANLSSAQEDVDESSSESESENLSASSSLRFQPWIADIINSHSELSQIKGKSSLRTHDRPQDSTNKTLLRKFSKLDEESDFGSPSYRADLDYSGNVREAVALSRSAPLGPPPLCSICQHKAPVFGKPPRWFTYAELELATGGFSQANFLAEGGYGSVHRGVLPDGQVVAVKQHKLASSQGDQEFCSEVEVLSCAQHRNVVMLIGFCIEDSRRLLVYEYICNGSLDSHLYGRTRDPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVWKTLSPPLKVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLTRPKGQQCLTEWARPLLQECAVDELIDPRLENCYSEHEIYCMLHAASLCIRRDPQARPRMSQVLRILEGDLIMESGKLSTTPGYDVGNHSGRIWSDAQQQCQRFSGSSDGSEEFSAKLSFDKRNPSNVWDRSTY >Solyc09g042770.3.1 pep chromosome:SL3.0:9:25800550:25803419:1 gene:Solyc09g042770.3 transcript:Solyc09g042770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMTMESLSIGISSCLHLRKHPQCSPKFRCSLTLPSNSIQLNSPKTRNLSPVLQTETPIHLISPKFYYLSFLSMTLSFPLNSLASSGTTPPTSAKLNLEAILVSIDDFFTKYPFFVAGVTFIWLVLIPLAEEYLQKFSFISAVDAFAKLRDDPNTQLLDIRDNKSLAYLPSPTLRMLNKSVIQVEFRQGDEDAFLNSVFQKFNDPQNTTLCVIDNFDGNSIKVAELLVKNGLKEAYAIRGGIRGKKGWQEIQETLLPPSVHIYPKKKDKGLQPQGSNNGVIQANEINSQSPSAIGVTQVEQISNGPVKKSADSPSAIKCGPRSSSPYPNV >Solyc01g112180.3.1 pep chromosome:SL3.0:1:98159852:98161962:1 gene:Solyc01g112180.3 transcript:Solyc01g112180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQTVDSKSSDQTNAKSGFGLPKHDKQLSVPVAIVGPALQDSQSESVVAASKSTESPLFPEANTMANKVSNITGSKRPTPECLVNPLNRCSTNNSGSGHLVYVRRRPEGELSKTAANTSQSGVTDYPQLKKLSQHAEKTQTEVQMKEGYYIPEVSSISWTPSRCSLSTEPSVPPSTGEPNNNLASVNVSNHQVTSTRLLLDNPKKVNFKHWEERYFQLQNLLHRLEHSKQEDYVQMLRSLSSVDLSNHAVELEKRSIRLALEEAKEAHRVRVLDILGKYPKNPRASLA >Solyc12g033100.1.1.1 pep chromosome:SL3.0:12:38035840:38036133:-1 gene:Solyc12g033100.1 transcript:Solyc12g033100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGHVMLGVCVIFFVVASVASITPAPSPNVAESPVDNNVIGTLDGGVGGAAPVGGPVPEGVFSNISPESQSSAATINAHLSTIAIISSIVATSFLLS >Solyc08g082870.3.1 pep chromosome:SL3.0:8:65655112:65658776:1 gene:Solyc08g082870.3 transcript:Solyc08g082870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETVKYLLGSAGASGYGSKSTAENVTEQSPDLRSVTAIITGATSGIGAETARVLAKRGVKLILPARSVKAAEETKTRILSEVPEAEIIVMALDLSSLSSVRNFVAEFEYLNFPLNLLINNAGKFAHQHAISEDGIEMTFATNHLGHFLLTKLLLKKMIETANETGVQGRIVNVSSSIHGWFSGDSIQYLRLITKDKSQYDATRAYALSKLANVLHTKELARVLKKTGANVTVNCVHPGIVRTRLTREREGLVTDLVFFLTSKLLKTIPQAAATTCYVATHPRLANVSGKYFADCNEVCSSKLGSNSTEAARIWSASEIMVAKNSNANLDPLEASLL >Solyc10g006960.2.1 pep chromosome:SL3.0:10:1377165:1380192:-1 gene:Solyc10g006960.2 transcript:Solyc10g006960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEQSFGEPIIGFGSDEDISLKKRNSVPVPMANAGNLMECFDCNICLDSAHDPVVTLCGHLYCWPCIYKWIQVENSAPGSEETPTCPVCKSHISNSSLVPLYGRGTSSEECRSNEAQVDVVVPHRPQAIWTTATQVNTSSPPSSHVHQQLSHTSLYYPPSSIHHQQFFPHAFGGYAAIAPSTFRGSGMTRLFSPMVVMFSEMFLARMLGGSDASSFSYPYLSPHPIPGVGSSRMRRQEMQMDKSLNRLSIFLFWTIY >Solyc03g059250.3.1 pep chromosome:SL3.0:3:30397421:30403677:1 gene:Solyc03g059250.3 transcript:Solyc03g059250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIQNGSSKSKIDDYQVVEQIGRGAFGTAFLVMNTTDNKKYVLKKIPLAKQRDKFKRTALQEMDLIAKLSHPYIVEYKDAWVEKGNWICIVTNYCEGGDMAKIIRKSRGALFTEEKLCKWLTQLLLAVDYLHSNRVLHRDVKLSNIFVTKDNDIRLGDFGFAKLLDGEGLASSAVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQAPFRAPDMTGLINKINRGSLSPLPIIYSSNLKQIIKSMLRKSPEHRPTTAELLRHQHLQPYLFRCRNPSSAFLPVKSPNSPKEKTKQSPGKCGSPRFIRERPLRLKEKSPVFHFDGSDKSLGLKEKGHVFHFDERDNIRPRNLSDNYDAFKAKLETNRVDPTSYSAKILVDGVDSKCWDAIEAAICNGGDESDPLLQEGSTNTANSSRFMANMHSDEQEKVSVEHVQQSEEGDREDDKIKDLEELSTPCGSGEADLNELDCISAKPRRMISSSGSSTEKTRSYDEESTSSTTRPAKSDIDAELRCHASVSENVGEFKGVSVDHIASERNRSSSLKDEIEKNANMVEDAKRQALDDRVSLLKALAALAGDGHKNDWENPTQERAEALESLLEVCARLLKQEKIDELAGVLKPFGDDAVSSRETAIWLTKSLMSVQKLAKGS >Solyc08g005750.2.1 pep chromosome:SL3.0:8:594990:595467:1 gene:Solyc08g005750.2 transcript:Solyc08g005750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNALSELIQGASTPSISPIWQRDILSARSSPCITCTHNELLVAFLWKCRTIVLDLHPEEIVHLTYLINIRRKSFNFELPSRYYGNAFITPAALSKAGLLCSNPSTYICS >Solyc10g047772.1.1 pep chromosome:SL3.0:10:42390345:42390770:-1 gene:Solyc10g047772.1 transcript:Solyc10g047772.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTKNSLEFIKGGATYSKTIYLWDKGSAIGRNLYANGLTFFLAPVGSVIHDKHFVVGEGLGLACVDQQYLSKNHHFVAVKFYIFTNYYDPHSDHVGININSMQSVANVTSFSGSHNGTRIDASITYN >Solyc03g051623.1.1 pep chromosome:SL3.0:3:21719421:21723591:1 gene:Solyc03g051623.1 transcript:Solyc03g051623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTNPIGESSENIMEGLANISKQVAEMNGRMGDYQYKNPLYDDINEEFNNDEFWGRDRNGVQTEEVRPRYLGWDQGRGDQDRMGHRGDRIGNRGNRFRERNDQHRGLNTIKVTHQRFKGISDPDEFLEWKIQSERIVLTNNISASLKVKYALARFESCTYVVSSSLVEHMNIQTRKHHNPYKLQWLNESGDMKVLKQASIRFSVGKCNEELVCDVVPMLTCHLLLRRPWQFDIDVVYQDRSNKYTFVIEGKKYELAPFAPYQVSEQYLVMKEASGKNKNYRGKRSTIVQKEESTLA >Solyc04g007810.1.1.1 pep chromosome:SL3.0:4:1494723:1495142:-1 gene:Solyc04g007810.1 transcript:Solyc04g007810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYCYNPSSFFLLLFVTLFLTSDYVIQADARHLLEITLAELPKPESSHLPEIPTLPKSEFPVIPKPELPTLPKPELPKIPKPELPTLPKPELPKIPKPELPTLPKPELPALPKLEIPVIPKPELPTLPKLEIPQVPKKP >Solyc11g062140.1.1 pep chromosome:SL3.0:11:49300157:49300319:-1 gene:Solyc11g062140.1 transcript:Solyc11g062140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFNFIEFLRKKIEETNEHKLYGHTPTKIAYTCP >Solyc12g036515.1.1 pep chromosome:SL3.0:12:47145855:47146239:1 gene:Solyc12g036515.1 transcript:Solyc12g036515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIFHPSQLTPYFSWKYTHHRHHSNSSSLEHDEVYMPRLINIQITINACIVNQGINVSERAAAMILHPTFTKLRQNSSR >Solyc02g094110.1.1.1 pep chromosome:SL3.0:2:55383566:55385107:-1 gene:Solyc02g094110.1 transcript:Solyc02g094110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVTFLTTYPFFILPFFCLTLILYVSYVIVEHYQQGGRGFEGPPTYPVIGCLIPFYKNRYRLLDWYTHLLSHSQTKTIVIDRLGARRTIVTANPHNVEYMLKTNFDNFPKGKPFNEILGDFLGNGIFNVDGEMWYKQRKMVSHEFTGRCLRECVMNALKEEVENKLLPMLDLMEAENRAFDLQDLLRRLGFDVVCKVSLGFDPCCLNDDSLPFSPLLDAFERASQICAGRGAAPVSAIWKVKRLLNIGSERQLRLAIDQIHSSVGNMIRERKIQMRGETEQDINISKVDLLSKLLLAANFDDEEVRDMVISFIIAGRDTTSAAMTWLFYLLTLHPEVENELVSKELRFIEAETLTKHEILRDMKFLKACLCETMRLYPPVAWDSKHAIVDDILPDGTRIKAGNRVTYFPYGMGRMENLWGKDRLEFKPERWMHNTEEDEGASNLYKFPVFQAGPRVCLGKELAFIQMKYVVSSILKRFHITPASSDPPLFLPLLTAHMAGGFNIFVHKTKNI >Solyc05g018740.1.1.1 pep chromosome:SL3.0:5:23138919:23139278:-1 gene:Solyc05g018740.1 transcript:Solyc05g018740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNSSSNPSGDMTIKYGICLKNHATNFGDYSVDGCREFVKRGDDGTKEAYICANCGCLRSFHRMNSHSLYHPPILRSRFLHPHIHPHGRENAPIISHPFMSRFVLVQYIRRPVFYNHP >Solyc12g049560.2.1 pep chromosome:SL3.0:12:62140469:62143254:-1 gene:Solyc12g049560.2 transcript:Solyc12g049560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGAIISDWIPPSRSSSRLTADQLWGCADLQNKKRNKKKRNPSNYHSKRLRSENVDFEADFQDFKDFSDDEEAYSLDIKPFAFSASELSGTSAGSESLISVDANKEVEKSAKRQRKNQYRGIRKRPWGKWAAEIRDPQKGVRVWIGTFNTAEEAARAYDAEARRIRGNKAKVNFPDEASVPASRQAGKVNPRKVLSDESSNPVPPNTMLMNNLNSGYCDNVGLLEEKTKTLNGYEALCVTPVDTGPNPYPHPAAAGVYFNSDQGSNSFGPSDFWGETCSRTPDISSVLSAAIECDEAQFIEGVDLEEKPKSCTNNLVPNNVNTEHKPPEVFSTFESQLKFYQTPYSEGNMDVPVDAFLDADATQGVENAMDLWSFDELSSLMGGI >Solyc12g010540.1.1.1 pep chromosome:SL3.0:12:3531562:3532860:-1 gene:Solyc12g010540.1 transcript:Solyc12g010540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLEEEFIPSTPGKFKDKTYYSGNRQFHRCFASTSTMFLWALFLLALTASYLSFQSFINSGTRYLSSTWGSLHWEKQVRDSAQIHRVNGMSVLVTGAAGFVGSHVSIALKKRGDGVVGIDNFNNYYDPSLKKARKDLLNLQNVYLIEGDINDVHLISKLFDIVAFTHVMHLAAQAGVRYAMENPKSYVHSNIAGLVTLLEACKNANPQPAIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILRGKPITVYRGKNRVDLARDFTYIDDIVKGCVGSLDTAGKSTGSGGKKRGPAMFRIFNLGNTSPVTVPMMVAMLEKHLKVKAKKHVLDMPGNGDVPFTHANISLAQKELGYKPTTNLQTGLGKFVRWYLSYYGYNQEKFIKD >Solyc05g012020.3.1 pep chromosome:SL3.0:5:5216873:5231319:-1 gene:Solyc05g012020.3 transcript:Solyc05g012020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor MADS-rin [Source:UniProtKB/TrEMBL;Acc:Q8S4L3] MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEIALIIFSSRGKLYEFCSNSSMSKTLERYHRYNYGTLEGTQTSSDSQNNYQEYLKLKTRVEMLQQSQRHLLGEDLGQLGTKDLEQLERQLDSSLRQIRSTKTQHILDQLAELQQKEQSLTEMNKSLRIKLEELGVTFQTSWHCGEQSVQYRHEQPSHHEGFFQHVNCNNTLPISMEQILERYERYSYAERRLLANNSESPVQENWSLEYTKLKARIDLLQRNHKHYMGEDLDSMSLKDLQNLEQQLDSALKLIRSRKNQLMHESISELQKKERAILEENNMLTKKIKEKDKIVEQQGEWHQQTNQVSTSTSFLLQPHQCLNMGGNYQDEVAEARRNNELDLNLDSLYPLYNMNKHL >Solyc09g055500.1.1.1 pep chromosome:SL3.0:9:41505673:41506671:1 gene:Solyc09g055500.1 transcript:Solyc09g055500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4CT47] MTTSKISPPKYLQEFSSEEWKKFLSTLPKEKGWMESTIYNYQGFWSSSRATQGVMACQKQFQAQDDDIILVTTPKSGTVWLKSLLFALVNRKSNPIFEQDHPLLVKNPHDLVLFLELDLYVDGQVPDFSLFTSPRLMATHVPFASLPKSVRNSRTKIVYLCRNPRDTFISMWQFANNLRLDNYKDTNSIEEMFDHFCKGMSLYGPFWNHVLDYWRESLENPDKVIFLMYEEIKKQPKIQLKRLAEFLECPFSNEEENCGVVDEILRVCSFENLRNLEVNTNGKLSAGVANKNFFRRGEIGDWKNYFTVEMKDKLNEIIEQKLQGSGLKFSYI >Solyc04g010180.3.1 pep chromosome:SL3.0:4:3500589:3507305:1 gene:Solyc04g010180.3 transcript:Solyc04g010180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSGNEEPGVLARQSSNSSSGIPIKKRWNSMFQPPSPICAEPSSLSNENESKTKCSGLSQKSILNSSDSTGKTDTSKNSLLEVKEETPSGVKVGSKPTMLPFLSISSETNPNATSGTSRNVDNIVKPALTQKLASQEAIGITVVTAVKKEVIAKQGENHSELELPAGSGHVELSLGPKKPHVSSLVDPNSAGSCLMRGTVHPSLLSLSLNKGKDISQDGSCNNGLNNNDADDTACTNRSNWDLNTPMDSWDSGEDFPVQDASQVDLLRKTSSLPDIKLPISSASVTGSNGDKGKQVVGSSEQEFNIPFSIHPSLPYKPVDGLHLSLGSTLLRGFDSSVLQSLAKVDSSRVSPHSSLLKNLALSRNMNSTTCKTVKSEPVEEALVQANARTLEAKVGKPEVVRQNLQSIELSTKGPQELLQEKPMKCEPLHEVSQEISMTANVIAHQSVARVLQLQESSSCSSSSSTLPMPLTPPLGCPSRLSTCSDLSVSGGDLSTPSEYSAHTNEATRIKNALDQANADMAALNANFELKESNVSSDKVEASVSAGMNIEDHMVRKKIQDPHNVFASVEGSANDEEKISISAEDEEYEDGEVREPMMPSIEEDPIAEGMESEKNNVSSSKNARSSGIGESHCFNNDDKGYSIPVHTDNDDLVKGCDEKTVQIDHKDGKLQSPLLGKEETTGDDEERPIGAVHQGSVDQSGIADDQERCEKDVFCDVTPVGSSGAGRNAGEANNEYIGRSDMSSTAVSSLQNAETPVNAASSKDITNFGSKSRIISLPRASNVTPPSNFRPVTGRSLPSRSGREREETCADGPKFVRDRIQDRSFGSSRGNFMRGRGRGSARFDSLRREWDSGHDFDSYGGVADYRFRPKRRPTVGESEIERNDGPDGHFVRGNTKFTTMQRRGFPRMRSKSPVRSRTRSPGPWSSPRRRLNEGYNNGPPDSSHHRSPAMYREDRMRSSPRTSFTEEIVLRRRDSPSYTTRRLNDLRDVDAVQEHSHPRSLSSRRSPPDRVFTRSNRRLEVLDRRERADGDEYFDGPIHTARFHDLRGGGSTDERRKYGERRGGPIRSFRPSYNSENDNFRLHPSGGPRPFRFYQEADAEFVERSNTRDREFDDTIKDRPLPRRMRNVEEQEGGNFRQSGQLWHDEEFDVSRLKRRRF >Solyc07g056490.3.1 pep chromosome:SL3.0:7:64431421:64440825:-1 gene:Solyc07g056490.3 transcript:Solyc07g056490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEVVLLDLWVSPFGMRVRIALKEKGINYESKEENLSNKSSLLLKMNPIHKQIPVLIHNGKPICESLIIVQYIDEVWKDKAPLLPSDPYERAHAKFWADYIYSTGRLVWTTKGEAQEAAKKELIHHFKLLEKELGDKTFFGGDQFGLVDIALIPFYSWFYALETCGNFSMIHECPKLVEWAKRCMERESVSTSLPDQYKVYDFILEVRIALAEKGIQYEYKEEDLMNKSQLLLQMNPIHKKIPVLIHNGKPICESLIIVEYIDEVWKDKSTPLMPSDPYKRAHARFWADYIGKKIYDGGMKIWSSKVEEHKTANKDFIECLKVLEGELGDKPYFDGKNFGLVDMAFIPYYSWFPVYKKLSNLNIEAECPKFVAWAKRCMQKESVSKTLVDPDKIYEFIVFKKMADEVVLLGTYVSMFAVRVKIALAEKGIQYEYKEENLVNKSPLLLQMNPIHKKIPVLIHNGKPICESLIIVEYIDEVWNDKSPLLPSDPYKRAQARFWADYVDKKIYDGGKKIWTTKVEEQEAANKEFIECLKVLEGELGDKPYFDGESFGFVDLALIPYYSWFPAYEKFGKFSIEPECPKFVAWANRCMQKENVSKYLSDPDKIYDFVVMLRQRIGIA >Solyc10g081270.2.1 pep chromosome:SL3.0:10:62517333:62521307:-1 gene:Solyc10g081270.2 transcript:Solyc10g081270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTLRRRLHHGDVGGKKNEHFDSLGSDDGLNEPLLGYQKYDDSDQVCTLEEVLDEGRRRERLHWTLLFSHLISQWAQWLANIVFVSGSLLGRIFPFASTQSGSTGTLLPPLLSPLQEARLKHLKQRLAIPFDGSSSDHQDALRQLWRLSYPDRPLPSLKSELWKEMGWQGSDPSTDFRGGGFISLENLIFFAKTYPESFRNLLHKRNGNRSEWEYPFAVAGINISFMLVQMFDLQSGTPSTLPGIRFLELLSEDDMAFDNIFCIAFEMLDAQWLAKRASYMEFNEVLKATRVQLERELALEDTSSVKDLPAYNLLRR >Solyc04g071630.3.1 pep chromosome:SL3.0:4:58684251:58690063:-1 gene:Solyc04g071630.3 transcript:Solyc04g071630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSGYLNYHQNPSFGSSRRDVSYSCGSCGYELNLNSSSRNTASIGSKYGKSIKKGMISFLSIDESRFTQVDEFKCVPFFFSKRSWGLFQRRTKLQCRKCGNDIGIAYDDSASSYPLVADASDTASGSEITTHRKYNIKIRSLQPSSSASGTPLPE >Solyc10g076480.2.1 pep chromosome:SL3.0:10:59570275:59573439:1 gene:Solyc10g076480.2 transcript:Solyc10g076480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:K4D1Z0] MSVPPGAYQEDLPAVPPWLNKGDNAWQMTAATLVGLQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLVGYRIAFGDKLLPFWAKGAPALGQKYLTGRARMPETTHYYSDGTTIESPMHEPFYPMAAHVYFHFTFAAITMILLAGSVLARMNIKAWMAFVPLWLIFCYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSAGISGFTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPNAANVAAPLAVLNTNISAATSLLVWTTLDVFYFGKPSVIGAIQGMMTGLACVTPGAGVVQAWAAIVMGILAGSIPWYSMMILHKKSTFLQQVDDTLAVFHTHAVAGLLGGLLTGLLAEPSLCNIVLPVTNTKGAFYGGVGGILFVKQIVAALFIIGWNIVATTLILLAIRLFIPLRMSDEQLKIGDDAVHGEEAYALWGDGEKYDPERHGWQGPTSPPQTTTVLTFDL >Solyc01g090450.3.1 pep chromosome:SL3.0:1:84005936:84011306:1 gene:Solyc01g090450.3 transcript:Solyc01g090450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Actin-related protein 2/3 complex subunit 5 [Source:UniProtKB/TrEMBL;Acc:K4AYY6] MAEIVEADNYEGIITRIEHKSRKIESLLKQYKPVEALKTALEGSPPKTKDERCKSANWIVVHRAIMAIKDVDSLFSALDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTEKAGLGCILRCLADTVNTV >Solyc04g064540.3.1 pep chromosome:SL3.0:4:55705264:55706337:-1 gene:Solyc04g064540.3 transcript:Solyc04g064540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKFLIGKSCRLRWFNQLDPRINRRPFSEDEEEKLIGAHRIHGNKWALISRLFPGRTDNAVKNHWHVLMARKQREQSKICGKRSLYQQQQHDSFLSDSKSSCYGFRRRNNNNNNNNMRIQEGNYGSKINFFEFQNPNKDRVFSMSTTYSSSSPEFCGRIGSHLFRESSIDQKSLRQNNLSFSSHGRGGDNNNCKRSTTFQNPFSYVDRNEYDSITERVVNVSNSTFSFGKILKENIEQQKYGEEAREKKDIPFIDFLGVGISS >Solyc09g008470.3.1 pep chromosome:SL3.0:9:1916862:1922247:1 gene:Solyc09g008470.3 transcript:Solyc09g008470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPAQPQPHKRKVTLKRNVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSFEQKIQPFDKRYQFLLFAAEPYEIISFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKTKPLETNKPPTAPTDNGLSAPGASSRPLPPPPQAPPPPPPQGLPPGAPNPPPRGPPSGSMPPPPPLMGNGPRPMPPGGNLPAPPPPPVGGGAMANFTPGGHMGRPPMMPPQGFPGQQQMQGQGMRPPPPPPNMG >Solyc02g087270.3.1 pep chromosome:SL3.0:2:50361310:50365770:1 gene:Solyc02g087270.3 transcript:Solyc02g087270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSYTVAGGGFILIGAWESLVSSSGALKNSSPSSTTQSPPQNPSTDKHEQDSVFSSSVTFVLILILSFLFVLDSLLSFFDALNSKDNIGSVLQLQVIAISLLFFLYSVLGLMTRLKSSFHLPSPILNLLCLFAFAEEFLLFYLQRKDPSGVENRYYNLLLVPIAICAFCSFLELKNPKSNYTRLGRGIGLILQGTWTLQMGFAFFSDLIAQGCYLHQRSRGNYTVKCKGHPQYHRGGAIATLQFNCHLALLVTVITFVYSIVCKKHGIGREHMRYRPIGAEMQHLEIDICSFPSIPRSTRSQQHDPPGSISPSLSSVAMVLVLIFVCGPNLVFPNHNSDNKNGNSQEEIIMDMLIRLPVKSLFRFKCVSNSWRALICEPSFKKQHHLNHAKNDKLLLLRIVKDSNTVFYGSSLLTTTPPQHLNIRDVQESLCVPQCVPWYYHIYGSCNGLFLIGAEQKCFLWNPSTRESILLPSHWDKFFHGYIHGLAYDPTSDDYKFVNIPEDKRAPTEILSLKTGSWRKIYGGYCSLPSANMEYFTLLRGAFHWLTCSVDGMFSLISFNISNEVFGGLPLSKEMPMVCDIIEEGVKVLGGNA >Solyc12g005930.2.1 pep chromosome:SL3.0:12:553993:559922:-1 gene:Solyc12g005930.2 transcript:Solyc12g005930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTHSKRDMEIEYQSQVPILRPSIHARRAKITVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGKVWWSLEASKGANWYLQTHVSSTLKTSLKFSALVNAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTAPDSYYEDLTKAVQDKVTPATKQIDHDLPRTFPGHPWLDTAEGHAALRRVLVAYSFRDSDVGYCQGLNYVAALLLLVMKTEEEAFWMIAVLLENVLVTDCYNKNLSGCHVEQRVFKDLLTKKCPRIAAHLEALEFDVSLVCTEWFLCLFAKSLPSETTLRVWDVLFNEGANLLFHVALAIFKMNEEELLTVHHVGDVIHIIQRCTHQLFDPDDLLTVAFDQIGFMTTTTISRQRKKQEPAVMAELDQRLRRLNSINASDEQ >Solyc09g075440.3.1 pep chromosome:SL3.0:9:67559532:67564284:-1 gene:Solyc09g075440.3 transcript:Solyc09g075440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor neverripe [Source:UniProtKB/TrEMBL;Acc:Q41341] MESCDCIEALLPTGDLLVKYQYLSDFFIAVAYFSIPLELIYFVHKSACFPYRWVLMQFGAFIVLCGATHFISLWTFFMHSKTVAVVMTISKMLTAAVSCITALMLVHIIPDLLSVKTRELFLKTRAEELDKEMGLIIRQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLDLAECALWMPCQGGLTLQLSHNLNNLIPLGSTVPINLPIINEIFSSPEAIQIPHTNPLARMRNTVGRYIPPEVVAVRVPLLHLSNFTNDWAELSTRSYAVMVLVLPMNGLRKWREHELELVQVVADQVAVALSHAAILEDSMRAHDQLMEQNIALDVARQEAEMAIRARNDFLAVMNHEMRTPMHAVIALCSLLLETDLTPEQRVMIETILKSSNLLATLINDVLDLSRLEDGILELENGTFNLHGILREAVNLIKPIASLKKLSITLALALDLPILAVGDAKRLIQTLLNVAGNAVKFTKEGHISIEASVAKPEYARDCHPPEMFPMPSDGQFYLRVQVRDTGCGISPQDIPLVFTKFAESRPTSNRSTGGEGLGLAICRRFIQLMKGNIWIESEGPGKGTTVTFVVKLGICHHPNALPLLPMPPRGRLNKGSDDLFRYRQFRGDDGGMSVNAQRYQRSL >Solyc06g048870.2.1 pep chromosome:SL3.0:6:31927726:31933415:-1 gene:Solyc06g048870.2 transcript:Solyc06g048870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETIATILYGCTLSKEMESNLPNWANQRDVLLSKTEEIIAVFSNVKEKLIINDVVQEWLSTSGHPQALELFHATDHTATATTGFTVHGLPQGSDQMTVSHAAHDSTRVAAASSSQRQRTRTGDRDRRTLRVGAPRMGNLELPPEDGYTWRKYGQKEILGSRFPRAYYRCTHQKLYHCPAKKQVQRLDNDPYVFEVTYRSQHTCYMSATAPTVPPPSAEEMTHKTTTTLPPAPLLLPPPTSASLSGHWLSMDIKPQGDQAGTSYTTTPFDIQRDFGHGSVGSLASLVTAGVGDVGPSGGRYGREIDYQPVVDMADAMFNSGSSSNTSMDKIFSSIDDKWDTAEKKE >Solyc11g019900.1.1.1 pep chromosome:SL3.0:11:9754952:9755131:1 gene:Solyc11g019900.1 transcript:Solyc11g019900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVTGTGKSRLPIDLATHFRGEIINSDKMQVYKRLQIVTNKITHTKKLGVRHYLLGIYV >Solyc06g031740.2.1.1 pep chromosome:SL3.0:6:21381987:21382205:1 gene:Solyc06g031740.2 transcript:Solyc06g031740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSTSLAKENRSIRSINPHFLYLEWCKFADKVASPLMMAILKLAWEIIPVSTPFNPSSPPIYIFFISLYWDFF >Solyc01g079820.3.1 pep chromosome:SL3.0:1:78807564:78811211:-1 gene:Solyc01g079820.3 transcript:Solyc01g079820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAILKRTRMMAQSLRSYASISVGSDVTVAAPNVSLQKARSWDEGVSSKFSTTPIKDIFKGKNVVIFGLPGAYTGVCSMQHVPSYKNNIDKFKAKGIDSVICVAVNDPYTMNGWAEKLQAKDAIEFYGDFDGSFHKSLDLTIDLSAALLGLRSHRWSAYVVDGNVKVLNVEEAPSDFKVSGGDVILGQI >Solyc11g010570.2.1 pep chromosome:SL3.0:11:3640920:3646244:1 gene:Solyc11g010570.2 transcript:Solyc11g010570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:J description:MADS-box protein JOINTLESS [Source:UniProtKB/Swiss-Prot;Acc:Q9FUY6] MAREKIQIKKIDNSTARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFDYSSSSMKQILERRDLHSKNLEKLDQPSLELQLVENSNYSRLSKEISEKSHRLRQMRGEELQGLNIEELQQLERSLETGLSRVIERKGDKIMREINQLQQKGMHLMEENEKLRQQVMEISNNNNNNNNGYREAGVVIFEPENGFNNNNNEDGQSSESVTNPCNSIDPPPQDDDSSDTSLKLGLATLLRLKRSKARCGYFCMLLEEGEKKK >Solyc09g055200.1.1 pep chromosome:SL3.0:9:37662433:37663345:-1 gene:Solyc09g055200.1 transcript:Solyc09g055200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKATSSITSVYWNNATGKQEETSAKTYMHEPRPRVGSISQVLQSSDVTCGIWQGTLTYGMRLQPILARTNVECVYPPRNVVLSNAELSKDSMHGHRICASGK >Solyc07g064360.2.1.1 pep chromosome:SL3.0:7:66674867:66676948:-1 gene:Solyc07g064360.2 transcript:Solyc07g064360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SIEGPSLKLPSLPFSSEAEWPLKVSFSGCRNHQHKWKKWVEAMESVHSSVWKRAGIYEAIMGSIYKVFIDKDLILGLVERWSVETNTFVFPWGEATVSLEDMMVLGGFPVLGSSVLSPLHSPELVETEKNLEEVRKELILAKADNHTRWLNCFMYSGSNIEHEAFLSLWLSRFVFPGNEYDKIGSHVFPIAVSLARGMRLALAPVVLASIYRDLGMLKQTMIMVSSNEQCSDGDTFNILEFSLWAPLFLVQVWAWERLVTLQPEQPQNCNMVVSGVRIGRWHNAKQVLINVRNTIDSSGDTFLWRPYTLAGEGWLVPKFYKENEEWIEGKKLEQGMESFIQCLRVCELVGLDCQEPYRPNRVAMQFGYDQDFPKWIPRSPSSPELAWYNYSRPIDSDLRFYCPSRLFEADVTKRYLEWWRKEILFLADPLKGLSRGRRSKRNSKRLSNLYASPVSAPKLRKIKVETDLDGLPTESQQKQVKNYPAVPPGFSPQYRWQNDKNLSIGVSQTMACDIVPPGFLVKHTSEEKVCTPTDHAGDKNEIGNVVLSKGGVENVKKNLSIGVSRTMACDIVTPHSTKKRIAAETVYSSTDYAHGSNESSTVVPPKCVVEYDKENFSVEACRTGTGDIVPPGLTESHYAGQSVHKSTEAHDSNGSSSVVPPNCFCGIRQGEFIYGGFSNSGLRHCASWFSREF >Solyc12g011455.1.1.1 pep chromosome:SL3.0:12:4263743:4264141:-1 gene:Solyc12g011455.1 transcript:Solyc12g011455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKAEKKPSAEKAPKAGKKLPKDGGAAAAGDKKKKKSKKSIETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc03g098745.1.1 pep chromosome:SL3.0:3:62449461:62452859:-1 gene:Solyc03g098745.1 transcript:Solyc03g098745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAMIVGDENQKAVVNGVNDISAALESSVALYYKASSSSSVSQKIKRNTLLICDFCKCKSHIKEFCYKIVGWENISEQHQSSSGTTSVNRTVSAAEKGVQQLLQGCTFTKDQYDHILKMVQQKSEPAVCNTANTTDTGARNHMVSSLNMLNKNTVHELEVSKPVYLPNGTTTQVSRCSPTNVSHETPTMSSFNEIDHSESPTVDNESEQGTILVLVYVDDMLITGSSLKLIEDTKKALQQVFKMKDLGELKYFLGIEFTRSAAGILMHQRKYTLELIAEVGLTAAKPAGTPIDINDKLTSKLYDEHVNKEQEESDDPLVDQTTYQKIIGKLLYLNMTRPDISFSTQTLSQFLQQPKGSHFDAALRVIRYLKKQPGQGLLLASESDGQVTAFCDADWASVHSLGSL >Solyc08g068200.3.1 pep chromosome:SL3.0:8:57369356:57374305:-1 gene:Solyc08g068200.3 transcript:Solyc08g068200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFITHLPKLHSHFFIFYSTPRIIITSALLSKSKTTPVSHFSSATAAANTLLQTPELLQAKNLEIEDVLEKPHVEISVEKLFFPPDTDVSSGSRPLSSRILKGSNIVLSKYAGNSQVEQAEFVKSSVDTEACPSDGLPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFRINDSWHLVDLPGYGYAAAPHEVRTDWAKFTKDYFINRPTLVSVFLLIDASIPAKKIDLDYASWLAENKIPMTIVFTKCDKRKKKKTGGKKPEENLQDFLELIQKFFQTAPPWIMTSSVTNQGRDEILLHMSQLRNYWLKH >Solyc10g055410.2.1 pep chromosome:SL3.0:10:56666389:56667758:-1 gene:Solyc10g055410.2 transcript:Solyc10g055410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription factor [Source:UniProtKB/TrEMBL;Acc:Q43525] MGRSPCCEKAHTNKGAWTKEEDERLISYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLSRGIDPTTHRSINDPTTIPKVTTITFAAAHENIKDIDQQDEMINIKAEFVETSKESDNNEIIQEKSSSCLPDLNLELRISPPHHQQLDHHRHHQRSSSLCFTCSLGIQNSKDCSCGSESNGNGWSNNMVSMNIMAGYDFLGLKTNGLLDYRTLETK >Solyc01g106650.3.1 pep chromosome:SL3.0:1:94327094:94330606:-1 gene:Solyc01g106650.3 transcript:Solyc01g106650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4B2N2] MNYFSRFIFLATYFIYLSHIALASIVSTGDYNKDFYVTYSPNHINTSADGRTRSLIFDKESGTEIASKDMYLFGQFDMKIKLIPGNSAGTVVAFYLASGQPNRDEIDFEFLGNVDGKRYTLQTNVYVDGFDDREQRINLWFDPTQDYHTYSILWNLHQIVFMVDWVPIRTYRNHADKGAKYPHWQPMELKMSLWNGEDWATDGGKTKIDWSKSPFVATLGSYKIDACVWKGNARFCRVENENHWWNKGQSSTLTWTQRRLFKWVRKYHLTYDYCMDNKRFQNNMPIECSLPKY >Solyc02g030480.3.1 pep chromosome:SL3.0:2:26693477:26697808:1 gene:Solyc02g030480.3 transcript:Solyc02g030480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTTPNHTQTVSGWAAHDSSGKITPFIFKRRENGENDVTIEILYCGMCHTDIHHVKDDWGITKYPVVPGHEITGFITKVGRNVSKFKIGDRVGVGCLAATCLKCEYCKGSQENYCDQVEFTYNGIFWDGSITYGGYSKMLVADHRYVVCIPDNMAMDRAAPLLCAGITVFTPFKDNNLVDTKGKKIGIIGLGGLGHVAVKFGKAFGHHVTVISTSPSKEQEAKHNLGADDFIISTNPKQMMEKKRTLDFILDTVSAKHSLGSYLELLKVNGTLVIVGAPDKPIDLPAFPMIFGKRTVKGSMIGSIEETQEMMDLCGKHNILSDIEIITTDQINEGLERLANNDVKYRFVIDIAGPSSHL >Solyc06g084260.3.1 pep chromosome:SL3.0:6:49502789:49508003:1 gene:Solyc06g084260.3 transcript:Solyc06g084260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLRFPFLFPQPHKTPSSATSDAPSRSFSTVAVAVASGGSALAAAGAIIAITQSPKNPFLENAMNFLLSNFSPNKNHSSPLWGSVSLADNSAPVTESRTGMAFPSILKDTQRLLGIGLRKKAVFGLKNIDVYAYGVYADDVDVKRYLAEKHGRRSVSELQQKEELRNHLMENNIRMTIRLQIVYGRLSINSVRSAFEESVGSRLHKFGGCDNKELLQRFTSQFKDEIKLPRGSIIELSRDHDYILHTTIDGKEVGSIQSKLLCRSILDLYIGDESFDHKANEDVESNLASLLHK >Solyc03g081250.2.1 pep chromosome:SL3.0:3:53576057:53576494:-1 gene:Solyc03g081250.2 transcript:Solyc03g081250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYMQHGKRTLISGEPIIAKGIQIRLLIHLISVVDSVNVNDPGLVYDMGESDYVFNYLYTSAISRITNRFSRKISVTNVGLVNSQYKAIIEPPLGITIHVMPETLIFNSSDARKIRNEHLYCTLLLY >Solyc04g039965.1.1 pep chromosome:SL3.0:4:12321274:12322662:-1 gene:Solyc04g039965.1 transcript:Solyc04g039965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATFSFFFVTILILVFAIVEGRILEHELSSERISDGVEHKLKNQSNYLSLGNLNELPSGDQCKGVITNNLTVDVEYIDSTKENRVHKTPKSGACICATYSKASKRKSL >Solyc08g078850.3.1 pep chromosome:SL3.0:8:62670654:62672638:1 gene:Solyc08g078850.3 transcript:Solyc08g078850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:Q96569] MQNSSSSSSLGPGGLDLTQAFFKSISNAAPPSPTKRHTKISVIGVGNVGMAIAQTILTQDLVDELALVDAKSDKLRGEMLDLQHAAAFLPRTKIHASIDYSVTAGSDLCIVTAGARQNPGESRLNLLQRNMALFRSIIPPLVKYSPETTLLVVSNPVDVLTYVAWKLSGFPANRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSGISVGGVPVLSFLERQQIALEKETLEKIHQEVVHSAYEVISLKGYTSWAIGYSVANLARTILRDQRRIHPVSVLAKGFYGIDGGDVFLSLPAQLGRSGVLGVTNVHLTDEEIEQLRNSAKTILEVQSQLGI >Solyc02g088210.3.1 pep chromosome:SL3.0:2:51007852:51014337:1 gene:Solyc02g088210.3 transcript:Solyc02g088210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGKEFTTHLEETLPEWRDKYLCYKPLKKLLKNLPSTADNLPDGADNPPQDWFVRILNEELDKFNDFYVDKEEEFIIRLQELKERIERVKEKSGKDGALTKDSEFSDDVMGIRKDFVAIHGEMVLLKNYSSLNFAGLVKILKKFDKRTGRLLRLPFTQLALDQPFFTTEPLNRLVRECEENLELLFPLEAEVVESDATAEEPTGATTSYASNVSPALPLGEENVDIYRSTLAAIKAIQGLKKASSTYNPLSFSYIFGNQDNDSTGAITAENSDSDQSVASQSDKETDQEDFHPPE >Solyc09g066450.2.1 pep chromosome:SL3.0:9:65097461:65098963:-1 gene:Solyc09g066450.2 transcript:Solyc09g066450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGESELIHQHTPLDQPNEQWDYCSPNHPSKTLITENRANNPDQRNELSQWVEQVTRQLLIEDLPENEFSDTDTATYHVSCNSEGIGGQQQHEWNSSTHDDHHVARDEMGVKGMSGLDEQGLNLITLLLEGAVAISVDNLGEAHRVLLELTQVASPYGPSCAERVVAYFAKAMASRVINSWLGICSPLINYKTVHTALQAFNNISPFIKFAHFTSNQAILEAFHRRDRVHIIDVDIMQGLQWPALFHILATRMEGPPHVTMTGVGTSMELLIETGKQLSNFAKRLGMSFEFHPVVGKTGEIDISTFKISRGEAIAIHWVQHSLYDATGPDWKTMRLLQQLSPRVVTLVEQEIALGGSFLDRFVGSLHYYSTIFDSLGAFLESDDSSRHSVEHGLLYREINNILAIGGPARNGEDKFRHWRSELSKNGFIQVPMSTNSMAQAQLILNMFPPAHGYSLVQGDGTLRLGWKDTSLFTASAWTSPNSR >Solyc07g006820.3.1 pep chromosome:SL3.0:7:1661937:1693105:1 gene:Solyc07g006820.3 transcript:Solyc07g006820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYEPGGSSRDERDEDDEEEYEEAGGGNRLLGFMFGNVDYSGDLDVDYLDEDAKEHLAALADKLGPSLTEIDLSVKSPQESADAAEQDYDEKAEDAVDYEDIDEQYEGPEVQTVTEEDLLLPKRDYFSTEISLTTLENRDSVFDDENYDEDDNEEKEQEVVEKAAEVQSTPVKGEYNNEAEVISLGNKVPEEVISMDAPEFSEDLQEEEPLALEEPVDSQSSLPLPVLCVEDGEAILKFSEIFALHKPRKKAEKRERRCSVPKDKYKAMHTLDIVEEDEVKLLRGSYEEFPWLRMTHVHHDSALTMLDIEPGTVQGTDDLKPTIEKKDPCCSAEPMKENLSMDLCADWSSPICPEFYPFDQQDWEDRIIWDNSPPLSDNTAESCEISEPDYEALTDKQLDVEAESQSLQSEKEIEPHEKGHSSFFSCSVSVEPFGSKQPSGHLDFSLSEGRYHPQLLRLESRLNSDKQKSTDTPKDGDTDEILSSDALKRFTKLTLQNRDILEESWVDNIIWEPDQPFPKPKLIYDLQDEQMLFEVLHNRDDQQLMLHAGAMITTGLVKPSSGDSAELYGLSGLSGRFNIANDKYYLNRKSTQQLKSHSKKRTAHGLKVLHSIPALKLQTMKAKLSNKDIANFHRPRALWHPHDNEVVLKEQRKLPTQGPMKIILKSLGGKGSKLHVAAEETISSLKSKASKKLDFKLSEPVKIIYCGKELEDDKSLSAQNVPPNSVLHLVRTRIHLLPRAQKLPGENKSLRPPGAFKKKSDLSAKDGHVFLMEYCEERPLLLGNVGMGARLCTYYQKLSPNDQQGTLMRNGNTGLGSVLTLDPSDKSPFLGDIKPGCSQSSLETNMYRAPIFQQKVSSTDYLLVRSTKGKLSIRRIDRIDVVGQQEPHMEVTSPGSKGVQTYIMNRLLVYMYREFRAIEKRGSRPSIRADELSAQFPSLSEAFLRKRLKHCADLQRRSNGQFQWVMRFNFRIPSEEELRRLVSPESVCAYESMQAGLYRLKRLGITRLTHPTGLSAAMNQLPDEAIALAAASHIERELQITPWNLSSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRTTPKAPIPNAISKKKTVVAKGSTVTGTDADLRRLSMEAAREVLLKFNVPEEQIAKLTRWHRIAMIRKLSSEQAASGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQNLSAVDGEENESDSEVNSDLDSFAGDLENLLDAEDFEDGEEGSHEPKHDNADGVKGLKMRRRPFQAQVEEEIEDEAAEAAELCRMLMDDDEADRKKKKKDKAMGEQIGFMPDIRYRFSTESTDRGKKPQIFAKPSIKSNGLNVLDFIGDQKELQAEGFATKRTPSSKVKPKKKFDILDSGLFNKKVKILGEGIKPMKEKKSARDSFVCGACGQLGHMRTNKNCPKYGEDVEARAESTDLEKTTGKSMGSIDILDQSQIFSKKIQKSGTKNLMVDVHEDDNSSSKAKVLKVKCASTDKLPDKPTPATSLNSDIPVTSDAEIGTLPPPIKFNKIKFSNKMRAEDDSNEAYKPSILVRPPMETAESHRSKKIVIKQLKDSTSVDEGFLDGSSGMEYRKTKKINELSYMGQQEREYLYEETLGRKKMDDKRLWEEEERRRIAVRQREERAKIYERQKALEEQEKLAAIESYQDAIRREREEEERLKEKKKKKKKTEIRDDYLDDFLPRRNDRRIPDRDRSVKRRQTFESGRHAKEHAPPTKRRRGGEVGLSNILEEIVDTLKNNVNVSYLFLKPVTRKEAPDYHKYVKRPMDLSTIKEKARKLEYKNRGQFRHDVAQITINAHLYNDGRNPGIPPLADQLLEICDYLLEENESILAEAESAI >Solyc05g042120.3.1 pep chromosome:SL3.0:5:55819808:55838303:1 gene:Solyc05g042120.3 transcript:Solyc05g042120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNKAWRIIPRPLLETVLNNHAQHHRVPQPLILHGPRGVGKTTLILDRLMGKWNSGPHVTGYVDFAESVKDHHPIHGQSFPWGSWSNCTPPSLPFLTTQLESCLESMTQKGIKLGTISSHQIFTVLSKWHGLSTALKQILDGNNSNSRKAVSVRNNSVLNLWERAVFASSVRLNAEESGGLSLEEETYYKEAMSALNLAKEVIRVQQKWRANAIKHLNQTGGFSRSLANSATDWPCLLLELLSSAAEIDYFQPKLVINNIEVLKNAMLMDDSTVCASMYHDSLIWRIIALGANERSLPVILVTSDSYYSYRAYMDFGFPDIFISRETFGWTPAEAKMHMVGDYFSQSEWNVIVEVLGPNPRHLFEIYALKLSNYYQKVMSEKSSKFEDIVDAYLAYLQVTVVNPAMDRALTLLHKFAVDARSGRILKDKLCFGAPWRHPPSSDDPTLCRQWAKIQLMDFVQCLVNAEFGVNYLADCSLEIFDDPSAIALLEVGLLYSQRDPSFLRPLSRGIQRCLVRWLVQERIQLQSKTSLQYLWQRVIRGRSYRHLMLEVGYK >Solyc08g005110.1.1.1 pep chromosome:SL3.0:8:73487:74311:-1 gene:Solyc08g005110.1 transcript:Solyc08g005110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSFIEKSPSPSPSLLPLSYSAPPLIITFTVFLVLFIIFFTIFFCRCFMQHILDSWYITVAGYPICPTKNEKGLDPLIIQSFPTFIYSSVQDYGEGKFGIECAICLVEFVDNSFIRLLTCNHVFHQECIDYWLKSHKTCPVCRANLDSVQCIKLQSSSSCTQSPMHGTSNDGESSETACHNDINSGTTGSIELQKTGKKFTRSQSTGHSIIIIRENEDRFTMRLPQHVINAKNMKGHNTMRSCINFGEMNSKTISGNCRFGEVLKLSTFLDKV >Solyc06g066430.3.1 pep chromosome:SL3.0:6:41817115:41820541:-1 gene:Solyc06g066430.3 transcript:Solyc06g066430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKASRWFKGLLGMKKDKENVDNMSNSSDKRDKKRWSFGKSSKESIGVGDNPVNFPGGVPAVDSNWLRSYISENEKEQSKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSQGRGAMFTGGREKWAAAKIQTVFRGYLARKALRALKGLVKLQALVRGYLVRKRAAATLHSMQALIRAQAAVRSQRARRSMTNDTRNQPETRARRSIERFDEYRSEFHSKRLSTSNDTSYDGFDESPKIVEIDTFRTKSRSRRMNNACMSESGDEQHSQAMSSPLPCPLPARLSIPDCRHLQDVNWSFLADEQCKFASAQTTPRFAGSGRSNAPPTPAKSICGDGYFRAYANFPNYMSNTQSFRAKLRSHSAPKQRPEPGPKKRLSLNEIMASRTSFSGVRMQKSCSQVQEEYCF >Solyc04g081900.3.1 pep chromosome:SL3.0:4:65850448:65852911:1 gene:Solyc04g081900.3 transcript:Solyc04g081900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVKVSELEGKVVGLYFSANWYSPCTKFTNLLVNVYQQLKECSNINLGFEIVFVSSDEDLNAFNTYRSSMPWLAIPFSDLETRRALTQKFDVEGIPCLIVLLPNDDKLDTAVIKDGVELVYRYGVQAFPFTKERLDELRKKEKEKRDNQTLSSLLTHDARDVLSGHPSPKQVSVASLKGKTVGLYFSAQWCNPAKKFTPRLISVYKKIKQQLEGKDDEDFEIVFVSSDHNQVDFTTYFETMPWLAIPFDDPTIKALAKYFDIRGIPSLVILGPDGKTVTKQGRSLINLYKENAYPFTKTRIDILEKQMDEIAKGLPKTENHSGHRHELTLVPEGHGGGAFICCDCDEQGYGWAYQCLECGYEVHPKCVKPVRHDPEN >Solyc01g058737.1.1 pep chromosome:SL3.0:1:67953531:67954590:1 gene:Solyc01g058737.1 transcript:Solyc01g058737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQPTQVYEAQVSGGIDSGPSKIRKMLSTHLVNSSELVQHFISTIAARSTVVDPVRPQHTNVCLSARSNSSGALTVRHKPTTVELSHFNGQKVDVWLFQVEHYFKFYSILHVHQLPLASIHLEGEALDWYRWMFRNKQLFDWMNFSKKLHLRFQDRSLCSPEGRLSKLVQTSIVVEFRARFEAISNDTIDLPDEFLFRFFYFGPPIGYSG >Solyc10g044910.2.1 pep chromosome:SL3.0:10:28852918:28865628:1 gene:Solyc10g044910.2 transcript:Solyc10g044910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLVERVQNQSKQALVQWVNGVREACCLHRVIIYSLRSKQLAIRTGQCFLLNGFIFLGSIFVLKSVIIPALEWILPGHSRLINSQQPCSFSSILEFYRFLRVGLVQLIYVLWFYPLYIFSLILSNIWYNDIAKYGFFALENYGDHDTKLSDQKEPQTSQNTVQREKSTDLEGVMISIAEQLYSVLLLTFFLMEVYVTGFIPYIGKALNFMLLSWLYAYYCFEYKWNLSGLSLDKRLDFFESNWAFFAGFGNPCVLAMFLFSPLVSCGFLAILFPLFVLTATGSEADKIVALPRRKWRGAELGRVPIFFIADYMSMRVLKLFSSKSHQQINVNKAL >Solyc07g064320.2.1 pep chromosome:SL3.0:7:66649516:66650426:-1 gene:Solyc07g064320.2 transcript:Solyc07g064320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTLETIRGGGGSIKVGTTGTISALMSRELDSKPSASTTSVPRRYRSPTVCSFAAGDATSPKRTKPRTSIDKASSSWASEDHKNDSEIIRKAKQYYNCRTPQLPILESENISVDGTPIRKKPDRKGLQEIAGYDFQVTEVIDSLKRVGHFG >Solyc08g006917.1.1 pep chromosome:SL3.0:8:1469974:1475086:1 gene:Solyc08g006917.1 transcript:Solyc08g006917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTVISHNVSTICDTLKFRNQRHDINLFPEIGEMGGILPGLQEILDDRPDIDLGGPTMLMEPSIHAPANALPASVELYDSGNAVDEYKMSSHDNMFAGKGQRKIEQEGKIWMKSFQGSEEF >Solyc08g028910.1.1.1 pep chromosome:SL3.0:8:39212154:39212783:1 gene:Solyc08g028910.1 transcript:Solyc08g028910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFKDVKYHNVIKESIKKDHLIPIRNSLGPLGTCLPIENFYSSYHLITHNQILVTKYLQLDNLKQTFQVIKLKYYLKDGDGIFFNPDQCRNIILNPFILNWSFLHHYYCAETSKTISLGQFICENVCIAKNGPPLKSGQVMFVQVDSIVIRSAKTYLATLGATVHGHYGETLYERDTFVTFIYEKSRSGDITLGLPKVEQVLEVRSID >Solyc02g061665.1.1 pep chromosome:SL3.0:2:33723902:33727849:-1 gene:Solyc02g061665.1 transcript:Solyc02g061665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDPNSHPGDMMPNPELIHQLMSSERMQEYLVQQGLFPHFDQPQSNQSLDYIGFFDSEEALIVVEELEEDSGE >Solyc11g011085.1.1 pep chromosome:SL3.0:11:4167137:4168250:-1 gene:Solyc11g011085.1 transcript:Solyc11g011085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELSVSHLTSQSKRTKGKLYPGTKWRSGGRVTILPSDVELKIGELERDGNPNNHQGVHYKFLTKLQIALPMHSTLIKQALFTCVHVAWKETKQGRLQEKARKTGHPRAHQRAFKKTSSGNELKIEQMNLKDNETRERRCWEIQVR >Solyc12g062703.1.1 pep chromosome:SL3.0:12:34286573:34286916:1 gene:Solyc12g062703.1 transcript:Solyc12g062703.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVNGWRVCMDYSKLNSWTEKDHFPMPFMDQMLDRLDEKGCTVFLMDIRDQEKTPFTCPYGTFTFRRMSLWLYNAPATFLRCMMSIFFDMVEDTIEVFMDDFSV >Solyc01g009290.3.1 pep chromosome:SL3.0:1:3257578:3269975:-1 gene:Solyc01g009290.3 transcript:Solyc01g009290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein CLP1 homolog [Source:UniProtKB/TrEMBL;Acc:K4ATC5] MAYGGTNVNPLAATGGSTTVRQVKLEKECELRVEASADSPLRLRLLTGTAEIFGTEIPPGIWLNFPPRLKFAVFTWYGATIEMDGSTETDYTADETPMISYINVHAVLDGRRNRAKASPSDSDTSQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITIPGCVAASPIELPIDPVEGIPLEMPMVYFFGHVTPSANIDQYKVLVKELAKTLETQFSGNAESRASGMVINTMGWIEGVGYELLLHAIDTFNATVILVLGQEKLCSMLKDVLKNRPNVDVVKLQKSGGVVSRNAKVRQKARGYRIREYFYGPSNDLSPHSNVVNFSDLFIYRIGGGPQAPRSALPIGAEPAADPTRLVPVSISRDLLHLVLAVSYAKEQDQIISSNVAGFIYVTDVDLHRKKITYLAPCAGELPSKNLIVATLTWIEN >Solyc01g106510.2.1.1 pep chromosome:SL3.0:1:94268007:94269470:-1 gene:Solyc01g106510.2 transcript:Solyc01g106510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQKKKEKLVKPQEKTKSLLEPWPNLPQQLLNFMGRQHPDETNRLMQNICFPGVTKSWRAVPKQCSNKNAQLPWLEISDKDHFFQSKTQEHTLTIPFRLGEYWWYSRRSSWDVPWTHFHGCSHGLIVAGGKDPATYCLLIPTSRFTYRSIPTWDPTIPFKFATLSSNPYNNNKACFLMVLTGCSTPAFVVSNIGYQNKWMKEENTLLDPNCSKRELMQFTNAIGFEGKFYALSLQGTLAVIEEIESRFQITKLSRSRAVPSVFPKHFTEYLQESNGEILLIFLIFEKSIRKMDKVEVFKLEMDDLSWLKLDKLGNRTLFVGTNYCMSVNASQLGCRSNCVYFIERATNTWLVYEMGSDTISPCFDDYGSQTISPVWEEPIVENKFIVR >Solyc05g012560.2.1.1 pep chromosome:SL3.0:5:5782514:5790676:-1 gene:Solyc05g012560.2 transcript:Solyc05g012560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSISNVITSFGQKKDSATPSQTCHEYSDDDDIRSNDSTEEGLECPICWESFNIVENVPYVLWCGHSLCKNCLLGLKSASVKISTQQIQIPLFVSCPWCNVLTLRLFYQGNLKFPSKNFFLLWMVESRNGDRMKSPSAIYMDQLMCSSPCTSNTRNASSVTNCRRAHRIGSSGSGTSGPNTNDTPTMQRTQFSLQKSLDFFFLLTSKFPLVVALLLVVIFVIPSSVGILILYLVITILFGLPSLLVIYFAYPALDWLVREITS >Solyc08g074303.1.1 pep chromosome:SL3.0:8:58550325:58558180:-1 gene:Solyc08g074303.1 transcript:Solyc08g074303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRENRQIRSVSLEISAEAGRTGADKRIEDKASAAENAFNEEELSSVELKTVWFKEHTMAEVLCFVAIPAPEANAELQVNIDLTYRWKELIYLYNSRRAPRGLGACANQGEAGDHKAQCLEVPGRGGLGTRRLEVPRGRGRGMQRGKDKGSTW >Solyc11g044260.2.1 pep chromosome:SL3.0:11:33412904:33427334:1 gene:Solyc11g044260.2 transcript:Solyc11g044260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVLTMCDFILRTPPPKGYNVRSQTRSTWSTSSASCLHPHYSNVSYTYNKSIHISSYHKINHPSSGTNGVCKRTPFSSSYSGRGVRILKHQKSLRCRFQICASLDVASAVDVINDLGLDTLTFLAVTVLIVPAFKTIKASPILGFFFAGVVLNQFGLIRNITDVKVLSEWGILFLLFEMGLELSLARLKALAKFAFGMGLTQVVLSTLAFTSFELPPNDAVGTKILEFLFHSRPDLVNIRSVDEAVVIGAALSLSSSAFVLQISYSQAPSSLLQVCDFQFTK >Solyc05g009900.2.1 pep chromosome:SL3.0:5:4125321:4126669:1 gene:Solyc05g009900.2 transcript:Solyc05g009900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPKSNIIHDPFSFTSQELLKQSYSTHDQNPNSPSKVVEDEDHPFFLNNFFPSPFLDDHELPLNQIFSQKHHQKQEASDNHDINQADPDNTIKDNHSVDNSRSTQLNTKIMGDQSSNPAISSKKRKLSAKPRRRTGKKDRHSKICTAQGVRDRRMRLSLQIARKFFDLQDMLGFDKASNTIEWLFSKSKNAIKELSRNISQESNSSDQNNDDHRKLRKIGSSDKPIRAKTREKTKEKMMIKLGHNKKGNQELDETNPMSTIDPKLGSNPKSLEHQFANVGIMERYLGGASYSSITSIFDYDNNGVIKGNIDISDNCFNMGILENCSMTNEVQIPFSVK >Solyc01g099650.3.1 pep chromosome:SL3.0:1:89708910:89713319:1 gene:Solyc01g099650.3 transcript:Solyc01g099650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4B0Z9] MGHYGFRILLHFLFIITFILVSFCCTHILVRDVSLNAAKYFDSIHGFKELHYVVRNGDGNESPIGKMKIYGGKQILIVEKFRTLLGLSRFSPRNGDFAYFEVSPSPSPSPSIAPEAPAPSPVPRVHRHPHPHSHHYPFIPAAHRVREKRRGKSTRVLTAVLVSVGISTALCAIALFWGCKKFKKQRKKSTRSVSLFSSEAGNVCSRSRYGSSQNSVKKVTSDPGPDLFYLDSLDVALESSESICLNKSSVIEKIDSNEDIANNTLSEKDEQEQVISVSMPDDDNCSGVGEIVCVYESADSMKCDMNDCNSSSGDKIVPEEVHSSDDEELFHSLCNSRSSSIRLSNVSAGSLIETSEIMPQDELKTLTCSVSSSTHLSTPPPPPPPPPPPLPTFRTHSPCRPTVSSVKMKSKVLSHASLQIESSSRNSDSSLENDLLQSPPHQAKPAGGIPPPPCPPPFASGSASLSKGPPPPPSLPFQQLALGKDGSPLPKLKPLHWDKVRAAPDRSTVWDKLRPSSFEFDEEMIESLFGYNLHNSMKIDEGKSKTPSPSKHVLEPKRLQNISILSKALGVTVEQVCEALIKGNGLFLPQLEALAKMVPTKEEEDKLSSYKGDINELGSAEKFVMAMLKVPFAFLRIEAMLYRETFDDEVHLLKKSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNIGTIRGGARAFKLDALLKLSDVKGTDGKTTLLHFVVQEIIRSEGLKVSQSIMGKIDGRRKIKTIADREEDYKRMGLDLVSGLGTELCNVKKTATMDLDVIATSVSDLSEEMNKIKALVTNDLSEVENNGNFVHSMTTFLNYAERSLKELQEDENQVLLRVKEVTEYFHGNVSKDESNPLRIFVIVRDFLNMLDHVCKELRSSKTPNSPNPLAPFR >Solyc06g008690.1.1.1 pep chromosome:SL3.0:6:2605210:2606247:1 gene:Solyc06g008690.1 transcript:Solyc06g008690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALIEGLPDAVAIRCLARVPFYLHPKLELVSHSWRAAIQSAELFKARQEVNSSEEFLCVSAFEPENLWELYDPTHDLWITLPILPSNISHFARFSVVSTAGKLFVLGGGSDAVDPLTGDQDGIFATDKVWSYDPATRAWNPRASMLVPRAMFACCVLDGKIVAAGGFTNRRKSICNAEIYDPETNVWVQLPDLHHAHNSACSGVVFGGKVHVLHKGLSTIQVLENFKQGWIAHEYSWLQGPMTVVRGKLYVMSNWFIYKQEGESRRMIVSASDFRRRIGYAMIGLGDDIYIVGGVNGPDYWNCSVKVLSDVDVLTLGSERPAWRKVAPLTRCRGTILGCTQLRI >Solyc12g082795.1.1 pep chromosome:SL3.0:12:17832161:17833579:1 gene:Solyc12g082795.1 transcript:Solyc12g082795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTKSLFKDLDESKKSDVRLGDNKKIQVEGEGTVSIMTSQEYGADQFLAGPSTTSSIAPATSPTLEEP >Solyc01g108300.3.1 pep chromosome:SL3.0:1:95555658:95565930:1 gene:Solyc01g108300.3 transcript:Solyc01g108300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPAELSLDCRPSFIPKSITDFLRQLSLIRNVPDKLSQIDDYITRLEDEMRKIDAFKRELPLSVLLVKDAIVALREESMQYRKSRTEPVLEEFIPLKKSSREDTKAEITKDKDSREKMSWMSSVQLWNSESHCENTDEAINKQQSKSELKVDTQRSPEEGNSSVTEDPFQSCKTMNAAKAFSVPFKGYSGFSVTAVRKDNKDELPGLSLHTPGITKLREDTVTSGLNSKHSGSRGGLSSVAGCQSNMRTGSLAQQQSSRKQRRCWSPELHRRFVDALQQLGGSQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRIPNSQTQPANQSGVALGNLWMSQGQYGESSKQSSSQSGSPQGPLHLAGSCGGTSTTVGDSMDEEDDVKSENHSWKNHVHTSGKYLSGGNTTSDWLYVADQDTLSYLPNQNFSALLQKDVLPEDVKNKIIKQVEYQLSDMSLLANENLLRQMNKDLEGFVPISSVSATKKIKSLITNQQTLAHALLSSTKLIVSNDGKKVKRRIPFTDKDKEELQLRTVVAENLPDDHSHHNIEKIFKVAGSVKTIRVCHPQDPNLSRARGDIGISNKLHALIEFENPEAAERAVEKLNDERNWRKGLRVRLLLRRSPKSILKIRKCEFEGCFDDEDGVPSEDSDNNNVEDGVGSAKKAWNKGRGKLRMRPQIHGGRGLLAALPQSSSSSGVGEGAVRQVTKGPRMPDGTRGFTMGRGKPLTATIISGVHVA >Solyc04g071005.1.1 pep chromosome:SL3.0:4:57955468:57960961:-1 gene:Solyc04g071005.1 transcript:Solyc04g071005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDTYVTVVVLSCISYNIFNHDMECTNFLQKQQLDLGHLAIQFEKKEAAFFVAVPSIEICNYFNQFYNALNEAVALFGTSLFSSHACHRQQESYLVIPVTSSET >Solyc02g089263.1.1 pep chromosome:SL3.0:2:51768306:51789198:1 gene:Solyc02g089263.1 transcript:Solyc02g089263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISLDKAFLLFDFTVAKCYFNFLVQLSGGENLIKQLMRQTMLLTEKLAGDENMLQGLKYLFGFLASVLSDCCSAKSATERSFVKSISNSSSVVGSESTRSVGSRKNADALVLSASQGGSASIECDATSVDEDEDDGTSDGENGSLDKDDEEDNNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTVSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGNSCQCLKPRKFAGSNTTASRGASNFQSFLPFTENGDQLPDSDSDIDEDVLVEAENSIKISIPKDLQDGMPILLNELDLESCVVGLCSSFLPSITSRRDSSLSREKKIFLGDEKVLCNSVDLLQLKKAYKSGSLDLKIKADYSNAKELKSHLASGSLVKSLLSVSTRGRLAVGEGDKVAIFDVGQLIGQATVAPVTADKTNVKPLSRNVVRFEIVNLIFNPLVENYLAVAGYEDCQVLTVNHRGEVSDRLAIELALPLQGAYIKHVDWVPGSQVQLMVVTNKFVKIYDLSLDNISPVHYFTLPDDMIMDAALIMASQGRVFLIVLSEHGSLYRLELSSSKGNVGAKPLKEILQIEGKERHAKGSSLYFSLMHRLLFLSFQDGTTLVGRVNPDVTSLIEASAILENETDDKLRPAGLHRWRDLFGGSALLGCFSSLNSNAACAVSFGEHEVLVQNLRHSVGSASPVVGVAAHKPLSKDKIHCLVLHEDGSLQIYSHVPAGVDSGVSAISDKVKKLGPGILNNKAYGGAKPEFPLDFFERATCITQDVKLSSDAVRNGDSEVAKQTLASDEGFLESPNPGGFKVTVSNSNPDLVMVGLRLHVGNTSVNHIPSEITVFQRGIKLDEGMRSWYDIPFTIAESLLADEEFIISVGPTFSGSALPRIDSLEIYGRAKDEFGWKEKMDAVLDMEARVLGCNSWPAGSRRKCRATQSASLEEQVVAAGLKLLSRIYSLCKPQGCSKVEEAKGELSKLKCKPLLETVFESDREPLLQAAANRVLQAVFPKREIYYQVKDAIRLAGVVKSTAMLSLKLGMDGTTSGWIVEEFTAQMRVVSKIALHRRSNLASFLEMNGSEVVDGLMQVLWGILDIEQPDTQTMNNIVVSSVELIYCYAECLALHGKDGGRSSVAPAVSLFKKLLFSANEAVQTSSSLAISSRFLQVPFPKQTMIGTDDAENSSSVPSRVDASAGASGSTQVMVEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHSRDHPMTAIPIEVETFGGEGSEIHFTNDDLSDSGLVTVASDVGMQSSAPSIHELEPTESEEFSASILDPVTISASKRAVNSLLLSELLEQLKGWMGTISGTGAIPVMQLFYRLSSAVGGPFAGSSEPESIGLENLIKWFLDEINLNKPFTSRSRTPFGEVTILVYMFFTLMLRNWHQPGTDGSATKSGGAVTEAHDKTALHISTPTCVTASSTLDGQEKIDFISHLLHACGNLRQQAFVNYLMNILQELTQVFKSPSVSTDSSSGLNTASGCGALLTIRREVPAGNFSPFFSDSYAKSHRTDIFVDYHRLLLENTFRLLYSLIRPEKHDKAGEKEKLYKMPSGKDLKLDGYQDVLCSYINNPNTSYVRRYARRLFLHLCGSKTHYYSVRDSWQFSTEVKKLYKHINKSGGFQSSISYERSVKIVRCLTTMAEVAAARPRNWQKYCLRHGDVLPFLLNGIFYFGEECVIQTLKLLNLAFYTGKDSSHSSQKAEVAEAGTAVIKLGSQAPETKKKKKVEESDSGVEKTQLDMEAAVDVFSGKGDVLRQFVDCFLLEWNSSSVRSESKSVLLGVWYHGNLAFKETLLTALLQKVNFLPMYGQNIIEFTELVTLLLGKVPDHGAKQQSAEVVDKCLTTDVISCIFDTLHSQNELLANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPSSRMKLESLKSETKFTDNRIIVKCTGSYTIQSVAMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVDFAIPITACNFMIELDSFYENLQALSLEPLQCPRCSRAVTDRHGICNNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDEDMKRGLAAIEAESENAHRRYQQLLGFKKPLLKIVSSVGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMNYLHHKQSDNASPASRFVVSRVPNSCYGCASTFVTQCLEILQVLSKHPTSKKQLVAAGVLSELFENNIHQGPKTARVQARGALCAFSEGDTNAVAELNSLIQKKVMYCLEHHRSMDIALATREELSLLSDVCSLSDEFWESRLRVVFQLLFASIKVGAKHPAISEHVILPCLRIISQACTPPKPNVVDKEQGAGKSSHVTQVKDDSSNVSGSNSLVTGSKSMSGSSEKSWNGSQKAQDIQLLSYSEWEKGASYLDFVRRQYKVSPAGKSGQRSRLQRHDYLALKYLLRWKRHASKTARNEISSFELGSWVTELILSACSQSIRSEMCMLISLLCGQSSSRRFRLLNLLMSLLSATLSAGENAAEYFELLFKMIDTEDARLFLTVCGCLTTICKLITQELVNVEKLERSLHVDISQGFILHKLIELLGKFLEVPNIRSRFMREHLLSEVLEALIVIRGLVVQKTKLINDCNRLLKDLLDSLLLESNENKRQFIQACISGLQIHGDENRGRTSLFILEQLCNLISPSKPEPVYLLILNKAHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICQQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVFELVWKKSNSQSASVVASTTSLSSSAAISVRDCPPMTVTYRLQGLDGEATEPMIKEIDEDREETQDPEVEFAIAGAVRDCGGLEILLGMVQRLQDDFKSNREQLVAVLNLLMLCCKIRENRKALLKLGALGLLLETARRAFFVDAMEPAEGILLIVESLTLEANESDNISITSDVDVVSSDEAGAGEQAKKIVLLFLERLSHPSGLRKSNKQQRNTEMVARILPYLTYGEPAAMEALVQHFEPCLQNWREFDRLQKLYEDNMNDETIAQQASKQKYTLENFVRVSESLKTSSCGERLKDIILEKGITGAAISHLKETFAFTGQVGFKSTVEWTSGLKLPSIPLILSMLRGLSMGHLATQKCIDEGGILPLLHALEGVAGENEIGARAENLLDTLSDKEGKGDGFLAQKVHQLRHATKDEMRRRALRKRAELLQGLGMHQELSSDGGERIVVARPILEGLEDVEDEEEEGLACMVCREGYRLRPTDLLGVYTYSKRVNLGVGSPGNARGDCVYTTVSHFNIIHFQCHQEAKRADAALSKPKKEWDGAALRNNETLCNNLFPLRGPSVPIGQYIRYVDQYWDYLNALGRADGSRLRLLTYDIVLMLARFATGASFSADCRGGGKDSNARFLPFMMQMARHLLDHDSSQQHIMIKSISTYLSSPASESRASTTSGTQTSAGTEETVQFMMVTSLLSESYESWLQNRASFLQRGIYHAYIQRTHGRPVPRSSPNMSGALKTESGSTSTSASEAGGSIELFSTIQPMLVYTGLIEQLQRFFKVKKSSSATTLRTQGTSKNVEDDDEGRKLEGWELVMKERLLNVKEMADFSSELLSWLDDMTSATDFQEAFDVLGVLSDVLSGFSRCEDYVHAAISGGKN >Solyc02g067740.3.1 pep chromosome:SL3.0:2:38424334:38429388:-1 gene:Solyc02g067740.3 transcript:Solyc02g067740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLWSAAVEPPPPIVLVPPLFDFPPLAARTRMLESSYNMLFGKLALRCLFEDYFEEARHFSTRIMLKPIDDPHVDLIATVSIYSLMNLENVPNIFTPEFTIKRQEQFLLEKVGGPLDHKPEEKIVGNAQFRWQSDVEDPHTFVDLFVSNDDPTLLMRSCAFYPKFGFGAFGIFPVLLKKRVSSQDYGVMGLRYGSSNLSVGATLMPFALGDDVPKSAWLVSKMGRLTTGVQYESQFGSKSGQKFKNLENWSCAIGYGVGSGSPLSPSFNFGLELAKSSQVKNPLEEDEVVGITNYIDFGFELQTSVNDEKAPASSIHDSTFQVAASWQANKNFLVKGKVGPLSSSVALAFKSWWKPSFTFNVSATRDRVKGATAFGFGIRVDNIREGSYQRADPNFVMLTPTKEHLAEGIHWKVGKRPLLQSDVNSGNFDGMPRELRPIGKML >Solyc09g014637.1.1 pep chromosome:SL3.0:9:6696195:6714288:1 gene:Solyc09g014637.1 transcript:Solyc09g014637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAGARGTCAACKYQRKRCQENCPLAPFFPSNKFEDFKNVSRLYKVSTIIEMLNSVADNEKKAKMVETLILEAKIRFENPVYGSIAIQNKLMLQIEETMKEIDLVKKTTDYFKELHAKGKCAAFKYQRKRCQENCPLAPFFPSNKFEDFKNVSRLYKVSTIIEMLNSVADNEKKAKMVETLILEAKIRSENPVYGSIAIQNKLKLQIEETMKEIDLVKKTTDYFKELRARGKCAACKYQRKRCQENCPLAPFFPSNKFEDFKNVSRLYKVSTIIEMLNSVADTEKKEKMVETLILEAKIRSENPVYGSIAIQNKLKLQIEETMKEIDLVKKTTDYFKELRARGKCAACKYQRKRCQENCPLAPFFPSNKFEDFKNVSRLYKVSTIIEMLNSVANNEKKEKMVETLILEAKIRSENPLYGSIAIQNKLKLQIEETMKEIDLVKKTTDYFKELRKRAF >Solyc06g060500.1.1.1 pep chromosome:SL3.0:6:38642298:38642960:-1 gene:Solyc06g060500.1 transcript:Solyc06g060500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4C6N0] MDDEGEMSRRPRGRPAGSKNKPKPPIIINRDSANALRTHIIEIADGCDVMESVSNFSRRRQRGVCIMSGTGNVTNVNLKQPASSGEIVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGALMASGPVVIMAASFSNAAYERLPLEEDDQNHPLPMPGGPLGSPGGQQQQLLGGDPSMFHGTPPPNLLNSSMQLPHEGYWSTGRPPF >Solyc12g009510.2.1 pep chromosome:SL3.0:12:2768304:2779537:-1 gene:Solyc12g009510.2 transcript:Solyc12g009510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTNIKMWPLLFIYSLFCCVFLRVSFSSSFDRHLCSPNEASALFQFKQSFNLLDFSPCDTSFPKTVSWNESNDCCTWDGVTCDMLTGHVIGLDLSCSRLNGTIYPNSSLIQLHHLRTLNLAKNYFYPSTIPNDVSRLRNLRHLNLSDAYFQGEIPTEISYLSNLVSLDLSVPSYVNGLQFDQRAFKAVLQNLTNLEVLSLFGVDVSSPIPMNLSSSLRYLDLKVTNLEGVLAESFFLLPKLETLYLSNNYLLKGVLPKIHPSSTLLELDISYTGISGELPDSIGTLSSLTRLYLFGCQFSGRIPDSIGNLTQIRYLNFGNNHFTGSIPSTISKLKHLAVLTLSSNSFGGEIPSFFSNLRELRYLSLSNCSFIGPFPSPILSLTQLETLDLSSNSLSGPLPRNGSMLQKLAELDLSYNSLNGTIPSWMFSLPLLSSVSLHHNRLSGSFPQSPVNLTNLNTLDLSSNNITLDAGIQITLPSLQVLLLSSCELKDFPHFLRNVETIMVLDISNNKIRGQIPNWFSGMRWDSLLHLNLSHNSLTGHLQQFHYYSLESLDLKFNSLQGPLPSFICNMSSLSLLDLSNNYFSDSVPHCLGSMVGLSVLDLRRNNFTGSLPSFCEQSNSLRTIVLNGNRFEGTVPMSLLKCDGLEVLDVGNNVINDTFPAWLGTLQELQVLILKSNKFHGPISTRLNFSFPVLRIFDLSHNEFGGSLPAEVFENFKGMIKTDDGDKGEIEYMQPQSYNGFGNVMYEVSVRLVIKSQEIQLEKITTIMTTIDLSSNHFEGVIPKTLKDLSSLWLLNLSHNNLKGDIPVELVKLNTLEALDLSWNRLTGKIPQELTAMNFLSFLNLSRNLLVGRIPQGSQFNTFENDSYGGNLDLCGPPLSKKCGTSRSFSSSSVHHLCSPTEAYALLPFKQSFQILDNFSCLDYEFRHHDYPRTKTWNESRDCCTWDGVTCDKLTGHVIGVDLSCSQLGESIHPNSSLFELDHLQTLKLDNNNFNHSSIPHSIGRLTNLRHLQLSGFEGRIPTEISYLTDLVSLDLYCSKCELDERTFEAMLKNLTNLELLSLSEVNISSRLPVNISSSSLRYVDLESTNLQGVLTKSLFLLPKLETLKLGYNDLLEGVLPKIHPSNTLLELSIPHTGISGELPDSIGTLSSLNLLYLEGCQFSGSIPDSIGNLTQITELLLWGNRFTGHIPSTISKLKHLTQLVLSDNSLEGAIPHVFSNLQKLVSLDLSNNNFTGPFPSSILNLTSLRYLDLSHNSLNGTIHSWVFSLPSLHDLKLHHNQFNRVDDEIQTNPTLETLYLSHNQLNGPFPRSLANLTSLDFLDFSSNNITGDVGINITFPRLSALFLSSCELKDFPYLLRNLKTLQFLDISNNKIGGGIPNWFSNMRWDSLEHLNVSHNLLTGHLGEFHYHNLEYFDLRFNFLQGPLPSSICNLSSLRILDLSRNNFSNSIPNCLHMMAKLTVLDLRSNNFSGRLPLLCTQSTSLTTIVLNGNQFEGSVPESLHNCVGLKVLDLGNNGINDTFPAWLGTLEELQVLILKSNKFHGPISARKKFGFPQLRIFDLSHNAFNGSLPADFFRNFKAMMKNGRDKSDSRYMETPIFIRHKIVLPLEFELISNNEVYEDSVRLVIKGNDMDLERISTIDTAIDLSCNHFEGEIPKSLKDLSSLRLLNLSHNSLIGHIPMELGKLNTLEALDLSWNRLTGKIPQELTAMNFLEFLNLSQNHFVGRIPQGSQFSTFENDSYGGNLDLCGPPLSKQCGTSDSSHVPQPLAEEEEDESYFFSGFTWESVVIGYNFGLVVGTIMWSLMFKYRKPKWLVEFFDALMPPKRRSSSNTLKYPKSTLDIIVLLSQKRRLGVRVQIAAVGTGSLTLNLAYNNLSGLIPDSIGSITQIRELNFGSNNLTGHIPSAISKLKHLTRLDLSFNSLGGKIPDVFSNLQELVSLYLSYNSFIGPFPSSILTLTRLENLDLSSNSLSVSLDLKSNFLEGALPSSICNMSIVSLLDLSHNYFSNSIPSCLGNKTQLTVLDLRRNNFSGSLPPLCSQHTSSSTTKLNGDGNRLTTIILNDNHFEGHVPVSLLNCVGLEVLDIGNNAINDTFPAWLGTLQELQVLILKSNKFHGPISTRLRFGFPRLRILDLSHNEFIGSLPAEVFQNFKGMIKTDDSDKGKIEYMKTSDSFFVMYDDSVRLVIKGNDIELERITTIMTAIDLSSNYFEGVIPKTLKDLSSLWLLNLSHNNLRGDIPMELGGLNMLEALDLSWNQLTGMIPQQLTRLTFLAFLNLSQNHLVGRIPQGSQFNTFENRSYGGNIDLCGPPLSKQCGTGDPSHIPQPLEGEEEDETYFFSGFMWESVVIGYSFGLVVGTVVWNLMLPKWFVEFFEGITPHQKRRPKKRAQRRRT >Solyc01g106060.3.1 pep chromosome:SL3.0:1:93934323:93940059:-1 gene:Solyc01g106060.3 transcript:Solyc01g106060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKKFAVLLCAEDSEYVKKKYGGYFGVFVRMLAEEGETWDFFRVAHGEFPTDDEIGEFDGFVITGSCNDAHGNDLWICKLLNLLKKIDSMKKKVLGICFGHQILGRSLGGTIERATNGWDIGVTTVNLSTSKQFNSLKLPAFLQIIECHRDEIRELPPKAEVMAWSNKTGIEMFRYGDHIMGIQGHPEYTKDILLHLIDRLLQHNLIEESMADVAKAKVEECEPDREQWKKLCISFLKGKL >Solyc06g075640.2.1 pep chromosome:SL3.0:6:47150481:47155172:1 gene:Solyc06g075640.2 transcript:Solyc06g075640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNNERVYMTPARGFLTPPPKWRSPATEKDQKWPTHAQSAKADLFHVIHKVPSGDSPYVRAKHVQLIDKDPGKAVSLFWAAINSGDRVDSALKDMAVVMKQLDRSDEAIEAIKSFRNLCPSESQESIDNILIELYKRSGRLEEEIELLELKLKNVEEGIAFGGKRTKIARSQGKKVQITIEKEYARLLGNLAWSYMQLNNFKLAEEYYRKALSLESDKNKQSNLAICLMHMNKIAEARFLLQSIKTSDRRQMDESCTKSFERATQMLAELESHGIQNSKEQVEEMREVRIDSSTSDEHDRRGHEKTHPPPFTASGPPKHFLTQPRRYSCSLNDGGWLNKDSVSACSRRLLFEQTSNNENVQLVVNHNFNKLISVNDISEGASVVCGQVFSRSWGNGANVRSECDLQPPYSKWKNNSSGNDGSDQISLELSRSPTESLPDITSARKCSENGSKDCWSSTLTYRDMVTLEDTTEHLDSTSLKPLNLPACTSKKSWADMVEEDELGLQFHETPGKYSDENENIDANIINLSQNIDTLCLNEGYHTQPGREARRSLCFDHNDRKEKCSSGFQGKELKSGSLNSLPPIGDIAYQTPVTLMRRNRLQVFRDITPESPKP >Solyc06g060470.1.1.1 pep chromosome:SL3.0:6:38614117:38614839:1 gene:Solyc06g060470.1 transcript:Solyc06g060470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSENSAGKSPKRELQGPRPTPLKLRKDSHKIKKPPVGPHQHHQLPPHHQPPPPPRPPIIIYTVSPKVIHANPSEFMSLVQRLTGPNSTSSSSISSFPFQENISAISPAARFASIENTRTTRGNIKRSQITSCDMEMVNHEEIEISREIERSCSGVFPQGILSPNPSSLQPISSNFFSPPSAGFFHDLSPELHHNRNYFEHSSNYLPSPSNFISPTIILSPSTPSFDLFNNIFDQYREK >Solyc09g011480.3.1 pep chromosome:SL3.0:9:4799030:4802834:-1 gene:Solyc09g011480.3 transcript:Solyc09g011480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGVPLQFQPQLKHFIPINTCKNSKNCTLFSVFKFQVCNSIKNLYQKSKGFGSKRFDFDGVVEENSVFLDDKMEVLTEKSDGVEGKVEFCEENDIKIESFVYEEEGIESSVSSDFLVSEGTVNDEEHSSSEDSCSPPSIVWHVQKKASSEGTEQPHVDKRKLEKQGSCLSEVEMMKERFSKLLLGEDMSGCGNGVCTALVISNAITNLCATLFGQIWRLEPLPSEKRLMWRREMEWLLCVSDYIVELTPSWQTFPDGTKLEVMTSRPRLDLYANLPALRKLDNMLLEILDSFRKTEFWYVDQGLSAEEADGSSSFRNPLPRQEDKWWLPVPRVPIDGLSENAKKQLQHKRDCTNQILKAVMAINSNTLADMEVPESYVENLPKNGKASLGDLIHRYITSDQFSPECLLECLDLSSEHQALEIANRVEASIYVWRRRTQAKSSLLRSNSKSSWEMVKDLMIDVDKRDLLADRAESLLLCLRQRFPGLPQTTLDMSKIQHNKDVGKSILESYSRVLESLAFNIVARIDDLIYVDNLTKLSDQFVPISKVGIISHKTIGTPISVHISGSQIKAPFTTPISSSQHIRPAKGDGSQFLDNNVKTSQFGFGVKKMLNDYWSVDSNGKDISNELKRSDSATASVGSSSSECSRETVSPSTHDSLREA >Solyc01g102750.3.1 pep chromosome:SL3.0:1:91361190:91366668:1 gene:Solyc01g102750.3 transcript:Solyc01g102750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVPRTVEEVFSDFKSRRAALIKALTTDVEKFYQQCDPEKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLSVAFYFGARFGFGKSDRKRLFQMINDLPTVFEVVTGAAKQTRDAPHNNSNKSKSSGKPRQPESQLKAVKVSPPKMENDSGEEEEEEEEDEQGATLCGACGDNYATDEFWICCDICERWFHGKCVKITPAKAEHIKQYKCPSCSSKRAKV >Solyc10g018943.1.1 pep chromosome:SL3.0:10:11006936:11007491:-1 gene:Solyc10g018943.1 transcript:Solyc10g018943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMILSFMRIRTTKPPPQIKMFPKLLTQQDPGPDQVGVGVYSPKHVHLDPRRKSLGCSSNPTQERIRGNHIMGNVWSHRKAHFSLWVIRRASLYDQGPGHKCPSAIHEGRTSEVTAMSINLTHRIKQ >Solyc05g051210.3.1 pep chromosome:SL3.0:5:62322595:62324381:1 gene:Solyc05g051210.3 transcript:Solyc05g051210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPHKPRSFSPNLFFFFLLVSSNLLTFFISNTFKHSSCYLYQQTYNAISTASSLNTDIPLVVEPAETRDDDIQESDLDLPSEFRAFASPHKLPFGFSTNFDSDNIIPPVGHPCTRFPDLLRRYMSYRVNGSCPDDEILGQKLLLKGCEPLPRRRCRPAAQQEYVEPYPLPESLWTTPSDSSVVWTAYTCKTYECLINRVKSQKSFDDCKDCFDLNGREKRRWLSTKGAGLDFSIDEVLAVKKPGTIRIGLDIGGGVATFAVRMRERNITILTTSMNLNGPFNTFIASRGVIPLYISISQRLPFFDNTLDIVHSMHVLSNWIPSTLLHFLLFDIYRVLRPGGLFWLDHFFCVGEQFEQVYAPLIESIGFNKVKWVVGRKLDRGPELNEMYLSALLEKPLKNSW >Solyc02g063070.3.1 pep chromosome:SL3.0:2:35658111:35666867:-1 gene:Solyc02g063070.3 transcript:Solyc02g063070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSREENVYLAKLAEQAERYEEMIEFMEKVAKTADVEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVNTIKEYRSKIEAELSKICDGILSLLESNLIPSASTAESKVFYLKMKGDYHRYLAEFKTGTERKEAAENTLLAYKSAQDIALAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDNADDVGDDIKEASKPESGEGQQ >Solyc10g018750.2.1 pep chromosome:SL3.0:10:10101126:10105159:-1 gene:Solyc10g018750.2 transcript:Solyc10g018750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFLASFLFFSPCLSIRTTTFFHSKTINHTNHHHKIQTYTWHKFKIFVDATKGKKINGISELKKYFHRFGYMKMDYNNLNFTDLFDDHLEHALIKYQEKLGLLVTGKLDENTVSQIISPRCGVSDSTPQLFMHAKRNYAFFTGRPRWSRSIPITLTYAFSKEYVISSLSMLEIKDAFQRAFNHWALVIPVTFMGSNDYGFADIRIGFYKGDHGDGEAFDGVLGVLAHAFSPETGRFHLDAAETWAVDFEREKSDVAIDLESVATHEIGHLLGLAHTSVQEAVMFPSLKPREKKVDLKMDDIKGIQALYGSNPNFSYKALLESDTSTNNGATLMKRQLTLSNFILVLILFMSM >Solyc10g079860.2.1 pep chromosome:SL3.0:10:61458331:61459707:-1 gene:Solyc10g079860.2 transcript:Solyc10g079860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACTKLHFPITATTLLVILILATLDFTGAQTGVCYGRNGNGLPSPADVVALCNRNNIRRMRIYDPHQPTLQALRGSNIELILGVPNPDLQNIASSQANANAWVQNNVRNYGNVKFRYIAVGNEVSPLNGNAQYVPFVINAMRNIQNAISGAGLGNQIKVSTAIETELTTDTYPPSRGKFKDNVRGYVDPIIRFLVANRSPLLVNIYPYFAIANNQAIKLDYALFTSPGVVVNDNGREYRNLFDALLDATYSALEKAGGSSLDIVVSESGWPSAGAGQLTSIDNARTYNNNLIRHVKRGSPKRPSKPIEAYIFALFNENLKSPEIEKHFGLFTPNRQPKYPISFN >Solyc09g056030.1.1.1 pep chromosome:SL3.0:9:46960931:46961179:1 gene:Solyc09g056030.1 transcript:Solyc09g056030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQLALKTSTKRLHDYSASGAARITHDETLSLSRKSPIQFFVCMFSDGKTMVIQADSSDTVASVHRKFSRSPEFDQQLNND >Solyc06g071900.3.1 pep chromosome:SL3.0:6:44433633:44438356:-1 gene:Solyc06g071900.3 transcript:Solyc06g071900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFISILWKQRITSAIHQTIVISLSKQTIHSCQVYCLKTSDANFTSEKSESEKEERLVDQGMVTTRNSLSQRIESVPIGESIGSTFQSWMGDGLPIHRGDIFHTMNRLRKLKLNKRALEVMEWVIRERPYRPKELDYSYLVEFTSKLHGISQAESLFSRIPSEFQNELLYNNLILGCLDRGLIRLSLAYMKKMRELDHPISYLVFNHLIILHSSPSRKKFIPKILTQMKADKVTPHVSTFNILLKIEANQHNIEGLLKVFSDMKRAKVEPNEVSYCILANAHAVARLYTVCETYTEAIEKSATGQNWSTLDILVILYGYLGKRNDLERTWGVILELSHVRSKSYVLAIEAFGRIGDLCRAEELWSAMKSRNSLKSNEQFNSLIAVYCRHGHITKATALYKEMEKSGCKPNAITYRHLALGCLRAGLIKEAIKTLQLGMDMKTSIKVKRSTPWLETTLSIIEIFADNGDVENAEKLFEELKKANYTRYTFVYNALIKAYVKGKVYDPNLLKRMILGGARPDSESYSLLKVIDQLRT >Solyc02g084420.3.1 pep chromosome:SL3.0:2:48085275:48086514:1 gene:Solyc02g084420.3 transcript:Solyc02g084420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCDVCESAAAILFCAADEAALCRACDEKVHMCNKLASRHVRVGIAKPNEVPRCDICENSPAFFYCEVDGSSLCLQCDMMVHVGGK >Solyc04g081520.2.1 pep chromosome:SL3.0:4:65555813:65558742:1 gene:Solyc04g081520.2 transcript:Solyc04g081520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNQFPGPLLNTTTNDVVIVNVYNNLTEPFLMTWNGVQLRRNSWQDGVEGTNCPIMPGKNWTYKFQMKDQIGSFFYFPSLFFQKAAGGYGPIRINNVETVPLPFTRPDYEYDILIGDWYFDEYKDLRISLEDGCKMPFPDAILINGMRSDQATFEFQPGATYRLRISNIGLKTTLNFKIQDHEMLLVETEGAYTSKKYHQSLDIHVGQSYSVLVTAKNHSYNSSYHMVASSRFIPRKLSGLAIIRYPNFDVEPLVAVYPDEPSQDEFRFSLEQALSIRTDLLVGAARRNPQGSYVYGSINISRTLILQNGEAALDGQNRYTVNGVSFLHPRTPLKLADYFQLSDVFEPGFLPASPVDDVPRLGISVIDANYHDFVHIVFQNPLDSLQTWHSDGYNFFVVGMGRGKWEVEKMETYNMIDAIYRSTIQVYPYSWTAILIKLDNQGMWNLRSQDAEKWYLGQELYIKVKGDVNDPSKISPRDEAPIPANVIKCGKVADL >Solyc01g094230.3.1 pep chromosome:SL3.0:1:85657984:85665420:1 gene:Solyc01g094230.3 transcript:Solyc01g094230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLTPPNLNTEVPDAMEMIFQSALALGRKGVYHSLWCSLHLIDNSVHAFVAPKVIDGKNTPSPVRELGTGEITQALELIDVCYEQVPWGASGHRGRARVTASRGWGVGDGNRPRGTAPQGSWGAGTGLGAWSLEVLGGGGDGPQSKVPRGWGVGETGEGHDASRSGGRGDVLDARRLEVKGEGRTGLEVWRMGGRGLWARRLEVRGEGFRGTAPRGPGWGVGGGVSGHGASRFEGRGDGPRGTASRGPGVGRGALGHGASRSPEVGGGVSGTAPRGPRG >Solyc12g094740.2.1 pep chromosome:SL3.0:12:65853012:65853859:-1 gene:Solyc12g094740.2 transcript:Solyc12g094740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILCKQNDSFEVYALVPGLLLEEVRVQSDPVGRLVITGQPNQLDNFWGVTAFKKVVTLPARIDQLRAIAGFTLHGCLHVHVPFAQKNI >Solyc04g074980.3.1 pep chromosome:SL3.0:4:60945159:60949471:-1 gene:Solyc04g074980.3 transcript:Solyc04g074980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSDNPSEMSEDEERPCPSDLTGGVTAKARNCCFNAAVTGGGGGIFNFSPHPDQVLENVLENVLCFLTDRRDRNAASLVSKSWYRAEALTRSEVFIGNCYAVSPTRVTTRFKRVTSVAIKGKPRFADFSLLPPDWGAHFTPWASVLGDSYRGLEKLYLKRMSISDDDLGLLARCFPNFKELVLVCCEGFGTSGLAIVARDCRQIRVLDLIESEVSDDEVDWISYFPENKTCLESLTFDCVECPIDFEALEKLVIRSPSLKRLRLNRFVSITQLYRLMIRAPQLTNLGTGSFGASTVTDEPDPDYASAFAACKSMVCLSGFREIAPEYLPAIYPVCGNLTSLNLSYGANINTEQFKSVISRCHKLQVLWVFDSVCDEGLEAVAATCKDLREIRVFPIEAREDADAPVSEVGLLAISEGCRKLKSILYFCQKMTNAAVIAMSKNCPDLVVFRLCIMGRHLPDHVTNEPMDEGFGAIVKNCKKLTRLAVSGLLTDRAFSYIGQYGKLVRTLSVAFAGNSDLALKYVLEGCPKLQKLEIRDCPFGDLSLRSGLHHYYNMRFLWLSSCRVTLQGCQEIARQLPRLVVEVISGDDEEGSETNEHVNTLYMYRSLDGPRADVPSFVQIL >Solyc05g017850.2.1 pep chromosome:SL3.0:5:18493796:18494427:-1 gene:Solyc05g017850.2 transcript:Solyc05g017850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTQRNTSSTLKELQLGIHF >Solyc04g081860.3.1 pep chromosome:SL3.0:4:65815101:65818521:-1 gene:Solyc04g081860.3 transcript:Solyc04g081860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BVR5] MALQSVFVITFVLFSCVNALSSNYYDQTCPDAESTIRQVVKKAMSNDKTVPAALLRMHFHDCFVRGCDGSVLLNSTKNNQAEKDGPPNISLHSFYVIDVAKKQIENMCPGVVSCADILALAARDAVTLSGGPYWAVPKGRKDGRISIASETRQLPGPNFNISQLQQSFSQRGLSLDDLVALSGGHTLGFSHCSSFRNRIENFDSKNDVDPSLDASFAANLKKICPVKNTTKNAGATMDTTTFLFDNAYYKLILQKKGLFSSDSTLLTNSRTKTLVLNFANSQHEFFKAFADSMIKMSSISGSGQEIRHDCRFVN >Solyc08g079740.3.1 pep chromosome:SL3.0:8:63352480:63356143:1 gene:Solyc08g079740.3 transcript:Solyc08g079740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLGTFVHCVVLVWCFCLIELELVSPITEKEILLQFKGNISTDPFNSLASWDPNGTPCLDFSGVFCNSVGNVVKIVLWNTSLGGVLSPALAELKSLRILTLFGNQFTGNIPAEYGGIDSLWKINFSSNAFSGSIPEFLGDLPNIRFLDLSRNVYSGEIPVSLFVNCNKTRFISLSHNNLSGSIPASIGNCQSLEGIDLSFNGLSGSLPSQICDIPGLVYLSVRSNALSGLVQEQVSPCQRLELLDLGSNEFSGLAPFGVLELVNLTYFNVSNNDFEGEIVNSGTCSQRLEVLDVSRNNFFGEIPLSISKCNTLKYLDMAYNRINGSIPIELADLKSLSVIRLGDNLLGGTIPAELGGIEWLAVLDLHNLTLFGEIPYEISNCKLLLQLDLSGNLLVGEIPQNLYNLSNLVYLDLHHNQLNGSIPSTIGNLSNLHFLDLSQNLLSGSIPVALGDLQNLTHFNVSYNLLSGAIPSIESIKKFGPSAFFHNSGLCGDPLEVSCTASGTTLAKGKPKLSVSAIVAIVAASIILTGVCLITIINMKARRRRRREDETFVLESTPLASTDSNVIIGKLVLFSKTLPSKYEDWEAGTKALLDKESLIGGGTIGSVYRTSFEGGVSIAVKKLETLGQIRNQDEFEHEVGRLGTLQHPNLVALQGYYWSSSMQLILSEFVPNGNLYDNLHGLSYPGTSTGAGNPELNWPRRFQIAVGTARALTYLHHDCKPPVLHLNVKSTNILLDTNYEAKLTDYGLSRFLPLLDNYGLTKFHNAVGYVAPELAQSLRLSDKCDVYSFGVILLELVTGRKPVESPIANEVVVLCEYVRGLIERGAASDCFDRSLRGYAENELIQVMKLGLICTSEISSRRPSMAEVVQVLESIRNGLGS >Solyc01g089900.3.1 pep chromosome:SL3.0:1:83477429:83478426:-1 gene:Solyc01g089900.3 transcript:Solyc01g089900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEPGEGFANDVTSENSYIEGFKCLMSVDGFSRVRIRIGFPPISFAKEPKVFIHGNIRNTDIMNRSWPGCSVIP >Solyc02g067880.2.1 pep chromosome:SL3.0:2:38537019:38542095:-1 gene:Solyc02g067880.2 transcript:Solyc02g067880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQMAATTDDAQMVLKVPFVIGVAGGTASGKTTVCDMIISQLQNQRVVLISQEAFYHPLTAEQLEKVHEHNFDHPDAFNTELLLSCMEELKHGRGINIPNYDFKLHRSVEPARVVIYSSSLAKYFKLMLHVNPSDVIILDGILILHDPRLRDFMNMKIFVDSDSDVRLARRIRRDTVERNRNILNVLDQYSKHVKPGFEDFIHPTKKYADVIIPRGADNDVAIDLIVQHIRTKLGQHDLCKIYSNVFVIQSTFQIRGMHTLVRNAKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQIITPTGSVYSGVVFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHGEGKSGRQLIYEKLPSDIASRHVLLLDPVLASGNSAVKAISVLLSKGVPESNIVFLNLISAPEGLHTVCNKYPRLKIVTSEIDTTLNKDLHVIPGMGEFGDRYFGTGSRVITPS >Solyc12g042790.1.1.1 pep chromosome:SL3.0:12:59144374:59145102:-1 gene:Solyc12g042790.1 transcript:Solyc12g042790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNLRIVNSNQIDVESTDLQILNSNEVEVDSTSFSSNFIAITEYNHGYKSVDDDIELQNILFYSAQFHSGKNLESSSNYDVGKKPIEIKYFVVQDSLNLKNSITQEGESSCTFCEICEDVFSLSNIMMWGTNCNHYYCEECIHNYIGKNVDEVIHEVAIRCPTSDCKEILDINLIMSVDFLIRVRDVSRLIKILAFSQFIDCPYMDCMGKLIDDQQGYPIRACPKCWKLFLSIVNIYILE >Solyc01g016760.1.1 pep chromosome:SL3.0:1:21945486:21945941:1 gene:Solyc01g016760.1 transcript:Solyc01g016760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYLSVMQSNFIRVSILRLLFLRCTRIKLLVMPAWDKLETKTICIFFMNGKILNLMMHSIEEPSYHIKKI >Solyc06g036340.3.1 pep chromosome:SL3.0:6:25992678:25998096:-1 gene:Solyc06g036340.3 transcript:Solyc06g036340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVKHTAAALRFSAVNFDNTSRRLSHSPSFFIPPRDKFGRLTDNYAGRKTCKGKFGIKATAKDHFSSGSEPVKQNATQSSYHPSEDIGEPELMENEEAQLKPAECTRTIIEVNSKATLMFSSAISDVMRANIFWPDLPYTTDELGNVYFQVKNDEDVLKNPTTEENVVEVIIGLDTSEMQSEMESSGQSELGYHIDEFDDENIDIDDEDDIDDDDDDDDDDDDDDDEADWVSVLDDEEDQSGDPDLEDWATLETMRSSHPIHFAQQISEVVTDDPIDFMDQPPAGLIIHGLLRPAFLEDHITIPKQIAEHESNDAGIKQMEQVAEHKQNGSVQVNGHKHETESSQDNPSCPEELEKDETLGNGTSFYKLEMIKIQLISSHGQQILVELEDFSQARPDAIAHSAANIISRLKAVGEKTTQALRSLCWRCKGIQVEEVVLIGVDSLGFDVRVCSGAQIQTVRFSFKKRATSEYSAERQLNDLLYPRVHPKLHQKKETHQTES >Solyc06g063250.3.1 pep chromosome:SL3.0:6:40063721:40070115:-1 gene:Solyc06g063250.3 transcript:Solyc06g063250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTRGVLSALRCYRHRYQGSYSLSAALDKFDFGAFHTCSSEIKEETKPHFDAYHRFQCNPYSNFSGGQGPSFALRSIFSVNSSLNVVSSSISRNSSHLFLSRGLITRPKKLKTIEVVDDPGQRAVTTALWCNFLVFSLKFGVWFVSSSHVMLAEVVHSVADFANQALLAYGLSSSRRAPDAIHPYGYSKERFVWSLISAVGIFCLGCGATIVHGVQNLWTTEQPTNIGYAALVIGGSFIIEGISLVVAIQSVRKGAAAEGMTVRDYIWRGHDPTAVAVMTEDGAAVTGLAIAAASLVAVNATGNPIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAIDDNDMDRVLQFLKNDPVVDSIYDCKSEVIGPGFFRFKAEIDFNGVVLVQNYLERAGREEWAKQFREASEQKDDAALLKMMSIYGEEVVTALGSEVDRLEKEIQEIVPGIRHVDIEAHNPIVPTP >Solyc08g079760.3.1 pep chromosome:SL3.0:8:63364139:63374247:1 gene:Solyc08g079760.3 transcript:Solyc08g079760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEMEDMVEYTFTAVEIDLDYEFDAPRYFDLGCEEALPETRQAESWFESAGSYPPSPFVTRLISKEDSFLENIHVSPKSKVVNMSLSGSDSDIEVEQEVPAVMEEGVGNNAEISANLGISNAEKLLNQLRQLPSGLTFYNHTATGATATTGKTRCSGKPSCPRGSTLMKPTASHLAKQNLPRPIGESRLPTFLVEKNGTSSTVIETQAAKRQKLESGHLRKVAEAKPVFSFVHKAPKRDGKVDCNNTLVKPRITIPREPALQTTHRAQRARPKDSVQAENLPPTAIRRFKALPLNRKLTISQIFEGPSLPPKRSNPQLPQFQEFHLKTSERAVQHRSAVSTSAANSSSTNDKVLQKVSSNSTLECGNRESRRFNHVEAPKQEEPVSTYNFKALPLNKKILSSKGDIGVFRNTKKETTVPMEFNFHTVKRIHHNPPIDLFNKLSLMSEPQQAAGVQSKAQRPSCLPSKGSKENRWAYFQQNHEIVHTETGKLADLKSKANFVR >Solyc05g024410.3.1 pep chromosome:SL3.0:5:31641389:31642495:1 gene:Solyc05g024410.3 transcript:Solyc05g024410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSHSASDLQGCAPSVCRLAFGRMRQRIVIVFFAIGVVVGHQPSSKSHRLGKPLASANLINTDYF >Solyc11g044740.2.1 pep chromosome:SL3.0:11:32586732:32591879:-1 gene:Solyc11g044740.2 transcript:Solyc11g044740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFAGTTQKCNACDKTVYLVDRLAADNRVYHKACFRCYHCKSTLKLSNFNSFDGVIYCRPHFDQLFKRTGSLDKSFEGTPKITKPEKTVDNENGTSNKVSSLFAGTREKCVCCTKTVYPIEKVTVNGAAYHKACFKCSHGGCTISPSNYIAHEGTLYCKHHHIQLFKEKGNYSQLEIDHEIEPALPPQIAMES >Solyc09g066370.1.1 pep chromosome:SL3.0:9:65033824:65035402:-1 gene:Solyc09g066370.1 transcript:Solyc09g066370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTILLCALFLVLPLLVTKWYKECNSKKKLPPGPWKLPFIGNLHHFIDWQSSELLPHRTLAKLASKHGGLMHMKLGEREAIVVSSPQMVREVMRKHDLIFSNRPTLLVGTEMFYDHADMGFCDYNDFWRQMRKICNQELLGHTYIQSFYPNMMNEITNLVSCIKSCASEGGGSINMTETLSLYTNSIICKASVGRACKNQGSLIEIMRIVSASAGVFDLADLFPSMKMIHFISGLKYKLRKMHDEVDVVLEEIIDEHESQNSETSEKDIVDVLLRLQKSQDFSIPITRDNIKAVIMDLFSGGSTTSASTMEWAFSELMKNPEMMKKAQDEVRRVFKGKETTIDLTDIQKLKYIKMIVKETLRFHPLAPLLAPRESREECEINGYVIPKGTMALVNFWAISRDPNYWRNPEIFDPERFNDSHLDFIGAHFEFTPFGTGRRMCPGLSFSMATVELSMALLLYHFDWKLPNRMNPHELDMTEKFGSTLERKNNLFLIPSLYVRA >Solyc11g018773.1.1 pep chromosome:SL3.0:11:9462185:9470133:-1 gene:Solyc11g018773.1 transcript:Solyc11g018773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPARQIFGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVGSLMYAMWVMRYLYGTSSLSLCFGTGKPILCGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTEAELIAVVEACKELFWMKIFLGELGCAQERSWISSRSRIEKQEADPGLVLEIET >Solyc09g091740.3.1 pep chromosome:SL3.0:9:71442123:71444843:-1 gene:Solyc09g091740.3 transcript:Solyc09g091740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGSGISAKRIVVDARHHMLGRLSSILAKELLNGQRVVVVRCEEICLSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGAAALARLKVYEGVPPPYDKIKRMVIPDALKVLRLRAGHKYCLLGKLSSEVGWNHYDTIRDLENKRKERAQVTYERRKQLAKLRVKAEKAAEEKLGPQLAVIAPIKY >Solyc03g053110.3.1 pep chromosome:SL3.0:3:24274704:24278111:-1 gene:Solyc03g053110.3 transcript:Solyc03g053110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGITCYGLSSHSLLGLGSESCKSLLVVKGKQRGRGFQIKAQVEFVNAEEAKKLVGVEGYAVVDVRDKTQFERAHIKKCYHVPLFIENTDNDIGTIVKRQLHNNFAGLFFGLPFTKPNPEFVQSVKSQFSPQSKLLLVCQEGLRSAAAAERLERAGYDNIACITSGLQTVKPGTFDSVGSKELQDAGKAGLVTIQGKISAVLGTVLICALLFVTFFPDQAEQILQMVPSSN >Solyc06g030633.1.1 pep chromosome:SL3.0:6:20336495:20337889:1 gene:Solyc06g030633.1 transcript:Solyc06g030633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPESHPLQIQMKRYFYDADDWKMCFSVKAHMEKLNDLKQTLSEAHENIRLKISSLYF >Solyc08g079300.3.1 pep chromosome:SL3.0:8:63014264:63015973:1 gene:Solyc08g079300.3 transcript:Solyc08g079300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFFKRLFDFYSCSWQESNIEQKGVFFSYILGIVALLWFLWLFINKSKKGQPQLPPGPKALPLIGNLHSLDPQLHTYFASLSQTYGPIYTLWLGKKVGIIITSPALAREVLKDQDTIFANRDVPAAGREATYGGTDIVWTPYGPKWRMLRKVCVREMLSGSTLDSVYALRRRELRQTINYFYSQEGLPVNIGEQMFLTVLNVITSMLWGGTVKGEERSTLGAEFRHVVTKMTELLGTPNLSDFYPGLARFDLQGVTKKMKVLAKRFDKIFESMIDKRQDIDRNGGMETGVGQENKDFLQVLLKLKDDEAAKMPLTMPELKALLMDMVVGGTDTTSNTVEFAMAEIVNKPYVLRKLQEEVDTVVGKDNIVEESHIQHLPYFYAVMKEVLRLHPALPLLVPHCPSETSTVGGYTVPKGSRVFINVWAIQRDPSIWENPTEFRPERFLDNKWDYSGNDLNYFPFGSGRRICAGIGMAERMFMYSLASLVHSFDWKLPEGETLDLTEKFGIVLKKKMPLVAIPTPRLSNPTLYE >Solyc02g086870.3.1 pep chromosome:SL3.0:2:50104628:50116657:-1 gene:Solyc02g086870.3 transcript:Solyc02g086870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYITAGFFLHIEQEFLVLPSSQDKDGGYGGGPGQMPHLATTYAAVNSLITLGKPEALSSINREKLYTFLLRMKDASGGFRMHDGGEVDVRACYTAISVANILNIVDDELIHGVGNYILSCQTYEGGIAGEPGSEAHGGYTFCGLAAMILINEVNRLDLPGLIDWVVFRQGVEGGFQGRTNKLVDGCYSFWQGAVVFLIQRLNLIVHEQLGLSNDLSTESADDSSESELSDEEEHLEGISSHVQDTFPLGQAGACQENASHSPKIADTGYEFINRPIAMRPLFDSMYLQQYVLLCSQIEVGGFRDKPGKGRDYYHTCYCLSGLSIAQYSWTDEADSPPLPRDVFGPYSKCLLEQVHPLFNVVLDRYYEAREYFSGL >Solyc12g089020.2.1.1 pep chromosome:SL3.0:12:65162247:65163836:-1 gene:Solyc12g089020.2 transcript:Solyc12g089020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWLLNILCILNFLISCTKAQQEYSGNSVLNCENEIGTNYSCNGRNSTCQAFLIFKARDPYNSVPGIAALMSSNMSEIARINNVTRLTVFAPEKEVIIPVDCSCSGLYYQAKTMYYISALIETYFVIANNTYQGLSTCNALVCQNEYDEFSLRPGLELQVPLRCACPTAKQAAKGSKYLMTYSINVGDDILTVGKRFKVNGRNIIEANGFLDENSVLYPFTTILIPLPSEPSNLDTGNENYRKPIRSFSPPSAANASKGKSKRNLYIGSGVATGFVVSWVILLALFKKRDSKFPRRSSSHEDILVEIANIDHVPKVFKFKELKHATQNFDSKNRIKGSVYWGGFKGETLAVKMAIMDVSREVNILHKINHFNLIKLCGYCEHKGCFFLVFEYMQNGSLREWLIRTKSQDTISWKKRIQIVLDVANGLHYLHSFTKPGYIHKNINSRNILLDNNIRAKITNFSVAKETDTSGMSWELVGTTGYMAPEYAERGTVTSKMDIYVFGVVLLELVTGKDAVIVEESGEILLSAAV >Solyc08g029341.1.1 pep chromosome:SL3.0:8:36748726:36749396:-1 gene:Solyc08g029341.1 transcript:Solyc08g029341.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKHDIQKLKGLLSAEFEMKDLGTARKILGMEIIRDRERRKLFLSQRSYIQKVLVRFGMSLSKPIDTPSAAYIHLTAMFAPQSEKEKDLIYGGDTQCLVSGYSDSDYAGDVDRRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEEEYMTLTEAAK >Solyc01g103780.3.1 pep chromosome:SL3.0:1:92182291:92184156:-1 gene:Solyc01g103780.3 transcript:Solyc01g103780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSISIQPNAQAPITNSATNASPSTSSPTPPQPPHLVDASLAIATRSDTLVDPNKKSQLAIQQTQPVQPQQPPPKRQTKDRHTKVDGRGRRIRMPAACAARVFQLTRELGHKSDGETIEWLLQQAEPAIIAATGTGTIPANFSTLNISLRSSGSTLSAPPSKSAPHSFHSALALAAAHQTPHPFEEGFSQMLGFHHYTHLLTQNPIAESIPGGGGGGGSSGDGGGVGGQEGADNYLRKRYREDLFKEEGSSNQQGEASGGSSSPSNKQFKGNSPQLPNKPNSQEGVAGPSSNMLRHTNVIPATGLWAVAPPPTSGTTGGSPFWMLPVTSGQTLSAPMASTSGTTTLESQMWPFPMGSSNTPLHFISTSWAWNGRN >Solyc08g067605.1.1 pep chromosome:SL3.0:8:56668298:56673901:1 gene:Solyc08g067605.1 transcript:Solyc08g067605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSIVSRNLGDVQLIRLKASVKAMYSASVVDKVTVGSKVAFQLTTDPPRNASNRVEDKLDNCCRQNATLYSATCERNMYVLLYIQAFSLQISFNVGPMLARARST >Solyc06g053790.3.1 pep chromosome:SL3.0:6:36740247:36748719:1 gene:Solyc06g053790.3 transcript:Solyc06g053790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVERWVGFVELMNKPAIMETFVDILVCVVPIWVAIMIGLLIGWSWRPRWTGLIFLGLRSKINRFVWTLPPGFGARRLWLAFTALSAFSVGRKLWFNFQGRTRKPPVAAADSGSVDEFGSSSVVSGPGSVSFAQRNDDCIIRHITGSENEDIVTERDLEHFLHLLDGKDGEMAWQTMMDRSTSNFTYQAWRHEPEVGPTIYRTKTVFEDATPDLVRDFFWDDEFRPKWDPMLGYFKILQEWPHTGSMIIHWIKKFPFFCSDREYIIGRRIWESGKTYYCVTKSVPYSSLQRRDKPRRVDLYFSSWIVKPVESKKGDGQLSACEVTLLHYEDMGIPKDVAKLGVRHGMWGTVKKLHNGFRAYQNARKSEAAVSRCAMMARITTKISLDENVDALEQVSVEEDRAEIQGQRGDGGIDWKWVAIGTVAVVCGVRSGMIGKAVLFGAGQRIARRGRNA >Solyc09g091360.1.1.1 pep chromosome:SL3.0:9:71118494:71118931:-1 gene:Solyc09g091360.1 transcript:Solyc09g091360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRCVILFIMLGLACYLMTLISIIQPDDYFEKIYNIHIINGFTNNSSVPLVVWCISSDNVDLGGRALQERDEFSWSVKSTFWKNTKFLCTMKFDNQRRSFQAFQRRRDIQRCYPTRECFWLVKEDGFYYSNDEIYWRKDFNWTLN >Solyc04g063360.3.1 pep chromosome:SL3.0:4:55482809:55497416:1 gene:Solyc04g063360.3 transcript:Solyc04g063360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNIHLLKPSFSPPKTLPTYYSSSPFTAFNFHLKPRRNCLYIGSKPFNVHLCKAAASPSSSSSNSAGDETESAQQLFEKLKEAERERINNLEEFERKANVQLERQLVLASEWSRKLLAMQGKLKGTEWDPENSHRIDYSEFQNLLNANNVQFMEYSNYGQTVSVILPYYKDGKTNRSGGDTKKEIVFKRHVVDRMPIDRWNDVWRKLHQQLVNVDVYNVNNIPAEVYSTIATAVVWSMRLAFSVLLYIWIDNKMRPIYSKLIPCDLGSPPKKIKEPLKQRALGSLGKSRAKFISAEEKTGITFDDFAGQEYIKRELQEIVRILRNEEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFSSARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKVSTSQVLVIGATNRLDILDPALLRKGRFDKIIRVGLPSKDGRLAILKVHARNKFFRSEGEKDTLLQEIAEQTEDFTGAELQNILNEAGILTARKDLDYIGRDELLEALKRQKGTFETGQEDSTEVPEELTLRLAYREAAVAVLACYLPDPYRPFTETDIKSIRSQPNMQFVEIGGRVFKRKADYVNSIVRACAPRVIEEEMFGVDNLCWISAKSTLEASRLAEFLILQTGLTALGKAYYRYQRDLLPNLPAKIEALRDEYMRYAVEKCLSILKENHDAVETITDVLLERGEIKADEIWSIYKSSPKSPQPTVSPIDEYGSLIYAGRWGVHGVSLPGRVTFAPGNVGFATFGAPRPMETQIVSDETWKLIDGIWDKRVEEMKAAVSLETEEDEEKPKLLMASHFL >Solyc03g118740.3.1 pep chromosome:SL3.0:3:69052076:69055944:1 gene:Solyc03g118740.3 transcript:Solyc03g118740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:E5KGD0] MITLSDFYHVMTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFIAANNPYAMNLRFIAADTLQKLIVLGVLAVWANVSKRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGDFSGSLMVQIVVLQCIIWYTLMLFMFEFRGARLLISEQFPDTAGSIVSIHVDSDVMSLDGRQVLETEAELKEDGKLHVTVRKSNASRSDIFSRRSGGFSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMVAGTAGRNSNFGANDVYGMSNNSRGPTPRPSNYEEESGKSRFNNYHGGAAAPQSNSNTHYPAPNPGMFSPSNNGNKAHKSTAKKGDQEVGKDLHMFVWSSSNSPVSDVFGGHDYTANLDQPAAPNKDVRVPISPGKVEGQRNNNQENYMERDDFSFANRDGVDQMNNQDGEKAGENKAKVMPPTSVMTRLILIMVWRKLIRNPNTYSSLFGLTWSLVSFRWNLKMPAIIAQSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVASFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYIFMGL >Solyc02g014130.2.1 pep chromosome:SL3.0:2:15487726:15512634:-1 gene:Solyc02g014130.2 transcript:Solyc02g014130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGRKRGAKGVKSMSELSLGDLVLAKVKGFPAWPAKISKPEDWARAPDPKKYFVQFFGTQEIAFVAPADITAFTVDVKNKVSARCQGKTVKHFAQAVRQICEEFEGLQQKDSSVSGDEAYKTAPGCGIASVERVSAATELDQMDGDKKSKQETDITSFVEGSGLERCSMIKDDTADIVSHDSEGNLPPSISSLKVVSIHSGISNSGKDLASLPNTESTDFHPPTSSNDVKQLDSGRKQLTNGHKAKLVKKRAGGGHEIQGTSDTTSDPTVKKASAKKLVPEVKSGTDGRKKIKRENDRKPETVDAALGHIEEKKFQLSSKKLKVEPGQMLRRNEIADHPKKIKCADGAMDAVMASKIYDEAKVVKSEVKKSIPLGKAEDHTPLKLHEGAIGSNNCGEEDILPPSKRHRRAMEAMSSSSPVPQLPTKRRAVRLCVDNENEEPKTPIHGGSIKRDAISRFPNSVKKPDLSIGTASNDQPSAKVSGTVDDSSIKEHAPSVRLHRELSGRVLQKNVEKKRIPTDTSFSCSPGKFGTPKTSSREGQTDTISPKKSPGFTGKPVSEPQKGAKLSGKPQNDHKKWVAESDTGNFIAADNLNPPRDQPINERSKIFSTNERKKTTPKSSSSMTEPAHVPGNPVESMSTRFERLEALRDEKLNALIDSKVIDQDTSMKHLIAAAQAKRRQAHLQSIHGNTLAAVAPYAEPQGGSPHSALGSQPLSSGMLHPEMQVLFSRSSPSSEIRQFSLLNPPEPEENEEKRVISGLGASGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLTRKLENETSFHRRVDLFFLVDSITQCSHSHKGIAGASYIPAVQAALPRLLGAAAPPGVGAQENRRQCLKVLRLWLERKIYPDSLLRRHMDDIGSSNDDSSGGLSFRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLSSHVFDEEEEEDVLRNLQNEAAEELAIEHTPATGDNAERYMVTPSDRRHCILEDVDGELEMEDVSGHPKDERPLFADDVNQSGSDRTLESALDNISDLPPLPMGSPPLPPCSPPPTPPLPSSPPPSPAPPPPPPPLSPLPPPPPPPPPLPPSQPPLPPSQPHPFPPLPAGPPPLMFPQPSFSLQHEVGTQHLHTLTPSVPSSSPGVAYTQPPLPNEVSNIPSGHRLPQVAGNMPHGPRINASNRNEVFPLQPPSFTPAGVSNLRESSGYSSRPLEYGYNDAYINPPVSQSTQKFQPGNVPFAPRPMHLNPPHQIPSNSFSYPRAPVQQHPQQAYPTPCSLPERPDGSRRYIGDEQWRVQPNEFSGDHQRSMWIGAGRSCPGPTIAQEGYFRPPDRPPVSNVGFQPSGSNAFPTGPPISGHGMPCRPDVTVLNWRPA >Solyc01g104990.3.1 pep chromosome:SL3.0:1:93198149:93202850:1 gene:Solyc01g104990.3 transcript:Solyc01g104990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKRKGKIIKRRVKFKEKWRTKKDEGVSPLVKKYWVQRYDLFLRYDQGIKMDEEGWFSVTPEKIALRHASRCGGGVVIDGFTGVGGNAIQFATMCHHVIAIDIDPKKIALAFENAKIYGVEDHIEFIVGDFFQLASSLKGNVVFLSPPWGGPSYSTKESFTLDLLKPKNGYVLNLFQVAQSVAPNIIMYLPRNVDLLQVEELAWLSSPPLKVEMEENMLHGRLKSITAYFGDIAFSDVSMFQL >Solyc02g093610.3.1 pep chromosome:SL3.0:2:55045080:55045907:-1 gene:Solyc02g093610.3 transcript:Solyc02g093610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKLRCKKFFRSNSKVASSNAVVADTKLGGEIKWELRPGGMLVQKRECAENDGDSIITLRVSTVTQWHHISIQPTSSFGELKMVLAMVTGLEPNEQRLLYKGKEREDCEYLHMVGVKDKDKVLLFQDPSIKERKLATNGHVQDIGSTYHTICV >Solyc09g031785.1.1 pep chromosome:SL3.0:9:28008577:28009322:-1 gene:Solyc09g031785.1 transcript:Solyc09g031785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNDFSKDTLVAWETDCKPKTAGGLNVIDILTWNKAAIYPKAVVHSMVALHRKLSTVDRVQKWGKVGSTDCLLCNDQVEETPEHLFFDCPYSAFIRPFLVKWLDHTRSIGDWNQESNSRPQAGMFGFCCTRRLREIAVQVHIEGQRHSIWLAK >Solyc09g064440.3.1 pep chromosome:SL3.0:9:61940090:61953472:1 gene:Solyc09g064440.3 transcript:Solyc09g064440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGINLWFDTATVSHQTSLFSLSTAFQGLSFLELSSICVNLTLFLVFLFIVSAKQIYLCVGRVRFRKDDSDGNSVPGRRRGDVEIQSIEIGRAFKASVLCSFYVLFVHVVVLVYDGVGLVRKATQGSSVNWTLLLFPVIQTLAWTVLSFKALYCKYKGSSKFSLLSRVWWVVSFVICLCTLYSDSRELAIEGSRHLNSHVFANLAVTPSLAFLCFVAIRGVTGIEVTRNSDLQEPLLPEEEPACLKVTPYSDAGLISLATLSWLNPLLSVGAKRPLELKDIPLLAQRDRSKTNYKVLNANWEKLKAEDPSEQPSLAWAILKSFWKEAACNAVFAGLNTCVSYVGPYLISYFVDYLAGVETFPHEGYILAGIFFTAKLVETLTTRQWYLGVDILGMHVRSALTAMVYRKGLRLSSSARQSHSSGEIVNYMAVDVQRVGDYSWYLHDIWMLPLQIILALAILYKNVGIASVATLVATIISIVATVPLARIQEDYQDKLMGAKDDRMRKTSECLRNMRILKLQAWEDRYRVMLEDMRNVEFKYLRKALYSQAFITFIFWSSPIFVSAVTFGTCILLGGQLTAGSVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRIAGFLQEEELQQDATIVLPRDTTNVAIEIKDSEFCWDPSSPTPTLAGIQLKVEKGMRVAVCGVVGSGKSSFLSCILGEIPRISGEVRICGNAAYVSQSAWIQSGTIEDNVLFGSPMDKAKYKAVIHACSLKKDFELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGADLFKEYILTALATKTVVFVTHQVEFLPAADVILVLKEGRICQCGKYDELLQAGTDFNALVSAHHEAIEAMDFSNQSLEESDKDPSPDGSALVAEKCDSVEKSIDSLAKEVQEGISAADQKAIKEKKKAKRLRKKQLVQEEERERGKVSMKVYLSYMAAAYKGLLIPLIILAQTLFQVLQIASNWWMAWANPQTPGDSPRTTSVVLLGVYMALAFGSSWFIFIRAVLVATFGLEAAQKLFLKMLRTIFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLIGIVGVMTTVTWQVLLLVIPMAIACLWMQKYYMASSRELVRIVSIQKSPIIHLFAESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIHQYCHIPSEAPQIIEPRPPSSWPEEGTIELIDLKVRYKESLPVVLHGVSCKFPGGKKIGIVGRTGSGKSTLIQALFRLLEPEGGKIIIDNIDISTVGLHDLRSRLSIIPQDPTLFEGTIRDNLDPLDEHSDLDIWQALEKSQLGEVVRNKDQKLDTPVLENGENWSVGQRQLVSLGRALLKQAKILVLDEATASVDSATDNLIQKIIRTEFKDCTVCTIAHRIPTVIDSDLVLVLSDGRVAEFDTPARLLEDKSSMFLKLVSEYSTRSSGMPDF >Solyc06g066080.1.1.1 pep chromosome:SL3.0:6:41538725:41540548:-1 gene:Solyc06g066080.1 transcript:Solyc06g066080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLPSKSKLFHSLHTSLSLSLTSKNRAAEQNCLSLLQLCDSLPKLLQLQSHIFKLGLQSNPLVLTKFTSISSELNAIAHASSFIFSPYVETHYFDTFLFNTIIRSYAVTSYFKDNALCLYGKMLSYGIWPNKFTYPFVLKACAGIGELKLGQTVHGSVVKLGFDDDSHVLNTMIHMYCCCDGGVEYGRKVFDEMPNWDSVGWSAMIGGYVRWGLSSEAVGLFREMQVVGIEPDEVTMVCLLSACIDLGALELGKWLEAYIERENVPKSVVLWNALIDMFAKCGDVDKALSLFRSMSRRNIVSWTSVIDGMAMHGRGTEAVSLFEEMKNDGVVPDGVSFIGLLTACSHSALVEQGKGYFYSMTKEFGISPKIEHYGCMVDLLCRAGHVQEALDFVKRMPIKPNPVIWRTLITACRAHGELTIGEKITKELIKYEPMHESNYVMLSNIYAKMFSWEKKTMIREVMAKKGMRKVPGSTMIELYNKIYEFVSGDKSHSEYKIIHQMVDDIGKEIRKAGFVASTSEVLLDIDEEDKEGAVNRHSEKLAIAFALMKTPPGTPIRIVKNLRVCEDCHSATKFISKTYKREILVRDRNRFHRFVDGVCSCKDFW >Solyc06g053820.3.1 pep chromosome:SL3.0:6:36772594:36775672:1 gene:Solyc06g053820.3 transcript:Solyc06g053820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRSNGPMDQSKILSNSQNLSSLLRYNFPFPAVKNPSYCERGRRFHLHSHGRC >Solyc06g050500.2.1 pep chromosome:SL3.0:6:33258599:33259673:-1 gene:Solyc06g050500.2 transcript:Solyc06g050500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSLQLHRINPTTATLAVKQPQLSQATTWFSPVSTSVPDNVLHHHTHVVGPNQCCSAVLQSISAPIDTVWSLVRRFDNPQAYKHFLKSCHVIVGDGDVGTLREVRVVSGLPAGSSTERLEILDDEKHVLSFSVVGGDHRLNNYRSVTTLHRAAEEGSTVVVESYVVDVPQGNTKEETCVFVDTIVRCNLQSLAQIAQNLAKTSKNHDEDPQLKIHRLVDFVDCKVGSCKCLNVLLWYIMVCCVFCITTNHFVTITYILLNIFKVLTVKDIFLSS >Solyc07g065830.1.1.1 pep chromosome:SL3.0:7:67565399:67565623:1 gene:Solyc07g065830.1 transcript:Solyc07g065830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAEAGGGRGYITMAACFSSTKISYYIIIIQIVHIILSLIEINRIYARRRREEEQRNTHTCTQCKCRSIHRFQ >Solyc03g006380.3.1 pep chromosome:SL3.0:3:976245:980983:-1 gene:Solyc03g006380.3 transcript:Solyc03g006380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFYRQFFRLEKCIYDYLVKKGMRESAEAFASEVDLEIPTDDAPKSPGSFLADWWNVFSDMLISNQSAQDPYAEAARTMDNVVPQVPYAVPASSSHSVTPAHNISLVVPAFTPERSQEPYHLRMPEDEVTPKLRFLDVDRPSQGAAFVTDSSRLMEQIPNMPQLWEAKDEGEGQGIYFDQTMQMEPNADTPEKVMLQVPDPSEAGSSTGSCSGMQQTP >Solyc06g076390.3.1 pep chromosome:SL3.0:6:47594727:47601295:-1 gene:Solyc06g076390.3 transcript:Solyc06g076390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTTSNVYIHVIEDVISKVRDEFINNGGPGESILKELQALWEVKMMNAGAILGTIERNSAAKATPGGPITPVHDLNMPYEGNEEYETPTADILFPPTPLQTPLPGTAQTPLPGTVQTPLPGTAQTPLPGTADSSMYNIPTGGTPFTPSDYSPLNDTGGATELKAGPGRPSPFMHPPSPWLNQRPPLDVNVAYVEGREEGDRGGSQQPMTQDFFMNSTGKRKREDFPPQYHNGGYIPQQDGAADSIYDNIKSGEGSNIQLELVTVGPVQAPAYRIPQFDGPIPDSYDDALSTPNIYYQGVVNEDYNIVNTPAPNDMQAPTPAPLQNDDIDDDDEPLNEDDDDELDDVDQGEDLNTAHLVLAQFDKVTRTKSRWKCTLKDGIMHINNKDILFNKANGEFDF >Solyc01g034150.3.1 pep chromosome:SL3.0:1:36274930:36285927:-1 gene:Solyc01g034150.3 transcript:Solyc01g034150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPKGAIGCSQSVSSPYVEDVEQCDQTLNLRLGRAAKGPHVNHHHRTTVERRPLPARGINGEEVKGRLLPNSHGSGKTFPGPRALKTVASVGKWLNELCDELSERLQSDLEQNKRIAHTLTLHAHAYKVGFSCQSNDGDSFRKFPSKSCPLRYGTSKIKEDALSLFQAGLREYLGLFNVKISGNQNNGWGITGLSVTASKIVAIPSGTRSILNYFHNQEEAFPQVKLSSEQLIQDAPLLSPSEEDSLESGGHLTLQTIEPWIACREENEETKYSMSLDRQEEDKNTCKEKLPDKETSICSSPDAEVYRGWEKDQNESSRDYLTVKIRDSLESEDGKRKSNKEKGMSTISRYFQSQLSGSLLKAEHANTSRLSESSSLSDRQSELPQENSPARGESSVDTHLCSQIKLKRPSWSYDIDKIDQDVLNELPKQIQEEVQAWLRPQKRPNTVKKDLGITRYFLPAKDK >Solyc04g049470.2.1 pep chromosome:SL3.0:4:41952763:41953238:-1 gene:Solyc04g049470.2 transcript:Solyc04g049470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKSPRKHLATKAVRKSTPATGGSRSLTVSVQEHVVAALQEAAEVYLVGLFEDTNLCAIHAKRFTIMPKDM >Solyc02g061745.1.1 pep chromosome:SL3.0:2:33820519:33824341:-1 gene:Solyc02g061745.1 transcript:Solyc02g061745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCSVSSHVPINHRNRSQQKFFGDVYLGKQSSWRSSDLPFGLGNLSAVLFFPIFMYTFQLGITGAAISTVASQYIVAILMLWKLNEKTVLLQPNIRNLHFGGYLKSGGFLLGRTLAAVLTVTLSTSMAARLGAIPMAAHQICLQVWLSASLLADAQAASGQALIASSFARKDYGRVKLITHVALKTGLATGILLAIILGLSFPSFARCFTNDSQVLDIVRSGLLFVSASQPLNALAYIFDGLHYGVSDFPYAAISMMIVGALASIFLIYAPPIIGLPGVWSGLTIFMGLRSVAGFMRLSAKNGPWWFLQDSQENEDGVCVCLSREREAGGLIKFLFQPAVPLCSNKMKTSARKHIATTTLPSPSYATLETFVFLPFINLF >Solyc10g005860.3.1 pep chromosome:SL3.0:10:655549:657429:-1 gene:Solyc10g005860.3 transcript:Solyc10g005860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKERKLAAKGAAGRRVKLDLFAEPPGDLGGSSVQDEVGGEEESKIHAELPNSPSSSGGFRQFSSKGLVEKT >Solyc12g019570.2.1 pep chromosome:SL3.0:12:10632963:10651865:1 gene:Solyc12g019570.2 transcript:Solyc12g019570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGKLILVLSYLQYVYPLFIIYLFCLPVHRTPSPHFDVSTSSVKYLPGFGFLPFQLDTGYIGVGVGEEVQLFYYFVKSESDPETDPLILWITGGPGCSSLEGLTMEFGPLHFDDMEYNGSLPTLSLNTHSWTKVASIIFLDLPVKTGFSYATTEKANQTDNLQTGEHAYQFLQKWLANHSEFLQNPIYIGGDSYSGTTVPIVVQTISNGNDAKTKPMINLKGYILGNPLTINPDELNYRIPFARGMGLLSDDLYKALVSNCKGEYQNIATNNSACSNNVRTFNKLCEGINKEHILEPLCDSVSLKRYRSVGRRTSLYAKLHKLENSMVLPGVKCLEDWRKLCVHWANDDSVQEALHVRKGTIENWTRCRGDLSFTYNVYNVVPYHANLSAKGYRSLIYSGDHDLTIPFISTEAWIESLNYSVIDDWRKWIVNGQVAGYTKTYSNNLTFATVKGSGHVAPLWKPLECLTMLTKWISSKQL >Solyc11g069050.2.1 pep chromosome:SL3.0:11:53920816:53927661:1 gene:Solyc11g069050.2 transcript:Solyc11g069050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSGYGRDGVYRSLRPPVFYPKDENLSMVSFLFRKISSYPEKPAFFDADNGGTLSFSQFKSMVSRISHGLIHQMGIKKNDVVLIFAPNSVQFPLSFFGVIAIGAIATTVNPMYTVAELSKQIKDCKPSLIITSPELFDKVNSFNLPILLLGNSNSNNAGVVRFTELVDKAGCFDLDKTVVKQTDTAVLLYSSGTTGVSKGVVLTHRNFISAALMVSSDQDLAGEMHNVYMCVLPMFHVFGLAVIMYSQLQRGNAIVSMAKFDLETLLKNIDKYRVSHLWIVPPIVLALAKNPVVKKYDLTSIKQIGSGAAPLGKDLMEDCAKNFPQAVVMQGYGMTETCGIVSVENMCVGPRHTGSAGSLAPGIEAQIVSVDKSKPLPPGQLGEIWVRGPNMMKGYFNNPQATMLTIDNQGWVHTGDLGYFTEDGLLYVVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVIPFPDAEAGEVPVAYVVRSPNSSLTEEDVQKFIADQVAPFKRLKKVTFINSVPKSASGKILRRELIDKVRSKI >Solyc02g092350.3.1 pep chromosome:SL3.0:2:54079150:54083200:1 gene:Solyc02g092350.3 transcript:Solyc02g092350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSIINVMVRLMECCFGSVLLIWHWPLGFIIIILCCWILTTLSWVLTGFDFFFHTFADDTCSALKDFQQNPQNNSLQIILPCANPGTSDKTLEQIGATVHNFITQLNSKLREVQGLGLNDIGENSVGTICDPFSGAPNYSFTPDLCPKDTIPIEDLKYVLSKVTCYEGNSSGNCAGEGRFIPQASSVILFAYTQSIQDLIEIFPDLLSLSQCSKVKQAFANILQYQCRPFRRSARVLWSSMLSLSILMILLVLTLIVKAHQETGRSFDTCSITPKKVETIPKTLPDCSNSADNVQKM >Solyc04g050270.1.1.1 pep chromosome:SL3.0:4:46463140:46463616:-1 gene:Solyc04g050270.1 transcript:Solyc04g050270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVLGTGTNTIIMALALPLAQSALSLVMDAIWGWSDEGPRSSYKKKKRRCARAASNPGTTMGKGVNTRNGKVRDCQSSNGAPDRKKSGSTLNFGGWDELDNHGMEGHQEKSLNQSLAEPKQWTDGKLSKRIGRGGTPFVLRLLIAVFPFLGSWTKLL >Solyc10g049870.1.1.1 pep chromosome:SL3.0:10:46895387:46895905:-1 gene:Solyc10g049870.1 transcript:Solyc10g049870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRAEDGYQGTSVLDQGEWLNLSLGRNLPSISKESESHIPTSGKVFSCNFCKRKFYSSQALGGHQNAHKRERGTVRQYQSQRMMTMMALPINNPMFRSLGMIPHSLLHKSSRDARATAATFSEASAGYQRTSLTNQMDRSFDLKWPGSFQLNQQQTKDHTTNPTKLDLNLKL >Solyc10g086630.1.1.1 pep chromosome:SL3.0:10:65526847:65527098:1 gene:Solyc10g086630.1 transcript:Solyc10g086630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPLVYKAIVEYKNGMSESPSTCYIKLRGDSGRYETSDLQIFADTMFSTTSSSPSARMVSSGVQSPASRCHLRISSRVMK >Solyc07g007775.1.1 pep chromosome:SL3.0:7:2409940:2411987:1 gene:Solyc07g007775.1 transcript:Solyc07g007775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHTFLGLLAKIKGKVHHDSLLSGPSSVVLVLHCCLGLAHPTNSTSKKPLISQHYIILG >Solyc12g010810.1.1.1 pep chromosome:SL3.0:12:3722677:3723309:1 gene:Solyc12g010810.1 transcript:Solyc12g010810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKPNGLAACASCKHQRKKCTDKCVLAPYFPAEKIREFKAVHKVFGVSNITKIVKNLKEDDRRRAIESLIWEAFCRQRDPVLGPYGEYKRVYEELKLYKSQYQQILQAGSNNNNTDSNVNGMIYDATTQGLINGWNINTKRNDINYINHDNSTVESWSSYNNKIINPLHHVQNIEKLRTENSNGSIVIVPQQQVYNDFNQQYFLTGRIYP >Solyc07g023990.2.1 pep chromosome:SL3.0:7:23730989:23732679:-1 gene:Solyc07g023990.2 transcript:Solyc07g023990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDEQRQALGLKVGARRPPHMAFLGNPGTGTTMVARILGKLLHMVGILQTDKVMEVQRTDLVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKSADKDYGLEALEEIMCVMDSAKIVVIFAGYSEPMKRVISSTEGFCRRVTKFFHFENFSSKDLAKIYHLKMSSQGESSLLYGFKLSPSCSIDAVAALTEEETTEKQRKEMNGGLVDPMLVNARENLDLRLSFECIDSDELLTITLEDLKVGLQLLSK >Solyc04g009230.3.1 pep chromosome:SL3.0:4:2708849:2711899:-1 gene:Solyc04g009230.3 transcript:Solyc04g009230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLLPHLHSGWAVDQAILAEEERLVLIRFGHDWDDTCMQMDEVLSSVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTIMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Solyc09g018050.1.1 pep chromosome:SL3.0:9:12706673:12707071:-1 gene:Solyc09g018050.1 transcript:Solyc09g018050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVELVVRNDPITRIELVDVPNYTSQAVLIPRDHKLEVGFTEDSYSLFQHI >Solyc12g088350.2.1.1 pep chromosome:SL3.0:12:64753143:64753223:-1 gene:Solyc12g088350.2 transcript:Solyc12g088350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIIGVLRLKLTRTRCLHQTNTCMPYV >Solyc05g008633.1.1 pep chromosome:SL3.0:5:2934507:2941477:1 gene:Solyc05g008633.1 transcript:Solyc05g008633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTGYGISEPISTGGPSELDVVRNRELEKLEHMDLQRDLLFNSRKAKPFLADAGLYESHEEAIKREEVLGRLDQIVKTWVKNVCRAKGFNDDLVHEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHAMREDFFGELHRMLEEMPEIQELHPVPDARVPVMKFKLNGVSIDLLYANVALWVIPEDLDVSQESILQNVDDQTVRSLNGCRVTDQVLHLVPNIQSFRTALRCMRLWAKRRGVYSNVTGFLGGINWALLVARICQLYPNAAPSMLVSRFFRVYSLWRWPNPVLLCPINEGSLGLAYWDPRRNFKDRQHLMPIITPAYPCMNSSYNVSTSTLRVMMEEFLRGNEICEAIEANKASWGSLFEPFPFFEAYKNYLRIDIATESDDDMRQWKGWVESRIRLLTLKIERDTVGVLQCHPHPGEFSDKSKPFHHSYFMGLRKKEGASYQQGEQFDIRSTVEDFKRDVYAYSFWKSSMWINVCHVRRKDLPDFVFPGGVRPAQPAKSESRSGSRSLGSAKTTSPDAASSRKRKHDEVVAGLSPKGSDASSPGEIRELKHFEADTGDTSSTLPVAASGAAVTELTVMQSAKLTVGQQSEPHGVEDLGYDLELTNGAKHFDGANGLQTEPPTPEQFVGAATSEEKDRIGGSSNNVLQSEGLEELEQF >Solyc08g048545.1.1 pep chromosome:SL3.0:8:12246279:12246741:-1 gene:Solyc08g048545.1 transcript:Solyc08g048545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLYVLPKSMPIPIWCGTESLTIHFSTAIACSILLRAEQRGGEINERSSVLEFALNACPLMYHILPKSGLDTTGRGVYGLVVCLLAYAVATITELYGKFLH >Solyc12g014220.2.1 pep chromosome:SL3.0:12:5057213:5064754:1 gene:Solyc12g014220.2 transcript:Solyc12g014220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKYSLSGFAVSSEREGSYKLVYARNGSAEMLQLMRCNARSQSDNVSKGQVLTNDFQEEDYSNKDKGFNSKDGKEKEVDRRRKIGLANRGKVPWNKGRKHSPETREKIRQRTKEALRDPKVRQKMSECPRSLSNQTKLRIRASLRKLWGERLKWKRSREKFFQSWAESIANAAKVGGSDQEELEWDSYDKIKREIALERLQLAAEKAKAKEITRIRAERAAQRKTERMQRLVQRRKEREEKQKVEGKTKRPRRRSKQEKEELAVAEELKLKAKLVKIQKKKSTLSHVCREHRQAWEKLDVAFIKRPQVQKTVSLADQIRAAKKRTMDVNEKAFGITSSNSQSIEVSAEAKFITVETK >Solyc03g013380.1.1.1 pep chromosome:SL3.0:3:46394442:46394666:-1 gene:Solyc03g013380.1 transcript:Solyc03g013380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATSKNLLVIKLQPKIYDHIMLTVCISFFLLMTGISMTFFSLMKQQTSVRKIYKKEMVVARKNLIHLRHWARV >Solyc02g021103.1.1 pep chromosome:SL3.0:2:22193945:22194701:-1 gene:Solyc02g021103.1 transcript:Solyc02g021103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPELEELRKQLKEILETGRIRPSKAPYGAPVLFKKKRDGSLRLCIDYRVLNKICSIDLGRTSTLPTWLSRKATTKCASQRGMSKRQHTEHASGCDAYRLNQCTRHLFKRVKCEFDQNEVHFLGHAIIQGELQMDETKINAIQEWEAHTKGTELQSFLGLVHEWLLRKATPLTELLKQNKLWVWSKEYQKAFEGFKAAVTKVSILTLPDFSKTFEIHTDALDFSIVES >Solyc12g094400.2.1 pep chromosome:SL3.0:12:65601748:65610268:1 gene:Solyc12g094400.2 transcript:Solyc12g094400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLSNNGLSHLFMTVFLHCFSIFMVIPSITDITMSAICPGKDECSIAIYLTGIQHTLIGLGSLIIMPLLGNLSDTHGRKVMLMLPLTLSIFPLVILAYSRTKYYFYAYYVLKTLVAIVCEGNVHCLALAYVADVVPENHRASVFGVLSGIASSAFVCGNLSTRFLSVASTFQVAAIVAIIALVYTRMFLPEPLIKDQMSTKGIETICLLEKAPKNKVQLFKTLPSFNDVLCLIRNSSTLLHAAIVSFFAHVAHVGLDSSLLYFLKAQFHFNKNQFADLLIISGIAGSISQLLLMPILVSALGEEKLLSVGLLFSCFHILLYSIAWSSWVPYASALISVMSIFVMPCLKSIASKQVGPNEQGKVQGCITGICSFASVVSPLIFSPLTALFLSDHAPFHFPGFGLACAAFAFMIAFIESFMISSACPVISCRLSNSDFEEP >Solyc03g020050.3.1 pep chromosome:SL3.0:3:62638145:62639107:1 gene:Solyc03g020050.3 transcript:Solyc03g020050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYKVSFLAHLLVLGMYLLVSTVEHANACTKECGNLGYGICPGSEGSPENPICTNCCSGYKGCNYYNANGTFICEGTSDPKNPNICPSYCDPQIAYSKCPRSEGKTIIYPTGCTTCCTGYKGCYYFGQDGEFVCEGESIEPKGCTKECDPRVAYMTCPSSGLAKLNQVCVNCCSAGEGCKLYDNDGSLLCTGEPQSISTA >Solyc06g011340.1.1.1 pep chromosome:SL3.0:6:6495120:6495275:1 gene:Solyc06g011340.1 transcript:Solyc06g011340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPTRTSYTNFRLIKYISDIRIVYIVNHQTYSYFSVPFFFCFTNALVNTS >Solyc07g008830.1.1 pep chromosome:SL3.0:7:3801899:3802413:-1 gene:Solyc07g008830.1 transcript:Solyc07g008830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLAFLPVCLLSLDGIYQYPSSSLACVLHLRLPDVSLIPLPQSGPREATLLFCRSSRFPSTRYAILGDDSVIGDERVAERYCELIPPLNVPFSLEKSLVSSVSALEFAKRFFLRGVTKDFFPISCRMLRSLVSSISFFL >Solyc07g041366.1.1 pep chromosome:SL3.0:7:52963106:52967053:1 gene:Solyc07g041366.1 transcript:Solyc07g041366.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQSSKKHKTQKEAHAKTPKKRGRKLAMAISRPPLPSHNYTNIQPTGEECTAMQLPQPSHVTHDEPGTSNVNIDVGKPQKKHNVDQDIGGSAVDADEQTDKVDQQSVSPNHIDCSKEQHMEDAIEDYTTGEASHSDTKILNADEHDVDTLQHNIEKHTTSLFPVDTSTEVENNVQPLCLMSHGELIESAFWLSDSQLPTQLPVKKSSLPPDTKTPAPQHRMPSRIIRSPYSTDFGSNDKGKAKIDDDVLPLYPFEGCGILEQLPLGMMDEFSQWIEKGLLKSHENK >Solyc04g071310.3.1 pep chromosome:SL3.0:4:58322066:58333459:1 gene:Solyc04g071310.3 transcript:Solyc04g071310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASTNAFSKEMAIRKRIANIYNKREENFPSLREYNDYLEEVEDMIFNLIEGIDVPAIEAKIAQYQRENADQIMIAQARKAEEYAAALAASKGQPAQSDGDALGQGPQAGSSTGPQGHYAPAIAGGIAQPRPTQPLPVGSFPDMLGGEYEDDEKTKLRTERAAKAGGWSLEISRKRALEEAFGSLWV >Solyc06g074460.3.1 pep chromosome:SL3.0:6:46214734:46216744:-1 gene:Solyc06g074460.3 transcript:Solyc06g074460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFGNSIEPSSFGDGLLASPDMVFRKPLELSVYGEGLQALLDMVFGNPGETFKYGGVLLESPGMAYKYHRFWCLALMAYKRIHKIDQHDFLLARIPIINNKHSKINTLAFLPRPKGDSLANFLPAAKVSTPYGLLHAVYENLRYVLSYTSANHNGPSFHRRFPTLIEAISVLKEAISVPNEAISVPNEAKSVLKEAISVLKEAISVPKEAISVPNEAISLLKEAISVLKEAISVPNEAISVLKEAISVPNEAISVLKEAIAVPNEAISVLKEAIAVPNEAISVLKEAISVLSEANSLPRLPLPSLLSPLG >Solyc08g076630.2.1.1 pep chromosome:SL3.0:8:60684582:60685856:-1 gene:Solyc08g076630.2 transcript:Solyc08g076630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSCYFYLFHFCLLFITCLAQTNFHPKTFFLAVKQDPSTLQYITEIQQRTPLVPVKLAVHVGGENLWVDCQTGFKSSTYKPARCNSRQCNLAKSTSCGDCKTKNKTRPGCNSNACYNIVSNPALNNTFYSGAEIAEDVMTIQSINGSNTGPESRGPVVTIPNFIFSCSPSFLTQNLGKDVKGMIGFGQQSSVSFVTQLASVFKFSRQFAICLSASPQENGIIFIGHRPYLFALGFDASQDLFYTPIIRSSPEYYLHVSSIRINAKTLPLNNTLLSLGGTRISTAVPYTVLEPSIYDTVSKAFISEMPENVKTVSPVAPFKTCFNSSFIGISRLGYNAPGIYFVLHDPNVFWTITGANSLLLVKQGVFCLAFVEGKKAMGEAIVIGSYQMQNNLVEFDLPRRRIGFTNSLFFRQTMCSDQFYTS >Solyc06g066620.3.1 pep chromosome:SL3.0:6:41982439:41986004:-1 gene:Solyc06g066620.3 transcript:Solyc06g066620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIVVAKLHPPPPAITGRQTLFGTVNTSTLFVPTSENPISSNTGLKVNEPVSEAILNLLLTFGYYGMEVDEPVNRTFMKVRLICGYRTINTAKPARQGHLAITAKATSESSESLSSPSVVKSVKNIWDNPEDRIAVIGLGIAAIVGFWAASNFVAAIDSLPLIPGVFEFIGILFSTWFVYRYLLFKPNRQELFQGINKSISDILGQ >Solyc09g058973.1.1 pep chromosome:SL3.0:9:52887152:52887667:-1 gene:Solyc09g058973.1 transcript:Solyc09g058973.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMLLLVAVALNPRFKIKYVIFFKNYYNSLEGYSKSYRVIDTLINLYNHYKNSIDGTLVKLLEIKLIRAGVCIFDCYRSSLPPKTVEALVCTQQ >Solyc09g075710.2.1 pep chromosome:SL3.0:9:67741505:67759491:-1 gene:Solyc09g075710.2 transcript:Solyc09g075710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNDNNNEDFTQIDCWYRIYKNGRVERFYDNFGVTYVPPSLDDPQNNVSSKDVTISPHVSARLYLPKNTTNSTQKLPIIVYYHGGALVLGSAFFNTYHNYLNVLVSESNAIAISVEYRLAPEHDVTTIYDDCWTALQWVASHANEKKFTSDNEDPWIKNYGDFSRLSIIGDSAGGNIVYHMAMRAGREGGINEKVSINGSIFVCPYFLVPIENIEQNVSYKNWIVISSPSESGLHSPLINPLAENAPSLSQLGCSRMLLCFAEKDEYIPKEIGVRFVEGVKKSGWKGDLEFIVVEDEGHCSQLNNPNTEKSQDLIKQAGLHSPLINPLAENAPSLSQLGCSRMLLCFAKKDEYIPKEIGVRFVEGVKKSGWKGDLELIEYINPIIFQISITKKKNFLTKTIIYSMANSNDNNNEIVAEMHGFYRIYKDGQVERYIYQKSILLTYVSPLLDDPKNNISSKDVIISPHVSARLYLPKNTTNSTQKHPIIVYYHGGALVLGSAFFKTYHNYLNVLVSKSNAIAISVEYRLAPEHDVTTIYEDSWTALQWVASHANEKKFTSDNEDPWIKNYGDFSRLSIIGDSAGGNIVYHMAMRAGREGGINEKVTINSSIFVCPYFLVPIENIEEHASYKNWLIISSPSEAGLHSPLINPLAENAPSLSQLGCSKMLLCFTEKDEYIPKEIGVRFVEGVKKSGWKGDLELIEVEGEVKDYYRLYKSGRVERFYEVHGSFYVPPSPQIPSSGVFSKDVTISPHVSARLYLPENIPNSRKIPVLVYYHGGGLVIESAFFNRMHAYVNSLASELNVVVVSVEYRLAPEVDVPTIYEDCWTALQWIASHADENLSSVDNNNDSWLTNFCDFSKVFVVGDSAGGNLVYHMTMRAGKEGLNNDMKITGSIFAYPYFLFPNVEIDEEGLANKIWGYICPPLECGVLSPIDSPLINPLSKKAPSLSRLGCLRILVCMGKKDDIIPLEIGVRFVEGVKESGWDGEVVYLEVDDGHAFQMYKPESDEAKLKEVNDYFRLYKSGRVERFYDVHGSFYVPPSPENPSAGAFSKDITISPHVSARLYLPENTTAGQKLPVLVYYHGGGLCIESAFFTWTHRYVNSLASELNVVAVSVNYLGDSAGGNIVYHMTMRAGKETLNNNNEVKIIGSICAYPYFLIGDIDIDEEGLAYKIWVNICPTLEFGLLSPIDSPLINPLCEKAPSLSGLGCSRLLLCMGKKDYVIPIEIGGRFVEEVKKSGWDGDLQFVEIDEGHSFQIYKSESEEAKLDFPHLIRVYKNGRVERLFGSPIVPASPEDPDTGVSSKDIDISPEIKARIYLPKLTNDQKLPILVYYHGGAFCLESAFSFLDHRYLNRIVAESNVIAVSVEYRLAPEHPLPVAYEDSWAALQWVGSHVESKPGFEKEPWLVNHGDFEKVLIGGDSAGGNIAHNITLRSGSETLNGGIKILGSLLCFPFFLTSHDFKEDSLPSKIWEFVNPSAEKGVDDPNINPFVEKSQSLSTLGCSKIIVCVAEKDELRNIGIKYVEAVKNSEWKGEIELIDVEGEDHCFQIFDTETEKAKNLMKGMANFIKKCCV >Solyc08g075090.3.1 pep chromosome:SL3.0:8:59365488:59368062:-1 gene:Solyc08g075090.3 transcript:Solyc08g075090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVKGAVPVPESVLKKQKRSEEWALAKTQELVAAKKKSAENRKLIYNRAKQYAKEYDQQEKELIRLKREARLKGGFYVDPEAKLLFITRIRGINAMPPQTKKILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTYGYPNLKSIRELIYKRGYGKVDKQRIALTDNAVIEQVLGKYGIICMEDLVHEIMTVGPHFKQANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENFINELIRRMN >Solyc12g042360.2.1 pep chromosome:SL3.0:12:58467552:58476776:1 gene:Solyc12g042360.2 transcript:Solyc12g042360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWRWGFLLLLHFAFLLGTEAFKFHKTQRTERISGDHSHMPLVVKALLGNCNRMKTFSNHWAKICVLLDCSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLSHMFAAEIYMHRFLLSSPVRTLNPEEADWFYTPVYTTCDLTPNGLPLPFKSPRMMRSAIQLIASNWPYWNRTEGADHFFIVPHDFAACFHYQEEKAIGRGILPLLQRSTLVQTFGQRNHVCLKDGSITIPPYAPPQKMQSHFIPPDIPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEEILRKQRLLANPSMKQAMLFPQPAQSGDAFHQILNGLARKLPHDRTVFLTPGQKILNWTAGPVGDLKPW >Solyc10g050307.1.1 pep chromosome:SL3.0:10:48875071:48878727:1 gene:Solyc10g050307.1 transcript:Solyc10g050307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVHKFLVFFSRNSASSVFAKTEARFSDSLKKNVVGLVKSISVFHYYRNLDRHLRLVLSLLHIKGMFGKSFSIFSLLDFGSRTSSSSNIGGSLKATTRQHAIPSALTVKPIEAFIRRCRGTQSVL >Solyc02g014740.2.1.1 pep chromosome:SL3.0:2:18505349:18506017:1 gene:Solyc02g014740.2 transcript:Solyc02g014740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSTTGAANCSVEFVREEEEIVSNKRKNDDIDVTRFLYNDTPSDGYYQEKTPSPKPKRKMNLKSKPPLFSKVWSDEDEVSLLKGIIKFKEQTACEITQCMTEFRAFILPSLTLQPTRVQLREKIRRLKKRYEKTLATGNSSNTDVHQLELFQLCRIIWTQPPNSLLLKEKREDGLQVKEKHNNPELEKQNIQVNEKKHNIPQKGQPEKQERKHNMRPIQQH >Solyc10g012100.1.1 pep chromosome:SL3.0:10:4423142:4423828:1 gene:Solyc10g012100.1 transcript:Solyc10g012100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRFFDKDAMESESDKVDGSVNFVKWNYLSPVKKANLHALQGVHHLRLEDVDVKDSKSMKSVPSDAKTIGEVMIRGNTVMNGYFKDVKATKSSYKGGWFRSGDLLVRHQGGCIEVKDRSIDTTISGLESITAVVGKLDDHWGETSCAFVKLKNGCNANTDEIIKYCRDRLPQYIAPRTIIFVVSTVR >Solyc03g063340.3.1 pep chromosome:SL3.0:3:36583255:36608696:1 gene:Solyc03g063340.3 transcript:Solyc03g063340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTEVDSEKNLLHRKQSVYQSLDESFGIQKEVYRGQQYSQIYFARLHLMRTLLYSIIPNWKPHLPVCTVLGLEEGKECIIVGTLYKHMKLKPSILDEYSKERSASPLVQRHNFMHLDDNLVLEDESGRVKLSGCLLPSTYVTGNIIALHGKETSAGEFFVEDALEAGLPQQIEQPIQLGEDKYVVFISGLSVGRSSSNPLQFQLLVDHITGHLGDEKEQNIAAQIVQVVIAGNSVEVPHGLLNGQNLGSKDQSRLFEPIKELDILLTQIAASIPLDIMPGSSDPANFSLPQQPLHRCLFPGSSAYNTFRSCTNPHCFELDNIRFLGTSGQNIDDLGKYSEANNNIDFMERTLRWRHLAPTAPNTLGCYPFTDRDPFYIETCPHVYFVGNRDKYESRLIKGSEGQMVMLICIPRFAETGVAVVVIEYQKP >Solyc09g082490.3.1 pep chromosome:SL3.0:9:68624845:68629721:-1 gene:Solyc09g082490.3 transcript:Solyc09g082490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFNPESDMWKWRDSYSLGDDPDIDISDCLLSKGEDISFMFDDERTPVKSCGELTYHGSSYVLTENNDKETQRYNESSSQVKRRRMLQFDTEAADAPLSNEELSSSFLNSQETDTSVDEFISEMSQWVSVFSEDISSSGNEGLDQSSEGWVASCLNDSQMHVSLEDIAASDIQLDVSEICNTPRECESNVVQERPVQTRKNVVFKGRRSYMRAPTKAASSVVYPFSFVKPCGVQGDVTLKDINQRIRTPKLKTPQNVEDPSVAYPKSAFSGKPVVGKTTIPTEGGKGSITIMRTKG >Solyc02g005560.1.1.1 pep chromosome:SL3.0:2:9834592:9834888:-1 gene:Solyc02g005560.1 transcript:Solyc02g005560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGMACRHDPWIGNTVGRHRTWNAIIAFVLHGQFLALLAKIHLGQHTGLDEIGCDIPSLHIDKTYYRMTSGVACNHNPWKTHKIGRSWALELIIALG >Solyc08g044490.1.1 pep chromosome:SL3.0:8:20197003:20197427:-1 gene:Solyc08g044490.1 transcript:Solyc08g044490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKELDNLKDVMNIEKKNLEMAFYECDKFNTMCYEKDVELKVFEKIHEELKAR >Solyc06g064550.3.1 pep chromosome:SL3.0:6:40336188:40346470:1 gene:Solyc06g064550.3 transcript:Solyc06g064550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSSSISPCCSSKFSSNSYLPETYLKAKVKKIDAFNSNTHTFPLLQSINSKWERGELFQFRIRAAVTTEEYLLDGSTENNQLLKGDSWSVHKFGGTCVGNSERIRNVADVIIQDQSEGKLVVVSAMSKVTDMMYDLIHKAQSRDESYLTALDAVLEKHKLTAMDLLDGDELASFLSRIHHDINNLKAMLRAIYIAGHATESFTDFVAGHGELWSAQLLASVVRMSGVESKWMDTREVLVVNPMGSNQVDPDYLKSGERLEKWYSKNPSMTIIATGFIASTPQDIPTTLKRDGSDFSAAIMGALFKARQVTIWTDVDGVYSADPRKVSEAVILERLSYQEAWEMSYFGANVLHPRTIVPVMQYDIPIIIKNIFNLAAPGTRICRSTDNEFVDGQISESPVKGFATIDKLALVNVEGTGMTGVPGTASDIFGVVKSVGANVIMISQASSEHSICFAVPEKEVKAVADALESRFGQALSSGRLSQISVIPGCSILAAVGQRMASSSGVSATFFSALAKANINIRAIAQGCSEYNVTVVVKQEDCVRALRAVHSRFYLTRTAIAVGIVGPGLIGGTLLDQLKDQSAVLKEKFNIDLRVMGIIGKNSMLLSDSGIDLSKWKELQNQDGEMADLNKFVQHVSENYFIPNSVIVDCTADSHIASHYYDWLHRGIHVVTPNKKANSGPLDRYLKLRDLQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFMGTKAFSQVVKEAKEAGYTEPDPRDDLSGTDVARKVIILARESGLNLELSDIPVQSLVPEPLRATASAEDFLLDLPKFDHELSKQRQEAEDEEEVLRYVGVVDVVNGKGAVELRRYNKDHPFAQLSGSDNIIAFTTERYCKQPLIVRGPGAGAEVTAGGIFSDILRLASYLGAPS >Solyc01g111410.3.1 pep chromosome:SL3.0:1:97581930:97587774:1 gene:Solyc01g111410.3 transcript:Solyc01g111410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEPDPLELLNHLEGILESDPLIDEIGFIHPSQFVLLNEDVGSPSTSVGSVQKTNFRTLFWIRDHKLGISTKVLFPLYVAAKNAFVEAYKNYKLLQRDDKHASMCCSSSSLNLESELMKHSRALLILSCDFGTAWNSRKLVLSKKQSLSMFMNELIFSSVILSHSPKSEQAWSQRRWVIKMIAGNCSNLQEIMERESELVKKLAERSKMNYRAWYHRCWLVSYMSVEQVLQEFNKSREWAGLHVADNSCFHYRERLMLRLFEESEHSQDQDASFDPELHKLLKDEFNWVEKLIKRYVGREALWLHRRFLLTCWIRYFACGDHDRSLPSNQRNIRAVDIDMLIDNELELSRSCTVLPDSDFEDYQAQATFAATYMVWLKKQLAGALAIDIQKVETSRVKSLLTNVCPEKSLLWNYLPELCM >Solyc12g044750.2.1 pep chromosome:SL3.0:12:60941615:60943571:-1 gene:Solyc12g044750.2 transcript:Solyc12g044750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTYHKPSYFMLFTTLIITITSSTSFVHGLNVIDKCWRTNPNWRSQRQYLAKCSVGYSGKMTNNIGNDVVIYKVTDNSDDPLNPKPGTLRYAMTHIKGKIWVTFEQNMKIRLQKPLLVSSFTTIDGRGAKVNIAGGACLMLQRVRNINTIFVIIHGLRIHHCKAQTASRVMGPDKKIVNVGAVDGDAIRMVTSSKIWIDHNTLYESEDGLIDVTRGSTNITISNNWFRTQNKVMLLGHDDGYLRDKNMKVTVAFNYFGPNCNQRIISRLKTVTYKNKFCRVRHGYAHVVNNIYKGWGNYAIGGSMNPSIKSQANYFYAPKDGKKEDTMMQVTWKKESGVVGEVAGNFQSVEDVFANGACFNGSGSGRGSVKPNYSPEQAFPVEDGHKVKDLTRNAGALKCSSTC >Solyc07g064475.1.1 pep chromosome:SL3.0:7:66740936:66743044:-1 gene:Solyc07g064475.1 transcript:Solyc07g064475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFCFTIPYGLILVTGGVMGYASKGSTASLAGGVGTGFALILAGYLSLQAFHKRKNSYFALILETACAAMLTWVMGQRYMQTSKLMPAGAVAGISALMTGFYLYKIATRGNHFPLKTE >Solyc07g041890.1.1 pep chromosome:SL3.0:7:54578771:54582772:-1 gene:Solyc07g041890.1 transcript:Solyc07g041890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATWIFHPIRGLVFQLLCSCNIALLVISGNCVFSQKLKHIIHLPFATIAYRISLLGSLCELLQCCWSWFWIQILAFFPYPHYSSDLPRTLLVFISWIILLAEVVCAASFMTLYIGYVHQYNSFDSQPDVLKSLYSPLQPSNSLEGPRYLMGFMLLNLQKLFTSYLVPTSRNKLVDIKWFINVWLFQESLQNEELCRHSFLFHIFSTFFGPQSTLPFSSLLSMEALICILKGKKITQGIIFSVYKGTTKLLF >Solyc01g020185.1.1 pep chromosome:SL3.0:1:27771419:27774998:-1 gene:Solyc01g020185.1 transcript:Solyc01g020185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSEIYGPARSQILMMNPLPTVGKAYAMIISDENQRITSGLRYGGDVIEATTLYANRGGYDYRNTGRGDGDKGGYNGGGYGYRNTSHGDGDKGYNKEKAVAANVGYRSSMNKANSQFMIWHYRMGSPSYRVLKQLYQSDTPFYDYDTADVHTPLDVETLDEHVEALVPTEHVSEDITEEHGDDNVVYIPGSVPRVSSRMSHPPVWMKDYVTHVTDSGLSGARPSLTHLETNMKLTSTDYMQDASDELFTDINRYQRLIGKLLYLTNTRPDIAFSKPTLSHWNATLKVVKYVKTAPGLGIPMSSDKQAQLTGFCDADWAACLNTRRSVTSYLLKYGKSLIAWKSKK >Solyc10g051120.2.1 pep chromosome:SL3.0:10:51445673:51449629:-1 gene:Solyc10g051120.2 transcript:Solyc10g051120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRNNFPAGSEIRIGSVTWSHPKAAARFSSEFSFLYIEERTTIRFGASRLIHQMMKSVRAYLNSPMGPKTTHFWGPMANWGFVISGMMDTKKTPDAISGNMTAEKMDEEPKLGGRLGRYKGQNVVYIFDVVYEICMDGEASKLYAASLPCC >Solyc05g014890.1.1 pep chromosome:SL3.0:5:9095773:9096288:-1 gene:Solyc05g014890.1 transcript:Solyc05g014890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4BYK7] MAGVGKNLGSSAKRRSCSSKACFKFPVARIARFLKVGKYGKHVPAGALVFLAAVLEYHAVEVLELAGIAARNDKKTRITPRHIQLAIRFAKELYQFLRDLTIPIGGVIPKIHKILLPNNKNNTSKAVVATHEEED >Solyc06g036050.3.1 pep chromosome:SL3.0:6:25522584:25524853:-1 gene:Solyc06g036050.3 transcript:Solyc06g036050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKMLGYRRASLSRNPNSSFHNPITSEQIQHIEEVMAPKQPNTGISVGLNKGHVVTKKELAPRPSDRKGKTSKRIHFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSSVLRKMRATGGGEKKK >Solyc01g106470.3.1 pep chromosome:SL3.0:1:94252722:94255297:1 gene:Solyc01g106470.3 transcript:Solyc01g106470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRSSRCCYLFAIFILAIAVWFSPSAASIAGKDINPPYPKAISDLKESIVKGLGFQAEDFKISGFDLKDALVGRSVSYEFDVEVDNKVIPLKLLEDVNKWEFVDLPIFRVEKGEENGLVERQTLENQVPVLAPFTLAGPMELWIQDAKDMRISLPHDVDAGELRKVILADGAVVTVKGARSVSLRHPIELPLPFNRTINGFASGMLALAEYLRQASLAQEGPLLSLRIVGPTSLTSPTSPSAPSANKLKLKRLAPGLVELSSVSKLKAMDAISTIDLQGETTALLTPNQFTTLWPVTSINGSNSNLLGFEALLSNILGPKAGKKGSFKLLKADVSAQTFVKIGFGVEKKLKEGDGFNLEGYPEWRTKPETVRMHFEVLAKVDGDKVVPEKIVQVDPITIEDTVAPSVQLGNVSMSKTPIVHQPPNPFTL >Solyc11g012460.2.1 pep chromosome:SL3.0:11:5297852:5299226:1 gene:Solyc11g012460.2 transcript:Solyc11g012460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSEETQTEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKLDINGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRLTFENIGRWLNELQTHSDMNVVTILVGNKSDLKDAREVTTAEGKSLAEAQGLFFIETSALDSSNVTAAFQTVVKEIYNILSRKVMQSQELQKKDSGRLANGKTVVLQADENQEAETQAKKGGCCSS >Solyc03g071530.1.1 pep chromosome:SL3.0:3:19833283:19833795:-1 gene:Solyc03g071530.1 transcript:Solyc03g071530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGEINVRIQAKVELSSPQKGYQERVEEAREMLVTYKVVLHVSSFFPQLCLQLLELILKDKEVASLFVEEMMERDERSYDISK >Solyc10g039360.2.1 pep chromosome:SL3.0:10:21372800:21375583:1 gene:Solyc10g039360.2 transcript:Solyc10g039360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTVPAKSNNPLHNFDLPYLKWKKNQHSNNHQRRRSNKLSSDSSSPSPQRHSPMRESMAAAARQSPMRDSVARQSPMREPIPGFRQSPMRESSSPMRDLVVANHRSPMRDSFVASRRSPMSDSVVASRRSTIHDSNAAASRRSPMSEFVAAARQSPISESITAFRQSSMRDSVPPRQSPMRESVPPRQSPMHCESVPESDKDTSVVKYRRHSRISAPESTKKGICEKSDKNHKVLEVDAAGSKEGRSKILLKIPRKNHEEHRGDESQEVTAEEEAAEDTALKTWNLRPRKAVQKSSNLNGGPFRASGSAIQENKFQSPHMNVNKPQNSESNPPKKEKRPRFSIALSREEIDEDIYAMTGSKATRKPKKRVKNVQKQLDTLFPGLWLTSITPDLYKVCENVPKG >Solyc09g090620.1.1.1 pep chromosome:SL3.0:9:70553573:70554172:1 gene:Solyc09g090620.1 transcript:Solyc09g090620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFVSFLIERVEGVMKINKGTILCGAKKYVKDLQTELKKMKVLLKDVEVKQKENKKLCDMIDNIRRIAFKIDDEVENYYFEVAKSRKIWLKGVMEHYKRIYYGKQKKFQLRMVIYNSQVKWYTRKLECYGVKKIVHEKDSCKKIDGLDRWEEITTTVKKVESEFEDVEKSKLVSVYVLNEDKVMEKAKVLVQSFERI >Solyc06g064620.3.1 pep chromosome:SL3.0:6:40382322:40389479:-1 gene:Solyc06g064620.3 transcript:Solyc06g064620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWAKKAIPEGNDTPFYNVSLKTLETPNTTEVEEQQTQWNSGLFLTFGGNAGAYTYVSELWRKKQSDVMRFLQRVRCWEYRQLPSIVRVTRPTRPDKARRLGYKAKQGYVVYRVRVKRGGRKRPVSKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVILVDQAHAAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRPMIYKGIYHLFYQYNPESAEWGNIAWGHSTSTDLVNWTIHPPALLPSDAYDINGCWSGSATILQDGTPAILYTGGNSQKVQLQNLAVPKDPSDPYLVEWVKSPDNPIMIPNEDEKESFRDPTTAWLGPDGIWRVVIGNERENRGTAVLYKSEDFIRWTEAERPLHSSSETTMWECPDFFPVLVDGENGLDISEIFSGIKHVLKNSVARSFVDYYTVGAYDHHNDVYTPDEGSVDNESGLRLDYGRYYASKSFFDSEKKRRIFIAWVNESTSKEIDLIKGWSGLQSFPRKIWLDKGGKQLVQWPVEEIEMLRTNKVDLQNITLEAGLKREICGVTAAQADVEISFSIPIAVLEQAEVLESNWTNPQEIANQSGSLANTGVGPFGLLVLASNKIEEYTAIFFRIFKKNDKFVVLMGCDQKRSSVGLEYDKTNYGAFLDIDPLTEKLSLRTLIDHSIVESFGGGGKACITTRAYPTLAINDNAHIFVFNNGSQHVDISTLSAWSLNQAHIN >Solyc10g085710.2.1 pep chromosome:SL3.0:10:64919707:64925584:-1 gene:Solyc10g085710.2 transcript:Solyc10g085710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSTNFPIPFLNFIVQSSDPSIFNSQGIFPQPTNAPYIAGNGKEDVTVIFRRRGGDDLEQSHTQWAKTVRSSPDVIEMSFYPITLLLEGIKGKEHLERAISLYLEYKPQIEELRYFLEFQVPRVWAPVQDRFPGQQRKEPVCRHLQFSMMGQKLYVSQEQVSVGRKPVTGMRLTLEGSKSNRLCIYLQHLTNLPKILLPCWDTHVAIGAPKWQGPEEQDSRWFEPVKWKNFSHVSTAPIECPETFIGDDHSGVYIVTGAQLGVWEFGSRNVLYMKLLYSRLPGCTIRRSLWDHTPNDKSNKKINCENSNGDASSVTGENIVGNKLAKFVDMTEMSKGPQDPPGHWLVTGGKLGVEKGRIVLRLKYSLLNY >Solyc09g082410.1.1.1 pep chromosome:SL3.0:9:68588962:68589171:1 gene:Solyc09g082410.1 transcript:Solyc09g082410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTVLLQSSKFYFLVIIFILYVKCGYGWKDFIYVDIFLFVDILLCPTISLGIYSYCSHDIEDEELPNPW >Solyc07g045010.3.1 pep chromosome:SL3.0:7:58206417:58211682:1 gene:Solyc07g045010.3 transcript:Solyc07g045010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWPRLPSEKSEDTNNRDILGVFRVLVVAAMGCLFGCFRINDGSLPPDSKSQLVSQSLAPKEPVLSRSRSPLSSLFNSEEIGEDDYLHETDKANQDAGTPKSELHTKELRDQAKFLKACGTLPETPAEIRKGLAKCKDLSASKGDVEPLKFKSWLSDVAVEKFNLDLLPDHPITPSKSNELEKKSGSSTHTSNSCMTEGQDGQGSPKNSIHGSGSANTPRSIEFNANQAHRDVASEVSPIFAPSAQYMNKTVRFDCESDLSAVSSKSTSFALDCENSKQTEFSGSYSALKHSPYPTPLKLSDEMQTPGTVFPTYIDNIPNGKAARIRSQYVYPVLNPVDRASQLKDLSDEDSFSIENSNSRLWSSTMTEPGERPNEASFSSEVGTPGLRKASADNDSKVEASLSSWLKPSSINQDEGKLHTSSGNGNNVHYGRTPGDRPILGLVAAHWKDDEDSRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESSIPQRKQYSGTRPFAFNDMDETDTAISQVHS >Solyc06g074630.3.1 pep chromosome:SL3.0:6:46308937:46312988:-1 gene:Solyc06g074630.3 transcript:Solyc06g074630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEVSTNALFPETFQGTAADIAGQIGLMWELIKAPLIVPLLRAAVYICLAMELMLFIERLYMGIVIVLVKLFMKKPDKRYNWEPMRDDLEIGNEGFPMVLVQIPMFNEKEVYKISIGAACNLSWPADRLVIQVLDDSTDPLIKDLVEKECLRWANKGINIRYQIRENRGGYKAGALKEGLKRDYVKDCEYVVIFDADFRPEPDFLRRAIPFLVHNSEIALVQGRWRFVNANECLLTRMQEMSLDYHFTVEQEVGSSTHAFFGFNGRWNLVNFTGTGGVWRIAAINEAGGWKDRTTVEDMDLAVRASLKGWKFLYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKRVNIWKKFYVIYSFFFVRKIIAHMVTFFFFCVVLPLSLLVPEVEIPTWGAIYIPCIITTLNSVGTPRSIHLLFYWILFENVMAFQRTKATFIGLLEAKRANEWVVTEKLGDALKNKEKTKQTKKPRGSLFGDRILPQELGFAVFLFFCGCYDVLYGKSQYFLYIFLQVITFTIAGFGYIGTIVPS >Solyc02g067005.1.1 pep chromosome:SL3.0:2:37811738:37816584:-1 gene:Solyc02g067005.1 transcript:Solyc02g067005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFPIPFSILLLVGQKKSNNGEDHGGEDKIAEKQGGGCQENDEIQTLGLNFGCKQWLRIHLGWRIEWWSGQTCVIAENSIFQAKAESAILQTEIIPTTEAVGKIAYPSRLAEGNVIVSSSLCTMKQVFVSAPVKLYKKKQHLGAEEQILSQLLREGLKASYHIVA >Solyc12g019110.2.1 pep chromosome:SL3.0:12:9118130:9150888:1 gene:Solyc12g019110.2 transcript:Solyc12g019110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYNRMKGRPTLWLPGTDHAGIATQLVVERMLATEGVKRADLGRDEFTKRVWEWKQKYGGTITNQIKRLGASCDWTREHFTLDEQLSRAVVEAFIRLHEKGLIYQGSYMVNWSPNLQTAVSDLEVEYSEEPGSLYYIKYRVAGGSKSDFLTIATTRPETLFGDTAIAVNPQDERYAKYIGKQAIVPLTFGRHVPIISDKYVDKDFGTGVLKISPGHDHNDYLLARKLGLPILNVMNKDGTLNEVAGLYAGLDRFEARKKLWSDLEETGLAVKKETHTSRVPRSQRGGEIIEPLVSKQWFVTMEPLAERALEAVSNGELNIMPERFEKIYKHWLSNIKDWCISRQLWWGHRIPVWYVSGKDCEEEYIVARNYREALTKAQEKYGKNVEIYQDPDVLDTWFSSSLWPFSTLGWPDESAEDFKRFYPTSVLETGHDILFFWVARMVMMGIEFTGTVPFSNVYLHGLIRDSQGRKMSKSLGNVVDPLDTIAEYGTDALRFTLALGTAGQDLNLSTERLSSNKAFTNKLWNAGKFILRNLPRQDDTPAWEALRAHKFDNIESVLKLPLPECWVVSKLHVLVDEVTASYEKFFFGDVGREIYDFFWSDFADWYIEASKARLYHSGDHSVASVSQAALLYIFENILKLLHPFMPFVTEELWQALPSRGEALIVSAWPLTSLPRNIDSIKKFENLQALTRAIRNVRAEYAVEPAKLISASIVANPDVIQYISGEIDVLALLSRLDLGNVNFVESPPGDANQSVHIVAGEGLEAYLPLSDMVDISAEVQRLSKRLVKLQAEYDGLIARLSSPSFVEKAPEDIVRGVREKAAEAEEKLTLTRNRHDFLKSKVLIAN >Solyc12g095880.2.1 pep chromosome:SL3.0:12:65966553:65975821:-1 gene:Solyc12g095880.2 transcript:Solyc12g095880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4DH61] MGTESNYQMKVVKGDHGYVLEDVPHLTDYIPDLPTHDNPLRSNPAYSVVKQYFVDMDDTVPQKVVVHKDSPRGVHFRRAGPRQKVYFNSDDVRACIVTCGGLCPGLNTVIREIVHSLDYMYGVSKVFGIDGGYRGFYSKNIINLTPKTVNDIHKRGGTILGSSRGGHDTTKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRDLKVIVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESAENGIGVVKLMGRYSGFIAMYATLASRDVDLCLIPESPFYLEGDGGLFEYIEKRLKENGHMVIVIAEGAGQELLAEENAHAKNEQDASGNKLLQDVGLWISQKIRDHFAKKTKMPITLKYIDPTYMIRAVPSNASDNVYCTLLAQSCVHGAMAGYTGFTSGLVNGRQTYIPFNRITEKQNMVVITDRMWARLLSSTNQPSFLRVKDIEEIKKEEQPQTQLLDGDNNVHENSGH >Solyc09g010180.3.1 pep chromosome:SL3.0:9:3555923:3573512:1 gene:Solyc09g010180.3 transcript:Solyc09g010180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SPY description:Probable UDP-N-acetylglucosamine--peptide N-acetylglucosaminyltransferase SPINDLY [Source:UniProtKB/Swiss-Prot;Acc:Q8RVB2] MAWTEKDVENGKESESLGNNGFLKGGQSSSGSKGSPGRISHVKKIFEDKDAITYANILRSRNKFVDALAIYESVLEKDSKSIESLIGKGICLQMQNTGRLAFESFSEAIKVDPQNACALTHCGILYKDEGRLVEAAESYEKALKADPSYTPAAECLAIVLTDIGTSLKLAGNTQEGIQKYYEAIKIDSHYAPAYYNLGVVYSEMMQYDMALNCYEKAALERPMYAEAYCNMGVIFKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVAYYKKALCYNWHYADAMYNLGVAYGEMLKFDMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIIANPTYAEAYNNLGVLYRDAGNISLAIEAYEQCLKIDPDSRNAGQNRLLAMNYINEGTDDKLYEAHRDWGRRFMKLYPQYTSWDNSKVPERPLVIGYVSPDYFTHSVSYFIEAPLAHHDYTNYKVVVYSSVVKADAKTNRFRDKVMKKGGLWRDIYGIDEKKVSSMIREDKVDIMVELTGHTANNKLGTMACRPAPVQVTWIGYPNTTGLPTIDYRITDAMADPPNAKQKHVEELVRLPNSFLCYTPSPEAGPVCPAPALSNGFVTFGSFNNLAKITPKVLKVWARILSAVPHSRLIVKCKPFCCDSVRQRFLSILEQLGLEPQRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMGGSVHAHNVGVSLLKTVGLENLVARNEDEYVESAIQLASDVTSLSNLRMSLRELMSKSPLCDGAKFTRNIESIYRSMWRRYCDGDVPSLRRMELLQQQQTQTESVVPEESSVNPSERTITSAPTDGSIKENGFTAVPALALKSSTSEENGVQSNHNGNHGNLS >Solyc04g009670.1.1.1 pep chromosome:SL3.0:4:3034970:3035497:-1 gene:Solyc04g009670.1 transcript:Solyc04g009670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRFFFYYQIRTISVSPNIKLAKSNTTTTNQHRKYCHYIFHTNIQNYEHDLKLPKLVTDIRKSKHDLPTRNNLEENEYVEVMLEAYKTGKLPFHQRNCDIYTITSILAFEFEKIDFGFGKPTRASQESGSFSNLFILMNTPDDHDRAVEAFVNLNEQHMSIFKNDKDLLQFATPF >Solyc02g032940.3.1 pep chromosome:SL3.0:2:29959404:29965507:-1 gene:Solyc02g032940.3 transcript:Solyc02g032940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKIFLVALFLSALLIPLASSSNDGFVRIGLKKMKFDQNNRLAARLESKERDLMRPSIRKYNFRGKLGDSEDTDIVALKNYMDAQYFGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYFSVPCFFHAKYKSSLSSTYKKNGESAAIQYGSGAISGFFSEDNVKVGDLVVTDQEFIEATREPSVTFLVAKFDGILGLGFQEISVGNAVPVWYNMVKQGLIKEPVFSFWLNRNTEEEQGGEIVFGGVDPNHFKGEITYVPVTRKGYWQFDMGDVLIDGKATGYCKSGCSAIADSGTSLLAGPTTVITMINQAIGASGVASQQCKAVIQQYGQTIMDLLLAEAHPKKVCSQVGVCTFDGTHGVSMGIESVVNEKAGRSAGLHDGMCSACEMAVVWMENQLRQNQTQDRILDYLNELCERLPSPMGESAVDCGKLSSMPTVSFTIGGKVFDLSPNEYILKVGEGDQAQCISGFIGLDVPPPRGPLWILGDIFMGRYHTVFDYGKLRVGFAEAA >Solyc03g096370.3.1 pep chromosome:SL3.0:3:59844974:59848826:1 gene:Solyc03g096370.3 transcript:Solyc03g096370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSPLATGTITTRRRPIDSVEYAEENGNGKVNIGHHKHRRISSSVNSLLRPGRYLSRWIFGALILLMISTMFVKIILIHLFLRVNATTTSHHDFLQLPRHVMHAQNSEIWANLGSDKFYKCIDRSSIKIKDKSMSNGYILVHANGGLNQMRSGISDMVAVAKLMNASLVLPMLDHKSFWTDPSEFKDIFDWQHFGKSLEDDIEVLESLPPSVANIKPFVKAPVSWSKASYYSRDILKILKRRRVLEFTHTDSRLANNGIPDSIQKLRCHAMYEAIRFTEKIEQLGTKLVNRLKENGEPYIALHLRYEKDMLAFTGCNHNLTEKEAEDLQKLRYKTKHWKEKRINGTERRVLGLCPMTPREAAIFLEAMEYPSNTKICIVAGDTFGKNGLKALQERYPKVYYHSNLATEEELKPFMQRHNQLAALDYILALHADVFLYTYDGNMAKAVRGHRLFQGFWKTINPDKQNFVRLIDEMDNKKLSWKEFASQVRLLHVNRTGAPNVRAAANSPKQEENFYANPFPGCICLR >Solyc10g085800.2.1 pep chromosome:SL3.0:10:65004863:65008015:-1 gene:Solyc10g085800.2 transcript:Solyc10g085800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMLVPPWLEPLLNTAFFSICRTHGDAARSECNMYCLDCNDNAFCFYCRSSKHKDHQVIQIRRSSYHDVVRVSEIQKVLDISGVQTYVINSARVLFLNERPQPKSSGKASSHVCEICGRSLLDTFRFCSLGCKLVGIKRNGDSSFILDAKNEVLALQRGEGISSRGGNQLREGLEHDNIYPPTPPPPPSNARRRKGIPHRAPLGS >Solyc01g057805.1.1 pep chromosome:SL3.0:1:63913049:63914931:-1 gene:Solyc01g057805.1 transcript:Solyc01g057805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCQMPDAMKPKGDYKDIPLQIKFEGAEIWLTRAKQENGYSKEISNLDLDDNKEDPLPLVSIMTYKNVQGGMPLQITETGAKFTVNKAHKLFVIYYHKVRQQLVDNKVVDSLWKRSDPLILDGTILMHNHIFINFSFDPAQLKQSVEIANEFDLLVIIDGVDTKINACLIVANFSSHHYFKEDNFSLNESNHVRQYCLYHIPGAFGAYFDSSSTLDDIIVIKV >Solyc02g065510.1.1.1 pep chromosome:SL3.0:2:37251005:37252966:1 gene:Solyc02g065510.1 transcript:Solyc02g065510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLNRFHKINSTFYYVSLHIRRFLVTQNVNKVALYFHRARLIDSIRLALRSNSSDNLVTYLENPSLDSFVVTKALQSAPSPESALHLIECLKKIPHFSHTHNTLYALAKILAKARETAKLQALINAINSGKFINAARVSYMDQMRWYAISREFNDVVRVWDEWRTTLQKHPCTESYNIVMGLYVQVGKDSDAVRLFRRMIEEGALPNCRTYTIMIEHLVKTRNLDSAIELFHMLPHVRIKRTLKQYSVLVEAFTIANQLDVVKVLLDQMRSDGILPVRAMLWSLQQMQSAGYDAETNELLRDMLPDGRIKMIGYSKDSSEDDSDDEDEEIDGNNTNAVDQPHLKPWLDPASLANALQNWGAEEVTALEDANLVWTTRLVCKMIRSFKSAETAWQFFYWVSCQPGFIHDIYTISRMITKLARQGCVDLVDQLLSKVEREGIRLSFSTIRLIIDFYGVSRYGDPALRVFKSIKTICGPVSKSSQLLLYSSLLRTLIKCKMNSDALDILDEMSLLGIVPDSQTYSGLMNHFALHGDIKTVQRLFGMARQSGIEPDAYMYKVLIHAYCKCERAALALRVFEDMGNSGLLPDAHTKQLLVKSLWKEGKLLEAASVEERTEEISDALPLASPGHMFTVSSTDLSRICNIYSNSFQSTCS >Solyc12g088750.2.1 pep chromosome:SL3.0:12:64983438:64989080:1 gene:Solyc12g088750.2 transcript:Solyc12g088750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFLNDEMSKKTSIFGLHLWVVVGICVGAAIVIVLFLISLWYTSKRNSSNPQIKNISAEIKEIRIDPSRTLPENPNSVLVADPLPEPEKETTQNSGGYQRIQIEMGKEHMVKPDRVGSGGGSGHGSGEVRSGEQGGLTAPEVSHLGWGHWYTLRELEVATNFFAHENVIGEGGYGIVYRGVMEDNSYVAVKNLLNNRGQAEREFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEYVDNGNLEQWLHGDVGPYSPLTWEIRMNIILGTAKGLTYLHEGLEPKVVHRDIKSSNILIDKQWNSKVSDFGLAKLLGSERSYITTRVMGTFGYVAPEYASTGMLNDRSDVYSFGILLMEIISGRNPVDYSRAPGEVNLVDWLKTMVSNRNSEGVLDPKMREKPSSRALKRALLVALRCVDPNAQKRPKMGHVIHMLEVDDFPFRDERRTVRENGRSHHDEMKEKVMDKRIAETGDSSGFETTVETNRSLLPKKEIDDDE >Solyc02g069000.1.1.1 pep chromosome:SL3.0:2:39524964:39525479:-1 gene:Solyc02g069000.1 transcript:Solyc02g069000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQPTSTGTAHQGVIPNPEGIWCYRCTTCRDVFTSSQGLAGHQGKHKSEGTWIRGAPHEKFFCPSAEIPDLYRQLGTRKSTPTVLRGEGNFYRLRNPVVYKPRRLPERPWAVLHQPQQAMVLQVPHVVTSERITSLSIQDQNLFANHPNQLVENTNVDDQEEIDLELRL >Solyc06g009130.3.1 pep chromosome:SL3.0:6:3077754:3085029:1 gene:Solyc06g009130.3 transcript:Solyc06g009130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Magnesium/proton exchanger family member [Source:UniProtKB/TrEMBL;Acc:K4C3U3] MTSLGNYTTHSTNGHSNILRHEKCDAYLLFHLETALGGGFRTFLYFLGLAYCFIGLSAITARFFRSMESVVKHSRTVETIDPLTNTKAVKNEKVWNYTIADITLLAFGTSFPQISLATIDAIRNIGKLYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDIGVWLVELFWSFWAYIWLYIILEVWTPNVVTLWESILTVLQFGLLLIHAYAQDKRWPYLSLPLERAERPEEWVPAEVVKYRPLDKVHEPHSEVSQVGEEENTGIVDIFSIHSGEGTGHFYQNLAGEDVTESSTPNNCYIIPEESDILSIWKHQFVDALMLESTESRKLNNTYLRVARIFWQLLLLPWKLLFAFVPPYQIAHGWIAFICSLIFISGIAYVVTKITDLISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYIGIGVPWLIDTLYNYIAYNEPLRIENAEGLSFSLLVFFSTSVACIGVLVFRRLTIGAELGGPRVWAWVTCIFFMLLWLIFVVLSSLRVSGII >Solyc03g063100.2.1 pep chromosome:SL3.0:3:35413306:35417673:1 gene:Solyc03g063100.2 transcript:Solyc03g063100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SP3D [Source:UniProtKB/TrEMBL;Acc:Q84XL0] MPRERDPLVVGRVVGDVLDPFTRTIGLRVIYRDREVNNGCELRPSQVINQPRVEVGGDDLRTFFTLVMVDPDAPSPSDPNLREYLHWLVTDIPATTGSSFGQEIVSYESPRPSMGIHRFVFVLFRQLGRQTVYAPGWRQNFNTRDFAELYNLGLPVAAVYFNCQRESGSGGRRRSAD >Solyc02g082440.2.1 pep chromosome:SL3.0:2:46683388:46694693:-1 gene:Solyc02g082440.2 transcript:Solyc02g082440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVMVHSNSEDTSQNLHQQKTQSILYSWTKWRSLCPEITVECRALLELVLRIRIIYSMALLVSCILLVLDGFLISLIKTAWWRIPKFFNMLIRSLLRL >Solyc05g008200.3.1 pep chromosome:SL3.0:5:2589345:2598279:1 gene:Solyc05g008200.3 transcript:Solyc05g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPDSSLLDLIEKVKAWIKWGKSDRTSLVGGSDMDVESCKTCYECKMKFTDSCLKFHCLSCSRVFCRDCVVHIFGSSDVFSSGSGESKNTVRSVVDIKVCKFCSDLSNCHRSTRKFCDKVYPSESPRESPEPPSPNFSSDMFDGYSTHDASKSSFTAFSSHPSPVSLRHSPSRSDEDEGGDFTNQSVSPSSDCCHDTSDLESCSVSARHKFYHLRSFGSSPSNSPSRIRFTSNRVGHSVQDQQETPRSQNDGPFDQETLVVLGRLEKDNEDPETANDSNNLSVYRDQLEKQQKPLDFENNGPIWFPPPPDDEDDEAQNNFFTYDDEDDEIGETGTTFSSSGSLSSIFPAKDKQQLDHKEPLRSVVHGHFRALVLQLLQGEDIHSGKESAADDWIDIVTSLAWQAANYVKPDTSKGGSMDPVDYVKIKCIASGTRSDSTLIKGVVCTKNIKHKRMTSQYKNARLLILGGALEYQRAATQLASFDTLLQQEKEHLKMIVSRIEAHHPNVLLVEKSVSSYAQELLLEKEISLVLNVKVPLLERVARCTGALITPSIDNIATTRLGHCELFHLEKVSEEHEHANQLNKRTSKTLMFFDGCPRRLGCTVLLRGSCREELKKLKKVVQYAVFAAYHLSLETSFLADEGASLPKESAATSIAIPERTSADNAISVISHSAVPVRAQRVANDPNVQIGSNFTVEAVLPESLSEHHYPQYGDQSNLDDGGARDVLITADHANLSLFSAHDTKPVGSIEIEDQTIERSVETSGQEESQPIELGDLSKLERSDETKIPDEFYSAADSRQSILVSFSSRCVLNGTVCVRSRLLRIKFYGSFDKPLGRYLQDDLFGQISSCQSCKEPAEAHVICYTHQQANLTINVRRLPLVNSLPGERDKKIWMWHRCLKCAQIEGVPPATRRVIMSDAAWGLSFGKFLELSFSNHATANRVASCGHSLQRDCLRYYGCGSMVAFFRYTPIDILSVRLPPLTLEFSGYTEQEWLRKEAAELLCKAKALYAEISSAFRRVEEKSYPVECEPSDTTQLHDCIVELKELLMKEKNDYHDFLQLDEDETFDPRQGAIDILELNRLRHSLVIASHVWDRRLLSMKSFLQKSSGSVGSEDCGSCNELIDWRRDMFLKNDTLEHVYEESVPEFSDSEEYPEKALQSEQEGTGVSPYGSGELESSMLTSSESETMQEMQTEGENTFNQTSLERAPSAASVLSDQIDFAWSGTDCSPKKAQLLQGDRSEAAPLRQPSQLDLPPFRRLKLPARVHSFDSAMRLQDRIRKGLPPSSLHLSSIRSFHASGDYKNMIRDPVSSVQRTYSQMSPSEAHKFNLLMGSSPSFISYASLIPDGSRLMVPLNGSIDVVLAVYDNEPTSIISYALCSKVYSDWVTDKSSVSERSWNTSDTNKEAGVAFSLSTWQSFGSLDTDYMHYGSHGSEDASSTITSLFSDSKTSPHLRISFDDESSSSGGKVKFSVTCYFAKQFDALRRKCCPDELDFVRSLSRCKRWSAQGGKSNVYFAKSFDERFIIKQVQKTELDSFEEFAPEYFKYLTNSINSRSPTCLAKVLGIFQVSVKHLKGGRETKMDLIVMENIFFERKISRVYDLKGSLRSRYNADTTGANKVLLDMNLLETLHTKPIFLGSKAKRSLERAVWNDTSFLASVDVMDYSLLVGVDEERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPRNASPTIVSPKQYKKRFRKAMTTYFLTVPDQWSS >Solyc01g100045.1.1 pep chromosome:SL3.0:1:90022721:90023027:-1 gene:Solyc01g100045.1 transcript:Solyc01g100045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESLNQRWQSRQLVLTAERPSWLPEDWRFKSVVRMAAGLIDNYYFEPHSGKRFRSKTAVLNFLKRGVKRKTEIVGTSDEFGPRRSKRATQPYKLFEEYV >Solyc03g097230.1.1.1 pep chromosome:SL3.0:3:60971289:60971873:1 gene:Solyc03g097230.1 transcript:Solyc03g097230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEGTEKPTIIFTYGTLKRGFSNHVLLQDMIAAGDASFLGVYHTVDRLPLVCGPYRVPFLLNFPGSGEHVRGEVYAVSARGLIRMDELEGITRSHYERLPIEIRSESESESEKTMEVEAYYAHRNYAEALWKRNGEKGFRCYTEKEAKGYVKRKDRPQHLTFLEQIGIFISSNPNSCGCNKNLHVPSLPSQCC >Solyc08g065440.3.1 pep chromosome:SL3.0:8:53532141:53536575:-1 gene:Solyc08g065440.3 transcript:Solyc08g065440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSSTKGFEPMLKESINHFLASYQNGSCDFSVFESIFFRLVQTMPDPPLEITWFYSAVTFHSSKSAGFSKTIVAKDLFQLLISCSGSCNGTKKIALLAPLIYVLYDIVCEFSRNGLSLTSEIKELVEKIVDYICLCLVLPENGNMIDDDIVCFEELAGVWMVHLVGKCAKVEENLGVFFPIVSVEVHKGQKSRRGIRDLAGIVICEVFLLALSLKFNMRFVNEDFQKNARNWAIHTLKQFQNTEFLDMLLRILSEKKLVVTALLVLRMYPVPSNAHKFADQVDFCKAKLFTFCFQYLLLQSSEDAVILQKLLYDAVILVDHSFLSSGRWFQIPESSFRNLVLLWSLIVDNAIQFAREICDQDRLTAYANAFSESQLPSELLKWVSVQAGIEEKLRNPKLMTPKALIKWLFVLEDQGLWVFDHDKLKFDAKTAICISRPDCFLPEVQPRKCIGDEEMDDSMDKTFSNAYFCKDKLPVDGSRKRKDLVKDTYIRGTPVKLVKYNVHESPNREKFLPFSDEDMEVMG >Solyc10g005530.3.1 pep chromosome:SL3.0:10:428279:445465:-1 gene:Solyc10g005530.3 transcript:Solyc10g005530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSWRSLLLRLGEKCPEYAGNADFKDQIDACHSLVRREIEHSGDDVFPFLLQCAEQLPHKIPLYGTLIGLLNLENEEFVRKLVESTQINLQDALETGQCNKIRILMRFLTVLMCSKVIQPSALVVIFESLLSSAATTVDEEKGIPSWQARADFYITCILSCLPWGGAELVEQVPEEIERVMVGVEAYLSIRRRVSDAGVSVFEDIEESNNVVNEKDFLEDLWSRVQDLSNRGWKLDSVPRLHLSFEAQLVAGKSHDLSPVSCPEQPGPPGALSGIAFGRQKHEAELKYPQRIRRLNIFPSNKTEDLQPIDRFVVEEYLLDVLFFLNGCRKECAAYMVGLPVPFRYEYLMAETIFSQLLLLPQPPFRPIYYTLVIIDLCKALPGAFPAVIAGAVRALFDKITDLDMECRTRLVLWFSHHLSNFQFIWPWEEWAYVLDLPKWAPQRVFVQEVLEREVRLSYWDKIKQSIENAPALEELLPPRGGPQFKYSAEDGTDPTERALSLELKDMVKGRKTAREMISWVEENVFPAHGFDITLGVVVQTLLDIGSKSFTHLITVLERYGQVIAKMCTDDDQQVKLITEVSSYWQNSAQMTAIAIDRMMSYRLISNLAIVRWVFSPLNLDRFHVSDSSWEILRNAVSKTYNRISDLRKEISSLERSVVLAEKAASRAREELESAESKLSVIDGEPVLGENPVRIKRLKSYAEKAKEEEVSVRDSLEAKEALLARAVDEIEALFLSLYKSFLTALAEPLHDASRDGTLRPSGHVDDMTIDLEDSSVMELDKDDERSKKSHPNGSGERKGYNLDEKQQWCLTTLGYLKAFTRQYASEIWQHIEKLDAEVLTEDTHPLVRKAIYCGLRRPLEE >Solyc03g025890.2.1 pep chromosome:SL3.0:3:3317451:3337465:1 gene:Solyc03g025890.2 transcript:Solyc03g025890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPKQQVISRFFAPKPKNEEDPSTSTTPCTPPPKIVATVSFSPAKRLRTSQLISPQNKLSSSYDDYPTKPTKTPKLSTHVDNPSTPLPNPTLHQKFLDKLLEPSYHLLEPSKCHEIANPKYTPLEQQVVELKTKYPDVLLMIEVGYRYRFFGQDAENAARVLGIYAHMDHNFLTASVPTFRLNIHVRRLVSAGYKVGVVKQTETAAIKAHGSNKLGPFGRGLSALYTKATLEASEDVGGGDEGFGSCNNYLVCVVEKVIDLEGCGNDVKLGVVGVEVSTGDVVYGEFNDNFMRAGLEAMILNLLPAELLVGRPISKQTEKLLLAYAGPASNVRVEDVSSDRFSDGGALAEVMSLYEGMQETNLLDVQEKEEAEMKMPKCNQIAIQGIMAMPHLAVQALGLIVSHLKQFGLERVLCLGASFRPFSSNMEMTLSANALQQLEVLMNNFDGSESGSLFHCMNQTLTLFGSRLLRHWVTHPLRDRNMIGARLDAVSEIAESMQTHRTSHTSVLEMEGADVTSSQPEIHHIIVSVLSTIGRPPDIQRGLTRIFHRKATAAEFIAVIQAILIAAKQLQRLFITEDRSTNLQRETLHSVLLRKLISIASSSTVINGAAKLLSALNKEAADRQDLHNLFIISDGKFPEVAEGTRRVELANEKLDSLIVMHRKQLHIHKLEYTSVAGITHLIELPLNTKVPRDWVKVNSTKKAIRYHSPEVLVALDELALANEQLTVVCQAAWSNFLTGFGGYFAEFQAVVQALASLDCLNSLAILSRNKNYVRPLFVEDDEAVQIHICSGRHPVLEAVLQDNFVPNDTDLHAEREYCQIVTGPNMGGKSCYIRQVALIALMAQVGSFVPAISAKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASDILRKCSANSLVILDELGRGTSTHDGVAIAYATLQYLLEHKKCMVLFVTHYPEIVSIKNKFPGSVGPYHVSYLTSQRDVNGDFKSNEKMDHINGEDITYLYKLAPGVSGRSFGFKVAQLAQLPVTCIQQAIVIAAKLEAAVCNYTEQQFRRSCSLSHRQDGCKNEPTEDVLESDSLSAGIVEGLDDISELYRELFMNLNYAYLEEHGNDRRLQFLMQARSLAAQLISR >Solyc04g025240.2.1 pep chromosome:SL3.0:4:25148308:25151633:1 gene:Solyc04g025240.2 transcript:Solyc04g025240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGYQDPIWVSCFQNYEASPKSFSSLSTSGRVSQVVDLFPTISPEVYLRKARLEDCWEVAETHYNSFFPEYSFPLDFFLRIDRLITMLFGFSIPNGCQRTCFVDVLGSRDEEACLLGTEDLKLGGFYGQWSLNKVYVTGILSVDTVDDFLLRKRPLRQRRSVVSWILVRNLEIF >Solyc12g008600.2.1 pep chromosome:SL3.0:12:1996089:2000764:1 gene:Solyc12g008600.2 transcript:Solyc12g008600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFVRLIIESLALKLPLTATKSASHGIHPSSTPCYAKLKLKNFPTQTTILPLSPNSDTQSPPESAAIATGFHLDAAALRRSSAKPINLTVSVFTGRMGGRACGVTSGKLMGSVQVSVDLSGTNSKGRVFQNGWMKLGSTSTAEKDKPVAMLHIAVRAEPDPRFVFQFGGEPECSPVVFQIQGNIRQPVFSCKFSADRNNRSRSLPTDFNLNNRGWMRTFSGERDRTGRERKGWMIIIYDLSGSAVAAASMITPFVPSPGSDRVSRSNAGAWLILRPNGACVSSWKHWGRLQAWRERGPVDGLGYKFELVTDTGLTSTIPIAEGTMSMKKGGQFCIDNTVKDTALSTNSPIRGFVMVSNVEGEGKISTPMVQVGVQHVTCMADAALFIALSAAIDLSMDACRLFSQKLRKELCNDDQESYF >Solyc10g079233.1.1 pep chromosome:SL3.0:10:60934001:60935332:-1 gene:Solyc10g079233.1 transcript:Solyc10g079233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCALFTPYVLISLYILATSSSLPSMLNSICISRSSLTSLGLSISDFMKYTYDVTARSVTPLRCASTVKAPYFTLL >Solyc07g063860.3.1 pep chromosome:SL3.0:7:66356978:66358025:1 gene:Solyc07g063860.3 transcript:Solyc07g063860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHDLLKSKGLPAGLLPKEVKSYNLSDSGFLQVFLDGPCLAKFDTMALYDSVVRANLTYGSLGAVEGFSQQELFVWLPVKGIVVDNPSSGLILFDIGLAHKQLSLSLFEDPPNCKPDGVLKKNGRKEKGFEDQ >Solyc10g046970.2.1 pep chromosome:SL3.0:10:38902402:38906513:-1 gene:Solyc10g046970.2 transcript:Solyc10g046970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:K4CZW2] MSFRSIVRDVRDSFGSLSRRSFDVRLSGHQRGKSLGSFHDLSDQPPVNQNSCWANLPPELLFDVIRRLEESENSWPARKHVVSCASVCRSWRIMCQEIVRSPEVCGKLTFPVSLKQPGPRDGMIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDAENISRSSNTYIGKLRSNFLGTKFVIYDTQPPYTFANVPPPGRTSRRFYSKKVSPKVPTGSYSIAQIKYELNVLGTRGPRKMHCVMHSIPASAVDVGGSVPGQPELLPRSLEDSFRSISFSKSLDHSAEFSSSRFSDIVRASSSAEDDSKVKPLVLKNKSPRWHEQLQCWCLNFRGRVTVASVKNFQLIAAHQPPAGGPTTSRSSQSDHDKVILQFGKIGKDMFTMDYRYPLSAVQAFAICLSSFDTKLACE >Solyc07g022820.3.1 pep chromosome:SL3.0:7:22364830:22366022:-1 gene:Solyc07g022820.3 transcript:Solyc07g022820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLKKSSGGLSIFSQPGKNLGVKNPCELDADELEQAHIYISKNCDEVLPYLKLITFKMGLTIAQLHKQDDSQIMEDLLSLSRGSTKYSVSFNGYVVSEYRFKMKLLKIENEIGSNMKSTIRYTFVAPGAIGKG >Solyc11g069840.2.1 pep chromosome:SL3.0:11:54764373:54770885:1 gene:Solyc11g069840.2 transcript:Solyc11g069840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIQINSRCVNNFNNIITTTTKKKEVYHTIPLTLHSSTNEYLMRFMANQIDLAVHFPAQIIKKKKSTSTGGHHKKEELEKEVAELRKMLNHEQKVHEFLEKVYQRKDDSSFTIPNYLPPKELLEELAMVENEIAKLEGQINKIQCDVNKEKEINNIDHQANKSKQGLINNKMKIQSQQQQNNISSYSLPPNPNKFKGINDQKVSFETKALHFISKAIKGDYGLNDFRINNENLLQPINKSSKVLVDHQEDENQFHQQVRTFGERISRKSGMIKTPSPLREPRNPTPRGDIPKFMSTPTHEVQPKIMQSPIPTEEDTIHRWPPNKLSENIMKCLVFIFIRLLRTTRAMELEKSGPIASRSSNFSLSFRAHIEPNSKNNSSSTTSLLIQQKDSRQQDPYGIFDSEESIPRDIGPYKNLVRFASTSMEPKCISNSNSIPLFQKLKLMMNSLQNVDLRLLNYQQKLAFWINMYNACIMHGFLQHGLPSSSTPEKLLSLMNKATLNIGGNTINAHAIEHFILRKPVNSLAKEVNRKGEKNDKENIVRELHGLELFDPNVMFALCCGTRSSPAVKIYTSDGVIGELEKSKLEYLQASLIVTNNKKIAMPELLLRNIHDFAHDLDSLVEWICQQLPTSGSLRKSIVDCYRGLHGGKASTIIEKIPYDFEFQYLLSV >Solyc10g046845.1.1 pep chromosome:SL3.0:10:37819225:37819721:-1 gene:Solyc10g046845.1 transcript:Solyc10g046845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIFGLLLLRYVLSDLGTTFAALNSSHVISCKGLEVDHSKISAIKQWSVLTNAKRVWSFLEITGNYRLLIKEYTTIAKPFKWGSIEHLAFDTLKGKLSCTPVLGLPYFT >Solyc01g106700.3.1.1 pep chromosome:SL3.0:1:94362607:94363248:1 gene:Solyc01g106700.3 transcript:Solyc01g106700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTINAVKKTQGRRKIAIKPIDNQNSRHVTFSKRRLGLFKKASELCILSGAEIAILVQSLKRQRLFTFGHPSPDAVIDRYLTGKSVSPGDGDQFNLQQSNQYYSQICRDLELEKQKKENIEESKMVNNGGFWWNEPIDDMGIEGLEEFMSALEELKKKVTMRADELSMINGSSSNSTMKIARFGAEDQYFNESIDYCSSIVPFDFNQPGDRQF >Solyc04g051685.1.1 pep chromosome:SL3.0:4:50943486:50946099:1 gene:Solyc04g051685.1 transcript:Solyc04g051685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQCQLITKEHAILQDFYTGKVKGIGKEEGGLYLLQSKCSEKICSRTSSISLAEKVTDDVQTWHKRLGNIPAGVMKKFSFLQNKIDVSFSEHIFPFLQLKHGDNIRLFPELEGTSDDCYSSAVASEVVHFPDEHVFASNSPLQESLVPVKTTDDSLPPSQHDHSLFILRRRGKQVMILVYVDDLLITGDDEGLIQETKDVLHKAFKIKDLGSLKYFLGIEVCRSKKGILLCQRKYALKLIDDLGLAGSKTAMTPLEQNQKLTSQEHCGLQNDALLSDIKGYQRLIGKLLYLTLTRPDIAYSVQTLSQFMQNPKRSHLEATHRVVRSIKNEPGMGILLSAEGSASLIAYCDVDWASCPNSRKSVTGYIVKLCNP >Solyc04g076020.3.1 pep chromosome:SL3.0:4:61032388:61054985:-1 gene:Solyc04g076020.3 transcript:Solyc04g076020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLCFHDGNITNLINKRYLDVRHDSVHELQMHSSLVKRMALERELEGHQGCVNAIAWNSKGSLLISGSDDTRMNIWSYSGHKLLHSIETGHSANIFCTKFIPETSDELVVSGAGDAEVRLFHLSHQKGRGEDNTVTPAALFQCHTRRVKKLAVEVGNPHVVWSASEDGTVRQHDLREGASCPPAGSSRQECRNILLDLRCGAKKSLADPPKQLFALKSCDISSTRPHLLIVGGSDAFARLYDRRMLPPLSSSQKKLPPPPCVSYFCPMHLSDRGRSNLHMTHVTFSPNGEEVLLSYSGEHVYLMDVNPAHGNSISYTSGDVSNLMNFAPSVNGIELQSSVSGIFLNGSSSKRIPASKLEKCKRLIQVALNSLKEDSNYYYGIEACNEVLDGCRDEIGPLLSFECLCTRAAILLKRKWKNDAHMAIRDCHRARKLNSSSFRPLILIADALSQLGKHKEALEFAIGAQSLATSNSEVALKVGSIKEQIAAAEADKTNRANGGESRSDPRAGRVLSLSDILYRSDANSDASQDGHRSERDDSDDDEELELAFETSMSGDEGQDVDPNVLHGSLNLRIHRRGDSTGETGQANGSCGSPASCQKEKTAYQPETVIDMKQRYVGHCNVGTDIKQASFLGERGEYIASGSDDGRWFIWEKRTGRLTKMLHGDEAVVNCVQCHPYDCVVATSGIDNTIKIWTPTASVPSLVAGGAAGPETSNVLDAMESNQRRLCRTREAVLPFEFLERFRMHEFTEGSLHPFECTQS >Solyc09g009320.1.1 pep chromosome:SL3.0:9:2697455:2699488:-1 gene:Solyc09g009320.1 transcript:Solyc09g009320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDALWSDCIPLDILGLISSRLVAAEYFIFRAVCKRWRDAPLTPPHRPAQPEKSPCLITLRGDSGIIEFFHPVYNVMTTTSIPNPKLMDSRIRSSKDNWLLVSNGSRGMFFFNPVSNDITELPDLPYDNICPSWTFSCPPDSSSACFVVGIDYAGNPPDVYIIKVGETSWTYHNLDDPADRYKCFCLSACTSPIFFKNNIVYVLGDKGTLGILTINENSIPSWKFYGKPFPRRRLNPIQYVYTAEDVDNEGMLVVFLSHHEGKVEVWRYKMNGQVLEREKITSLDNNKTLFVSSVGSCLKPCIAPGLGNKIYFSMFHNKKGVFYCLVNRKYYSFDYLGIKNVVGKGQDVCPPAAFGQLKIEASKDIVKRSLSASTLKGNTRLTPRHLSLELMKFEENMEAVTILGGKT >Solyc10g086090.2.1 pep chromosome:SL3.0:10:65169089:65170249:-1 gene:Solyc10g086090.2 transcript:Solyc10g086090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTLVVAFLLLSSIYIQPLTAQSICPGVKKDTWPELLGVPAKLAKRIIQKENRRLTNIPNVLNGSPVTKDLRCNRVRLFVNVLDYVVQTPRVG >Solyc10g085030.1.1.1 pep chromosome:SL3.0:10:64482904:64483503:1 gene:Solyc10g085030.1 transcript:Solyc10g085030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVFGKICVETPKYKTIQSTADYEIRKYPAAVMAQVTYDPIQFKGDKDGGFKLLTNYIGIFGNPQNSNPEKIAMTTPVITKSGEKIAMTTPVVTKSDDREKNTVTMQFILPATYTKCEEAPKPLDERVVIVEEGERKYGVMKFGGTASEKIVIEKVENLKKLLERDGCNIIGEFELARYNPTWILPPFKTNEVRIPVE >Solyc05g051870.3.1 pep chromosome:SL3.0:5:63125646:63128056:1 gene:Solyc05g051870.3 transcript:Solyc05g051870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMIFLFVVCLVLFASINVSANFMDTFLVIGKVYCDTCRCGYETSASKYLPGSRVRIECKNRDTNDLAYTVEGVTNSKGEYKILVNSDRRDEFCDVVLIRSSDPMCAEPNTGRDRTRVVLTSNNGMVSNTRFANSIGIPL >Solyc06g063340.3.1 pep chromosome:SL3.0:6:40144667:40150286:1 gene:Solyc06g063340.3 transcript:Solyc06g063340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFKVKRFRKAHKPELENNTEEKPVPLPGEQKNENGNVGKTTYVDSTNAEPEDDDDDFITNEVKRRLKELRRNSFMVLIPEESAPEDDDADDEEEQVNMNPSDWRDVEAEGRQFWSGFNAVYDKYAEQMLFYDRLHVQQLREIGSHIPSTSSPRSAPKKLVSPFRCLSLKKMDEFQDETQHLHQPVADINQDLETAYVAQLCLTWEVLHCQYTQLSQKISSQPDSPIFYNHSAQQFQQLLVLLQRFIETEPFEPGTRPEIYARMRHALPMLLQVPKVQGSDQKKLEDDELPVLAPDLLKVIESSILTFRVFVKMDKKSSSVRNLFGSQNQMTTPVHQVQCSLEKKKVKLKELRKKTKNLKKKSWPSMAADVDLLLGLIDVKVMNRVLRMERISKEQLFWCEEKMKKLDVTDGKLQRDPSLILFPG >Solyc09g090020.3.1 pep chromosome:SL3.0:9:70062825:70065075:-1 gene:Solyc09g090020.3 transcript:Solyc09g090020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCWFKTTLTIIAFFLSPAYAYDLNPLQDLCVAVNDSKASAIIAFFLSPAYAYDLNPLQDLCVAANDSKASVLVNGKICKDPKLAKADDFFASGLNVSGNAVPQLGFAVNIVDVNKMPGLNTLGISIVRADLEPQGLSPLHTHPRATELITILEGTIYAGFLAPDASNIFKSRLFSKIMNPGDVFVIPPGLIHFQYNVGHKNATLLASFNSQNPGVVMIPSTIFASDPPILDDVLAKGFRLDKKVINDLRKKFS >Solyc08g006080.1.1.1 pep chromosome:SL3.0:8:831707:832705:-1 gene:Solyc08g006080.1 transcript:Solyc08g006080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSIPVFSLHVIITLSIPFFVFSLRTGVPHLPDPCNRPPADLRSLQTDQTTVLINGYSEHRIPLLHSIATTYAAASSVAAVIILWSNPSTPSRILSDLSQNLSLSAASATPITIHRQPSSSLNLRFHPHTSITTRSVLICDDDIEPDTNSINFAFNIWKSNPDRLIGFFVRSHNYDITHKSWIYTMETQKYSIMLTKFMILNFNYLYQYTCNKEYSKLKLIVDEKNNCEDILMNFVIAEQVKKGPIMVGAKKVRDWGDARNEGEGMKEREVGLSSRKGEHRKRRGECIGEFHRLLEKMPLKYSYGKVMEAIGEQGLCEKGGKLVYCDKQIF >Solyc12g049360.2.1 pep chromosome:SL3.0:12:61829906:61833499:1 gene:Solyc12g049360.2 transcript:Solyc12g049360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKILLKSLLPNCFNVKINDAIIHPHHDKINGNSRLGVGDISDPESPSNCMSEISSNAIIGTNLHVFTYAQLKVITSNFSSHNFLGEGGFGPVFKGFIDDKIKYGLDAQPVAVKLLDLEGDQGHIEWLTEVVLLGQLRHPNLVKLIGYCWEDKQRLLVYEYMARGNLENQLFRRCSSSLPWLTRMKIALDAAKGLAFLHGEKKPVIYRDFKASNILLDSDYTAKLSDFGLAKDGPEGSDTHVTTRVMGTYGYAAPEYMMTGYLTTRSDVYSYGVVLLELLTGRQAIDKKRGSREQNLVEWAKPFLRDSHKLERIIDPRLEGEYSTQGAKKVATLAYQCLSHQPKSRPTMSNVVKTLEPILDLKDIPIGSFVYVVPSFDSKSGLKTKGNEENKMHIISDKNHDKENAREMNQQKSATNI >Solyc01g005280.3.1 pep chromosome:SL3.0:1:204872:207266:-1 gene:Solyc01g005280.3 transcript:Solyc01g005280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNQEIALAKMRKSVEKLGSSTESYGEKTLMRFLIARSMNPEKAAKMFCQWKKWRAEMVPLGYIPDSEVADESAAEKVYLQGLSKNGYPVAIIKLNKHFASKDQVQFKKFVVHALDKTIASSFNGSEIGNEKLIAILDLQNITYSNVDTRGLITGFQFLQAYYPERLATCYILHMPQFFVTVWRFICHFIDKATQQKMRIIMSEEQKQEFIREVGEDVVPTEYGGRAELVLLQDVVVNY >Solyc01g066640.2.1.1 pep chromosome:SL3.0:1:74649199:74650749:1 gene:Solyc01g066640.2 transcript:Solyc01g066640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEGIEEDMGDGNMICANHPYKNNTPGGICAFCLQEKLGKLVSSSFPSAIFPSSSSSSTPSFRSDQLGAPTTSTTTASTLPSIQTNQANVHYGKMRKSRMPFLLSSHYNKKKKDGCNTSVVATMKRSKSTTTPRNGMHFLNEEEEEDCNTPHRRRFWSFLYYSSSSKQQHSKKTERNMTFPSVGNGSMRSKKKEEEFVVVEENENETSPAFDRKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGNNKSKIHHRNSGAAGAGAGQDCIKERVRCGGLFSGFMITSSSSSSSSSSHWVSSEENMNGKSNIAPVGHQQQQQIVHGRNRSSWGWAFASPMRAFSKTSSSNGKRGASNKNANTPNLAAIPSLLTARG >Solyc01g099000.1.1.1 pep chromosome:SL3.0:1:89227750:89229753:-1 gene:Solyc01g099000.1 transcript:Solyc01g099000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTGWMFLNPKPGFSSYLSCLFFHFRPFSSIKPPSFPVNSARGISLLLSTSGREGNVRLGSSIHASILKDPELVLLGNQYSTQDAFVVWNSLLTMYARCGRTQDASQVFDEMPVKDTVTWNSIVSGFLSNGNFKMGFGYFKQMMGSDSLMFDHASVTTILSACDGLDFIMVNKMMHGLVLLSGLEREIAVSNALITSYFRCGCADSGRQVFDEMDMRNVISWTAVISGLAQNEFCEESLDLLVKMQNAAVVPNYLTYLSALLACSGMKALGEARQIHGIVWKLGFQSDLCIESALMDVYSKCGSVQDAWQMFESAGVLDTIAMTVMLVGFAKNGYEEEALQIFVKMVKAGVDIDPDVVSAILGVFGSDTCLALGKQVHSLIIKKGFISNSFVRNGLINMYSKCGELEESVKIFNSIAQRNSVSWNSIIAAYARHGNGYRTLQLYEEMRSYGVDPTDVTFISLLHACSHVGLVNKGMEFFESMQVIYGMTPRMEHYAAVVDLLGRAGLLCEAKSFIEELPVKPDIFIWQALLGACSIHGDAEIGKYAADQWLLTSPDNPVPFVLLANIYSSRGRWKERARTIRKMKETGVAKETGTSWIEIEKEIHCFVVADQMHPRTMIIYSTLLELFRHMRDEGYVPDNRFILYYMDGDETDFSADLPDTSELVGG >Solyc10g085300.2.1 pep chromosome:SL3.0:10:64649720:64653512:-1 gene:Solyc10g085300.2 transcript:Solyc10g085300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWIGQKANKEINSVGDDINSLQSSIEGGSKWLVNKLKGKMQKPLPELLKEHDIPVGIFPRDATNYEFNEETRKLTVYIPSICEVGYRDSSVLRFSTAVTGYLEKGKLADIEGIKTKVMIWVKVTAISSEKSKLYVTAGLKKSRSREAYEVLRDGFTVDKF >Solyc01g014800.1.1.1 pep chromosome:SL3.0:1:15050295:15050582:-1 gene:Solyc01g014800.1 transcript:Solyc01g014800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTQKCLLNFHLESLEKLDNSFLEYAISIQSFKTYEYYHERLLNIKKNKIISSVALFKLGYARKTLRFIRNESFDLKVWTILSDNYQVHNLTKIS >Solyc07g061780.3.1 pep chromosome:SL3.0:7:64860761:64871136:1 gene:Solyc07g061780.3 transcript:Solyc07g061780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEPRETDIPTLFLVLVVLPLVSYILLGKWNEAAKKKERVGLLAQRAAEEAHKTQTMSAVSITPIPLVPLPSSATHQCARCHSPATTRCSQCKSVRYCSGKCQILHWRQVHKLECLQLGNNCNSSSSKPALTDELPGRMSFDSYVEAQYSDNNLNQLWLGKTSPDGVTETPIVTPVAPITVSVATNTSGSPKVGRRSVDKRVHKGNRDILRRGDGTMSESSERASQSRSRDGDSMLSEHDSIADGFISEHTDLMNTMGERHMLQKQKEHISRNHRHVSSSSNLEGHETNACKNQKELIDEKSLTREGVVTGTPAIPLNCSSGKTSTRRSSRAKSASPSPGTKSHRTPKTSREEMCSGLEGKGLNIDESKNARRKDAVPPQAGSGVANLGIMRMFGLAKSSKVVGHQSLESRADKQKKLKMLFPYEEFVKLFEYEDFTLLPRGLINCGNRKDWCLVCELEQHAMMLRESGGPLSPNKILLHMRSINGQIGNGSQEDAHEFLRFIVASMQSISLEALGGENAVDPRLQQTTFIQHTFGGRLRSKVKCLRCHHESACYENIMDLSLEIFGWVESLEDALTQFTSPEDLDGENMYRCGRCACYVRAQKQLSIQEAPNILTIVLKRFQEGSYGKINKCITFPDMLDMIPFMTGTDDIPPLYMLYAVVVHLDTLNASFSGHYISYVKDLHLRGNWFRIDDTESSHENYSEDSKRRATSRRIRSTFFTDNKGSRARDNVHPVSMSQVMSEGAYILFYKRSSPRPARKISRRQVPGIVKHCPPKSTKTSRPEQTKAEHLYVGVDPYMNHRPEMNSDIIDCTSGGLVKNANRNRPPVVGTYTESMTAEFSDATSSDWSLFTSSDEASFTTESTRYSFSTVDYGDANAADPFSSIINSLCGSDYSSNRTVACSMFSSSKPHTRFFSESKGSVLDSTAGTSIPRQVTVPYSEGFHSDSSNHVHVEYGSEPRYEQTYYPYNV >Solyc01g091300.3.1 pep chromosome:SL3.0:1:84817590:84823849:1 gene:Solyc01g091300.3 transcript:Solyc01g091300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIATFLICQLLSQGFVTDHERALGELFGESAQNSRRAEASLNVMASRISTVFASLKEFPFVRYRASKGQDGSTATNFRELVAGKLAAAIWNNITTYKSSIPNYPQKETCELLILDRSVDQIAPVIHEWTYDAMCHDLLDMDGNKYIHEVPSKTGGSPEKKEVLLEDQDPVWLELRHTHIADASERLHDRFTNFVSKNKAAQMEQRDGSELSTRDLQKMVQALPQYNEQKERLSTHVEIAGELNKIIRDTGLRELGQLEQDLVFGDAGTKEVIHYLRSKQDTSGENKLRLMMIYASVYPEKFEGDKAAKLMQLAKLSPEDMKAVKNMRMLEGSEKRKPSGSFSLKFDSQKKGNAARKDRTGEEETWQLFRFYPVIEELIDKMCKGDLSKDDYQCMNSSQTAPREFNGSSARNVPPKATTESTNPRSVRSRRTPNWARSRTSDDGYSSDSNLRNFSTDFKNMGQRIFVFIIGGATRSELRVCHKLTAKLRREVVLGTTSLDDPPQYITKLKLLSEKEIAVDPGLGI >Solyc08g048570.1.1.1 pep chromosome:SL3.0:8:12174166:12174375:-1 gene:Solyc08g048570.1 transcript:Solyc08g048570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKEEKNLQVCRFMELSQAQVNLLTLYSFLILAITWSPICNSMQMGKSLIQGKELVPGKTKGTVTPTA >Solyc09g061280.3.1 pep chromosome:SL3.0:9:58755546:58769035:-1 gene:Solyc09g061280.3 transcript:Solyc09g061280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYLRKSGHVMESSSLGVRTRSTTLALQRLQSSSSSTPPPSLPSASDSCYLQLRSRRLHKPPTPIPCPNSHPHSASVGSVDEISFPDNNLHFQHTHRSTRESTPCSLVREVDEMVNPGSATRRTELNTTTQRRRNFILRNIPSAHEIEEFFTFAEQQQQRLFMEKYNFDVVNDVPLSGRYEWIRVNH >Solyc01g067780.1.1.1 pep chromosome:SL3.0:1:76570297:76573008:1 gene:Solyc01g067780.1 transcript:Solyc01g067780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNKEEAIKARGMAEVMMRNRDFVGAKKFASKAQKLFPDLENITQMVSICEVHCSAEKTSFGNEKDWYSILKVEPTADDALIRKQYRKFALLLHPDKNKFPGAADAFSLIGEAISVLLDGPKRTLYNSRHIPSGRFQVPMQHKSCQPDTRKHHKVTQSGAPESEPTFWTICPCCSIKYKYHKTFLNQLLRCPNSKKSYRGYEVNDSVATPGTSRSQPTSSQKKGADETLARNSFIQPEFPSEVSQESNRNGKSDNAYRKMNKEGLSGEYKRKNTERKKISIESSEKCDLSEDTNFEVDTHVPGQKSQCLTRENQRRSTRCRQHVTHRDNLSDEDEEEGPSKRSKGVGYPSPTKESEVQHLSHAATPKGKEKKLKDSLSSEERLQNTEQEAETANGRVDLPLKGSVDCPSDVGASAMAEPKIYQCADPDFSDFDKDKEESCFKVGQVWAIYDSLDAMPRFYAVISKIVSPAFKLSITWLEPDPLNEDETKWLSEGLPASCGRFRKGNLEDIEDLPMFSHLVCAINRHSCGAIKIFPLQGETWAIFRDWDLNWCSGLERKKKFKYDFVEVLSDFADAIGVHVVKLVKANGFTCLFHRAGHPFVVPAKEMLRFSHRVPSFKMTGMERNDVPEGSFELDPASLPTDQVGISASSLDERERGNFMAYDHMDSAEKCVGSVPDQVAEPIFYCFDAERSPEKFEVGQYWAMYSDEDGLPRYYGLIKKIDLLPDFVLHVAWLYACPPPKGTTQWHDETMPIGCGQFKFRNSKLKPYTGTATFSHEVAAEVLKKGLYKIFPGKGEVWAVYKNWSAKIKGKKLEDCEYEIVEIVDVSTSYIQVKLLVRVQGFKSVYKPQVEEEGRVKISMSDHLKFSHGIPAFRLTEERGGSLRGFWELDPAAMPLYLLCTD >Solyc04g082460.3.1 pep chromosome:SL3.0:4:66183162:66194120:1 gene:Solyc04g082460.3 transcript:Solyc04g082460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSEPLHNGVTTGGTGMPAFDKQLPGVSRKTALRDVQNQKTSLMSSHQENSHFLGVRPIADPTRVCGTKRLTPERPSNTNSSKSLSSNDTNDNILNARRRFDLELGKGRLQSNVDKFVEATHSKNLSQLQRTIPQKQNLQREGSNGHPPVASPKHLAPMMTFSHGGPSIPNSIGKAANNSRALPIDSSKLSPQPMRTLDVKAEEDQKLTEHFIRLQKFLKQCDEANQTEYLQLLLRLSPPELSRHAVDLEKRAIQLTIEEEVDIPFHTLQWEYDLLMWGSCMYARCTSPALGPGTESGRVEGNESLDVGTQPSYVHTMWKSDYNKRSHWPFKRSNIAFTSPFGIFLALAHTSRSIWTRGIIETYRPSSAANSPFMTTNSGAPVYNNNSSLTVGTRGPILLEDYHLLEKIANFDRERIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREIYNLNLVEIQRTVYKPKHHAVLFFDGFDHSWILKNTMLKGVFICKRECSKSMKCIKCPSFPGFCSYEFHLMEITIYLIAIFCFLINECTALLLCLLFVAEAFVFQGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGIPQDYRHMDGFGVNTYMLINKAGKAQYVKFHWKPTCGVKCLLDEEAIKVGGSNHSHATKDLYDSIAAGNYPEWKLFIQTIDPDHEDRFDFDPLDVTKIWPEDILPLQPVGRLVLNRNIDNFFAENEQLAFCPAIIVPGVYYSDDKLLQTRVFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHEGLMNFMHRDEEVNYLPSRLDPCRHAEQYPIPPRVLTGKREKVIIEKENNFKQPGEHYRSWAPDRQERFLCRWVDALSDPRATHEIRSIWISYWSQADKSLGQKLASRLNIRPTM >Solyc02g094480.3.1 pep chromosome:SL3.0:2:55617728:55620735:-1 gene:Solyc02g094480.3 transcript:Solyc02g094480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTNLGSLSYFSTNPNVATFFSRYLDKSDVFSWNSIIADLARSGDAVEALRAFSSMRKLSLKPNRSTFPCAVKSCSSLSDLTSGKQTHQQALIFGYDTDLFVSSALIDMYSKCGQLADARKLFDQIPQKNVVSWTSMITGYVQNDRPHEAIWLFKELLAGEVVFLDSVAMVSVLSASSRLSGKTLTQGLHGFVTKRGFNEDMGVGNTFIDAYAKCGQVDLSRKMFDIMPYKDIISWNSMIAVYAQHGLSAQAMEIFRSLSWDREVDYNAVTLSALLLACAHSGALQAGKCIHDQVIKMNLEDNVYVGTSMIDMYCKCGRLRMARNAFNRMKEKNVKSWSALIAGYGMHGRAREALQVFYEMNSAGVKPSYITFVSVLAACSHGGLLDEGWYWFKAMEPRFCIQPGVEHYACMVDLLGRAGFLTRAYDLLKEMKVTPDFVIWGSLLAACRIHKNVELGEISASNLFELDPTNCGYYVLLSNIYADAGRWGDVEKMRILMKNRGLSKPPGFSLLELKGRVHVFVVGDREHPQHEKVYAYLEELSVKLQMAGYVPNTTSDLHDVEDEEKGLTLRVHSEKLAVAFGVMNSVPGSTIQVIKNLRICGDCHTTIKIIYKIVSREIVVRDAKRFHHFKDGSCSCGDYW >Solyc01g110847.1.1.1 pep chromosome:SL3.0:1:97283089:97283397:-1 gene:Solyc01g110847.1 transcript:Solyc01g110847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRLPSIISSVKQFHKLHSVLMRNQISNDVPKGHFAVYVGETEKKKRYVVPIAYLNQTSFQELLQKAEEEFGYHHSMGGLTIPCNEDAFFHVTSRLNTCL >Solyc09g072890.2.1 pep chromosome:SL3.0:9:65991995:66010364:-1 gene:Solyc09g072890.2 transcript:Solyc09g072890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVNPRVKKAFRAMKSIGISEEKVKPILKSLLKLYDKNWELIEEENYRALADAIFENEDAEVAEHKQPENNEVRALPLVQREEVLEEEAVYEEPERPLKRLRLRFQEGQASPSSNNSSAGTSLKRPRREEEGELSGPRYQNQLQGEANPSSVRKNLRLNETQTSPITSRGQSSVSAKSSHASKLKEPKTEPGGELSSKQKMSGSLALIKPKDEPYTDDMPLFEVPIAVIHPEPSNKGDTSSGNTSRSEPSAIDLRSVRDSGIMTSLNVMTTSRELIEVQDRCHVDGDIASSPSGEVKISISCDPALCRSSDFHMPSVESVLRMVELKCLKSYRIMDPNFSLMKLMKDMCECVLELGTQHSPELQSTKDVAAENDFGSRSMTVNSLNEGMNFEIDAGDAQPKIPPRSPPRIGEDCIQAGQIASMGNCGSTTGTDQNGIEQTNPWSMDAPCGLILGEIGSFDSLNELLNSDLGAGEAQPEIPHLNSYFGGDSTQADHTASTSNCGIAPDTSQSRLEEMVSCEATPRDVVSVEVIDITKGQENVVISLVNEVNSNQPPSFHYIASNVVFQNAYVNFSLARIGDDNSCSTCSGDCLSLSTPCACAHITGGDFAYTKEGLIKEEFLKECISMNRDPKKHCQLFCKVCPLERSKNEDIIEACKGHLVRNFIKECWWKCGCSKQCGNRVVQRGISHKLQVFMTPEGKGWGLRTLEDLPRGAFVCEYVGEVLTNIELFDRVARSPNGEEHSYPALLDADWGSEGVLKDEEALCLDATFYGNVARFINHRCFDSNLVEIPVEIETPDHHYYHLAFFTTRKIKAMEELTWVRLWY >Solyc07g005930.3.1 pep chromosome:SL3.0:7:764405:778503:1 gene:Solyc07g005930.3 transcript:Solyc07g005930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNLFVRAKQVKGVRREFREIERRRRYAMLRRRQIKAETEAWEQMVEEYRELEREMCEKKLAPNLPYVKKLMLGWFEPLRQAIEREQNAEMTQKHRTAYAPHIDSLPADKMAVIVMHKLMGLLMMGGKEERCVQVVQAAVQIGMAVENEVRIHNFMEKTKKHQKHMTGAQGQEDMSRETMILRKRVKSLIKRNRVVEVRKLMQSEEPESWGRDTQAKLGCRLLELLTETAYVQPPVDQSADTPPDIRPAFRHVFRIATRDPGKNIVKKYGVIECDPLVVVGVDRTVKQMMIPYVPMLVPPKKWRGYDKGGYLFLPSYLMRTHGSRRQQDAVRSVPAKQMQQVYEALDTLGSTKWRVNKRILSVVETIWAGGGNIAGLVDRKDVPIPELHSDDIMELKRWKWRVRKAKKINQELHSQRCDTELKLSVARKLRDEEGFYYPHNLDFRGRAYPMHPHLNHLSSDLCRGILEFAEGRPLGKSGLRWLKVHLASLYAGGIEKLCYDARIAFVENHIDDILDSAHNPLNGNRWWLNAEDPLQCLAACINLSEAVKSLSPHTVISHLPIHQDGSCNGLQHYAALGRDSMEAAAVNLVAGEKPADVYTEIALRVDHIIRGDSTKDPATDPNALLAKLLIDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGLIDDDRLLFTASCYAAKVTLAALGELFQAARGTMTWLGDCAKVIASENQPVRWTTPLGLPVVQPYFKTQRHVIRTSLQVLALQREGDAVEVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLHFAGVHDSFWTHACDVDQMNRILREKFVELYSMPILEDLLESFQESYPALTFPPLPKRGDFDLREVLESPYFFN >Solyc01g097540.3.1 pep chromosome:SL3.0:1:88224609:88227609:-1 gene:Solyc01g097540.3 transcript:Solyc01g097540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLRIPDVVPSPAEDAETLMKSFKGLGTNEKSVISVLGHRNASQRKKIRETYQQLYNRSLVDDIFSELSGDFKKAVVLWTYEPSERDARLANEALKSKKKTITQLQVIVEIACASSPDHLVAVRQTYRGLFNCSLEEDIAANVPMPVQKVLIGLVRSYRYDKELVDPSIANKESAILRETIRTKHLDSDNFLLILSTRNVHQLRETFECYKQNYGFSIDQVTLLTSCLHNLLNSATCAFFSYFILSTFTVLLQDMKSCGKGLLESILKVVIWCIDSPEKHFAEVVRASILGFGTDENALTRAIVTRAEVDMMKVRGEYFIANKSNLDSAVIDDTSGDYMKFLMTLLGAKV >Solyc08g062080.1.1.1 pep chromosome:SL3.0:8:50430877:50431236:-1 gene:Solyc08g062080.1 transcript:Solyc08g062080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPHQCGGFEFMWKHIARAITFKRPREPLSKSRGGCIISHPPGTGKTRLNIVFLQSLLNIYPKSRPVIIDPSSLLLNWEAEFQKSKFDIPFYNLNSKNFSSQEEEATVHVFRCLTDAGR >Solyc06g011293.1.1 pep chromosome:SL3.0:6:6467216:6469827:-1 gene:Solyc06g011293.1 transcript:Solyc06g011293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDEGVEHEEQPDEIVEQGEQLGDSTEQMEYPEEEKSQPLRRSERQRVESTKYPSSEYVLINDEGQDKELIAKLKKDLSKSFDMKDLGPTQQILGMKIVRERTKRKLWLSQEKYIERVLERFNMKSAKPVSMPLASHLNLSKQMCPTTKEEKEGMAKKASANAMASVLNITC >Solyc02g093670.3.1 pep chromosome:SL3.0:2:55069147:55072559:1 gene:Solyc02g093670.3 transcript:Solyc02g093670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSQEKGRPLPKFGEWDVNNPASADGFTVIFAKARDDKKANSSAAPTQTPRNDYAYGQPNPYQQETRKRRFCCF >Solyc12g027610.1.1.1 pep chromosome:SL3.0:12:24781577:24782164:-1 gene:Solyc12g027610.1 transcript:Solyc12g027610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRFLKSNEGETRSENGLLQQAKENVVKASQARNSFKKVMNNGMRRPMHSILGLLYILQDENTSSNQKIIISDTMVRTSTVLLNLINDAMDIPDKDEGRFSVKLMLFQLHSLIREASCLVKWVCVFKGVGFSMDVLSSLANLVMGDEKRTYMTFLQKIRVGRVNSILGSEQHAHDVDEGMGKNDQFTMIFNCSVG >Solyc12g098750.1.1.1 pep chromosome:SL3.0:12:67138515:67138754:-1 gene:Solyc12g098750.1 transcript:Solyc12g098750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFSCVYDLVAIYNATNCTFCCAFIGIRGSFSFHLFFLLVANLYDLVAPQLPLKLYFLSLKVTFSANLIAAKTFSFCY >Solyc10g086510.2.1 pep chromosome:SL3.0:10:65429523:65431699:1 gene:Solyc10g086510.2 transcript:Solyc10g086510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSMIGDLDSLPEADKIRMAAMIEQLQVRDSLRMYNSLVERCFTDCVDSFKRKTLDKQEETCVRRCAEKFLKHSMRVGLRFAELNQGAPTPD >Solyc12g096640.2.1 pep chromosome:SL3.0:12:66448869:66453179:-1 gene:Solyc12g096640.2 transcript:Solyc12g096640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEHDTLFLDSLNSTATSSSASLDLDHPFESTSSSPLSPSLTKLNARAIAFIPRSSSSPSLSSAMLAKSSSSPSLATMSHPNSSRANLNRPESRIGPGSGPVNGQTVLHLIATPSAAFHQITTHVPVQNYIYASQLPVQYPYAGGIGRGFVDHGGMPAVVVIGADSERLSDEACQKIINQVEFYFSDINLATTDHLIRIMFKDPEGYVPMSVVASFKKIKALTSNHAYVAKILQCSTKLVVSEDGRKVRRQFPLSEKDLEELQSRIVVAENLPDDHCHQNLMKIFSAVGSVRMIRTCQPQLNGGASAASRTGKSDTTLYSNKLHAFVEYESIELAEKAVMELNDEDNWRNGLKVRILNRWTGKSGQTRGKKIGYESEVSFKEDDTSSEASEKHSDDLWHHLDAHLNDLAEEHVDGQRKGLNRSWVKGLGQGRGRPQFHQTSRGGHLSTPPASMRRAYSVGSALSSINRFSLAGQPSMLSDQSAPVKQTSVPRMPDGTRGFSMGRGKPVAIKTA >Solyc03g115070.1.1.1 pep chromosome:SL3.0:3:66381817:66383724:1 gene:Solyc03g115070.1 transcript:Solyc03g115070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4BL09] MEGDKSTDQHVIAAAQHLVKALKDRTSLSDEVRRTLADLDIHLAAMTEAKEDETTSFKEIEGRLKSAEAKIMSLQSNCLKLWDTGPSNQLLEYLQNVEEVRSIIVSLESMVLNKNRKQSRLTNQAHSVLQIAMVRLQEEVINILAQSKQCFEHAYVSFHSCEETVVDEEMMVSIEYDSIEGTSCLDSSRAESEECIIMDLVHPGVVPYIKSIADLMLASHYVQEFCQVFIRFWKDALYEYLGLFCMQQISIEDVLRMDWASLNCRIKKWRQATKNVIAFYLPSERNLFDQILGEFGSVSSTCFIEVSKDAMMCLLNFGQAVAIGPLLPERLFCLLDMYELLRGLCQDVDALFCVNHGNSIQVEYHELMKNLGDSAKAIFLGLGNRIASNTSTTPFQGGGVHPLTKYVINYFMLLSEYYVTLRFLLEDREVENSGEVVDTLVKLDISSEIPCPLAFHLQSITSRLESNLEDRSNLYKDDSLKHIFLMNNIHYMVQKIKNSKLRTCFGDEWIKIHIVKYVQHEKSYERKTWNSIISLITGYEKLGKAVLKERCRNFSIAFEEVYKNQTGWTIPDIDLRDDLNVSIGLRVIHAYRTFAGKVRKSLSEKHIKYTEEDLEEYLLDFFQGSAKSLNHHWRR >Solyc03g044975.1.1 pep chromosome:SL3.0:3:11218439:11218725:1 gene:Solyc03g044975.1 transcript:Solyc03g044975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYQKLNAWIEKDHFPMPFMDQMLDGLAGKGWCYNQISIALEDQQKTTFTCPYGTFAFKRMLFGLCNAPATSQRCMMSIFSYMVEDTIE >Solyc03g113460.1.1.1 pep chromosome:SL3.0:3:65086411:65087211:1 gene:Solyc03g113460.1 transcript:Solyc03g113460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVMQKLKGKVAIVTGGASGIGEATARLFAQHGARAVIIADIQDEKGRAVAASIPSQICSYVQCDVSDENQVKAMVDWTVQKYGQLDIMFSNAGIVGNSGQKVLDLDLSQFDRVMNVNARGMAACVKHAGRVMVEKRVRGSIICTGSIAASRGGTWRTDYIMSKHAVLGLVRSACRQLGEYGIRVNSISPSAVMTPLMISAEAEVSMKALKRYGPQTSLKGITLTVKHLAEAALFLASDDSAFVSGLDLGVDGGLISLPDPMSSL >Solyc05g013080.1.1.1 pep chromosome:SL3.0:5:6185117:6185335:-1 gene:Solyc05g013080.1 transcript:Solyc05g013080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGELCGTKILNVICDWSYNRAYIDAFYTRFFAGGIKGLVEVNHFFDGRVIDGMTNGVGIISFIVDKVSNI >Solyc03g013377.1.1.1 pep chromosome:SL3.0:3:47263399:47263818:1 gene:Solyc03g013377.1 transcript:Solyc03g013377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMIAQLKSVGYVFSDEKQVHVVISSLPNNQEHLKVNLTYNDNILKILDVARHVELEDERLGAVKIASNAFVVESSGTKLPGFKRMKIEKGMEKTGSLRRTPKKNKKANSKKGNWFFQEERQEKKEVLQSPTVEVFRL >Solyc04g017837.1.1 pep chromosome:SL3.0:4:8412529:8413056:1 gene:Solyc04g017837.1 transcript:Solyc04g017837.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETKVVSTLLAKHFKLSTSQSPSTNEEKNEMLSIPYSSAVGSLMYAMICTRPDIPHVVGIVSRFLSTPGKEHLGKVKWILRYLKGGAISCQVRLQKCIALSTIEAEYIVITEGTKEFLWMKEFIKYLVFEQS >Solyc12g044195.1.1 pep chromosome:SL3.0:12:59926478:59928136:1 gene:Solyc12g044195.1 transcript:Solyc12g044195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNGNSVTRFVRKKYLSLGRSSRCSSSNSGNKVIISSPCCSSFVITSSIDEHPSIRKLRRFRRPRATDGDIKFLKFPQFDTCNITIESWSEHRVTLSSFEMVSSSKLGKETFKYYKAIQNLKFIDISNELELISNNNREAHLFMKKRIWVSDEVVGSRPQILELRWQIQPANGVVSVTSKAMLLS >Solyc02g081060.3.1 pep chromosome:SL3.0:2:45668107:45681190:1 gene:Solyc02g081060.3 transcript:Solyc02g081060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECSTAIVSSQLSLFPSTLQRENRNHLFKSSKQRTCQSTRFHCHKMYVPDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARYGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGCVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLSYNPEAYEELREFLDKNSLSDGDKFCADLMRESPRHKDLAYCKDDFEWDNLKRLASKMVDDSNKKLMTDYIVDTSCIADGKQICN >Solyc06g005550.2.1 pep chromosome:SL3.0:6:585545:592212:1 gene:Solyc06g005550.2 transcript:Solyc06g005550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEEETDISESEMEECADKWYQKLKEGYGREKISGEVYQCPFCPGQKKQAYSFNDLVQHSSGVSKGGSQRRKLNDKAKHLGLTMYLKNKDSLPVADEMETELQPTHEYGNDVDEKYTFPWMGIVANLPVQLNGRRYVGRSGSWLRNDLTKKGFNPLRVHPLWNYKGHSGKAVVEFGNDWKGFANAIKFHNSYESQQQGKKDYLVSQYKGDKLYGWVAKADDYNSADIIGDYLQKNGDLKSISEVEAEEKRKADSLVSSLANTIEAKRQSLKEIESKCNETSMCLSNVMNERDAMIKKYNEDFQKMEKNARAQLEKMLKNQETSKLYIEARRKELELREKELMEREAFNDNQRQDLHLLKQMNERAAEEQKRYDERVLTLAEEQKVKAKETLRHKNMEFQKKLDLKQALELEIERLTGAKNVTEQMGDDQDVKKKLDEIEEILNEKKEELEDLDAMNQALVVKEQRANVELTDARKELIDLLKQHSFRASIGVKRMGELDSTRFCEITKSKFLGPDADLKATQLCSIWEHHLVDPNWHPFKDVTRENGSKEIIIDDNDERLNRLKHEFGQAAYDLVTATLMEMSEGPSGRCITTELWNYKLGRKATLNEGITFALQKLRTSIGKKHL >Solyc01g104750.3.1 pep chromosome:SL3.0:1:93019816:93023788:-1 gene:Solyc01g104750.3 transcript:Solyc01g104750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCNVLHGMRPLLLMIMGQMILTGMNIVFKLATSDGMNSSVLIFYRSLFASVFMIPLAFFIERGSIGQNMYLQSLVYTSATFVSAMFNLIPAMTFIVAIILRLERLGWHSAAGKAKVFGTIICISGAMLLSLYKGPEINMGSTHINLLHSTKHKVENKPLIGAILAIAGCTCYALLLIVQAKAAKRYPCPYSFTALLNVMAAVQCFVVAVLVERDWNQWKLGWNIRLAAAAYTGVFCSGVLFTILAWVVRMKGPVYASIFNPLMLVMVAIAGYLFLGEKLVLGTYVISPLSQSAKKHKKSENF >Solyc04g049000.2.1 pep chromosome:SL3.0:4:38911637:38912599:-1 gene:Solyc04g049000.2 transcript:Solyc04g049000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIMFEKFSVPSMYVAIQPVLSSFILQPGIVLNSSYGSTHTIPIYEGLALPNAILWLSISSRDLTNYLWEFIKGCFVCTYTYVALDFQQEIKKAKNISSVDKGFELPDGRIFYIGDGGFQCRKVLLQPSLVGKGPTRIHENVYISIIKSDVDIRKDLFAYIMLIGGSTMFPCIVERMSKKSLLLGGSILASLNSLKRVSFFLLYLTNKSIFQVLLTFFKLITKGEYDEYGPSIVYKKCF >Solyc05g015651.1.1 pep chromosome:SL3.0:5:11640270:11644417:1 gene:Solyc05g015651.1 transcript:Solyc05g015651.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAAGLSESSNRERSTSNPEQITTDFWRNRRPHVNNVAYTEDQDTTSQEAFSNMAGNPHNSPLTFSTSNTCFAAGNNTIPCIVDSGATHHMISSKDTLLNPIPANSASNSVHLPNDDLLITGNNVKLIQEAKEILHHNFKMKDLGELRYFLGIEFARSKGGIMMNQRRYALEQVSECGLAGAKPTTTPLEHNQKLTSLEYDTQFNITGDAELEDRRIYQRLIGRLLYLAMTMPDISFVVQHLSQFMHAPKKSHFDAALHVVRYIKGKPGLGLLMSSTRSHKINAFCDGDCALCVLSRKSVTGFGIKIGESLVSWKSKKQNTVSRSSAEAEYRRVIKGTWY >Solyc04g076760.2.1 pep chromosome:SL3.0:4:61731710:61736444:1 gene:Solyc04g076760.2 transcript:Solyc04g076760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKIEEVEICEIFDDKDKDEDEDESPVEQVRLTVPTEDDPSVPVWTFRMWFLGIIFCGLMSFINIFFSYRQNPLIISMITAQVASLPLGKFLAKVLPTRKFHLPGFGLSEFSLNPGPFSMKEHVLISIFANAGAGFGSGGAYAITIIDIIKVFYHRKISFLAGWILVITTQVLGYGWAGIMRKYVVEPAEMWWPSTLAQVSIFRALHEKENGVNYSRGKFFLIAMICSFAWYIVPGYLFKTLSTFSVLCLVFPKSVVAHQLGSGQHGLGLLSFTFDWSVVAFLTNPLVTPFFAILNILAGYVVIVYIMIPVAYWGLNLYNAKTFPLFSTDLFNANGQKYNVSAIVNNKFEIDTAAYEKQGRINLSIMFAISYGLGFATIIATLTHVFLFNGKEIYSRFHASYNGRIDIHTKLMRKYKDIPSWWFHTILVLSFALSLLLCTVLKSQVQLPWWGLIFACTIALIFTLPISIIQATTNNSPGLNIITEYILGLIIPGKPIANVCFKTYGYISMSQAVSFLQDFKLGHYMKIPPRSMFVVQLVGTLIASTINMATAWYMLTHVPYICQLDSLPEGSPWTCRGDHVFFDASVIWGLVGPKRFFGPLGNYGALNWFFLGGAMAPVLVWLLHKAFPKQRWIKLINIPVLLGATSNMPPANVLNFNSWIVVGFVFNFFIFRYRKKWWQRYNYVLSAALDAGLAFMVVLIYLVFGLTNHDNINWWGNVDEYCNLANCPTAQGVHVKGCPLISA >Solyc12g011020.2.1 pep chromosome:SL3.0:12:3877685:3881652:-1 gene:Solyc12g011020.2 transcript:Solyc12g011020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSITPWNTYFHYIPNKFPFKHSLHFKKTLVTYTHSCHQEQEKQTRQKDVYWQEMNYSVEKEKPHYRNDVKEQGVEVIVHSGIRSNSWWARIKAALGQRINVEGVSFSVGIFRKDKHLAIPHVFVQDIRYIDWAELKRRGFEGVVFDKDNTITVPYSLNLWSPLASSMEQCKALFGNNIAVFSNSAGLAEYDPDGRKARILERAIGIKVIRHRLKKPAGTAEEIERQFGCKSSRLIMVGDRPLTDIVYGNRNGFLTILTAPLSLSKEPFIVKQVRKLEMALVNRWSSKGIKPISHWLLPDCQYCIKDELL >Solyc08g008020.1.1.1 pep chromosome:SL3.0:8:2518720:2521722:1 gene:Solyc08g008020.1 transcript:Solyc08g008020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNNLKLGVEVVGAHNLLPKDGQGSSSSFVELYFDGQRFRTTIKEKDLSPVWNETFYFNISDPSNIHMLTLDAYVYNNIRASQSRSFLGKITINGTSFVPYSDAVVLHYPLEKRSIFSRVRGELGLKVYVIDDPSIKSSTPISTVNDTQVHIHSAQTPAPKIPRSEVRHTFHHLPNPNHPQQQQQAPAVPVPHQGARYIPEEMKVPEPQPPPQLVRMHSATMAQPVDYALKETSPFLGGGRVVGGRVIRTDRMSGCTYDLVEKMHFLFVRVVKARELPAMDITGSVDPYVEVRIGNYKGITKHIEKNQNPMWNVVFAFSRERMQASVLEVVVKDKDLVKDDFVGLCRFDLNEVPMRVPPDSPLAPEWYRLADKKGEKIKGELMLAVWIGTQADEAYPDAWHSDAALSVDTVASTLIRSKVYHAPRLWYVRVNVVEAQDLVPTDKTRFPDTYVKAQIGNQVLKTKPVQARTFNPLWNEDLLFVAAEPFEDNLVLTVEDRVAPGKDEIIGRVIIPLSMVEKRADDRMIHSRWFNLEKPVVVDIDQLKKEKFSSRLHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGVLELGVLNAVGLHPMKTRDGKGTSDTYCVAKYGHKWIRTRTIVDNLCPKYNEQYTWEVFDPATVLTVGVFDNTQLGEKGSNGTKDLKVGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCTSFANMLYKYSCPLLPKMHYVRPFTVMQLDMLRHQAVNIVAMRLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFFRLMSIFTGLFAAGKWFGDICMWKNPITTVLVHVLFLMLVSFPELILPTVFLYMFLIGVWNYRYRPRYPPHMNTKLSQAESVHPDELDEEFDTFPTSRSPELVRMRYDRLRSVAGRIQTVVGDVATQGERLQSLLSWRDPRATALFVTFCLVAALAMYVTPFQVIAALIGIYMMRHPRFRHRLPSVPVNFFRRLPARTDSML >Solyc11g069080.2.1.1 pep chromosome:SL3.0:11:53964852:53968266:-1 gene:Solyc11g069080.2 transcript:Solyc11g069080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLKRYVLRLFISLKYITANVVDRNNGRIVATSSTVEHSVKQSLECGRTCNAKAAAIVGEVLAMRLKVEGLDQGQGNGIHVNVNKEVEKKGFKNRTKVWAIVNGLKSNGVKLILDDNENGTSRPNFH >Solyc01g007160.3.1 pep chromosome:SL3.0:1:1727076:1732177:1 gene:Solyc01g007160.3 transcript:Solyc01g007160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSKINSFFKPFSSSSSSITHKSVDSSQICSDDFEYKKVQPEILVTYQRRPQNPNGQMNGTSTGEASKQIDLEVPNLEVAKSGKILNKKRKYAQFYLELGQSDFLLHSCTICGFKYAKGDEEDEKFHKTFHKNYTHGIPLKGWRNERTIQIPSLEIGRIILVLDDDPTPQKNKVKEVVKMMEMELGDGWIYHQLCKVYLFISSQRISGCLVAEPIKRAYKLLSRPEGSRCNGSPEKEARQTSTTLQFGGVSFQREMVRRNQPTKSCEEFDESVSGVVLCEKESVSALCGIRAIWVTPSNRRKHIASYLLDAVRESFCKDLVLKQSELAYSQPTSAGKAFISSYTRSNSFLVYTTSDL >Solyc10g050865.1.1 pep chromosome:SL3.0:10:50895455:50899653:-1 gene:Solyc10g050865.1 transcript:Solyc10g050865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYASRGRGTHNSNEYMTLYNDRRRGNQLPNDSMAMYTRRGGYTNNGSGSNTNNGSGSNGGYYGFESNSGSKGGYYNPRDPRDAFGLMHHSSTFPCQGSINKSSTSTSGGNNDHIDKKEQHVANTPMANTARAHMVERVHLEKNDNAHDDNANADLEEAQGGADVQNINDDVVDVQNINDNVVDVIPNELSTVGHVIVLVYVDNLLITGSDPLLIQDTKQVQHNHFKINDLGELRYFLGIELCRSSSGIVMSRRKYALELISKAGLTGAQPVSTPLELTLAEYGGSSDGQLLHDVSSYQRLVGKLLYLTNTRPDIAFTIQTLSQYMQQPKISHWNATMRVIRYIKGNPGLGNLSGLDFVMPTELLVSVPGISGYLLKFGDSLISWKSKKQNIVSRSSPEAEYRSLDTLTAEVIIQIRNFPKLNLSTLFMFVQY >Solyc12g088830.2.1 pep chromosome:SL3.0:12:65029182:65034473:-1 gene:Solyc12g088830.2 transcript:Solyc12g088830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVKDLKLHASESSPGEDRSHSAIQTSQDADEKPNSENQQLNVDASEHVEEATKSSSLEKRETHPTGATMVNQDNSSQPVHSPKPSEPPIDTNGSKEEEHIQSNSSTKSADDSCSTSHVKETKPVNTTRVESSDNIDKSPTLRIKIPGPTAQSKQPENVDANRVNIDTAAPIQSVKQAVSKFGGIVDWKAHRKQTVERRNLIEQELTKVQEEIPLYKKQCQDAEDAKVLVLKELDSTKRLIEELKLNLERAQTEEQQARQDSELATLRVEEMERGIADDSSIAAKAQLEVARARLEAAVSELKSVNSELDVLRKDYDLLISEKDVAVEKAEEAVSVSNKVEKTVEDLTIELITSKDALEAAHAAHLEAEEHRVGVAMAREQDTLNWENELKEAEEELERLNQQIMSAKDHKAKLDTASSLLQDLNIELAAYMESKLKQEADEEGNMKGEKLEMDKRSHHDIQGVVASAKKELEEVKLNIEKATAEVECLKVAAVALKAELEKEKSELASIQQREGMAAIAVTSLEAELNRTKSEISLLLIKEKEAREKMVDLPKQLHEAAQEADRAKLLAQTVREELSKAKEEAEQAKAATSTLESRLLAVKKEIEAAKASEKLAIAAISALQESESTESTMDETTGVTLSLEEYYELSKQAFEAEKQANTRVSAAMSKIEVAKESELSSLNKLEEVNVAMTEKKEALEIALQKAEKAKEGKLAAEQELRKWRAEHEKRRKSGKSVTPVNKTMSSKMSSEENKESKASEDAVPHQTSNPKENVQTKSETDSSQEVKVTKKKKKSFFPRVLMFLGRKKAQAKAR >Solyc08g080480.3.1 pep chromosome:SL3.0:8:63890178:63892467:1 gene:Solyc08g080480.3 transcript:Solyc08g080480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGKVIIFGVTCLAILFMGVTTASSFRTTITIAENPWEQMSQRCQMEMQRAQNLRACEEYLRQSTKFTEEGRYMDQQSGDWRQSFPRCCEQMEQMQDEQCRCEGIKQVMQQEQQRGEMQGREMREASKTAQSLPGLCRMSPHQCHNIPTPTFY >Solyc07g021003.1.1 pep chromosome:SL3.0:7:15313074:15314159:1 gene:Solyc07g021003.1 transcript:Solyc07g021003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RINKTVSSAGQAHPTASIPLRPSLEPVQVTEPLQPSLPPTTRVVTRSQHNIYKPKNILDFLDHLSPTVAPTSFKCSSKHPEWQRAMKMLNNFLYILFYVDDIIITGNHNSEVNQVIASLVGRFPIKDLGNLHLFLGIEVLRTAKGITLSQSNYINEIISKENMQDCNSAKTPMSATDVPHLNDGAQQTDATRYRRVLGKL >Solyc11g072130.2.1 pep chromosome:SL3.0:11:55666496:55675937:-1 gene:Solyc11g072130.2 transcript:Solyc11g072130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSKFEPNDDILDFVIKKANGLKGLVDTNLEVIPSQCIQPKEQRLDKSQTDNQESIPTIDLSNFDDLSVEKSIQEAASKWGFFQIINHGIPIEVLDDLKEAGHKFFELPAEEKVKYSTESYSAGESVLMFWSAIGEKDEKVLEWRDTIRQGCNPQNDSNLWPSQTRNQVLEYQKWATPLAKKLLEVLLKGLDVNEIDESLEPLLMGTKAININYYPPCPNPSIAIGIRRHCDVSCITLLLQDDTGGLYVRGTKGDNWIHVNPIKGALEVNIGNSLQIMSNDRYKSIEHCVSVDSNRGRISVPLFLNPSLDSVIGPFPQMLKDGEKLVYKHMSSLKFKPNDDILDFVIKKANGLKGLVDTSLAIIPNQCIQPKEQRLDKSQIDNQESIPTIDLTNFDDLSIEKSIQEAASKWGFFQIINHGIPIEVLEDLKDAAHNFFELPAEEKVKNQVLEYQKWAKPLAKKLLEVLLKGLNVNEIDESLEPLLMGTMSINVNYYPPCPNPSVAIGFRRHCDMDCITLLLQDDTGGLYVRGTKGDNWIHVNPIKGALAVNIGDSLQIMSNDRYKSIEHCVAVDSSRARISVPLFVNPSFDSVIGPFPQMLKDGEKPVYKHILFSDYWDHCFIKRPSANGLKGIADTSLEIIPNQCIQPEEQRLDKSQIDNQESIPTIDLSNFDDLNIEKSIQEAASKWGFFQIINHGIPIEVLEDLKEAGHKFFELPAEEKAKYYRENAGADESVLLYWSAIGDKDEKVIEWRDSIKHGCNPQNDSNLWPPQTRNQVLEYQKWATPLAKKLLEVLLKGLNVNEIDESLEPLLMGTMAININYYPPCPNPSITIGCRRHCDVSCITLLLQDDTGGLYVRGTKGDNWIHVNPIKDALAVNIGDSLQIMSNDRYKSIEHCVAVDSSRARISVPLFVNPSLDSVIGPFSQMLKDGEKPVYKHVLFSDYWDYFFSKRPSGKASLDFAKI >Solyc04g008980.3.1 pep chromosome:SL3.0:4:2565794:2569485:-1 gene:Solyc04g008980.3 transcript:Solyc04g008980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGDDSHLQNDVVSVHCINDSLGDDELRAVLSRLGDDKDKEVFGLVCKRWLRIQSTERKKLCARAGPHMLRRIASRFTRLHELDLSQSVSRSFYPGVTDSDLSVIATAFSCLRILNLQNCKGITDKGLAAIGSSLSSLQSLDVSYCRKITDKGLSAVAEGCHDLRILHLSGCRFVSDSLMKALSENCHNVEEIGLQGCTNITNSGLSVMVEGCRRIKHLDINKCINIGDIGISSVSEACSLTLMTLKLLDCYKVGDDSILSLANHCKNLETLVIGGCRNISDESMKSLAASCSNSLRKLRMDWCLNITDSSLDCILSKCRELEVLDIGCCEELTDAAFQQLGSDNFMLGMKILKVSNCPKITVEGIKKLMKSCKFLEYLDVRSCPLITKAGCEEAGIQFPESCKINFTGSLAEPDVLL >Solyc06g073420.3.1 pep chromosome:SL3.0:6:45390522:45395341:1 gene:Solyc06g073420.3 transcript:Solyc06g073420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIISLPGSSGYLDASNNRKISYFSNPYVLGLTFVAGIGGLLFGYDTGVISGALLYIKDDFPEVNQSSFLQETIVSMALVGAMIGAAAGGWINDYFGRKKATLSADVVFLLGSVVMAAAPDPYVLILGRLLVGLGVGVASVTAPVYIAEASPSEIRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVAGVPAAIQFVLMLFLPESPRWLYMKRDKSEAATVLAKIYDPYRLEEEIDQLATALEEERLRKQAVSYLDVFRKKEIRLAFFAGAGLQAFQQFTGINTVMYYSPTIVQMAGFKSNQLALLLSLIVALMNAMGTVVGIYLIDHFGRKKLALTSLSGVIVSLILLAVAFFLESSTSGNVGAYGWIAVIGLALYIAFFAPGMGPVPWTVNSEIYPESYRGMCGGMSATVNWISNLIVAQSFLSLAEAVGTGVTFLILAGIAVMAFVFVAVFVPETKGLSFEEMEKIWKEKAWGNGSGKEPLLESRS >Solyc08g006730.1.1.1 pep chromosome:SL3.0:8:1285680:1286360:1 gene:Solyc08g006730.1 transcript:Solyc08g006730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIDNNPLTITEKVYVRVRLANENDIHHIYKLFYQIHEYHNYTHLYKATESSLCDLLFNKTNPSPLFYGPSILLLEVSPTPFLDIDSKNEKFKPVLKEFDLRSNVVDKEADEFKSNSMNDNDKNDVYIAGYSFFYANYSCFYDKAGIYFESLYFRESYRKLGMGRLLFGTVASIAANNGFSSVEGIVAVWNKKSYDFYVDMGVEIFEEFRYGKMVGDALQKYKDI >Solyc05g012330.3.1 pep chromosome:SL3.0:5:5580628:5593928:-1 gene:Solyc05g012330.3 transcript:Solyc05g012330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIWWPKWWLSPKKCSLFCILDTLLQYDMVGKLDFHDYWILTKVAVLSPQRVLSSGFKMYMVESKDGAIVCMLLSLLLLGTWPALLTLLERRGRLPQHTYLDYTITNLLAAVIIALTIGQFGPSTPERPNFLTQLSQDNWPSVLFAMAGGMVLSLGNLSTQYAFAFAGLSVTVVVSSSITVVIGTTLNYYLDDKINKAEVLFPGVACFLVAALLFIRPTTLITKPSLIIIQMTVKTESGGTNGLEDGDASEKAKFGTAAFLIELENMRSIKVLGKGTLIGLIITFFAGICFSMFSPAFNLATNDQWHTLKDGVPHLTVYTAFFYFSCFCFIIAMVLNLYFLYHPILNAPKSSFTAYLNDWNGRGWALLAGLVCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVMLFGEYRRSSRKTYTLLAGMLLMFTAAVAVLMASVLSSRFKMYMVESKGGAIACMLFSLLLLGTWPALLTLLERRGRLPQHTYLDYTITNLLAAVIIALTIGQFGPSTPERPNFLTQLSQDNWPSVLFAMAGGMVLSLGNLSTQYAFAFVGLSVTEVVSASITVVIGIILTSAISVFLKPVQGVGKMTCAIPLIMTGTTLNYYLDDKINKAEILFSGVACFLVAALLFIRPTTLITKPSLITIQMTVKTESGGTNGLEDGDASEKAKFGTAAFLIELENTRSIKVLGKGTLIGLTITFFAGICFSMFSPAFNLATNDQWHTLKDGVPHLTVYTAFFYFSCFCFIIAMVLNLTFLYYPILNAPKSSFTAYLNDWNGRGWALLAGLVCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGVMLFGEYRRSSRKTYTLLAGMLLMFTAAVAILMASSGHRK >Solyc03g031950.2.1 pep chromosome:SL3.0:3:4449134:4454878:-1 gene:Solyc03g031950.2 transcript:Solyc03g031950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNFALLLLAFSLLAVSAAARPCKTLFFITSTSYYQIPTTISRNPNPNPNFFLRNPSISPRFRAFFVTTSGFRDDAPRFGLLRPSVFFRRSDPFLIRGPDPVFFEREDAVEHVEDGEELESRSSSMIPVELYSSVTSSIRDRTKDIMRVVGALLFGVGCGALTAASMYLIWSLFWPNRFEFEDSDDEFEDGNDDYDVTSAKKMGYVAIPTKVVDDDLKKPAAPTKEVDVFGCEDFHFFLFI >Solyc01g021627.1.1 pep chromosome:SL3.0:1:32064592:32065849:-1 gene:Solyc01g021627.1 transcript:Solyc01g021627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWVGKLLRYDLKIEHEKGRENKAVDAMSRSPMTEGLLQLLQIHQTTCASISMDFIDGLSKSQGKTTILVVTFMEQLFKLHGMLENVISDREPIFINRLWQDLFTTQVQQLITLGAQYDGQTEFQEGYWVYLKIQPYRQTTLSNQTFNKHSTKYYGPYQVIQRIGNVAYKFSLPTHVAVQNTFHVSKLKACYAFSQVLNHPPLVDIASPYCVEPDQVLDRKMIKRGDTVVAEILVQWKDIPYEQATWKDFAKIKFRFPTFLLCGQERFLRREEYYNFYIVNSEVVVN >Solyc05g010500.1.1.1 pep chromosome:SL3.0:5:4714582:4715400:-1 gene:Solyc05g010500.1 transcript:Solyc05g010500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIGSCNGFVCLLKGAYDIVHTLYISNPLLGEYYEVKMHKREKIYSSAYAFCFSEASRQYKVLRSAFEQPKVSELEVYTVGVDEKWRYVGKAPKPLWKSFSNANVNGIVHWMDPEKNDRIYSFNSWTEEVKSLLGLRGLITPSYKLTLVELRNCLCLCDSNDSKYIDIWWMEEYGITESWIKTRILKDTIQPDFRSDRFIPILTWKDGEILMQRDGGMQVVSYNPKEEKFTKVRVYFGYEANRYIPSFYSVKTVVGESSQISYIHPKIDIV >Solyc06g005770.1.1.1 pep chromosome:SL3.0:6:808194:808553:1 gene:Solyc06g005770.1 transcript:Solyc06g005770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:K4C359] MANVLSKKFLLSFLLICLVLSPPQARAVITCDTVFNDLKPCLNYVLVGGNVPAECCNGLKSLIVKAITTADRQSICSCLKSLATTATDEQVDRAASLPGKCGVKVPFKISRDVDCTKVK >Solyc10g017960.2.1 pep chromosome:SL3.0:10:6167865:6170754:-1 gene:Solyc10g017960.2 transcript:Solyc10g017960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGANVSSSIDSTQNKLSKSKKLEDIPEACVALVLSYLNPSEICMMARINRAFHAASSADFIWESKLPSNYKYILHELLGLSVTGISKKDIFAKLFRSNSFDDGTKEIWIDKNNGGVCLAICSKGMRITGIDDRRYWNYISTDESRFQTVAYLQQMWWLEVGGDLEFQFPVGTYSLFFRLQLGRVTKRLGRRVVYNSEHVHGWDIKPVQFQLTTSNGERAISRCYLDNVGTWTHHHVGDFVVEDPMIMTKIRFSLTQIDCTHTKGGLCVDSVLVCPISLAKVLRSFDSLIVTK >Solyc03g118570.3.1 pep chromosome:SL3.0:3:68932383:68936171:1 gene:Solyc03g118570.3 transcript:Solyc03g118570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELLVGKHVKYIITVEKRKDDFESVVMEHLRLNGAYWGLTTLDIMGKLGAVEQDEVISWVMQCQHESGGFGGNIGHDPHVLYTLSAIQVLALFDKIHVLDIDKLDIAGLQNEDGSFSGDIWGEVDTRFSYIAILSLALLHRLDKVDVGKAVKYILSCKNVDGGFGCTPGAESHAGQIFCCVAALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSCYIGIFIDRSALCFTEGHVVASQDKENGGISDRPDDAVDVFHTYFGVAGLSLLEYPGIKPIDPAYALPVDVVNRVMLGR >Solyc08g076360.3.1 pep chromosome:SL3.0:8:60451841:60456349:-1 gene:Solyc08g076360.3 transcript:Solyc08g076360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSYSKFQKKGQNYLLSISQNSNLAAVVNKINKQTIICQFFLFDSIIIFYIYSIVMGSQCYSAYSIQSLNPTCPSSSSSSVIFTLLKPQIHRRRIITCCNSSRRRRRMASVAAMNAVSSSSVEVGIQNQQELKKGIADLYDESSGIWEDIWGDHMHHGYYEPKSSVELSDHRAAQIRMIEQALSFAAISEDPAKKPTSIVDVGCGIGGSSRYLAKKYGATAKGITLSPVQAERAQALADAQGLGDKVSFQVADALNQPFPDGQFDLVWSMESGEHMPNKEKFVGELARVAAPGGTIILVTWCHRDLSPSEESLTPEEKELLNKICKAFYLPAWCSTADYVKLLQSNSLQDIKAEDWSENVAPFWPAVIKSALTWKGFTSVLRSGWKTIKAALAMPLMIEGYKKGLIKFAIITCRKPE >Solyc12g096120.2.1 pep chromosome:SL3.0:12:66151481:66155101:-1 gene:Solyc12g096120.2 transcript:Solyc12g096120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier 1 [Source:UniProtKB/TrEMBL;Acc:K4DH85] MATGGGATGGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGAQWMPY >Solyc04g078460.3.1 pep chromosome:SL3.0:4:63270557:63272244:-1 gene:Solyc04g078460.3 transcript:Solyc04g078460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGWAIAVHGGAGVDPNLPDERQQQAKQLLTRCLNIGISALRSSLPAIDVVELVVRELESDPLFNSGRGSALTANGTVEMEASIMDGDGRRCGAVSGISTVKNPISLARLVMDKSPHSYLGFSGAEEFAKQQGVEMVDNEYFITEDNVGMLKLAKEANTILFDYRIPLTGLDSCAASVESPIHMNGLPINIYAPETVGCVVVDRQGRCAAGTSTGGLMNKMTGRIGDSPLIGAGTYAGELCGVSCTGEGEAIIRGTLARDVAAVMEYKELGLQDAVNFVIKKRLDKGFAGLIAVSNKGEVAFGFNCNGMFRGCATEDGFMDVGIW >Solyc12g019330.2.1 pep chromosome:SL3.0:12:9984275:9984778:1 gene:Solyc12g019330.2 transcript:Solyc12g019330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCEKAYGAKQKDMLGIYMQRSWIILNAIALVLMFLNIFATQILKLIGPEEKIAKWAGQLSLWMIPMVFAYAFEFPIIKFLQDQSKIMTMAVIAGVSFAMTFYCSWWFMVTAKTMFIFWGSCGEALFGFSWEAFKNLWEFVRLSLASGVMI >Solyc03g112500.3.1 pep chromosome:SL3.0:3:64381525:64385205:-1 gene:Solyc03g112500.3 transcript:Solyc03g112500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSLNKNASQVTAGLIDDNTKPLSITLQGSEFLANGYPILTHVPANIIFTPSQFISKDFTFGCFVGFDSDEARSHHVVPIGKLRDIKFMSLFRFKVWWTTHWVGKNGRDIQHETQMLILDKSENGLRPYVLILPILEGSFRASSQPGNDDYLDVCVESGSSKVRETRFRTCIYMHVGNDPYEMVKNAMKIIRLHLGTFKLLEEKSLPGIVDKFGWCTWDAFYLKVNPQGVMEGVKDLVEGGCPPGLVLIDDGWQSICHDDDPVTDDQEGTNRTDAGEQMPCRLIKFEENYKFRNYESTPKGKGKGMKAFVKDLKDEFKSVEHVYVWHALCGYWGGIRPNIPNMPDCKVISPKLSPGLQMTMEDLAVDKIVNNGVGLVPPEKVHEMYEGLHSHLESAGIDGVKVDVIHQLLEMLSEDYGGRVELAKAYYKALTASIRKHFKGNGVIASMEHCNDFMYLGTETIALGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPVYVSDSVGKHNFQLLKTLALPDGSILRCQHYALPTKDCLFEDPLHDGKTMLKIWNLNKFTGVLGAFNCQGGGWCPVSRKNKSANEYSVAVTCLATPRDVEWSNGTNPASVEGVNIFAVYMYRQKKLKLLKLSESVEITLQPFEYELLTVAPVAVLSKKSVQFAPIGLVNMLNSGGAIDSLVYDEEEESSVSIGARGSGEMRVFASEKPSSCMIDGVSVEFSYEDHMIIVQVPWPNSSGLSEIKYVF >Solyc10g054975.1.1 pep chromosome:SL3.0:10:56126569:56127001:1 gene:Solyc10g054975.1 transcript:Solyc10g054975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMAQGVELGPKAVEKWFKKLPHAKQKINKYHFYFHNKVSAKIPTAVQIALSNMTAKYPTSFGYVTMIGEPLTYNGSTLSILGRNAFQKYREMPIVGVFWLA >Solyc01g058175.1.1 pep chromosome:SL3.0:1:65503236:65509236:1 gene:Solyc01g058175.1 transcript:Solyc01g058175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVDDMLIAAKKKYDIQKLKGLLSIEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPVLPISISLPCLLHSQKKRRSTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAVKEGIWLKGLVSDLGKNYQPPNLVFSSY >Solyc09g065930.3.1 pep chromosome:SL3.0:9:64447605:64452134:1 gene:Solyc09g065930.3 transcript:Solyc09g065930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSSTHKLKGSFFFLFALFSIEMGTREVYEEKLKRGNLHHDPTIKPGLGSARCPRCLSLLNSNSKNGEWAITPVLHDFTIVAGSGIGGLLSVIHGFNTGIPFVQRHVKGPKWLPFVIGLPPLLMFSAASATFGGYTLPRFTQLTMTSYYTASSASHYGISLLTRRIEETHTSGVQPKKLS >Solyc02g064900.2.1 pep chromosome:SL3.0:2:36564361:36570142:-1 gene:Solyc02g064900.2 transcript:Solyc02g064900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALLCKEDPLDEGDLGGGYHSDERNWNVKKISPLLECDMFWEDGEVETLLSKEKLNLFDCTSLVSDGVLLGLRKKSLEWMLTVIDHYGFNALTAVLAVNYFDRFMSRVGFQKGQPWMSQLVAVACLSIAAKVEEIQVPLLLGLQVSNPKYVFEAKTIKNIELLVLSTLKWKMNPVTPLSFIDHIIRRFQLMTNLHSENALDYLNQFMHYECHDLILD >Solyc11g068600.1.1.1 pep chromosome:SL3.0:11:53548854:53549474:1 gene:Solyc11g068600.1 transcript:Solyc11g068600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKTSSCIVLLALATSLVIFQMASAGDPDILTDFVLPLSVPSVDASYFTFSGLRGIVGAPSPEKFKVTKAAMTEFPALNGQSVSYAILQYPAGSVNPVHTHPRSAELLFLMSGTLEVGFIDTTNKIYTQTLQTGDVFVFPKGLVHYQYNADAENCAWGISAFGSANAGTVSVPNSVFNTSIPDNILAKSFKTDIITIQKIKAGLT >Solyc10g074650.2.1 pep chromosome:SL3.0:10:58255031:58274218:-1 gene:Solyc10g074650.2 transcript:Solyc10g074650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D1Q7] MKGGILPAKRRWKGLVIAVLGLVLLSLLVPLVFLLGLHNGFHSSGYTTPQQSSASVGLRIYGRHYTPKIENQSEDDESTHVDDLMQRLEPTLPKDFGENIVVGKAENKTAGFPLLDGLPKERLGVNSTGVGDLTKKRHSTGVGDLANTKQSTGAGDLAKAKQSTVVGDLGKKEQSRDVGDLGKTKHSTGVGDLATAKQSIGAGDLGKKKQSTNVGSTPGATENIRDIDEGEKLCELKFGSYCLWRRNHKEKVNDFTVRKMKDLLYVARAYYPSIAKLPALDKLSHEMKQNIQDFERVLSVTTVDKDLPPLIDQKLPKMESVIAQAKACHVDCSNVDKKFRQLVDLTEDEATFHMRQSAFLYQLAVQTMPKSHHCLSMRLTVEYFRDPPPDIDQSLVERLLNPDLRHFVIFSSNVLASSAVINSTVTHAKESENQVFHVVTDKQNYFAMKLWFSRNKYMEATVEVLNIEDHKLENNKASTSIHLSLPEEYRVSFHKVDGPPTTEYLSVFSHSHYLLPEIFPSLKKVVVLDDDIIVQRDLSVLWGINMDGKVNGAVQCCSVRLIQLQKLFADKRLDETSCAWMSGLNVIDLVRWREQDISGTYLKLVTEMNSEEAVTLRASLLTFQGEVYALDDKWVLSGLGYNYGVDIESVKNARVLHYNGNMKPWLELGIRDYTVSWRTFLNQENQFLSDCNIN >Solyc08g036505.1.1 pep chromosome:SL3.0:8:11183576:11204533:-1 gene:Solyc08g036505.1 transcript:Solyc08g036505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKCNLELRLVPPAPYFDSMGNKETIDEEKEHQQLTIFYDGKVVVSDASEVQAKAIIHLASREMKENTKTPSTLSEPPSSLSQFETEEEGKNLKVGFLNREVKVGAIYLIEV >Solyc05g025600.1.1.1 pep chromosome:SL3.0:5:34417690:34418025:-1 gene:Solyc05g025600.1 transcript:Solyc05g025600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPLTYTSHKRQPRVEAFLRPLPVRPSKTTSASKPPKFQVKASLKQKALTGLTAAALTASMVMPDVAEAAESVSPSLKNFLLSISAGGVVLAAILGAIIGVSNFDPVKRT >Solyc01g086670.3.1.1 pep chromosome:SL3.0:1:81508861:81509474:-1 gene:Solyc01g086670.3 transcript:Solyc01g086670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFSSLLSCFSSNSVSSRVCDDANKSNSSVAKTKKKSSSSAPIIISYFPINSQFSRL >Solyc07g006500.3.1 pep chromosome:SL3.0:7:1301058:1304641:1 gene:Solyc07g006500.3 transcript:Solyc07g006500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trehalose-6-phosphate synthase [Source:UniProtKB/TrEMBL;Acc:A9ZSX8] MLSRSCFNLLNLDDCSVTDRARIPKLMNVPGIITDFGGGGGEEEKGEVSPGVKNGSRRIIVANQLPVKAFCKDEKEGKKWCFEWDRYALDTLILQLKDGLSPDLEIVYVGCLKADVELNDQEEVANFLWEKFRCVPTFLSLDLINKYYHGFCKHYLWPLFHYMLPLTSSHGVRFDRSNWLAYVSANKIFADKVYEVINPDDDYVWIQDYHLMILPTMLRKKYSRIKVGFFLHSPFPSSEIYRTLPVRDEILRALLNCDLVGFQTFDYARHFLSCCSRMLGLDYQSKRGYIGIDYFGRTVTIKILPVGIHMGQIQNVMSLPDTAKKAKELKEKYEGKIVLLGIDDMDVFKGIGLKFLAMGHLLEQSPSLRGRVVLVQITNPPRSRGNDIREVEEEVKKIASEINTKYGKPGYEPIVCINGPVSTQDKIAHYAISECVVVNAVRDGMNLVPYEYTVSRESNSNLDKALGPGFNGGRRKSMIVVSEFIGCSPSLSGAIRVNPWDIESVATGMTSGAMMNDREKELRHEKHYRYVSSHDVAYWARSFDQDLKRACEDHYHKRCWGIGLGLGFRVVALGPNFKKLSVAHIVSSYKLTNSRLILLDYDGTMLPEDKVDKAPSQEVISVLNGLCSDPKNIVFIVSGRGRDTLSKWFSPCAELGLSAEHGYFTRWRKDSDWESRLVPADTEWKKVVLPIMKKYTEATDGSSIEQKESALVWHHLEADPDFGIWQAKELLDHLESVLANEPVVVKRGQHIVEVKPQDVSKGLVFQSLLASMKSKGKSPDFVLCIGDDRSDEDMFESIASSLDNSSLPDNAEVFACTVGQKPSMAKYYLDDPAEVIKMLQGLSAASTAMQLPPKSPVHQLASVEDLSLVKNHASFV >Solyc03g080110.3.1 pep chromosome:SL3.0:3:53440774:53442605:1 gene:Solyc03g080110.3 transcript:Solyc03g080110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMQIVPAGRNVNVEPQYVEMMVPLYSYGCERKINNALSHIKGIYSVNVDFEQQKVTIWGICNKYDVLARVRSKRKAARFWNEEDNMEESERDSSSSSPAASRYRIRPRAQPLALIRARSLSLKLALKKAFTRSYSF >Solyc02g071300.1.1.1 pep chromosome:SL3.0:2:41354072:41356138:-1 gene:Solyc02g071300.1 transcript:Solyc02g071300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNYVVPRCYISRSIIGLARFYRVASPTCYSILSEHLKNQRIDEAKELFERIPSPNIYLCTKMIAGYAENLRLNEALQLFDKMPVKDTVMWNLMIKGCVECGNTEMGLKLFEEMTQRNVVSYTTMISGYLKFGKVEEAESLFSEMPQRDVAAWNAMLYGYFENGRVEEAVKLFELMPYRNVISWTSVISGLDQHGRSDEALLIFKKMVNFFIEPTSSTFASVITACANARDLGLGSEIHACVVKLGYQYDTYVTASLITLYANCMRMNDSSKVFSERLHINIVVWTSLLTGYGLNYKHKEALKVFGDMIRIGLLPNQSSFTSALNSSCEMESIDLGKEIHGVAVKLGLNTDAFVGNSLVVLYSKCGNINDGLIAFKEIPEKNTVSWNSTIVGCAQHGFGNWALTLFAQMVRSRADMDDITFTGLLAACSHSGMLEKGRRLFQYIPQSSSIEVTLEHYSCMVDILCRSGKLNEAEDLVKSMPMRPNLSIWLALLSGCKKHLNLELAERAADNIFHLDPNCSAAYVLLSNIYAFSGRWNDVARVRGNMRRRGNTKQPGCSWVNQMGIRHTFLSGDTSHPLSRRIYEKLEMLTEKLKEYGYVPDQRYALHDVEDEQKEVLLSYHSERLAICFALITTHGSAITVMKNLRVCGDCHSAIKLIAKIVDREIIVRDSSRFHHFRDGFCSCSDYW >Solyc07g054140.3.1 pep chromosome:SL3.0:7:62638300:62644348:1 gene:Solyc07g054140.3 transcript:Solyc07g054140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGAWTYRDRTSEFASLSKTLKKIAGTTGSDHEPQQNSASSTTKVLQIPDRSEFNKKASRIGLTIHQTFQKIDRLAKLAKRSSIFDDPSKEIQELTTSIKNDITSLNVGVSDLQALQDMDVADGTHSKDTIVHCTAICDDLKTRLMAATKSFQEALTIRTKNMKAHEDRKQIFSTNLSRENPLKQPTAEPPPWSTCQSLTAIDAQGSNQLRRRLASDNPPSNELEMSMLQDQVPRQESYSQSRATALQNVESTISELGGIFTHLATMVAQQGELAIRIDDNVDESLTNVEGAQGALLKYLNRISSNSRKVSCTENY >Solyc06g083750.3.1 pep chromosome:SL3.0:6:49127185:49132803:-1 gene:Solyc06g083750.3 transcript:Solyc06g083750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGPSQIPKTFSIFFLFLVSSFPILGLSSNELTNFVYKGCANQKFQDPSGIYSQTLNTLFENLVSQSSSNKFYKTTAGNGQSAISGLFQCRGDLTSDDCNHCVKKIPDMSRKLCGQSIAARIQLNGCYLRYEMVGFQTVGANELLFKVCGENQASGAGFGDRLDTALGEIAKGVSSGNNGFYAGGYQSVYVLGQCEGDLGSGDCVNCVKNGAGRAKSECGNSISAQIYLQQCYISYTYYPNGVPSKSLSPSGTRQNTQKTVAIVLGGLVGVGLGVACLLFTRSAFKKKGYSKYGG >Solyc02g093460.3.1 pep chromosome:SL3.0:2:54961314:54966623:1 gene:Solyc02g093460.3 transcript:Solyc02g093460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVVIPRMSLIFLRSKSISQPRTVFFPSMLNSLLLKSHSLHFFSTTSTPYPLQYEMIISRPANPPSPTLKSRQQRFLPKSKPNDSEPLPGSELGFDDWVDRKLNLKSSSPQAEPQPEEPNSEDENNRDKDNELVELKQEVVELPTLHKKEEELYFYDNFAYPWEKDKHYKMVYQLEKKFFPDQGFDKAFLDPGQSNENVNRSKKKLGKKENLIEKDIDGGDGKSLIFFEEEEKSVSSETKKEAKVDVAEKKVEDFFKCLKKVPNKENGVVSAEPFLATRSTGLPPKWDSPGGTVVLVNKPKGWTSFTVCGKLRRLTKVKKVGHAGTLDPMATGLLIVCVGKSTKIVDSYQGMTKGYSGIFRLGEATSTWDADSPINLECVAYFTHTKVSFNHQQHKLRWKDEKFRDKAIMNKVYTLTLVIQREPWEHIKDEDIKKTAASFFGEIWQVPPMFSAIKRHQNFSWVTKVGGEKMYDKARRGESIELAPRRISIFEFDVKRSLDDRQNVIFRVRCSKGTYVRSLCADFGKALGSCAHLTALRRDSIVSPESLACHVYIREVCIAACEQIVVRVYNNISSVNSKQA >Solyc07g005430.3.1 pep chromosome:SL3.0:7:330997:334737:-1 gene:Solyc07g005430.3 transcript:Solyc07g005430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQSDSQVIRRRKGPAFEYLVPLIYAPALPLIRIALRHKPVLRDRLFYGVLAGAFAHGTYLVTDLYDAESK >Solyc09g091420.3.1 pep chromosome:SL3.0:9:71172941:71178282:1 gene:Solyc09g091420.3 transcript:Solyc09g091420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAARTVGSGVVLPRSVTFVTGNAKKLEEVRTILGQSIPFQSLKLDLPELQGEPEDISKEKARIAAKEVNGPVLVEDTCLCFNALKGLPGTQQTYVHASISVYVLLFEFKWFLQKIGHEGLNNLLMAYEDKTAYAMCIFSLALGPNAEPMTFVGKTQGRIVPARGPNDFGWDPIFQPHGYDQTYAEMPNEEKHKISHRGKALELVKLHFAEARYTFQTDSTT >Solyc02g063410.3.1 pep chromosome:SL3.0:2:36044607:36046572:1 gene:Solyc02g063410.3 transcript:Solyc02g063410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPQYYAPYRLADSSSLGFPLGTAFLLVAVFSLSGIFSCCYHWNRIRLLRRRADLEAGDDPASVKYKLNYNMSEKQNQSQNMPAVLMPGDEVPKFIALPCPCQPPRPEKVAEEVQLPPSPSPKPIRMVVGLLL >Solyc05g056210.3.1 pep chromosome:SL3.0:5:66417397:66433968:-1 gene:Solyc05g056210.3 transcript:Solyc05g056210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPERVRSYPKQDDTDLKLDDDWEGDDKRKCRSSNPRSGNSEEAEGLDSNGRRRSTVDRNESRKRSGGSSKTDIDEDDYEGNDLRSKLMKKKQGENTLETLSNWYRDGELGGKYDNGDRAGDRGQFLANESVRRKSTSRFSDGDGSQTRNQGKNEKLLGGDSENATERDSRRLERKDSTKEKDNVQLDSLKNSNGDKNNTYPESSEIKTDSDRSKKVRLYAIGEDNGGTSSIREDKLSLERVEEHRQIRSATTHHTAESHERSMVAGDDGGSLVRERKRREMDSSDRSRTPERSGRRRYDSESVEMEYEKRDTFRRKEQEKDGVRDDKSKGRDDGRSDRNRIRDGSKDGWKRRQGSFVDKEMKEGETPYEHGREWEIPRRGWIDNERPRSGGRKDGNRTEALKTSSKYGISNDNYDVIEIQTRPFDYGREEAISSAARTTEVNQSSDAKSLPDDENYAREGRGRNMNWSGQSGPDLRDTSGDSSNKDETEARGQKGDASIQSAWGQTSSSEPSYVNQEPPSFNRSVPIGSKGGRVGRGGRGRPTGRDVHQFGPPMPMMGSPFGPLGMPSPGSVQSLAPNMSPAPGPPMSPFIPPFSSPLVWPGARGVEMNMLGVPPGLPPVLPGPGFPPNLGNLPNHAMYFNQLGPGRGTPPSMSGSNFNALIPGGRGQVKDKANAGWVPSRTNAPPGKAPSRGEQNDYSQNFVDTGTRPQNFIRELELTSVIEDYPKLRELIQRKDEIVVKSSSSPMYYKCDLHEQELSPEFFGTKFDVILIDPPWEEYVHRAPGVTDHMAYWTFEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTTATPGLRHDSHTLLQHTKEHCLLGIKGTVRRSTDGHIIHANIDTDGENTLETLSNWYRDGELGGKYDNGDKTGDRGQILANEGVRRKSTSRFSDGDGSQTRNKGNNEKLHGGDSGNALERDSRHLERKDSTTERGHVLLDSLEESNRDKNGKYPESDERKIDCDRSKKGRSYAIEEDRGGAFSIRDDKLSIERFEEHRQRKGATSHDIAENRDRSAAAGDDGGSRVRERTRRELDSSDRSRTPEKDGRRHYNLESVEMEYEKRDTFRRKEQEKDGARDDKSKGRDDGRSDRNRFRDGSKDGWKRRQGNFVDKEIKEGETSYEHGREWEMPRRGWIDNERPRSGGRKDGNRTEALKTSSKYGISNENYDVIEIQTRPFDYDKEKAISAVARTTEFNQNFDARLLPDDDNNAFPRDDRGRNMNWSGQSAQDIKNTSGDGSYRDETESRPQKGDASVRSALGQTSNSASEPPYGNQEPSSFNRDVPMGSKGSRVGRGGRGRPTGRDGHQFGPPMPMMGSPFGPLGMPSPGTLQSLAPNMSPAPGPLPGVFIPPFSPPVVWPGARGLEMNMLGVPPGLSPVLPGTGFPPNLGNPMYFNQSGPGRGTPPNMSGPNFNGLIPGGRGQVKDKANAGWVPPRTNAPPGKAPSRGEQNDYSQNFVDTGTRPQNFIRELELTSVVEDYPKLRELIQRKDEIVVNSSSPPMYFKCDLLEHELSPDFFGTKFDVILIDPPWEEYVHRAPGVTDHMEYWTFEEIMNLKIEAIADTPSFVFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKTNATPGLRHDSHTLFQHTKEHCLLGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTVKPEDMYRIIEHFALGRRRLELFGEDHNIRSGWLTVGKGLSSSNFSAETYVRNFADRDGKVWQGGGGRNPPPGAAHLVITTPEIESLRPKSPMKNQQQQTASISVMTTNSSNKRPAGNSPQNSQNVNQEASSSNNPNAGPWVPSMESFQGGHVISDNNMYGYNTAFTQNNTESSDYESHNAMNLF >Solyc01g110940.3.1.1 pep chromosome:SL3.0:1:97317693:97317950:1 gene:Solyc01g110940.3 transcript:Solyc01g110940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRVPRIIKKSSTSLDVPKGHFVVYVGEQQKKRFVIPISYLSQPSFQDLLSQAEEEFGFNHPMGGITIPCREDIFIDITSQFRI >Solyc11g045180.2.1 pep chromosome:SL3.0:11:31723151:31745941:1 gene:Solyc11g045180.2 transcript:Solyc11g045180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAPRPPALTVGCFKFQNPSANVNLVQRNVGSSNCKRVVVAAKATYSRVPLDTPGAYQLIDEDTGEKFIVWGSAEDDSSNSPIPSNEVLSWKPLPSPNNNNNDNDSTINQASNRGSTGNFGRLKFRRMRDLVRKSYTKNKKRDVIEHDEHNVTNTSSQSSTSSYGELDQLKEKQKLSARALAKIQQLESRKNSPKIIRMEDEGYNGDFDAEFGRLVDSRSKASASSLRGWGRGQSIHDRSMGEEISRRRQNLDDRNNFFSRKSFHDMGCSDYMIEALRNQHFVRPSHIQSLTFEPIMAGKSCIVSDQSGSGKTLAYLLPLIQRLRQEELQGLSKPSPQSPRVVILAPTTELASQVLNTCRSFSKSGVPFRSMVVTGGFRQRTQLENLRQELDVLIATPGRFMFLIKEGYLQLTNLKCAVLDEVDILFNDEDFETAFQCLINSSPIITQYLFVTATLPMDIYNKLVESFPDCELVTGPGMHRTSPGLEEVLVDCSGDETAEKSPDTAFHNKKNALLQLVERSPVPKTIVFCNKIDSCRKVENALKRFDRKGFVIKVLPFHAALDQESRLANMKEFRSSKAENVSLFLVCTDRASRGIDFEGVDHVVLFDYPRDPSEYVRRVGRTARGAGGKGKAFIFAVGKQVSLARRIMERNSKGHPLHDVPSMLT >Solyc02g088900.3.1 pep chromosome:SL3.0:2:51457033:51466279:-1 gene:Solyc02g088900.3 transcript:Solyc02g088900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVSKQLVLTYIYLLVYIMLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGLVAFLLIRVFKVVSPVKMTFEIYATCVIPISAFFASSLWFGNTAYLFISVAFIQMLKALMPVATFVMAVICGTDKLRCDLFLNMLLVSVGVVVSSYGEIHFNIVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFVPWYLLEKPEMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTLIFPESTITTLNITGYAIALCGVVMYNYLKIKDVRAVQLPVDSVSDRIAKELKMEKKSSDLYVPDDIVKNSGGKGSRNGSPDSMVDEEAPFIPSSRVSHLGRSPLSSYSP >Solyc07g005770.2.1 pep chromosome:SL3.0:7:625778:628796:-1 gene:Solyc07g005770.2 transcript:Solyc07g005770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAVTVCLETLDQIFSPNPYLNDLDKDENCKFLSEKLSVFQRFLVDSSNKCNDVEMVEDLERQIRNVSYRAQDYVEEMVFFSWKSLDLVECYDRVYSTKECLSQIVDEIELIELEVMKMYEQMSCYKKPNESECYLLDSSVEKSPVVQDIVVGLDDDVLEIKTRLCSFSSKLEVVTLVGMGGIGKSTLARMVYDDPLIKYHFSICAWVTVKDRQMKGLLIGLLDDIVEFTDEIHEKHSEQLEEMLYRRLKLNRYLIVLDVDYRELGSYKKESWELLCHKVFGSKHDHLELEDIGKKIAEQCQGLPLTILVIAGQLSKIERTRICWKSFAKTVGSIVTDEHEKCLDIRALSYRNLKVKNLEKYAEECLEDLVNRNLVIVKERKSNGRIKSCAVHDLLQDLGLREAQKENFCCFPASDDTLHCGRRLNYLDAASIEVPWKPLLPLSRTLLLSYHSRALKGFSPQMYGPLLYKLLRVLHILNITFDCFPPQVLQLVHLRYLALAVYEPDCPELISRLWNLQTFILNTSHTVNLPETVWEMESLRHVYLGKGCFLPNPASGFNGISKVLTNLQTITYMDIASCTREVVVNVPNLKKLSIHGRGECSSSEPSSSYYLSNLRYLKQLEKLKLCYHKLSSMDFFPSSLKKLVLQRCSSLPRGFTNTLSSLPNLEILKLMCVEFEQHTWNLTEEVFSNLKYLKLDSLELVVWDASSVNFPNLEHLVLEHCYSIENMPHEIENIYTLQCIEVRSCCVIVEMFVQRIQEEVKNMGNDSLNVSIQEMGNINLSVEQCVFEWNRVQRTKKHARRKRYFVSQNAGSIPGFGSWT >Solyc03g112380.1.1.1 pep chromosome:SL3.0:3:64275369:64275602:1 gene:Solyc03g112380.1 transcript:Solyc03g112380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLRYDRGQVHELQLLCYLALHVGNSKALEQAKALSILEGAARHVIAQRPDLRELFAKAIHHLTLYQIGGHMHRQA >Solyc04g012000.1.1.1 pep chromosome:SL3.0:4:4347640:4348095:1 gene:Solyc04g012000.1 transcript:Solyc04g012000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKKQVMEIVSHEADRILEISGDSLIATSSTSYPMLTDQLENDTVQGLDDDLQIIIKRLTGPPSDLDVVSVSGMGGIGKTKLARKAYDHLTIRYHLDILAWVTISQEFQYRNVLFEALHCISKKTDIVNAKNYDKMDDNELADLVQKNL >Solyc07g039610.1.1.1 pep chromosome:SL3.0:7:48693663:48693854:-1 gene:Solyc07g039610.1 transcript:Solyc07g039610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATYILWPSFFKTKIESVSTSQIYELESLRGGYAIFASGIIMVFVNIVCGLCIDIIGSNSVI >Solyc03g114760.3.1 pep chromosome:SL3.0:3:66142258:66146717:1 gene:Solyc03g114760.3 transcript:Solyc03g114760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEPQQLLDLQDNNGGFGAGADSSSWLSGEDRSPTLRRTDSSLSNSAAGTVDRTLFNDLVQIVPLVQSLIDRKAKSSFTRRGSMTYTKTPSRESLYKKTSETKGKNAAQSNKKHRDQNKNVGADQDGCSDNISMPSSRSYLSEKDREELMALRGQVEDLQKKLLEKDELLKEVEISKNEMASIYAKLDEMKKEYAEKESLLKLTQVQLSDAKVKLADKQAAVEKLEWEATTSSKKVEKLQEDLEVVRQEIAWFMQFVQQLTKNDSRTLAEDYDVIPYLCDKNIETDQPNELGMEEVELAREAYIAAVAAAKENQDEASFSEAAKARLYLQSLVLRT >Solyc12g042483.1.1 pep chromosome:SL3.0:12:58742750:58743752:1 gene:Solyc12g042483.1 transcript:Solyc12g042483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIIPEASFEISDENSMTSPFPVNRKRKKMNKSRGSAADKWTDEETSILISVLEDTKTFKDLLSSCSGYGWNPTTNTITCPSHIWSEHVAQKKKKKIYISKYRYHGLKDYDTLDEIFGNSFATGDHVMYSTNPNLPPKSNEVIVEEYEPFDGDDEHVATVNTCQPMSRNTGSGSGSAKRTLEPSEGGTSTRRKKRSCYKF >Solyc05g012220.3.1 pep chromosome:SL3.0:5:5498581:5506513:1 gene:Solyc05g012220.3 transcript:Solyc05g012220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENGPSDFPESTSTRFYSDVQANNNFHGSGLPGIKRRGQGHGSRSWIKIDEHGNSKILELDKATVMRHCSLPARDLRLLDPKFIYPSTILGREQAIVVNLEQIRCVITADEVILMNSLDACVLQYESELCKRLQINRDQPDGLPFEFRALELVLELTCLSLDAQVKELELEVYPVLDELASSINTLNLERVRRLKGQLLALTQRVQKVCDEIEHLMDDDGDMAEMYLTEKKQRKEDYLNNDSYDQADIYGKIRGAARSAPVSPASSTTGMHKLQRAFSNLSSSKHGSISASSNCQENIDQLEMLLETYFVVIDSALNKLSSLKEYIDDTEDLINIKLANVQNQLIQFELLLTAATFVATIFAMVTAVFGMNLKTTVFDDPDGFNWTIIITGIFCLVLYIAFMIYFKHKKLLPL >Solyc02g062280.3.1.1 pep chromosome:SL3.0:2:34416808:34417675:-1 gene:Solyc02g062280.3 transcript:Solyc02g062280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSSFDSSAFFSFIAFSSESSATFSLTISSLRSSAPFGFAVSFLGSCRSFSLTGSSFGSSASSSLTSTSS >Solyc04g012125.1.1 pep chromosome:SL3.0:4:4431435:4437338:1 gene:Solyc04g012125.1 transcript:Solyc04g012125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNPNTGPIFLSTFGPFLNPVQLLRVSQFLFLPPVNSSPSHFPAKFSFECTGRGRSSNDRRSYPSANNAISDTDHSDFNRVENPRNQGCGRGDSQADSVDYHKGLNALQEQYNQILQMLGQSNRQNTTERDSNSHSSANLAQENYPSSGNVTALSASIAHTGWIIDSGATNHMTPHSQLLINKHPLPSDAPRSVQLPNGDSTLITHTASTQAYSHLVHDGLSSSQTVLWHQRLGHTSSNVLAKTLNLPVTQCSDEPTPTTVVNTELVPAQDTSSIRRSQRSTKAPLWLQDYVASTQLQSNKPLYSIDKYIGYDNLSSSYRAFLTSFGTEMT >Solyc10g049720.2.1 pep chromosome:SL3.0:10:46419520:46421868:1 gene:Solyc10g049720.2 transcript:Solyc10g049720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVVSMSEGDWSSFSGMCFTEEADFMAQLLGNCSFPNELPSNSGYWNIGHESNIGSSGGREHSSFFFPPLSHESHYSSNSRPILMRNDSSITTERGLMDTNNPIEADEYFANNMEFDANMAEPLLDGKGLQLGRIDYEDHSPTESSKKRVRLHCHVPKNKRSTKLQTEGKTVEMDMKSKAVLQRQNSMVSCCSEDESNVSLELRRKSRASRGSATDPQSMYARKRRERINERLKTLQSLIPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSDDLWMYAPIAYNGMDLGLDLRIGNPK >Solyc09g065700.3.1 pep chromosome:SL3.0:9:64173252:64194930:1 gene:Solyc09g065700.3 transcript:Solyc09g065700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWATLLKDFKEKVGLAAQSPSAASSPSSSASSPFRDSNASFPIQDFTYSPSSDKHELELDFKRYWEEFRSSSSEKEKEKALNLTVDVFCRLVKQQANVAQLITMLVETHIFSFVVGRAFVTDIEKLKLSSKIRSLEVERVLNFFSEVTKDGIRPGASLLYAIEVLVSGPVDKQSLLDSGILCCLIHILNSLLGPNEGYLRQKVSNDEELIPTEENQDNMESSRRLEVEGSVVHIMKALAAHPSAAQSLIEDNSLMLLFQMVANGSLVAFSQYKEGMVPLHTIQLHRHAMQILGLLLGNDNGSTAKYIRKHHLIKVLLLAVKDFNSDCGDSAYTMSIVDLLLECVELSYRPEAGGIRLREDIHNAHGYQFLVQFALILAKGRDQNSHFKLLPDQGVTSDYPHLANHVGESDLEEKGEDALSQDVSPTLSRLLDVLVSLAQTGPTSASGLKASHVKPSGHGRSRTSSSDRVVDDVWDKDIDKVKDLEAVQMLQDIFLKADSRTLQGEVLNRMFKIFSSHLDNYKLCQQLRTVPLLILNMDGFPPSLQEIILKILEYAVTVVNCIPEQELLSLCCLLQQPITPDLKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLEDLKQHKFLCGSEQHADDPNHFERKSVSSSSSFKKHLDNKDAILSSPKLVESESGKFRLFEVEGTVGVAWDCMVSLLKKAEVNQSSFRSASGVAIILPLLASDIHRPGVLRVLSCLIIEDVAQAHPEELGALVDISKSGMITSALGTHYTLYDDAKCDTFGALWRILGVNNSAQRVFGEATGFSLLLTTLHGFQSEGEPANQSNLTVYFKVFTYLLRLMTAAVCDNTINRTKLHAVISSQTFFDLLSDSGLISVDCERQVVQLLLELALEIVLPPFVMSEGATLSNASDEETTGFILVTPSGNFVPDMERVYNAGAVKVLLRALLLFTPKLQLEVLNLVDKLARASAYNQENLTSVGCVELLLETIYPFLLGSSPILSHALNIIEVLGAYRLSASELRVLVRYILQMRLATSGRYLVDMMERLILTEDTASEDVSLAPFVEMNMSKVGSASIQVPLGERSWPPAAGYSFVCWFQFRNLFKSQAKENDASKMGYTKGQGVGGQHHGPHALRIFSVGAVDNSSTFYAELRLQEDGVLTLATSNSSSLSFSGLEMEEGRWHHLAVVHSKPNALAGLFQSSFAYVYLNGKLRHTGRLGYSPSPAGKSLQVIVGTPVSCARISDLSWKLRSCYLFEEVLSPGSICFMYILGRGYRGLFQDTDLLQFVPNQACGGGSMAILDSLDADLPLASNPQKPDNAGKPGSVQCDRSGFVWDLDKLGNLSLQLSGKKLIFAFDGTSTELLRASGTFSVLNLVDPMSAAASPIGGIPRFGRLIGDVYICKHCVIGETIRPIGGMAVILALVEAAETRDMLHMALTLLACALHQNPQNVRDMQQYRGYHLLALFLHRRMPLFDMQSLEIFFQIAACEASFSEPKKFYSSQKTLPPITPVNEGSIEDLTLSKFREEFSSVGSHGDMDDFSAPKDSLSQISELENAEMPTETSNCIVLSNADMVEHVLLDWTVWVTAPIPIQIALLGFLEHLVSMHWYRNHNLTILRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLPSELEQVVRFVIMTFDPPELTSRHQIMRESMGKHVIVRNMLLEMLIDLQVTIKSEDLLEQWHKIVSSKLITYFLDEAVHPTSMRWVMTLLGVCLVSSPTFALKFRSSGGYQGLARVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGIYGDLKFTELLESVIAMAKATFDRLSMQAMLAHQTGNLSQVSAGVVAELAEDNTDIAGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMCLSFSAVCRRADFLESCIDLYFSCVRAAQAVKMAKKLSVTVEEKNLNDSDETSSSQNTFSSLPHEQEQSAKTSISMGSFPQGQTSTSSEDMPVMSNNVDTTEVDVTSSQPGYIKAVQEEAEVTAAIDNDVVDHASAVTSSSKHLSFRDVKLTVDPVRQTDSLSSASFNMFESPILSERSYSQMAQTPSTSPVVTSWMGGESKVNLASTPLVESAASISELDSSPEMKSTSQGQSAANTMFMIGSTLLLEVDDCGYGGGPCSAGATAVLDFMAEVLSGLVTEQVKSVPVIEGILESAPVYVDAESVLVFQGLCLTRLLNFLERRLLRDDEEDEKKLDKGRWSLNLEALCWLIVDRVYMGAFPRPAGVLKTLEFLLSMLQLANKDGRVEEAAPTGKGILSIGRGSRQLDAYVHAILKNTNRMILFSFLPLFLITIGEDELLSSLGLQVEPKKRVHLNPSSEDSGIDVCTVLQLLVANRRIIFCPSNIDTDLNCCLCINLISLLRDHRRHAQNMAIDILKYLLVHRRAALEDFLVSKPNQGPPLDVLHGGFDKLLTGNLPAFFEWLHSSEQEVNRVLEQCAAIMWVQFITGSAKFPGVRIKGMDGRRKREMGRKLKEISKLDGRHWEQINERRIALELVRDAVATELRVIRQDKYGWVLHAESEWQSHLQQLVHERGIFPLNKSSHSEESEWQLCPIEGPYRMRKKLERCKLTIDTIQNVLTGQFELGGRLELSKERTENETNASDGESDIFFNLMSENPQQDSFSSELYDGSTFKDSDDVRDAASSRAGWNDDHDSSINETSLSSALELGPKSSSASIQKAESVQRKSELGSPGQSSSLKADETRTADDKPEKELSDNGEYLIRPHLEPSERIKYKYNCERVVGLDKHDGIFLIGELSLYIIENFYIDDSGCICEKECEDDLSIIDQALGVKKDFSCMDSHSKSSSSWAVTTKAYVGGRAWAYNGGAWGKEKVCTSSNVPHLWHMWKLDSVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNTMLDTTISGSVKPDSNEGSRLFKVMANSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWILADYESENLNFSDPQTFRNLDKPMGCQTAEGEEEFRKRYESWDDPEVPKFHYGSHYSSAGIVLFYLIRLPPFSGENQKLQGGQFDHADRLFNNIKDTWLSAAGKGNTSDVKELIPEFFYMPEFLENMFDLDLGEKQSGEKVGDVVLPPWAKGSVREFIKKHREALESDYVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVSDPAMKASILAQINHFGQTPKQLFLKPHAKRRTNRKLPPHPLKYSQHLVPHEIRKTSSSISQIVTSGDKILVAGANTLLKPRTFIKYVAWGFPDRSLRFISYDQDRLLSTHENLHGGNQIQCASASHDGHILVTGADEGLVCVWRIGKEAPRSVRRLQLEKTLCAHTGKITCLQVSQPYMMIVSGSDDCTVILWDLSSMVFVRQLPQLPAPVSAIYVNDLTGNIMTAAGVMLAVWSINGDCLAVINTSQLPSDFILSLAGCTFSDWLQTNWYISGHQSGAIKIWRMVHCSCEDSGQSKPSGNPTGGLGLGDRVPEYRLILHKVLKFHKHPVTALHLTSDLKQLLSGDSGGHLLSWTLSEEGLKSMTSRG >Solyc08g016660.2.1 pep chromosome:SL3.0:8:8365549:8369201:1 gene:Solyc08g016660.2 transcript:Solyc08g016660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSSYEPILPNSSNLFRTCKSTSGNNNSSSVIPVIDMLDPNAKFLITKACEEFGFFKIINHGVPDETIIKLESDAVQLFDLPQCDKDKAGPASPYGYGNKRIGSLGDVGWVEYLLLPLNDGLVSDKSVTIPQTPHLFWYGLNKYVTSVRNIACVVLEKIADGLNIEPKNVLSRMLKNEKSDSCFRINHYPPCTEIEAYLKGGRNFIGFGEHTDPQVISIIRSNNTTGLQISLKDGTWVSVPPDEHSFFIIVGDSLQVLTNGRFKSVKHRVLANSVKSRLSMIYFGGPPLNEKILPLSSLMEEGEESLYKEFTWQEYKKSTYMTKLSADRLGLYKKNIKVPYDKKLPILEKIIL >Solyc10g047270.2.1 pep chromosome:SL3.0:10:40252018:40275187:1 gene:Solyc10g047270.2 transcript:Solyc10g047270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potassium transporter [Source:UniProtKB/TrEMBL;Acc:K4CZZ0] MVEMGSEREKDLENNGGLTATDSIESRWVFQDVYDSDMDSGDHGTDDGSTPRNDLELDSDDDDDNAMRKLIRTGPRIDSFDALEVPGAQRNDFDDVSAGRKILLAFQTLGVVFGDVGTSPLYTFSVMFSKAPVNGNEDVLGALSLVLYTLILISLVKYVLIVLWANDDGEGGTFALYSLLCRHAKVNLLPNQLASDARVSGFRLKVPSPELERSLKIKERLEASLTLKKLLLMLVLAGTAMVIADGVVTPAMSVMSAVGGLRVGVSGVKQDQVVMISVAFLVILFSVQKYGTSKMGHFVGPALFIWFCSLGGIGVYNLIKYDSSVWRAFNPVHIYYYFKRNSTKVWYSLGGCILCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLMENHADTTQAFFSSVPSGVFWPIFLIANVAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVMNWFLLALSLVMVCSISSIYEIGNAYGIAELGVMMMTTILVTIVMLLIWQINIIIVLSFVVIFLGLELIFFSSVLWSVGDGSWIILVFAVVLFFIMYIWNYGSKLKYETEVKQKMSMDLLRELGPNLGTIRAPGIGLLYNELAKGIPAIFGHFLTTLPAVHSMIIFVCIKYIPVPVVPQNERFLFRRVCPRGYHIFRCIARYGYKDVRKENQQAFEQLLIESLEKFIRRDAQERSLESDGNDESDSEEEHAFSRVLIAPNGSVYSLGVPLLSDFKDTGKAVVEESISEELKAGPSLESLLTDAEQSFEKELSFLRKAKESGVVYLLGHGNIRAKKSSWFIKKLFINYFYAFLRKNCRREIANLSVPHSHLMQVGMTYMV >Solyc03g045090.3.1 pep chromosome:SL3.0:3:11518687:11523574:-1 gene:Solyc03g045090.3 transcript:Solyc03g045090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGEEDQQKLKNTAAAAYDYENDPRWADYWSNILIPPHMASRSDVVDHFKRKFYQRYIDPGLVVEPMATSSSSQPAKPSVAQPSSSSSTPSNNQPRQRNSGQASRTSGTPTTPTSNPTSLRWDRNTIQFSVNAWVFVVAVLSIFPLTPANLSNRAYRLSFLGTACSSLYSLYSVYGKPRAWNLQAVQVWFQSVIVTKDFISFIYCLTFVTSHLYLKFSLIPVLCRSLEHVGKFLRRNFGRSSLYRKYLEEACVWVESNVTTLNILSSQAEIGLGFLLVVSLLSWQRNIIQTFMYWQILKLMYHAPATAGYHQNVWAKIGGLVNPVVQRAPFLNTPISTIQRWWFR >Solyc02g064570.2.1 pep chromosome:SL3.0:2:36245592:36248298:-1 gene:Solyc02g064570.2 transcript:Solyc02g064570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYSSKIFSSSFSSSSSSFGGHDQHEETKEKPYLSSLHRVRSFPSRLINKKPIAPSPPIPPKIYRVEVVNFRQVVQMLTAAPEFQSHSNPTSIPAHSPEVAPPPPARDLSLTSLPSNNNINGGQWREFLPPSSSVVISNDEIPNESIIHAEEKYVGPQIAVPDGTIFGACGTTPLGVPQSPPPFVWCSSLLLSPDTLSTSMDTNTVL >Solyc02g061715.1.1 pep chromosome:SL3.0:2:33784688:33786564:-1 gene:Solyc02g061715.1 transcript:Solyc02g061715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQDQDEADETEYQNGAMEVARNVVDSTLERAIGPEELYAMSLDYIGFFDSEETLIAVVELEEDSEE >Solyc07g065800.3.1 pep chromosome:SL3.0:7:67548279:67552411:-1 gene:Solyc07g065800.3 transcript:Solyc07g065800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCIGEISGKSWRTVSEDIFLQKTTATDRDRRKSGQLLLDGAKRVIEDRIVPRSADADDDADTKSDDESEDDDDDDDEDDTEALLAELEQIKKEKAEEKLRKERQEQEEELKAKEAELLKGNPLINQPTSFSVKRRWDDDVVFKNQARGELKVAKRFINDTIRNDFHRKFLHKYMK >Solyc09g092140.3.1 pep chromosome:SL3.0:9:71761712:71769370:1 gene:Solyc09g092140.3 transcript:Solyc09g092140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKQQKKVQNQEELLKTLGDFTSKENWDSFFTIRGSDDAFEWYAEWSQLKEPLLSNLTIPSSNDAVSAKELQILVPGCGNSKLSEYLYDEGFCNITNVDFSKVVISDMLRRNIRARPVMKWRVMDMTNMQFAKESFGAILDKGGLDALMEPELGSKLGTQYLSEVKRLLKVGGRFICLTLAESHVLGLLFPKFRYGWKMGIHPIALKPSDRSSLQTFMVVAEKENSPALCQILSTVNQSSLGGPKNQVHGLFQALEDENKIRADYSSGSDITYALEDLKIGVEGNLAELHPSRRVQLSLGEPGVSLFCYRAVLLDAQRNFGPFAYQCAVFLVPKTRAHEWLFSSEEGQWAVVESSKAARLIMILLDSSHSDASMDDIQKDLSPLIMQLAPGDFDDEAQIPFMAASDGIKQRKIVQEITSPLTGPIIVDDVIYEKVDENISRLFASEDVIFRRLTFQRTESLVQSEAVLSKEGSPKSLADINQKIGQSSSKSKKKGNQKKSGSNVSSSDGLSKDLKVDHSYLASSYHTGIISGFTLISSHLDGLASTGGMVRSVVIGLGAGLLPMFLRKHLSFAEIEVLELDPVVVDLARDYFDFRDDERLKVHVTDGLKYVKDAAHAVTNGYENDVSEAKVPSSNGNSTLSNAPLKSTEKIDMLIVDVDSSDSSSGLSCPAADFIEESFLMAAKDSLSDQGLFVINLVSRSQAIKDSIYSKLKSVFPHLFHLQLDEDVNEVIFALKTETCITEDKFHKASQRLTRLLNLENSSWGQNITEATSKIKRLR >Solyc11g066950.2.1 pep chromosome:SL3.0:11:53033440:53034809:-1 gene:Solyc11g066950.2 transcript:Solyc11g066950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEFKHLVLVKFKEDVVVEDILKELEKLVQEMDIVKSFVWGKDVESHEMLRQGFTHAIIMTFNSKEDYQTFANHPNHVGFSATFATVIDKAVLLDFTAISGKAT >Solyc03g098600.3.1 pep chromosome:SL3.0:3:62333535:62341284:-1 gene:Solyc03g098600.3 transcript:Solyc03g098600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATDLLSSSQSFFSLKSLKLKTKQQELLLRISILGLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLYLTQKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAFIYWILRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKELWDTGAGLVAAALIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVKTGSLAWSLGSALGYFYMVSAWGGYVFIINLIPLYVLVLLVVGRYSMRLYVAYNCMYILGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKHLLNDQKLFQAFLRITLTCAISVGVIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLILFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLSLLVRAKTKPTQAGSGKGTTSTKASSKGALDQSLPYQKNGAIALLVGAFYLLTRYAIHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPQDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELTTEYGQPPGYDRARGVEIGNKDIKLEYLEEAFTTSNWIVRIYKVKPPKNRW >Solyc08g013920.3.1 pep chromosome:SL3.0:8:3392240:3396041:1 gene:Solyc08g013920.3 transcript:Solyc08g013920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLMNSNGYNQFVTNKPLDNNNNASSQSYSYPHLLPYGYGMPPPPPPPPPPSQPYGSPDGSSVYIQRAPIGITKHMEETTWSTNIFACGRDPKNCLTTCVCPCITSGQIAEIVSEGRTSCMEGVIINMLLCCLCCITPLYTFYYRVKLRRKFKLEGNECLDCLIHTLCCYCALCQEYRELHRQGFDPALGWAENIERQSHAVAVFTITPPPVQEAMKR >Solyc06g083400.2.1 pep chromosome:SL3.0:6:48850801:48852343:-1 gene:Solyc06g083400.2 transcript:Solyc06g083400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINDAGGAGFKSKTQYNSGLFTLRIKMSDKKTDGMITDQDARVNHDEIDFEFIGTQGKLQTNIFANDMGGREQVFQLPFDPSQDFHTYQILYTPQRIVKFYRFFVDNIPIRTFENNTNRGINYPTKSLWSEASLWISDAVGWAGSVEWGYAPFIVSFQDFNISGCPAGSDCLPSTDFSPWTRHKLASRSLNLMRNFRKKYMTYDYCSSEENKNRYPECENPQKA >Solyc08g061340.1.1.1 pep chromosome:SL3.0:8:48660440:48661111:1 gene:Solyc08g061340.1 transcript:Solyc08g061340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIPFHNLNNKNFFLKEDEGTVCVFHCLSGPAKKNPHIVWMAKLKSWAKSKIVLGIRYDLFRILTGEDGDGYNKELREILLKFPSLLVCKEGHTAQNENNLVWKALKKVETQKRVLLSQTPFQNKIKELYNTLCAVSPKIAADLEQKWASLSSSIDKNARALEELRDICSLSVHKYSENVKKVTLAGIRDTIIHLKPTELQKELLKRVPENLGSFYEQNVIL >Solyc12g005095.1.1 pep chromosome:SL3.0:12:85605:88687:-1 gene:Solyc12g005095.1 transcript:Solyc12g005095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFFVDVRQDLVYAYGWPSWLVRPIWKVKRAPKLAYPSFRRFSCAIAHHFLVDPDSDIKNAKFFCGHPSRPCLGIRLAITACPTHLEGQTSPEASIPLISMIFVKRALERAYPSFRRFSCIPMSKLPNFFMDVHQNLVYASGWPSRPVGPILKVKRAPDRAYPSFRRFSCTIANHFLGYPDSDIKNAKFFCGRPSRPCLSIRLALTARPTHFQGPTSPGGSIPLISLIFPDSDVKNNKFFCGLPSRPCLCIRLAITARQTSPKRAYLSYRRFSCAIANHFLGDPDSDAKNSKFSCGRPSRPGLCIRLALTACLTHFEDLVYASGWPSRPVRPILKVKRAPERAHPSFRRFSCAIAHHFLGYLDSDVKNAKFFCGRLSRPWLCIRLALTARLTHFEGPTSPGASIPLISTIFIPSLCIRFALTARMIHFEGPTSPGASTPLISTIFVCYS >Solyc09g008175.1.1.1 pep chromosome:SL3.0:9:1627019:1627405:-1 gene:Solyc09g008175.1 transcript:Solyc09g008175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSKIIGKLLKTQYLHQLILNSKKISNNNATTSSDQFSVEMPRGKTLLVPNDVKEGHFAVVSVNPEEEPQRFVVNLNWLNHPSFLKLLKKAEEEYGFSQEGVLEFPCRAAELEKVLAVECSNKVSRW >Solyc10g011730.3.1 pep chromosome:SL3.0:10:3968920:3969892:1 gene:Solyc10g011730.3 transcript:Solyc10g011730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSRIVVRLAIFVVALVTIIPFTQAQEFAPSPAPTSDGTTIDQGIAYVLMLLALVLTYLIHPMDASAYTFF >Solyc11g006660.2.1 pep chromosome:SL3.0:11:1266959:1270346:1 gene:Solyc11g006660.2 transcript:Solyc11g006660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKRVSTFFNKPHLCLSYCPSASFDRGNGTSMISLIIPPGDQISRITKMLAEEYGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIMTEDGKEKKVTFDLTPFKPINASLYLCDNKFHTGPLGELLESDEKFGFIVMDGNGTLFGTLSGNTREILHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFSQAIELSAEILSNVKFIQEKRLIGKFFEEISQDTGKYVFGVDDTIKALEMGAVETLVVWENLDINRYVLKNSVTNEIVIKHLNKDQEADQSNFKDSENSAELEVQDKMPLLEWFANEYKTFGCSLEFVTNRSQEGSQFCRGFGGIGGILRYQLDMRSFDEPSDEGEYFEDSD >Solyc01g110910.3.1 pep chromosome:SL3.0:1:97306481:97311824:-1 gene:Solyc01g110910.3 transcript:Solyc01g110910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEARKEKDRIKFLDRRSRRLSRPAGIKQQPVGTASYKSSSSNKK >Solyc01g021610.1.1 pep chromosome:SL3.0:1:31999649:32000533:-1 gene:Solyc01g021610.1 transcript:Solyc01g021610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSLSPENQTIPCGSTSESVQSNDEQRTSNSNPHYSAPLLLETELVHPLHLSQPWLESGLQNHAAIFSMDINWTETLIILQNQNFFAQTYHTRDESGNIESCQPHVECKYAEPDTPQPCFGFYMATNVSPTRTSYNNSFAPQGDVPKNIPPHTACPQESSEILRRWVSFTEILNLVNSALMFFSRKRGQKIYSQLSTVREEVFIQSRATIEQGLGETCSNYDPKYEDIHNLNTGEQGNPEPTDNLEPGESAN >Solyc01g090370.2.1 pep chromosome:SL3.0:1:83945855:83946554:-1 gene:Solyc01g090370.2 transcript:Solyc01g090370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHLFNDSDFSQILSEIQTPRQYSTTPDHQNAIAQDNIPILLQEIEENKESTTRLSEHRPKKYKGVRRRPWGKYAAEIRDPERKGCRLWLGTYETPEDAALAYDRTAFRLRGSKAVLNFPHLIETNVTEINRVRPRRRPRSPEFSSI >Solyc09g075950.1.1.1 pep chromosome:SL3.0:9:68007120:68008850:1 gene:Solyc09g075950.1 transcript:Solyc09g075950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQAYTVVSDSETTGEEKTSSSFPEIAIGIDIGTSQCSVAVWSGSQVELVRNTRNRKLMKSYVTFKDEVPAGGVSDELAHEYDMLSGAAIFNMKRLIGRVDTDPVVHASKSLPFLVETLNIGVRPFIAALVNNMWRSTTPEEVLAIFLVELRAMAEVKLKRPVRNVVLTVPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQSGHENMGSGSEKIALIFNMGAGYCDVAITATAGGVSQIKALAGSTVGGEDLLQNLMHHLLPNMDDLFSSHGIEEIKRMGLLRVATQNAIHLLSFDESVPIDVDLGNGTKLSKVLTRTELEEVNKEVFEKCEALIKRCLQAAKLEAEDVHDVIIVGGCSYVPKVRNIVMSICKRQELYSGMNPLEAAVRGAALEGAVASGISDPFGNLDLLTIQATPLSIGIQADGGDFVPVIHQNTTTPVRKEQIFTTVHDDQAEALILVYEGDEKAAEHNHLLGYFKIRGIPPAQKGVPEISVCMDIDASNVLRVFAGVIVHGTQRAPMPFMEVRMPTVDDGHGWSAQALHKMYGSTLDLVTVKKIQHRDC >Solyc12g062450.2.1 pep chromosome:SL3.0:12:32792079:32793073:-1 gene:Solyc12g062450.2 transcript:Solyc12g062450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIPHHLTSQPCPPVILRSYLVFIVCLSIALPQPYFHCLGSSHFAAVTTGITFSFFSFGYKDLSVRLVLSCLPMDSTAVRKLDQECHIGLQSRRTGSSKGPVKGIDPKLEYGTRIHYVPFKESLTQ >Solyc08g048320.1.1.1 pep chromosome:SL3.0:8:13835956:13836177:1 gene:Solyc08g048320.1 transcript:Solyc08g048320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELPPSCSTIAAVGARIDGGGDGGCWFMEFYGLWQNMIMKVPLVLFVFLLYTWHPKNRKSSRWFLMADHIE >Solyc08g062440.2.1 pep chromosome:SL3.0:8:51163546:51166146:1 gene:Solyc08g062440.2 transcript:Solyc08g062440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGNGKIIVFELNEERVKRLKDTVELARPINVEVKHEDFLNMSTEDLAYSKVQAIL >Solyc02g063440.3.1 pep chromosome:SL3.0:2:36084641:36085369:1 gene:Solyc02g063440.3 transcript:Solyc02g063440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPKYAHPYPAQGYYQGPPVMPPPPQYYYAAPPPRREPGFLEGCLAALCCCFLIDECCCDPSILFIC >Solyc05g025650.3.1 pep chromosome:SL3.0:5:35402483:35405500:-1 gene:Solyc05g025650.3 transcript:Solyc05g025650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPRFLDQCPMESGINGERVQKEYTEPWDYYTNYPVTLPVRRPYSGNPELLDEEEFGEASQSLTYDENSIKPAMDLGLMEENLEEKMFLVQLPTMPMLKQSIKTEGSEMANSSKTSKAKACSLNELPAGLMGKLLVYKSGAVKLKLGETLFNVSPGMDCSFAQDVVAVNTEEKYCSNIGELTKRIIITPDVDSLLDSF >Solyc09g010660.3.1 pep chromosome:SL3.0:9:3982996:3987932:1 gene:Solyc09g010660.3 transcript:Solyc09g010660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAHIRFIHHKKWSTPIVVFTIFVVILVLTLILTNPTSSFKPKSSTPHSKSLLYDEILPELPRLAYLISGTKNDGVKMKRLLQAVYHPRNYYLLHLDLESLDSERLELAKYVKSEFVFRDFGNVKVVGRSDLVTYKGPTMLASMLHSIAILLKLPKSWDWFINLSASDYPLMTQDDILHIFSYLPRDLNFLEHSSNIGYKEFSRVRPIIIDPGLYHLKKSGVFWAKEKRSVPASFKVFMGSEWVMLSRPFLEFCIWGWDNLPRTLLMYYTNFLYSLEGYFHTIVCNHKDYQNTTVNHDLHYIKWDNPPKQYPINLTLKHFEDMIQSGVPFARTFATDDPVLDKIDKEILRRSHGVFSPGAWCVGTSSLGKDPCIVYGSVDVVKPSTGSRSLEKLVLKLLDTENFRSRQCK >Solyc11g009100.2.1 pep chromosome:SL3.0:11:3211844:3218897:1 gene:Solyc11g009100.2 transcript:Solyc11g009100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPNDEIQKTMSKKKESDPLIMATKINISSNNDNNDGIYLCWKDLWVSVPNKKVGRRPILEGISGYAQPGEVLAIMGPSGCGKSTLLDALAGRLASNTRQSGDILVNGRKQALAFGTSAYVTQDDTLMTTLTVKETIYYSAQLQLPQSMSLETKKERAEETIKEMGLQEAMNTRIGGWSLKGLSGGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYHVMNRIIKIAQQDMRTIVASIHQPSSEVFELFGNLCLLSYGKTIYFGSTSKANEFFAVSGFPCPFMRNPSDHYLRTINKDFDDIEEGLGKNIINSGKAIDTLVMSYESSEACLNVRQRVLTISQKNGRFIENKGSQAGFITQCRVLTQRSFVNMYRDLGYYWLRLLIYLALCLCIGTIFHEIGSDYGSIQARSSMLVFVVGFLTFMAIGGFPSFVEEMKFFTRERLNGHYGVGAFVIGNTLSSTPFLLLISLVPGAVAYYLADVQKGIDKFAYFFLMLFVCMMLVESLMMIVASIVPDFLMGIITGAGIQGIMMLNGGFFRLPNELPMPIWKYPLYYLSFHKYAIQGFYKNEFETFTYFTNSGLAIRVTRDEILRDIFQVELNYSKWGNIVIVFGMVILYRLIFLCIIKGKEKFRPMVRGFKYCYVPHI >Solyc02g071560.3.1 pep chromosome:SL3.0:2:41533833:41537474:-1 gene:Solyc02g071560.3 transcript:Solyc02g071560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNHHIIVFFLISLFLIMNLGRFVSCTEEKKVYIVYLGEHNGDKTLKEIEDHHCSFLHSVKGTTTSKEDVRASLVHSYKNVINGFSAVLTPQEVDMISGMEGVVSVFHSDPYEIRPHTTRSWDFVSLLEGTSLLNSREKLLQNASYGKDIIVGVMDSGVWPESSSFSDEGMEPVPKSWKGICQEGVAFNASHCNRKLIGARYYLKGYEAAVGPLNETRDFRSPRDVDGHGTHTAGTVGGRRVANASAIGGFAKGTAIGGAPNVRLAIYKVCWPVPDQSLADGNACATDDILAAFDDAIADGVHVLSISLGSLPTSTYYTENPIAVGSLHAVKKNIVVSCSAGNDGPTPSTVGNVAPWVITVGASSIDRVFSSPIMLGNGMIVEVRIDSHSLLICYAPIGQTVTPIRRRRLHPLVYAGDVEIRGITASNTTGTCLPGTLSRNLVRGKVVLCGNTGIQASMEVKRAGGVAAILGNPFNEIKVTPYLDPTTVVFSYSLATILTYIRTEKNPMATLVPGNTLIGTKPAPVMASFTSKGPNVVDPNILKPDITAPGFNILAAWSEASSPLKMPEDRRVVKYNMQSGTSMSCPHVSGVIALLKSVHPDWSSAAIRSALMTTCNSVSLTKIEFLFVQNFSQTFVQFTLAAATMNNVVGQPIKNATGDDASPFEYGSGHFRPSRAVDPGLVYDATYTDYLLYLCTQNVSLDSSFSCPEKIPTASNLNYPSLAIANMRGGSIRSVTRVVTNVGKDNSTYVLGVSSPPGYVVDIVPKRLHFSKLGEKHSFNITITSVQRRNDFSFGWYTWSDGVHVVRSPIAVSSA >Solyc03g121530.3.1 pep chromosome:SL3.0:3:71095045:71100623:1 gene:Solyc03g121530.3 transcript:Solyc03g121530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILLPFFSISPIVKASVDTTQRLPYTPHRTSPKKPQTTDSLSAISTISLSPSTSRSNISVSDLLKREIPKSAEVKPDGTYMGYDVWLPSAPKVEKPRSVFNAASLAYVGDCIFELYARRHFLFPPLSIEEYNDRVMAVVRCEAQDAMLQKLMNDNILSEEERGVLRWGKNVGSGKTKTKKRAGVAVYNRASSLETLVGYLYLTNVQRLEQIMLKLGFQIGDSTLPIVERIDGTTR >Solyc03g116880.3.1 pep chromosome:SL3.0:3:67616404:67623128:1 gene:Solyc03g116880.3 transcript:Solyc03g116880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGPRVKLMNNADSEVRSVLGPAGNKARSVELRKPVEKPVKKAAESEESKGKKFEGTDSVPQSRARKCGGAVPSILRQQQDHRSLMMRPNLSLNASCSSDASTDSSHSRASTTGKMSRGSVTPTAGRRKQCSSPKVVKSEKIGKTVGEGESLASSPTPDDASVMKKRCAWVTPNTDPSYAAFHDEEWGVSVHDDKKLFELLSLCTALAELSWPAILSKRHMFREVFQNFDPVAVSKLNEKKIAPPGSPASTLLSEVKLRAVIENARQTCKIIDELGSFDKYIWGFVNNKPIVSQFRYARQVPMKTSKAEGISKDLVKRGFRGVGPTVVYSFMQVAGITNDHLISCFRFHDCVAATDGTDKDDGLAAKTEVKQLQLKDETEMGLIRAIDDFNLST >Solyc01g087850.2.1.1 pep chromosome:SL3.0:1:82594921:82598028:1 gene:Solyc01g087850.2 transcript:Solyc01g087850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Subtilisin-like protease [Source:UniProtKB/TrEMBL;Acc:O82777] MELLHLLLFSWALSAHLFLALAQRSTYIVHLDKSLMPNVFTDHHHWHSSTIDSIKASVPSSVDRFHSAPKLVYSYDNVLHGFSAVLSKDELAALKKLPGFISAYKDRTVEPHTTHTSDFLKLNPSSGLWPASGLGQDVIVAVLDSGIWPESASFQDDGMPEIPKRWKGICKPGTQFNASMCNRKLIGANYFNKGILANDPTVNITMNSARDTDGHGTHCASITAGNFAKGVSHFGYAPGTARGVAPRARLAVYKFSFNEGTFTSDLIAAMDQAVADGVDMISISYGYRFIPLYEDAISIASFGAMMKGVLVSASAGNRGPGIGSLNNGSPWILCVASGHTDRTFAGTLTLGNGLKIRGWSLFPARAFVRDSPVIYNKTLSDCSSEELLSQVENPENTIVICDDNGDFSDQMRIITRARLKAAIFISEDPGVFRSATFPNPGVVVNKKEGKQVINYVKNSVTPTATITFQETYLDTKPAPVVAASSARGPSRSYLGISKPDILAPGVLILAAYPPNVFATSIGTNILLSTDYILESGTSMAAPHAAGIAAMLKAAHPEWSPSAIRSAMMTTADPLDNTRKPIKDSDNNKAATPLDMGAGHVDPNRALDPGLVYDATPQDYVNLLCSLNFTEEQFKTIARSSASHNCSNPSADLNYPSFIALYSIEGNFTLLEQKFKRTVTNVGKGAATYKAKLKAPKNSTISVSPQILVFKNKNEKQSYTLTIRYIGDEGQSRNVGSITWVEQNGNHSVRSPIVTSPIIEVW >Solyc11g005490.1.1.1 pep chromosome:SL3.0:11:383455:384288:1 gene:Solyc11g005490.1 transcript:Solyc11g005490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRRTLFLILFITAVAAAAADSATNTTATVDIVSTSVHSIKPATKTARAKYSISPYELDSLLSVLRYSGYPLFSNAIDTSDIQFQILTGHTTLADASSMPAGSFTIFAPRDHFLYTLDMASDADAYVAALRSHVIPSRRLTITELRNLTPPYLDTLLPHYSILVEKSRGDDDFVTVDGIRVTDPNIFVGSRFVVHGLDGILLTGFNMYEDTLSQMGKGFFAPEKVEPFAHKSGRNSASAAKNGRFSRVTRKHRKLQYRRMWKSNYSVRRNGGEDDF >Solyc03g116000.1.1.1 pep chromosome:SL3.0:3:67027748:67029613:-1 gene:Solyc03g116000.1 transcript:Solyc03g116000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAISPHCSSVSAFRWLSSHAAVRVDNHRQCLTGPTNHTSHLHTEKTEPLISLIKSTSSKPHLLQIHAHLIRKSLFQDPIFFSPFLFGIALPPFHDLGYASQVFSKFRKPDVFQYNIMIRAYGMSDSPGNGFMLYQEMLRSGVSPNSLTSSFVTNCCIKIGSLFGGLQIHARILRDGHQSDGRLLTTLMDFYSSNEKYTEACKVFDEMSHRDTIAWNVLISVYMRNRRTRDALGLFDMMQSSYDCQSDDVTCLMLLQACANLNALAFGERVHRYCEEHGFDKAMNICNALITMYSRCGCLEKAFEVFKGMTEKDVVSWTAMISGLASNGYGRDAIEAFREMQRVGVSPDDQTFTGVLSACSHSGLLDEGRMFFNSMSKEFGISPNIHHYGCVVDLMGRAGMVDEAYNLINSMKVKPDATIWRTLLGACRIHHQAELGEQVIERLIELKAQEAGDYVLLLNIYSSLGDWGKVVNVRKMMKDRGIQTNPACSTIEFRGKIHEFVANDFSHPRKTEIYETLDEINQQLRIAGYVAETVAELHNVGTEDKQIALSYHSEKLAIAFAVLSTPPGTSIRVAKDLRICVDCHNFAKILSAVYSREVIIRDRNRFHHFREGRCSCNDYW >Solyc11g007860.1.1.1 pep chromosome:SL3.0:11:2083283:2083597:1 gene:Solyc11g007860.1 transcript:Solyc11g007860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDLQFPQDLFEMNSPNINSSQRCINSNINIIISNKDDCKTPKSSPFLIPKILKCPAAPKKPRRVISSCKRKLQFVEIVASKEVESFFKILDDDVVASSSKKI >Solyc01g111560.3.1 pep chromosome:SL3.0:1:97701924:97707257:1 gene:Solyc01g111560.3 transcript:Solyc01g111560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELRHYAPGVPIILVGTKLDLREDKQFFVDHPGAVPLSTAQGEELRKSIGAAAYIECSAKTQQNIKAVFDAAIKVVLQPPKQKKKKKRKGQKACSIL >Solyc08g028810.1.1 pep chromosome:SL3.0:8:39579250:39581458:-1 gene:Solyc08g028810.1 transcript:Solyc08g028810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLLSELDTTKDNWLVRVRVCRMWEFRNYRRDNEMISLDMVLIDEKGTLIHGVIWKDLVTRFRQNLSDSVITIKNFKVSKVSAEEDRTVKGRITLWENFAKGFYPYLFNPESGPYVVIVTATTLKEFRGELTFATTGASKTYVNLPMDNITSLIQKFATKIVHIQTIDNTNAGNIPLEEAMLSNRMTDKEKMEDPSQSYVQNVGPSHTNNNIEIVHVGEDTSSSNIKVFSIKLKKRRKFLIDTEDEGNIDQDNNKTPNLKA >Solyc04g081600.2.1 pep chromosome:SL3.0:4:65632209:65634688:1 gene:Solyc04g081600.2 transcript:Solyc04g081600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNPLLKLNSIAHFVVPVNGFLLGPLRLVMSSIARRVSLISIGVGTLVIELHDDNITQRTINLNYFSFLPHLL >Solyc01g049975.1.1 pep chromosome:SL3.0:1:47693031:47694981:1 gene:Solyc01g049975.1 transcript:Solyc01g049975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSRICLTSDMWTSAASNGCMFLHVSPPHSGAVLGPLLINLIKEWGIKMKIFTLTLDNASCNNGVIDHLQDHLSLMRSLVCDGKFFHIRCGNRILNLIVKAGLEKADDAIEKVREGVKHIKNSEGRILKFVECIMNHGLPCSKKLCEDVSSRWNSTYQMLDSALLYQQAYIQYKFLDIDFKYLELYLPNVWKIQMLLREAKNSSDRVKNDMTTLMILSISIVLDPRYKLKFVRFCFSKIDPMTADAKVEKVVDHLQLLFREYLVPSTISLLGENVCHTSNNEMRDDVEEFDVFANQLDSGRDKTQLICT >Solyc04g064900.2.1 pep chromosome:SL3.0:4:56054572:56056554:1 gene:Solyc04g064900.2 transcript:Solyc04g064900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDGNSNEKDLDLVGGFYDARNNIKSNIIKWGSLYLLKLFVSPNNSSTTTSAKIYSQVAGNNSNAENDLTCWKRPEDMNYPRLVSVCDISTASEFAGEVIAAISTASLVLKKDEDISEELVKTAEKLLILANRTAKKGKYTTNDECGGQARQFYNSTSYKDELVWGGLWLFLQQETKLTLKVASEEGVFDWNNKTTAISILLTRIMYFRDLGYPYESLFISSTNNIDLLMCSYTYDTKYSKTASDPSTDAALLQYAATSSFLTKLYSDYLQLLRTPSRRCSDFVFSSGSSKQFSQSQVITPLKMCYVVGCGNTYPVQVHHRAASIPWDGKQRDCSDGNQWLNSRRDNPIPSWEQWLQDQIRMTFFRTKDPWFTEPSIASNAGLVAALVALHDPPTGSTIGNLGIDKH >Solyc04g072465.1.1 pep chromosome:SL3.0:4:59570941:59571258:1 gene:Solyc04g072465.1 transcript:Solyc04g072465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLVRFFLENTIAVEKTIFDPTTPLPTHFPFDPEFVKKAQTARNLAKLHLEDEVPPDIELGPFPLLGKEFCIFPAVTHLMQQKQSHA >Solyc06g010010.2.1 pep chromosome:SL3.0:6:4702971:4705299:1 gene:Solyc06g010010.2 transcript:Solyc06g010010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLENQESVVIGSPLVQPNDSLFWFNQPQFVLTLLHYTLFINAFELAFFVWVTWQFGISSCYHEHVEIIVVRVVLALMVQVLCSYITLPLYALVTQMGSHFKSALLEEHITQAIKHWHTEVKRKKKNKLQELESPHYSVATTKITSPEIKLSDSTHEIQEISEESPKVNIVHPNESQIGVD >Solyc09g092260.3.1 pep chromosome:SL3.0:9:71874190:71882181:1 gene:Solyc09g092260.3 transcript:Solyc09g092260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLRTPIDRVLFSPSNPQFSRTQKVSFRVNSKLNNNVIEISESKSFYELLGIQETVSLLEIKQAYKQLARKYHPDVSPPGRVEENTQRFIRVQEAYETLSDPKSRDMYDKHMSKGLHFAFSPRRKCQNDESMENTGEWKNRWHSQLSELKRRNSHKDSGNNMSWGAHMRRQRSETSL >Solyc07g044910.1.1.1 pep chromosome:SL3.0:7:58096397:58096552:1 gene:Solyc07g044910.1 transcript:Solyc07g044910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVRGNTSRFHPYEIEARLVISFYLNRELENDVDDDDDDDDDGAKVAPAA >Solyc12g006440.1.1 pep chromosome:SL3.0:12:920543:921281:1 gene:Solyc12g006440.1 transcript:Solyc12g006440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIQQLGLPILGIIAAAAVTFYAVSFSEIREKSLRDYEDGEEFGSGGFKYVSSRERRARKKADKQKSKS >Solyc12g038820.1.1.1 pep chromosome:SL3.0:12:51976474:51977226:1 gene:Solyc12g038820.1 transcript:Solyc12g038820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIISNSSRRASTTLEKLRSLGFDPSLFIGAITSGELTHQYLQTRDDAWFASIGRSCIHMTWSDRGAISLEGLGLEVVEKVEEADFILAHGTEALGLSSGAAVPMNLDELEKILDQCAAKKIPMVVANPDFVTVEARSLRVMPGTLAATYEKLGGEVKWMGKPDKIIYKSAMEMASVVDASDCVAIGDSLHHDIKGANVAGIASAFITGGIHAAELGLGKFGEVVDDDNVHALALASNAYPTYVLPSFTW >Solyc05g053350.3.1 pep chromosome:SL3.0:5:64322689:64324060:1 gene:Solyc05g053350.3 transcript:Solyc05g053350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVSLLLLILHLLSSSCDEYFINDGNNLTKSDVDLIEFPLNIEYMEAEFFLWGSLGYGLDKFAPQLVDGGPKPIGVRIAKLSPLIRDVIAQFGFQEVGHVRAIKSTVRGFPRPLLNLSIESFATVMNDAFGHPLQPSFDPYANDINYLLASYVIPYVGLTGYVGANPKLHSPSAKRLVAGLLGVESGQDAVLRTLLYERGRENVEPYGITVTEFTNRISELRNKLGRQGIKDEGLRVKPKLGAEGSIRGNILAGGKYSLSYDRTPEEILRIVYGSGDESKPGGFYPNGAEGRIAKSYL >Solyc06g008740.3.1 pep chromosome:SL3.0:6:2661082:2665037:1 gene:Solyc06g008740.3 transcript:Solyc06g008740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGGSHSDRQSEWVPVGPETGLEESMRRLGLWRREPYPERSGTSNCSFYMRTGSCGYGAKCRYNHPPDRSSVGAVLLESSEYPERIGEPTCQYYLRTATCKFGASCKFHHPRNLGGSLSNISLNVYGYPLHPEERECSYYLKTGQCKFGITCKFHHPDHAEVSAPVTARPFYPTSPSLPVPPEEYNSTSTSSRVAGPQLLPGPYVPGTYGPVLLHPGVVTIQNWSTYSGPVSPALSPGAQPSAGMATIYGMPQLASSTAAFAAPYSPLHSAAGPSSSALRENRFPERPGQPVCQYYMKTGDCKFGSSCKFHHPADWIASKTDCTFSPLGLPLRPGVQSCSFYMQRGFCKFGGTCKFDHPMGTVRYSPSASSLPDIPNAP >Solyc10g005010.3.1 pep chromosome:SL3.0:10:11247:12410:-1 gene:Solyc10g005010.3 transcript:Solyc10g005010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEEMSISVNGQSQVPPGFRFHPTEEELLQYYLKKKVANEKIDLDVIQEVDLNKLEPWDIQDKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSNCRRIGMRKTLVFYKGRAPHGQKSDWIMHEYRFDDHIAEEEGWVICRIFKKKNHHVNVKSFDTHHHHSPYPPNNHIDEEGPLEQLLHYMTRCKEENHNMQLPNLDTHPNSCEGGLNNWIAVDRLVASHLNGQTINDNYEHHQYIVNTTNHEDYLWSFPTLSSTNNDSLCHVSNGIIS >Solyc01g112190.3.1 pep chromosome:SL3.0:1:98167670:98171235:1 gene:Solyc01g112190.3 transcript:Solyc01g112190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAAFTLLAKLHDDNNATDFTEFGFWLEATDQPSNSNSNYCTSNQMDSAPPTQSRRKLWIGPNNPNPTSSIPPVNTRLVQAIEYLKNSTTHNKEVLIQIWVPVNRGGKHVLITNNQPYFLNPNSHSLLQYRNVSQNYQFAADKDSNELVGLPGRVFLKKLPEWTPDVRFFKSEEYPRVNYAHQHNVRGSIAVPVFETGSGTCLGVVEIVTTIQKTHYHLELEHVCKALEAVNLRSSGISSNPSKIKDQDCNESYLAALAEIQYILTCVCDTHKLPLAQTWAPCIQQGKGGCLQSDENFASCVSTVDSSCYVRDQHVVPFHLACSEHHLLKGEGVAGGAFNTNQPCFATDITAFSKAEYPLSHHARMFGLCSAVAIRLRSIYTGSADFVLEFFLPLDCKNTEEQKIMLSSLSSVIQQSCRSLRVVTDQELQEEKEVVRLPIGEEESRKPVSSSYRDQDASSWLSEMLDAQRKGKGAAAVSENFKVTATPWDYTQRESIHASTFSEPNQTFEPKGGSFDFSSGTGSHSSGAKRAGERRRSKTEKSISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLQKLQLVIDSVHGAEGAIKLSSFYTNFPELNSPNNPGTSNFSASKNDDHLQQVNTQPDGSPVTTTSKSTSSSGSHNSSSSLFCSTGSKNCTTEENPGGMPKRAHTETGLHDMGQEETKLLVRSQSQKIQSNHNSVEPVCPLSTSSNQVLGRFKVKAIFGKEKIRFSLQSHWGFRDVKHEVMRRFNVEDVGKIDLKYLDDDDEWVLLTCDADLEECIDIHKFSKRRTIKVSLHHTNLGSSFGSSGPA >Solyc11g027883.1.1 pep chromosome:SL3.0:11:19232461:19232714:1 gene:Solyc11g027883.1 transcript:Solyc11g027883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEELEFVLIHFLKLYCPFFDLRANVRILPVTLVFGLILERDDVVGFWPRMKNLSCSGYVCTLS >Solyc06g036485.1.1 pep chromosome:SL3.0:6:26191121:26193446:1 gene:Solyc06g036485.1 transcript:Solyc06g036485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNIAALTGGFGSVYKGSLKDATLIAVKKLDKVSPHGEKEFITEVKTIGSMHHLNLVRLCGYCSEGIQRLLVYEYMKNGSLDKWIFHSFSTRNRLLDWASRFRIAVGTAQGIAYFHEQCRNRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSHVVTMIRGTRGCLAPEWVSNRPITVKVDVYSYGMLLLEIIGGQRNLDMTCDADDFFYPGWAYKEMISGTPVKVVDRRLGGAVDEKEVTRALMVAFWCIQDEVSNRPSMGEVVKMLEGSVDMNMPPMPQTVLELIEEGLDQVYKAMKRELNQYSSFSIATHPSSYATCSHSSMSPR >Solyc05g010765.1.1.1 pep chromosome:SL3.0:5:4971017:4972129:-1 gene:Solyc05g010765.1 transcript:Solyc05g010765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKQALNTPQSNQKRCRRSIHDFVDDIIFEILTWLPAKSLMRFKCVSKSLNSLIRYDVNFVNYHIARCSIYRPRLLFEVRIKIPYLIPHHTNIGKSTAHLEKFPLQLVGPLNYFHHRENFHICSNHCNGLVCLYNRKESQGYLYNITTGEIKALPFSLGYKSDWVFARLYLGYDSNIKRYKLLRDRIYYKKRPCLKIRTLGTNTSWRRICDENSPSKLYNCYCDCVFVNGVFYWTSRDLNTITYFNVTNEKFGSLSPPHKSRVSEIQTALCGKLIVYPPDQPKNCNLVYDEVNKVFTKFQYDSDAVEEKLAFLEAENVDKRTMDPKSILATSSLISNSTYLVFSYDFGSRHVSRFVENIIPLNFIIND >Solyc12g040685.1.1 pep chromosome:SL3.0:12:55782901:55785720:-1 gene:Solyc12g040685.1 transcript:Solyc12g040685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKERSSRQEIVASRNDNQALLAWFIHGIRDCEQCGWQLDCTNELEWIKMEIQRDLEEVESTSEYGALSCICSTLDFNAEERSVVTLNISILAFSVKLQIGSYNIEDARLMRFSLISSRQSGNVDVRGMISGFQFLQKLCAPILNPWFKRLAWKEFRETLLNACNKPSVICFLMPSSSENPLVLLVWTCSPPDHPKSTIFKQFIIALTVYFRLGVSFENLVLSVVLRILVQYIPNSIVLLL >Solyc01g010870.3.1 pep chromosome:SL3.0:1:6249808:6256909:-1 gene:Solyc01g010870.3 transcript:Solyc01g010870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKSMGDNRTRTSVSIFIVAGLCFFFYLLGSWQRSGVGKGDSIALAVTRSGENCNILPNLNFETRHGGQAGSIDDSGTEVKKFKPCRPRYTDHTPCQDQKRAMHLPRENMIYRERHCPPPEKKLNCLIPAPKGYVAPFPWPKSRDYVPYVNAPYKSLTVEKAIQNWIQYEGNVFRFPGGGTQFPQGADKYIDQLASVIPIQNGTVRTALDTGCGVASWGAYLWKRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVLGTVKMPYPSRAFDMAHCSRCLIPWGAADGILMMEVDRVLRPGGYWVLSGPPINWKTNYKAWQRPMEDLQEEQRKIEEIAKLLCWEKKSEKGETAIWQKRMDADSCRSTQEESEATLCKSTDPDDVWYNKMEACITPSKGNGDDEGLKPFPERLFAVPPRIANGLVSGVPVEAYLEDNRKWKKHVSAYKKINKLIDTGRYRNIMDMNSGLGGFAAALQSPKLWVMNVMPTIAKKNTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHAHGLFSLYKDKCNFEDILLEMDRILRPEGAVILRDDIDVLIKVKKIIGGIRWDSKLIDHEDGPLVPEKILVAVKQYWTVGDDNSTSTR >Solyc03g033420.3.1 pep chromosome:SL3.0:3:5007056:5011090:-1 gene:Solyc03g033420.3 transcript:Solyc03g033420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFVDHPGAVPITTAQGEELRKTIGAPSYVECSSKTQQNVKGVFDAAIKVVLQPPKTKKKKGKSQKACSIL >Solyc07g025280.1.1.1 pep chromosome:SL3.0:7:27035601:27036311:-1 gene:Solyc07g025280.1 transcript:Solyc07g025280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLKSGESSTSSSSILLFKPYENPRTFSTELQIHKAEDIPHLSDVQRRSLEIEKSINSVALDTPDKDVPSRSITILQKDFSNQQSDSPPEEHILLKVAPVRSEHSNWLKPQKLYYSRASHLDISLKEKSNVMYNKYNAKNIYERNIDTFSEYNILSILQQIKMVVSAYRTSHNFSDQLTIHVLLAGFTGQLKGWLDTHLTEEDKQDIFQSVSLDPLRNTILQDGHTISYSTYSDP >Solyc11g005030.2.1 pep chromosome:SL3.0:11:44740:49827:-1 gene:Solyc11g005030.2 transcript:Solyc11g005030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESTLELELPECPVCLQQYGDVSTIPRVLPCGHSACEDCLSQIQNPFPGTIRCPACTQLVKLPNPISSLPKNIDLLRFFTLTHHNSNDNSKGSHVSTQKYDKDPIFIKPPLWSHEFYSNWKTWVLPEDTIIIESNASVSYGKVLKVSTSVSSMGCVLKEGEKVSLLEIGYFAKGSCSCKFEYSYEVKLMSVLYGLSEGERTELESIIKASLALHVMCKVYGFWYNTDNHYVYMVSEAFSGSLLGKMGVLRNAVVEKNAEEKICNAAEFVIVGLDICQMVSDLHLRGLVLGFLGLSCFGFDKFGRVYVDISEVLATGRRVCKLLTEVVVGKSGTASEGLVVRLKNSMVEDCIFVSPEVFFELSKLGGIVIDLGSSRYHVGYGSDIWSLACAIISLLVGKSFAEEMQKYLSYLVSAVRDEKCLEFVRWYMEWRQKIITLIECSLGSEFANMKEILLKCLEYNPESRPLIFELWKILKVLVIKSELDDVKDLEQEIRMENMCNCLILEDLCQSINKVTKESPRCLDDTSVVENANTEEAEGVENFGANKDVVEGLSCGQVKCIDLKGHRNCITGLAIGGGFLFSSSFDKMVNVWSLQDYSHVHSFKGHEQRVMAVAFVDYGEPLCISGDNGGTICIWRASTPLSPEPLKKLQEQQDWRYSGIHALAFSASQYLYTGSGDKSIKAWSLQAISCCFLIDYSLSCTMNGHKSVVSSLAICDEVLYSGSWDGTVRLWCLSDHSPLAVLGEEAPGSVCSVFCLAVDENVLVAAYENGLTKIWFADVLVKSAQEHEGAVFSACKKEKWIFTGGWDKTIKVKELCGDGEQIDAFPLGSITCDSVVTALLHWHGKLFVGQADGVIKVYYFCSQMNH >Solyc09g055250.3.1 pep chromosome:SL3.0:9:38529781:38532974:-1 gene:Solyc09g055250.3 transcript:Solyc09g055250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4CT24] MSDPVKEIVPESSAKDQHDYSSSSSTDGDDFRQPTNDKSHLFGRQKPVHAALGGGKPADILLWRNKQISAGMLAAATVIWLLFEWIGYHLLTFICHSLILTLAILFFWSNISHFVNKNPMEFPEIILPEKLWTQVALLLRDRFNWAFALFWEVASGKDLKKFLYTILALWIVSIVGSWFDFLTIVYILFVMMLTVPCFYEKHEDQVDTYAQKAKKELKRQYSHLDEKVLQKLPKVPFVKDSKQQ >Solyc01g105240.3.1 pep chromosome:SL3.0:1:93370385:93375203:-1 gene:Solyc01g105240.3 transcript:Solyc01g105240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREQLLEYYANNKGPPEAEENLLTCLAELFSQISSQRKKTGVIAPRRFVQRVRKQNELFRGYMHQDAHEFLNFLLNELVDILEKESHGAKSSAATSPEKVSNGTCNGHANDVKEEPLVTWVHKNFQGILTNETRCLRCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYMEQLGRYKKLSYRVVFPLELKLSNTTENTDSEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENAEIIDESAVQTFFGSAQEYSSNTDHGYILFYESLAASKS >Solyc08g044440.1.1 pep chromosome:SL3.0:8:20502993:20504206:1 gene:Solyc08g044440.1 transcript:Solyc08g044440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPFLIHLLKGNVISDSLSFDLIVFLFAISLFVGSSSEADENRCSSASFLLGEQFMVRQAIPQLHDIDVFTRMVDRSLKCKYQLMSISH >Solyc09g055460.2.1 pep chromosome:SL3.0:9:41340586:41347259:-1 gene:Solyc09g055460.2 transcript:Solyc09g055460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSTHIETAATRRGICVLSTHQCRNSSTRGELWQFHARVNEENIQLNRKVLSELSMHEPYSFKALMDVSRSAFHGNKKSIVPSKKERLAIRPPQQEEGYAFSLDSTLQCQNSSTRGELWQFHARVDEGERTAEQESLVRTVDARTIELEDPRGCLSQRFPWE >Solyc02g082180.3.1 pep chromosome:SL3.0:2:46439268:46444917:1 gene:Solyc02g082180.3 transcript:Solyc02g082180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA helicase [Source:UniProtKB/TrEMBL;Acc:K4BA88] MDSYGGGGYFVDEKAVRVENIFLEFLKSFRVDANSREPFYESEIEAMRPNESNTMFIDFSHVMRFNDILQKAISDEFLRFESYLKNACKRFVMELKPTFITDDNPNKDINVAFYNLPLINRLRELTTSEIGKLVSVTGVVTRTSEVRPELLQGTFKCLDCGTVIKNVEQQFKYTEPIICMNATCQNKARWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVILRHDIVEQARAGDTVIFTGTVVVIPDILALASPGERAECRRDASQRKNGTGAQEGVKGLRALGVRDLSYRLAFIANSVQICDGRRDNDIRNRRRDVDEEESPQFMTEELEDIQRMRKVPDFFNKLVESVAPTVFGHSDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYTAGLVPRSVYTSGKSSSAAGLTATVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDVRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPTGGRYDKTKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYNIAHHIVRVHQRRQNPVDPPFSTAQVKRYIMYAKTLKPKLSAEARELLVDSYVALRQDDTAPGSRVAYRMTVRQLEALIRLSEAIARCHLDIQVQPRHVQIAKKLLKTSIISVESSEIDLSEFQNENPEDGVGDTQNGTGQRETEPTEAPAESVSGNAENGAGTTNKQGKKLVITDEYFQRVTRALILRLRQHEETVMQDGTGLAGMRQKDLIQWYVSQQNDKNSYSSMEEAAAEVTKVKAIIESLIRREGHLIVVDDGTQAGEESGRQSASRNDRILAVAPNYVVD >Solyc07g056560.3.1 pep chromosome:SL3.0:7:64472976:64476396:1 gene:Solyc07g056560.3 transcript:Solyc07g056560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGCYCSGVVILRNQLKTESFMDISFSLGF >Solyc08g076257.1.1 pep chromosome:SL3.0:8:60376493:60379406:1 gene:Solyc08g076257.1 transcript:Solyc08g076257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKRINQMRRRATDLFFGGTETSSVIIQWVLSELMKNPNVMAKAQAEVRRVCKGKKDLNDNDVKELKYLKEETKIDGYTIPLNDQVVVNGWAIIARDPESWDDPETFVPERFEKNSVDFNGNHFQYIPFGAGRKMCPRMHFGLANVVYPLKQLLYHFDWKLPYGQQPEDLDMTNIRKWKEMNQRLLPPGPWKLPIIGSLHHFIGALPHHVLRNLSGKYGPIMHLKLGEIDAVVVSSPHLAKQVLKVHDLSFAARTKLVASDIIFYRQKGVAFAEYGDYWKQMRKICTSELLSAKMVKSFNLIRQDEVHNLVASIHSTPNVVVNMSEMVLQLTSSVICRSAIGKVWDDRDNLLTSMREVLDENIINDHKQNKANGEKGNNEFEGEDLIDVLLRVMENDGLQFHMTNDNIKAVIL >Solyc02g082000.3.1 pep chromosome:SL3.0:2:46299384:46302128:-1 gene:Solyc02g082000.3 transcript:Solyc02g082000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVEADVATGQPRKRTFKKFSYRGVDLDSLLDMSTDELVKLYPARPRRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEVVRTHLRNMIIVPEMIGSVIGIYNGKTFNQIEVKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Solyc04g080430.3.1 pep chromosome:SL3.0:4:64686331:64695821:1 gene:Solyc04g080430.3 transcript:Solyc04g080430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGANCCDSISILIASSSSSVITSSNTYKLRLHAAFDSNLKSPKWLFKSKMRIPKRSVSLCLSVFSPSKQLVSSFTRSFHFHLGIFSSDHLLNRGKSVCRKGRDQLHTSCTMDGIGVDTRAALFEKGNGAIGGQRSCIWSSPEGGCDIVIGKQIFCNRSLNMKSIVAVGFDMDYTLAQYKPETFESLAYEGTVRKLVYDLGYPAELLEWSFDWSYMVRGLVLDKKRGNILKMDRHKYVKVAYHGFRELSKEDKVATYGNTLLRDSFDEPDYALIDTLFSLAEAYLFAQLVDFKDKNPGKVPEDADYSRMYKDVRAAVDLCHRDGTLKQMVAKDPKRYINEDTSIVPMLKMLRESGRATFLVTNSLWDYTNIVMNFLCGLKPSDGCSSRFDWLQYFDVVITGSAKPGFFHDEIRANLFEVEPESGMLINTDNGTPMAQVGSTSLSLPVKSLKEGCRIFQGGNVGHLHKLLSIESSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEREVELLWQLKDTRKQLQLLRIQRDHIEDEIHHLKWSLKSEETDDASKEMFSELHKLQSKGEEVRLSHQQAQRECHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVTNLSLYSPDKYYRPSEDFMPHEFGILSI >Solyc06g059765.1.1 pep chromosome:SL3.0:6:37772772:37774929:1 gene:Solyc06g059765.1 transcript:Solyc06g059765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEAEMALKLAPSYTQFFDENFPTTAYCKFSFFLITSTSSSSHIIIASLCKWVNYFIGIDVVVIHSVSRI >Solyc07g053710.3.1 pep chromosome:SL3.0:7:62263041:62266363:-1 gene:Solyc07g053710.3 transcript:Solyc07g053710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSKWRWNFEGKEEAKTASACTIRSYLNTLNKNINKNDNTKSVIPLSHGDPSGFPSFRTSKVSEDALVDALQSAKYNGYCGNPDIFHASRSIAEYLSRDYPYKLSPNDVLVTAGAKHGIDVLITALAVPGANILLPRPGYPAYEALATFNRLEMRHYDLLPEQDWEVDIDGLEALADDKTVAMVVINPGNPCGNVYEREHLKKIAEAASKLGMLVISDEAYGHLVFSSNPFVPMGVYGEIAPILTIGSISKRWMVPGWRFGWIVMCDPNGILQKHGVAESIKNYLNIGVGPPTFTLGAIPRFLVETPEDFYSNIINLLRKAADICYAGLREIPCFTPYKSQGSMFLMAKLNMSLLEGIDNDMEFCTRLAREESAIVLPGEALGLKNWVRVTFAVEISALEDGLSRIKAFCFRNAKQQ >Solyc01g015000.3.1 pep chromosome:SL3.0:1:16519351:16523149:1 gene:Solyc01g015000.3 transcript:Solyc01g015000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIQSSSLRPSPVDPFLKTIPQFTNVKPLRPKRTPFISASSTTVSAPTREKDPEKRVVITGMGLLSVFWNDVDAYYDKLLAGESGITLIHRFCDCTGHMKALLKIGIRGVTVSDVRGFGAQGGLIERQAGSEFSEDTFVTKVKMEIVVSKDQVEGVLAKIIEEARTGEIGYGKIFLTPISDVIRVRTGERGEKAERMMGGHADMSSALSTS >Solyc03g121880.3.1 pep chromosome:SL3.0:3:71396129:71401817:1 gene:Solyc03g121880.3 transcript:Solyc03g121880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDVGRVPLLTLGESSGKCSLPQTVLGAENGLIVSDSIIQGSDEDEILSVGEDPCGINGEELLPLGASLQLSLPIAVEIEGIDNGQIVAKVISLEERSLDRKVSNTIVALPDDEITSGPTLKASVVALPLPSEKEPVKESVKSVFELECVPLWGSVSICGKRPEMEDALVVVPNFMKIPIKMFIGDRVIDGLSQSLSHLTSHFYGVYDGHGGSQVADYCRKRVHLALVEELKLPKHDLVDGSVRDTRQVQWEKVFTNCFLKVDDEVGGKVIDLCDDNINASSCTSEPIAPETVGSTAVVAVICSSHIIVANCGDSRAVLYRGKEAVALSIDHKPSREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFVPRAREDECLVLASDGLWDVMTNEEACEMARRRILLWHKKNGTNPLPERGQGVDLAAQAAAEYLSSMALQKGSKDNISVIVVDLKAHRKFKSKS >Solyc12g100130.1.1.1 pep chromosome:SL3.0:12:67955811:67957139:1 gene:Solyc12g100130.1 transcript:Solyc12g100130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRFPRRYLIVFLMFICTSVCYIERVGFSIAYTTAADAAGVNQSSKGVILSTFYYGYACSQVPGGWAAQKIGGRRVLLLSFLLWSLTCAFVPLDPNRTIVLVIARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSFTTSGMYLGAAMGMLMLPSLVKYRGPQSVFLAEAALGAMWSLLWFSYAADPPRSEHPKATASGFGETLLPLRGSSKLKAENGVHPTKTPTIPWKRIVTSLPVWAIVVNNFTFHYALYVLMNWLPTYFELGLQISLQEMGSSKMMPYLNMFIFSNIGGVIADHFVTRRILSITKTRKLLNTVGFIVASLALMALPYFRTPGGALFCSSVALGFLALGRAGFAVNHMDIAPRYAGIIMGVSNTAGTLAGIVGVDLTGRLLEAAKEAQLDLTSPESWTSVFFIPGLLCIFSSFVFLVLSTGERIFD >Solyc03g121960.3.1 pep chromosome:SL3.0:3:71440057:71446985:-1 gene:Solyc03g121960.3 transcript:Solyc03g121960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLRRRKAPENEIQPDVEPKLDAEEDDKKSRKKNVKSGKKKKWSCIDNCCWFVGCICCVWWILLFLYNAMPASFPQYVTEAITGPLPDPPGIKLQKEGLKAKHPVVFIPGIVTCGLELWEGHQCAEGLFRKRLWGGTFGEVYKRPLCWVNHMTLDNETGMDPPGIRVRPVSGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMAAYDWRLAFQNTEVRDQTLSRIKSNIELMVATSGKKAVIVPHSMGVVYFLHFMKWVEAPAPVGGGGGPDWCAKHIKAVMNIGGPLLGVPKSIAGLFSAEARDIAVARALAPGVLDTDMFHLQTLEHIMKMSRTWDATMSMIPRGGDTIWGGLEWSPEEGYSPCRSKSRDDAAQNSGHHENQTTDSKAKYYSYGRMMSFGKDAAEAHPSDLKRIDFRDAVKGSNVANNTCDVWNEYQDMGVSGTKAVEEYKVYTAGEIVDLLNFVAPKMMARGNAHFSYGIADDLDDPKYSHYKYWSNPLETKLPNAPDMEIYSLYGVGIETERAYVYKRIPTAGCNIPFQIDTSADDNDEGSCLKSGVYTVDGDETVPALSAGFMCAKGWRGKTRFNPSGIKTYIREYFHAPPANLLEGRGTQSGAHVDIMGNFALIEDVMRVAAGGTSKNLGGDQVYSDIFKWSEKINLRL >Solyc05g013495.1.1 pep chromosome:SL3.0:5:6723047:6725561:1 gene:Solyc05g013495.1 transcript:Solyc05g013495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLCGNKSGRGQNTDRESEKKKKLKETDSFSLSLQLKDITVRIVHPGGKVDMYDCAISAAQLLKNYPGRHVALPDFFNRPHESLLTADDILLPGQKYLVIPSSSVEKLKQRHTHKAKYPTEIEEPKYDREVVVNVNGDCSEDSITFAKHFYASKEKWSGWLWKKMQQEKKPFVPPIPTLKSWKDSRWEPNLEIQLELRPTEAIVAPAGIVTAQEVAISLTVSALTLLNPRAKPTPMTAPTKVCVPEIGKPSLDAHKTTDAAANSAANPLVGVNSVIFFPIVAITLYPHIVSPATIPKPPHARTSFGITISAALSPP >Solyc08g062040.1.1 pep chromosome:SL3.0:8:50414277:50417447:1 gene:Solyc08g062040.1 transcript:Solyc08g062040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYIFSLICQFSSLTKPEIPATPPFCHDSFSHSILVLEELKGGTVWDLVPISSKAAINPHQCGGFEFTWNNIAGDIKIERM >Solyc03g123730.3.1 pep chromosome:SL3.0:3:71971910:71978406:1 gene:Solyc03g123730.3 transcript:Solyc03g123730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAADVEDEIKDEKNPRPLDEDDIALLKTYGLGPYSTSIKKCEKEIKEMAKKINDLCGIKESDTGLAVPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Solyc04g074545.1.1 pep chromosome:SL3.0:4:60615996:60616917:1 gene:Solyc04g074545.1 transcript:Solyc04g074545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVDTSNVASLSELVFPAAGRLACSGCVKRYTLLGNVESSTARMLDLQKGQQGEVKHSREES >Solyc04g007100.3.1 pep chromosome:SL3.0:4:808661:822412:-1 gene:Solyc04g007100.3 transcript:Solyc04g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLLYPMILDELKNDDIQLRLNSVRRLSSIACQLGEDRTRRELIPFLCRNTDDEDEVLLAMSEELGGFIPYVGGVEHAHVLLPLLGTLCTVEEICVRDKAVESLCRIGSQMRESDLIDWFVSLVKRLAAGEWFTARVSACGLFHIAYPSSPEMLKAELRSIYGQLSQDDMPMVRRSAAANLGKFAATIEPAFLKTDIMTMFEDLTQDDEDSVRLLAVEGCAALGKLLDPQDRVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPETSRIDLKAPFRGLKPFRGLKNGGWGGLGVRLRLRPIAILLLPNVGPPFILSGVQNPAPGVGVKGDVVNVNLVEDIVLLGVYAFVSLPMRLKDLVPSYVRLLRDNEAEVRIAAAGKATKFSQILSPELSLQHILPSVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQFLGHRYQDAVLSLLLRRVEEDQTGSGSIDRILFSGYSFHESVEISGGKWASWVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYTPMLASQLGVGFFDDKLGTLCMQWLQDEVYSIRDAAANNLKRLAEELGPEWAMQHIIPQVLGVINNSHYLYRMAILRAISLLAPVMGSEITCSKLLPVVITVAKDRVPNVKFNVAKVLQSLIPVVDQSVRTLIYLFFLDWLLGLAIELTYVVVAEKMIRSSLVELAEDPDVDVRFYASQALQSIDGVMMSS >Solyc03g034160.3.1 pep chromosome:SL3.0:3:5881470:5893085:-1 gene:Solyc03g034160.3 transcript:Solyc03g034160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLDLMSAMMIIRYKNGLLEDTQVPDLGSDGPSLIGSRRSSDDSNNADRVPDLESGNPSIGSRRSSD >Solyc11g020960.2.1 pep chromosome:SL3.0:11:13017344:13018840:1 gene:Solyc11g020960.2 transcript:Solyc11g020960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:Q7M222] MAFNKVALLILFAIFAGTVLLLSEVDAANACPRNCNPSIQYGRCPKSGNKKFKVGCTNCCSGTEGCNYYSANGTFICEGQTKKTIDETNKACPRNCNPRIAYSICPGNKRSEGRICTNCCAGSKGCNYYTVDGTFVCEGESKVDSS >Solyc01g104680.3.1 pep chromosome:SL3.0:1:92993371:92993924:-1 gene:Solyc01g104680.3 transcript:Solyc01g104680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLLPCIIDWLVDVFVWGHELDIEKLVWKLKTIVGKTGNDSSENNDDEKVHNLVKLSFY >Solyc03g019930.3.1 pep chromosome:SL3.0:3:62747574:62752770:1 gene:Solyc03g019930.3 transcript:Solyc03g019930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSDDASNDVNLGDSFCTLVSALRSWSGFDVEEAELVNFDTTALVAIVSGISNGSIDRILATPESELRSRFKVNYEFMIGQVNSEMKKPIHMELMPSILQKRGIVCESVCAEFQELVSMCGGPNEKSRAEHFLNHLRVVPDCPSERLMSLPTTRKLALKNKVAFGTGDYWHAPTITANMAFARAVSQTGMSLVTIEHRPRALVGD >Solyc08g078640.2.1 pep chromosome:SL3.0:8:62520203:62535499:-1 gene:Solyc08g078640.2 transcript:Solyc08g078640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLKLLISILIFVLTAMVAGVPATPASKGVHRNAYATMMYMGTPRDYEFYVATRVMLRSLSRLGVDADLVVIASLDVPLHWVRTLEQEDGAKVVRVENLNNPYKGQSNFNLRFKLTMNKLYAWSLTDYERVIMLDADNLFLQKTDELFQCGQFCAVFINPCMFHTGLFVLQPSTIVFKDMLHQLKVGMDNADGADQGFIGAYFPDLLDQPMFHPSLNGTKLQGNYRLPLGYQMDASYYYLRLHWSVPCGPNSVITFPGAPWLKPWYWWSWPVLPLGIEWHEQRLQTLGYDAERPLVLIQSVLYFGIIVMTRVARPNLSKLCYRRENTKSIFLIRSGQKLIALWSIVAAYIIPFFLIPHTVHPLIGWGLYLLGSFALSCIAMNTFLLPMLPVLVPWLGIFGVLLVMAYPWYSDGIVRALAVFAYAFCASPVAWTALVKIMSCLNVSLEREGFLPRLSESAAPADSVTSTTPSHSNSVKNRPRKWVGPSGTRHISVNVHGFGDYQSVQAAVDSIPQNNNMNIIIDICPGYYIEKVVVPETKPYITFQGAGRDVTVIEWHDRASDRGANGQQLRTYQTASVTVFASYFSARNISFKNTAPAPLPGMQGWQAVAFRISGDKAYFSGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKNCELHSIASRFGSIAAQDRKSPDEKTGFAFLGCRVTGSGPLYVGRAMGQYSRIVYSYTYFDDVVAHDGWDEMDHVTNKNKTAFFGVYKCSGPGAAAVKGVSRAHELDYDTAHKFLAKSFVNGRHWIDPSDS >Solyc01g021690.1.1.1 pep chromosome:SL3.0:1:32496591:32496989:1 gene:Solyc01g021690.1 transcript:Solyc01g021690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVNENCTNTCDHLLKVENIGYTAESSKNNGISKKNKNFESLDGMNDLWDPLKKLVTKGDTLDNSKESAPKFISSNPLITHDDYENEDDNDEEEEDEEYVPSSKVKEHKVTKQNAVQATKASSSSNSNNTN >Solyc03g121840.3.1 pep chromosome:SL3.0:3:71359553:71366565:-1 gene:Solyc03g121840.3 transcript:Solyc03g121840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKVKWTSEEEDALKAGVTKHGSGRWKNIIRDPEFAPILINRSNIDLKDKWRNMCIYTAGQGSKDKSIVVRTRPMEIDAFVPSPIQSLPPITSAFENEAIEDSPDVQPEGVNAPKYNDMIFEALSSMGDSNGSDLGAIVGFIEQRHEVQPNFRRTLSSKLRRLVAQGKLEKVQKCYKMKDATSETNISTPQQNDVRSWPVPNSVPRQSDVLSWPVPSSAPKQNDVLSWPVLNSAPKQNDVRSRPVPNMASKQNDVRSWQVPTSGVKVSSGTAEEAARIAADIVADAENKRCLEVAAVRDAEMKLRMVDDAESMLQIIKEIYEHCLQGEIILLA >Solyc12g088950.2.1 pep chromosome:SL3.0:12:65113836:65115991:-1 gene:Solyc12g088950.2 transcript:Solyc12g088950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYRCFLSLLLISAVLFSSSCALSDHEASLLARRQLSTLPENGNLPDNYELEVNVEYTFPNSTLRRAYIALKSWKEAIYSDPSEFTSNWKGPDVCNYKGVFCSPALDDPNVTVVAGIDMNHADIAGYFPVELGLLTDVALFHLNSNRFCGIVPESFSKLTLMHEFDVSNNRLVGPFPKVVLNMSSLKYLDLRKIFDALFLNNNRFVSTIPETLGNSSASVIVFANNKFHGCIPSSIGKMSNLDEIVFMNNDIGGCLPVEVGLLKNGKVFNVAGNLLSGILPKTLDGLSHVEELDISHNTLTGFVPENLCSSLSLKKFVFSFNYFNGEAKGCEARSRKDMSLDDTNNCLPGRAKQKSQKECQVEDLQVRNEVPPKPAPVPKPRPNPKSPPTPKKVSPPPTQAVSSPPLVHSPPPPVHSPPPPLAHSPPVSSPPPLVHSPPPPPPHSIQSPPPPLAHSPPPPVHSPPPPPVHSSLPPQVHSPPPPVHSPPPPPVHSPPPPQVHSPPPLVHSPPPPPVHSPPPPQVHSPPPPVHSPPPPPVHSSPPPQVHSPPPPVHSPPPPPVHSPPPPQVHSPPPPVHSPPPPPVHSPPPPQVHSPPPPVHSPPPPPVHSPPPPQVHSPPPPVHSPPPPPVHSPPPVSSPPPPIVHSPPPLAHSPPPPVHSPPPTFEHVVLPPNIGSIYASPPPPIFQGY >Solyc08g016370.1.1.1 pep chromosome:SL3.0:8:7354849:7355211:-1 gene:Solyc08g016370.1 transcript:Solyc08g016370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSGLYHGSKDAVSNVVNGALALLSRENVFGICKPIVFEDLPSLVNYTLLEMVCMLKEVEPGLPVVKALWWLLILDLNPIHACTMEVYHLVASLGDNSYGLNLPQSKTKNFDNTQLNSDK >Solyc07g005540.1.1.1 pep chromosome:SL3.0:7:436095:438662:1 gene:Solyc07g005540.1 transcript:Solyc07g005540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGILGSFLLLTVFFRPLLCQQPNTDGFFVSDFLQKMGVTKVHNFSAHFCSWQGVGCDSKDENVVNLTAKSFGLSGVIPDNTIGKLTKLKYLDLSNNKLTGLPSDIWSLGSLKYLNLSHNHISGDLSSNVGNFGVLEIMDFSVNNFSGKIPDAISSLSSLHSLNLSKNLFDSEIPSGISGCHSLESLDLSENRLTGFPNDFGSTFHKLKFLNLAENEILGKDSDFSRMDSITHINISGNLFKGSVVGLFEGPLEVIDLSRNQFDGHISQVNFSSSFDWSHLVYLDLSENHLSGEIIKELKNARNLLYLNLADNRFSHQEFPQTDMLSSLEYLNLSGTSLIGQIPQELSSLSRLKILDISKNHLSKRIPPLSNRNLLVLDVSYNNLTGDIPLPLVEILPRMERFNFSYNNLTLCATEFSSKTLLSAFMGSSNGCPIAANPALFHKKAPKHRGLKLALALTFSMVFLLLGLLFLAFGCRRKTTIWAVKQNSYKEEQTISGPFSFQTDSTTWVADVKQANSVPVVIFEKPLLNFTFADLLSATSNFDRGTLLAEGRFGPVYRGFLPGGIHVAVKVLVHGSTMTDHEAARELEYLGRIKHPNLVPLTGYCLAGEQRIAIYDYMENGNLQNLLHDLPLGVRTTTEDWSTDTWEEEDDINSIQNVGSEGLLTTWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDMNLEPRLSDFGLAKIFGTGPEDEITRGSPGYIPPEFLHPESSSPKYPTPKSDVYGFGVILFELITGKKPVEDDYPQHNDGNLVGWVRGLVRNNEGSIVIDQKIRGTASQTQILEALKIGYLCTAEVPAKRPSMHQVVGLLKDIEPSQQ >Solyc03g058420.2.1 pep chromosome:SL3.0:3:26694726:26696397:1 gene:Solyc03g058420.2 transcript:Solyc03g058420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALFNEYTNPISNDKSGQFSSTGMDTSISSSENLEIFLRNYKNINLKREVQKLKLKNHIFDVFLWWKVNSPRFPFLSEMARDVLAIPVSSVASECASSTAGSSLTPRLVIAPVCLQIWIHSEPQPINSIMPRLHGSNARSLFWNHYEKLEENEDGSWTLKCIHCGCAYYHSHNIGTASLQRHVNDCSPQDLRTKAAVKTQSFPNMDASSSSSRLLRRS >Solyc03g095313.1.1 pep chromosome:SL3.0:3:57804837:57806094:1 gene:Solyc03g095313.1 transcript:Solyc03g095313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALLVRKLGDPTLPPNASENSSLDVSTSHPIPNLESPTSVRVRIKVSSLNFANYLQPLALIVTKFKIGDLVCSFVALGSFAQIIVADKSDLFQVLDGCDLVAAGALPVAYGTSHMALVLLVLGEAGGVGLSAVQIGKVCGATVIAVARGNEKVQFLKSLGSDHAVDLSSANVIESVKGFLKSRKLKGVDVLYDPVGGNLQKIA >Solyc06g076200.1.1 pep chromosome:SL3.0:6:47478326:47482228:1 gene:Solyc06g076200.1 transcript:Solyc06g076200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIESEREEGARSKFVLKMSHRRSGESGSTNSEFEELQYNTYKDLRDEKVKISRTGKYFKCPYCQDSSREYDSQELLRHSSHIGIDSRSASFRDKARHLGLFKYIDRYIHVDKNTSESSQRRCFELLGEGSQYAKSNPVEPSQVTEKSEGGGNFYPPAATTIEAVDRPVDGAEEPLRREKQDAENRVVLEPPPKSTKDGLQPQPLLASSKPGISKTKDDLIVFPWMGIVANIPVEYKEGQRVGKSGTNLKKLWIEKGFNPLKVHPLWNYKGHTGYAIVEFKGDWSGFMNAIAFEKAFELDGHGKRDWNSVRRPDSNLYAWIARCEDYNEENKRKDSRLLWTLTNELEMKNKECEEMEKKITRAEVFMDNVMSQKEEMVKNYNEEMEMMRHKAFNQLDDFIREHEKSKMQLEAQKQQLMQQELELRKRETLNESEKRKIQLQKEMNERAILEQRNADEKMLQLAEDHKRVKEQLHKRIIELEANLDQKQALQLQIERLRGSIEVMRHMNEEGDVEAKKKLQSVQEEIKESEEELDSLETLNQALIIKERLTNDEVQEACKELIHGLRESRAFIGVKRMGELDGKPFHAAAKRKFNPKEAAEKAVEICSLWEDYLRDPNWHPYKIIQKGHTAEEIIDDDDEKLKELKAEYGDEVYQSVVTALNELNEHNPSGRYPVPALWHYKEKELCH >Solyc08g013780.2.1 pep chromosome:SL3.0:8:3234579:3237001:-1 gene:Solyc08g013780.2 transcript:Solyc08g013780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILRRVGTIEILESVQRVCSTWWKVCHDPAMWRVIDLKHESHFSRMPLVLYMLLDRMYRIAVDRSQGKLLIISIQNFGSREFLNYIAKRYSIAKDRLAVAAKNLPLLEELHIHLTFISEEDIESVGRYCPMFKSFTLNASEYDTFGNLISADDGQALAIAKSMPELRHLVLIANTLTNVGLEAILDGCPHLVSLDLRCSYNIDLGGDLGKRCREQIVDLKQPCASCQDYEFYSEFSHYCFSNDNFLRFRDYIS >Solyc01g008760.3.1 pep chromosome:SL3.0:1:2724193:2728511:1 gene:Solyc01g008760.3 transcript:Solyc01g008760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNELSYKPPPEFEEVKKDSLVNLNIAVSTELWLIQWPFNQHPGLDGQEVSLKLHHDGHMGSFEDSSGKYSKSYEVVSCRAQDPDAVVFLSSQSEPKIAGKISRRVSFIHYPEPSELKQNSINLKQMMAQRSSGTTTTLTNSSRRFATPSQSTRTRSIMQSGSSSKSTIRKHGDGQSVQDSGKSGRSVLTSSGSFDHSRDQKSNKKRKTDG >Solyc03g070420.1.1.1 pep chromosome:SL3.0:3:18373674:18373970:-1 gene:Solyc03g070420.1 transcript:Solyc03g070420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWTTASALVTASFATTTTSALVTAPFATTTASSVAILMEHSMAGAEEIGDEEKQLKSFSFDLKDYTIIKEERLRFSCMLQIKSLQQKTFFFAFHSTF >Solyc08g023270.3.1 pep chromosome:SL3.0:8:29130253:29131471:-1 gene:Solyc08g023270.3 transcript:Solyc08g023270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLRVNSSPDLMSNSTFEQCYDDSALEGVAANIKLLLKLIQEHKNACNKEKNDGRRMLRVATMMTILDSVRTRIQKCQSFGNKSPSEAESTSHVPIDKKHHEVMIDEKEKLRKQLNASLVARKSLEVMCSSLGKEKEIMAAELSKKVHELNEMEDLINDLKEQNENLVERLHERATKQKERRHSSDGGELQGYIALQERNKALSENLLKSLDGYKSIKRKWKDAQAENMAMHATMEEMTAKIGAGLARIHSFKERIASESVPSTNIQEEIVELEHMFECFKMQVAEHGPKEGECVKPKVDISACKPTVFA >Solyc03g096830.3.1 pep chromosome:SL3.0:3:60627709:60633544:-1 gene:Solyc03g096830.3 transcript:Solyc03g096830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASTALLSIAPPQFSSTAKSKVTRKPPFCSCSLDSSNSATTSSTVSEERDITPKKFTYTRASPSTRWPHLKFTETHQNSQPSPLSVAAPSVKDKEFDSGSDGNVGSYEGRRMEKNGEESLDPNGFQSNDETQEVLGRPSRTRAKKMTKLALKRAKDWRQRVQFLTDKILGLKSEEFVADVLDEKMVQMTPTDFCFVVKWVGQSSWQRALEVYEWLNLRNWYSPNARMLATILAVLGKANQEALAVEIFMRAEQSIGNTVQVYNSMMGVYARNGRFSQVQQLLELMHERGLEPDLVSFNTLINARLKSGPMTPNLAIELLDEVRSSGIQPDIITYNTLISACSRESNVEEAVKVFNDMESHRCQPDLWTYNAMISVFGRCGMDGEAARLFNELEANGFYPDAVTYNSLLHAFARQGNIEKVKEICEEMVNMGFGKDEMTYNTIIDMYGKQGRHDLALQVYNDMTSSGRSPDVITYTILIDSLGKDNKMAEASKVMSEMLNAGIKPTVRTYSALICGYAKVGKRVDAEDMFDCMVRSGIQPDHLAYTVMLDMNLRFGETKKAMMLYHDMVHNGFTPDLALYEFMLRSLGRANEEENIQIVIKDLKELGNLGPQSISSLLIKGECYDFAAKMLRLVIEEGSEFNHDDLLSILGSYSSSGKISEAIKLLNFVKEHDSRSKKLIIDASIIINCKAQNLNAALDEYRETGDSYTFSISVFESLIKCCEEAELFAEASQIFSDMRAKGVEPSQDICGTIAVIYCKMGFPETAHYLIDQVEANGVLLGDISFHVSLIEAYGKLKVVEKAESVVATIEHRYGVVGRTAYNALIQAYALSGFYEKARAVFNTMMRNGPSPTVDTINNLMQALIVDGRLNELYVLIQELQDMGFKISKSSILLMLEAFAQAGNIFEVRKIYHGMRAAGYLPTMHLYRVIIGLLSRTKQVRDAEAMLSEMEEAGFKPDLSIWNSMLKLYTRIEDFKKTVHIYQRIQEAGLKPDLDTYNTLIIMYCRDRRPHESLLLVHEMKRLDLFPERDTYKSLIAAFCKELMLEQAEELFESLRSEGHNLDRSFYHLMMKMYRSSGNHSKAEKLIEKMKESGIEPSDATMHLLMTSYGTSGQPMEAEKVLNSLKSNGVNLSTLQYGSVIDAYLKSREYETGLLKLREMIGDGLEPDHRIWTCFIRAASLCEYITEAKTLLNAVADAGFNLPIRHNLNLIFFSLFKNFYFLGVWLSLWDGFAIFFHYLTSTNCLSHKCRFLTENSESLVLDLDLYLEQIETAEDKAALNFVNALEDLLWAFELRATASWVFQLAIKRRIYHNDIFRVADKDWGADFRKLSAGAALDASLEGFPESPKSVVLITGKSYYNRVSLNSTVRAYVWEMGSPFLPCKTRTGILVAKAHSLRMWLKDSPFCLDLELKNRPSLPEMNSMQLIEGCFIRRGLVPAFKEINERLGPVNPRKFARLALLSNEKREKVIQADIEGRREKLAKLRSTAVTKRRNTKNFRMNKFVR >Solyc01g058363.1.1 pep chromosome:SL3.0:1:66335529:66336803:1 gene:Solyc01g058363.1 transcript:Solyc01g058363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSATIKEYNGSLNISMEVNLLQQLLPELVSLEQMLKQKESTNASTGECSRVNKEVGSLKNSRWKYSRLKQKIHNVGEVDKLFKQTCSHSSKWYGHYTTTWNFGPQKYTCQYCGALLWEPPPYLKYLLGKESGKLGINFRKNIRAYNSMFAFTSMGGRVDGSINHSKGPYVFWMCGQNYHRIGSLLPKIEGDIDPEIVQGLSVMLEEHNILVKTFGMAKDRYKEHPEREFHLRLLSNRKNGLQRISDLHSSFMSMTYPLIHPYGEDGYRVGINLGDVIDKDLQATKINNERLLLL >Solyc01g056360.3.1.1 pep chromosome:SL3.0:1:53728214:53728637:1 gene:Solyc01g056360.3 transcript:Solyc01g056360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKLEKMSGIMHKIEEKLHMGEHKDDEDKRKEKGEKKEKGEGHREKSKEKHHGEDHKDGEEKKKKKKKKDKKHGNGSSSSSGSDSD >Solyc01g097440.3.1 pep chromosome:SL3.0:1:88166432:88180676:1 gene:Solyc01g097440.3 transcript:Solyc01g097440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVARRLAVSHNDSIFDVDYDTDDGFEVLKYQLFSLTSVPPDQQKILGRDDQIVSDESDLTLISDKLRLVSIDGVEEEIVAEEKEKLENLAMSDEELARLIQAEEEALMMQHFVSRDSKKEVEQRIWPYVNQVQMYEDPHRQEMARKTVPVDKLEEKALISLGREGNYKPTKVEQDNAFLLQLLFWFKQSFRWVNAPPCDTCGNDTRTQGMGDANSSEKLYGASRVELYRCNSCSNITRFPRYNDPLKLLETRKGRCGEWANCFTLYCRAFGYDSRLILDFTDHVWTECFSSSLERWMHLDPCEGIYDNPLLYEKGWKKNLNYVFAIAKDGVHDVTKRYTRKWPEVLSRRNIISEPFLVAVLSDITGELRKTLSAEVISALEERDRFEMNVIEQELHRKDGPSVSLPGRLSGDKEWRIARSEFVSDEKNSLSSSSCPVRKCIDDHVTNIYSAFSPVLSKLIEYSPSKKAAAEILEIFRKILVDLKNLPFRTRRTSVKSVSSSSGDIFSKMLSSFDQLLKALSLKSEFGVNGSIDICLASDPVKTSVALPVVFHALDDVIYHIEQCARLDSRSLSWPLLKLNRLCSGLVLASGEELPFGIATCAFDGTRMSKWEEPNGAAGCWIMYQVADNRMVELVAYELMSANDAPERDPKDWVLEGSENGGSSWHLLDKRTSQMFDKRFQRKAFAVGSSGYLSNAFRFRFIAVRDKNATSRFQIGSIDLFAGSS >Solyc11g071670.2.1.1 pep chromosome:SL3.0:11:55368780:55370282:1 gene:Solyc11g071670.2 transcript:Solyc11g071670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIFCYKNLSIAFQLKRPTTHFHPSSLHSQSSAPIDKEVETLYRIITITQTPEGLKQALKSSQIKLSNDLIDKVLKRVRFSHSNPLQALEFFKYADKRKGFYHTGFSLDTILYVLGRNRKFDKIWEVLVEMKRKDQSLITPRTVQVVLGRVAKVCSVRETVESFWGFKRLLNEFGVDCFNALLRALCQEKSMSDARNVYHRLKYKFRPNNQTFNILLSGWKSSEDAEVFFKEMRDLGVEPDVVSFNCLVDVYCKGREMEKAFRVVEEMREKDITPDVITYTSLIGGLGLVGQPDKARHILKEMREYGCYPDAAAYNAAVRNFCIAKRIGDAYSLMDEMVRNGLSPNATTYNVFLRSFFWINDLKSSWTLYQRMKETGCLPSTQSCMFLIRLSRRHEKVEMALELWDDMMERGFGSYILVSDVLFDLLCDLGKLAEAERCFLQMVNKGQKPSNVSFRRIKVLMELANKQEALKLLSEKMAAFRSSTQLIQHDKVEYEQSS >Solyc07g021080.1.1.1 pep chromosome:SL3.0:7:15837494:15837859:-1 gene:Solyc07g021080.1 transcript:Solyc07g021080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVLIFSKFQLKSLLQLRCLSKSLNELISSPNFIWLHTKQFVLLKTPTHLFKRYFSKGLQKEKIQLIDVSNFDNPVMRLRSPFSVDLSYYFYCRIIGICNTVLFMLDALLDHSSPEGTLES >Solyc03g033240.2.1 pep chromosome:SL3.0:3:4837677:4838730:1 gene:Solyc03g033240.2 transcript:Solyc03g033240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDKMDDIRKEWIQSDILIFNSGHWWTPMKLFQLRLVDSSQSLNERYEMLRVFLRRDENLASPKSLSLYDKI >Solyc05g007430.3.1 pep chromosome:SL3.0:5:2007598:2014575:-1 gene:Solyc05g007430.3 transcript:Solyc05g007430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMALYKNNAELFQVRVRRGILGFVYKFLDKFKNCFRSCGRGGKFSLFTNRGLLFCVFVLAVLCLISLAAFGLGMHFHGKLEPNMLPWREMRKDIIAHDVPDRPTKKPRKQRFFPCDVAFKDSVDLLSEPKDFLNFTHFSLGYMETEKKASHINAHEPRFGGHQTLEEREQSFFAVNQTVHCGFVRAAEGFPSTGFDLKEEDRKYMSVCRVVVSSCIFGSSDFLRRPTSRLMSEYSKKNVCFVMFVDEETLSTLSKEGNAPDDGGFVGLWKLVVVKNLPYTDMRKTGKVPKFLSHRLFPSSRYSIWLDSKLRLATDPMLIIDHFLWQTGSEYAISNHYTRHCVWDEVLQNKRLNKYNHTAIDEQFSFYQSDGLTKFDPSDPNTPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFGFTFLKLKRMNPDKPFHLNMFKDCERRSLVKLFHHREPYVPPPPKIS >Solyc08g066940.3.1 pep chromosome:SL3.0:8:55874761:55877651:-1 gene:Solyc08g066940.3 transcript:Solyc08g066940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIEEEEEEERLLDHLPKTYTKGGLKTMPFIIVNETLEKVASYGLQPNMVIYLMKVYNLEIATATSLLSLWSALSHGLALLGAFVSDSFLGRFTVVAIGSISSLFGMTILWLTTMVPQLRPSSCDHFDINECDRPTPAQLLVLLFSFGIIALGAGFVRPCSIAFGADQLDNKENPNNKRIMESYFNWYYATIGMSTLVATTLIVYIQDAFGWRVGFGIPAILMFFSVTAFLLGSSMYIKVSASESLFTGFFQVLVAAVRKRKIDLPVNCANYYHQSPDSESQALTSSFRCLNKACMIEDPERDINPDGFASNSWRLCSVEQVVSLKSLLRVVPMWSSNIMVQLSLNQFSFATLQTKTMDRHIFSDFEIPAGSFSVFMVITLVMWIAFYDRALVPIKARYTGQPGGLSPVLRMGIGLVLSAAAMVLSAITEGIRRGIAIDQKVPQDVPNINMSAMWFVPQYVLLGLADAFNAIGLVEFLYSELPKSMSSFVVAIFTLGMSVSGFFGSLLVNVVDNVTSYGGGVSWLSSNINNGHLDYYYWLLAFLNVVNFLYFLLIICRCNRHEREYSTQKA >Solyc01g099610.2.1 pep chromosome:SL3.0:1:89671765:89672140:1 gene:Solyc01g099610.2 transcript:Solyc01g099610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLPHSSHFVEVAKCQ >Solyc06g024400.1.1.1 pep chromosome:SL3.0:6:11200495:11200647:-1 gene:Solyc06g024400.1 transcript:Solyc06g024400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPTYSKCVCCPWAVMSYHTQHCRPCVLSKGGDVMPYPTRPTVRAVQGR >Solyc06g071410.3.1 pep chromosome:SL3.0:6:44070207:44076113:-1 gene:Solyc06g071410.3 transcript:Solyc06g071410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSDGFVRADQIDLKSLDEQLERHLIRARTMDKDKNKKKPQDDSSINYNISIATVANHPTTPNPNPNLSLFSSSVPRQRYEWEIDPSKLIIKTVLARGTFGTVHRGVYDGQDVAVKLLDWGEEGHRTEAEIASLRAAFTQEVSVWHKLDHPNVTKFIGATMGASGLNIQTENGHIGMPSNICCVVVEYLPGGALKSYLIKNRRKKLAFKVVVQIALDLARGLSYLHTQKIVHRDVKTENMLLDKTRTVKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMIEAIDTSKGGGMIPVDQQQGCFCFRKHRGP >Solyc11g042730.2.1 pep chromosome:SL3.0:11:34974552:34977013:1 gene:Solyc11g042730.2 transcript:Solyc11g042730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRERVKNSFLFFEWVASLGKALYNDERVHKHFGPKAWFCVSEAYDVFIITKGFFVQGDVGSKIIVITLKESVTLIMGSEVIKVGTLSDDASWDLFRRHSLESRDTKEHPELDDIGKEIAEKCEGFCLALKALANVLCFKSEVDNWRYILRIKIWELTSCSNGIFPEFILNPKDYPFCKDQVIHLWIDNCIVLQLVSESSEIDIDKFLMHYLVNDLSEIASSSLWMRLEENGDIEKLKLLFKSEQLRTLVAINSQHYYYNIKLSKRMFKEFLNYLFIKLKLLSFLDLSWTEIIKLPYSVCGLYNLETFFLSSCYYIKDIQPLHMEGLINLWHLNIRNISKFLLCGLRMDDLDEAQNLYGYVLVVELREKDHVDKLSLEYIEIVVLKFTTEREILDEQRPHKNLKEPLFLKLVKLSLIYCKDCYSLQILGQLPFLKFLLTRRINPFNSRVELRFEDIFEWKQWHLLGSGEFPTLENL >Solyc11g005020.2.1 pep chromosome:SL3.0:11:36367:44243:-1 gene:Solyc11g005020.2 transcript:Solyc11g005020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTAASNTALVPLQYRQQRRNNHHPLLTPLFFNKSATYPLLVFPSPSKTHRRRTLSPVSASLMETANEVRKSWSGFSSLNNWVVKDYYRLVNSVNSMEPQIQNLSDEQLRAKTLEFRRRLREGETLAHIQAEAFAVVREAAKRKLGMRHFDVQIIGGAVLHDGAIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQRGMKSKERRSNYSCDITYTNNSVSCSEGQELGFDYLRDNLATSHEQLVMRWPKSFHFAIVDEVDSVLIDEGRNPLLISGEANKDAARYPVAARVAELLIKGLHYSIELKDNSVELTEEGIALAEMALETSDLWDENDPWARFVFNALKAKEFYKRDVQYIVRNGKALIINELTGRVEEKRRWSDGIHQAVEAKEGVKIQADSVVVAQITYQSLFKLYPRLSGMTGTAKTEEKEFLKMFQVPVIEVPTNLPNIRKDLPIQAFATARGKWEYVREEVEFMFQLGRPVLVGTTSVENSEYLSDLLKERKIPHNVLNARPKYAAREADTVAQAGRKYAITISTNMAGRGTDIILGGNPKACERNFGGKYTSIPDTRYSRS >Solyc08g014065.1.1 pep chromosome:SL3.0:8:3689133:3689881:-1 gene:Solyc08g014065.1 transcript:Solyc08g014065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDDHLHVRCMTHILNLIVQVGLKEIAQHFELAFEIYSFYDIGYLNHLRTFGSDSSENKDGNSVDDGTSIEDETSVEDGTTANILSSVDWKNVSNTYFIEIAELNLILKEMMTNADRNLKEMTESMNEKFKKYWGEQQKMNKMIFISSVLDHRNKLDYVPFATVDMFGK >Solyc08g062900.2.1 pep chromosome:SL3.0:8:52365445:52368001:1 gene:Solyc08g062900.2 transcript:Solyc08g062900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFRILRVIFFGANKLLTLWTDAGHDVNQVYDMLYIIGRDDIVVGVGGEGGILPNCTILPNVGGYLPIIDQGNATAGYCSYRHAIPVDPGGRLDINSNFGFRKIFLPQVSFCIKTEWRITLSSFLNHIFSSFTQEEIELDTYIKSMEKTVKDLGMHMDHSVRSTISSLDFQSWHFEKKIKGFTKSQAEEKGRDFLF >Solyc10g081740.2.1 pep chromosome:SL3.0:10:62882826:62887590:-1 gene:Solyc10g081740.2 transcript:Solyc10g081740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNCVHSKFTKGGFFNLFFWRSRSPDMITNKKKPKGIEPSTDKQPERENSIQNKPPEMVTIDREEPDQEHKKDAHIVEQEKISVDLKPTEAMQPEKSGNTIHEKEIPKESAKPKKPPVKRMLSAGLQVESVLKTKTGLLKEHYDLGAKLGHGQFGTTFLCVEKATGEKYACKSIAKRKLLTPEDVEDVRREIQIMHHLSGNPNVISIKAAYEDGVAVHVVMELCSGGELFDRIVKQGHYTERQAAELARTIVGVVEACHALGVLHLDLKPENFLFVNEKEDSPLKIIDFGLSMFFKPGQIFSDIVGSPYYVAPEVLQKRYGQEADIWSAGVIIYILLTGVPPFWGESEQEIFDEVLRANIDFTSDPWPNISGDAKDLVKKMLVRDPKQRLTAHEVLCHPWVKIDGVAPDKPLDSAVLSRLTQFSAMNKLKKMALMVIAESLSEEEIAGLKEMFKMIDTDDSGHITLDELKVGLKQFGADLSETEIRDLMKAADVDNSGTIDYGEFIAAMLHVNKAEKEDYLSAAFSYFDKDGSGYITADELQKACEEFGMKDVRLEEIIQEVDQDNDGRIDYKEFVAMMQKGNANFGNRRLPNNFSIGFRDATKAC >Solyc04g045550.1.1.1 pep chromosome:SL3.0:4:33911943:33912125:1 gene:Solyc04g045550.1 transcript:Solyc04g045550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFKDRASIDQQLRSRKAKRLVIFTDKKADKDTEEEVALISYSQQSDFRRGIIIGSTRS >Solyc01g103100.3.1 pep chromosome:SL3.0:1:91644267:91650321:1 gene:Solyc01g103100.3 transcript:Solyc01g103100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGTTPSSPFLGHVPFHIGKSSFAQFTHHSLFRGSKTTVKVLLPLGKFTSIWFPQSQRFRQRSRIHATGNPSMRSAGGAIRTLLITGVSRGLGKALALELAKRGHYIIGCARSQDKLNAFQTELASSTNPPSENKHLLMSVDVSLNSSVEEFARAVMEKKGVPDIIVNCAGTINRNNKLWEVPAEEFDSVIDTNLKGTANVLRYFIPLMLEKKQGVIVNMSSGWGRSGAAQVAPYCASKWAIEGLTASVAKELPPGMAAVALNPGVIYTDMLQSCFGNSASLYQTPESWAPKAANMILNLTMADNGASLSV >Solyc01g065960.2.1 pep chromosome:SL3.0:1:72522905:72525892:-1 gene:Solyc01g065960.2 transcript:Solyc01g065960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVGIRVSIASIIILADLVMLIIWLCSKDDIKKSSPGRRPLFLHAAVVTNTLLDYREFISYICKAYKGTVPIPGCSSSYSISSTSTSFVEQRVTQAASA >Solyc07g065140.3.1 pep chromosome:SL3.0:7:67165813:67168986:-1 gene:Solyc07g065140.3 transcript:Solyc07g065140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNTITLYLYFSSHPDHFRHNFPKNHYITTGNRFSSSENHPNLHSSVASQSKPWPILPSYLPWSQNPNVGWRSCEGYFGNGFTRKIDLLKASPEIHRKFGENRYFGEGGVGGWFRCFFSETLQSSICEGGVIRMNPEKILMSKGGEKLEEVIGRGEEEELPIFENGAFEIEVNERTKIGKKLADENFLNKYLPEGAVSKHTMRELIDSIRLVDANDFHCSEWIEEPSLLITRFEYANLFHTITDWYSAYAASRVTGLPSRPHLVFVDGHCETQLEETWRALFSSLTYAKNFSGPVCFRHAILSPLGYETALFKGLSESIGCTGAPAHDLWQNPSDSKTARLSEFGEMIRAAFGFPVDRQNIPRTVTGHNVLFVRREDYLAHPRHGGKVQSRLSNEQEVFDSIKSWSLNHTECKVNVINGLFAHMSMKDQVRAIQDASVIVGAHGAGLTHIVSASPKAVILEIISSEYRRPHFSLIAYWKGLEYHPIDLEGSYADPPVVIDKLNSILRSLGC >Solyc08g079720.3.1 pep chromosome:SL3.0:8:63307279:63324917:-1 gene:Solyc08g079720.3 transcript:Solyc08g079720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4CNX2] MDQMNHSLPPPFHTRDFNLHQFHQNSEDEQSGTSGLNMSGQKRDRDDNNNNNNNNENSNGGESKDGLGNSGDGEMSRRPRGRPSGSKNKPKPPIIITRDSANALRTHVMEIADGCDIMESISNFARRRQRGVCIMSGTGVVTNVNLRQPASPGAIVTLHGRFEILSLAGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGALMASGPVVIMSASFSNAAYERLPLEEDDQNHPLPIVPGGGSLGSPPGNIGQQQQQQIMADPSLFHGMPPNLLNSIQLPSEPYWATGRPPF >Solyc05g005000.3.1 pep chromosome:SL3.0:5:1404:5393:-1 gene:Solyc05g005000.3 transcript:Solyc05g005000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRWWITTLQLTELFVSSLVHLVYGFYIFSSAVAGDLSQALSDCFYKNSVEVSLKSEDSKENLTSTKDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDDRVLVPDLGSLTSVYDRARELFYYLKGGQVDYGEEHSKACGHSQFGRIYEQGHYPEWDEHHPIHFVGHSAGAQVVRVLQQMLADKAFKCYENTCENWVLSVTALSGAFNGTTRTYFDGMNPEDGKSLRHVSLLQLCRIGVIIYDWFDIPWLKNYYNFGFDHFNISFRKIGIWGLIDCLLGNAGPFASGDWILPDLTLQGSIKLNNLLHTFPSTYYFSYATKRTNKVMGITVPSGILGIHPLLFIRILQMSLWRHPPDVPPPYKGYRDEDWWDNDGALNTISMTHPRFPVEHPSQLVVKDSDCQPFLPGIWYYKIVEGDHILFIVNRERAGVQFDLIYDSIFERCRKHAFRNNPTMPNHII >Solyc12g088665.1.1 pep chromosome:SL3.0:12:64928693:64929296:-1 gene:Solyc12g088665.1 transcript:Solyc12g088665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELKFSLGIEFARSKKGIVMSQRKYALELVAEMGLSGTKPFIKPLETNLKLTSLDYDSIINNTSADNDDKLLTDPGKYQRRLVTCYLVKFGNAIVSWKSKKQETVARSSAEAEFRSIASM >Solyc08g016110.1.1.1 pep chromosome:SL3.0:8:6846487:6847029:-1 gene:Solyc08g016110.1 transcript:Solyc08g016110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFTPYFLPLVLVATIFNFQTSLCDIRADKALKTGICRQVQDLQFCLTTFRQIIPSHPYVPEEVTRAAITKSLQNANDNRAFVEKAQANAKDKETKDLYSICDSSYGLLITVLQDASKSLTNKDYNGLENDLAKCPRFVSDCQNVLGSKTTREMVDRSRKQFDLVLMAKIAEQLIKNR >Solyc09g057920.3.1 pep chromosome:SL3.0:9:52450841:52500192:1 gene:Solyc09g057920.3 transcript:Solyc09g057920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLGLAGGIPERRVRPIWDAIDSRQFKNALKHCTTLLSKYPNSPYALALKALVLERMGKFEEAFSVSLNAKDVLYTNDSLLIDDLTLSTLQIVFQRLDHLDMATNCYEYAYAKFPNNLDLMMGLFNCYVREYSFVKQQQIAIKMYKIAGEERFLLWAVCSIQLQVLCSNGGEKLLLLAEGLLKKHIASHSLHEPEALVVYVSLLEQQSKYGDALELLTGKFGSLIMTEVDRLRLQGRLLARGGDYAAAASIFQKVLELSPDDWECFLHYLGCLLEDDSSLCKGENNESTYPLKLMDFQVSHLTDEAFDSRLSNASYLVQKLLKEASNDTVRCPYLANIEIERRKLLHGKGDADKLVEALVQYFLRYGHLACFASDVEIFVHTLDLDKRTQLLDKLRECCESIPTNPRKTLGQHITVFKIQNIVGSMLTLSINELETTAVKMTQMFCENLPLSKELDAQESMYGEDLLSMACNLLVQLFWRTRHIGYLVESVMILEFGLTVRRHVSQYKILLLHLYSYWNSLPLAYEWYKSLEVKNILLETVSHHILPQMLASPLWSDSTDILRDYLRFMDDHFRESADLTFLAYRHRSYSKVIEFVQFKERLQQSSQYLMAKIEISILQLKQKANNIEEGEGILESLKQGVQFLELTDEIGTKSLTFNEELQLRPWWTPTYDKNYLLEPFEGVAYCTGQILDDQIKRSQAKLVNTIEKRSLLPRLVFLSIQCASSSVKGNVEANGSVFDPKLSSELRLLLERYANILGLSFQDAVGMAFDISSGLKDAEAWSCNLIDWMNFFVFLNAWNLYSHEVDRDSNKHGTTWLVNLILKKCILDKVRSMGAPESSPGCDLPHLVLLVTEPLAWHIMVIQCCARSLLPSGKRKKKGGPSEQCNIELCQEVQDSIRCVCETIELVRDWLNKQMSKSDNDKLESILSSLKRDGELGPWKVYRVIETLTSSSTIDKGLGDVITGALQSWSPVDITRKIITSQRTSLSNFLRICDSKIKSVKELKAQL >Solyc06g069730.3.1 pep chromosome:SL3.0:6:43549398:43550597:1 gene:Solyc06g069730.3 transcript:Solyc06g069730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:Q7M1K8] MATVTTQASAAVFPPSATKTRFLNGSSGKLNRDFSFKSSTLSYNSFKVEAKKGEWLPGLTSPTYLNGSLAGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTSIGLLNVPKWYDAGKSDYFASSSTLFVIEFILFHYVEIRRWQDIKNPGSVNQDPIFKSYSLPPNEVGYPGGIFNPLNFAPTLEAKEKEIANGRLAMLAFLGFIVQHNVTGKGPFDNLLQHISDPWHNTIIQTFSN >Solyc09g011210.3.1 pep chromosome:SL3.0:9:4552957:4556087:-1 gene:Solyc09g011210.3 transcript:Solyc09g011210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGIVMAAAYSGATLYAKSHLPLSSFSIRKESKHLLSSHSLISIRYPQIVSACYAQSSSSATPINFNSSTKLFVSGLSFRTTEESLRKTFNHFGELVEVNLMMDKIANRPRGFAFIRYATEEESQKAIEGMHGKVIISGWQGNFCRSS >Solyc11g020491.1.1 pep chromosome:SL3.0:11:11332456:11333033:1 gene:Solyc11g020491.1 transcript:Solyc11g020491.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFNKKKVIFIMGATGTGKSHLSTQRVLNIFGGSNLYIEKLVEDHSIMFKYKYDCCFIWIDVEQ >Solyc01g097555.1.1 pep chromosome:SL3.0:1:88238828:88239664:1 gene:Solyc01g097555.1 transcript:Solyc01g097555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEERGLWFWDPRAKLNEDLAKSEAKVFVNALAKKTNLIEDKEIVRILSIRSKLHLKAIYSRYKEMSGNSSSFQINNLFFYFQAYSLY >Solyc01g087565.1.1 pep chromosome:SL3.0:1:82396235:82397439:1 gene:Solyc01g087565.1 transcript:Solyc01g087565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDFTLLTQILDERFLSKIISNARSDTQKTKNWKKKHIKNKFLKSIINIFIEHSKNSAMSKKNEIIAISSHLSNHSPKSHTGHIFTAGNSNLVVLPSVAAGDSNLVVIFIVPGGRMVVHTGSLRTMRIESSHHSHSGIQQLHILTHLLLQSLEMILLKRFGRRRKRV >Solyc10g007730.3.1 pep chromosome:SL3.0:10:1967367:1970784:1 gene:Solyc10g007730.3 transcript:Solyc10g007730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNCAFSGFVQWKIQKKMVNLAASSTNNFNTEKLREQLDHLHKEAQSTRNKANNARSRLLRLSEAAERFRRQAAISVRTGKENDARELLFQKKKIMQAMEKSKSRIELLDELAAKLNEAISMREKQLIGNVALDLEIAIDETPSPVRIVSPKDDIADNSDENEDVDLETIKLADSQELQAPYDGNADLKTNNELKHLGASTSGDMSKEADRINSLKGVSSYEEFLEHIDQQLRDIEVELVTFLRFSSLILENKDKLENSKIQQALDVLNGVHQLRGRIESIVQKKTGVY >Solyc03g112140.3.1 pep chromosome:SL3.0:3:64121152:64128972:1 gene:Solyc03g112140.3 transcript:Solyc03g112140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQDSVSEQEPDESESEPDFVELDPTGRYGRYKEVLGKGAFKKVYRAFDELEGIEVAWNQVKVADLLRNEVDLERLYSEVHLLKTLKHKNIIKFYNSWVDTKTENINIITEIFTSGNLRQYRKKHKKVDLRALKNWSRQILEGLSYLHGHDPPVIHRDLKCDNLFVNGNQGEVKIGDLGLAAILRKARSAHSVIGKVLVHFANFCIYPRLLLLVSFRWSFNFTSAIRYSNSGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTFEYPYVECTNAAQIYKKVTSGIRPASLAKVKDPGVKAFIEKCIAKVSERLSAKELLMDPFLRSDDDSASISRSLSSHPIHADKSDDDSGRSPQDHVPEGSRDFTVQGQRKDLNTIFLKLRITDSTGHIRNIHFPFDIEVDTANAVASEMVEELDLTDQDVSAIADMIDSEIRSYIPDWAPKQCSSNDIADEVAPSESSEAREVAPSESSTFGACDDVSPSTMNSTLSGGLMLERLPSGRKYWSDSPKTTSCASSPLRLGPSNLSRADSPIPESSWTEENGLSSISHKEGSSSGDGAFEHEESETENDIDEESGVIPEPNSSDNKQSADLTSETEPHSSGQRKTHCSNKCSDDIEDIVEKLETLVHEQRKELDALREKHDLVISDVISKLPPEIRNGVLAMCGHKLSFTSLRNERGCSSTNSEGPSSSLKIMLKNFRVAGNGYMQNSVAGSVLNGPSFRRCFSSVKENISSGLGIAVILKEEAGE >Solyc07g049480.3.1 pep chromosome:SL3.0:7:59882068:59891288:1 gene:Solyc07g049480.3 transcript:Solyc07g049480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGQPQSSLKRPSPGVNRDGDKLVITPLGAGNEVGRSCVFMTFKGKTIMFDCGIHPGYSGMSALPYFDEIDPSSIDVLLVTHFHLDHAASLPYFLEKTTFKGRVFMTHATKAIYKLLLSDYVKVSKVSVEDMLFDEHDILHSMEKIEVIDFHQTMEVNGIRFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEIPQFSPDVCIIESTYGVQLHMPRQIREKLFTDVIHSTLMQGGRVLIPAYALGRAQELLLILEEYWSNHPELANFPIYYASPLARRCMAVYQTYINAMNERIRNQFISANPFNFKHISSLNSIEDFIDNKPCVVMASPGSLQSGLSRQLFDKWCSDKKNACVIPGYVVEGTLAKTIINEPKEVTLTNGLSAPLNMQVHYISFSAHADYAQTSSFLKELMPPNIILVHGASNEMDRLKQKLTSLFADGNTKIITPKNCQSVEMHFNSDKMAKTIGKLAEKTPEVGEIVSGLLVKKGFTYQIMAPDDLHVFSQLSTANVTQRITIPYSGAFAVIQHRLKQIYESVESSTDEESGVPTLRVHERVMVKQESENHLSVHWTADPICDMVSDSVVALVLNASREMPKVSIDSETPLNEEEDAKKTEKIVHALLVSMFGNVKVGDGGKLVINFDGILAHLDKQTGDVECENEALKERVKTAYWRIRSAVKPIPLSTS >Solyc12g014230.2.1 pep chromosome:SL3.0:12:5063184:5065374:-1 gene:Solyc12g014230.2 transcript:Solyc12g014230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSLLNAFKRSCNSFSLSTTPSTYSLHLLRPLHAVAANLRNVSPAPTPFSRGFATRQTSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLATIVGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVRNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNFDRSRNFERRREMQNQPSQNPGSNMAAGGPPNMGGMQQPNMGGMHQQQGMGGPPPHAGGMHQQQGYGGPPPHAGGMQQPDMRGPPMQQQGYGGPPPHAGGMQQPDMRGPPMQQQGYGGPPPHAGGMQQPDMRGPPRGGGMQQPNMPPNMGGVPPNNYGMPNNARNFQYNGGGQNNGDTPYQTGPGPNQSYAPNTSDGNSYQNPNMAGRDMSNSNYR >Solyc11g069660.2.1 pep chromosome:SL3.0:11:54566110:54588759:-1 gene:Solyc11g069660.2 transcript:Solyc11g069660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLRNGGWLSSWCAFISSALNVLFDRLAPEGDLIKMFRTRKNGVELLEKLKSTLLGLQAVLSDAENKQASNQFVREWLNGLRQSCWNGILPALMLSYNDLPPHLKRCFAFCAIYPKDHEFFKDQVIYLWIANGLVKQFCLGNKYFDELRSRSLLERVPESEWKSEGFVMHDLINDLAQTASSKHCIRLEENEGSADMLEQSRHMSYSRGEDGHFEKLKQLSESEQLRTLLSTNDYYSLNHISKRVLHNILPRLTSLRALSLSCYYIKELPNDLFIKLKILRFLDLSRTMIEKLPDSICVLYNLETLLLSSCQYLEELPLQMEKLINLLHLDLTNTYRLKMPLHLSKLKSLQMLVGAKFLVGSSGGLRMEDLGEVQNLYGSLSVLELQNVVDRREAVKANMKEKEHVEELYLQWSDGSSAYDSQTEREILDELYPYTNIKRLEITGYRGAKFSNWLADPLFLKLVKLSLSECKDCYSLPALGQLPCLKFLYIRGMHGIREVTEEFYGSFLYSKKPFNSLEKLEFRDMAEWKQWHVLGIGEFPILEKLSIKMCPKLMGKLPENLCCLTELSISDTSLFDDAQLFRSQLEGMKQIEVFFINDCNSLTSLPFSILPSSLKRISISGCQKLKLEEAVGYCDMFLEELRLHACNYIDDISPELLPTARKLSVESCHNLTRFLIPTATESLTIQDCENVEILSVAVDSAVTYLYIRNHEKLKCLPEIHLFNLQLLMISNCKKVVNSRKEWCLQRLTELVIRHDGSDEGIQHWQLPCSITRLAIKNLKTLSSQVLKSLTSLQYLYVEGNIPSLVEQGGLPSSLSELHLHNHDELHSLHLSHLTSLIHLHIFDCPNLQSLSESALPSSLSELTIFYCRNLQSLSESALPSSLSHLTIIDRRNLQSLSESALPSSLSQLNISDCPNLQSLPVKEMPSSLSKLDIRRCPLLEPLLEFDKGKYWQNIAQIPILYINGFLITIRKVLQLLAQLVKGKFCCNSLLNRQQLQCGRDRKVYEVSVRLISEMEVVVAIGDAFLSSAFDVLIDRLAPQGDLLKMFRKHKNDVELLKKLKLTLLGLQAVLTDAENKQASNQFVREWLNELRHALRWEGGAGHIVINGTKYQLNQAHWHSPSEHTINGRRFDLEVHLVHESEDGKTAVVGIMYKIGRADSFLSMIESDLKALAHTKNVERKIGIIDPKKVKLGSRKYYRYIGSLTVPPCTQDVVWTIVRKVRTVTKEQMKLIREAVHDESETNSRPVQATNKRTIRLYRPNDPKE >Solyc05g007840.3.1 pep chromosome:SL3.0:5:2288450:2291505:1 gene:Solyc05g007840.3 transcript:Solyc05g007840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLSSSMLLQLPEMLTWINPPKTLIPFSSIIPNPSSPIPICSISPKPTKTSLKSLHLSPQHTLTSTDCGLKFREKILYLQELNINPSKVLQLNPHLRSATLDSIRSVEVCLFSMGIERSAIGRILDMHPQLLTSDPYIHLYPIFDFLLNDVVIPFHDIRKSIIRCPRILVCSVEDQLKPTFEFLKEFGFVGQNRITCQTTVLLVSSVELTLNPKIDYMLSLGFELDDVANMVLRSPGLLTFSIEKNFRPKVEYFLKEMNGDIRELKRFPQYFSFSLERKIKPRHRLLVEHGFTLSLSEMLKVSDGEFNARLIEMRLRLIKANVNTSFHVSSGTCLILLSCI >Solyc05g024125.1.1 pep chromosome:SL3.0:5:30476320:30476918:1 gene:Solyc05g024125.1 transcript:Solyc05g024125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLGCIIGAGLDELEVVRQLILFVFQIIVLEGRMRVGGRVYTGNMKGENKITLGLLARQLSYTLHTIKKFSPITYLGEALKTLGKDFSVAMNHEDISLFNLHLANLEYANAGLL >Solyc10g006440.3.1 pep chromosome:SL3.0:10:1038421:1048393:1 gene:Solyc10g006440.3 transcript:Solyc10g006440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLDITKMWRDPGAPADSFYQVRPECTDVPKSKFRIKNGKTLSERKWRAAFSPEGYLDIGKTLGRIHRGGIHPSIRGEVWEFLLGCYDPKSSQAEREDIRQQRRTQYAILKEECRTMFPVIGSGRYITAPVITEDGDPILDPLVLQEAKAAKEAKEATSGSQGNGASDDYEMVKEDDKRVIEWKLSLHQIGLDVARTDRSLVFYENQENLSKLWDILSVYAWFDKDVNYCQGMSDLCSPMIILLDDEADAFWCFERLMRRLRGNFRYTESSVGVESQLNNLASVTQVIDPKLHQHLDKLGGGDYLFAVRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFLTYEDPELAADKSEESKSKAKSRQVGKFERENMKNAGKAAEAPLPISVFLVASVLKDKSDKLLTEARGLDDVVKILNDVNGNLDAKKACTGAMKLHRKYLKKAANTHR >Solyc10g049410.1.1.1 pep chromosome:SL3.0:10:45533188:45533496:-1 gene:Solyc10g049410.1 transcript:Solyc10g049410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYRSKPFYLVFLASPNIYRSSVTRNCIYERKGISVPDPIQSICLKWRSDPFSSRSYSLVCVQSSENEYDILIENLGVRLFFVEEATIRQHPATWSLYKCVA >Solyc02g078100.3.1 pep chromosome:SL3.0:2:43450226:43451944:-1 gene:Solyc02g078100.3 transcript:Solyc02g078100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGLVLFQLSVLLLSSFTIFSHAQDEWYSLDKNVDHLAPAQAPKPHHHHHHHPISSPAPSPIHYPTKPPTKAPTKAPSKPPTYSPSKPPAKPPSPYYPSRKPVAVRGLVYCKPCKFRGINTLNQAKPLQGAKVKLVCNNTKKTLVEQAETDKNGFFWILPKLLSSGAYHKCKVFLVSSNNTYCNVPTNYNSGKSGALLKYTPPPMPPSPAPATHLPIKPPTPKYDFFTVGPFGFEPSKKVPCKKY >Solyc04g080980.2.1 pep chromosome:SL3.0:4:65128220:65133803:-1 gene:Solyc04g080980.2 transcript:Solyc04g080980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4BVH7] MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCALFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDILRLSQMNTDFFGGVDAVVKYVLEGHDRGVNWASFHPTLPLIVSGADDRQVKIWRMNDTKAWEVDTLRGHMNNVSCVLFHSRQDIIVSNSEDKSIRVWDATKRTGLQTFRREHDRFWILAAHPEMNLLAAGHDSGMIVFKLERERPAFSVSGDSLFYVKDRFLRVYEYSTQKENQLIPIRRPGSNSLNQGPRTLSYSPTENAILICSDVDGGSYELYIIPKDTYGRGDTVQDAKRGTGGSAVFVARNRFAVLEKSTNQVLVKNLKNEIVKKSPLPTATDAIFYAGTGNLLCRAEDRVVIFDLQQRIVLGELQTPFIRYVVWSSDMESVALLSKHSIVIADKKLVHRCTLHETIRVKSGAWDDNGVFIYTTLTHIKYCLPNGDSGIIKTLDVPVYISKIYGNTIFCLDRDGKNRPIIIDSTEYIFKLALLRKRYDQVMSMIRNSELCGQAMIAYLQQKGFPEVALHFVKDELTRFNLALESGNIEIALESAKKLDEKDHWYRLGVEALRQGNAGIVEYAYQKTKNFERLSFLYLITGNLDKLSKMMKIAEVKNEVMGQFHDALYLGNVRERVKILEEAGHLPLAYITANVHGLKDTAEHLAEKLGDNVPSLPKDKKASLLQPPTPILGGGDWPLLMVTKGIFEGGLDSTVRGGHEEYEEAADADWGESLDIGEVENLQNGDISMVLDDEEGKEENDEEGGWDLEDLDLPPDTDTPKTASNARSSVFVTPNPGMPVSQIWVQKSSLAAEHAAAGNFDTAMRLLSRQLGIKNFSPLKQLFADLHMGSHTYLRAFSSAPVISLAIERGWSETASPNVRGPPALIFNFSQLEEKLKTAYRATTSGKFSDALRLFLSILHTIPLIVVESRREVDEVKELIVIVKEYVLGLQMEVKRKESKDNPVRQQELAAYFTHCNLQLPHLRLALQNAMSICYKASNLSSAANFARRLLETNPTNESQAKTARQVLQAAEKNMRDATELNYDFRNPFVVCGATYVPIYRGQKDVTCPYCTTHFVPSQQGQLCTVCDLAVVGADASGLLCSPSQVR >Solyc09g031650.3.1 pep chromosome:SL3.0:9:28483037:28490287:1 gene:Solyc09g031650.3 transcript:Solyc09g031650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDIECIIDIHTYPGEYFCPVCRTLIFPNEAVQSQCTHLYCKPCLAHVANGSRACPYDGYLVTEADSKALIESDKKLAESIGRVKVRCLYHRSGCTWEGSFSDCTSHCSGCSFGDSPVVCNRCGVQIVHRQVHEHALSCPGVYPAQQATHGAEDNPSSGAATTAAGTDLNKTKAHSGTPASQTPNPQTTTASLLPGQDPNQQTSASSQALATASAGVPTSEQWYQQQYQQYYQQYAGYEPYQQQTYQQYYPYQQQSLQQYQQQPHIYMQPPAQPQTPAPAQPQPQPQPLNPQSHTQMQALPKGPTQPQVQPLAQQHQNQVQVNPVQQLPPTMQPHAQIPSQIYPASRAHPPTQPPPYSQTYSMQPHSQQYVQVPQYQQPPAQVHPPPSSQAQPHPPVQAQPHSQLQPQINVQHHPQSHGQLRPPQVGQPAHAQGQTLPSTANAVSGFHSYPQTQLTQQVAIGMSQQPPMYPHPTSGSMSLVQTHGQVPQPPLMRPPLGLIGNQQPGLVPSQGQVPAQSQLYPIAQQAGHSIQQHPGQSNQQPMSQQYSHHHTFPGPFPSQSHQQGHFTHQQPLQSQFRPQGLPNVVPQSLHGYIQPQQNATLPPPPQPQQSQAYIGRPGMQNHVQSISQAHGGYNTTAQVRPVQPALSQPQINPSYGNYTGNEHELVDQKKRLALESKGDLLPDKTAGRKEAGVPSQDNAQKDLNSLPAKSIDDEYRQRASSDIDVRRGDSDELMDKRTVKKEEDDTFLKPKSAAKSADATVKPDKDACDDVPKELDQTLEKHGSSDATDGSIKNLNSGRDSHDATTDGGVFQQYGHGMPQPKYGPSTQQRPVGPMIISPVRPAGSTSHGQLPGYPPTAMMPSGNVPQAGQPLNSLDHRPQFLKQPSSAPLGGIPGPGSITTFARGHGHFPPPGEFPEGITGVGRALLSGAEIPSGTQHSVNPAEAEMFQNQRVNCFEGNQSNPFSSGSFEKVPFGQPRSMESARDKRLKAPMGEHLIPLPVPSDQGSRPHDKPPHGLGYDSGSKFEASTGVPPNRLLPPHHPPGSMHFKDSGEREAPLGPHDDDRKRGGSGFGVHHLDYLSARNPDGELFNIPQRGFVSHSGFDDTGGREPRQFIEGPGHFNLPSNLAGGLYSNSRFQALPGHPHGVETDGLGDLRGGEHTTFGRPYKHVQSGDLFGKDMPSHLHHDESLDPPKLPSHLRFDKPGGFGSFAGRAYMGELSGFGDIPGFDESVGRNKPGMPQFGEPGFRSRYPVPGYPNHGLYAGDVDSFDRPRKRKPTSMGWCRICKVDCETVEGLDMHSQTREHQDMAMDMVRSIKEQNRMKQKTFSDRPSVEEKGRTRKAVFESRGRKT >Solyc03g097888.1.1 pep chromosome:SL3.0:3:61712652:61713246:-1 gene:Solyc03g097888.1 transcript:Solyc03g097888.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPEAHIPPFRRFSCAIANNFLGDPDYDVKNSKILVDVRLDLGYAAGWISRPVQPNFKIPASKMPKFYVDVPQDPVYAYDWPSWSIRPILKVKRALKCAYPPLRRFSCSIENHFLGVPDSDAKNAEIFRGRPSRPWICSGLAFTASPTNLQGQTSPKARIPPHLDDFRVI >Solyc04g051850.3.1 pep chromosome:SL3.0:4:51096063:51101231:1 gene:Solyc04g051850.3 transcript:Solyc04g051850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTASTTMSAATLPIVRAGDQCRKQFKPIASVPAGLHPLGMQSQLINNRRRLICPAQQKRASIICSSALNARCAEGQTQTVTRESSTITVAPVQGKEKSPDLDDGGTGFPPRDDDGGGGGGGGGGGNWKGGFFFFGFLAFLGLLKDQEDEGPYRDQRRR >Solyc07g008030.2.1 pep chromosome:SL3.0:7:2736756:2742674:1 gene:Solyc07g008030.2 transcript:Solyc07g008030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYEQVHGNKLEFPLNITIHNDNLFYNLALKSDYITLNHEKMLGKLLPWSRMAPRHSRLISRRSHGKVIFIVLLILVPILLFGIYVHFHKISYFFRPLWDKPPPPFKHLPHYYAENVSMDNLCRLHGWTLRPEPRRVFDGIIFSNELDLLEIRWRELYPYVMKFVILEANTTFTGLPKPLYFSDHRERFAFAEDKIVHGVFPGRIASPELHEDPFKLEGQQRIAMNRLLHAAGIFEDDLLIMSDTDEIPSHHTIKLLQWCDGMPPVMHLELRHYLYSFEFPVDYSSWRASVHIYNRWTKYRHSRQSDIILSDAGWHCSFCFRNLQDFVVKMTGYSHADRVRRTNFLKYSRIQKLICEGDDLYDMLPEEYSFHELIKKMGSIPRSASAVHLPTHIIENAGKFRFLLPGGCQRSPR >Solyc07g039388.1.1 pep chromosome:SL3.0:7:47534209:47534775:-1 gene:Solyc07g039388.1 transcript:Solyc07g039388.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLKTIIKSIQGCAEETLDLLEKMEETDKEAWECLKRTFMDRNNGSRVIITTRNQDVTERADNRGFVHKLRFLSQEESCDLFCWKLVDVQAMVQAMESLAKDIGLPLAIVVLSGLLPHRWGLDKWQNVKDCLWKDIEEDSIEISYILSLSYNDFSAALK >Solyc02g011920.1.1 pep chromosome:SL3.0:2:14163870:14165538:-1 gene:Solyc02g011920.1 transcript:Solyc02g011920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTNGFGSITMGSNARDLVQTWDLRSQERSVQDNGIIFYRIGRAIAQNMGSYLYKWYFKHGVSMKILSILLYLLSCSAGSVSQDIRSLSVPDEKNGITSYEIVENNSYLVHGILEVNGSLVGSSRTEKDCSQFDNDRVTLFLRPKPSNPLDMIQKGSWSIHDKIFLYEKYESELEEGEGKRALDPQEDLFNHIVWAPRISCPFGFQFDCIERPNELGIPYWSRSIRGKLIIYDEDEEHQENDSGFLQSATMQYQTRDRSQGIFDSQFIWDPADPLFFLLKDQPSRSVFSHRELFADEEMSRSFLLPKQMLLHLYINNMLLLKHRKIEILDQNTMYGWYELPKQDFLNNKQPVQIFMTKKYCILFRIGPERIRKAGMPMGMYYIVFTR >Solyc11g039580.1.1.1 pep chromosome:SL3.0:11:43797966:43798160:1 gene:Solyc11g039580.1 transcript:Solyc11g039580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMVLTFILLLHYDKYIYLIKLSFHHYYDLLSFISPYYYYKFFFVSYILIIATTIYFIFYLLFF >Solyc11g006520.2.1 pep chromosome:SL3.0:11:1172150:1184795:-1 gene:Solyc11g006520.2 transcript:Solyc11g006520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAISSLMYTLEQLFKPNQPFVCRSYTQHVQSLCQNLSALQDLLDDTTKDIETLKVTEKRMRNVIYKAEYRVDSSLRRIILADREDKRQKAYTSFYEELLKVKGQIYFLIKEVMQINIKKHGSKSAAFSQDQKSTIVENTVVGMKDDFNTILDRVTAQTNELTVIPIFGMGGIGKTTLAKKVYDDSFICSRFDKLAWVTISEEYNERQMLLEVVSSVTTGGNQEKSDDELMEIVYRGLKGRRFLIVIDDIWSTEAWDQMQRIFPNDDNKSRILLTTRLKYVADYVNCPDFPPHSKSFLSLEDSWNLFTEKIFKKDPCPPLLEEIGKHIVQQCQGLPLSIVVVAGLLGKMDLTHDNWKNVEENLSSFFGTVSERCQSILSLSYNYLPQYLKACFLYVGGFPEDREINVSQLIRLWIAEQFVKARKDKRLEVVGEEYLQELVDRSLILTGKQSANGRMRSCKIHDLLRQLCLSETHTENVVHVINGNVVVSSVEVIDDQRRVIGLHKHKEKQVYRPAHSNDITSITRTFISTQYLKTYSTVSEFKLLKVLDVSSVYYDFSCVIPELVHLRYVAAKIGKGVSLAKLRNLQTIILGGLGRKELEQPLDIWRMSELRHLDIRSAPLYICNPLEAENRLFLNNLQNLYVYNSPFIAEIIRKTPNLKKLKVLDDSERPDWSAILDSLILLKELETLLIKLESIDINIFSGDILPCKIKKMTFSSNIKKLILLDTCIPWEVVNLLANLSNLEVLKGYRSFNGKYWRLNEDVVFRKLKYLQIVYIATERWKVWRDKQFVGLEMWELAAGSNNFPMLEQLKLYGLHKLEEIPESIGDIMTLKFIEIKRCSSGVENSAKRIQQEQESLGNYELQLQIITAKVFSESRIVEYNVTEKEISPGNTEILIDNKQYYKFLGKDIHMISLIKLYTEGGKVIRHEDWVGYWLMSLLAISYDMILPNDVPFLAKRVRLAKFFIATIWDKKPLRNRETVNVPLVGRMIEVSRRASMFLTHALMGFGKDPSIRINEDEEEGSRNMRRVFGAKKQTEPPPSIQDASDRINKRGDTVEEKIKKLDVELARYKEQLKKTRPGPAQDAVKARAMRVLKQKRMYEGQRDMLFNQTYNLDQVSFAAEGIKDAQQTMSALKSANKDLKGMMKTVKIQDIDVCLLIMNLQDEMMDLMDVSNEIQESLGRSYSVPDDIDEDELLGELDALEADMDTEGEGVPSYLQPDKEPDLDAELSLPSAPTGHGPMPAGNQAYDELGLPAVPRASIRG >Solyc06g082995.1.1.1 pep chromosome:SL3.0:6:48626355:48626993:-1 gene:Solyc06g082995.1 transcript:Solyc06g082995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVANLQRFASRIARNPSIFSITRSSASSCSSRSGSAKVADRIVKLFAVDPEGCKREIIGLSGQTLLKALTNHGLIDPDSHRLEDIDACSSECEVHIAQEWLEKLPKASYDEQYVLKRNSRARVLNKHSRLGCQVVLSPELQGMVVAIPEPKPWDIP >Solyc10g005280.1.1.1 pep chromosome:SL3.0:10:212106:213314:1 gene:Solyc10g005280.1 transcript:Solyc10g005280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALRSKLRFITSQHHHHLRRYSAASILNPDSKSPLSGKDKSRAALTLLKSETDPQRILDICRAAALTPESHLDRIAYSKAISKLKDLNHFSGIRSFLQESTTRPDLKSERFISHFIVLYGQAGLLDEAKRTFEEMEKMGIQRTERTLNALLFACVLAKNYAEMKRVFVEYPKKYGFVPNLDTYNVVIKGFCESGSASSVYSILDEMKRNNVKPNAESFGNCISGFYKEEKYEDVGKMLEMMKGYKMVTGISTYNVRIQSLCKLKKSAEAKALLDGILSRGLKANCVTYGHLILGFCKEGKLEEAKSMFQKMVHSGLKPDAECYFTLVYYLCQGGDFEAALEMYKKCLADGWVPNFSSMKSLVEGLASISKVDEAREVIAQVKEKISRNVEKWNDIEEALPK >Solyc01g016350.1.1 pep chromosome:SL3.0:1:18967420:18968313:1 gene:Solyc01g016350.1 transcript:Solyc01g016350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIYLGAKSLSGSSYYIPSLLSISTVDAIEVLNAKSCGEDIPATTIRELVDIKVVCQLDKRNLLRCQLLMEHLSDQSKR >Solyc07g054500.3.1 pep chromosome:SL3.0:7:62930787:62942777:-1 gene:Solyc07g054500.3 transcript:Solyc07g054500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKRSVLGCLSLEILVGILLIFAVQLSDETDPGDVAAINALHASLGSPSLPGWGVSADPCDGQWQGVVCEETNIITIQLNAANLAGELGDNLASFSSLKTIDLSNNHIGGTFPSSLPVTLQNIFLSDNDLTGSIPSSLSSLSQLSAMSLNGNQLTGELPDSFQGLTALVNLCQVTVSAEPCRHQ >Solyc05g055400.3.1 pep chromosome:SL3.0:5:65904273:65906754:-1 gene:Solyc05g055400.3 transcript:Solyc05g055400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFSTSSLSPYYHLIFTILAFVISTLIYSLTKKNKSKKLRLPPGPPGWPVVGNLLQVARSGKPFFQIMRELRQKYGPIFTLRMGTRTMIIISNADLVHEALVQKGQIFASRPRENPTRTVFSCDKFTVNAAVYGPVWRSLRKNMVQNGLSSIKLKEFRAVRKSAMDKMIDKIRAEADANEGLVWVLKNSRFAVFCILLAMCFGVEMDEKTIETIDQMMKSVLIALDPRLDDYLPILSPFFSKQRKRAMDVRKQQIETIVPFIEKRKKILESPEIDETAASFSYLDTLFDLKIEGRNSVPTNTELVTLCSEFLNGGTDTTATAIEWAIGRMIENPSIQSRLYEEIKNTVGENKIDEKDIEKMPYLNAVIKELLRKHPPTYMSLTHAVTEPAKLGGYDIPTDVNVEIFLPGISDDPNLWSEPEKFDPDRFFTGKEEADMTGVSGVKMIPFGMGRRICPGLNMATVHVSLMLARLIQEFEWSDPENTRVDFTEKLEFTVVMKNTLRAKIKPRM >Solyc08g059790.1.1.1 pep chromosome:SL3.0:8:45488399:45488551:1 gene:Solyc08g059790.1 transcript:Solyc08g059790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHEKVGWLQVIGGIEFIFLDLFILFYYFIAFPSVCHRMWIHQFVAGLAL >Solyc10g078770.2.1 pep chromosome:SL3.0:10:60610829:60611704:-1 gene:Solyc10g078770.2 transcript:Solyc10g078770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LE25 description:Protein LE25 [Source:UniProtKB/Swiss-Prot;Acc:Q00747] MQTGKDAASAAKAGMEKTKANVQEKAERMTTRDPLKKEMATEKKEDRVAAAEMGKRDAKAQHAAEKQGAATTGTGTTGYGATGNHTTF >Solyc11g069230.2.1 pep chromosome:SL3.0:11:54160140:54163558:1 gene:Solyc11g069230.2 transcript:Solyc11g069230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVIDIILRVDTICKKYEKYDVEKHSANDSPGDAFARLYSSFESQIDATSEKAEMAAMETNRAKAVAMKAEVRRTKARLMDEIKKLHKLAQKKVKGLSQEELETRGDLVHMLSERIQAIPDGSINAAKTTGGRGTSSFNKNIKFDSEGQFNEGFYEQTEEGTHFRSEYEIRKMKQDEGLDVISEGLDTLKNLARDMNEELDRQVPLMDEIDTKVDKATTDIENNNVRLRDNINKIRSSKNIFIDIILICILLGIMAYLYNVLK >Solyc05g050670.2.1 pep chromosome:SL3.0:5:61639525:61645913:-1 gene:Solyc05g050670.2 transcript:Solyc05g050670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHPLFPRFTPEFTYDPRPGYVFIERNARTKYVLLEIIGINYLQLLHTNDHNTSDLIYKACYYTSDWVDNDNFLPSGYATIKFIRGGPEVLRSKLAEEQPIPDPNIINVEKWAIQKYHGGYCVALPYMSEGSLRYILSTRFHNGLPEDCIAIALKQALRGLFVLHNLGLIHKCFSAGNIYVNFKSNVEIKLGFAATIYDSELESPLFVSHGTELGVDSTVTDLQDDPPGHPNLELGELYRWAAAPEVFYSPCDDAHTVHSDIWLVGVAALELAYGNLRISDHEEFEAMIKKIKRSRRLPDKLEDVLEEINVEEGKGKGKMKKVLVYLNDKLKCVKGKRKFSKEFEELVLDCLSSKESKRPSVGDLLQRPFFRNAKNLQWFQRRVLYAKNPMAYC >Solyc08g006220.3.1 pep chromosome:SL3.0:8:912641:916154:1 gene:Solyc08g006220.3 transcript:Solyc08g006220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVASKKPRFFKPIQPGYKHCIKIPIGFLKYLKGLNHIKQAILRSRGKKWLVKVTGWRLEEGWKKFAKENDLQLGDLLIFKHEGDMEFEVSIFDSSHCDREYAEYLQEEEGNYVDATSKKVEKDLRHKTSDMTTPISQTPASTSADADPHFISTIRRYTFTKAILYFPMRFVKSNGLMSRSEMILVDEKQRSWSVLLGQMEHHFGIKRGWPQFRKANGLQEGDTYKFELTNNGTIPIIHMSKYSGN >Solyc11g032230.2.1 pep chromosome:SL3.0:11:26152395:26152998:-1 gene:Solyc11g032230.2 transcript:Solyc11g032230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKVNDRTDQHGGSLENRCRFALEIVEAIVNKIGADRVGTRLSPFADYMESGDSNPRALGLYMAESLNKYDIAYCHIVEPRMETIGVEVECPESLVPLRKAFKCTFLVAGGYDRGDGNNTLLEDRADLVVYGRLFLANLDLPNQFDLDAPLNKYKRETFYISNHLVGYTDYPFLETTKDH >Solyc02g036290.3.1 pep chromosome:SL3.0:2:30964546:30970534:-1 gene:Solyc02g036290.3 transcript:Solyc02g036290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSAFKEILLRPEEEKALMDASQYACSDLGSSGIEVMWNVLTSKNHEWTNSPNVLSALRALMMRLCARYLMKEKKRGKALDSVANFHLQNGAMIGRLNWMADRSQNGLTQSEGIMVDKGYPDHIFT >Solyc10g055110.2.1.1 pep chromosome:SL3.0:10:56313909:56314127:1 gene:Solyc10g055110.2 transcript:Solyc10g055110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAKKITLELIQLQSEGTNPNSFSFDHLVQSHEAIPSNSGEVSDSRKFQEDEVMTALKNKGVTMIGICGMGGS >Solyc07g052830.3.1 pep chromosome:SL3.0:7:61415180:61416346:1 gene:Solyc07g052830.3 transcript:Solyc07g052830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKIKSRLVDQPPSASSSEDQELTAHPVVKRFLTQKLQLSSESGSENGSGSESEAESGHSLPSPSASDFTVKPSVPAKAAAPSKSVAKRPQKAQKEKGRKKPKIAEEEEKKSAATPRFLWSDDDQLALLKGIVEYKEVEGMEPNADMSAFHEFIKGKLQVEVSKSQLSEKLKRLKKKFLTNVKGGEEPVFMKDQDFSVFQHSKRIWGAPGTSNGVKEILTNSTNGKAKKAVEIKKSSEPKESAKVSKPKDDEKHKEVEEQVAVKEVVREDIVKDDHQDFQSEYPRLAASFESMAGMSAMYPNGSSFLKEKLSLIATDKAKELEEKWKKLEDDEAALMVKRLDFIAEHYKLVVDAMRGN >Solyc10g083630.2.1 pep chromosome:SL3.0:10:63531147:63542107:-1 gene:Solyc10g083630.2 transcript:Solyc10g083630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METGQGSSWIPATPGKPSFAESPPICSTGQENQQAQVDLSDLQRKQAVEHANGSTAEAQNAVEHANGSTAEAQNAAANRGSTSSVEDQCFTTSEAVVGTKSEMCGGGINMYNNFPSDNVELWSSMSFGDLLAMAHAGGSGTTPADETAYSVKSSFQPLINTQNADESSILSSFPFNLNSPPKMTGATLSSNIQFQFEPVTPDMMKIKGQASNASNLDINVTTAARVIQSNEDIIKGAEANELQQNKEQSVLILEGKLDTELNNTPEQKTRRRKHRPKVVVEDKPKRTPKPKIQKQPGAEETKTEKRKYVRRNKVGEPTATFADEVSNTICHEGKPPSSEKTPTAKRKYVRRNQVNKSTEKPSEEGSSVTIGTPAATSTEEVKNTTFHVGKAPSSEETPTAKRNVRTNQVNMSMEKLSEEGSSGTNDPSEVPHSRKSCRKSLSFELESQASDEYSSYRPSTLDLHANNSGSTAQSVQLGQGKETTSEETEMGITHNITRSLNQEVRNYLSQPRMQYPSPPTPDKVGWNHDKTMVGNHNESTRGNSRIIFSDVTHDKQASILQMTPQSLNSNCGSSSCLPHGKGLKRQHSCRTDEAQFYSINAKGTYFNSMQAYQAILPANKPDVYSNVGMHFPAIYKKMRAEKGHISTSSYIKLFTGETNYVSSSQCYISGSPSNNSATNIGNYGMWNSNVMPAFVEAERLRNKISNGPTQVHDIASLHEIYKQFPTSTSKELTKYGFGERYKTSHLSSACMGTPIADTQAATKKKRQSKKSILVSSAASNLYTHQHVAKNARGSLPALTWRGMSPIDEIAERLRLLDLNRESSQNQGPHGITYHTKFQRESALVLYQRDGSIVPFGSSLVRKRKPRPKVDVDDETDRVWKLLLQDINSEGIDGTDEDKAKWWEEERRVFNSRADSFIARMRLVQGDRRFSPWKGSVVDSVVGVYLTQNVSDHLSSSAFMSLAAHFPLKTDSTQKHEGNTGIIIEEPEECATDPNVSIRWYEDQPNQSTHCQDSSGVYNTDSNEEKPAVNDSESSENSTECIKSAECSVILQSDSSREGSDLYHGSTVTSSQDRKELNDLPSSPSSVVSSEISAVIQASEGTDSSNFCSSTSFLKLLQMAGTSGAQGTRCTEHLQEGENVPFLEKELISPKKSVLSAESAHSALYTTPQNKLDIETMTDAEDNVELQFPTEDSNSNVQQVPEAPACSETIVNVTERASIVFDSCKPEQRGLESSLKNDSNHVRSKVDKVNDNPSKAKNGQLGKEKENIDWDSLRLQAQANGKKRERTANTMDSLDYEAVRCANVNEIAHTIRERGMNNKLAERIQAFLNRIVSDHGSIDLEWLRDVPPDKAKEYLLSIRGLGLKSVECVRLLTLHHLAFPVDVNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQQYLWPRLCKLDQRTLYELHYHMITFGKVFCTKSKPNCNACPLRGECRHFASAFASARLALPAPEEKSIVSATEQKATNNNPRENFTHLPLPLPPGNQQPVENQKLINSAPIIEVPATPEPIVEVPSTPEQEQIKAPEIDIEDAYFEDTNEIPMIELNMAEFTQNVKKYVENNMELHQVEMSNALVALTSEAASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGLDKREPDDPSSYLLAIWTPGETANSMQPPETQCNSQESGELCEDETCSSCNSIREAQSQTVRGTLLIPCRTATRGSFPLNGTYFQVNEVFADHDSSLNPINVPRDWLWNLPRRTVYFGTSIPTIFKGLNTESIQHCFWRGFVCVRGFDHKTRAPRPLLARFHFPASKLNRTNGKTNEDKGVAS >Solyc12g042270.2.1 pep chromosome:SL3.0:12:58349164:58349849:-1 gene:Solyc12g042270.2 transcript:Solyc12g042270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIVDCGSVDINSNPVLKSETGNCRACPFIFVVSGNEFCELLALFGIGGNLVTYLTMKLHEGNVLGARNVTTWLGTCHLTPLIGAVMADAYWGRYRTIACFSIIHFMVRFILHKRKKNSLFVAYSYSVISPFIPDSFS >Solyc09g008780.3.1 pep chromosome:SL3.0:9:2206586:2211433:1 gene:Solyc09g008780.3 transcript:Solyc09g008780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKGFVIYLLAGFSVAILSVFLVQNFKNGDFEPKLYRSSNLLQRPIGSEDKVWPELEFSWRIVLATVIGFLGSACGTVGGVGGGGIFVPMLTLLLGFDTKSAAAISKCMIMGASASSVWYNLRVPHPCREAPILDYDLALLFQPMLMLGITVGVSLSVVFPYWLITVLIIILFMGTSSRSFFKAIEMWKEETILKVTSTYPIIFLPNVLEHIFDVVIDTVYEPLVPKEEKTALEIFKFNLNLKRILVLFVVWFVFLLLQVFKASGLLNMNDLVACTPLYWVLNLLQFPVALGVFGYECVKLYKESKKRRLEGNQESVCEADIQWTATNLIFCALCGILGGTVGGLLGSGGGFILGPLLLEIGVIPQVASATATFVMMFSSSLSVVEFYLLKRFPIPYALYLMSVSILAGFWGQCFIRKLITILKRASIIVFILSGVIFASALTMGVIGIEKTVRMIHNHEFMGFLDFCSSQ >Solyc04g081180.1.1.1 pep chromosome:SL3.0:4:65272925:65273164:-1 gene:Solyc04g081180.1 transcript:Solyc04g081180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANHQELSEIAAQCAAMTELEREVMDILLSLPELIADLRVYGVDQLIAWGWKRRRSAVNYPRTPLPSPQSSPSPPPRDN >Solyc03g116460.3.1 pep chromosome:SL3.0:3:67364333:67366964:-1 gene:Solyc03g116460.3 transcript:Solyc03g116460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMSLNSLNYSTYTLARMNSHHSHNRTRKSPAFVTPPSSIISQTEESRNLANSMENSPLDMASKCPDSSVLLTHENAIGIIGGLSIGTTLNFMSKLVTWSSKDGGNSIPFVLCSDPVLNKELSWNERGSISYLTGKNENLLKDHAPIVENLRHKRIFLEKSGARCIVMPCYVSHSWHHEVALGSSVPILHMGECVAKELKEANLRPLEAGSTLRIGVLASDATLSAGFYQEKLQNEYMTSSRNNHLSSIYPQPSYVIQLCLLVFIRRNFKMRLPTLHDSNRLPLSPLEVIAANKLSMPSYILATAPFLFTFEPFHAVAQKDLATLEQTDQGFSSLRTKASYDGEGKTLFL >Solyc06g035430.3.1 pep chromosome:SL3.0:6:24399273:24404948:-1 gene:Solyc06g035430.3 transcript:Solyc06g035430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKEGVNSSEVMVKSNEISENYRMNPSNENVNGFNGAMAAVVPANPMSVAAPSTEGNKKRGRPKKYRPDGSLNTALSPMPISVSIPLSGDFSGWKNNGSRPVESFKKKQQKIELGIPGERVAYSAGANISPHVAYSVGGNFTPHVFTVNAGEDVAMKIISFAQQGSRAICVLTANGVISNVTLSQLSSSGGTLTYEGCFEILSLIGSYMSSDTGVTKSRSGGMSVCLSGPDGRVFGGGLAGVFMAAGPVQVVVGSFIPCHQQEQNPKKQRLEHAATFSTAIPTTQIYAERTDGAYTGPSTNFTSPIPFPGVNTVSLNSIHSSRISALENNISMPEEESNEQSPSS >Solyc10g079820.2.1 pep chromosome:SL3.0:10:61439485:61441402:1 gene:Solyc10g079820.2 transcript:Solyc10g079820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dehydration-induced protein ERD15 [Source:UniProtKB/TrEMBL;Acc:Q9LKW3] MALVSGGRSTLNPNAPLFVPSFVRQVEDFSPEWWNLVTTSTWFHDYWMSQNQGEEYGAGNDVADLLPENIDLNVDEDILNMEAQFEEFLQSSENEQQGIKSSLYGVNAMPQYGLPSDALIRTLSSPRSPIGPPKYFEKPSKIVSPRNSFRSIQQPR >Solyc10g054610.1.1 pep chromosome:SL3.0:10:55629634:55630154:1 gene:Solyc10g054610.1 transcript:Solyc10g054610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLEHDLARDILKSELFDLRGVGGENLSQVRYFGWDSPSDQIDKINDSGIQELSDKIGKLIHLRYLDLSDTKITTFPHSICEIYNLQTHRFYKFGSLLALPYEMGNMISLQHIYFCSRSQTPLNMGQLTCFRPYNISMWV >Solyc05g046010.3.1 pep chromosome:SL3.0:5:58895165:58897161:-1 gene:Solyc05g046010.3 transcript:Solyc05g046010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4C0T4] MSTFVYLCPLIFMCILVSSNAQLQQNFYAKSCPKAEKIILEYVHKHIPNAPSLAAALIRMHFHDCFVRGCDASVLLNFTSSSGSQTEKVGIPNLTLRGFSFIDDIKKIIEAECPGIVSCADIISLVARDSIVVIGGPFWNVPTGRRDGTISIASETLSDIPSPFSNFSTLQNDFAKKGLDLKDLVLLSGAHTIGVSLCTSFSNRLYNFTGTFGTQDPSLDSEYAANLKANKCKSINDNTTIVEMDPGSFKTFDLSYYKLLLKRRGLFQSDAALTTSTTTKRYIDQLVAGSLKEFYVKFAQSMEKMGRIEVKTGSTGEIRKHCAVVNS >Solyc12g089070.1.1.1 pep chromosome:SL3.0:12:65191295:65191645:1 gene:Solyc12g089070.1 transcript:Solyc12g089070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLVIQILTGTLFHIRVAKDESVANLKKEISKQENLPENRLILTLDTGHGESIMLNDDEISLVDYGVKDGSHFYLFFKLHDTNNSNNGENSNGDDVSFVNPETPVSREDSVTFVAK >Solyc12g039130.1.1 pep chromosome:SL3.0:12:53381046:53382005:1 gene:Solyc12g039130.1 transcript:Solyc12g039130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKINRLPIQAFPKWKRLRSKQLSASIRTKEPPNKKKGHVSSTWLRTPMSLNNGQVDKSMEEVNLSPNLSPCSSLTNLANKRKYKSPLSDPQSSHINSHSFHPNNFFNIKKNVKAIISLKQKKLPLTSLSTNPLSSTDNEQLLSHPSASCLTPPSSCNATLASTPPSTILDRTWTEGPSSLLYDGHKRGEYDDNSPKSQLPSSACNGRYEDGNEQLCKSHLDGKCVEPSSSSCGTNTLSQHATTLELSMSLQFIDKCDFSNSASILHSRRDRR >Solyc08g076620.1.1.1 pep chromosome:SL3.0:8:60680892:60682202:1 gene:Solyc08g076620.1 transcript:Solyc08g076620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSSHYYLFHFCLLFVTCLAQTNFHPKTLFLAVKQDPSTLQYITQIQQRTPLVPVKLAVHIGSENLWVDCETGFKSSTYKPARCDSRQCVLARSIACGNCNTENITRPACNDPCYNIVSNPAMNTFYSGGEIAEDVLTVQSINHSTPGPDSRGPVVTVPKFIFSCSPSLYTQKLGKDVKGMIGFGQQSPVSFVTQLASVFKFSRQFAICLSASPQENGIIFIGHRPYIFALGFDASRDLIYTPIITHPNFFLINRGSPEYYIQVTSITINEKPLVFNKTLLSLDENEENGTKLSTAVPYTVLERSIYDVVSKAFISEMPKEVKTVPAVQPFKTCFDSTYVGMSRLGYNAPRINLVLHKPNVRWTITGANSLVKVSDRVVCLAFVERNQTFGQAIVIGGFQMHDNLVEFDLARRRIGFSNSLYFRQTMCSNQFYTM >Solyc04g054378.1.1 pep chromosome:SL3.0:4:52357968:52358621:-1 gene:Solyc04g054378.1 transcript:Solyc04g054378.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGNVYASCFFIALTVRNGIEATWLSDGLLLHQSKYIHDLLERSNMLTCIDISTPMCPSNELNNVKRILQYLKFTSSHGILFSKQNSQQLQGYCDADWEGSIDDRKFTTGFVIFFGSLLLGVLANNDLSHDLLLRSNIAILLLPPVNFYGFVYY >Solyc03g121180.3.1 pep chromosome:SL3.0:3:70840093:70847534:-1 gene:Solyc03g121180.3 transcript:Solyc03g121180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYRQQKLAFFFVALLGFIHIRLNNAQSSTTLVPAIITFGDSAVDVGNNDYIHTLFKANYPPYGRDFVTRQPTGRFCNGKLATDITADTLGFTTYPAAYLSPEASGKNLLIGTNFASAAAGFDDKTAILNHAIPFSTQMQYYKEYQSKLAKVAGSQKAASILKDALYIISAGSSDFLQNYYINPYLNKLYTPDQYGSYLVGIFTSSVKDLYGQGARRIGVTSLPPLGCLPAARTLFGFHENGCVSKINTDAQQFNKKMNSAATQLQKQLPGLKIAVFDIFQPLYDLVKSPSDHGFAEANRGCCGTGTVETTSLLCNPKSPGTCSNATQYVFWDSVHPSQAANQVPCIECRIVHPLIIDVIRLATYCDHYIVFKCLANTHCTIPFWRMGIYNNRPALLLLLVALLGSAIRLSSAQESTTLVPAIITFGDSASDVGNNDYIITLFKANYPPYGRDFVTHQPTGRFCNGKLVTDMTADALGFTTYPAAYLSPEASGKNLLIGTNFASAAAGYDDKTAILNHAIPLSKQMQYYKEYKSKLVKVAGSQKAESILKDALYILSAGTADFLQNYYFNPYLNKISTPRQYSSYLVRIFTHFVKDLYGQGARRIGVTSLPPLGCLPAAKTMFGFHKSGCISKINRDAKQFNKKMNSTAAQLRKQLPGLKIAIFDVFQPMYDLVNYPSDHGKVETTSLFCNPKLAGTCSNATEYVFWDGIHLSEAANKVLADTLIMQGIYLLG >Solyc02g086830.3.1 pep chromosome:SL3.0:2:50077487:50084502:1 gene:Solyc02g086830.3 transcript:Solyc02g086830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSHSLASSACFSTTPARKFSGSDRFQLAKSLLCRKIPGFSGSVVCARRICSNYASSGDQSNYGKKLMDSIFVACTSVALSFSLYIADVDPASAFVVTSPRKLQTDELATVRLFQENTPSVVYITNLASRQDMFTLDVFEVPQGSGSGFVWDKNGNIVTNYHVIRGASDLRVTLADQTTYDAKVVGFDQDKDVAVLHIDAPKDKLRPIPIGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREINSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGNLIGINTAIYSPSGASSGVGFSIPVDTVSGIVDQLVQFGKVTRPILGIKFAPDQSVEQLGVTGVLVLDAPPNGPAGKAGLLPTKRDSYGRLILGDIITSINGKKVSNGTDLYRILDQCKVGEKVIVEVLRGDQKEKIPVLLEPKPEES >Solyc09g007930.2.1.1 pep chromosome:SL3.0:9:1438357:1439375:-1 gene:Solyc09g007930.2 transcript:Solyc09g007930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICPLHIAVNTLSISSPGDRTFSPVKSSDP >Solyc10g054660.2.1 pep chromosome:SL3.0:10:55657000:55662033:1 gene:Solyc10g054660.2 transcript:Solyc10g054660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSSSISRQRPSLKRRLKLRHVTERYLMVDLLFADRIRCG >Solyc06g035840.1.1.1 pep chromosome:SL3.0:6:25196626:25196844:-1 gene:Solyc06g035840.1 transcript:Solyc06g035840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRRRTLGTRLEELVAWCLEVRRWERGNSGNEASRSGWGKRTSGHNASRSGDEGGGPRGTTPRGRGVGEDL >Solyc04g077790.3.1 pep chromosome:SL3.0:4:62771810:62776777:1 gene:Solyc04g077790.3 transcript:Solyc04g077790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPN-loop GTPase 2 [Source:UniProtKB/TrEMBL;Acc:K4BUL6] MVFGQVVIGPPGSGKTTYCNGMSQFLQLIGRKVAVINLDPANDALPYECAVNIEDLIKLSDVMVEHSLGPNGGLVYCMDYLQKNIDWLESKLKPLLKEHYLLFDFPGQVELFFLHDNAKNMIMELIKKLDLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHVNVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQNEISQDPRSAKYRKLTKELCEVIEDYGLVNFTPLDIQDKESVGNLVKLIDKSNGYIFAGIDASAVEFSKIAVGPVDWDYYRYPFLTYKLIPNSQIPSTC >Solyc08g076770.3.1 pep chromosome:SL3.0:8:60819252:60820762:-1 gene:Solyc08g076770.3 transcript:Solyc08g076770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSTENNGASSHPSTTGATVVDGINAGLLISTTETIRLFLAGASAGVELSDDLRELASSLSDHPTVPYKHLRSVWIGSNPSTRPDLMGLLSGSNFVFCSPKPREKSEELKARLKKLEEASERKAYDELVKDITPRKPVDEPFSSYKDQMGFGLRDGVVKL >Solyc03g096190.2.1 pep chromosome:SL3.0:3:59495760:59500164:1 gene:Solyc03g096190.2 transcript:Solyc03g096190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILPKNSHFYAMKKKYTVVLSLLTFFSLILPYVLCSSKIQVKGNETDKMSLLAFKNMIIDDPFKIMDSWNETIHFCDWPGVSCGNRHCRVTVLNLTSLKLRGSLSPSIGNLSFLNVLKLQNNSFSGEIPSEIGYLHKLNVLRLDNNSFTGHIPSNISGCFNLVSVGLSYNMMVGEIPAELGTLLRLKQLSLVSNSLTGGIPPSFGNLSLLDTFSASKNNLLGKIPDELCQLLNLKYFVVNENNLSSTLPPCLFNLSSIVAIDVGTNHLEGQLPPLLGITLPKLEFLSIYRNNVTGNIPGTLSNATNLQSLIAGRNGLTGKVPPLGNLLKMRRFLVAFNDLGKEEADDLSFLSTLVNATNLELVELNTNNFGGVLPASVSNLSTELIELSLSYNQVSGEIPRGISNLKKLQAFFVAYNRFIGEIPSEIGDLMYLQELALLGNQFSGQIPISLGNLASLTKLTLRENNLQGRIPSSLGKCDKLELLDLGSNNLSGFIPSEILELSSLSEGVDLSQNHLTGFLPMGIGKLRNLGYLNLSYNKLQGQIPTTIGTCVKLEALDLNNNNFQGSIPSTMNNLRGLEFLVLSHNNLSGGIPGFLKDFKFLQILNLSSNNLEGAVPTGGIFSNATAVSIIGNKNLCGGVPELDLPVCIVGVKKERKSGFPLKKVIPVVSGLIGLTLIVCFLGIRQFSRLRKTTPTDIPENSTLRISYQCLLRETDRFSASNLLGMGAFGSVYKGISEHDGTVFAVKVLDLSHHAASRSFLAECEVLKNIRHRNLVKVLSACSGIDYEGNEFKAIVYEYMDKGNLQDWLHFTPQENSEPQEEHKKLGFIQRLNIAIDVACALDYLHNDCQPPIIHRDLKPSNILLDENMTAHVGDFGLARFVPPEIPNSSENSKSLTGVGGTIGYTPPELGMGSDASTYGDGYSFGILLLEMFTGRKPTDEMFKDNLNLHNYANAALPDRVMHITDPILLQERDELEMEYKLHDNTSSAGDIFLSFLINVIQIGVSCSAESPKERKRISDVVRELNSLRKLFLEQAYRKKKL >Solyc11g011060.2.1 pep chromosome:SL3.0:11:4170858:4183643:-1 gene:Solyc11g011060.2 transcript:Solyc11g011060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSSATARSWQLTQLTESSARSSQLTRWRYDVFLNFCGGDTRKNFTSHLYKALTNRGISAFLDEETLEHGDSISEQIVKVIEESQVAVVIFSKNYAKSKWCLNELVKIMECKEKNGQLVIPVFYDVDPSEVRYIRGTFAEAFAKHNIRYKDEVGGIHKVIKWMVAASNASYLEGCDIRERIESDCILDLVNEISSKLCKNSLSYLQDIVGIDTHLKEVRSLLEMEIDDVRIVGICGIGGVGKTTIARAVFDTLSSQFDGSCFLADIKENKHGMHYLQNILLSELLREKANYVISKEAGKHLIARRLRFKKVLVVLDDIDHKDHLDYLAGDLGWFGNGSRIIATTRDKQIMGKNNVVYEVTTLAEHEAIQLFNQYAFKEEVIDECFEKLTLEVVGHAKGLPLALKVLGSLLYKQDITVWRSVADRIKRNTSSKIVENLIISYDGLDREEQEIFLDIACFLRGKKQTEIKQILESCDFGAEDGLRVLIDKSLVFISEYDTIEMYDSVQDMGRYIVKMQKDRGECSRVWDAEDCKELIINNTGTIAVEAIWLTCFEQLCINKKAMENMKRLRILFICDGNVSDRITSVSSPPSLIDLEDVPYGSIEYLPSNLRWFVWNHFPWYSLPKNFEPQRLVHLDLRWSSLCYLWTEAKHLPSLRKLDLSYSKSLMQIPDFIGMPNLEYLNLEECSSLEEVHHSLVCCRKLIELNLQSCGSLKRFPCVNVESMEYLNLDGCYSLEKFPGIFGRMKPELVIHMQGSWIMELPSSIIEYRAGLTVLDLRDMENLVTLPSSICELKGLVKLDVSYCSKLESLPEKIADLENLKELYAPGTLISQPPSSIVRLNNLKFLTFAKRKSEDGVYFMFPQVNEGLLSLEELNLSYCNLIDGGLPEDIGCLSSLKVLDLTGNNFEHLPQSIVQLGALQSLTLSYCKKLTQLPELPQQLDTINADWGNDSICNSLFQNISPFQNDISASDPLSLRVFTARGKNIPSWFHYHGTGRSVSVDLPENWYASDNFLGFAVCFSGNLSNTTVDFIPLCDDGMSLMTQKLALSNHSEEFPETALHFFLVPFAGLWDTSKAIGETPNGPITISFSGEKKFGFRLLYKQEPNLEGLLQIRENNESMASSSSSARSLQLPQWKYDVFLSFRGEDTRRTFMSHLYQGLKNRGIFTFQGDERLELGDSIQELLKGIEESQVALIVFSKNYATSVWCLNELVKIMECKEENGQTVIPVFYDVDPSHVRNQRESLEEAFAKHESMYKDDAEGMQKVKRWRNALTAAADLKGYDIRDGIESENIQQIVDHISFKLCNSAYSLSSLNDVVGIHAHLEKLKSRLEIEIDDVRIVGIWGTGGIGKTTIAKAMFHTLSYQFKAACFLEDVKENAKKNQLHYLQNTLLSELLGETDDYVNNKYDGKSMILSRLSSMKVLIVLDDIDERDHLEYLAGDVGWFGNGSRVVVTTRNRALIEKDAAAIYEVPTLPNLEAMQLFNQYAFKKEVPDGRYENFSLEVVHHAKGLPLALKVWGSLLHRKGLTQWRRTVDKIKKNYSSEIVEKLKISYDGLEPKEQEMFLDIACFFRGDEKKKVMQIFESCDFGPEYGLDVLIEKSLVFLTEDDTIQMHDLIQDMGKYIVKIQKDAGECSRIWEYEDFEELMVNNTGTKAMEAIWFRYDEKISFSKEALENMEKLRILCIWSQDCSPCHDGSIEYLPNNLCWFVWNHFPWESLPENFEPKRLVHFQLRFSSLRHLWMGIKQFPYLRILDLSRSRDLTQTPDFTEMPNLEYLDLGNCVNLEEVHHSLGCPTKLKRLNLIYCKRLKRFPCVNVESLEYLDLKFCSRLEKFPEIRGRTKPSLEIKMWDSEMRELPSYIVQWLTLRHLESLVSLPSSMGMLKGLVILDVSNCYKLESLPEDLGDLVNLEKLDATGTLISRPPSSVVCLNKLKFMSFAKQRYSVSLEDGVYFVFPQVNEGLRSLEDLDLSYCNLIDGGLPEDIGSLSSLKELNLSGNNFEHLPQSIAQLGALRSLDLKECKRLKELPGFMGMPNLVTLNLSINNIGHLPQSIAQLGALRSLDLSYCKRLKDLPGFMGMQNLETLNLSNCINLEEVHHSLGLLRKLCTLKLTNCKRLKRFPALCIDSLDYLCLRDCSSLEKIPVILGSMKAELEIHMLDSVIRALGFRGFENLATLPSSICKLESLVSLNVSDCSKLKNFPEEIGDLKNLENLDARGTLISQPPFSIVQLNKLKFLSFAKRNSGGGFVDGVNFVFPQVDEGLRSLEHLDLSYCNLIDGGLPEDIGCLRSLKELYLCGNNFEHLPRSIAQLGALRFLNLSDCKRLKELPGFMGIPYLETLNLSNCMNLEEVHHSLGFLEKLCSLKLTNCERIKRFPVLCIDSLEYLNLEGCSSLENFPEITGSMNLKLKSGIRCLDLRGLENLVTLPSTICKLKNLVELNVSACSKLESFPKEIGDLENLEWLDAKDTLISQPPRSIVHLNKLHFLRFAKQESEVGLEDGVCFVFPPVSDGLRLLEILNLSYCNLIDGGLPQDIGYLSSLNELCLCGNNFEHLPQSIAQLGALRSLDLSYCKRLKELPGFGGMQNLETLNLSNCMNLEEVHHSLGCLKKLCTLKLTNCKWLKRFRVLCIDSLEYLNLEGCSSLENFPEILASMKLKSDIHLLDSVMRDLNSMYISLPRSLSQDIVSLSNAISASDSLSQRVFTIVHGGNKIPSWFHHQGINESVSINLPENWYVSDNFLGFAVCYSGNLIDITVDLIPLCDDRMTQKLELSTLPNYDSESSSGLECDTEPTIHFFLVPLAGLWETSKANGKTPNDYGLIKLLFSGEMKEFGFRMLYKDEPTEHCIGTKRNRSDDSEHHDEVGCSSSKKQRSHF >Solyc06g005680.3.1 pep chromosome:SL3.0:6:747295:750711:1 gene:Solyc06g005680.3 transcript:Solyc06g005680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHITTITDSCIVNINHVVSTLRRFSMGEEVKITDGDGYAGDDNRVGEWEDGLPSFDDLTPLSQVLIPPELASAFRITPEPAKTMTDVNRASESTFSSLRAGQLHMLSEKYNFNEGRNGDRNHENDEMDLTRDGSESRKTRRLDPEMVTEEADSALRNENCGDDNSAKTLKRPRLVWTPQLHKRFVDVVAHLGIKNAVPKTIMHYRSIGCI >Solyc12g014395.1.1 pep chromosome:SL3.0:12:5274778:5277274:-1 gene:Solyc12g014395.1 transcript:Solyc12g014395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHYYCSLMFTCPSPKSLSNKTPFLGFSMAAPSSLTFSGNNRTAQIRCQDKAAYIPLDQRWMFEESEIDGPDIWNETWYPKAADHVNTDKPWYIIDASDKILGRMASTIAIHMRGKNLATYTPSVDMGAFVIVLSLTVNHFLFLLEHQKKVNAEKVVVSGKKRSQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRQLFTHLKVYKGPEHPHEAQKPVELPIRDKRIQKQR >Solyc03g114495.1.1 pep chromosome:SL3.0:3:65960814:65961716:-1 gene:Solyc03g114495.1 transcript:Solyc03g114495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQCYSLPESVAETFADTGSVFPGCFRQSNCCQPPIYCGLEFHSVTNWTMPKSGLAVADNDCKIWSNVQSELCFNCQSCRIPFVDELHFEWKLCSIACFVLFLVLYFVCSVQWCIRRGRNQMDAKNAKHEPYSFVPFPFTLDQSFVWMV >Solyc10g017530.3.1 pep chromosome:SL3.0:10:5476486:5492063:1 gene:Solyc10g017530.3 transcript:Solyc10g017530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPRGRYPPPGMGSGGGGGGRGGGNMYPNANPNFQPRNPQQYVQRGPVNQQQQFQNQQAQQWLRRNQLASDSTVDEVEKTVQSEAVDQSSQDWKARLKIPPADTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDVNAIQVVILVPTRELALQTSQVCKELGKHLKIEVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLARKGICVLKDCSMLVMDEADKLLSPEFQPSIVQLIRFLPANRQVLMFSATFPVTVKDFKERYLQKPYVINLMDELTLKGITQFYAFVEERQKLHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCL >Solyc07g056690.2.1 pep chromosome:SL3.0:7:64617796:64624253:-1 gene:Solyc07g056690.2 transcript:Solyc07g056690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLSTIKKVSTFSSTTNRSWTIWSKDGGCPFGTVPVKRMTKDDLIRLTRMPPPEDVTFNAEYDVVAIAQISYNPHNKFAGAGMKTAVYNPQVNGQQHSGCRLKIHKGSDILQAGWRVDPTLYGDTKTRFFIHFQAGKIQCFNTVCPGFVQVNHDVPLDGSFTDISEHGGEIWSLKIYINRDSSGNWWISLNDDFTQIGFWPQSLFTDLKSFATNVDWGGVVYSPPGVPKPLMGSSYFPIENSSYDAYCDDVAIVNEEGKIIEVDTLATHTDNPYKLAFSLPPNKSRGILLSTRITPLILHTVKEKERQQENQHIFPLFSVCQKPTSSLSLPFLSHRTVPACFTENGRNRSMDYSDVGPSEDHRCVPAVNPTASASSSSPSGGLACAIAALIIYVILSI >Solyc08g068300.3.1 pep chromosome:SL3.0:8:57460492:57468215:1 gene:Solyc08g068300.3 transcript:Solyc08g068300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFYFKARPETGDYTIFMGLDKYENEELIKFGFPEDIWFHVDKMSSAHVYLRLNKGQTFDDIPEGVLEDCAQLVKANSIQGNKVNNVDVVYTPWQNLKKTASMDVGQVSFHNPKMVRTVRVEKRINEIVNRLNRTKVERKPDLKAEREAVNAAERAERKQQLREKKRREDMDRLEKERQAEMRSYKNLMVADKMTSNKDIASANKSLQEMEEDFM >Solyc08g082170.3.1 pep chromosome:SL3.0:8:65152597:65155766:-1 gene:Solyc08g082170.3 transcript:Solyc08g082170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRWVGSIFLCIISTLLLTSWLVAAEGETCSGIVPMQYRNDKISILDFGGVGDGRTLNTKAFKEAIFRIQHLKRKGGTLLYIPAGVYLTGPFDLTSRMTLYLARGAVIKATQDPSQWPLVDPLPSYGRGRERPGARYMSLLHGDGLHDVIITGENGTIDGQGDVWWNMWRQRTLQFTRPHLVELMNSRGILISNVIFKNSPFWNIHPVYCSNVVIRYVTILAPADSPNTDGIDPDSSSNVCIEDSYISVGDDLVAVKSGWDQYGIAYGRPSHGITIRRITGSSPFAGIAVGSETSGGVVDVLAEHISLFNMGVGIHIKTNVGRGGMIRNITVSNVYMENARTGIKIAGDVGDHPDENFNPNALPVVKGIKIKDVWGEKVLQAGLIHGLKNSPFSGICLSNINLRGNPGPRNSIWKCSDVSGAAIQVSPFPCAELTSSQKTGACSTYF >Solyc03g033280.1.1.1 pep chromosome:SL3.0:3:4880242:4880652:1 gene:Solyc03g033280.1 transcript:Solyc03g033280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNNFPFPPPPPPSSDQSYTIVILVFSTFGCILLGLAILAFCTYFLKKKKKSTMLVEEKEVKHIDDHVKIKEAIVEGPYRKLETIVLSVEEDLRKQDDIIRTKKELEEVHHHNFIHANNKSSEITPSALEAAHRQT >Solyc08g041740.1.1 pep chromosome:SL3.0:8:25968752:25970527:-1 gene:Solyc08g041740.1 transcript:Solyc08g041740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTGVTIAHWPKLFFQKLGIKTTEDHGGWDWPFPIILVQILRTQDLKISKSLGISSSLLQMDGSSVFSSVELRLGHPSQQSKKLGSLATQTFEYHYIVKPIKYQQPLFPEPLMHKGLV >Solyc07g066240.3.1 pep chromosome:SL3.0:7:67857107:67860785:1 gene:Solyc07g066240.3 transcript:Solyc07g066240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTAKRHHTGEEGGAGSSDDVFEPSIDKFPVDVISCILEKLDLESVCSAACVSQTLSSAAAQVFTSLSSLDLSGHYLDEETLEQVARRVQGAKSLTIDCLQLKNGTSIFNILGEHIEELSLLKCSRVSYHILSAIRERCPNLRSLLIEFAGSEDPQLFENKLAEMLQKLTLLEVLSIKIRGTYFDVFDIRPLELFLPKSLRKLKLQQTEGDKFVHWLEKIRDIPWFNLQSLSLVLDVISDSLLRTVVNSLPLLVELDLEDRPFMDPTIEDLTNVGLQRVQSCKHLITLAIVRSSMNYRTAFRRVNNMGMFLLSEGCGRLESVKLGGFANVTDAGFSTILNSCRKLKKLEVLNSCLLSDLAFHNMRGVARSLIELRLLSCRLLTSEALEGLSLLSKLEVLDTSGCRSIGNPCLFVISRVTTLTKLNLAEADITDKGLALLGMGNLGITQLCIRGCKRVTDKGIERLFCAEGKIGKTLSLLDVSRMPGITDAAIFTIASAAKALTDLSLRYCFHVTDAGVKMLLDRPNHKVSLLQKLDLYKCRGLSGDWIMSSFCGLRWLGVGGTLLVNKRDDFSTICNVRPWLVVCFDGCEFGCHDGWQFHRIIIHH >Solyc09g090630.2.1 pep chromosome:SL3.0:9:70554626:70560376:-1 gene:Solyc09g090630.2 transcript:Solyc09g090630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNSKSRVTKAFEAMKVFGYSETVVKPVLRNLLNLYNKNWKLIEDENYSVLLESIIDSEESKEKQKSSMEDEPEENEPPLKRSRLYSQGNHSSAAKHDAGPSVDTCTSELQPYGKQKMADITTESCETQDVEMKPRFLLNHHQRKGKKQISSEASPVSEEDNDIVVLSDDDKQETRILSSHLKLKKRGDTSRLYSAVKPKRRLAYSSSLEEPNVMGSTDVSKEGALVEYSFSDAMPLSDTLPGFDVPLAVVPSDLERLNSEHLGTEGNKEDATNSSRLNIASTPNGEVKLSFVYKIYSSSDFCPPSLDAVFKRMEEKYMKSYRFSQPGFLLSLMENLCKCYLTAGTRTRTANEPSAGIWSQKLHPVGVRYDATNHELHFAPDTSNGSFKLSNLIKILPQIPTFTASGNRDIMCYMVDFNGTRINGAEKDNTNKLLKLLASSTMNNSVLVQSEHSSPGLRNSVYYIEDISNGQEEHKISLINAFSHVLPVFKYIPKNVIFQNAYVKFLLARISDDSCCSNCSGDCLSQDIPCACAGETGGEFAYTSGGLLKEKFLESCISMSCEPQKHGLVYCQDCPLERSKNNSVSGLCKGHLVRKFIKECWHKCGCSRGCGNRVIQRGIAVPLQVFMTADGKGWGLRALEDLPRGAFVCEYVGEIVTNTELYERNTQTASERHTYPVLLDADWGSEGVLKDEEALCLDATYYGNIARFINHRCYEGNLIEIPVEVETPDHHYYHIAFFTTRKVNALEELTWDYGIDFTDHTHPVKAFKCCCGSKSCRDTGL >Solyc06g007340.3.1 pep chromosome:SL3.0:6:1352118:1361770:-1 gene:Solyc06g007340.3 transcript:Solyc06g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISFQKNVISYFLVCLLCFTQFSSISCEKKVSLTLYYESLCPYCSNFIVNYLPKIFKNGMIDIVDFKLVPWGNTKLQPDNTFKCQHGKDECILDTVEACAIDAWPDLSEHFPFIYCVESLVYHKNYTQWETCFEKLNLKKKLVTDCVLSGRGNELELQYAAETNALQPPHKYVPWVVVDGQPLYDDYMDFISFICKAYKGTTPVPSCSSSINVIKIGRKFNPFCLKQTAMSKLSTHGPAECFLDTVEACALDVWPNLNEHFPFIHCVESLIYHKNYTQWETCFEKLNLRKKLVTDCVGSDRGKELELRHAAETPPHKFVPWVVVDGQPLYTDYREFISYICKAYKGTAPIPGCSSSDSISFTSKLSTISSTITSFVAQRVKLAASA >Solyc01g087020.2.1.1 pep chromosome:SL3.0:1:81817982:81818603:1 gene:Solyc01g087020.2 transcript:Solyc01g087020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVKAMAVFFAIVAILSAVASAQEPTLAPAPSPDQGAAFSLPISSAIICSSLIFSVAALLRN >Solyc01g100920.3.1 pep chromosome:SL3.0:1:90697421:90701021:1 gene:Solyc01g100920.3 transcript:Solyc01g100920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4B1C3] MGNELMVFMIMVIVQVAYAGMIIISKLVMDGGMNAFVQSAYRPIFATISIAPFAFFLERKTRPKMTCSVLFQIFLCSIFGITANQNIYFIGLKNSTPTIVSAIDNLIPAFTFIIAVPLGIEKLGLRSIAGQTKFWGTIICVGGAMLLSLYHGKVVIGQLGFHWKYAENTSKDVNSANSNFFLGPFLLIVSSLTYAIWLIIQARVNEKYAAPYTSTTLMCLMASVECVIIGFCVVPKLSEWKLNPIRAVSVVYNGAMATSFTYFLSSWCIEKKGPLYVSMFNPLFLVISAFLSWILLREKLYLGVVLGSIIVVAGMYGFLWGKKMETSAEDIDVLELTKEKKQLSTKLQVDLELQLTQNPKDNNNNNMKQITKSKTEL >Solyc01g079080.3.1 pep chromosome:SL3.0:1:78007994:78014646:-1 gene:Solyc01g079080.3 transcript:Solyc01g079080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRKSKLRDDLASDTWSFGSNYHQSSQSRKMSIGIVIDSVSKCRTQKVQQAEDQTHLAAVKTSSKEICVYNGTTMPQKTSSKGNFTNDRNKKEIVSTPTIRNQREPTEKQTSPWISTKALHHEPTSEADTQVQKTSTAQGVVEMCNTSHRVEVGPAECSLRSFLTQTRTLQFEKSKQVKEDASIERRGKYAPKVALKDMPEKAENTGNASLRLKLQEILGTVSSPTKQCPNSLVPEQGAKASEPEQKNSGNHVGEPKQNSDTIESDTQSYGYAIRRPTTRSLARKRAPAKLKSQNRKGPPACKEDHLEKNVFVPKDLLSRTLRDASTSSPLMVYGRRGKRKSHHMEAPKVCEQNNQMKDEDTNNKCRRVPVPEKFVHPGDGSTLFQEKNDKLVQPDAGNLESPVVEMTEQLRNLQEHIDQKGNSAEKFKKKALDSESDNQSPVFALKTPGRKSFPGFAPRSNLGQLHGDDHIDITSKTEGICKVKSFDGFRREYKSNTPEESSDDAGNLENSPFLESRHIEDTQIKFSKPSSMESDPEDSEDSSNIQADIQQPPSPEICNTGEQPPRPNKKLFNKGCANLSGVSLAATSSKGIDCRQFERHLEQNEEDVLTSAITLFAFSLEKVRSKLKSVTNQRSAEILKSVAEKIHMQLQNAEFQIQADMGRINSLNKSKRKHVEEVLQEKQKHLSAIYERFKEEVTRHLQDCKSTLESLEAHEVEVKATVEKRKTSNKKLLLEVEESIETQLDNAERRVSSVHHAAREKMRQLKFVVAECLKEGVLG >Solyc06g071500.3.1 pep chromosome:SL3.0:6:44162809:44167957:1 gene:Solyc06g071500.3 transcript:Solyc06g071500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETFVPLRGIKNDLKGRLLCYKQDWTGGLRAGIRILAPTTYIFFASAIPVISFGEQLERSTDGSITAVQTLASTALCGVIHSILGGQPLLILGVAEPTVLMYTFMFNFAKDRKDLGPNLFLAWTGWVCVWTAFLLFLLAVLGACSIINRFTRLAGELFGMLIAMLFMQEAIKGLVEEFGIPQRENPRQAALSPSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGTGWFRGFIADYGVPLMVLVWTAVSYIPARDVPQGIPRRLFSPNPWSPGAYSNWTVIKEMMHVPPLYIVGAFIPATMIAVLYYFDHSVASQLSQQKEFNLKKPSSYHYDLLLLGFLVIICGLLGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLASTAKKNIDKNANLGQLYRSMQEAYNEMQTPLVYQTPSGLGLKELKETTVQRASSTGYIDAPVDETVFDVDKDIDELLPVEVKEQRLSNLLQALMVGACVAAMPILKRIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDNHATFVETVPFKTIAWFTLFQTFYLLLCFGITWIPIAGVLFPLLIMLLVPVRQYLLPKFFKGAHLQDLDAAEYEEAPAIAYNMSYGDQDPQGRPACIDSGEVLDDMITRSRGEIRRSCSPRVTSSTPTSLDEIKSTHSPQLSQRAYSPRINVLRGERSPRFNGKGLEIKQTPSPQPSKLGQNDRGPSSI >Solyc06g069210.3.1 pep chromosome:SL3.0:6:43087239:43099785:1 gene:Solyc06g069210.3 transcript:Solyc06g069210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPRGGPERDKANSTSSSTPLAAVASFWKDFDLEKERSTLDEQGLRIAENQENSQKNRRKLAESTRDFKKASSEDKLSLFNSLLKGYQEEVDNLTKRAKYGENAFLNIYQKLYEAPDPYPVIASIAEKDSKLSELESENRKMKVELEEFRMEATHLKNQQATIRRLEERCRQLEQQMEEKVKEIVEIKQRSLAEENQKALEVLKEREQLLQDQLRQAQESVSTMQKLHELAQSQLFEVRAQSEEERAAKQSEFNLLMDEVERAQGRLLSLEREKGLLRSQLQTANEDNDHKNSDNLDADSAFENSLYAKEKIISELNVELHKLENTLSNEREEHINEIKKLNSLLNEKEVALEDLKKELQTRPTTKLIDDLRKKVKILQAVGYNSIEAEDWEVATSGQEMSKLESLLLDKNRKVEHELTQLKVQLSERISLLESAEAKVVELTAKVNEQQRLIQKLEDDILKGYNSKDRKGTRFDDWDLSESGATEPSENEEQRHVSSDQDQSSMLKVICNQRDRFRTRLRETEEEIRQLKEKIGSLTSELDKTKADNVKLYGKIRYVQDYNSERVISRGSKKYVEDLEGGFSSDVESKYKKMYEDDINPFAAFSKKERDQRYKELGLRDKITLSSGRFLLGNKYARTFVFFYTIGLHILVFTCLYRMSALSYLSHGEESLVSEKNLNLPHAL >Solyc07g016080.3.1 pep chromosome:SL3.0:7:6246748:6301668:1 gene:Solyc07g016080.3 transcript:Solyc07g016080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIISPFHKIGCGSTFVKQSITRRATPEQQEHDSLIMGPREQEVLPPLVNAIKTSVKKNSAPFHFPGHKRGMAAPSSLVDVIGIGPFLHDATELPELDRFSYPTGPLLEAQKMAADLFGASQTWFIVCGTTCGILAAIMSTCSPGDTLILARNSHVSATSAMVLCGALPKYILPEYNLQWDTAGGVTPSQVKIAIEELEQEGKKAAGVFITSPTYNGVCSNISEISQICHSHGIPLIVDEAHGSHFKFHPDMPKTALSQGADLVIQSTHKVLCSFSQSSMLHLSGDSIDRDRVHKCLQSLHTTSPNWVLLASLDATRDELSKNPNTLFSEVMELVQQVKELINHIPGVSLLDLSCFSNNFSFMDPLRMTIGVQELGLSGLEAYNILSTSHGIQPELIGTQSFTLAFSLGTTKEHTQRLVSGLKYLSINFFQEEREIIKIDHGMDRVPFGEVYMSCTPREAFFAKKKKVNFEKSIGEVCGEFICPFPPGIPMLIPGEIITKRAMDYLIRVKDKGAFLKGAADPLLSTVVVCDF >Solyc12g088360.2.1 pep chromosome:SL3.0:12:64753570:64755991:1 gene:Solyc12g088360.2 transcript:Solyc12g088360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEVQSNFTYMGRTFTGLGINESSSAFSDCNSDRSGEFPTTSSQSRRLLIACASDNYNSDELIQQLVSDLESNSIDVQKQAAMEIRLLAKNKPENRLKIARAGAIKPLISLISSTDLQLQEYGVTAVLNLSLCDENKELIAESGAIKPLVRALKIGNSTAKENSACALLRLSQIEENKIAIGRSGAIPPLVNLLEAGNFRGKKDASTALFCLCTVKENKIRAVQAGVMKPLVELMADFSSNMVDKSAFVMSVLISMVEARAAVVEEGGIPVLVEIVEVGSQRQKEIAAAILLQLCEDSVSYRTMVAREGAIPPLVALSQSGTNRAKQKAETLIGLLRQPRSGNAAATTPGRGSDVSF >Solyc03g117640.1.1.1 pep chromosome:SL3.0:3:68213968:68215185:-1 gene:Solyc03g117640.1 transcript:Solyc03g117640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNEFGDGVAWYRGAMVGKGSFGCVYLATLKNPKLNYSYFPPVMAVKSAEVSVSGSIQKEKEVLNNIKGCPYIIRCYGDETTTGQNGAMVYNLLLEYGSGGTLAERINKSGLSELEVRNHTRSMLRGLLCIHTNGYVHCDMKPENVLLVPNSSNGSTEFKVKIGDLGLAKRENQSKKRRLEPYWRGTPMYLSPEAVADNVQDCPADIWALGCIVLEMLTGKPPWDRDDEMDAEDVLKKIGGGKELPKIPGNLSKDAKDFLKGCFVRNPRYRWTAEMLLNHAFVDGLVEDEGVEPPLEVEDINIVDSILLVTESDDEFAYYSEDWSGASEDDSLGYWSEEDYEDEMTSYFDEEGIFEVEKSISVISSTIDGGSDHIIDPSVEVPSGSPSNNSPKCPLSITIPAGV >Solyc02g067210.3.1 pep chromosome:SL3.0:2:37963522:37970586:-1 gene:Solyc02g067210.3 transcript:Solyc02g067210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRRDSYGKRSHSQSDYGENGGNKRRNTRNDKDPFSIGPDDTVYRYLCSVKKIGSIIGKGGEIVKQLRVDTKSKIRIGETVPDCDERVVTIYSSSEETNEFDGTEVRVCPAQDALFRVYDKIISDDTTDENSEEASQVTVKLLVPSDQIGCIIGKGGQIVQDIRSETGAQIRILKDKHLPACALSSDELVQISGEVAVVRKALFEVATRLHNNPSRTQHILASDAPTIYSSRSSLIGSAGGAPIVGIAPLVGPYGGYKGESSDWSRSFYSAPRDESSSKEFSLRLVCPAANIGGVIGKGGVIINQIRQESGAVIKVNSSNAEGDNCVISISAKEFFEDTYSPTIEAALRLQPRCREEVERDSGLISVTTRLLVPASRIGCLIGKGGSIINEMRKITKANIRILSKEDLPKVASNDDEMVQISGDPDASKDALMQVTSRLRANLFEKEGPMSAFVPVLPYLPMSTDSDTLKYEHRDTRRQGREHSYSAGYGGTSDLPHADGFGSYGTLQSSSSVGYGAYEGYSPAYPKEGYSHGRSSGSGSSRQNSAPRRKSYGY >Solyc10g017750.2.1 pep chromosome:SL3.0:10:5897688:5900351:-1 gene:Solyc10g017750.2 transcript:Solyc10g017750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKFLAFEKEHMQTDSVMEPTLSFETSSCANGMDKKVRGSNKCKEIALLDIGQKLKVTFYNNRTVGKNSNLFSRHLGKIVRDHNICLLRISSWEPIKEEKLNHIWTVVKAQIQELVEFEPSLSSREIVEKCFATQTRSHVFGFGSGVKAKDLKGGASSKAELLAELRSTQKKKSVFERTEAIERIFF >Solyc09g090800.2.1 pep chromosome:SL3.0:9:70690312:70692334:-1 gene:Solyc09g090800.2 transcript:Solyc09g090800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARAVGRSLAGPLLFLNLLMYLIVLGFASWCLNRFINGQTNHPSFGGNGATMFFLTFAILASVLGIISKLLGANHLRAWRNDSLAAAGSSALVAWAVTALAFGLACKEINIGGWRGWRLRVLEGFIIVLGITELLYVLMLHSGLFNSTYGPGYRDNEYGVGAPHGGVPPHGGVPGSGIPEKGTGYTGARV >Solyc04g082600.3.1 pep chromosome:SL3.0:4:66314607:66320833:-1 gene:Solyc04g082600.3 transcript:Solyc04g082600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMAAYTDFSPPFAIVEGSYSKENTSAVKDELLEESNEIKTTSDKPLRDPSVVQYSVNSTALVGDADLDFEITEKGFQHPSEGQSNFEPIFRSGSCAEKGPKQYMEDEHICIDDLIIHVNEIAGSTSPAAFYGVFDGHGGTDAALFVRNNILKFIMEDPCFPMCLEKALKNAFLKADYAFADDSSIDIYSGTTALTALMFGRNMIVANAGDCRAVLGKRGKAIELSKDHKPNSASERHRIEKLGGVIYDGYLNGQLSIARALGDWHMKGPKGSAYPLSAEPELQETLLTEDDEFLIMGCDGLWDVMSSQCAVTMARKELMLHNDPERCSRELVREALKRNTCDNLTVIVICFSPDPPPRIEIPPTRICRSISVEGLNLLKSVLESNS >Solyc10g037890.2.1 pep chromosome:SL3.0:10:17982912:17999070:-1 gene:Solyc10g037890.2 transcript:Solyc10g037890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTIIQSPSLDACKSFKLLRSSSYHYTTPSIHKPNYCYFCVSCRDTGGRKFDSRERAKVHGKGKENVWSIDNEMAKTDKERDKPRRGRRKRGGKRMRNVTRKNKGDRVMISSAMLMEVETVLQTQEPVILPAWNTFISSVSGIWKGVGAVFSPITAEMEPIEIGYKNEHLFDCYTLSRIDIESSASASQRSLIRRKVNWVTVNPHGEVPESNGDDNRSLENFTDAALSSDIHDTPDRKSRNLPKFESFDFGKTDIMEEDIMGMEPGLVFFEDGSYSRGPVDIPVGELDESNYYLSPTFKFEQCLVKGCHKRLRMVHTIEFSNGGSDIQILRVAMYEEQWVSTANLADQSDMELDVKPFSQRRRIQPSELTGSWKVFEVSATPIYGEDNTTETTCGMPYVYLCTENLKKRSLPENPVYFGEEELLDMQDATVLWLPGGVTSYVDVNKDGTLCIGVGWYSDEGVNLVMERDYEADGKLKEVRSKSEMKRRWTNPPPM >Solyc02g086490.3.1 pep chromosome:SL3.0:2:49802677:49803470:1 gene:Solyc02g086490.3 transcript:Solyc02g086490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:K4BBF7] MGDRHAHQQRLHQSQKGPSASQILAIVTLLPVGGTLVCLAGITLVGTLIGLALATPVFLLFSPVIVPATLTIALAVAGFLTSGAFGITGLSSFSWILNYFRQGKSMVPESMEAAKRRMADAASHLGQKTKDAGQTIQSKAQEGKEGTKT >Solyc01g017220.1.1.1 pep chromosome:SL3.0:1:23812415:23812705:-1 gene:Solyc01g017220.1 transcript:Solyc01g017220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNLSVLTPNRIVWDSEVEEIVLSTNTGQTGILPNHAPIATTIDIGILRIRLNDQWLTMALMGCFARIGNNEITVLVNDAEKGSDINRQEAQLTL >Solyc04g007980.3.1 pep chromosome:SL3.0:4:1636332:1640879:1 gene:Solyc04g007980.3 transcript:Solyc04g007980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSGPLNPNPVPNSDRLEQLKQFDESKIGVKGLVDKGLTTIPSFFIHSEESNPEPKTRSSNNHCIPVVDFSASRETVVEQVHRASTSLGFFQIINHSVPVSAINRIVGSIKSFNEQNNELKMKYYSRDITHGAAYSTNFDLYNSKAASWRDTLQVRLSPTPPDWEYVPEVCREAVMEWDKEVVKIGEELMGLLCEGLGVEKDRLKELSCLDGRVMAAHYYPYCPQPELTKGLTPHTDPGVLTVLVQNEMSGLQVKFGEDWVDLKPIPGAIVINIGDILQIISNDEYKSVVHRVLANPFQEPRVSVAIFFNPGQRENSFGPLPELISDEKPAVYREFMYTDYMGRFFSKELDGKTLTNYYRVSNQI >Solyc02g078190.3.1 pep chromosome:SL3.0:2:43521700:43523102:-1 gene:Solyc02g078190.3 transcript:Solyc02g078190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSMRGQGGIQMLLTAEQEAQQIVYAARNVKTTRLRQAKEEAEMEVTNYRSHLEAEYKQKLSETSENSDSTEKRLEIETEEKIQHLKKAASQVSPDVIAMLTKYITTIKT >Solyc01g017660.2.1 pep chromosome:SL3.0:1:24633256:24635322:1 gene:Solyc01g017660.2 transcript:Solyc01g017660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVVNFVNLKNGGRGRGFVIFLFLCGVINSFSMEKEFCPTLEFKLLSFKTLNTFFLILLQLGSDKCYNHNSEIAQPLQITLCQGRSYYIKSIETPMA >Solyc05g051390.3.1 pep chromosome:SL3.0:5:62531673:62535215:-1 gene:Solyc05g051390.3 transcript:Solyc05g051390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRAASNAYSWWAASHIRTKQSKWLEQSLQDMQEKVESVVKLIEEDGDSFAKRAEMYYKKRPELINFVEESYRAYRSLAERYDHLSKELQAANNTIAAVFPEQIQLAMEEEDEYGAPKTPKITPQIPTSSGSNVPKVPKAPIKQLKGLITSASKKLQGKKTSKQIDKSKKVPKSGLRKNEALDEIDKLQKDILALQTVKEFVKSSYESGLSKYKGIENQIIEKQQKIGKLEDEFGEGRVIDDNDARTLMAEAALKTCQETLAQLQEKQERSTRDAIKEFEKIEDVSKKLKSFKHKHLGDQIDETKKDNADKVAAKSQSLSQELSKEIESLQDKIKEQFDTSSMSSLTVTELAEKIDELVSEIVSLETAVSAQTVLIDRVRSEGDDLQSQIHDLEDDKEPLTDDDSKQNLKISVMDMEDKLHSVQNLNKDVEYQNSSFQTYFTTARTSLNCLAEKLSSLKPDEEVQDEEESSVVIVKSQEEPRKQQVRQNASELISKTEHQQVRKEESSLKVVSDKEGEVIETTKSHSNSKHLDPTQVENEELSHEDEEKGDEPNWQELSSRSEDREKTLVEEYTTVLRNYKDVKKKLSEKEKKDRDTEFEVTLQMRELKTAIAKRDEEINSLRGKLNALQGDNVTESKALEPSEKQAASDPSDDQSLQKSEDMAETEDNDNHKDQDNTMIDDHTSRSPFEEKFRLEIDAILDENLEFWLRFSSTFHQIQKFKTTVQDLQSEILKVREKEVEEGNNTNTDMKSEIRPIYKHMREIQNELAVWLKQTVPLKDEMKRRSSTLCRLQEEITKALKDGVEEDEIRFSSHQAAKFQGEVLNMKQENTKVKKELEAGVDHINALQLDVEKTVTKLEKEYGLAGGNQQQVNNSAGGAIPLRSFIFGTKPKKQRRSVFSSFQNNRKTLWAGAPL >Solyc09g011740.3.1 pep chromosome:SL3.0:9:5033831:5038755:1 gene:Solyc09g011740.3 transcript:Solyc09g011740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVGTDKDMSFYIGREASKQLWKRFCAEVTTEINLLAENWKYLLGGLICQYIHGLAARGVHYFHRPGPILQDVGFYLLPELGQDRAYISETVFTTIFLSFVMWTFHPFIFKTKKIYTVLIWCRVLAFLVGCQFLRIITFYSTQLPGPNYHCREGSKLATLPPPDNILQALLIVPRGVLYGCGDLIFSSHMIFSLVFVRTYQKYGTRRFIKQCAWLAVIAQSFLIIASRKHYTVDVVVAWYTVNLVIFFIDKTLPELPDRTSALLLPVTKDSKSKEENHKLLNGNSGDPAEWRPRTQINGKIVEDGKTLHEAVINGV >Solyc03g113560.3.1 pep chromosome:SL3.0:3:65143156:65147052:1 gene:Solyc03g113560.3 transcript:Solyc03g113560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPIINEGSFSAANPSSYSLAEIWPFAAAANGGGNGELGGGGLGLRMSSFTGLLEAAANSINESTLTEQSRRSGGAGGGDGSGSGGGNVGVRKRDVNSEDDFSKFVSTSDANDLFSDLTKQLIDIHINSNHVQDGSVAKRLKVSQSKEENGVSKVEAESSSQTANKGTEQSSKPEPPKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNHPIETFPSKDLAPSAFDTSGMIFGTQAPREYAQGTQSEWLHMQVGNSFDRAT >Solyc06g008090.3.1 pep chromosome:SL3.0:6:1958083:1980754:-1 gene:Solyc06g008090.3 transcript:Solyc06g008090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASVSLGAGSLVWVQDPDVAWIDGEVLEVNGADIKVSCTSGKMVVVKSCNLYPKDAEAPPSGVDDMTKLAYLHEPGVLHNLKTRYDINEIYTYTGNILIAVNPFRRLPHLYDSHMMAQYKGAAFGELSPHPFALADAAYRLMINEGVSQSILVSGESGAGKTESTKQLMRYLAYMGGRAEAEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQRGRISGAAIRTYLLERSRVCQVSDPERNYHCFYMICAAPPKDIQRYKLDHPRSFHYLNQSNCYQLDGVDDSKEYLATRRAMDVVGISLEEQDAIFRVVAAILHLGNVEFSKGKEMDSSAPKDEKSWFHLRTAADLFMCDMKALEDSLCKRVIVTRGETITKWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTREEIDWSYIEFIDNKDILELIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFQNHKRFSKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALLRASKCSFASSLFPKSVEESSKQSKFSSIGSSFKQQLQSLLETLNATEPHYIRCVKPNNLLKPSIFENHNVLQQLCCGGVMEAIRISCAGYPTRRPFYEFLDRFGILSPEVLDGSTDEVTACTRLLEKVGLQGYQIGKTKVFLRAGQMAELDSRRTEVLGRSASIIQRKVRSHMARRNFTLLRQLAIRIQSMCRGELARRVYEGLRREAACLKIQTDMRMHLARKGYKELCSAAISVQTGMRGMAARNEVRFRRQTKAAIIIQSHSRAFLARLKYKKLKKAAITTQCAWRARVARGELRKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADMEEAKTQENAKLQSALQEVQLQFKETKEMFVQERETAKRAAEKVPIIQEVPVVDHEMMNKLSVENEKLKSLVSSLEQKIDETEKKYEETSKLCEERLRQVLDAESTIVQLKTTMQRFQERNFDLESENQILQQSLLAPAKQVSDHSPSLASKVQIEENGYHLKEETRTNDPPGSTPAKKVETPNSKSRKPPIDRQREDIGALINCVMKDVGFSQSKPVAAFTIYKCLLHWKSFEAEKTSVFDRLVQMIGSAIENQDSDDHMAYWLSNTSTLLLLIQKSLKPDSAGGATPTYKPQPATSLFGRMTMGFRSSSPDINLAGVVHQVQAKYPALLFKQQLTAYVEKMYGIIRDNLKKELGSLLSLCIQAPRTSKGSVLKSGRSFGKDYSINHWRGIIECLDSLLCTLKENFMPPILVQKIFSQAFAYMNVQLFNSFLLRRECCTFSNAEYVKSGLAELELWCSQAKEEYAGSSWDELKHIRQVVGFLVIHQKYRISYDDITNDLCPVLSVQQLYRVCTLYWDDKYNTRSVSPDVISNMRVLMTEDSNDAESNSFLLDDNPSIPFSIEEVSNSLQVKDFTDVKPATELLENPAFQFLHE >Solyc02g084110.1.1.1 pep chromosome:SL3.0:2:47859690:47860148:1 gene:Solyc02g084110.1 transcript:Solyc02g084110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTEEESTHDVTVYVATTVAALVKRQEQDSLIDANLLIRFIFNLEQIPKLMNECGMTTNAATVVSRKIVMSRLVDLLVPLSSTKLDKVINKPINECQAPHAGSGQSVMPKPMAKYVPLTITMRSDLVNEQGTPPQVETANIRETKSIAYSTS >Solyc11g043120.2.1 pep chromosome:SL3.0:11:33781589:33795499:1 gene:Solyc11g043120.2 transcript:Solyc11g043120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMVILFLLQLHILEIRHIPEALPYFVTPKAIDENSTLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPQRVTFFMPQLVQALRYDDEKLVEGYLLRATQRSDIFAHILIWNLQGETCEPEGAKDSSAKHAAFLALLPLVRQRIIDGFNEKASDVFRREFDFFDKVTSISGALYPLPKEERRAGIRRELEKIEMQGDDLYLPTAPNKIVKGIQVDSGIPLQSAAKVPIMITFNVADQDGDQNDIKPQACIFKVGDDCRQDVLALQVISLLKDIFEAVGLSLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPGFEAARENFIVSSAGYAVASLLLQPKDRHNGNLLIDSAGRLVHIDFGFILEISPGGNMRFESAHFKLSHEMTQLIDPSGAMKSETWHLFVSLCVKGYLAARRYMDGIINTVLMMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANYMIRACSDAYNKWTTAGYDLIQYMQQGIEK >Solyc12g009590.2.1 pep chromosome:SL3.0:12:2852187:2860094:1 gene:Solyc12g009590.2 transcript:Solyc12g009590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSVEKNEVVSIELPAPSGWSKKFLPKKGGTPKKNDIVFTAPTGEEITTRKQLEQYLKSHPGGPPVAEFDWGTGETPRRSARITGKAKATQSPTESEPAKKRGRKSSASKKDSKDKEVTKETEAAKDDDMEEAEKHEKDTAAMESEEDVEKKENENPNETQDGESEVEKKDEIQSSEKDVVKENLDEGQNVHDKVEDAQVEKDVQMADNVGPSQDVEEAPVVKAADGPDATKINEEEKDVQVQEVEKVPTEEAHIEKDVKMADNDVEEETPADKTAVGPEATNINEEGKDVQVQEVEILPTEEAQVEKDVKMTDNIGHPDVVEETPADVPEATKLNEEEKDVQIQESENKPNEEAQVEKDVNNVEEALAEKAAEEKDVQVQEAEKVDPSAAEELKHQAEGKSTDDQDNLMNIEISKVEGEVTENGSNANEAKP >Solyc06g082200.3.1 pep chromosome:SL3.0:6:48147706:48166888:1 gene:Solyc06g082200.3 transcript:Solyc06g082200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLFTRRFEADRIGNQVPVKLMHLEGLYELFISKFAFSNMDLSMHLFQVNLKMKMTYRTLPYSEDDDVQESEGGFTESGESPKSNHQSRTQWDDNCPWSEWYSAEDPLRGFELLTVWSEKAIESSLEMAEMENVSPLEAEKWLITPCFSEILSDGSGRKRIGFASQLLLLIDAFHMSLDAKFVEDFISVENSGPENLKSTAVIPPPTVLDRVLKDLFHEVDALQLDFAEGDHENSRTIKGSPLESLFGQFCLHSLWFGDCNIRAIAAFWIEFVREVRWCWEESQPLPRMQANGVVDLSTCLIHQKLHMLSICIDKKRQLNQECPKAGENNFFLSAHVKGDSQIQSDISSEDGDTEASFFECDSLSTLDHPNDPESDISSFVHSDDVKLGDPKHSACIRKGSAGIVGSMMLLKSYQNMHAPFTQDPPLMTEDMHEERLQAVEALGESFRFSAQLEKDILSSDMSAFKAANPDAVFEDFIRWHSPRDWENDDNMEKVVSNTNAVVESTNDWPPRGKLSERMSEHGNLWRKIWNEAFPMPASEQKPLLDPNQEGEKVLHYLETLRPYELLGQMVSTAFKAAADTLNRTSFGGLKQLTTRIGQLYLTMAATFRCLQKNSLSVGTEDIEDLKRLCAIFGHVESLIILAASLHQKFLQAPRLSESIFNDYYNFYLPKMGTVSIGGDEKKDFDKKQEVRRQEREVVASMFTPPTVNQSWRKVLSMGNLLNGHEPTLREIIFSKRDHLSENYYASHAPRGYQQELETYRMYICGTSNDLSVALAVASCD >Solyc07g005190.3.1 pep chromosome:SL3.0:7:177022:187243:-1 gene:Solyc07g005190.3 transcript:Solyc07g005190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFSSYIFLIMLFIISVGVSEIKSIYLPGTYQSSLLVKKHDEKTKIPFKTHYFPQILDHFTFLPKSCKVFYQKYLINDQYWNKDGGGPIFVYTGNEGNIDWFAANTGFMIDIVPNFNALLIFIEHRFYGDSMPFGNNSYKSAKTLGYLNSQQALADYAVLIRSLKQNLSSDSSPVVVFGGSYGGMLAAWFRLKYPHIAIGAVASSAPILQFEKITPWSSFYDAVSQDFKDASLNCYKVIKGSWAELDALSRHDDGLIKVSKLFRTCKALQSVFSARDWLWEAFVYTAMVNYPTEANFMMPLPAYPVKEMCKIIDGLPKGGSKLSKAFAAASLYYNYTQTEKCFNLEGGTDSHGLHGWDWQACTEMVMPMTCSNESMFPPSSFSYKEFSKDCKKQFGVKPRPHWITTEFGGYRIEQVLKRFGSNMIFSNGMQDPWSRGGVLKNISSSIVALVTQKDLTPNLLSKQKGNMARQSGNCLRCCLVIFAVVSALCVSGPAIYWKFKKLKVKAAQSCMPCKCDCSPPLSLLEVAPGLANLTITDCGKDDPDLKEEMEKQFVDLLSEELKLQEAVDKEHAHHMNITFVEARRLATEYQKEAEKCIATTETCEVGRERAVVLYAKEMKLTNLWERRARQAGWKD >Solyc09g057560.1.1 pep chromosome:SL3.0:9:49888684:49888906:1 gene:Solyc09g057560.1 transcript:Solyc09g057560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFPKFNHFIHRSHNNLVHFYFIAYPEGGGYPIVYFDGAKL >Solyc10g007065.1.1 pep chromosome:SL3.0:10:1437766:1449284:1 gene:Solyc10g007065.1 transcript:Solyc10g007065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLEILCIELFEEMPTNNYVESSFWNFDALFQPQQHPARDSHDTFFLKVPSSTKMLPEDYVERVKEIHESGGYQSRGYGYDWKREEANKNLLRTHTTAVSSRMLYALAQKPFAPKKYYSIDRVFRNEAVDRTHLAEFHQIEGLICDRGLTLGDLIGVLHDFFSRLGMSKLRFKPAYNPYTEPSMEIFSYHEGFKKWVEVGNSGMFRPEMLLPMGLPEDVRVIAWGLSLERPTMILYGIDNIRDLFGPKVDLAEVVEYAFTAEFFEPVGAGISAGGLFPLPPGGDSTGDPGVLGELGDDDDELPDGDGEIIAPDGDILAGAGVFDSPEMLREIPTGKLPEKSPELKEEEV >Solyc03g007230.3.1 pep chromosome:SL3.0:3:1792367:1798461:1 gene:Solyc03g007230.3 transcript:Solyc03g007230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNVKGMPPATEKGCRLTALIDSGGLAEVDLSEKEQNSTRRRRLDERLLKSTTELPENFDVFADDYRHCKRKKSTVTDTDDHRVQLALSSEVKKVRESLVTCCSHGSISLIGRRREMEDAVAIYPCFFSEGGGGGSRRYDYFGVYDGHGGSRVANVCRDFLHRLVIQQVSEGEDYDGKSINWEKVMTESFRKMDEKVNKEGAEMATIGSTAVVAVVGVEEFVVANCGDSRAVLSRAGVAVPLSIDHKPDRPDELDRIENSGGKVINWNGQRVLGVLATSRSIGTSKTQLTALVGDMYLKPYVIPDPEVIVSKRSDEDEFLILASDGLWDVIPNDVACDVTRRCLNGQTFRRCDQQTKSYKRDEGVKESLAARAASFLAELAIARGSRDNISVIVVNLNRSVRSSIDS >Solyc02g077130.2.1 pep chromosome:SL3.0:2:42747866:42748543:-1 gene:Solyc02g077130.2 transcript:Solyc02g077130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSLKLVKWSFIFLVLNHNFQRIEGCFDSIISFGDSLADTGNKLHISLNKTPPSHFSLPPYGETFFHHPTGRFSDGRLVIDFIAESLGLPLVPAYLEGKDERNNVKFRQGVNFAVGGATALDSAYLLDKGIIPNNNVSLGTQMDWFKDMMTSFCKFPSG >Solyc04g076380.3.1 pep chromosome:SL3.0:4:61366224:61373144:-1 gene:Solyc04g076380.3 transcript:Solyc04g076380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLELLRSIESALGVSLGSDTVLVLLTTSFAVIVGLVVFFLKRSSDRSKEVKPVVFPKSLHVEPEEETELEPGKVKVTVFFGTQTGTAEGFAKALSEEIKARYEKAVVKVVDMDDYAADDDIYEEKLKKETLAFFMVATYGDGEPTDNAARFYKWFTEGQERGAWLQNLSYGVFALGNRQYEHFNKVFSGYYYCIFQIPVLLSDKDLLEQIGKVIDEQLSEQGAKRLVPVGLGDDDQCIEDDFSAWREQLWPELDQILRDEDDANSAATPYTAAIPEYRLVIHDTTMSLEDKHAGMANGNTTYDIHHPCKVNVAVQRELHTPESDRSCIHLEFDISGTGISYETGDHVGVYAENSEDTVEEAARLLGQSLDLIFSIHTDKEDGTAGGGSLPPPFPGPCTLRAALACYADLLNPPRKATLVALAAHAAEPSEAEKLKFLASPQGKDEYSQWIVASQRSLIEVMAEFPSAKPPLGVFFAAVAPRLQPRYYSISSSPRFAPARVHVTCALVYGPTPTGRIHKGVCSTWMKNAVPSEKSHNCSSAPIFIRPSNFKLPADPSIPIVMVGPGTGLAPFRGFLQERAALKEDGAQLGPALLFFGCRNRRMDFIYEEELQSFVDQGVISELIIAFSREGSQKEYVQHKMMEKASDVWSLISQEGYLYVCGDAKGMARDVHRTLHTIVQEQENADSSKAEAVVKKLQMDGRYLRDVW >Solyc02g086470.3.1 pep chromosome:SL3.0:2:49782825:49788995:1 gene:Solyc02g086470.3 transcript:Solyc02g086470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAFKHQSFFQDIKSREVHGYRVKRRPYISHELSNFNQIGAVAVDHSGFTPPPMALSFCKTSKNSHIVAVTDEGGYLSLFNTRLQFPSSFTHLQNAEKAKVSEWVAHDNAIFDVCWIKEDTNILTASGDQSIKVWDAQAKQCVRVLAGHTGSVKSICPHPSNHDIIVSGSRDGTFALWDLRCSDSSSENLFIPSIATVHEAHISPCQRRTRRGKASSVSITSILYLKDELSIASAGAVDSVIKFWDTRNLKCPVVQACPHPDVSTQKVQRYHGVSSLSQDLNGAFISASCMDSRIYLYNVLQAEKGPVKTFKGCKIESFFVKAAISPDAAHILSGSSDGNGYLWQVNKPLEDPIMLKGHDGEVTALDWCTSETGKVATSSDDFTVRFWNIHNSCYSNTRSPSSIRRRVTALSCMQRRKLFSDEKPASIKNVSAVCDSNLICHQDLPDPITVPEMSTPISKKRKTLPSVEPQENFEKTPEAAKRSPSSVLNPPSSLRKTIRDYFLVTPPSGLHSK >Solyc07g021340.3.1 pep chromosome:SL3.0:7:16954695:16955392:1 gene:Solyc07g021340.3 transcript:Solyc07g021340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLFLAFLCFSQVIYLNTIPITRCSNLVYKAQQHENTRTMENIEAENMKLGDVVIRRMDVEVNDYPSSGANNRHTPSHP >Solyc10g084880.3.1.1 pep chromosome:SL3.0:10:64379737:64381421:-1 gene:Solyc10g084880.3 transcript:Solyc10g084880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFSSSSSIYISSISILNILAASTIFIYQRTRKKTQKHINIPFIYLFLSMVGFAKMGWRSSDSSKTGDDMNKMGILSFETAKIMSRLLCLYKSLSESEISNLKTEMNSRGVSYLNSKDEGFLLSLACAERLEDLDKAAAAVSRLGHKCTDFGLNRFDLVYTDLKLGIIDFGKLEYGSKDIEKRVFKMEKLINATSGLYAALESLAELEVSERKMKQWTERKGSGRLQKVNLDVFHQKLEQQRKQVRQFRENSLWNQTFDKSVGHMARIVCIIYARICIVFGPYIPILPSLSLRNMRSSQQKEILKVQPENCLIEPIREQIISRSGPIPTTSKPTLVRFYSRKSIFFLCEDEGFGVDKLAKNNRVFHAAGPLTVGGAGLALRYANVITLVEKYSNPSESVDLSSRENLYQMLPVNLKKTVRSKLSKNLKCMDEDESLAEGWRDALKQIMEWLAPMAHNTINWQLERNLEKTKFDIKPSVLLLQTLHFSDKEKTEAAIADILVGLSCICKCENRQWSES >Solyc07g065760.2.1 pep chromosome:SL3.0:7:67535932:67539218:-1 gene:Solyc07g065760.2 transcript:Solyc07g065760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTQTPEASKESDLIPNKMEEVYNGVEIPIEGGTGSSNGTSSGEQDVLDLVEGVRRSSLRDGEQNFEYGQGQQSTSPCEHLPQTNCKTETLRDQKEMLVENSVSSSVQLQELQEKLDLLKAAVEMASDHKHPSDFYVNQLNDQVEVKRGKIVEMESHCIDRSKALEQKKVSLEEKLRATNPETYEKYKKVEEIELKLENILAENKRREEELIVLSAKAEKQPKLQPRRTYIQRVEEITKNSRKQDVDIERILKETRELQLESNFVQERLNRTRAVVDETVFREVKKDQVGEQAYRILTNIHDSFEQIAENLLATDRTRREVTDYEGKLANMAPRSVNIDKLKADLDTIRRENDLLEKKLS >Solyc04g077980.1.1.1 pep chromosome:SL3.0:4:62935909:62936691:1 gene:Solyc04g077980.1 transcript:Solyc04g077980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:C2H2-type zinc finger protein [Source:UniProtKB/TrEMBL;Acc:A6ZIC0] MALEALNSPTGTTSNPQTFQFESKGQQQLRYLENWTKGKRSKRSRSMDRQPTEEEYLALCLIMLARSDGSVNHVRSLPPPVPVMKIHETAEKMLYRCSVCGKGFGSYQALGGHKASHRKLIAGGDDQSTTSTTTNANGTTSSGNGNGNGSGTGRTHECSICHKCFPTGQALGGHKRCHYDGGNSNGNGNANANSSISASVGVTSSEGVGSTISHRDFDLNIPALPEFWPGFGSGEDEVESPHPAKKSRLSLPPKFELFQH >Solyc04g051160.1.1 pep chromosome:SL3.0:4:49786416:49790724:-1 gene:Solyc04g051160.1 transcript:Solyc04g051160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELWITSSFFSPPTSTHLEENTTLTSLLSWTLFWPIDSPFCIRLFWSHHLFNLLPRSRLVKKGIIMDIIEDIFVPQPSDVPRSANEEEILPDSSEIFTQVSSTENRGVLSLQSQDDIKSMPRFIMVVAIGLLATFGGLTIGCVLGVTGGLTGVESFLLKFSQRPHKKQVKATTNIFCAFISFSLLLFANVVPISALLSVWPSYRLAHSRGRRPVLISGSTLRVLGSLLTAWFPHIIIEFIGLVVTGCGVGFLYQVIPIICHETSIGNAKDCTSFGFFYMLICGNTVAKGINLAASGNYIGGWRWSLFSYGIFSFPIFILSVLLPETPQFLIRQGRVEEAKVVLRRIRRSGAEVELQQLAHVIENEDREPWQKLLHSPVLVINVVAQIFQQLLGLDSIMFFGPLFLQSIGYKYHASFLGGAIRAGVAGVAVLSYNFFGRRRTLLFACAGILVSEGENLLVPLKQQSDYVAIVSSILLIGCYSLLSSPRDWTEASYPVDIRALGACFEATIFFFMILIMNFVTLPLICALHVWVFAFLAVVVICVGFIIYKVLPEIGKKEGEMPEAEIWKLHWFWKKVLPKEDGVTR >Solyc05g012600.3.1 pep chromosome:SL3.0:5:5809718:5811254:1 gene:Solyc05g012600.3 transcript:Solyc05g012600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMATPISPMKQFPSTALQPFPSIFQRKPVLISSLRKTPHLFSYSSPKSFVPLSACLAVLLWSSPANAGFLSGYTGIESVPGPELPKIEFLNRWNDNNQKKYAELDEKFKESPLLKQLLEKSKQNKEKNKRSIEDKYCLRGAEWGVGDCSTAGMTPEDRDSFIAMLKQKAGVE >Solyc09g072600.2.1 pep chromosome:SL3.0:9:65614897:65615778:1 gene:Solyc09g072600.2 transcript:Solyc09g072600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFRGPNASSGMGVADHGKNAFLELKRKKVHRYVIFKIDEKKKEVVV >Solyc04g072420.1.1.1 pep chromosome:SL3.0:4:59520876:59521190:1 gene:Solyc04g072420.1 transcript:Solyc04g072420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGKAKRKSVSKLNRYAELSQLAASASSKGKGSSNGKEKILKEMEEIKKWIRSKKKLIAETEENMRILKQVSYRGSVKIKCKDKKTKSSMKKMAETGDFKLL >Solyc02g069320.1.1.1 pep chromosome:SL3.0:2:39815667:39817391:-1 gene:Solyc02g069320.1 transcript:Solyc02g069320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISHLNHLFVTPISENGSTSHSLQKFSSLNIRCFRSSDNAKVEVPELSTQTPISPAVNKISRVARNDGQAVLFEYLHCTRGFNYVDAEHISKNSPCFLQSLLSKVDNDQDVTRALTRYFRYHPINEFEPFLESLGLKQSELTSMLPRDLIFLSDDHLLFDNYHVLCYYGIPRTKIGKIYKEATDIFGYDHGVLDMKLRAYEKLALSRSTVIKLVTCSPTLLVGETNSELIQVLEKLKILGFENDWMGGYLSNRHSYNWGRMLNTLHFLNEVGYSDEKMATLFTMNPAFLFEGSGKQIYVLVGKLLKLGIKMNDIYLLFCQNPNILSLKCTKNLWHALYFLSEIGLETEIIANIVSTHIQLLGSHSLKGPKTLLRDFKGGKFRLCQTIKDDPLNLFRLASKSKFNVEQMTSQDPGKLFQKTTFLLRLGYVENSDEMAKALKQFRGRGDQLQERFDCLVNAGLDCNVVINMIKQAPAALNQSKDVLEKKIVLLKTYLGYPVESIVSFPSYLCYDVDRIHLRFSMYAWLKQKGAAKPTLSVSTLLACSDARFVKYFVDIHPEGPAKWESLKSSLQSS >Solyc07g043137.1.1 pep chromosome:SL3.0:7:56887637:56889070:-1 gene:Solyc07g043137.1 transcript:Solyc07g043137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDPGPLDPSVLNGKLTHRSRDIWIGNDDMILNTRKYDGKFWDLVNEHPIHPRVLDVIKLSGIYSVYRTGESTITLPDVEILYGLPVKGNAVVGYEPQRSVVDWKNICQRLLGFSPQPQDFKHSSLKVSALNAHLRLQPRLPDLATQDMVNEKARCYMFWMIVGLLLADTSGGLLKLMYLPMLEDITTVGSYSWGSATLAYLYRFLCKASQSSQNEIAGFLPLLQFIWEPYSSDIIESLPEYCRVGRDIWRARVPIFCWDVVEVHLPDRVMRQFGLVQAIPSSFAFDATHFNHDRRGRSNTN >Solyc03g096860.3.1 pep chromosome:SL3.0:3:60669111:60672744:1 gene:Solyc03g096860.3 transcript:Solyc03g096860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKSIIICGVVGFLGLLSAVTGFAAEATRIKGSQVQVPTPTECVYPRSPALGLGLTASVALMVAQIIINVASGCVCCQKGQHQSASNWTLALICFVVSWFTFVIAFLLLLTGAALNDQHGDENLYFGNYYCYVVKPGVFAGAAILSLASVALGITYYLSLTSAKNINDPWRPPAPSQGGIAMGHPQFPSQTSQEPVFVHEDTYMRRIST >Solyc01g106860.3.1 pep chromosome:SL3.0:1:94481455:94483432:1 gene:Solyc01g106860.3 transcript:Solyc01g106860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VQPEKSITLDSGHRIVEIPPDLVYSLEGFQRTLAFVHDPLVNWRLFNFNGVPQMSTLASAHVPPVVNSEDSSSYRELLQPQRGARERELLQLTYVSDFLYYRLHSLALKMSSMIKFPDDDSITCRAFAKALHYKETESEGALSNRRYANPVAVVEALIHINNQLHQHEAAVGILTYAQQRLGVQLKESWYR >Solyc12g005680.2.1 pep chromosome:SL3.0:12:358365:372679:1 gene:Solyc12g005680.2 transcript:Solyc12g005680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTKSEEEVNVGQQQLKDRKVSWAKLGRVDSLNMEAGKVSSTQARHGSKGDWKTILSLAFQSVGVIYGDIGTSPLYVFASTFTDEIKHKDDILGVLSLIIYTIMLVPMTKYVFIVLWANDNGDGGAFALYSLLCRYAKVSLIPNQQPEDRELSHYSLDLPSNHIKRAQRIRQGLEKSKFAKIFLVFLAILGTSMVIGDGVLTPCISVLSAVSGIKPLGQDAIMGISIAILVILFSLQRMGTDKVGYTFAPAICVWFLFISGIGLYNLFKYDVTVLRAFNPMYIIHYFKRNGKKGWISLGGVFLCITGSEAMFADLGHFSVRSIQISFSCLVFPALLSAYSGQAAYLTKFPENVANTFYDCPLYWPTFVVAVAAAIIASQAMISGTFSIVAQAQNVIHTSTKHDGQVYIPELNYFLMIACVLVTLSFKTTEKLGHAYGIAVVSAEIITTHMVTLVMLVIWKTKIWWITLFYAVYLSIESTYFSAQLTKFTQGGYLPMAFSVVLVIIMGTWYYVQKLRYEFELNNKVSTEYISDLANNPDIKRVPGIGLLYSELVQGIPPIFPHFVSNIPSVHSVIVLVSIKSIPISKVALQERFLFRHVEPREYKVFRCVVRLGYKDQLGDTMDFENQLVEQLNKFIRHEHYILEAHEQVVNREKTSRVHIEEEMEQPQPQQQVDSTTSPSTRSIQSNRSSSRIQVLHPNASGQEETQFIEKAKDQGVFYLLAEAEVIAKQDSSFVKKGIINYGYSFLRKNFRQGEKVMAIPQTRLLRGDWKTLLSLAFQSVGVIYGDIGTSPLYVFSSTFTDEIKHKDDILGVLSLIIYTITFIPMTKYVFTVLWANDNGNGGSFALYSLICRYAKVSLIPNHEPEDRELSHYDLDIPSNPFKRAQKIRHKLENSIFAKIGLVFLAILGTCMVIGDGVLTPCISVLSAVSGIKPLGQESIMYISIAILVALFCFQRFGTDKVGYTFAPAISVWFLFISGIGLYNLFKYDIGVLRAFNPMYIFHYFKRNGKNGWLSLGGVFLCITGSEAMFADLGHFSVRSIQISFSCLVFPSILSAYIGQAAYLTKNPVALYWPTFVVAVVAAIIASQAMISGAFSIVAQAHSQGCFPRVKVVHTSEKHEGQVYIPELNYFLMIACVLVTLGFKTTEKLGHAYGIAVVSAELITTHMVTLVMLVIWKISIWRIALFYSVYLTIESTYLSAQLTKFVDGGYLPMTFAIVFVIIMGIWHYVQKQRYHFELNNKVSSDYIRDLACNPDIKRIPGIGLLYSELVQGIPPIFPHFVSNIPSLHSIIVLVSIKSIPISKVSLEERFLFRHVEPREYKVFRCVVRLGYNDQIRKPEDFENQLIQNLKEFIQQENYILAAYNDQFADKDIEGETPVSGQLVAAKSSSTVVHVEEDVQQQVESRVSSTTGSIRSLNIPSGQSNHSSTRIQVVPPSFDTEEEMQFVEKAKEQGVFYLLGEAEVVTKQDSSFLKKFAVNYAYTFLRKNFRQGDKMMAIPKTRLLRVGMTYEI >Solyc04g050626.1.1 pep chromosome:SL3.0:4:47787704:47798760:1 gene:Solyc04g050626.1 transcript:Solyc04g050626.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEETLKNQNKCMVGDVKSSELVLQLGKKFSMKDLGPLHFFIGIEVNYFEGVIHLNQSKYGAEMLAKTEKTLAKAVATPLARKHGLHEVVGSFTSTKQSIVARASAEADCRALASTAAEMSWILYHLHHLGAFKTEKSIKELVQYTRPRKKMSKIRMTQSYENASFEAILHEDTRDSSTDSREQE >Solyc02g036460.3.1 pep chromosome:SL3.0:2:31283289:31287063:1 gene:Solyc02g036460.3 transcript:Solyc02g036460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSKKSTCSVSSPPLALVKQNQETLVEKKKVDGQVVRREIFVIKHRVSHEEGSNKPNESSAPSRRTSSCNKDEVDTILIQCGRLSRSSSPNRPLPFEANTSRKHSFSKKSDGENSTRVSRSPVRRSESPMKANLASSDANVGPGTMVSVPATVSSLVLDKNTDLISTAHIQRIQVKRNVGVASPRARSRSVSPGKMNLKASTENMNFQQQPLYLSRSNSRKREDDSPFRRNSSSEIDNPVVNETMPFYIQKLNAENINNGKVVLKGTGESLSISKVAPDYGLTNVYAKFKKQLAQEAKALRTVSGNAAVDMVASGSESLAPEVIRRSRSPRLSRDIDINHRVQSTLTQSYTELLLEDIQNFHQKSRNPSFSLPPGAVADFNSTTSSNVSSAFSEDRRSNRTVEHFNKITSACLATNPQSRLAIKNPYAESEVAFSDDSMEPSRIQKYATFGRGTDGGSMEELESSESNSFVGYQRCRFSSSSQEPHSADSTESWTPKSYSRLYMNPLAFQKCTVSDHVPDMDDGKSRMTANKRDSDNQQHGIVHNSSEARGLHISPMAAAAALTY >Solyc10g012340.1.1.1 pep chromosome:SL3.0:10:4961019:4961270:-1 gene:Solyc10g012340.1 transcript:Solyc10g012340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQRNKGYEINDDHVLDGLQPHPNLKTLEVENYFGDIFTSWFSEGLLPNLIKLRLSVCKKCKEIPSLGQLKFLRHIEMIGFL >Solyc01g006930.3.1 pep chromosome:SL3.0:1:1503975:1510963:1 gene:Solyc01g006930.3 transcript:Solyc01g006930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTTIFSKGNERVVQNQSVGTLCSAPWWSNGFMSQSVAYAEPFGQLKSASVEQQPKGNATEFTISSGDCKSSANGQKLPNIQAASSVRAANMDYRGHFELGFGQSLIYAKHPYGEQCIGLFSAYAPQLSGRIMLPLNLASDEGPIFVNAKQYHGILRRRKTRAKEMEKKALKPRKPYLHLSRHLHALRRPRGCGGRFLNTRNMNGTMKAGKTNNMFKTGDVQNFYPTGSQNSEVLQSDSSNLSSPKETSGSRFFDSSGVANMYSSDNLDPFLFQNLRPPVQAIPDMMNTGHGIFVSGKWVCTADSCCNLKV >Solyc10g052745.1.1 pep chromosome:SL3.0:10:53301739:53327647:-1 gene:Solyc10g052745.1 transcript:Solyc10g052745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAWDPSQTFLLRRGVSTMARTIRVSDLPRKTCTNGCTKKIKDAIKLGGLNNVEVKREPTARQVEERGCSPLDEIHILMLSISMRSRRGSTKNWSKSKEEVLMAYFQEAKWYYNKKKIPRMEQYMKNEIPSCSYLLLATTSWLAMGNITTKDSFDWIAVQHLLLCTITRLLNDLSSHEKKKTHFLRAHREVSVSSELTREVARLFLSKRFGKACFYMVSLYRTKTIPTLSRRNVDLSKNKNIFQSMFRLHFQVETLCILELCDTADDAWGRWKGFPYNIREQICNKFKTKCVWQSYYEKKINSIFEKNARIQLKGAEVSNPEVFEETHKKKIRMVQGENGGATCRGDIYKL >Solyc01g080055.1.1 pep chromosome:SL3.0:1:79060598:79063979:-1 gene:Solyc01g080055.1 transcript:Solyc01g080055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLTAISSSNLHEGGSAVFFTSKGSGSKKKNWNSVCDFCHVKGHVREDCFKLMKCDHCGKTGYLIGKCYQLIGYPSDYKVKGRNVQANHVNIQQEGLEDISEDDQYKEFKEYTLWKQMRDKCSSKNPISGASANMTVSSAEKVQLPTGEFAHISHIGNYPLSGDAQVLPLPNQHIESEVVVEKVALTDQPIETEVDENVALTDQHIETTVVENVSLSQDNHEPVSSTDTVVSITEDNVSLSQDNHEPVSSTDTVKDLGDLKYFLGIEFARSKEGISMHQRKYVVELINDLGFTAAKPFPAPLEVNKKLTSLEFDQNIQDCSDPLLSDPGAYQRLIGRLLYLTITRPDIAFAVQCLSQYMHSPKVSHMVAATRVIRYVKQYPGLGVLCQPMFLLASLPIVMLIGLPVLIQESMSLVFT >Solyc02g062535.1.1.1 pep chromosome:SL3.0:2:34768111:34768143:-1 gene:Solyc02g062535.1 transcript:Solyc02g062535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKGLRHSQRD >Solyc03g115680.3.1 pep chromosome:SL3.0:3:66784924:66810156:1 gene:Solyc03g115680.3 transcript:Solyc03g115680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVASKQTVSVTPAFDHSGILKVKKRGDSGAGSELDESGRASSNGCGSESVSFRLGNLQKYVEGEQVAAGWPAWLSAVAGEAIQGWVPLRAESFEKLEKLRDVSKVLSHLIVIYQVNVSYGRIGQGTYSSVFRARDLESGRTVALKKVRFDNFEPESVRFMAREIMILRRLDHPNIIKLEGLITSRLSCSMYLVFEYMEHDISGLLSCPEVEFSESQIKCYMKQLLSGIEHCHSRGVMHRDIKGANLLVNNDGILKVADFGLANFCNLGRKQPLTSRVVTLWYRPPELLLGSTEYGASVDLWSVGCVFGELLTGKPILQGRTEVEQLHKIFKLCGSPPDDYWKKSKLPHATLFKPQHPYESCLWDTFKDLTKSSVSLIETLLSVEPPKRGTASSALASEYFKTKPHACDPLSLPKYPPSKEIDAKHCEEAKRKKPSGRARGPETTRKSIRKQNATNKLAPEEKLPVQNQGVPKSNGSSLGTLKEGDIIIGLERPKPSVDFRGEASHIKNASQGDVPFSGPLQVSGSSGFAWAKRRVDDSSMRSRSKSSSRSLKFEPSGAVHTKNNTELKKQENYVATNGSHTNSKGRDTYESTKHAMQRHWSQLEQTDSFDTSDGYHSQELSLALYLKEETAFKRINVVQDQMDKVEFSGPLLSQSHRVEELLEKHERQIRQAVRRSWFQRVFDCCFSTDVLDEDEYKTYMGGIVAQLQDHYADASFMVFNFREGDRRSQISDILSQYDMTVMDYPRQYEGCPLLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYTGELKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRKNFGSEWLPSDTPFALDCIKLSFPPLFDGGRGCRPVLRVYGQDPASTTSNRSSKLLFSTLKTKKHARFYQQEECSMVKIDIHCRVQGDVVLECVHLEDDLVLFSDPNAVPSVVTEEVPSEDENGTEGASPEEFFEVEEIFSNAVDGQDGRGESGAHIVKESLQDDDSIEMIWKEEVEHHAFQDCASDEVNHKQEGKMDSNRSASEKNILGERDNSISSKVIVSNGTSNMESEQVISGDCVASENGELKQDKEDTLRQKKLEREGSHQKVSADTSKQKSDKTTSSLKKQSFSNAKPAADGVGPKNKSKQQEIQGTVLRPAKPNAVSRWIPPNKGSYTSSMHVSYPPSRYNSAPPVLALTKDFQSGVKSKSPSPQASSEAIASAEAGRVSEKDSSCSASGMSIVEASVATISAPESVESQALKLHPSPPSPLLSPSPITSSHEPSDTEVAGTNSPSATSALSSQGSAFSPPPPPLPPPPLPSSSSVINAGRVLPPPPPPPLWTGAPTPPPPPPPPFSRAPTPPPPPPPPFSRAPTPPPPPPPPFSRAPTPPPPPPFSRAPTPPPPPPPPSRGSVPPPPPPLPGAPPPPMWGPPPPPPPPMRGPPPPPPPPGAPPPMRGPPPPPPPPGGGPPPPPPPIRGAPPPPPPPGGRAPGPPPPPPPGGRAPGPPPPPPPGGRAPGPPPPPPPGGRAPGPPPPPGAPRPPGGGPPPPPPFGSKGPAVGRGLPAGRGQGFSRAAGGVAPRRSNLKPLHWSKVTRALQGSLWDELQRNGETQLSPEFDFSELETLFSATVPKSDNAGKSGGRRKSVGSKPDRVHLVDLRRANNTEIMLTKVKMPLPDMMAAALAMDESILDADQVENLIKFCPTKDEMELLKNYTGDQDLLGKCEQFFLELMKVPRVESKLRVFLFKIQFNSQVTDFKKSLNTVNSACEEVRHSLKLKEILKKILYLGNALNQGTARGSAIGFKLDSLLKLTDTRATNNKMTLMHYLCKVLASKSPSLLDFHVDLVSLEAASKIQLKSLAEEMQAIIKGLEKVKKELEASETDGPVSEIFRKTLKEFVGVAEAQVGSVKDLYSVAGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELERKKVQKEEIENAKGVNLNKKGFK >Solyc05g043213.1.1 pep chromosome:SL3.0:5:56404751:56408581:1 gene:Solyc05g043213.1 transcript:Solyc05g043213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFEQRPNSAKRKIKAQKKQIYKYLNQKKLGKLSTPSFYSYILRCRLLEVFGEEASSSSSSRLLQFDEVHMIYSSGSRPLVNSERDFNDSFTPIVGTFYYTNSQYFSYYSSASLFHKEFVGQEANSQRFQKFISQDLYESDNFRMYIYKVQKCSKHYSHDWTSCPFTHEGEKARRRDPRKYNYLPIPCPGYKFVSCIKGDNCELCHGVFEYWLHPAKYRTTPCQTLNKLRPEMKYNWSFVYQYPINIQSYPDIIIENGPYGNSMIVPCNSQLQPPPHNHYYSTAFGLFAQPPPSSSPTHPKFVHNVQN >Solyc06g060170.3.1 pep chromosome:SL3.0:6:38245945:38251957:-1 gene:Solyc06g060170.3 transcript:Solyc06g060170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQTPILNLNLNRIKGGGGGIRRPLWVSVLVLFTVIALFSLHINKEYSIFSIPLDFISGRVGLVSSYQQKSCSDFFKQVPARKVVKSISDFGGIGDGKTSNTKAFHRAMEFMSRFTESGGSQLVVPKGRWLTGSFNLTSNFTLFLEYGAVILGSQDPDEWPIIDALPSYGRGRERLGARHISLIHGNGLTNVVITGENGTVDGQGKMWWDLWWNRTLVHTRGHLVELINSQNILIQNLTLMNSPFWTIHPVYCRNIVIRNMTILAPLNAPNTDGIDADSSLNVCIEDCYIESGDDLISVKSGWDQYGMKMARPSSNIIVRRVKGTTPTCSGVGIGSEMSGGVSNVLIEDLYVRDSAAGVRIKTDIGRGGYIVNITINNMRTERVKVPIRFSRGANDHPDEKWDPKALPKVRGIFISNVVSLESKRPPLLVGIEEAPFEDIHMKNISLFGLAPSMKWNCEYISGSSCSVFPAPCSQLLKNESTIQCPN >Solyc09g097880.3.1 pep chromosome:SL3.0:9:72375832:72390078:1 gene:Solyc09g097880.3 transcript:Solyc09g097880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVFMVAEKPSIALSIASVLSGAQMSTRRGSTEVHEFDAMFLGSRAHYKVTSVIGHVFSVDFPAAFQDWTTTNPSDLFQAPILKKETNPKTFVYKAHICRHLSQEARGCTHLVLWLDCDREGENICFEGHNSLFLLYLIGSVIECTGFHTSDGRRIYRARFSSVTEKDILKAMNSLAEPNRDEALSVDARQEIDLKVGVAFTRFQTSYFNGKYGNLDARVISYGPCQTPTLGFCVDRFLQITMFKPEKFWMLHPYIMYKGYELKLEWERSRLFDADVSAMFRNRIIEDRIMKVVRVSEKLESKARPSGLNTVNLLKVASSALGFGPQLTMQLAERLYTQGFISYPRTESTAYPSSFDFKGTLGALVHNPVFGAYAQKLLTDNYIKPRAGTDAGDHPPITPMRSASEDSLGNDAWKLYQYICQHFLGTLSPDCKYRRIKVEFESGGEQFQCVGQLATVKGFTSIMPWLAISEKNLPEFAVGEKIEVSKVELDEGDTSPPGYLSESELISLMEKHGIGTDASISVHINNICERNYVQVQAGRRLAPTPLGISLIRGYQCIDSDLCLPDIRSFIEHQITLVAKGQADHSMVVQHVLEQFRRKFSYFVKQIDNMDALFEAQFSPLSDSGRLLSKCGKCLRYMKYISTQPARLYCGTCEEVYYVPQKGTVKLYKELTCPLDNFELLLCSMPGPEGKSFPLCPYCFNCPPFEGIDTFFGAPKTGDSTKLGKGVGMPCFLCPHTTCPHSVIAQGVCACPECSGTLVLDPVSAPKWRLYCNTCNCLVSLPEGAHRISTTQEKCPESFAATPTKPNGWISWGINPNGTGMIGTQSLIAFKNLNDTIVVKTFKLNSYKSIVEGELEYRVSNMQAMYSNELMVIFASVELPKGMKELNQVWQVGSLVLNGTFPGIHDFQIENLNSKGKLDLMKGKNVNNGLEDTMVKNRNIHGILNVVSWGILFPIGIMIARYLRTFLDPIWFYVHVTCQISSYIIGVSGWATGLKLGSQSKGIIYNSHRDFGIALFCLATLQVFAIFLRPKKEHKYRFYWNMYHHGVGYGVLVLGIINVFKGLEILQPQSKWKLAYIIFLSIIGGIALILEAITWIIVLKRKPRKSNNKLHDGQNGRQQPLTS >Solyc07g005910.3.1 pep chromosome:SL3.0:7:745230:750572:1 gene:Solyc07g005910.3 transcript:Solyc07g005910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSYMPQVDVASLKETLCAQQQLLQKLYGELDEEREASSSAASEALSMILRLQGEKAAVRMEAEQYKRLAEEKMCHAEESLAIFEDLFYGKEMEIAALEYQVQAYRYKLLSMGCVDPGVGEFKYPENLLQRNENLAGEMNLQALGRRNSAPPFPLKIPKRGAMEIDDSSSEIDSNSKTVEEYTGQEMNEQQLDTEKKTDISISTTGSINSYWQQIRKLDDRVKDITGVSYANFRSETRSPSPLSQRSIKISKSENEWYQPPNLHVSKSENDTPADSGCSPNVLDVFEVPRADKDTIDIGLPPKHDRKMVSHNDERLERPDSAQQEAVKSSVRDEADLLKKYFVSAQRENKLRRASEAAAITCHLAISRPTTSISETSESHQPNRTSEIVEVGREASRYEMARDEELKLLHDIKEQLNLMHSEIQSLKSDKLPPSDDEPSLLLLSEAMTHFWL >Solyc07g017293.1.1 pep chromosome:SL3.0:7:6657528:6658818:-1 gene:Solyc07g017293.1 transcript:Solyc07g017293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGAPSFLTWDEIELSESYLVCCMFQEAATLSSSIIEQLIEKNGKMNEDNCELGDMLESAAMILVQSYKDIGRHQKY >Solyc09g005710.2.1.1 pep chromosome:SL3.0:9:496210:497595:1 gene:Solyc09g005710.2 transcript:Solyc09g005710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRDVASATSLISHFAKLNQHKKAMNIFSRMFELNIRPNEFTFGVVIHSSVVLDDPNLGKQFHGVSMKLGLNSNVYVGSALLDLYAKLCSIEEGLFVFEDTHEPNVVSYTTLLCGYLKEQRFDEAMEIFRIIPERNVVTWNAMISGYSKKGCNEEAVNLFIEMLRRGFIPDQSTFPSLLSAAANMAALGKGKSLHACAVKYLGQVGVFVGNSLVSFYAKCGSLEDCLRVFDRLTERNVVTWNALICAHAQNGRGDVAIELFKRMEYMGIKSNSVTLLGLLLACSHVGLVDEAYSYFEQARTRDANLLKSEHYACMVDLLSRSGRFKQAEKFIHDLPFDPGIGFWKALLGGCQVHSNTKLGEYAAEKVLALEPGDVSSYVMLSNAHSAAGRWQSVSIVRNEMREKGLKTVPGCSWVEIKCKIHVFVTGDKRHTNKPEIYELLGYFLQHTMKSQETDFLQEF >Solyc10g050095.1.1 pep chromosome:SL3.0:10:47714029:47720487:1 gene:Solyc10g050095.1 transcript:Solyc10g050095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFFRDDAKRAIQPLPSKLCVTPDGRPVTSPSWYTIFFVLHLQFLIAHRSDIPMLWKMVNKWNKKDSQTAPWIKMAQLRLVWISLKAIVKLSKHLALLERVKNDYIYIFLVNFITPNDIENNSEVVSAKFQRHCRIHAATNKRHDSTQCSGVNGCIIDPIKQIGKGNNMKKSSRQDKGDLNVLYEHWLHPPSNHGYFERYCLRSSLTEKIKYEFCFGYMQCIYYLGRHSPM >Solyc01g010180.3.1 pep chromosome:SL3.0:1:4911659:4912579:1 gene:Solyc01g010180.3 transcript:Solyc01g010180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIDFKSFVKKMNSMVANSLATDGISISDSNMISRLLAICEKNGYSFIKQTISSFIKQTISSKRRLPTFDEVCYVLYRYLKDPRGIVDSDPSSEHRLRGALEKMNRFGDVVGVTCNVGDIIDKAAKFNVPISTAVGSVASTAGAFGHLGLAIKAMCLVTYGGFKLWKGLSRK >Solyc05g048840.1.1.1 pep chromosome:SL3.0:5:60395210:60395812:1 gene:Solyc05g048840.1 transcript:Solyc05g048840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHIIAIFHHGGRFYKGQYMSKLENIVFGIHKVHFSLTELKSYAKDIAYDEVDVFFTEDPKTHNFVKLESDSQLYNFVKDLWSESSIKLFLKHVTDKEGGSTTLGSFSIEKNNHELRNCSRVSHGIGEVEQPLEEGNGDSLVFEEDDLADVLDQNDSEIDEELRDFRDKLKEDKKNEGAKNKRKLKNLQRIKVLSLEKLT >Solyc09g014623.1.1.1 pep chromosome:SL3.0:9:6378162:6378821:1 gene:Solyc09g014623.1 transcript:Solyc09g014623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRDCQYFSYYSSSFPFHKQFLGQEANPEIFRECIRQDLHESDNFRMCIYKVQKCLKHYCNDWTSWPFTHQGEKACRRDPRKYNHFPISCPSYKFASCIKGDHCELCHGVFEYCLHPAKYRTILCQDGTSCNRPICFFAHTLKELRPETKYNWCYVYRYPLYIQSYPDIMIENGPNGNWMIIPCNPHLQPPLPISVMVLLLLDLEIVLILIPLKYANI >Solyc10g005350.3.1 pep chromosome:SL3.0:10:256956:259395:1 gene:Solyc10g005350.3 transcript:Solyc10g005350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CX68] MANLLLIGVVIAMLCSEIKCSFEDNFSKSDCPDSHFKTSEDGQIWYLSLDNKAGCGFMTRQRYRFGWFSMKLKLVGGDSAGVVTAYYMCTEDGAGPTRDELDFEFLGNRTGEPYLIQTNVYKNGTGGREMRHVLWFDPTQDFHTYSILWNSHQIVFFVDKVPIRVYRNANYTNNFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKNAPFVSTYMDFNVDACQWEDPFPSCVSTTTQNWWDQYNSWHLSSDQKLDYAWVQRNLVTYDYCQDIERYKVKPEECWVSPWD >Solyc09g057555.1.1 pep chromosome:SL3.0:9:49883317:49890127:-1 gene:Solyc09g057555.1 transcript:Solyc09g057555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAKHVQIGQIDPTTWIMMDVSYDLCNEIPSGVHSYPWKFPSGCPIQDMGIIVCPDGRLSLDRDSTANAENNSILTNEKGTKKIWYMVSYSVAASDLDSSTLLVVNDFVHKHEKHQNVFSTQHDISASNSLSLRAFTIKHGGNG >Solyc01g100880.3.1 pep chromosome:SL3.0:1:90652843:90657677:-1 gene:Solyc01g100880.3 transcript:Solyc01g100880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4B1B9] MGNDLLPLLTMVIVQLGYAGMNIISKLAMDSGMNPFVHVAYRQIFATIAIAPFAYFLERKTRPKLTPSILFQIFLCSIFGVSMNQITYFVGLKNTTPTISCALSNLTPAVTFLLAIPFGLERVGLGSKAGQAKMLGTILCVGGAMLLSFYHGSIVPIDQPNIHWKYAQDMTQNKSTTTHENFILGPFLLIISAVSWGIWSILQAKLSMVYAAPYSSSALMCLMASFQCVIVGICFDHNISDWSLSHSIRAVSSIYSGVVCTALAYCLMSWCIERKGPLYVSVFNPLLLVIVAILSWGVLQEKIYIGTVIGSILIVLGLYGVLWGKNNELKLLPIEFDEEIGDIEKEKQKKDMEMQLQH >Solyc08g067410.2.1.1 pep chromosome:SL3.0:8:56516836:56519588:-1 gene:Solyc08g067410.2 transcript:Solyc08g067410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4CLW7] MNESNPSSNSRNLPDFKQSVKLKYVKLGYHYLITHGMYLFLSPLVVIIAAQLSTFSPNDFYVLWDQLRFNLISVVICSTLLVFLSTVYFITRPSPVYLVNFSCYKPEDARKCTKGTFLEMSKSVGTFSDGNLEFQRKIVERSGLGDSTYLPEAVTNVPPNPCMAEARKEAEAVMFGAIDELLAKTSVKPKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNVISYNLGGMGCSAGLISIDLAKDLLQVHPNTYALVLSMENITLNWYFGNEKSMLLPNCLFRMGGAAVLLSNKRSDKKRSKYQLVHTVRTHKGADDKCFTCVYQLEDSDGKVGVSLSKELMAVAGDALKTNITTLGPLVLPMSEQFLFFATLVGRKLLKAKIRPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWKALRSINPDKEKNPWMDEIHQFPVDVPKVARI >Solyc10g078840.2.1 pep chromosome:SL3.0:10:60656544:60660197:1 gene:Solyc10g078840.2 transcript:Solyc10g078840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHFLLLNLIAVLSFCCVVLAFEPSPLQDFCVADPAGTAKVNGLACKDPKSVGADDFFFSGLHLAGNTSNTFGSKVTPANVAQIPGLNTLGISLARVDYAPWGINPPHTHPRATEILTVLEGSLQVGFVTSNPENRHITKVLKKGDVFVFPVGLVHYQRNVGNGNAVAIAALSSQNPGVISIANAIFGSEPAIATDILAKAFQVDATLLSQVQCKISVWPILLAQLKVNGLACKDPKSVISEDFFFGGLHLAGNTSNTFGSKVTRVNATKIPGLNTLGISLARVDYAPWGVNPPHTHPRATEIFTVLAGSLQVGFVSSNPENRHITKVLKKGDVFVFPIGLVHYQRNVGYGNAVAVAALSSQNPGVISIANVVFESEPAIATDILAKAFQVDETVVAQIQSKF >Solyc01g081010.3.1.1 pep chromosome:SL3.0:1:80173258:80177540:-1 gene:Solyc01g081010.3 transcript:Solyc01g081010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQQNFYDKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKVAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLMIVCNKTDLQPLEGISEEDKKLVAEMKDEAMKTVIGQGGEATDEAGVLLTMSTLTEDGVISVKNAACERLLNQRVELKMKSKKLNDCLNRFHVAMPKQRDQKERPACIPEAVLEARAKQAEADAEKQKRKLERDLENENGGAGVYSASLRKHYLLANEEWKEDIMPEILDGHNVYDFVDPDILQRLEELEREEGLRQEEEGDDDFEMDGAELTPEEQAALAEIRKKKSLLIQQHRVKKSTAESRPTVPRKFDKDKEFTSKRMGRQLSALGLDPTLAINRARSKSRGRKRERSVECGDDNGNDAMDVDEITPSKKQRRSRSLSMARSRSMSRPRSEFVPGEGFKDKPQKEQAIKLFKKSANKRNKDARRGESDRVIPTLKPKHLFSGKRSSGKTDRR >Solyc09g098290.3.1 pep chromosome:SL3.0:9:72659371:72663202:-1 gene:Solyc09g098290.3 transcript:Solyc09g098290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFNLFLVLILHFGLLYGSLLADDTTMQLNDDVLGLIVFKSALLDPYSKLLSWSEDDNSPCAWEYIKCNPMNGRVNELNLNGLSLSGKIGRGLEKLQSLKVLSLSNNNFTGAISPELALLTNLENLNFSHNGLSGNIPGSFSKMTSLQFLDLSENALSGPVSDTMFDNCSDSLRYLSLSGNYLEGSFPKTVSKCTSLNHLNLSRNHFSGDPGFSEGIWGLTRLRTLDLSHNELSGLVPIGVSVLHQLKECLLQGNHFSGDLPADIGYCPHLNRLDLSNNQFTGQIPMSLQKVNALSFLSLSNNMINGDFPQWISNMSSLEYLDLSGNSLEGALPDSIGDLKMLKYLSLSGNKLSGNIPKSMVYCTSLSTIRIKENAFTGSIPEGLFGIGLEEADFSRNELTGSIPPGSGKFFESLQVLDLSGNNLTGNIPAEVGLFSKLRYLNLSWNNFQSRLPPEVGYFQNLTVLDLRHSALVGSIPGDICDSGSLGILQLDGNSFTGPIPDEIGNCSSLYLLSLSHNNLSGSIPRSLSMLKKLKILKLEYNQLSGEIPQELGKLENLLAVNISYNRLVGRLPMSSIFQNLDQSSLEGNLGICSPLLKGPCKMNVPKPLVLDPYAYGNQSRGGQNGDDGASRSNNKSFKNHRFLSVSSIVAISAAAVIAVGVMIITLLNASVRRRITFVDNALESMCSSSSKSGTLATGKLVLLDTKSSPDWTNSSLESILDKASQIGEGVFGTVYKAPLGGEGRIVAVKKLVTSKILQYPEDFDREVRVLAKARHPNLISLKGYYWTPQLQLLVSDYAPEGSLQAILHERPSSSTSLPLSWSTRFNIVLGTAKGLAHLHHAFRPAIIHYNIKPSNILLDENFNPRISDFGLARLVTKLDKHMISSRFQSALGYVAPELACQSLRVNEKCDVYGFGMLILEIVTGRRPVEYGEDNVLILNDHVRVLLEQGNVLECVDPTMNTYPEEEVLPVLKLALVCTSQIPSSRPSMAEVVQILQVIKTPVPQRMEVY >Solyc07g038160.3.1 pep chromosome:SL3.0:7:45618295:45619929:1 gene:Solyc07g038160.3 transcript:Solyc07g038160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPPDHITPNFPFGLNNSNNNSLVTPNYHFFFNSTTNQTASFHHQHTQYYMQHEQLEVDNDGGSSYDLGKKNEVGSGLKLSLWKREDKLLSSEIKKLDQEKKKNSTNSACIKLKLGDQKQKPIQTDYCSNNIPIRVCTDCNTTKTPLWRSGPKGPKSLCNACGIRQRKARRAMAAAAAEGKTDQKKLGFEDFLINLSNKLAFQQIFPQDEMEAAILLMALSSGLVHG >Solyc02g071170.3.1 pep chromosome:SL3.0:2:41225464:41230030:1 gene:Solyc02g071170.3 transcript:Solyc02g071170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICPSIKNILLLDSEGKRVAVNYYSDDWPTNAAKEAFEKAVFAKTQKTNARAEAEITMFDNYIVVYKFAQDLHFFVTGSDNENEIILASVLQGFFDAVGILLRGNVEKKEALENLDLILLCLDEIVDGGIVLETDANVIAGKVASNSVDSGAPLSEQTISQALATAREHLARSLLK >Solyc08g008087.1.1 pep chromosome:SL3.0:8:2580335:2582110:-1 gene:Solyc08g008087.1 transcript:Solyc08g008087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQTTCIICYNKLNYITIRSDYCRFDRDLRWRTGVQLFPVVCESSNNFKVTKEALEEAYSKAQESNIKVKGLLINNPSNPLGTILDKETLKDILRFINDKNIHLVCDEIYAATAFSQPSFISISEVKSEVVGCNDDLVHIVYSLSKDLGFPGFRVGIIYSYNDAVVNIARKMSSFGLVSTQTQRLIASMLLDTIFVEDFIAKSSMRLLQRYGLFTKGLGQVGITTLKSNAGLFIWMDLRRFLENSTFDDELKLWHIIIDKVKLNVSPGCSFHCSEPGWFRVCFANMDDATMKIALRRIRHFVYLQPNKGVEVATKKQYCRTRSKLEISLSFRRLDDFMNSPHSPMSSPMVQRSIMDMSTISLST >Solyc01g090425.1.1 pep chromosome:SL3.0:1:83980440:83982154:-1 gene:Solyc01g090425.1 transcript:Solyc01g090425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTSTAHKNNIRKISFASISVDAGLDIAAEKKYISTMTLDAQANLSPITKILGIISVIAGSRVVTFGGQSRPRRRRRKVERQWCKKSGCEDNLWESQF >Solyc03g122270.3.1 pep chromosome:SL3.0:3:71622348:71634508:-1 gene:Solyc03g122270.3 transcript:Solyc03g122270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEFASSVDMGLQLTKRIYYGKNPPKPLVMEKKSSEINNLPTAPMVYAVVRDPSMVDNPDIPSYQPYVHGRCDPPALIPLDMQGIAMEVDCYMDTAFVTVNGTWRVHCIASSRYCDCRIAVPMGEQGSVLGVEIETPSRSYSTLLIESNDTKDAGLVANAKDGFLLNRRIYTLKVPQVAGGSILSVKVSWSQKILYQDGQFSFSIPFSFPWYVNPIAKLLCKKERIRLNVNSGMGKEIICGSCSHPLKETRRLVGTLGFLYESEVRAWSMDDFSFSYKVRPLPVHSSEILGSVLLNSVSMLDVDQREMFCFNLYPPAVNTMKVFRKEVVYVVDISASMQGRPLENIKSALLAALSKLSPADTFNIIAFNGKSLLFSSSLMLSGKESIGKATQWIDQNFVAEGSTDISLPLNQAIEMLSKNGDSIPLVFLITDGSVGDEREICEALRGRLMKSGLNSPRISTFGIGLYCNHYFLQMLAQIGRGYYDAAYDLDSISSRLERLLNGTSSVILADLKIEALESLDSFELYPCYLPDLLSSRPLIVSGRFIGTCPGSVKVSGTLADLSSFVVNVKVQKAKDLPLERVFAKRQIETITGNAWFSGSKQLEEMVAKLSLQTGVPSEYTNLILVENLKEKQTSKLETVDEKASDQLNVKKIIYLRALGVGFGNLKATADNLPVEAAEPKLHETSEMFCSRVSDQCAVTLAQICTALACFECISFCCEKLLVLLRDGRKLLGILRSFDQFANAVLESACERAIVGDLYCDIPLGLYIIRGENVVLIGELDVDKEELPPHMTRVSEAEIRRAQKAERDSTDLKGSMRKRMEFLDMD >Solyc03g071520.1.1 pep chromosome:SL3.0:3:19795179:19796425:1 gene:Solyc03g071520.1 transcript:Solyc03g071520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BHI2] MISRRQTSSSGFPWDMVQCWAIEVRDDEVVGVIGVVFCADKFGIYIMMKRLKNEASSVRRFKLSYVLLGLAAFYLVLISVKFLEFLESATVMSGNDADVVKSQEEDNEDEASPHKKPSKLHYGRITTAILKRQNVEQNLSILDSIPDKAWTLCSKAWEELDRYEEKGINMNSILEGKPEPCPSWVSSSGAQIAKIDHMMFLPYGLALAKIRAADALVLVSQFMIELQGLKSVIGEGPPKILHLNPRIRGDWSRRPAIEHNTCYRMQWGTAHRCDGLPSKYNDDMLDWKCSHLGKEKHWRSSLPDSGSSVEVGYSLCYLIVNS >Solyc06g069780.3.1 pep chromosome:SL3.0:6:43571797:43575771:1 gene:Solyc06g069780.3 transcript:Solyc06g069780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEHLVLRVDHLITPESLHSLPRPEHTELSGGTSVTQTVGTSSGIDAQENEYQRAGDEEEPLLQTVECRICQEEDSLKNLEIPCGCSGSLKDIDSTNKNLRPLILKNHKFGMPVMLAERLYHPGYTARPASPQSEDTSIDIRGWTVGGTQVDFHDPRLLAMAAAERRLLEADYDEYSDSNASGAAFFRSAALILLALLLLRHALIVGDANEDEDDVSTFFMLFLLRAAGFLLPCYIIAWAIGILQRRRQRQVCQ >Solyc12g006480.2.1 pep chromosome:SL3.0:12:961553:1001128:-1 gene:Solyc12g006480.2 transcript:Solyc12g006480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLKILLSLIESTVLNPTPPTPSERIELLHAIRHSLPSFQSLLSYPPPKPSDRVQVQSKEVRLPDSGPISLDDQDVQIALKLSDDLHLNEVDSVRLLVSANQEWGLLGREPLEIFRLAAGLWYTERRDLITALYTLLRAVVLDQGLEPDLVADIQRFLDDLINAGVRKRLISLIKELNREEPSGLGGPNCERYILDSRGALVERRAVVSRERLILAHCLVLSVLVVRASPKDVKDVFSTLKDSAAGLSGSTDTLSHQITYSLLFSLVVALISDALSAVPDKTSVLSRDAAFRQEFQESVMVTGNDPVVEGYFDCLRSAWVVHLMLIHDGIDAKDTSATASSNNDIRNIYSCLEVIFSNNVFLSWLNKILLTPAYQNDDEDMIYMYNAYLHKMITCLLSHPLAKDKVKEAKEKAMTALGPYRLSTSHDHTVEGIGHFQKATEPAPQTFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLRMLSTLASSAEGASKVFELLQGMTFRSIGWSTLFDCLSIYEEKFKQALQSPGAVLPEIQEGDAKALVAYLNVLQKVVENANPVEWKNWFPDIEPLFKLLGYENVPPYLKGALRNAIATFVQVSPVLKDTTWRYLEQYDLPVVVGNTTQSLTTQVYDMRFELNEIEARREQYPSTISFINLLNTLIATEKDVSDRGHRFIGIFKFIYDHVFGPFPQRAYADPCEKWQLVIACLKHFQMMLSMYSIRDEDIDSVVDQSQLSETGQSAPLQMQLPVIELLKDFMSGKTVFRNIMSILSPGVNYLISERTSQIYGQLLEQAVLLSLEIVNLVLEKDLAVSEYWRPLYQPLDVILSQDQSQVVALLEYVRYDLQPRIQQSSIKIMNILSSRMVGLVQLLLKSNAAGCLVEDYAACLELRSEECQIIEDCREDSGVLILQLLMDNISRPAPNITHLLLKFDVDGAVERTVLQPKFHYSCLKIILDVLEKLLKPDINALLHEFAFQLLYELCTDPLTGNPMMDLLSTKKYWFFVQHLDLIGIAPLPKRNSSQALRISSLHQRAWLLKLLTIELHAADMSSSTHREACQSILSQLFGEGNFEHDVDLGVSSPYSQISPGVNGARMISKSKVLELLEVVQFKSPDTVLKSSQAVSSAKYGFLAEDILTNPATSEKGGVYYYSERGDRLIDLAAFRDKLWEKYNLFNPQHSSFSTEVELNEIRDTVQQLLRWGWKYNKNHEEQAAQLHMLTGWSQIVEVSASSKISSLPNRSEILFQLLDASLGASGSPDCSLKMALILTQVGVTCIAKLRDERFLCPSGLNSDTVTCLDIMMTKQLSNGACHSILFKLILAILRNESSEALRRRQYALLLSYIQYCQHMLDPDLPTTVMQLLTMDEQENDDQDLEKIVKDQTEMAYANFSIIRKEAQSLLDLIIKDAIHGSESGKTISLYVLDALICIDHEKFFLSQLQSRGFLRSCLVNINNFSQDGGLSLESMQRVCTLEAELALLLRISHKYGKSGAQVLFSMGAYEHISACKALNMQLKGSYRRMDGKFGRELSVDVDKQRMIIAPILRLVFSLTSLVDASEFFEVKNKVVREVIEFVRSHQLLFDQILREDLSDADVLTMEQINLVVGILTKIWPYEETDEYGFVQGLFVMMRFLFSREPDSFITNQSMDFLEERRKAEMNASRLCFSLSSYLCFLVTKKSLRLPVSDGRMDYRTSAGQQQPTLNLLGFLLNSLTTALERATEDRYLLLSKIQDINELSRQEVDEIINMCVPKGCISSSENIQKRRYVAMLEMCQIVGDRNQLMTLLLLLAENVMNIILVHFQDSSFECDNKRYSKDDLNLLCGKLISALERLELLSEDKTGHDLKVFRRLASSLKEISIQKSPV >Solyc03g031780.3.1 pep chromosome:SL3.0:3:4298034:4300004:-1 gene:Solyc03g031780.3 transcript:Solyc03g031780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCFTCCFRTNSNHKKIITKKSCSNKINSISPQALQDLLETREKGEDIVAINGTKEEVNHHDKIVKSINLIEESKDIISRENLLEFDENGEAYVDAIDAKKEARHKIVNSINLFEESKVEEEEEESNKNSKNDEGLLSNQVSANCSQNSNDEFEDIDLEDEANNNGDGNESNREESSYESLFSLSIDNSTRNGNNPIPEIMCDKEEVNNTSITTPPSLLNQQEEKENIDMNLLDFSTTRNRAKEEPNLKRGENDASLSSWLVECSQDDTPNSKNSVGSVGNSPSERCVGLIDRPILGALIVEELKDEKPIVIGTIGSYLRHTGQATGVSSY >Solyc09g064520.3.1 pep chromosome:SL3.0:9:62032521:62035825:1 gene:Solyc09g064520.3 transcript:Solyc09g064520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLTIFILFFFYCYGVVDNGVVAAKVDLNDEVSILLSIKESLVDPLDHLRDWTVPNHAAPCSWTGVECNSRGEVEKLDLSHRNLTGTVSNDIQKLKSLTDLNLCCNEFSSPLPKSFSNLTALKSIDVSQNYFVNDFSVGLGMSEALVYLNASSNNFSGYLPEDIGNATLLETLDFRGNFFQGSIPKSYGNLGKLKFLGLSGNNLTGKIPGELGQLSSLETVVLGYNVFEGGIPAEFGNLTNLKYLDLAIANLGGSIPSELGKLKLLDTIFLYKNKLEGKIPPEMGNMTSLQLLDLSDNMLTGEIPAEIAELKNLQLLNMMSNKLSGSVPSGIGGLTQLEVVELWNNSLSGPLPSDLGRNSPLQWVDISSNSFTGPIPAGLCAKGNLTKLIMFNNAFSGPIPTGLSTCTSLVRVRMQNNLLSGTIPAGFGKLGKLQRLELANNSLTGQIPSDLAASTSLSFIDFSRNHIQSSIPSFILAIPTLQKFIASDNKMIGEIPDQFQDCPSLTVLDLSTNHFTGDLPASIASCEKLVTLNLRNNQLNGPIPRAISMMPTLAILDLSNNSLTGGIPENFGNSPALEMLNVSHNKLEGPVPENGMLRTINPDDLIGNAGLCGGVLPPCSHNAAYTSKQKSLHTKHIITGWLTGVAALLLFVTAGLVARSLYKRWHENGSCFGPSFEMSSGEWPWRLMAFQRLGFTSNDILACLKESNVIGMGATGVVYKAEMQRENMVVAVKKLWKSGTDIEMGDSDDLVGEVNVLGKLRHRNIVRLLGFLHNKRDAMIIYEYMQNGSLGEVLHGKQAAGRLLVDWVTRYNIALGVAQGLAYLHHYCHPPVIHRDVKSNNILLDANLEARIADFGLARMMLKKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSFGVVLMELLTGKRPLDPLFGESVDIVEWFRMKIRDNKSLEEALDPNVGATQHVQEEMLLVLRIAILCTAKLPKDRPSMRDVLTMLEEAKPRRKSSSNSGGSHAATTNKDKPIFSTSPVNGLL >Solyc12g057020.2.1 pep chromosome:SL3.0:12:64050023:64053695:1 gene:Solyc12g057020.2 transcript:Solyc12g057020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLLLLMLLSHSRWIATANVTSDCNSIAKNIFILSGQSNMSGRGGVFNQTSSSGTVSLTWDGIVPPECQPNPSVLRLNGGLTWVEAHEPLHKDIDVNKTCGVGPGMSFANKLKMDDPDIGVIGLVPCAIGGTNITQWVRGGWLYNEMIRRTKVALQGGGMIRGMLWYQGESDAIDLDDAKLYKGRLKKFFKDVRRDLELPTLPIVQVALATAPGPYMEVIRKAQLGINLPNVRCVDAKGLPIGPDMLHLTTPAQVQLGEMLANAFLQIQKVFLPSSN >Solyc05g024400.1.1.1 pep chromosome:SL3.0:5:31641114:31641287:1 gene:Solyc05g024400.1 transcript:Solyc05g024400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTCRCPDNLDRVRIRRINSGYVTKQFNDFEESPYNRSKWLNLDGRMRVFFKFFFLI >Solyc08g081270.3.1 pep chromosome:SL3.0:8:64446908:64453071:-1 gene:Solyc08g081270.3 transcript:Solyc08g081270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC6 [Source:UniProtKB/TrEMBL;Acc:K4CPC4] MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFFVEFHGPKESPYLGGVWKVRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTTYDQKVKEYCEKYAKKEDAGAPPEEKSSDEELSEEENVSSDDELAGKADP >Solyc02g032370.2.1.1 pep chromosome:SL3.0:2:28868546:28868863:-1 gene:Solyc02g032370.2 transcript:Solyc02g032370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ETCVICLGSIKAEKGKAIFTAECMHSFHFSCIGENVKHGNLICPICRSKWKEIPSQFGADVTIVRRIRVLHCVNHSRPLPTVSPPLPQHVQFFRWGLKHYTYHGL >Solyc01g106375.1.1 pep chromosome:SL3.0:1:94198518:94198954:1 gene:Solyc01g106375.1 transcript:Solyc01g106375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTFKNHPKFHKVSCTNNDDDMNDIDLASDFATEVGKMNTQMIQKEEALKKSKELLFVEFCHYTGLKSEEMKKKWKKFSEEEQWDLVKSFVLEWGAHFHPLSARG >Solyc11g038340.1.1.1 pep chromosome:SL3.0:11:45480638:45480880:1 gene:Solyc11g038340.1 transcript:Solyc11g038340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIERAERRVVSVRVCNLVKEGLTSTRLRVVILVDITRTPRPRLMTLAQSLKRIRKLWKNQSREEMLHTGVEGLHIERVK >Solyc05g050436.1.1 pep chromosome:SL3.0:5:61467077:61467863:-1 gene:Solyc05g050436.1 transcript:Solyc05g050436.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKNDESTSIEIFDESGHFGMWQGEVLDVIFQQGLYIVIEEKKPDGVGEEDWKIINRVACGTIHFYLTREQTYPHTKKTSANKLWNSLEEKFLKKSNQNKLYMKTRLLRFTYIPGSTMNDHITNFNKLVTNLLNMDVTFTREKQKGGEAEALIARGRSQNHMRMKKERSKSRSRLSKEECTFCREKNTRRKTAQS >Solyc11g013537.1.1 pep chromosome:SL3.0:11:6939249:6939530:1 gene:Solyc11g013537.1 transcript:Solyc11g013537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLREGIVKMSNSEPNASLSESQNSTTPNYRPYAQMIEQALQELDEEEGSDEDSISKFIIKNNDTLTRDDKIMLKHHL >Solyc01g081350.1.1.1 pep chromosome:SL3.0:1:80437294:80438595:-1 gene:Solyc01g081350.1 transcript:Solyc01g081350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHYFILLYLASLSHFAQSKNDGFSIELIHRDSPKSPFYNPALNQRQLMYNALQHSINRASFLWSKLSTSIIPDIHGVYLTKISIGTKPRAKLVAVDTGSDLIWIQCEPCVHCYEQRTPIFNPQNSSTYRPITCNSTECTSLPGGSCYTRRNTCLYSARYNDESYSYGDLASETFTFDDATNTTHPIRLSMSNITFGCGWMNDITTLDTEPAGIIGLGASPYSLVSQIKSKFGHKFSYCLVPFFQLNVSSRLSFGENEALISSTKHMVTTPLFLKPPKVYYFLKLLGITIRNTTIRFHNTSKKAHKGGKIAIDSGTTFTFLPTHMYSEMERIMKREIKLKPLRSNTTRFLRLCYQGLGVSDVPPVIFEFQNAKLELEALNSFVNIGNDVICLAFAPAKHLPIFGNIAQTNFFVAYDLDKKTVSFKPQICAKL >Solyc01g110070.2.1 pep chromosome:SL3.0:1:96782907:96785764:1 gene:Solyc01g110070.2 transcript:Solyc01g110070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVSFVPQLGEQELREPYIGMEFQSLDTGFKFYLDYAHRNGFSVRKNRISRSRKDKSIIGQEFVCSKEGFRSKKCLESNKQRDETREGCKVMIYMSKKEEEKWVIARLVLNHNHELASPNSQKFLRSKRKKSEAQKNLIDLLNNSGIRPSKIASVLTTQAGGIENLNITGRDIQNYLSTKRQNCLEKGDAQLMLKYFQKRQSDSPGFFYAIQMDVEGHLANCFWVDARSRIAYKNFGDVVLFDPTYLTNKYKMPFVPFTGVNNHHQSILFGCSLLWDETEETFQWLLHTWQEAMFGISPRTIITDQDAAITNAVAKVFPNSAHHFCMWHIEKKIPEYLSHVFHAFDDFKNKFKEHIWLRKIYTIREKWIPAYVRTTFCAGMSTTQRSESMNKYFKDYLNSSTPMSVFVTQYDKVVDARYDKVREKDYKTKHSKAILKTLYPMEDEAAKIYTRKIFQKFQEELIQSQKFISEKIEVQDGIHIYKVHLFQRETPTYIVRLNLELKNATCSCHKFEFMGILCRHVLMIFIKKQIHSLPPCYLLDRWTRYATTEKANDISSAGSLAYNLKSSTIWFNNIMTHSLGLSERATRSEKHYKFTYQKLLQLSKELDELPYEDNDNVCDDQVNGSNNDLNSSEQREKFSLLDPPCVATKGRPRSLRMKSGLESSQKVKRSSSLKSKRETKIRKKGKGVSSHINVEKEFNSRETIINADPTMEFSAYSEPFEGQSGRADSYPTSFMLLFYAFIYVSKDTPLSDT >Solyc10g084760.2.1 pep chromosome:SL3.0:10:64292842:64313582:-1 gene:Solyc10g084760.2 transcript:Solyc10g084760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYVDGMGFDGGFVIIVLLFSRIVHFWTRVFIMRLDILGVPAEYLELLQPGLVAYVKNNKSQIAELVPALFPTNEEAVEIIAEQQIQSPRSMVSSSVNVKDLFQESMEWIQWLMFDGEPSRALEQLEDTGQRGVCGAVWGNNDIAYRCRTCEHDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHKGAEQIQPLPEEFANSMGPVLDLLLSCWRKRFLFPDSISGRNPRKNDHSTELKMVTDELTSAVVKMLLKFCKHSESLLSFISRRVSSSAGLLDILVRAERFMIIEENVKKIHELLLKLLGEPQFKYEFAKVFLSYYPTVVNEATSECNDSVYNKYPLLSTFSVQIFTVPTLTPRLVKEMNLLPMLLGCLGDIFASCAGEDGKLQVMKWSNLYETTLRVVEDIRFVMSHSVVPRYVTHERRDILRTWMKLLAFVQGANPQKRETGIHVEEENENMHLPFVLGHSIANIHSLLVSGAFSTSSTEDGADAFFNTHREDFEDQDSQRHAKVGRLSQESSVCSMAGRSPLEHASRVLEVHYDSSPISSSVLCLTFECLRAIENWLIVDNTSGPLLHILCPKTSSTPGNNFSVLKKTLSKFRRGREMFKSQSPPSNDVRLVTSAEGYNKQYSNPSLNGRTILDSGLGSGQEPACLGGHDDSMLEGDNASELGELRLLSLSDWPDIVYKVSLQDISVHNPLQRLLSMVLQKALGKCYGENAQPVASSAKLSSSVHYDFFGHILGVYHPQGFSAFIMEHALRIRVFCAQVYAGMWRRNGDSAILSCEWYRSVRWSEQGLELDLFLLQCCAALAPADLYISRILERFELSNYLSFNLERPSEYEPALVQEMLTLIIQILKERRFCGLTSSECLQRELVYRLSIGDATHSQLVKSLPRDLSKIDKFQEVLDKIAIYSNPSGMNQGMYKLRLPYWKELDLYHPRWNSRDLQVAEERYMRFCNASALTTQLPGWSKIYPPLGRIAEVATCRTVLQIVRAVVSYAVFSDASNASCAPDGVLLRALHLLSLALDICHAHRESGEHSCSNGDVIPILALACEEISVGKFGDQSLLSLLVLLMRKHKKENYFVEAGMLNLLSLVESVLKKFAELQPECMKKLQDLAPDVVNQLSRSFPAGDMNSFKSVSDSDKHKAKARERQAAMLEKMRVQQSKFLASIDSKTDVAADDSKHGKDLCDSDGRPRSEEATPVICSLCRDPNSRSPVSYLILLQKSRLLSCTNRGPPSWEQTRRPGKEPTSCAKHVPNISSERSNLSRSSEITSSSCLMQLIQNKVNEFALEGQPKEVEAFLEYIKEKFPSMKNIQPSCASSTVKKKTSSSFEMLEEHMYSLIWEEMDANSWNWDLLKNDRKLSALGDNGSAESLLLGRYISALSRECSPSASTNSRKAQLESSMLLPTYNGFGPSDCDGIYLSSCGHAVHQGCLDRYLSSLKERYTRQIVFEGGHIVDPDQGEFLCPVCRGLANSVLPALPAETKRSTPSLSTDPSDAVGLPTLRFQEVLFLLQSAADVAGSREILQSLPVQQFGQMRVNLDYVVRILCEMYFPDKDKISESGRLSHSLILFDTLKYSLISTEIAARSGNTSLAPNYSLGALYKELKSTNCFILALLLSIVQSTRSKDSLTVLLRLRGIQLFVKSICSDISADEYPDSPIVGGNMQDILEFSETELQYPDIQFWKRCSDPVLAHDAFSSLTWVLYCLPCQFLSCEKSFLCLVHLFYVVTITQIVITYSRKLQSSLSMSGCSDSLVTDIYRIIAENGVAYKDFDSNHIETHDVKDAIRSLSFPYLRRCALLWKLVRSSVSAPFSGGSNILDGLPYSMGETMECGGNIPVEFNEIEKLEKLFKIPPLDDVISDETVRFVVPSWLRRFSKQFEARMLNGAMYSSPAVPFKLMLLPHLYQDLLQRYIKQNCPDCGVVLEEPALCLLCGRLCSPNWKPCCRESGCQTHAMACGAGTGVFLLIKKTTVLLQRSARQASWPSPYLDAFGEEDSGMNRGKPLYLNEERYAALTHMVASHGLDRSPKVLHQTNIGNFFVL >Solyc02g083070.2.1 pep chromosome:SL3.0:2:47174394:47175419:1 gene:Solyc02g083070.2 transcript:Solyc02g083070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSSKPNHVRSISLPGRSHPTTQRVEEELNKLKSLEVSAAPAAVSNGLIGLEKLYKCIDDLLNLPQILQALSQSLNAKCKKIKRDAKRSVSTLKQMDQETAVLVLLDADQDTVAVIRALKEANAVCLSTFQMLLSFLCVPLLKPKPSKWSLLSRLVQKERITSEYHEENMSLETRLETFEAYLDSFEDGLEATFRCLIRSRSSLLNISSS >Solyc02g089175.1.1 pep chromosome:SL3.0:2:51690629:51698496:-1 gene:Solyc02g089175.1 transcript:Solyc02g089175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDNGNKQNGTSFDLNDFTIIKEGDAEILMHAKNEVFYNNSQVNNRDMSIAVLRTFISQRKLEHDAMLARRTKAGNKAPNAKVENPGDSVQHNGESTDGSEVLKDASHGESSSIPVEQNKNLRGKGREELKPPRVLEALSASGLRALRYAREVEGISQVVALDNDKASVEACRRNIKFNGSVACSKVESHLADARVYMLTHPNEFDVVDLDPYGAPSVFLDSAVQSVADGGMLMCTATDMAVLCAANGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMDFYVRVFVRIYTSASDVKNTPLKLSYVYQCIGCDSFHLQTVGRTVSKDKSVRCLPGFGPAVPQECSDCGKKFNMGGPIWSAPIHDQEWVAAMLANVKSMKERYPAYERISAVLTTISEELPDIPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKTDAPMNVIWDIMRCWVRNHPVKAQPSDQSGSVILAKEPVLQANFARAVASLSQAQAKKVARFLPNPERNWGPKLRAGRQITSKHVSLLGPKALNAISNHGDGEGSNNENGDVSNHENGDGSNHENGNGSNHEDVEEPAAKRKKTEESDS >Solyc03g123490.1.1.1 pep chromosome:SL3.0:3:71821300:71823648:1 gene:Solyc03g123490.1 transcript:Solyc03g123490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSFLLFCLSFLVFNGSVSSTANDLIRTFIVHVQHDAKPSIFPTHENWYESTLTSLTADTQSLEIGANRIIHTYSNVFHGFSVKLSTLDAQKLEDFDGVLGVIPEQVRHIQTTRSPEFLGLTSADSAGLLKESDYGSDLVIGVIDTGIWPERKSFHDRDLGPVPAKWKGECVAARGFSATSCNRKLIGARYFSSGYEATNGKMNETIEFRSPRDSDGHGTHTASIAVGRYVFPASTLGYARGVAAGMAPKARLAAYKVCWSSGCYDADILAAFDAAVADGVHVISLSVGGVVVPYNLDAIAIASFAATDAGIFVSASAGNGGPGGLTVTNVAPWVTNVGAGTIDRDFPADVKLGNGRIVPGVSIYGGPALTPNRLYPLIYAGSEGSDGYSSSLCLEGSLNPNYVQGKIVLCDRGVNSRAAKGLVVKKAGGMGMIIANGVFDGEGLVADCHVIPATAVGASAGDEIRKYISVASKSKSPPTATILFRGTLLNVRPAPVVASFSARGPNPESPEILKPDVIAPGVNILAAWPDGVGPSGLPWDTRRTEFNILSGTSMACPHVSGLGALLKAAHPGWSPAAIRSALMTTAYTVDNRGQIMMDESTGNSSSVMDFGAGHVHPQKAMDPGLIYDLTSYDYVDFLCNSNYTTKNIQVVTRKYSDCSKAKRAGHVGNLNYPSLSAVFQQHGKHKLSTHFIRTVTNVGDPNSVYHVIVKPPRDMVVTVEPEKLTFRRVGQKLNFLVRVQAEALKLSPGNSIVKSGSIVWSDGKHEVTSPIVVTMQEPL >Solyc11g032110.1.1.1 pep chromosome:SL3.0:11:25108752:25108979:1 gene:Solyc11g032110.1 transcript:Solyc11g032110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPLLSPSPLLRLARQRTPPSPSSSPFTVVPPLPVETAAALSSCHLHQRMGPAQYDPTVSLPRAAKTLSSPRRSR >Solyc01g066050.3.1 pep chromosome:SL3.0:1:72798993:72803199:-1 gene:Solyc01g066050.3 transcript:Solyc01g066050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSNYGKSGSSMNRFDFDLGMNSGRSRSLNDQKNKTSSYSSSSSSYTYSSTQSKPNSGSSWTQPNKSSWTHQPVGSLSGPNSMAGDIFGKSWGSSAPSTTSNVASSVGMTNKNPNLFGDLVSSALGGNKSSSNAPLKNAAPTANKSAFSMGGMTDNLPKSGNSVKTGGSWGSAGNSGSGTAGGSWGSAGNSGSGNAGGSWGSVGNSGSGNAGGYNAYNYTASVNQSGGNTKTPNLGGTSLKNMSGSGVGEGMGVNKDPFGSLVDFSSKPGPNMKSDSKETKKNSSGDDVFGNFQNATKSDGPGFPSDPFPTSNTNASPGLNTGGAYSKVDDFGFTNTQSQPSATQSSGVGDFDSLFSSTNASSGEAAGGSENQQFTGGDDWGFESEFVGANDSSGTTEIEGLPPPPSGVSASAAKNKGMDNHKQGQYGDAIKWLSWAVILLEKAGDEAGVMEVLSSRASCYKEVGEYKKAVADCTKVLEQDGKNVSVLVQRALLYESMEKYKLGAEDLRTVMKIDPGNRVARSTVHRLTKMAG >Solyc06g060610.2.1 pep chromosome:SL3.0:6:38724555:38729857:-1 gene:Solyc06g060610.2 transcript:Solyc06g060610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4C6P1] MILKNVIFSNQPYTRTLVCHLPMSQNSSKNVAVIGAGSAGLVAARELQREGHRVVVFERENQLGGTWVYTPDTESDPVGIDPNREIVHSSLYSSLRVNLPREVMGFGDYPFVAKKKPGRDPRRYPSHGEVLEYLNDFAVDFGIIGVVRFGMEVGFVGKMENGKWKVSCRKRENDDLFANEEYDAVVICNGHYTEPRIADIPGIEVWPGKQIHSHNYRVPDPFRDQVVVLIGGAASATDISREIAEVAKEVHISSRSATSGVPMKLPGYDNIWLHNMIEAVGSDGGVNFQDGSKILADIILHCTGYKYHFPFLETNGIVTVDDNRVGPLYKHVFPPAFAPSLSFVGLPWKVIPFFLCELQSKWIAGVLSGRISLPSKEDMNADIEAFYSSMAASCIPKRYTHNMDDSQFDYDDWLAAQCGSTPFEEWRKQMYLISRKNKRTLPETYRDEWDDDDLIIQAHEDFVKYIPELAQEQKLSR >Solyc07g049690.3.1 pep chromosome:SL3.0:7:60173653:60180108:1 gene:Solyc07g049690.3 transcript:Solyc07g049690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HPL description:Fatty acid hydroperoxide lyase, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:K4CF70] MNSAPLSTPAPVTLPVRSIPGSYGLPLVGPIADRLDYFWFQKPENFFTKRMEKHKSTVFRTNVPPCFPFFGSVNPNVVAVLDVKSFSHLFDMEIVEKANVLVGDFMPSVVYTGDMRVCAYLDTSEPKHAQIKNFSQDILKRGSKTWVPTLLKELDTMFTTFEADLSKSNTASLLPALQKFLFNFFSLTILGADPSVSPEIANSGYIFLDSWLAIQLAPTVSIGVLQPLEEILVHSFAYPFFLVKGNYEKLVQFVKNEAKEVLSRAQTEFQLTEQEAIHNLLFILGFNAFGGFSIFLPTLLGNLGDEKNADMQEKLRKEVRDKVGVNPENLSFESVKEMELVQSFVYETLRLSPPVPSQYARARKDFKLSSHDSVYEIKKGELLCGYQPLVMKDPKVFDEPEKFVLERFTKEKGKELLNYLFWSNGPQTGRPTESNKQCAAKDMVTLTASLIVAYIFQKYDSVSFSSGSLTSVKKAS >Solyc01g035220.1.1.1 pep chromosome:SL3.0:1:36789829:36790014:1 gene:Solyc01g035220.1 transcript:Solyc01g035220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVITSTIPGISMNPIIAIAQDTLENNCYY >Solyc11g062420.2.1 pep chromosome:SL3.0:11:49755830:49756444:1 gene:Solyc11g062420.2 transcript:Solyc11g062420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIMLFLFAVTIFFTLFDHSLGDDPKFCPGTFTVNDVCANISCGYQAVFHWPASKMPHSCVCTASGSNQSLCTCQIVCDAK >Solyc02g064710.3.1.1 pep chromosome:SL3.0:2:36391625:36392875:1 gene:Solyc02g064710.3 transcript:Solyc02g064710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNRHCPRIDTYQLKLRLERKIGQQKAEKYFTALSKYLSLKLNKSDFEKFCIGLLGRDNICLHNALMRAIIRNACAAKTPPPKNGTMQPSFNVKVPNGYQGGTLQSLCRDVFPQSPRKGRTPTLRDRRFKDRACPPQPHWKTHSAACEDNAPKAREHQSATELLPLGRSHVTAPLGISLSGMGRRKVVCHRSGPLHGTETCNSTRELPDSNSLKKWLEQKSETEGVQISTDAINVLNNGLDVFLKRLIKPCMDLAGSKSQHKRIHDQAVSVSNKTRPMKYIQKPSDLFSVSMLDFRSAMELNPRILGEDSQIQLEKISLHAYEEPSIN >Solyc10g018330.2.1 pep chromosome:SL3.0:10:7406316:7412567:1 gene:Solyc10g018330.2 transcript:Solyc10g018330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEEENAESARSSQAISGQRVKGHNKEFCYKIVGYPPDFKSKRKVQGTSPTYGNTGSNNYSQAQSTRANLTYGINTNVPPPGWGNVPEQYQSSSEIQLLVLIEQYPSTTVSHDDSVSHETHNLSSVDETEQAQTDGVVDNEMNQVSATTNRRSTRQKLKPTWMKDFSEEGTILVLVYVDDMLITGSSLKLIEETKKALQQAFKMKDLGELKYFLGIEFTRSATGIVMHQRKYTLELITEVGLTTAKPAGTPIDINVKLTSKLYDEQVKQAESDDPLIDQTTYQKIIGKLLYLNMTRPDISFSTQTLSQFLQQPKSSHLDAALRVIRYLKNQPGKGLLLASDSDGQVTAFCDADWASCALTRKSVTGYMVKIGRSLISWKAKKQTTVSRSSAEAEYRSLASTVSELVWLLGLLKEVENASTIYTSMEKIVHCILILVLSIFSMKGATGSINTNRLMNPRTMTFVEAQCRRTRYQELCVRTLSNYVNSTSQDPQEIAQVALKVSLAKAINTKYYIMKVCKEFNQINKGNHKNNNQAAKDCLDQISDGVSQLTNSVKELQHLSLDGERAFEWHQSNVQTWLSTVLTDAYTCMEGMNNLGHVSMVSYKVKAMIKAKVLNVAQVTSNALALFNGFAARHKASHHVGYGKNKP >Solyc12g049070.1.1.1 pep chromosome:SL3.0:12:61428502:61429659:1 gene:Solyc12g049070.1 transcript:Solyc12g049070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Extensin-like protein Ext1 [Source:UniProtKB/TrEMBL;Acc:Q8VWM5] MGSQIKKQWLQFACLLTFFLIATCSMAYSPYNSYESSDSTYNKVPTTVVKSEDFKVPSESEKEYKSSFLPKNDYYKKPSISEDNYKKVSFVPEHESFLPKNDYYKKPLFSEDNYKKESYVQEVPSKAKPEYKESFFSKFDYFKKPSFFEDNYKKTSYVPKVPSMAKPEYKESFFPKFDYFKKPSVSEDNYKKTSYVSEVPSMAKPEYKESFFPKFDYFKKSLAPEDKYKKAPYVPEVSTEPKPEYKVPSLPKNDYYKKPTIPEDNYKKVSYVSKVPSVPKEEYKAPTLPKNDYYKKPSVQEENYKKVPLISKLPSVPKEEYKVPSLSKKDYYKKPLVSEDNYKKVSYVPKVPSVPKEEYKAPSLSKNDYYKKSSPSPSPPPPPYY >Solyc01g079960.2.1.1 pep chromosome:SL3.0:1:78965625:78967213:1 gene:Solyc01g079960.2 transcript:Solyc01g079960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKIYCLSLFLSLILLFSLSFAKTPPRPRAFLLPVTKDASTKQFVTTINQRTPLVPVKLTIDLGQRFLWVDCEKGYVSSSYKPVPCGSIPCKRSFSGACVESCIGPPSPGCNNNTCSHIPYNPFIRTSTGGELAQDVVSLQSTDGSNPRKYLSTTNGVVFDCAPHSLLEGLAKGVRGILGLGNGYVGFPTQLANAFSIPRKFAICLTSSTTSRGVIFFGDSPYVFLPGMDVSKRLVYTPLLKNPVSTSGSYFEGEPSTDYFIGVTSIKVNGNVVPINTTLLNITKDGKGGTKISTVEPYTKLETSIYNALTKAFVKSISKVPRVKPVAPFKVCYNRTSLGSTRVGPGVPPIELVLRNKNATTSWTIWGVNSMVAVNDDVLCLGFVDGGVEFEPTTSIVIGAHQIEDNLLQFDIANKRLGFTSSLLFGQTTCANFNFTSKP >Solyc03g120810.3.1 pep chromosome:SL3.0:3:70551992:70557484:-1 gene:Solyc03g120810.3 transcript:Solyc03g120810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVGRQRSGTSTAHHQRQYSDNFLETSSNGRWLQSAGLQHLQTSNNNVPQAPQGQEYGYYGGAQGSRMLRGAQRTYSGGSDLFAEPLTPPGNYRHSSQRRNGDEEVCPNEFSPGLLDLHSLDTELLPEMPVAGLYDAPSMHHFARAKSFDDSEQFLANNKQTGRVRGLPESNVSKSFAVDKDKASNVAKIKVVVRKRPLNKKELTKNEEDIVETRFNSLVVHETKLKVDLTQYVEKHEFVFDAVLNEEVSNDEVYHETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLRASRDLLRLIHHTYRNQGFQLFFSFFEIYGGKLYDLLNERKKLCMREDGKQQVCIVGLQEYRVSDVEMIKELIDRGNATRSTGTTGANEESSRSHAILQLSVKRSADGSESKPPRVIGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDNDQNHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKGNNSKKDVSSSTLNLKESTTLSFSAVVPSTSTFEDDTGDSWPEQTDKDEYDEDFYEQEKPMSKKNEKLDGYSISNSEDKMKRANAQTKWKEPPRTEAKYSNSDDDLNALLKDEEDLVNAHRKQVEETMDIVREEMNLLVEADQPGNQLDNYISRLNAILSQKAAGILELQNQLAHFQRRLKEHNVLVSSGN >Solyc08g083040.3.1 pep chromosome:SL3.0:8:65746011:65757714:-1 gene:Solyc08g083040.3 transcript:Solyc08g083040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGPRNYSANPNDYKLLEEVGYGASATVYRAIYLPYNEVIAVKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIKSFCSFVVENYLWVVMPFMAEGSCLHLMKIAYPDGFEESAICSMLKETLKALEYLHRHGHIHRDVKAGNILLDTNGAVKLGDFGVSACMFDSGDRQRSRNTFVGTPCWMAPEVLQPGTGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKYAKPPELSVKKLFADLPPLWNRVKALQLKDAAQLALKRMPSSEQEALSQSEYQRGVSAWNFDLEDLKLQASLVQDDDEIQEIKEEDDTIKAYMNYKVFASLFCGALVNFQVTQISLELFSYLQEKSVALQYAGKSTPRKDSIASEQESIGEVPVAEYEIKKGKDLESSTPDSDHWEKNGLKKNASKTELPPLTSDRDVLPAKSRTQTPKARQSQSGPLMAGAVLSHSASERVRSSERSEIENQQPGDKAHPVRRAPSFSGPLMLPNRASGNSLSAPIKSSGGFKDSLDDKSKPNLVQIKGRFSVTSENVDLVKDIPLCTVPRRSSQGSPLRKSASVGEWLVESKQMPPSQPPKELGVNNVPASVLMPHLQNLFQQTSIQQDLIVNLLSSLQLSEAGDSSQNGKLSPLQRPESNGIVEAAVSEREKLLLVKISELQARMINLTDELTAEKLKYWQLQQRLNAMSSCGEDGDRRELES >Solyc01g066490.2.1 pep chromosome:SL3.0:1:74301444:74301846:1 gene:Solyc01g066490.2 transcript:Solyc01g066490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISSNVKRSLIIQVNILISDSHITLQLG >Solyc05g055620.1.1 pep chromosome:SL3.0:5:66042448:66043665:1 gene:Solyc05g055620.1 transcript:Solyc05g055620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRSKIRLIYKILLWTCMGQYISNYYIEIIFLIRKHFIFKTRHTSINFPR >Solyc05g026570.3.1 pep chromosome:SL3.0:5:42053702:42054923:1 gene:Solyc05g026570.3 transcript:Solyc05g026570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVKCMCMSMSTIFASSSLPPTDPNLKPPFLKTQTRVERKLSVAEIERAIGAGIFKDRDTTRSAKENKTLFDSILSNSVGENEGDVEKKLRQTGEWLIHKTQNASASTGKQILVVVFQWILPMWIIAFLLASGILNLPFLDDLLL >Solyc06g007320.3.1 pep chromosome:SL3.0:6:1336506:1347594:-1 gene:Solyc06g007320.3 transcript:Solyc06g007320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPRKRPAEGVVVEGNSSSCDPESSLKKHKISCVISSGTEENTSGCSSNKVVSNNTNGNTSSGSVGERSVTEMAFDDGNPHDIDEDLHSRQLAVYGRETMRRLFASNVLVSGIQGLGAEIAKNLILAGVKSVTLHDEGEVQLWDLSSNFIFSESDVGMNRALASVQKLQELNNAVVVSSFTTKLTKEKLSDFQAVVFTNTSLEDALEFNDYCHNHQPPIAFIRTEVRGLFGYVFCDFGPEFTVFDVDGEEPHTGIIASISNDNPALISCVDDERLEFQDGDLVVFSEVQGMTELNDGKPRKISSARPYSFTLDEDTTNFGPYVRGGIVTQVKPPKILNFKTLRESIMDPGDFLLSDFSKFDRPPLLHLAFQALDKFRSDLARFPLAGSEDDAQTLISIATNLNESNGNVKLDDINPKLLQKFSYGARAELNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSLESLPTEPLDPSDLKPLNTRYDAQISVFGKKFQKKLEDAKVFMVGSGALGCEFLKNLALMGVACTEQGKLTVTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAATSINPQLRVEALQNRVGPETENVFDDTFWENLSVVINALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTLHSFPHNIDHCLTWARSEFEGLLEKTPAEVNAYLSNPNEYTSAQTNAGDAQARDNLERILECLDRESCETFEDCIAWARLKFEEYFANRVKQLIFTFPEDAVTSSGAPFWSAPKRFPRPLQFSSTDPSHLHFIMAASILRAETFGIPIPDWVKHPQKLSEAVHKVMVPCFQPRKDAKIVTDEKATSLSSSASIDDAAVIDELISKLECGRKNLPPGFRMKPIQFEKDDDTNFHMDLIAALANMRARNYCIPEVDKLKAKFIAGRIIPAIATTTAMATGLVCLELYKVLDGSHKLEDYRNTFANLALPLFSIAEPVPPKIIKHNDLSWTVWDRWVIKDNPTLRELIQWLADKGLNAYSISCGSCLLFNSMFPRHKERMDQKVVDLARDVAKMEIPPYRRHLDVVVACDDDNDEDVDIPLVSVYFR >Solyc02g031760.2.1.1 pep chromosome:SL3.0:2:27391973:27392164:1 gene:Solyc02g031760.2 transcript:Solyc02g031760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DHPSPEARCILGWPLCLTQVALSSPKLKLQQILQLFYALQLPPLAMLEKFSTPLFRIKWSCTN >Solyc05g010398.1.1 pep chromosome:SL3.0:5:4607556:4608086:-1 gene:Solyc05g010398.1 transcript:Solyc05g010398.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPLQFFLGIEVNYFEGGIHLNKSKYGSEMLTKTEMTLPKAVATPLARKHGLHEVVGIFQANLCKAQTLNIFKELYEYSNADRGGCRKTRISTTGFSIYIGANCILRPRRIEHSSSIER >Solyc01g096080.3.1 pep chromosome:SL3.0:1:87066714:87071712:1 gene:Solyc01g096080.3 transcript:Solyc01g096080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETSSTLKLPLFSVNSQEDLGTLTPLHTLASIPFSWEEEPGKPRPCTDLVPLPNSICLEPPPRLYMELTKSSSPTTVFDGPYITSKPKFSSFRLLRDRRRQGSFDSTASSETGQLSAFVVGKKKAESKSWWRRNNGGKGKFGTDLTDCVSLNDECTMKMATLKRSGSFSGLSQTKTHIWATIYEGFKQVIIPWKSKKSKKEALIDQVSTM >Solyc03g031960.1.1.1 pep chromosome:SL3.0:3:4480297:4480467:-1 gene:Solyc03g031960.1 transcript:Solyc03g031960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINYYVLISSLFSPFFLVSFEQLSGRIFQKVLRDSDTIRVHSTILKFHYIFCNSNS >Solyc06g050460.2.1 pep chromosome:SL3.0:6:33198404:33215079:1 gene:Solyc06g050460.2 transcript:Solyc06g050460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAYHYTSSTASLWSQMCCSRSSSL >Solyc01g015285.1.1 pep chromosome:SL3.0:1:18447773:18449626:-1 gene:Solyc01g015285.1 transcript:Solyc01g015285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNFQKRLFLSNGERILSFDSGHLSALFGPSKFSGIRRFGAEVGNSSLLSVRCQRKARFQLMTTLFIANHNPVSNMSENTICKSKKYTGARGAKLGCIKRVYNPIYRGNN >Solyc05g012045.1.1 pep chromosome:SL3.0:5:5273699:5274917:1 gene:Solyc05g012045.1 transcript:Solyc05g012045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDIDGTVQPLKFMKTTSTFQFNTFGGIHFVTSAAEKLCSMHACRIVYGIHHEVQFFCDFLRIGTSSSLEGR >Solyc08g075370.3.1 pep chromosome:SL3.0:8:59628875:59631494:-1 gene:Solyc08g075370.3 transcript:Solyc08g075370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGKDDSDKGIFSNIAGGMSHLAGGHHGHPGQYPPQHGGYPPQQGYPPQGYPPQQGYPPQQGYPPQGYPPQGGYPPQQGYPPQGYPPAGHHGAPQHHSGHGGMGVMLAGGAAAAAAAYGAHKLSHGHGGSHMGGHGMPFVGGASHYGGGKFKHGKGGKFKHGKHGKFKHGKGGMFGGKFKKWK >Solyc03g115160.3.1 pep chromosome:SL3.0:3:66438613:66446164:-1 gene:Solyc03g115160.3 transcript:Solyc03g115160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRGLENDNSEGSRLHALAKIRQSTVQPKKHSTFPGRHYINWEKEVQTGKTKYLPVEEALGLSSGITKYGSPLINTASSKVVSTKSMATVTRGNFSKPRARILFPEKITMQRSLGSAGYTKPQSPQNAKITEQGKKQVQSSKGPVGSAILEHRSPYAVNESRMMNSSTTHPCDPALVPSWKGNFDILGALELAPGIFNNYIQAHPPSKVRRKVYEFSGLLPDTLKFELVPRGDIWASLFNHHCPGKEDIGLYFFASDTERSEIYIALVEFMCIKDLMMKTLINDVQLFILPSTTLCSDSQRWNNKHFLWGLFYRTGQDTDGCAEGGSNKIIDMEIDMIGGKDVCTANEVEMQIDMTGHEDASTPNKVDMEGYQDEVEMEIDMIAGENVGTLDIVVSTTTRNGFDTSIKETVTAATCSGSQSVTPLVSRPSKGCKELPLLIKREPFDEVPPGFFPRSAPTK >Solyc06g072380.3.1 pep chromosome:SL3.0:6:44787452:44798000:-1 gene:Solyc06g072380.3 transcript:Solyc06g072380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSKKLINDPNDVVTEFIEGLIENYPGLQYLDGFPEVKVVLRADVSGAKYDKVAIISGGGSGHEPAHAGFVGEGMLTAAICGDVFASPNVDSILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVEMVIVGDDCALPPPRGIAGRRGLAGTLLVHKVAGAAAACGLPLADVAAEAKRASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVDVVVSHVLKEILSPETNYVPITRGSRVVLLINGLGATPLMELMIIAGKAVPELQLEHGLAVDRVYTGSFMTSLDMAGFSISVMKADQAILDRLDAPTKAPNWPVGAEGNRPPAKIPVPLPPSHSIKIEKTLSRPEKLSPQGHILETAIEAAATEVVNLRDNLNEWDNKVGDGDCGSTMFRGAVAILEDMKKYYPLNDPAETVNEIGASIGRVMGGTSGILYSIFCKAAYAKLKENAESVVTAIHWADALEAAIAAVSKYGGASAGYRTLLDALIPALSALKERLNAGDDPADAFIISAEAASAGAESTKHMQAQAGRSTYVPGDILASVPDPGAMAAAAWYRAAALAVKEKYNTA >Solyc06g075100.3.1 pep chromosome:SL3.0:6:46740312:46745598:1 gene:Solyc06g075100.3 transcript:Solyc06g075100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVRNLDMRSGDSLEGLLSDKSKMRQQKSGSSRVVTLLTCLQFMFAVYATFLLYYMSPSIDLRSKPDFSWTTRIANQWKQFIIPPHVVTRYNQEANSVVRAESTQPSSPSEVCEHEKIDFVQKKSNDAVMIKLKTELYQEVLDFQRKSFGAETLSQLMAMKSKWDLRGPNKPKITVILNHFKRKTLCAQLDSLLGQTLPFHHAWVLSFGSPNEQSLKRIVHRYNDSRISFISSSYDFKYYGRFQLALQTEADLVYIIDDDMIPGKKMLQMFAHVAGTDKYKNSVLGSIGRILPFRQKDSSFPSYRKFRSKEAGLYLPDPAYNITVNKIVQVDFLSSSWFMSAELVKTLFIETPFTFMTGEDLHLSYQLQKYRNAASFVLPVDPKDKDTWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKVLSTGYVTQWAAMYPQKIDVLFYAHSVDEVKALAPLLLKFSSTVGKKAYIVVSGGKFCPCEDAAAALKWPKTVCKEKRFKIMDLGVGALSGNSNSEVPVVQAVYASMKGLIKIHNPSLVITVDDTDSNVKKALKMATEANTNSSTLVLLPKSSVSKVLWMADIRSTALPNWNRMKIHVNIITQTRANSLARLLKSLSDAYYIGEEVPITFNMDSKVDEATLKLVNSFNWPHGPKILRRRIIQGGLIRAVSESWYPSSDDDFGLLLEDDIEVSPYYYLWIKYALLSYHYDPEISLPELSSISLYTPRLVEVVKERPKWNATEFFKHIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKQNPVQIPRSRTNGWQASWKKFLIDMMYLRGYVTLYPNFPNQTSFSTNHMEPGAHIAAKENVIKHNKADFEVPLLKEDFRNFLPNGKMPAATRLPSLNLFNQPVSLKGLKAAGAKLGQDILKCNQTEIVTVNHETGVPSHCTKF >Solyc09g008800.3.1 pep chromosome:SL3.0:9:2221382:2224627:1 gene:Solyc09g008800.3 transcript:Solyc09g008800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKHYFHNRLKPSKLTWTAMYRKQHKKDIAQEAVKKRRRTTKKPYSRSIVGATLEVIQKKRAERPEVRDAAREAALREIKERIKKTKDEKKAKKAEVVAKSQKAGKGNVSKGGSKGPKLGGGGGKR >Solyc03g113790.3.1 pep chromosome:SL3.0:3:65319472:65324683:-1 gene:Solyc03g113790.3 transcript:Solyc03g113790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEEKVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFAIYVSSISNELRIPVRYLKEDKPHGSAGGLYNFRDLLMEDSPSHIFLLNCDVCCSFPLPEMLEAHRRYGGMGTILVSKVSAETASEFGELVADPVTNELLHYTEKPETFVSDRINCGVYVFTPDIFNAIQGVSTQRKDRANLRRVSNNYIIDILVTVGWLVKPGERKEDEVTPKLPGLIMTSRKKGDTLSGKGSQVGVGRSLPTDFVRLDQDILTPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLTQYSSTSPHLLASGDGSKTATISGDVYIHPSAKVHPTAKIGPNVSISANARIGAGARLISCIILDDVEIKENAVVIHAIVGWKSSIGRWSRVQGVGDYNAKLGITILGESVSVEDEVVVINIAEYGTIFVGLSP >Solyc08g005015.1.1 pep chromosome:SL3.0:8:20259:27090:1 gene:Solyc08g005015.1 transcript:Solyc08g005015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIQEASSKNSLLERINSLETRLFQLCLEIENARTSCSSSSNTQTPFAEKSKNNDAKRQAASSYPIFDFSQGFSHLPLFHHQANPSFRPVARAEDEEDASDFEPEENGEEEGFEEEEEEDDDEDTSGKDVSEVCLSW >Solyc01g102940.3.1 pep chromosome:SL3.0:1:91468949:91476519:1 gene:Solyc01g102940.3 transcript:Solyc01g102940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methionine S-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B1M0] MAVNGLCTSIDDFLKRCEQSGDTAYSMLRSLLERLEDPVTRKEARIFLTLLQKRFATKEASDQCLQTYHFQIQDIVLEQYEGFQKRKKLTMMVIPSIFIPEDWSFTFYEGLNRHPDSIFQDKTVAELGCGNGWISIAIAEKWSPSKVYGLDINPRAVKISWINLYLNALDDNGEPIYDDEKKTLLDRIEFHESDLLAYCKDNRIELERIVGCIPQILNPNPDAMSKLITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGISVIKPSGIMIFNMGGRPGQGVCKRLFERRGLRVNKLWQTKILQAADTDISALVEIEKSSMHRFEFFMGLVGDQPICARTAWAYGKAGGRISHALSVYSCQLRQPSQVKKIFEFVKNGFHDISTSLDLSFEDDAVADEKIPFLAYLASMLKENSVFPYESPAGSRWFRNQIAGFMKTYHHFPLMADNVVVFPSRAVAIENLLRLFLPHLAIVDDQLSHHLPRQWLTSLKMEKSQSDSNLEDVITVIEAPRQSDSMIELIKKLKPEVVVTGMAQFESVTSSSFEYLLDITREIGCRLFLDISDQFELSSLPKSNGVLKYLAGAPLPSHATIVCGLVKNQVYSDLEVAFVISEDETIYKALSKTMELLQGNTALISQYYYGCLFHELLSFQLADRRPPAERENEKLKSPKMIGFPSSVNSVLNHAELSVTDSDNALIHMDVDQSFLPIPTPVKAAIFESFVRQNIAESEIDVTGNIRQLIESSYGFSTNSKTEFIYADCPLALFSKLVLCCIHEGGTLCFPAGSNGSYVSAAKFVKANIAYIPTNPEDGFKLTQKTVESFLKTIDRPWIFISGPTVNPTGQLYSNEEIKSILSVCSNFGARVIIDTSFSGVEFNSKGSDGWNLKDTLAQLRSQNQSFCVSLLGGLFLKMLTAGVTFGFLLVDQPALIEAFHSFPGLSKPHSTIKYQVKKLLDSRERTAELSNAVSEHENILASRYKLLKKTLESCGWDVLEAYSGVSVVAKPSTYLGKAVKIGEDSVSWEGKLDDTNIREAMLKTTGLCINSSTWTGIPGYCRFTIALEDGHFERALACIVKFRDMVDK >Solyc12g006260.1.1.1 pep chromosome:SL3.0:12:774539:774946:-1 gene:Solyc12g006260.1 transcript:Solyc12g006260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTQIPILSPNNNIDEFEDLEVTQFDEDTLRKILFEEELQPNKDSINIVQDNIIQPMMVDTTSNFSKIEEEEEEELVIEDFDWIEMMELSDTIVSHEGVNEIFDVGELDFDFSHFCSSSIPMEEIGYDVLWQNNL >Solyc03g119570.2.1 pep chromosome:SL3.0:3:69614872:69623830:1 gene:Solyc03g119570.2 transcript:Solyc03g119570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTGDGRDQPAEQPSRFSAMKSRIRQHDIGKHRSKKKQRVSIRKTETESATVTLNHTSTSYTHSYANSYSYSYSRGKEKRHKGKAKRAIADDKRKWVYSTHDVSPNQDRVILMSYNILGVKNAAAHEDLYRNVSPKYLDWDYRKKLICKEIRDYNPDIMCFQEVDRFDDLDYLLQKEGFKGVYQARTGDASDGCAIFWNNKLPAGKSCSFCSSFLATSTEIENCLLLIVSISFLSNLCYFQFTNISGYRFDILHEESIEFQNFNLRNNVCQLCVFKMNVKSSTKDVSASNSESVSSPSFLVGNIHVLYNPNRGDIKLGQVRLFLESAQRLSHEWGDIPVVLAGDLNSMPQSAMYQFLTSNKLDIQMHDRKQISGQIYPLQNRSFNPRLSYRWSNEELLLATGTGASQLIHQLQLRSAYAGAPGSSRTRENSGEPLATSYHSKFLGTVDYIWHTTEFVPVRVLDTLPVDILRRTGGLPSEKWGSDHLSLVCELAFADEGSET >Solyc08g069050.3.1 pep chromosome:SL3.0:8:58190823:58200869:-1 gene:Solyc08g069050.3 transcript:Solyc08g069050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVAVDLNSYLQFVLTAIAVIVTLVYLVKSTASKYFVVDDDSNFESSASPTAGFVDRRMDPATPEGCAVCSNVTKKQCSRCKMVKYCSEACQRSHWNSGHKFKCKDLQLSGKGSSLHGRKSSAVSLSHASGTSKVLDHSKKVLFPYEEFLELFNWDSPGFPPCGLLNCGNSCFANVVLQCLTYTKPLVAYLLEKGHLRECRRNGWCFLCEFQHHVERASRSQQPFSPINILSRLPNIGGNLGYGKQEDAHEFMRFAIDMMQSVCLDEFGGEKAVPPNTQETTLIQHIFGGHLQSQVICTECSNVSNQIENMMDLTVEIHGDAESLEECLDQFTAREWLDGDNLYKCDGCNDYVKAWKRLMVRRAPNILTIALKRFQSGRFGKLNKRVTFPETLDLHPYMSETGDGNDIYKLYAVIVHVDMLNASFFGHYICYIKDFRGSWHRIDDCKVVKVDLDEVLSQGAYMLLYSRIYPRRTSLFPLGPLQKEDQDTIKVENKPGSTDQHVECLSETGSPATVVDSFSPPTLNGSESKIIGAKEELFSLTNPEDRMEVDFVASSYVQQELQDVKPYIRAVGTSFPRVVSSSSFGVSSLAEADIQECETISPVSDSKDGTEPCQRTTNDCLLNSSFKEDSVATYGEILDASSSASKFGDISDNEKLKNSSEMPNIANQKEKNSSHGIRNVVLKSDGGSISGAKSKPLFSPGFLGKRPLNLCAKKDVSWQVCNSTLNGLTNSCTMPELSHEIHIDKDDQDANVEIKNSESNVSIEQAAVSLNETNHL >Solyc12g008440.1.1.1 pep chromosome:SL3.0:12:1869668:1869979:-1 gene:Solyc12g008440.1 transcript:Solyc12g008440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDFDLDLALTVVGISGGDAAEPPAPRCFTPPDDHDSSFRVELPSVNCENGLCIVCMEGFKRSIDDQGKKMLCGHVFHANCLIKWLSICNSCPLCRYKVSAA >Solyc03g120350.3.1 pep chromosome:SL3.0:3:70256351:70273882:1 gene:Solyc03g120350.3 transcript:Solyc03g120350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASLPPKEANLFKLIVKSYETKQYKKGLKAADTILKKFPNHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLEGFVETRQQLLTLKPNHRMNWIGFAVAHHLNSNGSKAVDILEAYEGTLDDDYPPENERCEHGEMLLYKISLLEECGFPERALEELRKKESKMVDKLDYKEQEALLILKLGRFEEGEKLFRVLLTMNPDNYRYYDGLQRCLGLYSEKGQYTADEIDRLENLYRVLAHQYSRSSAVKRIPLDFLQDDKFREAADNYIRPLLTKGVPSLFSDLYPLYDHPGKADILGEIVLKLEQSLKSTGGYPGRVEKEPPSTLMWTLFYLAQHYDRREQYDIALTKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAAALADEARCMDLADRYVNSECVKRMLQADQVTLAEKTAVLFTKDGEQHNNLYDMQCMWYELASGESYLRQGELGRSLKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVEMLKFQDRLHSHAYFRKAASGAIRCYLKLYDSPLKSASEEDDAMSKLPPSQKKKLKQKLRKAEARAKKDAEVKIEESNATSATKSGKRHVKPVDPDPHGEKLIQTEDPLAEASKYLKLLLKHSPDFLETHLLSFDVNMRKQKILLALQAIKHLMRLDADDPKSHLCLMKFFHKVDSLPTLVTDSEKLIWGVLEAERPAFSQLHGKSLIEANNTFLEKHKESLMHRAAVAELLHVLEPNKKAEAVKLIEDSVNDLVSMDGGKGTVRSWKLNDCMTVHKLLETTLVDHDAASRWKVRCAEYFVHSTYFGGIQSSANNQVQKSPANGAVGLNAGENSSLSSNGRLEKLNALKDLQI >Solyc09g010595.1.1 pep chromosome:SL3.0:9:3945049:3948578:-1 gene:Solyc09g010595.1 transcript:Solyc09g010595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIIETAHCKSIRLFLWNEKLARNQISQSEGLCLFIIRSTFMMFQPCECGCCNSKYSCYTLEEKKCSTVIPFDLSKLRNGETSLGSLLVSATLQFLPQTIKQLGDEISFCLFTEFQDSQALLPLPRPTYVVVVEISQTRCVNFTDKVDLDTSPNFTGYPPDVPLYRRFEIDDKEEEGVVTVRNVSAADDRNDPFCEYTEDVLEYLDMLREYTGEGGGCPMKPPIAADVASGFVEVPPCYRSWCSSPAISMKDLMNETCPVCQEEFKDGNDMITTCCSHMFHTRCLLPWLSKNNSCPTCRAVYPLHYSPLLDRQRCKRKLVLYSSEVIFHVLEHVLVDVNMIVKKGHLSPEGTIDVSPFHGPIIHDKKGEGSGDQSAITDARFLSSGPVTKQKRASDNHPWTGSSDERDRSSLLER >Solyc11g033280.2.1 pep chromosome:SL3.0:11:26518019:26523730:-1 gene:Solyc11g033280.2 transcript:Solyc11g033280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVASGSVVPANRRRPPMEEDRLVFETSKGVEPITSFAEMGIKDDLLRGIYQYGFEKPSAIQQRAVLPIISGRDVIAQAQSGTGKTSMIALTVCQVVDTKNSEVQALILSPTRELAAQTEKVILAIGDYINIQAHACIGGKSVGEDIRKLEHGVQVVSGTPGRVCDMIKRRTLRTRAIKLLILDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEITSKFMTDPVRILVKRDELTLEGIKQFFVAVEREEWKFDTLCDLYDTLTITQAVIFCNTKRKVDWLTNKMRENNFTVSSMHGDMPQKERDAIMAEFRGGTTRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKTDDIKILRDIEQYYSTQIDEMPMNVADLI >Solyc07g040750.2.1 pep chromosome:SL3.0:7:49654763:49659713:-1 gene:Solyc07g040750.2 transcript:Solyc07g040750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAAPDGSQFDARQFDSKMTELLNAEGQEFFTSYDEAYDTFDAMELKEDLLKGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQSQSGTGKTATFCSGVLQQLDYELLGCQALVLAPTRELAQQIEKVMEALGQCLKVKVHACVGGTSVREDQRILSIGVHVVVGTPGRVFDMLRRQSLRADHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVEKEEWKFDTLCDLYETLAITQSVIFVNTRRKVDLLTEQMQSKDHTVSATHGDMDHKTRDVIMHEFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTMDDERMLSDIQSFYNMVIEELPANVADLL >Solyc02g088163.1.1 pep chromosome:SL3.0:2:50961256:50962752:-1 gene:Solyc02g088163.1 transcript:Solyc02g088163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAADAPDVKNAIITVSKKINTTLVILAPLITMCKMDRTRDTARSLYSALNLMNCSKEGRVSLVVPMAIALPKRNTNMVFILGKNSVNFELYNQESTIKTNESKLNN >Solyc11g065600.2.1 pep chromosome:SL3.0:11:51412623:51415267:-1 gene:Solyc11g065600.2 transcript:Solyc11g065600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMKGVLVAFVLINLSILASCGAPRKVIDVPFWNNYEPSWSSHHIKYLNGGTTAELLLDKSSGTGFQSKRSYLFGHFSMKMKLVGGDSAGVVTAFYLSSTNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKDFHSYSVLWNTYQIAIFVDDVPIRVFKNSKDIGVKFPFNQPMKIYSSLWNADDWATRGGLEKTNWSGAPFIASYTSFHIDGCEAVTPQEVQVCNTNGMKWWDQKAFQDLDGPEYRKLHRVRQNFTIYNYCTDRKRYPTLPLECTRDRDL >Solyc08g083120.3.1 pep chromosome:SL3.0:8:65795903:65798974:1 gene:Solyc08g083120.3 transcript:Solyc08g083120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Solyc05g045880.1.1 pep chromosome:SL3.0:5:58455857:58457045:-1 gene:Solyc05g045880.1 transcript:Solyc05g045880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNRDIGVVARSCNINKPNNVVYPKLGLNVSHVWNYFDKTLAPRMNSFTGSSEIVSLDFPIIRQERSYDQVIISNQNNNQELYLHSITPIQFIQQITVPQSRTIVECVPPTTTQAEWIDKQKQLDIGANSHPNFSFSMTTTLTQSQTRKNHSIMLTYESSQQELTNDKWAWRKYGQKYIKGSPFPRNYYKCSTSKHCEAKKQIEKSSKDEDIFLVSCSGEHNHDPPKSRRYLASCNNNSKLNLPKGINISPKESIFNASSSLSKRVKHSTDVASPIIGTKPPLEIGSKNKMVVAVVHNIGDGEEKVDMNEDIFMGIDQL >Solyc07g016215.1.1 pep chromosome:SL3.0:7:6491924:6494685:-1 gene:Solyc07g016215.1 transcript:Solyc07g016215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLFALILLISLALYGSSTDARRDPGEYWNIVMKNEPMPIAIKHLMPRYDVSFEPRPTATSYHDDEASLKGEKSFEPRPTVTAYHHDDVGLKQEKSSFTKDFEPRPTATSYHDDEVSLKGEESFEPRLTVTAYHHDDVGLKQEKSSFTKDFEPRPTATSYHDDEVSLKGEKSFEPRPTVTAYHHDDVGLKQEKSSFTKDFEPRPTVTSYRDNETTLEGKKSFEPRPNVSMYND >Solyc00g007190.3.1 pep chromosome:SL3.0:2:32600337:32602668:1 gene:Solyc00g007190.3 transcript:Solyc00g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVPETNMLPCNGEMENGFCKEDLSDIEENQEDLDQSSQLNIGKPPRNTTGMRHCISQAMLAGASPLESPTQILPLKSSSSNDSGYVPIFRSGSYSEIGPKPNMEDEHICINDLCKHIGSSKGLLSPGAFYGVSNFQVIPNCTYCEKTEVSRTEILHTKCENTLFH >Solyc01g110925.1.1 pep chromosome:SL3.0:1:97313905:97316126:1 gene:Solyc01g110925.1 transcript:Solyc01g110925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGASEVQVSDYPRHHFSCSEAKMWIEALYTGRQFHGYVIRKVHDMKPDFSVYKWLLEMYDKALMINDNSPIYFGSSYRPQRYLPPQLSANLKVFKVFSAFEFKYHL >Solyc10g074470.2.1 pep chromosome:SL3.0:10:58067588:58072765:-1 gene:Solyc10g074470.2 transcript:Solyc10g074470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTSSKIDDLPAVALCRERCSFLDEAIHYRYALAEAHLAYLHSLKTVGISVQHFFKENVEISHSPVFVKDGTSVPFHQFSYGDHEMLGFGASYPVGGNGGGFMHMNFMRNQTTPSVTYEQRPVTVQMSESFSSSSYYPYPYATNYPDYANYGGGFYPSSTTPEAAVAGMSLAPSSSKPPPPPPSPPRSSPWEFLNLFKTLESYPVYPPSRDSREVREEEGIPDLEDVDFEHEAVKEVHEDQRFVDEAATASGSYSKAVEENEKAADSESIHHHSRTSSSVEDDPIEYEVHVVDKKVVDDANRGNVAGSKGRSFNSDSDVVKEIQVQFERASESGNELAKMLEVGKLPHNRKHATYQGIVSSKMLHAITPSSAVLSLPSTLKNDAIEIADHAILDVEGDISSRARNISSTLQKLYLWEKKLSEEVKAEEKIRVLHERKSQKLKQLIEKGADSDKIDMTRKLVISLSSKIRIAIQVVDKVSEKINKLRDEELWPQLNELIQGLCRMWRSMLECHRFQSVAIGEAKRLDAIASHKHFSDARLEATLQLEHELLNWTLSFSCWVTAQKGYVRALNSWLMKCLLYVPEETDDGIAPFSPGRIGAPPIFVICNHWSQAFERVSEKEVVDCMRDFATNVLQLWERDKLELRQKMMVNKDMERQVKNLDREDQKIQKGIHALDKRIVLVSGEENSLSLNRNVVYQSETSKNSSFQVGLQRIFEAMERFTANSLKVYEELLQRIEEDRLPREPEAVS >Solyc06g035410.2.1 pep chromosome:SL3.0:6:24383987:24384663:-1 gene:Solyc06g035410.2 transcript:Solyc06g035410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGNKVKGGKRIWLSCWLQTVAWPINFISLVISYFYRRFCNYSYGYGVAKLPVSTVGLLYSRQLAFTAFVIVRLKFTSYSINSVFLLTIGAIILSLQSGSDRLGGETNKEYILGFIMIVASASSAGLIFPLEELIYKKVSIAVFRRNVVAVSVCLFRRGSSCSARLPPVRCPEKVRLVPFDLLSSMSMCCDDFVWCD >Solyc06g048893.1.1 pep chromosome:SL3.0:6:31992223:32004404:1 gene:Solyc06g048893.1 transcript:Solyc06g048893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNLKDSVEKDTFFRKLPNLTEQLPREIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFASSDRAIRVSLLQHIDQYGESLSSQIVDEQVYTHVATGFSDTSAFLRELTLKSMLVLAPKLSHHTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALSATSSYYDIVEIATKILPNIVVLTIDPDCDVRKKAFQAVDQFLQIVKQHHDKTSTGDTSTTSIGTSSIPGNAGLLGWAMSSLTLKGCKTSEQNLNAPAISSVTLASAVSDASSNHIVADSASIKPVHISSGADVADHPIPVSPASSDGWGELERGIHEGHDSDKDGWDDINPQEEPKPSPSLANIQAAQRRPVSQPKPQGRMRGTGLRGKTTLKMSKDDDEDLWGSLAVPAPRATSQPANKKVDDDDPWAAIAAPAPSSKPLNVKRSGALDDNDPWAAIAAPVPTSSARPSIGRSRGTKPAAPKLGGQRVNRTSSGM >Solyc02g020900.1.1.1 pep chromosome:SL3.0:2:20775317:20775586:1 gene:Solyc02g020900.1 transcript:Solyc02g020900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRIWSLSGEERRRKQRYFTLLLLFHRRKREERGRRSSLVARHRIYCWFSKLFFSLVLLLVGGPRDWLLVFADGLAWLLVVGKTRGRR >Solyc08g008040.3.1 pep chromosome:SL3.0:8:2533116:2538301:1 gene:Solyc08g008040.3 transcript:Solyc08g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKQKRHRRAVRFYTACFGFREPFKIFCDGTFVHHLVVNRITPADTALTNILGAPVKLFTTRCVLAELKSLGGNYQESLNAANNLFTARCDHETRKSAEDCITEVIGENNPEHFFVATQDADMRRSLQKIPGVPLIFALRNALFLEQPSSFQREFAKSAEEKRLHMTDLEYNMLRQGKKRKLSDVKQGDSSNASEGETDDVSQAQIINTNLQRNGSDVKDKVRFKRKKAKGPNPLSVKKKKKKPVDTITTLGKENKNGEGTVRSRKRSRKRSRKNVVVGNA >Solyc05g051820.3.1 pep chromosome:SL3.0:5:63077229:63078730:1 gene:Solyc05g051820.3 transcript:Solyc05g051820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGGTLEYIYDMMKISHKSNNKKRQFHTVELKIRMDCDGCELKVKKTLSSISGVKSVEINRKQQKVTVTGYVEANKVLKKAKSTGKKAEIWPYVPYNLVAQPYAVASYDKKAPPGYVRRVDHNMTTIGTISRFEDHDYVTMFSDDNPNACFIM >Solyc01g087800.2.1.1 pep chromosome:SL3.0:1:82566989:82569352:1 gene:Solyc01g087800.2 transcript:Solyc01g087800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMGSRNIWFPCPYLILLSWFLSAHIFLAMAQRSTYIVHLDKSLMPNVFADHHHWHSSTIESIKASVPSSEDRFHSAPKLVYSYDNVFHGFSAVLSKDELKALKKSPGFISAYKDRTVEPHTTYTSDFLKLNPSYGLWPASGLGQDMIVAVLDGGIWPESMSFQDDGLPEIPKKWKGICRPGTQFNSSMCNRKLIGANYFNKGILANDPTVNISMNSARDTNGHGTHCASIAAGNFAKGVSHFGYAPGTARGAAPRARIAVYKFSFNEGTFTSDLIAAMDQAVADGVDMISISFGYRFIPLYEDAISIASFGAMMKGVLVSTSAGNRGPSVGSLSNGSPWILCVASGHTDRKFSGTLTLGNGLKIRGWSLFPARAFVRDSPVIYNKTLATCDSVELLSQVPDPESTIIICDYNANENGFGFTIQISTVIRAGLKAGIFISEDPGVLSSSSFPYPGVVIDKKEGKQVINYVKSNVAPTATITFQETYVDGRPAPVLAGSSARGPSRSYLGIAKPDIMAPGVLILAAFPPNLISVSIQTNLDLSSDYELKSGTSMAAPHVAGIAAMLKGAHPEWSPSAIRSAMMTTANHLDSIKKPIRDDNNWVATPLDMGAGHVDPNRALDPGLVYDATPQDYINLICSMNFTEEQFKTFARSSANYENCSNPSADLNYPSFIALYPYSLEGNFTWLEQKFRRTLTNVGKGGAIYKVKIETPKNSTVSVSPQTLVFKGKNDRQSYNLTIRYIGDSDQGRNFGSITWVEENGNHTVRSPIVTATIIEVWGSED >Solyc02g082710.3.1 pep chromosome:SL3.0:2:46915225:46919767:1 gene:Solyc02g082710.3 transcript:Solyc02g082710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESTANLERSVAAPMIFIIVLALQFLSRFIEINKKKGSTSSEDLQLRAEIKQLLKEASALSQPSTFAQAAKLRRLATAKEKELAKNQEIHNKEAKLSHDSYTKGLTIFQVLTYLLLIIWFWRIPVASISKQLVQPFGKMLSWRAGGPANENVMVGIIPWLILSTRVGKSISRRIFK >Solyc01g087750.3.1 pep chromosome:SL3.0:1:82546725:82555152:-1 gene:Solyc01g087750.3 transcript:Solyc01g087750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFCFFMYSHCLVEIKRWKWITTLLCLFWITNMDMGLNFMDIIYTPAYLLQSIFRRICFNFTYTTSNEKRKKKKKKKKKLTVNCHYSPQLPPPPMAAIATATTTFYLSTTSFSRHQSSTFRFSSLTYPINFSTFSLNAQFHPPRTSFPIFSALSNHHGGGNIDGGGGGGGGGGGDGGGKDDENAGERNKKEAIMALAEAERTLESLPKDLASAIEAGKIPPAVVHRFLELEKSPVLRWLLSFGGFRERLLADDLFLTKVAIECGIGIFTKSAAELEKRRENFTKELDFVCADVVMAIVADFMLVWLPAPTVGLRPSLAIGSGPLTKLFYNCPDNAFQVVLSGTSYSFLQRLGAIVRNGAKLFAVGSGASLVGTGVTNTLINARKAIDKTFAGEAENLPVLSSSAAYGVYMAVSSNLRYQILAGVIEQRILEPLLHKNKIMLGALCFVFRTGNTFLGSLMWVDFARWIGIQRSRE >Solyc10g074935.1.1 pep chromosome:SL3.0:10:58701609:58703352:-1 gene:Solyc10g074935.1 transcript:Solyc10g074935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKFPLTIRITPRVIINKIKIDFNLFLIGVLPDHRSDFDPPTPFSHPLLTIYTTELIPFSSTKFRRFFQRIARDNEAYFICRLCFCVLHATLPHLPNLLLILCFDVYDRFDFRIFMNTRTTVVSAVSCKSGNKNGFFCWILLCLLSKFFKTATLNVHSFEAFGKACLL >Solyc01g014375.1.1 pep chromosome:SL3.0:1:12643690:12645746:-1 gene:Solyc01g014375.1 transcript:Solyc01g014375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASTNFEENDDDEYNGVISRQEVQAAIAKASYEDAPLPGSKQLHLDDRDYADLWDDNGLGGVTNCDEVSLSNDRKANSSLRKGISSNMIKSECHNCPTDDQRLVTGSSTGHITLLRNSPGGDYSNSRRSSLGDLRSFSSYNRSCKPAIVSAETDGASRSGKTSNVIVPLTNSHSLNQLQQKSKGMNLSWLFPKLKKKNKNENSPYRREAEEVSQICKDHIGVVSVETLRKELAVANESREAALMEVAEMES >Solyc10g075130.2.1 pep chromosome:SL3.0:10:58907576:58913455:-1 gene:Solyc10g075130.2 transcript:Solyc10g075130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKIACFVVLCMLMVVPYASGAFSCGQVQAGVVKCLPYLQNRGPVGQCCDVVKGLITSAKTTQDRRTACSCLKSAASIIKGIDMSKAASLPAMCGVKSPFKISLSTDCTKGPVIKLVLHVLSWWQRIRCLWIEFNYGYVFFFLGSIIVEL >Solyc12g056920.2.1 pep chromosome:SL3.0:12:63930802:63935630:-1 gene:Solyc12g056920.2 transcript:Solyc12g056920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPVVTVLNMYKYGTHCSYTSYMRAFSSTLNKKKIKIKMCPQPNESISIELQQLQLDADGRNERIQWLLNSPEPLSFCNELINSVSETILPQKTIFFPSNSKQCKAGIFSFLQGLFPILSWGRNYKANMFKNDLLAGLTLASLCIPQSIGYANLANLEPQYGLCKFRKQVVVPPLIYAVMGSSRELAIGPVAVVSLLLSAMVTEIVDPAVDPIAYTSLVFTVTFFAGTFQAAFGLLRLGFLVDFLSHAAIVGFMGGAAIIIGLQQLKGLIGISHFTNKTDVVSVLRAVFRSFHDEALSPMNFILGCSFLIFILVTRFIGKRNKKLFWLPAIAPLLSVIVATLMVYLTKADQHGVKIVKHFKGGLNPSSAHQLQFNGSHLGQVAKIGLICGLVALTEAIAVGRSFASMKGYHLDGNKEMVAMGFMNIVGSLTSCYTATGSFSRTAVNFSAGCETVVSNIVMAITVFISLELLTKLLYYTPLAILASVILSALPGLIDINEAYHIWKVDKMDFLVCIGAFFGVLFVSVEIGLLIAVGISFARIVLDTIRASTEVQGRLPGTLDTFCDITQYPGATSTSGILIIRINSGSLCFANSTSIRERVMKLVTHTNGNDEENTKENVHFVVLDLSNVMSVDTSGIVMIEELHRELVSQSIQLTIANPRLRVINKMKTAKCFDKLGKGWIFLTIGDAVDACLSLKIADPSSTNC >Solyc02g086570.2.1 pep chromosome:SL3.0:2:49863526:49866915:1 gene:Solyc02g086570.2 transcript:Solyc02g086570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCTLIYDHRPPFSNILKEITKTHKRLQGDEKRKTHGLGRPKWSSLRHNLLLWGPAFARPLPILVQSFYQCGYTSESVRLSNFKLNPITDILSLWLFESLSQVLTFSMRSVMRSLLSNTRENFKDNVHKIVSILNDFIEKRLIVEGAMFHGHLIKKGVSSQKNIAAKLLIMYLKSRKPNEINQMLKEFEGFNLVIHNCLITANIEWGKLDEARRLFEEMPERNEVSWTTMISGLLRSGKVEEAILYFEKNPFQNLFSWTAVTSGLVQNGLSFKAMKLFLEMLQSGVTPNAVTFTSIVRACGDLGDFNLGMCVLGLIVKIGCEHNLSVSNSLITFNLRLNDTVSARSIFDRMQCKDVVSWTAILDMYVQMGELVEARRVFDEMPERNEVSWSTMISRYSQSGDAEEAVNLFICMVRQGFKPNKSCFASVVSALASLEALVMGKIVHGHILKMGMERDAYIGSSLVDLYCKCGSTKDGHVAFDSILEKNVVCWNSMVSGYSLNNQLEEAKELFGKIPQKDNISWNSLITGYLEYEKFDEVFEVFCEMLLSGERPSKSTFSSVLCACASLASLERGKNSHGKAIKLGFHSDIFIDTALVDMYAKSGDVESATKIFKRMPKRNEISWTAMIQGLAENGFAKEALAVFEEFERTKSITPNELLILAVLFACSHCGLVDKGLHYFNSMKKLYNIQPNDRHYTCVVDMLSRSGRLSEAEKFILEMPCEPEVQAWAALLSGCKTYRNEVIAERVAEKISELAEKHPEGYVLLSNVYASAGRWLDVLNMRKQMKEKGLRKSGGCSWIEVRNQPHFFYSQDGSHNESTEIYGVLELMSYLKPDTDQRSKDELNWVHESKKSCLDVLVNLSVCMISQSKRVNHCCRNACLKL >Solyc05g009405.1.1 pep chromosome:SL3.0:5:3552293:3553345:1 gene:Solyc05g009405.1 transcript:Solyc05g009405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYMVENVTSKFVKCDIPCSYESLKFPLLSWAFLTSGSINGQLCAAVSVGPSKLLSHVIFLNENDRLIRTLYYKPKNTAS >Solyc05g050500.1.1.1 pep chromosome:SL3.0:5:61490700:61491425:1 gene:Solyc05g050500.1 transcript:Solyc05g050500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSTSVSSLKLPNIRRYSADFHHFKNPYTTTTSSSSSSPHFNFPKTTSISSKTNSFTHKKTTNFPFLSKTPPPKQPFSKHQTAHTRASSGYAAALIDIGNCNNSLEKLDKDVRKLSRWLRHDQVRVLRTDPFVGNQEKGYVVKEILSKGNFNKHLVGVVKLLVEKNKLGIVGEVLMEFERIYDQLCGTQVVLVSSEVKMEKDEAFGIAKKVQQLSGAERVKVKVKNLVSDKKRSHSFAL >Solyc04g049460.2.1 pep chromosome:SL3.0:4:41951907:41952356:1 gene:Solyc04g049460.2 transcript:Solyc04g049460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTINYLEALKLQHKLMGAQLHYTQRGGDNTSHGPHQAILYPIILLRDIGLGARKYVEKLELTMIELASIYGVKAQAGQKCETRVWVEDRKIRDHISWISIQHGY >Solyc03g007780.1.1.1 pep chromosome:SL3.0:3:2338425:2338655:1 gene:Solyc03g007780.1 transcript:Solyc03g007780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKLQSTIAMLMMLTIMLIVSPSYAADGDSCSDLCSGYSCDMCDGEPNYNDCCINSCCPPFPPSSNRPNNFLRI >Solyc09g009530.3.1 pep chromosome:SL3.0:9:2937025:2939581:-1 gene:Solyc09g009530.3 transcript:Solyc09g009530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIFGIVILALIYKAIIPPSPKICGSPNGPLITAPRIKLSDGRYLAYKENGVPRDEAKHKFVFIHGFDCVRHDVALLTTISPEVMQSLGIYIVSIDRPGYGESDPHPQRTPKTLALDIEELVDQLELGPKFYVIGFSMGGQAVWGLLKLAGAILLTPVTNYWWGSFPANLTKQAYYEQLVQDQWTLRIAHYLPWLTYWWNTQRLFPSSSVATFSEDILFEQDRVLMPIFDSYQSKYRDLVRQQGEYESIHRDIMIGFGTWEFDPMELENPFPNGEGSVHIWQGDEDGHVPVILQRFIAKKLPWIHYHEMKGGGHMFPWAEGMGDKVMKTFLLGEPFVM >Solyc03g007530.3.1 pep chromosome:SL3.0:3:2104850:2115103:1 gene:Solyc03g007530.3 transcript:Solyc03g007530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIWAVFCGKPCSFDWLSMTQPSSCINHAFIICSDVALLLLLIFTISLKYTSVPSFSRFSRLQLTCAIFNGFLGILYVSMFIWMFEEQFKNTHSALPLHRWLVTLFHGVTWLSVSLTVSLRGKHISRTPLRLLSILVFVFAGIYAGMSLVAVVLDKEVTIKIGLDVLCFVGACLVLLCTYKGLQHDEEIDENGLYAPLDGGVNGISKSTDSVGLVTPFAKAGFLNVMSFWWMNPLMKKGKQKTLEDEDIPELREADRAESCYLMFLELLNKQKQVDPSSQPSILKAIVLCHRKELIVSGLFALLKVTTLSAGPLLLNAFIKVAEGDTAFKNEGFLLVILLFISKNLESLSQRQWYFRCRLIGLKVRSLLTAAIYKKQIRLSNAAKLMHSSGEIMNYVTVDAYRIGEFPFWLHQMWTTSVQLSFALIILFRAVGLATIASLVVIVFTVLCNTPLAKLQHRFQSKLMVAQDDRLKAISEALVNMKVLKLYAWETHFKSVIQNLRKVEEKWLSAVQLRKAYNSFLFWSSPVLVSAATFGACYFLGVPLYASNVFTFVATLRLVQDPIRTIPDVIGVVIQAKVSFERIVKFLEAPELENANVRQNHNFGCTDHAILLKSANLSWEENPPRPTLRNISLEVRPGEKIAICGEVGSGKSTLLAAILGEVPSIEGTVKVFGTVAYVSQSAWIQTGSIRENILFGSPHDGQRYQQTLEKCSLLKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTASSLFNEYVMEALSGKTVLLVTHQVDFLPAFDMVLLMSDGEILNAAPYHQLLASSKEFHDLVDAHKETAGSERVAEVNSSSRRESNTREIRKTDTSKTSVAPGGDQLIKQEEREVGDTGFTPYVQYLNQNKGYLFFSIAILSHVTFVIGQITQNSWMAANVDNPHVSTLRLITVYLVIGVVSTLFLLSRSLSTVFLGLQSSKSLFSELLNSLFRAPMSFYDSTPLGRIISRVSSDLSIVDLDIPFNLVFTFGATTNFYSNLMVLAVVTWQVLAISIPMVYLAIRLQKYYYASAKELMRINGTTKSFVANHLAESIAGAVTIRAFKEEDRFFAKTFELIDINASPFFHNFAANEWLIQRLETISATVLASSALCMVLLPPGTFSPGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPVIVKENRPPVNWPTRGKVEIQDLQIRYREDSPLVLRGISCTFEGGHKIGVVGRTGSGKTTLIGALFRLVEPTSGRILVDGVDISKIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLCQHTDKDIWEVLGKCQLKEPVEEKEKGLDSLVVEDGSNWSMGQRQLFCLGRALLRKAKILVLDEATASIDNATDMILQKTIRTEFANSTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPMKLMKQENSLFGQLVKEYWSHYDSAESH >Solyc06g061140.3.1 pep chromosome:SL3.0:6:39258681:39263152:-1 gene:Solyc06g061140.3 transcript:Solyc06g061140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMFRRLAGLLGFSKDEGHEVRDESVGPHNNLPRKGFSVPVQVPVSRDLPGPILVQCNRGAGGVQGLRWYAKRLRIDEDGDVADEFLDEIPADAPSSTEENNKKFRKFELKYTTKPANVTGQALSAAGKIKYRVEYQGKLEWI >Solyc03g026260.3.1 pep chromosome:SL3.0:3:3727311:3730373:-1 gene:Solyc03g026260.3 transcript:Solyc03g026260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEKQRMKSCNVATPLFTKRQPLEPHYHSILLLLLLLLAPAMRILTSPFPISPPIIPRTKFHQCRVAINPPPPNFDFKSEFFSASRDAIEDTHPELLDLADNGTLFLIKKNQFGPVPSWRTEFVEPEAIWLIGTNHLSMESPLHVERVIRTVKPENVVVELCRKFRAGIMYTSDDSDLNQPLKSNMFSLSGNGFFDAVGRSINLGGQTALALRIVLALFSSKMSSSANRSFGDEFRAARKAAEDIGAQIVLGDRPIEITLERAWTSLKWKEKTSLLLSVFGGITSSTELSTKALKESSSDDSNFQLYEKLSFSYPSLLQPLLHERDTFLAWSLKRSKAVNNCKQVVGIIGKGHMNGVIYSLVSDQGNLRFRDLAGKSPSGGLSGWTTTIFGNLVRDTIIGVLLWLLYEQLTSGLKLID >Solyc03g093750.2.1 pep chromosome:SL3.0:3:56855161:56859861:-1 gene:Solyc03g093750.2 transcript:Solyc03g093750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAYAITVSAAARSKWLPKPEQKYHLNTAQVLYSRSLRCPSAEIHFFLVPLAALLDTSKLNTIGEGPVDLWYRSDVAINRTIGVLRSGNWPGFIISADQVLHIFVTSQCARTVFGRLGNLKWSCSNRTIGVSFVYDVLNDDLQYEKWYSSVLLTGLNHLQLSNGHSVVEWVERAKDFLGEQKKKATIPYLRKQMLDEQQVDQVENFHLPLRDQMLLLEGANTTSSMIIDALGTTTAAREVTAY >Solyc01g095640.2.1 pep chromosome:SL3.0:1:86730208:86731776:-1 gene:Solyc01g095640.2 transcript:Solyc01g095640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MYB-like transcriptional factor TRY [Source:UniProtKB/TrEMBL;Acc:K4AZV3] MDQNLHHRHKLMHHRCCSHEEEVNSMEWEFIRMSKQEEDLIYRMHKLVGDRWGLIAGRIPGRTAEEIERFWIMRHSDGFAHKRRQTIKKSLPPT >Solyc09g056170.3.1 pep chromosome:SL3.0:9:47443688:47452002:1 gene:Solyc09g056170.3 transcript:Solyc09g056170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYVGVLVSDPWLQNQFTQVELRSLKSHFDAMKREKGCMTLADLPSQMAKLKQVGENLTEKERESFIRDSYKCLDVDVDFEIFLRVYLKLQAHAAARMGNNVKNSSAFLKSPTSTLLHTISESEKASYVAHINNYLVGDEFLKKFLPIDPSTNDLFEISKDGVLICKLINVAVPGTIDERAINMKRMLNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGVISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELMNLPPEKILLRWMNFQLKKAGYNKIVTNFSSDIKDGEAYARLLNVLAPEYTTPSMLTVRDPSERAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTEAKQIPSVETSPDEAQMSKEERSFRFWINSLGNSSYIDNVFEDLRDGWMLLETLDKISPGIVNWKIATKPPIKMPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRCNMLQLLKNLRFHSHGKEITDAHILEWANSKVRNSGSQSHMTSFKDKSLSTGIFFLELLSSVHPRAVNWSLVTKGETEEQKKMNATYIISIARKLGCSIFLLPEDIIEVNQKMILTLTASIMYWHLKQPTEDQSLASSDCDSSSVDTASTSTLDDTASESSADDISNR >Solyc02g089170.3.1 pep chromosome:SL3.0:2:51687986:51690495:1 gene:Solyc02g089170.3 transcript:Solyc02g089170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVPLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINKILGPRASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPYFFNTLYDPFRDGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDTVLTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCCKVICDHLGLGIKTGLPYIYHSKASNPFVNLKKEYNGIFWQEEIIPFFQQMNLSKESTTVQKCYVEMAKQVNEKLGKIDPYFVKLSDAMITWIEAWDELNPPAKETTNAASK >Solyc01g100840.3.1 pep chromosome:SL3.0:1:90631136:90636099:-1 gene:Solyc01g100840.3 transcript:Solyc01g100840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIYSLYIINKSGGLIFCKDYGSAGKMDTNDSLRLASLWHSMHAISQQLSPVNGCAGIELLQADTFDLHCFQSLTGTKFFVVCEPGTLHMESLLKYIYELYTDYVLKNPFYEMEMPIRCELFDISLSQAVQKDRVALLGR >Solyc08g023540.1.1.1 pep chromosome:SL3.0:8:27511335:27511676:-1 gene:Solyc08g023540.1 transcript:Solyc08g023540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHLIQEVHNTYEANKIISGKDSHLLLSSDTEIRMSWNTTTSPPNQRRRQQRETQARLRASNESKGNSENPKTKENVGEDLLCPFFIRTFVYTSITFSKVFVFFSSKRKKCD >Solyc09g015020.1.1.1 pep chromosome:SL3.0:9:7440382:7440846:1 gene:Solyc09g015020.1 transcript:Solyc09g015020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLIPSFFGGRRSNIFDPFSLDLWDPFPISTNTPYSAPEISDFANAKIDWKETPESHVFKVDVPGIKKEEVKVEVEEGRILQISGERNREKEEKNDQWHRMERSSGKFIRRFRLPENAKTGEIKAAMENGVLTVTVPKEEEKKKPEVKAIDISG >Solyc11g065100.2.1 pep chromosome:SL3.0:11:50710254:50713323:-1 gene:Solyc11g065100.2 transcript:Solyc11g065100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAKIGNFFESVGNFFIGVDQIPWCDSDIVTGCEREVAEAGKGSSDELKNECIMRLSWALVHSRRPEDVQRGIAMLETSLGGSNSPLQMREKIYLLAVGYYRSGDYARSRQLVDSCLNL >Solyc11g066320.2.1 pep chromosome:SL3.0:11:52339993:52341948:1 gene:Solyc11g066320.2 transcript:Solyc11g066320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPKKQSKNRGFYVRMKLLNHNNGGSRNRGLFCYRYFKWVLWFSLSFYFFASFLFNHKPNTISKTTVPQYSKASRALIEYPETQHHRDSLNGLKIYVYDLPSKYNIDWLSNERCSNHLFASEVAIHKALLNSDVRTLNPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLISSAIKLISSEFNFWNRSSGSDHIFVASHDFGSCFHTLEDVAMADGVPEFLRKSIILQTFGVKYDHPCQKAEHIVIPPYISPESVRKTLATSDVNGRRDIFAFFRGKMEVHPKNVSGRFYSKKVRTVILKKYGSDRRFYLKRNRFIGYQSEILRSTFCLCPLGWAPWSPRLVESVVLGCVPVIIADGIDLPFSSAVPWSEISVTVAEKDVGKLGTILENVAATNLSTIQQKLWDPRISRALLFHDRTVEGDATWQVLHALTEKLSRSHHRSRVSNE >Solyc12g036090.2.1 pep chromosome:SL3.0:12:45069242:45071154:1 gene:Solyc12g036090.2 transcript:Solyc12g036090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEKLDDSFINDYNKLEAYAQEINQPNPGSDVLINISKVALAEGKRKFLKMYICFDDLMKGWKSGLRPFIRLDGTFLKGICEGVLLGSLDLKDGAKITLILDMQKGQIDVVVKVFLEAQHGSYVEEFKGQLNKLGKLSKDGSMNFVKYPPKAWLELILSKSNNQDVRRNKSTGIPCPHAIKALTHKKADPITEIHWWYSKEGYMLTYKNKMQPVRGQKFWKVDPSSEMLPHDVVKQLGKPKMKRNREPDEARKRKGEWSQSRKGPQMTYNNYERQNAMSNNGHGYDVEGGTEAGTEVEAVTQEFEPYGTNVEDEEDSPLTPMVICELEFRTEKLKKRVVPTGARKIQFYGDHTVASC >Solyc03g034270.3.1 pep chromosome:SL3.0:3:6043286:6049830:-1 gene:Solyc03g034270.3 transcript:Solyc03g034270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSIAAKFAFFPPNPPSYTVVSDKSCGGKLCIPEIPRRENVDVLKLRTHRGNEIVAVYVKHPKASASMLYSHGNAADLGQMFELFVELSLRLRVNLMGYDYSGYGRSTGKPSECNTYGDIDAVYKCLKEQYEVKDEQLILYGQSVGSGPTVDLASHTPNLRAVVLHGPILSGLRVLYPLKHTHWFDIYKNVEKISLVNCPVLVIHGTADEVVDCSHGKRLYELCKKKYEPLWINGGGHCNLELYPVYIKHLKKFVLGLGKSKHASNGSHKPALISDTKKPAENVSSNTFNLHSDLPEIPRNSLDSRLDKTKKSNKPEKSRTSTGCVDRFRRRKGLA >Solyc04g054770.1.1.1 pep chromosome:SL3.0:4:53171047:53171202:1 gene:Solyc04g054770.1 transcript:Solyc04g054770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYVKPFDLLKLLLTIVNFPTTVVCLFHLLVLLIMKKESNCFLVYIHCEK >Solyc01g007920.2.1 pep chromosome:SL3.0:1:2049563:2052866:1 gene:Solyc01g007920.2 transcript:Solyc01g007920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSYKKFETRKRDPDPKSAVLLVIDMQNYFYSMGKPILPAIKTTIDLCRRNSVPVIFTRHCHKSPDDYGMMYEWWNGDIIRDGTVEAELIPELDRRDGDLVVEKHTYSAFRDTNLEEKLLEMGIKEVIVTGVMTNVCCETTAREAFIRGFRVFFSTDATATSSAELHDATLKNLAYGFTYLVDCKRIQAAFLNSPSPQELR >Solyc03g115480.1.1 pep chromosome:SL3.0:3:66654433:66654821:-1 gene:Solyc03g115480.1 transcript:Solyc03g115480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRLEIVVFMCPEIIVFVYPVIGWLLDYALMHCWLVIYDMYPVIGWLLDYALMHCWLVIYDMYPVIGWLLDYALMHCWLVIYDKSWFLDCLCTWDLVKFVRLLTVTVRVP >Solyc01g034063.1.1 pep chromosome:SL3.0:1:35752150:35763105:1 gene:Solyc01g034063.1 transcript:Solyc01g034063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPESSNNNSQTNPRKRPLVEEPNNNKQPITLNSMLVAIDHNNLFYTMSKATEKRVIVVIMFDRAARVLFGCSADEFFDFSKTHPFAAASAGNALEGEMLKITLSQPKNGNARHLRVVSVFPMRTGFQPVIQTLRELYRARGGS >Solyc07g061740.3.1 pep chromosome:SL3.0:7:64829735:64832197:1 gene:Solyc07g061740.3 transcript:Solyc07g061740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRMYFAAMKGSMEDGDFSVVDHLTKEEENGYQVTPKGNTILHVAALFGQRAFVGEVLKISPALLCYKNKKNETALHIAANVGQSEVVSELLSIQGQETVLVRMKDDIGDTAMHKAVRSGHIDIVRILVKLLLDPEHDFPANKAEETPLYLAAESGFHDALIEILNVCKEPTHVAGPSNRTPLHAAVIQEHTECARLLWQWNKPLCEESDIWGWNSLHYAVKQGLTEIVSDMLGWKKSLAYLPAGSENDWTTAFHIAASEGDALMIYELLNHCLDCWDMVDSNGQNALHVAILKGHNETLLNVLSLESIICDSLVDEADNEGNTPLHLLAASGNRVPQMILDHPSAKKMAFNKQNQTPLDIALSSTWTIKKEKLVGDLCSINGRLGQRDFKVKRKTETIGNIRQMRKEDDQDKAKRDKIEIEKIMKAAQIQVVVATLLMTVTFAAGFTLPGGLYNDDSPNKGMAILLRKTAFRAFVISDVLAFAFSAGAIFIYFFMADCDVDEDEECKRDWFKVLRSYYYIAGILQLLAMGAVVIAFVTGMYATLANSLALAISVCVIGCVSFLMYFWIIIWV >Solyc01g008620.3.1 pep chromosome:SL3.0:1:2635021:2636761:1 gene:Solyc01g008620.3 transcript:Solyc01g008620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLSSLLASLLLVGLLIQITGAQPIGVCYGKIANNLPSDQDVIKLYNSNNIKKMRIYFPETNVFNALKGSNIEIILDVPNQDLEALATPSNANGWVQDNIRNHFPDVKFKYIAVGNEVDPGRDSGKYARFVGPAMENIYNALSSAGLQNQIKVSTATYLGLLTNTYPPRDSIFRDEYKSFINPIIGFLSRHNLPLLANIYPYFGHADDNVPLPYALFKQQGLNDAGYQNLFDALVDSMYFATEKLGGQNIEIIVSESGWPSEGHPSATLENAMTYYTNLINHVKGGAGTPKKPGRTIETYLFAMFDENRKDGKPSEQHFGLFKPDQRPKYQLKFD >Solyc02g080890.3.1 pep chromosome:SL3.0:2:45546667:45549047:-1 gene:Solyc02g080890.3 transcript:Solyc02g080890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKGWGLTLETSSSNSDRAGFFKNKPVFGFNLSPKFNAAEMFSSSDEKRSIVNEVDFFADKKPIVKKENSQTDNSIRTDDQCVVNTGLQLVIANAGSDQSTVDDGVSSEVLEEKRAKIQQLAQLQVELERMNSENQRLKGMLTQVSNSYSALQMHLVTLMQQQLNSRTENTHPHEVVGANSDEEKKKANNGTIVPRQFMELGPSGSKVDPMDEPSHSRSSSEERTLSGSPRNNMELVSRDKAINREESPESESWAPNKAPKLMTSSSKPVEQSTEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRSILITTYEGTHNHPLPPAAMAMASTTSAAANMLLSGSMPSADGLMNTNFLARAMLPCSSNMATISASAPFPTVTLDLTAQNPNAALPNYHQRINQANPQFQFPLPAGLNHPNFAASMSAPQMPHVLGQPLYNQSKFSGLQISQENIHHHHPSISHDTLSAATAAITADPNFTAALAAAISSIIGGSHPNNGNSPMSGPSSNNNNTSSFPGN >Solyc06g075220.1.1.1 pep chromosome:SL3.0:6:46819394:46820143:1 gene:Solyc06g075220.1 transcript:Solyc06g075220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSHLVFSLSLLAIFLHSCTFTLGQNPASAPAPSGPTNITKILEKAGQFTTFIRLLGTTQAGDQINTQLNNSKQGMTVFAPTDNAFSSLKAGTLNSLSSQEQVQLVQFHVLPSFISVSQFQTVSNPLRTQAGDTSPGDFPLNVTTSGNQVNVSTGIVDATVGNTIYTDGELAVYQVDKVLQPLSIFASPSPATAPEPATTETSNLKKKSPAALSPSSGSDDTPADKSGADSVNNVAALAIGAFCFAFWL >Solyc06g064430.1.1.1 pep chromosome:SL3.0:6:40237075:40237800:-1 gene:Solyc06g064430.1 transcript:Solyc06g064430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVQNSVYYCSVSKGGQLIYAYNGGDHETENLAALCLERVPPFHKWYFQTMAKKTFGFLMEDEGYVYFAIVNEGLGNDKVLSFLEQLKDEFRKVGKKGSCWTMSNLNSICLQGELVPVISPCNNATGKIEGGDSTNTLLLGKPSRQEKKKRNDHVIAIRDAELEEDQKSTELVDTNDQDAVVIPIMSQKELCLVRNITSTQNFQKKWCRHVRVILAIDVVVCLVLLVIWLVICEGTKCLH >Solyc08g008590.3.1 pep chromosome:SL3.0:8:2957697:2962642:1 gene:Solyc08g008590.3 transcript:Solyc08g008590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Solyc02g071890.3.1 pep chromosome:SL3.0:2:41832242:41838914:1 gene:Solyc02g071890.3 transcript:Solyc02g071890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCNILSLSRSCSLASNLRAIPTRNTVFYRKYSYLPAGLIRKSIRCSMKSYKLSELTTSEVDSLKARPRIDFSSIFGTVQPIVDDVRNRGDAAVKDYTSRFDKVVLDKIVEDVSELPDPELDSSVREAFDVAYNNIYAFHAAQKPVEKVVENMHGVRCKRVARSIASVGLYVPGGTAVLPSTALMLSVPAQIAGCKTVVLATPPSRDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKVEKIYGPGNQYVTAAKMILQNSEAMVSIDMPAGPSEVLVIADKHSSPVHVAADLLSQAEHGPDSQVVLVIAGDGVDLNAIQEEIMKQCQALPRGEFALKALSHSFTVLARDMLEAISFSNMYAPEHLIINVDEAEKWENLIENAGSVFMGKWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFLKYITVQSLTEEGLRNLGPYVEKMAEVEGLDAHKRAVTLRLQDIEARQVSHSR >Solyc12g007160.2.1 pep chromosome:SL3.0:12:1590597:1593834:-1 gene:Solyc12g007160.2 transcript:Solyc12g007160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFNLSPKSYTEVDTDDSTKFNNSLQELKDLCSQLHNAADYCEKAFVNAEEKRDVLENTKEYLCRAVVTVIDHLGCVSAKLECKISTIDTASETELRIDGLTQRLATCQQFSNKLALTRLCWNTDLATFHRRYISPPIEDTRRMKQMSRGFGMSTDSKTLRKDRFEAEEDVPLFLYTYNFKPSLLEDSKQKSSFSSPVLPVRDGLSVVPKSQNSNFEFQEARKQKRNILNWKPMHNKDIRSLIRRGRRIVT >Solyc09g014940.2.1.1 pep chromosome:SL3.0:9:7254009:7254317:-1 gene:Solyc09g014940.2 transcript:Solyc09g014940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLLTGEVSNNNNNSFKFEPRSVDAIDDHRVIVEGWEGAKAYWVHVWTFKDGVITQFREYFNTWLTVTELRPMGWVHSTTLWQSHPRDLAKRSLPGLMLAI >Solyc03g094100.1.1 pep chromosome:SL3.0:3:57257861:57259020:1 gene:Solyc03g094100.1 transcript:Solyc03g094100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVLKDEGVTMIGICGLGDVGKTILAEKIRLKVKQQGLFKDVVMVTISQQPDLKKLQGEIAEEFKLKLQGDNLWSRGDRLRTRLMDQKSPNLIILDDVWEDLHDLDKFGISSDGNHNHRCKVKLTTRFRNVCEGMETNKIMEVGILSEEEAWSRFKEKVGDFGNDTSPIDISKEVTKECKGLPLAIIIGVGALKRKTKPSWENALRQLRRAKVRNIPGVHEKVYESLKLSYDHLGENEAKCLFLVCFLFQEDNNIWIEELLKYGMGLRIFSGIENIEDAKNSIASEGDHNFMVSHDVNSEELPSRTSYEHFSHMSIVANKFDEHPRPIIYPKLKLLMLKLHSNYGMIFLVK >Solyc09g075170.1.1.1 pep chromosome:SL3.0:9:67379785:67381134:1 gene:Solyc09g075170.1 transcript:Solyc09g075170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISTRKLISLRHPSLNLWHRTVDCFTWNTLIRAYVQRTHQPSSPTHTPLSIFLRMRFHGVHIDYYTFPFLLQSVHSPLHLQLGRSIHSQVLIFGFSSDPFVQTSLISMYTACGDVGSAREVFDEMSQRDLPAWNSIVNANVKAGLLSVARELFVKMPSRNVVSWSSMLDGYVRWGKCEEALELFNQMMKENEVMPNEYTMAILLAACEQLGSLEHGKWAHACVYKLGMEINVVLGTSLIEMYAKCGSIVRARLVFENLGPKKDVMAWNAMISGLAKHGYWEDCLCLFSNMVDSGVTPDDITFLGVLSACVHGGLVSQGKDMFERMSKEFGISPSVQHYGCMIDLYGKAGLIDEAWDLLNTMPMEPGVLIWGALLSGARMCGDIETCEIALNKIIEQDPTNSAAYVLLSNVYAKMGRWKDAGDIRNVMETKKVHKVPGRSSIEINANIQ >Solyc10g008150.1.1.1 pep chromosome:SL3.0:10:2271127:2271456:1 gene:Solyc10g008150.1 transcript:Solyc10g008150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTENAVIVFRRRGCCMSHVIKRLLQCLGANPVMYDIEEKYENEAITELEDIGKSIDGGGDRREDRSLSSPLPAVFIGGELFGGLDRIMEAHISGELCPVLKNAGALWL >Solyc05g016230.3.1 pep chromosome:SL3.0:5:15169437:15179250:1 gene:Solyc05g016230.3 transcript:Solyc05g016230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKQQKKLNSGLSEMSLESHDHILQRCPSSEIVADSNCNLEYKICESPNVVQPKAVIDQHPPKASVCAFCYSAKTTEKTGPFLYFANGREVVGNVTSLSKVICVHSKCIEWTPQVYYEGEIIKNLDTELARATKLKCSSCGMKGAALGCYMTSCRRSYHVPCAFEIQDCQWDMENYVMLCPVHKSVKFPSEKSKSIEHIKREMHPKASPLTTEQLTFWARSSDGPKEWVLCGSALSSEDKYMLVKFAGMCGATVCKFWKPNVTHVVATTDVKGACTRTMKVLMAILSGKWIVTMDWVKACVAANGPVNEELYEISLDNYGRSGGPKAGRLMASTNGPKLFDGFEFYFIGDFMPDYKSDLLDLVEKAGGTVIHSEEQLVKQNHAAQETQPSSLVVYNCDLSQGCFEEKIRILLQRLAEAEDLAEQMGSQTVQHTWILESIAACKLVPFC >Solyc01g065790.1.1.1 pep chromosome:SL3.0:1:72217871:72218188:1 gene:Solyc01g065790.1 transcript:Solyc01g065790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTARRPTTLRTCNVFARRSFPVVPSSPLAVWAGCPSLLRSFKLCIKGTNVVEWKSKRVTRSTVLRCEVQVVMITLGHALAPLTGTLEPANARENPGRSVLHSSD >Solyc02g092450.3.1 pep chromosome:SL3.0:2:54136939:54151836:1 gene:Solyc02g092450.3 transcript:Solyc02g092450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNENFGGVKAKHSEEEMLRRWRSLCGVVKNPKRRFRFTANLSKRYEAAAMRRTNHEKLRVAVLVSKAAFQFISGVQVSDYTLPDEVKKAGFQIDAEELGSIVEGHDLKKVKFHGGVDGIANKLATSSTDGLSTRDYSTLIHRQEIFGVNKFQESEARSFWLFVWEALQDMTLMILGACAFVSLLVGIAMEGWPDGAHDGLGIVASILLVVFVTATSDYRQSLQFRDLDKEKKKIAIQVTRNGYRQKMSIYDLVPGDIVHLAIGDQVPADGLFLSGFSVLIDESSLTGESEPVTVTAQNPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLLATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQKMYSRKLTEGSHWSWSGGEARELLEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNRMTVVKTCFCMNVKDVQKPSDASSLCSEIPDSVLKTLLQSIFNNTGGEVVATKHGKPDILGTPTETAILQFGLSLGGDFQKERQAGKLIKVEPFNSTRKRMGVVLELPEGGLRAHTKGASEIVLAACDKVINSSGEVVPMDETSTNHLKTTIDQFANEALRTLCLAYMELDKGFSPAADIPVSGYTCIGIVGIKDPVRPGVRESVALCRSAGVTVRMVTGDNINTATAIARECGILTDAGIAIEGPVFREKSQEEWLKLIPKIQVMARSSPLDKHTLVKQLRTTFNEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNIVALVVNFLSACFTGTAPLTAVQLLWVNMIMDTLGALALATEPPHDELMNRAPVGRTGNFISNVMWRNILGQSLYQFVVIWFLQSVGMGFFRLSGPDATLTLNTIIFNTFVFCQLFNEINSREMEKVEVWEGMLDNYVFVVVISVTLVFQIIIIEYLGTFASTTPLTFWQWFVSVFFGFLGMPVAVALKGFERIVKRMSKKDEESPRVSASPNPPPLPVAFISSRQERTFAARKSALRKYCCTPTATKNTWDCLFDEGYRADVSINTDNGGVLYAHASILGVNSAVFRSMLSLKQSRPHGRCGHQRSITINGVPPEAVQVFIRFLYSSRYEEEKMKEHGLSLLVLSHAYAVTHLKQECEWQLEQRLTTENVVDIFQLALLCDASRLSLVCHRFMLKNFKPISATEGWKAMKQSHPVLEKQMLKSIIDEDIREKERVRKSNERKMYMQLYEAMEALVHICKDGCRTIGPHDKVLKEDQEPCHYAACKGLESLIRHFAGCKLRVPGGCIHCKRMWQVLELHSRLCANSDVCKVPLCRSFKQKRRKQNKKDEMKWSILVRKIVRSKSISGAPFFSSEST >Solyc06g036080.3.1 pep chromosome:SL3.0:6:25558255:25570400:-1 gene:Solyc06g036080.3 transcript:Solyc06g036080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVTGLPWVALQVAVKDQSAVGQTSIKEATELVNLSPSGHVSSRSPKRRPLNSHLSSIQIPSHGTLCSVPDSSISSPSRNPMKAAGCEQVSSSTFWAGKTYPDLPLLGSGHCSSPGSGQNSGHNSMGGDMVGQLFWQPSRGSPEYSPIPSPRMTSPGPSSRIHSGAVTPIHPKAGGGASELQTNWPDDAKPESHPLPRPPLAISNSSPFSHSNSVATSPSVPRSPGRAENLSSPGSRWKKGKLLGRGTFGHVYVGFNSDSGEMCAMKEVTLFSDDAKSKESVKQLTQEISLLSRLRHPNIVQYYGSEMVPDKLYIYLEYVSGGSIYKLLQEYGPFGETTIRSYTQQILSGLAYLHAKNTVHRDIKGANILVDPNGRIKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNTSGCNLAVDVWSLGCTVLEMATSKPPWSQYEGVAAMFKIGNSKELPTIPEELSDEGKDFVRKCLQREPRNRPTAAELLEHPFVKDAAPLEKQNMFPTSFDLPCVAASGIKLLGTGSARNYPTPDSERLAIHSSRASKSKFHCSDIHIPKNISCPVSPIGSPLPRSPHNLNGRMSPSPISSPLNTSGSSTPISGGNGVIPFRHINQSVYLQEARTVPNSPYMNGSSYWDPDVLRGSPSGSHAFRELASVEYDALGKQFGRLATGELCNGQSALANRVSQQLLRDHVKSISPVDLNPCPPLGGRPGGT >Solyc12g007100.2.1 pep chromosome:SL3.0:12:1532646:1541394:-1 gene:Solyc12g007100.2 transcript:Solyc12g007100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRTRDQRSRSSRPITIHGLAQSGDVVAFKKLLNDNPFLLNERNPVMVQTPLHVATGYNNVEILKFLLSWPGPEKVELEAKNMYGETPLHMAAKNGCNETAKMLLAHGALVEARANNGMTPLHLAVWHSLRAEDYSTVKTLLEYNADCSAEDDEGKTPIHHLSQGPGNEKLRELLHQYLDEQRKRKAIEACSQTKAKMDELESELSKIVGLDELKLQLRKWAKGMLLDERRKALGLKVGARRPPHMAFLGNPGTGKTMVARILGKLLHMVGILPTNKVTEVQRTDMVGEFVGHTGPKTRRKIQEAEGGILFVDEAYRLIPMQKSDDKDYGLEALEEIMSVMDSGKIVVIFAGYSEPMKRVITSNEGFCRRVTKFFHFDNFSSEDLATILHLKMTNQADCSLLYGFKLHPSCSVDAVAALIKEETTEKQRKEMNGGLVDPLLVNARENLDLRLSFDCIDSDELLTITPEDLKAGLQLLSQTRRRAMVHRSLSLLSSTSPSSIPSNFNSDSPSPSFSFRRIPRFPKLNVFSRQVLIDNNNNDSPEQFLQNNSIADFMRFRKGTTSDDSVSTAELQTAVVTYRKKFPWSLLQPFLQVQISLTEPAGIFHSLCVDLVSTIHIADKEYFTALQKELEPYDCILYEMMARFLTLDFQLDCLDYEGENWYHADLDFETFKLLQTERGESLFTFARDMTLRSTKALVQPTIPDDLDPWRSKLLWASRVLPMPLVGLLIIGGVCTDVKSQPSEYPELEALSRLDFGAAMKVFLAKRLTSEFTQVTADVEAESVIIGERNKAALEALQRAINEGHNKIAILYGGGHMPDLGRRLREEFDLVPSEVQWITAWSITNRNLTTNSLPLLKQMAEVSGWPLNRYQTLALLIFSSVLALDLWFWELFFGTTANWISQVASDISQYVHM >Solyc02g036380.3.1 pep chromosome:SL3.0:2:31149954:31167281:1 gene:Solyc02g036380.3 transcript:Solyc02g036380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Autophagy protein 5 [Source:UniProtKB/TrEMBL;Acc:K4B5T1] MESKGGGTEAQKYIWEGAIPLQIHLHESEITTLPTPPPAMILAPRIGYLPLLAQKVKPFFSNSLPPGVDTIWFEYNGLPLKWYIPTGVLFDLLCAEPERPWNLTVHFRGYPGNILTPCDSEDSVKWSFINSFKEAAYIINGNCKNVMNMSQSDQLELWRSIMDGDLDSYLRISSKLKFGILVDDFSIQLNISSPKSPESTQNADGTAPAKTGRIPVRLYVRTINEDFDELEDAPVVESWDRISYINRPVQIHGDDKLWMRMDCEQPWNKKCMKKEVPCNEDHQSHMGRKSHGRLVCDCVTSGASKCFTLYDAVTKLLPEFFGEKLPPTDDVSKEEVEVEQRSSPEETNKSNTERSGEMLNEGIVSCSISDGAEIKLLRIQGIEPKMEIPFAWVVNNLMNPEYFLHICVYVKIQEPITI >Solyc10g054970.1.1.1 pep chromosome:SL3.0:10:56119095:56119958:1 gene:Solyc10g054970.1 transcript:Solyc10g054970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLSIVMKKVTDFLMHPIARGIGYLFYYKSNIRCMHKECKKLKNIKSKVQERAEVARINLQRISHNGETWLTSVDTTIEHVETVRQGTTEVERGCFYGCCPNLKSCYSLSRRAKKITLELIQLQSEVTNPNAFSFDRTVQSHEAIPSNSDEVFDSRKFQEDEVMATLKDEGVTMIWICCMGGVGKTTLANKIRQKAKQERMFNDVVIVIVSQQSDPKRIHGEIAKGVGLTLKGYDMLSRGDRMCTRLVDQNSHILIIYMSVVILLVKASNFKLSKVWTVSPNKTTC >Solyc12g015970.2.1.1 pep chromosome:SL3.0:12:6003809:6004280:1 gene:Solyc12g015970.2 transcript:Solyc12g015970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGYINQYGHYPTGLFASVCKGTQQGLPCPALAPSQSADRDDTSSSQINCKLSLVYSFATTFMGILMLMLLF >Solyc02g089150.3.1 pep chromosome:SL3.0:2:51658943:51661351:1 gene:Solyc02g089150.3 transcript:Solyc02g089150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPHQNISSLFLIFSVLFVVAAADCSNGTCKLDDKCSSDADCGPGLYCLSCALSFQGHRCVRSTGTDPFKLVNSSLPFNKYAFLTTHNAFSIEGEPSHTGIPRITPTNQEDNITQQLNNGVRGLMLDTYDFDGDIWLCHSFGGECHDYTAFEPAIDTLKEIEAFLSSNPSEIVTLILEDYVETPNGLTKVFTDAGLMKYWFPVAKMPKGGQDWPLVSDMVTNNQRLIVFTSVKSKEQSEGIAYQWNYMVENQYGDGGMEKGNCPNRAESSPMNDKSKSLVLVNYFRTLPLKPLACVQNSGNLLDMLMTCHDTAANRWANFIAVDFYKRSDGGGAFLATDTLNGQLLCNCGDVHSCAKGSTPGSCTRSVDHDQEN >Solyc04g056492.1.1 pep chromosome:SL3.0:4:54246380:54252963:-1 gene:Solyc04g056492.1 transcript:Solyc04g056492.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVILGNMVKEIILTFWTGSQGETNQKSANMVVDEAIDGIEPTRAKVYILTLTKRKDDRPLDEESSNIVNMMKEKLSNGETSEEQSHDNVAWEGDVYSQKSFIRNVVDDLCDEADMHIS >Solyc04g051600.3.1 pep chromosome:SL3.0:4:50830477:50845487:1 gene:Solyc04g051600.3 transcript:Solyc04g051600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRVNAEPIVPLIVRPPSIFCRRSSVRLLRHLGMANSRYEYVKCFEVEDEVMYPNIIVVQIDGRDFGCFSEKHGFEKPNDDKALNLMNACAIKVLENFSDVIFAYGFNDEYSFVLKKETTFYQRRASKILSIIVSFFSSTYVIKWKEFFSRKELTVPPSFHSRVISCASMEVLQAYLLWRQTECHISNQYNTCLWRLVFSGKSEKEAKEILKGTQKQEQNELLFQQFGINYKDLPQIFRQGSCAVKIKVDDTVKYREDGTPVKRPRKKAVIVHSENVATKRFWNNYTCLTEELGSLAEGINKIKPEYLRSFQFESRLMLSIWIVVRVDGCHFHRFCEDNGFQKPNDEQALKLMNSCAVSLLEMFKDIIFAYGVSDEYRGGQGNSFITFLKFLDYFDTTQLQLPTCKNIVQLSVNNMLKDPAKLHFFEIVSAVVSLFSSMYVMKWKEFFPEKEFKEPPYFDGRSVCYPSSEILRDYLAWRQVDCHINNQYNTCFWLLVKSGKSRTEAQSSLKVWYLIP >Solyc03g052970.1.1.1 pep chromosome:SL3.0:3:23524464:23524718:1 gene:Solyc03g052970.1 transcript:Solyc03g052970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTHRFSTNWYYCVLYFLQPYQLHIACLLFYCSYILGLIQHQSLDVFPLVLLRKMFTISLVLVGRLNTLTFAGASISETILQYN >Solyc12g056700.2.1 pep chromosome:SL3.0:12:63736419:63738005:-1 gene:Solyc12g056700.2 transcript:Solyc12g056700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSTPSSPIAKTFSSFFLSLFFLFIIFSSSTATIQNNDRRLEGKVAIITGGASGIGAATARRFVQQGAKVVICDIQDNLGTSVAQQIGNTQTIIYVHCDVSIESDVQNVVNTTIAKFGKIDIMFSNAGVMGNPFTSIQDIDYNMIKKTFDVNVVGGFFCAKHAARVMIPKKKGVIIFTTSIVSTLYSDLTHIYTASKNALLGLTKNVGVELAKYGIRVNSVSPYAISTPLMLNAFRVNKEMGDKWFAEGANLKGVLLSVEDVANGVLYLASDESKYASGLNLILDGGYTTTNIALGEAYKRLFINKTM >Solyc02g083040.1.1.1 pep chromosome:SL3.0:2:47155007:47155828:1 gene:Solyc02g083040.1 transcript:Solyc02g083040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALACSKTKPFRSISLPNRSHPTTQRVEEVLNKLNGLETSVVAPTSETICDSLLGLEELQKCTDDLLQLPQTFRIISQRQHIKWFEELLGNSVGILDICGTIKEFVSHYKENVRALQCSLRRRKGDSNAEAGIARFTSFSKKMKKDAKRLALSLKQLVDCETLTAAFLEADQETIAVIRALREANAVCILIFQMLLSFLSVPLLKPKQPKWSLVSKLIHNGRTEHEDLENSRNMETKVETFEAQLDNIEKGLEGAFRSLIRSRSSLLNVFSS >Solyc02g064920.1.1 pep chromosome:SL3.0:2:36583867:36585452:-1 gene:Solyc02g064920.1 transcript:Solyc02g064920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFRNELGFLTRQLFRKSFAILLVHEYDQLGSQLRHIQAPNCKDISHLYRELFNLQDLTEKEAKYKWRRGRTLGNGSYGFVSLAFTNTDDTPSPTIPSLIAVKSSRLNSSRSLRNERKFLRMFEDCPQIIRCFGFKVTCKDGLYLYNLELEYASAGSLADRIHERGGLLEFQVKKYTKNVVLGLSLIHKKGIIHCDIKPHNILLTTDEVTKIVDFGLSITLQQINCGDEVGLRGTKSYMAPEMLNRIKYEEPNIKNPKLSTEAKDFLNNFLVRNPSARWSANMLLNHSFLKSAEDVQPPNAKKRQCADMSLFCKKRAKITFTTQPHIPDLVIRVDSDSTDEN >Solyc03g123750.3.1 pep chromosome:SL3.0:3:71999402:72002583:1 gene:Solyc03g123750.3 transcript:Solyc03g123750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDGGEWTGNSRFLIVNYQDGGILDLMRFLLSANKENAHKFLHYSDGGTPVVAEELTRVHDDTTAGDHRWVIFVSVIVRKLIAIFGKPMEWFGYLLDFILNLLSLNGNFFGLFYNILHGKVVMPQRGSETFISAIGHLDGRIDLYRTETLTKEIGEPDFWQKDIQLGIGHRALMDLCMMASKLAYENAKVVQNVVNIHWKMHFVDFYNCWNDFEKEMSTQVFILCDKPKDANLIVISFRGTEPFDADDWITDFDYSWYEIPKLGKVHMGFLEALGLGSRAKVSTFHEQLFMNNQNFTKLENDATIAPSESSESSTMFSDSDAHSVSDQSPESDRPTDAGSKKFKLDMPERTAYYVVRSKLKRLLNEHKNAKFVVTGHSLGGALAILFPTILVLHEEMNVMERLLGIYTYGQPRIGNRQLGRFMEAHLEHPVPKYFRVVYSNDLVPRLPYDNKTFLFKHFGICQYYNSLYVEQNVDEEPNRNYFGLRFLIPLYLNAGWELIRSFTMGHIYGAEYEECWESVVLRVLGLFLPGISAHSPVDYVNSVRLGKERSTQMSSF >Solyc07g055000.2.1 pep chromosome:SL3.0:7:63272643:63275323:-1 gene:Solyc07g055000.2 transcript:Solyc07g055000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTTCYDENGRKKGSWTLEEDKKLAAYITKYGSWNWRQLPKYAGLARCGKSCRLRWINHLRPNVKRGNYTKEDEIILNIHAQLGNKWSTIAAHLPERSDNEIKNYWHTTLKKRAANTTNKNSIESNTRRKITSVENQNASANNNNNNNMHENIVLESSEWSPNESSSEALSSIDYQQDIFQEELANLEEITSGSFWTQPFEVDTKIDFVAPSIDYCGLVCPPSPFMPHEFLSSFDLDHYNL >Solyc06g062620.2.1 pep chromosome:SL3.0:6:39646151:39647246:-1 gene:Solyc06g062620.2 transcript:Solyc06g062620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVCFVLPSFKCQPINRYAKLAAINLLGPSPIVKLQKVLLEKRSNEVTAPPTHIGLTPEINIEKTGREVSCSHKFYRGLRADLCLSNNGFGLV >Solyc09g042230.1.1.1 pep chromosome:SL3.0:9:22854823:22855188:1 gene:Solyc09g042230.1 transcript:Solyc09g042230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMETISQVMKKFGDEKSTLLDEYERITFEIQLNQAILARSLSEPSRRSTTTTTRPPLMAAADQQSFCRRHRRRGYGFHKVMKKLLKPILGKIKGDNKEMMETPPKDPRFWNKFSTSLRL >Solyc06g082930.3.1 pep chromosome:SL3.0:6:48600139:48604926:1 gene:Solyc06g082930.3 transcript:Solyc06g082930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQSVATLMDSTTSKIQQLQKAFAELESHRAVTLNLQWKQLEEHFNGLQKSLKRRFTELEDQEKEFETKIVQSTEILEKRQAAVIAKEQSSLQRLQEKRDAAVSAISIALEKHRSPCSVEPTVINCEVEDEPSVLEEKPDNLTEIGLVTGDTWKSFQDSVVEVKSYPELVNLCRNMDSEGLHKFISDNRKNLAALREEIPLALRASTNPASLVLDSLHGFYNSDMSISDAKKDANLLGLRRTCIMLLECLSTLLNTLDTDSISSIISENTRGRAKAIAEEWNPKLDELDVDANNGNSLEAHAFLQLLATFSISSNFNQENLSKLIPMVSRRRQTADLCRSLGLSDRMPGVIDVLINNGRHIDAVNLAFAFELTEQFPPVSLLKSYLNEASKASTPSNSGNASPTVQNDVNEKELSALKAVLKCIEDHKLEEQYLVDPLQKRVHQLEKAKSDKKKATEVTKPQSKRPRPNGVGNGPRVNNVVTEKNFYPRMTDRYPQPVYDGPYAYPGPTNTHVPSFIGAPAYNFSPGHDFFGNGYHYQAPYLH >Solyc03g098320.3.1 pep chromosome:SL3.0:3:62098876:62103390:-1 gene:Solyc03g098320.3 transcript:Solyc03g098320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLYFPLHFISSSYFTDHFFGFIFSTSQFFFLGYKRSEATIHIFFCGLIFIILKIYFAAMVADAVNQVEGSQQGASSMISSKLETVATQGKAPTCLANENVLKARKPYTITKQREKWTEEEHQRFLEALKLYGRAWRQIEEYVGSKTAIQIRSHAQKFFAKVARDSGNDGDESLNAIDIPPPRPKKKPLHPYPRKMADSSIANKAVSGQPERSPSPNASGRESCSPDSVLPAIGLGIAEYSVAEQQNSRFSPVSCTTDAHTANIISTENDDESMSSNSNNVDAIHVTLKPVAASISLITNSEFMECNIVHMENSCNGEKLAVEPPSASIKLFGKTVFVPDANNLAPPAPYNTEKEKEISNEDVLHGFQANQANSPFVLAMVPGNMIPPASWLSQNMLENNRESTAAFPAATISWWSWYQDLLYRSISSCGQTTVEAASHCQGLKDEEPQREGSSTGSSIGSASEVDDGNTSCETVESKCTTKIKGFVPYKRCLAERDGKSSGAVLEERESQRVRVCS >Solyc04g026050.1.1 pep chromosome:SL3.0:4:19037312:19037841:1 gene:Solyc04g026050.1 transcript:Solyc04g026050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGSCMVCYILCLWTTFREPPILELEDVLLLKSNSEKIQNDLVHKGIIQPFLLCAEETKQAEDDDQDFFSFYVAIPYFVPQYVCSALITFLVVEVLEDVNLKLLSQAISSQHSKGTYNCGLLSTEAGTLDRVFGQKCIL >Solyc04g051540.3.1 pep chromosome:SL3.0:4:50724853:50729872:-1 gene:Solyc04g051540.3 transcript:Solyc04g051540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQSLFEDHQDMSTQLGFFSFPPNCNNMGISSTASSTLPFIGYNQNTLKTLTMIPPSFDHNSLNIESTHDPRHKEDLTTSIFEGSYLLSLQKSNTNTWACGEVNERSNIIKRSKEFDLDHHNLGVSSIKMKKIKSSRRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHVHSPSQDEEDSQASSQLNNLLW >Solyc03g093080.3.1 pep chromosome:SL3.0:3:55695515:55696772:-1 gene:Solyc03g093080.3 transcript:Solyc03g093080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4BII5] MASSSKLVLVMCFMISAFGIAIGAKFDQEFDITWGDGRAKILNNGDLLTLSLDKISGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTADFHTYSITWNPQRIIFYVDGTPIREYKNSESIGVSYPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFSASYRNFSANACIPTSSSSCSSNSAASTSNSWLNEELDNTSQERLKWVQKNYMVYNYCTDSKRFPQGFPADCVQNN >Solyc07g007940.3.1 pep chromosome:SL3.0:7:2591891:2607971:-1 gene:Solyc07g007940.3 transcript:Solyc07g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKLFKLDIDELINEFAKGGSPTFAEMKRVWVSKKFSYIFEASPPKDQACFIQSLYAYCIGYMVSTNSLLSRLGGLYCLYCLYETQPFKPPFKIYLSMGELKNLRNVVAEAKAKDVKVVPAVVKRMFDRNMFLFGFVDVNESSAAERLDELSEVQNASIQIACKKLFANSRIEHFTHMDMGLELEVDMLKQKSADYARAKDLAIKEASGIVDVESIKHIAENQTLIGDVVGKTADDWKVQKELFYQKTGILHQPVKKDSVDVVGGEHDKSQQKVAEEHDKSQQEVAEEQDKSQQEVAEEQDDNEDFSKELEEVLLSAQYDSDFEE >Solyc05g048760.3.1 pep chromosome:SL3.0:5:60252070:60258761:1 gene:Solyc05g048760.3 transcript:Solyc05g048760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphomannomutase [Source:UniProtKB/TrEMBL;Acc:K4C108] MAARKAGLIALFDVDGTLTAPRKESTPQMLKFMQELRKVVTVGVVGGSDLVKISEQLGNTVTKDYDYVFSENGLVAHKDGKLIGKQSLKSYLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVQKIRETMVSVLREKFAHFNLTFSIGGQISFDVFPQGWDKTYCLRYLEEFNEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPEETLKQCSVLFLGKDNGSS >Solyc01g056250.2.1.1 pep chromosome:SL3.0:1:52678967:52679581:-1 gene:Solyc01g056250.2 transcript:Solyc01g056250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVMMKLLQDVVINILLMLPVKSLMRLKCLSKSCYTLVQSSTFVNHHLNRPTTFKDDLIHFKRSIKQDDDLHKTILSFLSGDDENYLSPINPDLDVPCMTSNYSNDFDQFIGPCQGIIALMDNLTTVLFNPSTRNYRLLPPSPFNIPPPFSYAIECVGFGFDNIAND >Solyc06g072100.2.1 pep chromosome:SL3.0:6:44574619:44578398:-1 gene:Solyc06g072100.2 transcript:Solyc06g072100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNSSSSFSYVVTSSNDDVIMEIDEASEPQGHNGIVVSAHVAWKDVSVMVTLNNGDTRNILEGLTGYAEPGTFTALMGPSGSGKSTLLDALSGRLASNAILSGNILLNGRKAKLSFGTVAFVTQDDTLIGTLTVRETIHYSAQLRLPDRMPLSEKRTLVESTIADMGLQDCADTFIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRCLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQASEAHQARYLPYSRLSIILWIIFVSRPYTFFIMWKLELNLVFGLQFFAEAGFPCPSFRNPSDHFLRCSNSDFDKVKATLRDSIKYNDDPLNKMTTVEAIRSLVDFYRRSQYCYLANEQVEEMSKVKGTVLDSNGSQASFFMQSYILTKRSFVNMTRDFGYYWLRLVIYLVVSVCVGTIYFNVGTTYNSIQARGACAAFIFGFMTFMSIGGFPSFVEDMKVFQRERMNGHYSIIAFVISNTLSAMPFLILIATLSGTICYFMVRFHPGFSHYLFFVLALYASITTVESLMMVIASVVPNFLMGIVIGAGILGISMVVSGFFRLPHDIPKPVWRYPVSYLTFDFWAIQGQYKNHLKGLIFDNQSPDLPKITGEYALKETFQIHVNRSKWVDLSVIFSMIIIYRLIFFIMIKINEDVTPWLRGYIARRKMQQNGANQNKTMTQYALA >Solyc08g005405.1.1 pep chromosome:SL3.0:8:300865:304720:1 gene:Solyc08g005405.1 transcript:Solyc08g005405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYQNTKKFYLVHGEGFHLKFLTTDKLRKRAAVKLVLLMQGLELKMQIIYFALQVRWKGYGPSEDTWEPIENLKNCGDSIKDFVRRGQQLKILPLPGDVDMICGGPPCQGISGYNRHRNTDDPLSDEKNRQIIIFMDVVEFLRPKYVLMENVADILRFDKASLGRYALSRLVHMRYQARLGTMAAGCYGLPQFRLRVFFWGALPSERLPPFPLPSHDVIVKYWPSPEFERNTVAYEEGQPRDLEEALVLRDAISDMPAVTWHETREERPYEMPPETEFQKYIRLSKHEILSCTSTGVKETKEPVLSDHRPCQLNEDDYLRVCLVPRRKGANFRDLPGVIVGGDNVARRDTKDPKVLPNGKPMVPDCAFNFEHGKSKRPFARLWWDETVATLVTFPNHRAQAILHPEQDRVLTIREYARLQGFPDFYRFTGTLKERYCQVGNAVAVPVGRALGYALGLAYQRLAGNEPLIKLPSNFSFLTPPIDDIVVLQT >Solyc10g054600.1.1 pep chromosome:SL3.0:10:55628168:55629564:1 gene:Solyc10g054600.1 transcript:Solyc10g054600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPVIGATVQFLQEKLLPLTIAELSSSRDCKKNLEMLTQNVSLIQAFSHDAKRRQVDNEAWKLWLKILEKATENAGNVFDESRYESMKRQVEIRSNPMKSEDDNEENSTRKILKLSCDYLPSPHLKTCFAYFAMFPKDFVFEKEQIIQLWMAEGFLRPCQETTVIEDIGNKFF >Solyc05g054020.2.1 pep chromosome:SL3.0:5:64840496:64844954:1 gene:Solyc05g054020.2 transcript:Solyc05g054020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKENEGQTKGVSSVVLCEDIVNLLDFIERLNNGRIQTSLNTHKIENLTLELTFVSAFCHLYYSLFLASSNDEMSCISNEIHDLVQSLFHPSGEDMLVNIMYHDVPRLLENIISYISSHSYAEPTMTEDRLVELLDAILIYLQCLRCCSDLIFPSMTHYELLQNVFGNLRDFHRLKVNGCFKYDTIEYVLPHLQLMALRIVNFCFTFLGDCTLHDFDKSEVTRLNSKLAANLLVDVIPVELEVMHICFTNLKGSNSEEVVRSIKQLLEASPDILRESLIYLQEHMIINAVTPSASTCNIHVMIEFLLIILTDELKDVIRHDKLFVLLARLRELTKELFILFRNLEENMNEASGSNLNMLENIELLKENLKNVFLKARADSPQLRFPMSDGPLFMTLLLTNLGDLVKSNASSVSLIKEEIKQVKEDLEIIRSLFGYVEQELHRDLWTCVLDTAYEAEHAFNSILARDHGLLQLILILPDTIEKIKLVKKEVQEKIPKRIVANAPNKPVERNSSSTVGKIIVGFEEETEWIIRKLTSGPAEIDVISIVGMPGIGKTTLAYRVYNHKAIVDHFDVCAWCTVDQERNEKKLLQKIFNQVIGLKERFSEKDIDDGVADKLWKQLCGKRYLIVMDDMWDTETLDELMRPLPEFHKGSRVILTSRKKEVALHGKCHSDPLYLRLLRSEESRELLKKRVFGEEGCPDELMDVGKRIAKKCGGLPLVLDLIGGVLSRKEKKETLWLEVLHSLSSSIFKDEEEVVKAIQLSYYHLSDDVKPCLVCLATYPKDEDIRMSELEDLLIFQGLEMKSAEEVVDELISCSLVIPFDDSIIKIHDLVHDFCDIKCRKEKLFHFIGRSKAPSSSDLMPRGIIFYYTDVYSLDEYRPVFDPEQKIPSVKHLLSLKDFGVTSLCFSYENHLKHLRLLKSLDLGSVTLPDSLLNEIGMLVHLKYLKIEMKTNVLPPSFSNLCNLETLVVYNDICIILSPCFWSLAKLRVVQMTWGAVFDPVITVLDEDLRLENLTTLHNLYLLGSEDTEDIFKRFPNLKNLQVLIKKQIPEKICFPRLDVLNLSAFPQNSLPEYTHDFPLSLKKLKLEWFTVTSDILSSIARLPNLEELTLQYTIIEEDKEWNMEDHVVFQNLKSLKLSRLIFSKWNMDDHVIFQDLKSLELVDLSFSEWKVDAEKSFPVLEKLLICTCDKLIEIPDSFGDIASLKFINVRLSPQLKESLFKIKEYVEEMTGEDKLHVEFNDPGP >Solyc10g011760.3.1 pep chromosome:SL3.0:10:3984738:3990933:-1 gene:Solyc10g011760.3 transcript:Solyc10g011760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-epimerase [Source:UniProtKB/TrEMBL;Acc:K4CYF4] MGQYAPVWDHKASVEVTKNLNGMNQVTLRNPQGASVLISLHGGQVTSWRNERGEELLFTSKKISKSSKSVRGGISISFPQNGNGGSVEQPGFARNKIWTIEDDPPSLISFDTQGKSYIDLLLKPSEDELKFCPHVFEFRLRVSLASNGSLSLISRVRNVTGKPLKFSFAYQTYFSVSNISEVRLEGLETLDYRDNLCPEELFTEQGDAITFETEIDRVYHCSPNCIAILDHEKKRTYLIKKEGLPDTVLWNPWIKKCKAMADLGNDEYKHMLCVDGAAIEKPIALKPGNEWTARVEYVVVPSTLSTDDLSSY >Solyc01g080480.3.1 pep chromosome:SL3.0:1:79562183:79569105:1 gene:Solyc01g080480.3 transcript:Solyc01g080480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSVHSPYIYWARNLRRPNSLPGQTPPSKTSHSAEHGGNGQQPAQLVVFFQREEMENEKKLESFVLVHNIAKRHNVGTLARSATAFGVSEMILVGRRDFNAFGSHGSTSHVRFRHFHSLADAKTFLKERDCDICGVEITENAVAVNEHPFKRSTAFLLGNEGTGLSAKECEICDFFVYIPQYGCGTASLNVTVAASIVLHQFGVWAGFSERTREGNKFIVAERHFKQAKKNYCMESSESVAEERRLKRENLSNGFFEDAGKEESPSNLLDTLRRIHRLCSRIRWLMWHRPRTKVVIKRFGKLSSRGSHGQLKEKLSFKSSSTHPNGQSGTIRLATFNAALFSLAPAVPKAEKPSLFSHDDDNDDGFKFQNQVKSENNRPKSILKHSPLHPILANGAKPKQKVSINLPENEISLAQNRVLGILEDDSTKILSLNNNNLGPVRSPICFPAMANWMINDYGGFCLSGTRTILDVLKEVDADILALQDVKAEEEKDMSPLSDLARALGMNYVFAESWAPEYGNAILSKWPIKKWRIQKIYDDKDFRNVLKATVDVPRMGELNFCCTQLDHLDENWRMKQINAIIQSNDSPHILAGGVNSLQASDYSLERWNDIVKYYEEIGKPTPRVEVMNFLKQKEYNDAKEFAGECESVVIIAKGQNVQGTCKYGTRVDYILGSQGLPYAFVPGSYSVVSSKGTSDHHIVKVDIMKAASRGRKNSRKQKKVKQKVEKMTSSCSSRGIWQVST >Solyc09g057935.1.1 pep chromosome:SL3.0:9:52667453:52670623:1 gene:Solyc09g057935.1 transcript:Solyc09g057935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKHVHFNRKETQNRRLYFFYAETSKHPTPAGYSDKGNRTNDKTLNFVPPMLKEGIVIVKFRWKRIFCYKSTKKEKVMRNGPYFYGNMPIISWNSDVDFELDADLISRIPIWVKFPRLSIGYSFVIALILASNAIGIPLVQMDLILKLREYLMREGITTTLETDPERRSGNQKVLANICSENIVAPIEIYPSQWSGMKQVEESVDSIGESIEKWKEEWWKLQAIPSHDNVLLLNFHSKNALLKHRRNRIQGLPLFWLPLSESPIGVAWPRRVTGNTLNTQCTMKSPMNYC >Solyc07g025383.1.1 pep chromosome:SL3.0:7:27799313:27801835:-1 gene:Solyc07g025383.1 transcript:Solyc07g025383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKRSGLPIILCFEGRTSGFIDSASELELKLRIDSFNLGLLVNELLRTLKRSVIFSFPILIERNHTGGEMLQVSSQATGDDVL >Solyc07g018146.1.1 pep chromosome:SL3.0:7:9587247:9587693:1 gene:Solyc07g018146.1 transcript:Solyc07g018146.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICINYGHLNKVIVKKKYHIPHFNNMIDKRVHLYFLKMICGMIKESNIPSLAKTLAVFMELIEGVFRPYNDTFVIIFIDDILSKTKEDQDRHLREALRHVLKVHVVSKEDSQ >Solyc12g094690.1.1.1 pep chromosome:SL3.0:12:65822138:65822698:1 gene:Solyc12g094690.1 transcript:Solyc12g094690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSGSINSPASLSPPENQPLEINIVDSDFVVILAALLCGLICVIGLVAVARCAWIRRITGGNSDDSPFPPANKGLKKKVLKSLPKFSYTPEQSVQFSECAICLMEFVIGDEIRVLPQCGHGFHVGCIDTWLGSHSSCPSCRQIPVVSRCSMCGELPVMNSSAAVGGEIGNRSAPNNNYHVNAFLP >Solyc07g055820.2.1 pep chromosome:SL3.0:7:63876207:63878122:-1 gene:Solyc07g055820.2 transcript:Solyc07g055820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHLRYLDLSSNNFGGNQIPKFFGSFRILRYLNLSNSGFDGPVPPHIGNLSRLHFLDLSSYSTNGPFANNLKWFIRLQSLKFLNLGSVDLSKVKNHWLQSVDMIPSLLELHLPQCMLSNLPLSFEFFNLSSLLENLLYLDLISNVLQGTIPIELANTTSLQILDLSKDSFLEGQLREQLGNLCNLRELDLSLNNFTGFDPCMEPNEWTNSSHFRETFKVGCQTFLKIIGGGVITEAHFTNLTSLKELYISSNSLPPSLPLVANVDTEWIPPFSLQYLKFRSCRFGPKFPMWIRHLTELQTLTFRGTVPTTLKCKSGSTVYFMSNLFEGPIPPWSSNVVWISLSNNSFSGPVPFDIGYRYPDLTDLDLSQNSLNGTIPLSISKITNLVTLVLSNNHLTGEIPDFWDEVPILYTLDLSNNSFHS >Solyc08g015631.1.1 pep chromosome:SL3.0:8:4952447:4953975:1 gene:Solyc08g015631.1 transcript:Solyc08g015631.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTPFVKLFGEQPDYNNLKVFGCRCFSYNKGSNPSHVLELVLQLGKKFSMNDLGPLHFFLGIGVNYFEGGIHLNQSKYGAEMLAKTEMTLAKAVATPLARKHGLHEVVGSFVYASF >Solyc07g042275.1.1 pep chromosome:SL3.0:7:55523074:55525711:-1 gene:Solyc07g042275.1 transcript:Solyc07g042275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNLNKRKKQKTDNSSTGNSEVFASCTFESLGLHTTLCDQLKERLGFEAPTLVQAQAIPVILSGRHVLVNAATGTGKTVAYLAPVIHQLQKCDPRIQRSDGTFALVLVPTHELCMQVYEILQKLLHRFHWIVPGYIMGGESRNKEKARLRKGILLAN >Solyc01g103060.3.1 pep chromosome:SL3.0:1:91620436:91621258:1 gene:Solyc01g103060.3 transcript:Solyc01g103060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKYLTMIVLFFGVMLQEGMSQTRDSSSCMSKLLPCMNYLNEAKGDPPQSCCNPLKEVIRKMPECLCQMVSIKGSKAAEQAGIDVNEAQMLPAKCGQPVNYMGCLKGASNSAGKSMGITITMKVFLVVVSMILFQFL >Solyc05g045927.1.1 pep chromosome:SL3.0:5:58476510:58478941:-1 gene:Solyc05g045927.1 transcript:Solyc05g045927.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDDNWDIGVNNVVAPKLGLNTSLVWNYFDRPLSPRMNSFTGLSKIVSLDFPIARQERLYDQVIITNQNNNQQLYLHSITPVQFIEQITVPQSRTTVEFVPPTTTQAEWIDLQKQLDIGAKILPNFSFSMKTPLTQSRTSKNHSIMLTYESLQEELRNDKWAWRKYGQKYIKGSPFPRNYYKCSTSKHCEAKKQIEKSSKDENIFFVSCSGEHNHYPPMSRTYLASCNNNSKLKLPKGINI >Solyc06g060423.1.1.1 pep chromosome:SL3.0:6:38555225:38555293:-1 gene:Solyc06g060423.1 transcript:Solyc06g060423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TLSMHPDGHHGPSNPFGRSNEP >Solyc08g068180.3.1 pep chromosome:SL3.0:8:57360003:57363278:1 gene:Solyc08g068180.3 transcript:Solyc08g068180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L37 [Source:UniProtKB/TrEMBL;Acc:K4CM42] MGKGTGSFGKRRNKTHTLCVRCGRRSFHIQKSRCSACAYPAARLRKYNWSVKALRRKTTGTGRMRYLRNVPRRFKTNFREGTEAAPRKKGTAAAS >Solyc01g066820.3.1 pep chromosome:SL3.0:1:74811326:74819817:1 gene:Solyc01g066820.3 transcript:Solyc01g066820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHTQQSNPAATAVYDHPGNAGPTGDGGDAFMARWLQSAGLQHLASPLASAGVDQRLLPNLLLQLQRCKGFIWHGVILCSTLVALVLLAGLYLLLTTNVISEDKIVDYLPTFLDTVGYGAQSMEEKQRLLKLMRNLNFNGESVSEPYTPTTQSPGGVRGSEGYYSPEFRGDFGAGLLDLHSMDDTELLSEHVNSEPFEPSHFMPAVNYSFDGDFDAPTSQQQKPSPDTDTAAEFPPVDKENNARENNVAKIKVVVRKRPVNKKEIARKEDDIVTVSDNASLIVHEPKLKVDLTAYVEKHEFCFDAVLDEHVTNDEVYRVTVQPIIPIIFQRTKATCFAYGQTGSGKTYTMQPLPLRAAEDLMRLLHQPVYRSQKFKLWLSFFEIYGGKLFDLLSDRKKLCMREDGRQQVCIVGLQEFEVSDLQIVKEYIVRGNAARSTGSTGANEESSRSHAILQLVVKKHNEVKDYRQNNDGNDYKGGKVIGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNTKKDQNAGIILPMMKELSSAPTLVASTEAEDDSEQPQESKVSEVSRRMGRESTSYNPSSERNQTSSFASTHTFTGWEESGTNSAGLDRNKFEVKNSYRVPSGQKMYPTPNMQSSADTEDKVQKESPPRRKVSRDEKPEKTERPGSGSRIDVSSTDSLSTSYKQQSTNSSNIRSIGTRQNELNSPPRDDNINEILEDGQYLFLYLENCHELPIGEEEALIAAHRKEIEDTMDIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAATLVSLQARLARFQQRLKEQEILCRKRGTC >Solyc04g049877.1.1 pep chromosome:SL3.0:4:44623238:44623598:1 gene:Solyc04g049877.1 transcript:Solyc04g049877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPLHFFLGFEVNRRESSNLTLTRPDITHAVNLASHFMQNPNIEHL >Solyc06g011665.1.1.1 pep chromosome:SL3.0:6:11066261:11068329:-1 gene:Solyc06g011665.1 transcript:Solyc06g011665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RGMTSPP >Solyc01g014963.1.1 pep chromosome:SL3.0:1:16227893:16238869:-1 gene:Solyc01g014963.1 transcript:Solyc01g014963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVFCRNTCRIQKLKQELSKSFAMKDLGPARQILDMQIVRDRKDKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSMKQCPSSDDEKEDMKKVLYALAVCSLMYVMVCTRLDISHAVGVVSRFVSNPGREHWNAVKWVMRYLCGTSSLSLCFSTGKPILCGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSWQSRLQKCVALSTTKTELIAIIEAYDLLITGSNLKLIQQVRKDIPHRLGIAFVVQVLSQYMHSPKNTRMEAALRVVRYIKGTTGLGLFMPSNNMKSGTGYIVKLGSALVSWKAKKQNTVSRSSAEAAFRSMATTVAEIIWLK >Solyc11g017330.2.1 pep chromosome:SL3.0:11:8195853:8197823:-1 gene:Solyc11g017330.2 transcript:Solyc11g017330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHEPLNDQYTYSSVLKACADSKGILVGKAVHCHILRSGIHTSRIVGNSLLNMYSATCLTLDNGSDCGYVKRKRFSEAVRCFVMMMRLGIKGDVRVADLVQNGLDKEALMLAYEMQNLGVAIDDITIASCFLDMYAKCNMIREAQAIFQSNFTNDKDQATWNAMITGNTQNGLIKQSFVVFKEMLEQNLKPNAVTLASILHAANQELFENSGLLGMGRKALTLFYSLWQNGLEPDAITSVAVLFELMGEEYGIQPSAEHYEAHNFSELLSVVDNVLGKWGSLLAACRVHVNFELGKIVSSKLLELEGSDGISGHHVLLSNIYAEEGNWQCVDNVRRRMRVMGLSKEVGCSWIDTSGYPLCFVSKDKKHPQYLN >Solyc02g080755.1.1 pep chromosome:SL3.0:2:45451006:45457165:1 gene:Solyc02g080755.1 transcript:Solyc02g080755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGNSSSDKSSEFVIREYVKPRMPRLRGSDDLHRHFVHYVHHLGGVDQVANGRKRNGIDGPNSMNFPQGNLVYRYNHINGKAVVFDGSKQKNFPQGNLVHCYNHNNDKAVMSDGSNQMNFRRKTLFIVTIITMTNPCLMVILIQLRQLTIWTKLLPTLLFFLIHGSSDFSSLPCSY >Solyc02g081120.3.1 pep chromosome:SL3.0:2:45744066:45748419:1 gene:Solyc02g081120.3 transcript:Solyc02g081120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGSSGNTSTSCLMMMGYGDHENNNNNNGNGNGNGNGNVTICAPPMMMMMPPPPPSLTNNNNAETSSNNILFLPFMDNNNNNPQEDNNSSSSSIKSKIMAHPHYHRLLTAYLNCQKIGAPPEVVARLEEICATSATMGRSSSSSGGGIIGEDPALDQFMEAYCEMLTKYEQELSKPFKEAMVFLSRIECQFKALTLAPNSSHESGVALGEAMDRNGSSDEEVDVNNSFIDPQAEDRELKGQLLRKYSGYLGSLKQEFMKKRKKGKLPKEARQQLVDWWLRHIKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAAHPHYYMDNVLANHFPMDMTPSLL >Solyc06g069300.1.1.1 pep chromosome:SL3.0:6:43178945:43179817:-1 gene:Solyc06g069300.1 transcript:Solyc06g069300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSQDLSSKKQFNWTTKVSNEEEQHEVVEEDASLKIASNTNNTKDGKTDSIKISPLKLPQGSITKSPSLNTIHEEKTDDAKLIQESETSASASASTRRKAVVAKLKSVLTSLGKNRGNLQPGLGTKVVGTLFGHKNGHVHFAFQKDANSQPAFLVELATPISGLVHEMSSGLVRIALECDKTDEKKSTRLIDEPLWRTYCNGKKCGFATTRECGPKELQILKAVEPISMGVGVLPRNEDESDECDSGDVMYMRAKFERVVGSRDSEAFYMMNPDCDGAAELSIYLLRV >Solyc03g026120.3.1 pep chromosome:SL3.0:3:3555861:3558951:-1 gene:Solyc03g026120.3 transcript:Solyc03g026120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNQTPTPYYTPTSKPSPPNYPFSSWKKLNLYYSLAAITFLCCACYYAGQLQHTTTNVGLHLSNTASTCFSSQNTTSPVSSSSPVSSSSQLDFTTHHSAGDGAGGAVVPDDTVKIYPPCDVKYSEYTPCEDPTRSLKYNRDRLIYRERHCPEKSEFLKCRIPAPYGYKNPFKWPMSRDLAWYANVPHKELTVEKAVQNWIRFEGDRFRFPGGGTMFPNGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILAISFAPRDTHEAQVQFALERGVPALIGVIASKRLPYPSRAFDMAHCSRCLIPWGEYDGTYLIEVDRVLRPGGYWILSGPPIHWRKYWKGWERTKQDLNAEQTKIEKVARSLCWKKFVEKDDIAIWQKPYNHLQCKELRKASKNPPMCPAQDPDKAWYTDIETCLTSLPEVSSEEKVAGGQLEKWPKRLHAIPPRISRGTVNGVTSDTFNEDSQLWKRRVSYYKTVNNQLGQPGRYRNLLDMNAYLGGFAANLVDDPVWVMNIVPPEAKVNTLGIIYERGLIGTYQSWCEAMSTYPRTYDLIHADSVFTLYKDRCEMEDILLEMDRILRPEGSVIIRDDVDTLIKVKRITDGLNWDSQIVDHEDGPLEREKLLFAVKSYWTAPSIQES >Solyc06g005060.3.1 pep chromosome:SL3.0:6:36114:38476:1 gene:Solyc06g005060.3 transcript:Solyc06g005060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/Swiss-Prot;Acc:P17786] MGKEKIHISIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQINEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGYVASNSKDDPAKGAASFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFAEYPPLGRFAVRDMRQTVAVGVVKNVDKKDPTGAKVTKAAQKKGK >Solyc10g039195.1.1 pep chromosome:SL3.0:10:20772561:20775690:1 gene:Solyc10g039195.1 transcript:Solyc10g039195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHMTLMQFEWQKSLIIFQNVNLESFSNIGILKNSRKCVKQMQTIEKKLMNPHTADKKSFALVRNKLEKDKETISSKDLFVVTRTRKLGHLYKSSNEDTTKSNLSATTDGMQQMQERIQKMEIQMEEQKKIVRIRTEKYAIDLGSWGKVMKSKKTMKAVVKT >Solyc01g088060.3.1 pep chromosome:SL3.0:1:82779327:82786231:-1 gene:Solyc01g088060.3 transcript:Solyc01g088060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVIQRRVQELILGKKFLLVLDDVWDDDHEKYERLKNLVHSGLDGSKLLVTTRNEKVALLMGTTNPYRLEGLSDGDCWSLFQELAYKNRQKELLALEEVGKEIAKKCRGVPLAAKALGSLMCLKNQKSEWSFIRDCAMWDLMEHEDGAGILSALRVSYEYLPTHLKQCFAYCSIFPKGYRINKNTLIHLWMAEGFVPSSESIPPEEVGNGYFNELLWRSFFQNVRRDFDGNIVECDMHDLVHDLAKSVGGVDCFTAELGEEVIIPVATRHLSMFGNEVVPKNPSMLKSAQKLRSIFLLDGQRHITKLSESFFLSFRSIRALDCSSTRIKKLSNSIGTLLHLRYLNLSNTLLRTLPKSICCLLNLEALMLKHCNHLIELPAEIRKLVNLRHLDIYGCTSLTMLPGGIGQMRSLQTLPVYIVSDAAASDISELQRLDLHGELMIKNLENLSNEICAKNANLKGKRHIRILNLIWGQVEEMETRENVERVVEGLQPNSDLRKLHLEGYIGANFPSWLMTTYLVSIVELSLLKCHRCVELPQLEKLPFLEVLTVDGMDSAMYFCGSSGVKDSATHFASLKQLTLRNMPSLRGWSVNEDHGVLPRLKKLTCEACPHLNNLPYLPSLNSLELSDCSNELLAETAANITSLTHLMISGFLELILLPEGLLKNNKSLLSVEIRECPEIQSLSSELKVLPCIKSLSVSNCKNLSSVLDSCGLGTLKSLSIHGCHNISLEKGLQSLQFLQYASLSDCGNLTTLPMPMKHLTSLQTLHIWSCSEMYMLPEWLGDLSSLRELELWYCGKLSSLPESVKKLTKLQFLSIWGCPNLGSRCRKDVGEDWHKIKHVPFIKINGPYIQAMTGYP >Solyc05g040040.1.1.1 pep chromosome:SL3.0:5:49803117:49803575:1 gene:Solyc05g040040.1 transcript:Solyc05g040040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLENGTNFSINDNVDVLIVILQRLDGGSLGVAACVCKLWCSITRNDSLWEHLCFRHVSPPPEGVRRVVLALGGYRRLYMVCVKPVLDRLRKWKKGTGFDESEVMRRVWMQHEVELSLSLFCVDYYERVFLGGGGGAASSSLMFLCNAVNI >Solyc12g044230.2.1 pep chromosome:SL3.0:12:60069870:60074698:1 gene:Solyc12g044230.2 transcript:Solyc12g044230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGKTLTGYVVGVIVLAFALFVCAEEIVANGGTNDDFESSYFFKIFKILLHKAKLHYQHFWPELEFGWRVIVGSVIGFFAAAFGSVGGVGGGGIFVPMLTLIIGFDPKTSTAISKCMITGAAGATVYYNLKLRHPILDLPIIDYDLALLLQPMLLLGISIGVVLNILFAEWMVTVLLIILFIVTSTRSFFKGVETWKKETIIKKEVSRRLTSNNAGAEVAAYKLLPGGPRVRNEGYKTPEVSIVDNVYWKDFTVLIAVWIILLVLHIFKTYTSTCSTTYWILNVLQVPVAVGASAYEAVFLYKGTRVVMSSGEAVITWKVHQLILYCCCGILAGIVGGLLGLGGGFILGPLFLELGIPPQVSSATATFVMIFSSSMSVIQYYLIGRFPVPYALYFVAVATVAALVGQHVVRKIISIVGRASVIIFILAFTIFVSALSLGGVGIADTIRKIKEGQYMGFDNICAYNP >Solyc04g009430.3.1 pep chromosome:SL3.0:4:2843589:2851613:1 gene:Solyc04g009430.3 transcript:Solyc04g009430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSMSLRLCCRPSISGFKNKRDLLGLELAAYQSSKTMGKWLSGVKKFIPFEGYVKSVKTAARISFPKISADCQDKEPSNFLSHKKMVPYSNPPSTEDVDSLYEFFDRSTKLVVLTGAGMSTESGIPDYRSPNGAYSTGFKPITHQEFLRSIKARRRYWARSYAGWRRFTAAQPSTGHIALSSLEKAGHISFMITQNVDRLHHRAGSNPLELHGTVYIVACTNCGFSLPRDLFQDQVKAHNPKWAEAIENLDYDSRSDKSFGMKQRPDGDIEIDEKFWEEDFYIPECQSCQGVLKPDVVFFGDNVPKSRADAAMEAAKGCDAFLVLGSSLMTMSAFRLIKAAREAGAATAIINIGATRADDIVPLKISARVGEILPRLLNVGSLSIPAP >Solyc07g008610.2.1.1 pep chromosome:SL3.0:7:3566074:3568263:1 gene:Solyc07g008610.2 transcript:Solyc07g008610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTDEAGVSAKAKGSSKDSKEGKKEKLSVSAMLASMDQKPEKPNKGSSATGASKAKPKAAPKASAYTDGIDLPPSDDEEEEYLPGPEEVEEQIDGNRRHKRNEAGPIDTSISYKELKKREKKDMLAVQAAEVAKKEALRDDHDAFTVVIGSRASVLEGQDDADANVKDITIENFSVAARGKDLLKNTSVKISHGKRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEIVGDDRTALEAVVSANEELIKLREEAASLQNAAATVGENEDDADGDNIVEKLSELYERLQLMGSDAAEAQASKILAGLGFTKEMQGRATRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCGEIIHLHDMKLHFYRGNFDDFESGYEQRRKEMNKKFEIYDKQLKAAKRSGSRAQQEKVKDRAKFVASKESKKKGKDRVDEDETPPEAPQKWRDYNVEFHFPEPTELTPPLLQLIEVSFSYPNRPDFRLSDVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEARRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGPSKQEAVRAKLGKFGLPSHNHLTPILKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCDDEERSEIWIVENGTVEKFPDTFDEYKAELVREIREEVDD >Solyc06g071220.1.1.1 pep chromosome:SL3.0:6:43953036:43953443:1 gene:Solyc06g071220.1 transcript:Solyc06g071220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKSRSNDSLCEKSMKMVTNVIRLSSFSIARMSLGIATEPSPGGKIPATFIKGSPTAQFPESLRSQKPVNVKKPVAYLIEPTENGKSSLYAVNDKCVDGRASDYIRRVHEKNRHDSNEAMSFSAFIIPPPPRFV >Solyc10g062070.1.1.1 pep chromosome:SL3.0:10:23962758:23963033:1 gene:Solyc10g062070.1 transcript:Solyc10g062070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVPVIVIHFPEPRGLSLETSTNYHHFLIVFQLLTTVLFTPPDIWCQIVAPFLIFLIIKLGIFVAWIVQVREERWTSGMRESNSIDKLEE >Solyc02g067180.3.1 pep chromosome:SL3.0:2:37931290:37936940:-1 gene:Solyc02g067180.3 transcript:Solyc02g067180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cystathionine gamma synthase [Source:UniProtKB/TrEMBL;Acc:Q6R8F6] MAVSSYARAFPSFECRSEPDFSGSLPHPKAGVRFSGKYNSGSNRSQVYGLSSLIYRFPPNFVRQLSIKARRNCSNIGVAQVVAASWSNNQAGPEFTPAANAVDSSASAAVTSIGITTGDEEVAVVENADCSDQNVQIKGSTGVKYASFLNSDGSVAIHAGERLGRGIVTDAITTPVVNTSAYFFNKTSDLIDFKEKRRASFEYGRYGNPTTVVLEEKISALEGAESTLIVASGMCASTVMFLALVPAGGHIVTTTDCYRKTRVFIETILPKMGITATVIDPADMGALELALNQKKVDLFFTESPTNPFLRCVDIELVSKLCREKGALVCIDGTFATPLNQKALALGADLVVHSATKFLGGHNDVLAGCISGPEKLVSVIRNLHHILGGALNPNAAYLIIRGMKTLHLRVQQQNSTALRMAEILEAHPKVKHVYYPGLPSHPEYHLAKKQMTGFGGVVSFEVDGDLLTTAKFVDALRIPYIAPSFGGCESIVDQPAIMSYWDLSQSDRAKYGILDNLVRFSFGVEDFEDVKADVLQALDSI >Solyc06g035530.3.1 pep chromosome:SL3.0:6:24572817:24576083:-1 gene:Solyc06g035530.3 transcript:Solyc06g035530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAIDCMVMPMIQDEKKSLIFDSSVLKNESNIPRQFIWPDHEKPSGFVGELDVPLIDLGAFLSGDPIAAKRESSLVDEACKKHGFFLVANHGVDTNLISLAHRYMDMFFELPLSDKQKIQRKRGDHCGYASSFTERFSSKLPWKETLSFPYSTLQGSSHMLDQYFLKTMGEDFSHIGKFYQEYCNAMSTLSSGIMELLGESLGVSKNHFKQFFEENESIMRLNYYPTCLKPDLALGTGPHCDPTSLTILHQDNVSGLQVFMDNQWRSISPNNSAFVVNIGDTFMALSNGRYKSCLHRAVVNNKTPRKSLAFFLCPKKDKVVRPPAELVDSNNPRIYPDFTWPTLFEFTQKHYRADTNTLRFFSTWLQQKTTEI >Solyc01g094840.3.1 pep chromosome:SL3.0:1:86124623:86129321:-1 gene:Solyc01g094840.3 transcript:Solyc01g094840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCCCSSRKPPQLHGTQVFYYFPPASEEYESLTSDDSAAIAFTSELLDDLNVDRSTPDTYRSPPAPIPFEVDLGHPQSRGGSQFIDETLLQRSYEITNCKDIKFSDRKAETESLLASLKKAGIDLVKSNPSIIKSAEEEDVCPTCLEEYDVDNPRIVSKCNHHFHLSCILEWMERSQTCPICDQEMVYEAL >Solyc08g048525.1.1 pep chromosome:SL3.0:8:12418556:12421379:-1 gene:Solyc08g048525.1 transcript:Solyc08g048525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCLLYTELYLLSAVKLMLHGFQYLVADSSLLLASSVRSGVADMTFGSCAHSGDDVLLEHLLPISLVGINSNLLLKLNWFWFLLTFWSLGLAIRRSVREELWLEIVESAACPLILGVCPMSCGLFIP >Solyc01g102700.3.1 pep chromosome:SL3.0:1:91309948:91318509:1 gene:Solyc01g102700.3 transcript:Solyc01g102700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSQAMIPRILLCFLFVLIHVLSIAARTNPDDSAALQSLKDSWQNVPPNWVGADPCGSSWDGIGCRNSRVVSITLSSMSLEGQLSGDIQGLAELETLDLSYNKELKGSLPQSIGKLTKLSNLILVGCGFSGPIPDTIGSLTRLVFLSLNSNNFIGGIPATVGYLTELYWLDLADNKLTGTIPVSNGSSPGLDLLVHTKHFHFGKNQLSGEIPAGLFHSNLSLIHLLVENNKFTGNIPDTLGLVQTMEVLRLDRNSLSGSVPQNLNNLTHVNELHMSNNNFNGLLPNLTGMNVLNYLDMSNNSFNASDFPSWIPNLISLTSLVMENTGLQGTVPASLFSLYQLQTVILRNNKLNGSLTIDTTYSNQLQLIDVQRNLIESFTQRPGYPFQIMLAGNPFCNEGGDGTQDYCVKTQQTETYSTPPENCLPTDCSSNRVSSPTCKCAFPYTGNIVFRAPSFSNLGNRTTYETLQKSLMQTFQNRQLPVESVSLSNPTKNLDDYLVIHLQVFPSTQDFFNRTGVSGIGFVLSNQTFKPPSSFGPFFFIGEGYKYFDGASSESKNSSSTGIIIGAAVGGSVIAIIALIIGVYAFRQKKRAEDAAKRSDPFASWDSNKHSGAVPQLTGARFFSFEELKKWTNNFSETNDIGCGGYGKVYRGTLPNGELVAIKRALQGSMQGAHEFKTEIELLSRVHHKNVVGLAGFCFDQAEQMLVYEYIPNGTLKDGLSGKTGIRLDWMRRLRIAVGAARGLQYLHDLVNPPIIHRDIKSNNILLDDRLNARVADFGLSKLLGDSDRGHITTQVKGTMGYMDPEYYMTNQLTEKSDVYSFGVVLLEIVTGKVPIEKGRYIVREVKTAMDRSKDMYNLQDILDPAVRAGATPRSLEKFVDLALKCVEEEGANRPSMSEVVKEIENIMEMAGLNPNADSASSSATYEGPNKGMNHPYTDESLFVYSGAYPNSKLMLLKSLTNCWYGRSDWNGAEPCGSSWMQNFTSEFNMYPFVNCLKLQKSCHLSQFQKIKSPPGLTTLNMSY >Solyc09g059705.1.1 pep chromosome:SL3.0:9:55382509:55398951:1 gene:Solyc09g059705.1 transcript:Solyc09g059705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGFKKTSLDHCAFVQKFSDGDFIIVVLFVDDMLVVGHNTCRIQKLKQELSKSFAMKELGTTRQILDMQIVRDRKAKKFVLSQEKYIQKVLRRFSMDKAKGVSASLAMHFKLSTKQCPSSDDEKEDMKKVHYASIVGSLMYVMVYIRPDITHAVGIVSHFLSNPEREHWNDVKWPIICGYTDSDMAGDIDTPKSTSGYLVTFAGRAVSWQSRLQKCVALSTTEAELIAVVEACKELIWMKRFLGELGCAQERDRDPPMLDETCDSRLQFM >Solyc12g009740.2.1 pep chromosome:SL3.0:12:2963848:2969030:1 gene:Solyc12g009740.2 transcript:Solyc12g009740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQWDGNTSIILDVMTCLGVALPVEVKNIAFAGTFRLIFRRLVDEFPCFGAVYYSLRQKKKLDYTLKVFGGDMTAIPGVSDAIEAYRCHGYLAGVAKPYFEVVATRIGWNHPDAVEDSIMWPVRKVIRILPGDHSLLPGSIHPNSILYQLHHLQTLNLAYNDVYPSSIPHNIGGLTNLRHLNLSDAWFQGKIPTEISYLSNLVSLDLSNSYALELDERTFETMLQNLTNLEAGEIPDSIGNFSSLKYLNLQQCQFSGSIPDSIGNLTLITELDLSYNHFTGRIIPSTISKFKHLTRLDLSSNSFSGEIPDVFSNLQKLRYLDFFNNSFIGPFPASILSLTRLEHLGLSSNSLSGPLPSNTSMLPKLIHLNLSYNSLNGTIPSWVFSLPLLYSVSLQHNRFRGLADEVIKTNPTLKELHLSNNQLSGSFPQSLANLTNLETLGISSNNITVDEGMNITFLSLSSLFLSSCQLKHFPYFLRNVNTLVYLDISNNKISGQIPNWFSGMRWDSLIFLNLSHNSLTGNLPQFRYDNLGYLDLKFNSLQGPLPSSICNMSKLMLLDLSHNYLSNSVPHCLGSMGLLTALDLKRNNLTCYGLEVLDVGNNAINDTFPAWLGILQELQVLILKSNKFHGPISTCHTEFCFTKLRIFDLSRNDFSGSLPAKVFGNFKAMIKLDGEDIGNIKYMTSLLNSPFVTSYENSVSLVIKGQDL >Solyc08g074780.3.1 pep chromosome:SL3.0:8:59037480:59050930:-1 gene:Solyc08g074780.3 transcript:Solyc08g074780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIKKQATKLREQVAKQQQAILRQLGHLGHESVMVDEAELEIHQRLQELYMSTRAAKHFQRDIVRGVEGYISTSKKQVEIARKLVDNCYKYGSEIQNGPSTLPKVAVEFGTTHAAMEDQREIMLGVLGSQVCEPLRASIHGAPLEDARHLTHSYDRMRQEFESQATEVIRRQSKFREASTESLAKLKNAETRLSELKSSVLVLGKEATDAMLSVEEEQQQITFQKLLTMVDAERSYHQNVVSILEKLHSEMLVEEQLSESPPQSSNSPRVLHDTTSNGTEHPETEDKSPTYFIAKVMHSFDAQADGELSLEVGDYVVVRQVAPNGWSEGECNGKSGWFPSAYAVMSDEVAASKMVETDTTP >Solyc10g008900.3.1 pep chromosome:SL3.0:10:2922004:2923651:1 gene:Solyc10g008900.3 transcript:Solyc10g008900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4CY66] MKNFLFMICLLIAIANLPSILGLNLSPKGVEKWFKNLSHAKEKMTKFHFYFHDIVSGKNPSAIQIAQANITSLSPTSFGLVRMMDNPMTIGPNINSEVIGRVQGMYGFTSFEDRELLMTFNFVFTQGKYNGSTLSILGHNRVSREYRELPVVGGSGVFRLARGTVTARTYWYNATTRDLIVECHVTILHY >Solyc02g014140.1.1.1 pep chromosome:SL3.0:2:15648946:15650343:1 gene:Solyc02g014140.1 transcript:Solyc02g014140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSIRKEEDEEYTLGKKLVVTTLKDIQLHLKVIILITTSFMVWFLFLLVFSPKRVSNSTRLFLSTPPIECKDNFSVYIYNLPSKYNFDLLRDCTSLNIYTDMCPHVTNNGLGSPLPQMGSSWFTTHQLIAELIFHARIQNHPCRTNDAHKSTLFYVPFYGGLHASSKFRELNYTTRDALAVQLVEYIHKQKWWKRNSGRDHFMAFGRTAWDFMRSDEVPDFGANKLLNMSPVKNMSVLLVERHPWEGHNQYGIPYPSYFHPSTSSEMVEWQNNMSYMDRIHLFSFVGAPRTGKKKVVTRDRVIKQCEESSNCLILKCGHGPSKCHNPIEVVKVMMRSNFCLQVLGDSYTRRSTFDSMLAGCIPVFFSNHTAYSQYQWFLPSDPTTYSVYIDLERNHNIKIEEELLKIPMDEVERKRRTVIELIPMFTYAHPNSTSYGFKDVVDVSLKSLSNHVSSLLRPTLLDLS >Solyc04g015280.3.1 pep chromosome:SL3.0:4:5485059:5489224:1 gene:Solyc04g015280.3 transcript:Solyc04g015280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFAGESSSYSGRLKRVAKKILLQTCGSFRCGYQNPPDDEPHNNNNNNNNPSEFPYPVNNLELLNHTSVIKSSRLDSTTNTPSNKNFCPICLDSLSYSCDSSPGQAIFTAQCSHAFHFACISSNIRHGNVTCPVCRAHWTQLPRTLKMHYSPHSNRADPILQILDESIATSRVHRRSFLRSARYDDDDPVEPDRTSNIHRLHLSLSPVPHSTSVFDPCSNPKSSFSSCHYPQHCLESSQSAAQHFVETGQSPLVCSSSSSAYLCLKLAHQPATDLVLVASPNGPHLRLMKQAMAFVVFSLRPIDRLAIVTYSSAAARIFPLKCMTSYGKRTALQVIDRLFYMGQADPVEGLKKGVKILRERSHQNTHSFILHLSDNPTRSFHGFHLELPITIHKFHVGFGFGTSNGFVMHEFERFLAKILCGAVRDIALMIGEDTRIVRLGELRGGEERRIPLLLEDMDKVRVVYTYIDCMMDDSVKTGEVVVGVGDRKELTDTIDIVENTGGRSSSVEGWEYHDPFMARRWAKRLHGYRI >Solyc06g054510.3.1 pep chromosome:SL3.0:6:37374873:37379460:-1 gene:Solyc06g054510.3 transcript:Solyc06g054510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIGLVVQTLLFDTIYASIIQLNKTYKKEITKNNPLLSHYLGTLIVLSATSQFALFQIYSSFSSFPLHPSMASITGSSATAMSCSFKAPNRVSNLKTSSLSFKGISYSSISVNPATRRLSITCAAKPETVNKVCDIVKKQLALSADTDVCGDSKFAALGADSLDTVEIVMGLEEEFGISVEEDSAQSIATVQDAADLIEDLISKKA >Solyc11g042710.2.1 pep chromosome:SL3.0:11:35020329:35023399:-1 gene:Solyc11g042710.2 transcript:Solyc11g042710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRIDLVPAVDISSGDACKYAVVTGSNKGIGFEVVKQLTNSDVTVLLTARDEKRGAEATSLLNERRFSNVVFHQLNVQDAQSIESLAKFVNSAGVSGVVPDEDVLRAMNMDLVNWLMPNEEMRKELGDIENLTEEKIDGILQNFFA >Solyc10g081720.2.1 pep chromosome:SL3.0:10:62866745:62870678:1 gene:Solyc10g081720.2 transcript:Solyc10g081720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQIYGFTNLFLFTITLFFTITSAAFQENPKSPISTVPQINSNSILVALLDSHYTELSELVEKALLLQTLEEAVSKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQNLLLFHMIPTRIVSKNWPVRGRVHSTLYAGEENVLQISDKKTEKSVSSAKIIKQDDIVKPDGIIHGIERVLIPKSVQQDFNNRRSLRSISAVLPEGAPEVDPRTHRLKKPVSVPAGAPPVLPVYDALAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLHYGGYNELADILVNLTSLATEMGKLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIMYYHLIPEYQTEESMYNSVRRFGKINYDTLRLPHKVVAEEADGSVKFGQGEGSAYLVDPDIYTDGRISVQGVDGVLFPLEEIKAAPVAKVVAKPRRGKLMEVVCGTMGSFAFASCH >Solyc10g079250.2.1 pep chromosome:SL3.0:10:60948580:60954739:-1 gene:Solyc10g079250.2 transcript:Solyc10g079250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative recA protein [Source:UniProtKB/TrEMBL;Acc:B1N670] MVLQFLRFISSSSRCLSVFPLSSFKPENGMVWRRMLSAYASSAEGELDEIQDDTKTSEKASALHSALSQLEGDFCKESRLSLQRFFGARRTPVIPTGSLRLDLALGLGGLPKGRIVEIYGQEASGKTTLALHVIREAQKLGGYCAYLDVENGMNPSLAEAIGVNVENLLISQPDSAENLLSIVNTLTKSGSMDVIVVDSVAALVPQLEIDATLCDSPKGLQSKIMTQALRKIHYSLGNSSTLIIFINQVRRSNKGLVRGSGCMDEVTCGGNALPFYAAIRLRTIRKQLLKTRHKITGLRICVKVVKNKLAPAATEAELSIQYGGGFCIEPEVLELACEHEVVLKEGGSYFIDGQVLNSRQDAEDYLASNGDILAKIVETLRDQLFMNNNGEKKTD >Solyc07g049580.3.1 pep chromosome:SL3.0:7:60046427:60051114:1 gene:Solyc07g049580.3 transcript:Solyc07g049580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTQNRKDLAFEYQSQIQILRPSIHSRRANITVKFQDLYGFTVEGNVDDVNVLNEVREKVREQGKVWWALEASKGVNWYLQTQVTSTLKTSLKLSTLVNAITLKKLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYQDMTMAVEDKVTPATKQIDHDLPRTFPGHPWLDTSEGHAALRRVLVAYSFRDSDVGYCQGLNYVAALLLLVLKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFKDLLTKKCPRLAAHLDSLEFDVSLVCTEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMNEEKLLVAHHVGDVISIIQRSTHHLFDPDELLTVAFDKVGSMTTTTISKQRKKQEPAVMAELDQRSRRLNSVFPDEKL >Solyc07g052670.3.1 pep chromosome:SL3.0:7:61243579:61248295:-1 gene:Solyc07g052670.3 transcript:Solyc07g052670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDSSGNPNWLFDYELMTDITSAASVTVAEFQSPATIDFSWPAQTIYASSNLITETDYTFADSEVSKEASSRKRLKSECCSSPRSKACREKLRRDRLNERFLALSSVLDPGRPPKTEKVAILSDAQRMLIELRTETQKLKESNEELQEKIKELKAEKNELRDEKQRLKEEKDNLEQQVKSLASKAGFLSHPSAMGAAFTAQGQVAAGNKLMPFIGYPSVAMWQFMQPAVVDTSQDHVLRPPVA >Solyc01g020190.2.1.1 pep chromosome:SL3.0:1:27777524:27778065:1 gene:Solyc01g020190.2 transcript:Solyc01g020190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIATAVPASNDVGGGGTSPAERSSADAINSSSSPSASSSSGLSTDQMTRKDLNSLACRFDDDEEEEDVCRICRNPGEADNPLRYPCACSGSIKYVHQDCLLQWLNHSNARQCEQMYEMFASPLT >Solyc11g040285.1.1 pep chromosome:SL3.0:11:38239245:38242490:1 gene:Solyc11g040285.1 transcript:Solyc11g040285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSILPRISPRFTGRRSTRMSQSLPGKSYTFGWSRFGILTSPVHFGISYVVILNVDGMMNPTHETFKTRMATVPDPFLKVWWSFMNNDDKIIIQKHIGYLLSMLEMNETKSKYRSPKSYNMGFRQKRKTVIG >Solyc07g053630.3.1 pep chromosome:SL3.0:7:62189138:62193618:-1 gene:Solyc07g053630.3 transcript:Solyc07g053630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLYIKYKYLKKTNHFGYITLYSTIKKYSNHFPSLEFTKLIIILSSLSLFLCSNLGHARLNLQGKIQKFKNSIYVFIIMLVVSPFSNTTARDERGNEMESFAIGGGGGGGDDFPDFMGENLLDSIDFDDLFVGINDGDVLPDLEMDTEILAEFSVSSGDESDVNNYSSSNNNNTFITTAIKNVERKEEIEKTGSVSASDVGSGLTTSLNQGEEIVSTQKSEESTQQRNQNIVTPKESDKGKKSSKNHNLPGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILEIMGIDCLTRHNIASHLQKYRSHRKHLLAREVEAASWSHRRQLYGGAPMVGGGGGKREMNPWPAPTIGFPPPPPLPPPVAPPMPHHFRPLQVWGHPSVDQSYMHMWPKHLAPSPSPQHPSPAWAPPPHHLHPPPPLDPSFWHPHHQRVQNSLTPGTPYFPAPTRYPVPGIPPVSSHGMYKVDQSNIGVRSTATLPAQPLPEPPCDFHPSKESIDAAIGDVLSKPWLPLPLGLKPPAVDSVLGELQRQGVPKIPPTCA >Solyc04g017770.1.1.1 pep chromosome:SL3.0:4:8086487:8086687:1 gene:Solyc04g017770.1 transcript:Solyc04g017770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGVSAKKKNLQLRSSKSVSKEFGLAPFASRLYCSFQDDIKDFAQNVLMLPRLLDFSKPLLPLLS >Solyc01g098000.3.1 pep chromosome:SL3.0:1:88513419:88516035:1 gene:Solyc01g098000.3 transcript:Solyc01g098000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAFQNVNSESGLKKLDDFLLTRSYISGYQASKDDITVYSYLAKPPSAEYVNALRWYKHIDALLRISGVSGVGAGVIVEGSAPITGAVATPPADDSKASAADDDDDDDDVDLFGEETEEEKKAAEERAAALKASGKKKESGKSSVLMDVKPWDDETDMKKLEEAVRSVTMEGLTFGASKLVPVGYGIKKLQIMLTIVDDLVSVDDLIENYLTVEPINEYVQSCDIVAFNKICKISAVLKF >Solyc11g062010.2.1 pep chromosome:SL3.0:11:49047918:49079106:1 gene:Solyc11g062010.2 transcript:Solyc11g062010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNNVELEAAKFLHKLIQESKDEPTKLATKLYVILQHMRSSGKESSMPYQVISSFVDFRNFSFIDSRAMETVVKQHGLDIEALMSSRLPVSAVAQAGEAASSQVAGSSQRPGVTRDSKANFLGNEMGSGPSGSGHGVYQASAPHISGTGVKVPVMASPASNSSQPLEAGISSPMQFGSPSIDNHGYAAKLHKDGSTEPYSGPTSADLVAGRTAVGRAIEHEGGSNMLGNAGKISQGGMPNNVPEKSILRSETIRDAGMLSVAAQAPVSTMPFKEHHLKQLRAQCLVFLAFRNGLMPKKLHLEIALGNFYPKEDRRELVDHKGREQLVTDQGSASEVTRTFGGAGETDRISSGPTPSGILTDTNSSMEAENANLMEDKNGQLDPSEHADERRPQRKMRMIQDAEMPIQDAIESQASALRGVPTDPKSFPPYNHENAPANTEQLGMFPQASSVMGTSKQMKPDLSSRSGTEASKVSPTASANTHGSGLLMRDNHTGQSQNLVDSNAQGNRHADSNLPSLPLRQQWKSVPGVINQSPTMMQVKDSNITLKNLSQVQETDQEDDNISASTDRLSSPRHTMLEKWILDQRKRKLISEQKWSKKQQKTEERIAASAEKLKESVSSSEDISAKTKSVIELKKLQLLELQRRLRSEILYDFFKPVATDMERLKSIKKHRIGRKSKQFERYEQRMKEERQKRFRERQKEFFSEIEVHRERLEDVFKMKRERWKGFNKYAKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVNKLLKETEKYLQKLGSKLKEAKSIARKFETDVGDNRNSGVVEEDEIDFGDEDETDQAKHYLESNEKYYLMAHSVKETITEQPSSLKGGKLRGGPFLVVVPSSVLPGWESEINFWAPDMLKIVYSGPPEERRKLFKERIVHQKFNVLLTTYEYLMNKHDRPKLSKVHWHYIIIDEGHRIKNASCKLNADLKHYRSNHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESGDSSPDEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPSKIERLVRCEASSYQKLLMKRVEDNLGAFGTSKARSVHNSVMELRNICNHPYLSQLHVEEVHELVPKHYLPTFVRICGKLEMLDRLLPKLKATDHRVLLFSTMTRLLDVMEDYLCWKQYKYLRLDGHTCGGDRGALIDKFNQPNSPFFIFLLSIRAGGVGVNLQAADTVDLQAQARAHRIGQKKDVLVLRLETVQTVEEQVRAAAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRESKKEEAAPVLDDDSLNDLIARSMNLQELLGDSPSSAELSSLLPTCSEPEIDIFESVDRRRREEEMEIWKKLCLESGTQSSELIPPLPSRLLTDDDLKPFYEAMKISDKPVVAPSPGLKRKGQSLGGLDIQHYGRGKRAREVRSYEEQWTEEEFEKMCLAESPQSPSLKEEIQEKNSPSVSGNCPDPVVANSELQTRAQYQPPLQHPVQELPQQHIGPIIQQSPVTVTPPSKRGRGRPRRTAIVAEISPSPVVISAIAASVKVDSNTIAENTSTSQAISGPVSVSFPCASSIESTSATILQNVTVVAPSHQSIAPSLAVVSQSGPPCPPTSGQGRGRGRGRGRGRGRKPQTGGEAPGRRGKQQNVTAEAFPAPPTQAVSEPVSAAQGVSVMSSTHHMPATPPAVGEPDVPQVVAGLGSKNLGHAPVSMRDASKELNSVVPLATSSSSKDLTPVSTVSVVPSSAASQDPSSISPPGVLQSSSRNHSDHLSLSAAQTEATLQVNPISVVPHSSPSAGKETSSVSPVPLHSLTSKDSDSVLPSLVPESSSARVELSSDFPLPAVAPSSSIGTSTSALTPLLLASNAAGKFDYGSDKMTVSCSSSISGHDSLCDSSNLKSTCQQESGAVTAHTSDPVPPLPVISSVSQYSTPPTALKPGRGRGRKAQTGSEAPRRRGKRQDLDTAAVTEGLSVQDPKLIEPPQKRTRLSVGRKPTTRSKRENESQQVVDQSVASQKTPDFADGEIPKNMVSSEVNPHNSAINRDESQSHAIPVPSQMNDNLNGDVATAEEDLDDAQQKENVVQSVTSQSCSSPHVEPQINTVNTDNSPSQEQTAIVQSDASQKIPYPGTGQIPQAMACSEVELHRNAIKSDISQSSGDVASCEVAATKEVLSEDQQTMKVQSAASQTPSVHSVGKIPEDIGEVATTKEVLTEDQQTMEVQSPASQTRSVHSVGKMPEDIVSNEVLPQCDAIQTDASQSHVTLASSGSMAPVIDCPVEKSESDSKGDDGEKTETNSIDGSNMEVPVGALELTSSDDLNKSGDAEGKTSSSLSGVLPTSSELVPRPSANTEVYLGSVGSGKVSFNSGSTQSLSECVSAKTDVEQLKTEDCPLDKSFVSKAEGGSTEHLAGGILGKEQETGVTMEETVVSPAVETDNLNMKGITSFASSSTVAESLVDEPPVCGSYEQGEDKLEKAAEGTHSDKGAMAEVSEQDKLSVNVSLETTKGLSRVEKEKYRIPETFEKQVNVAESLTEECGKDLAAHGPEMKDSDVSEVAPSPRNESQSSFTVGTSQRTFEEQVNVDESLTGECGGDLAADSPEIKDSDVSEVAPSPRNESQSSFTVGTSQSEPELQAIAEANLHPSISETSPSTESGAQKESLSPRLASNAETVEALTETFASRHDTNYVADLQGNLSFDVKDDISNSEIDVSQLCPADGSSENHPELEQVVVEDVRTDICEESASDVVDDIVLRQTENADGQCHDGLSVETHESKRAEDKVDVLNGELNKHLSSTIAGTSKKVADLELVAEANTGKINDGSVDTGQVADKSEDMAVRDLTVEGQESKETDAAGDTSTSKNEVESQSAVVENIGSKSVASGEVTAAELLIEGSEHLHVHAAGDTSTSKNEVESQSAVVENIGSKSVASGEVTAAELLIEGSEHLHVLDHAIEVQGNKAFDATRDASESNSELNETQPGGTYQIVSELQFVAEQDSETMNKKNTLPDATAVEPQPAVSENLSIRNPAHQGPKNQTSDSTEDFSTSNNELNESQPKAADGTSEIAVESHLVSKQNIEIHVEVATGDVIADNAAVVKSENFPSIDVAVEEKGKKESDVTCDISDSELKEPKTSQTTTEVNTSTICEESGMTDKDVTAEKAAVGKSENFPSIDVAVEEKGKKESDVSDEISDSELKEPKTRQSAIEVKTPIVCEELGMTDKEVAPLEVETPFRDLSGSSGRDLAVEEQEKEKSAASKNVSSPENEEHKCIPGARAADNGAESQLVAERDSETVLNKEVFSGKEEISSIKLAGDEDVPTAIEYGDQELSSATGAGDD >Solyc06g065880.1.1 pep chromosome:SL3.0:6:41411871:41412222:1 gene:Solyc06g065880.1 transcript:Solyc06g065880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGIVALHLPPMRKDAAEKNGILFHFVGCVRYRALVDTGREQAKRVVCNEKKDITILPLCWTVGANTVV >Solyc06g051230.3.1.1 pep chromosome:SL3.0:6:34518142:34518147:1 gene:Solyc06g051230.3 transcript:Solyc06g051230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding T >Solyc04g072430.1.1.1 pep chromosome:SL3.0:4:59529109:59529423:1 gene:Solyc04g072430.1 transcript:Solyc04g072430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRAGKAKRKSVSKLNRYAELSQLAASASSKGKGSSNGKEKILKEMEEIKKWIRSKKKLIAETAENMRILKQVSYRGSVKIKCKNKKTKSRMKKIAHKADFKLL >Solyc02g068330.2.1 pep chromosome:SL3.0:2:38865129:38867132:-1 gene:Solyc02g068330.2 transcript:Solyc02g068330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEMKQVVRTLFDLPTEIKKRNKDVLPVSGYRGPSEKNPLYEAFGLYDMSCVEDVDEFCTQLDASPHQRETIKKYAAAINGLMMDILRKMSEGLGLRNVSFEDWPCQFRINKYHFTPEAVGSSGVQIHTDSGFLTILQDDERVGGLEVMKKSGEFVAVDPWPNTLLVNLGDIGTVWSNGRLYSVKHRVICKEAKLRVSIASFLLGPRDTPVEPPPELVDAEHPRILVPFAFNDYRELRLSTNLQAGETLDLMMRTNL >Solyc01g097700.1.1.1 pep chromosome:SL3.0:1:88317519:88318475:1 gene:Solyc01g097700.1 transcript:Solyc01g097700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLQKLKQWSFLTIALAICLIASTVVADYSYEYTSPSPTHNSNKYYKSPSPSNYHVPTPYYKKPYPSHYYYKSPVPSKHTYYKSPSPAKYYKSHVPSKHYYYKSPIVTKYYKSHVPSKHYYKSPVTTKYYYTSPTPSKHYYKSPVPSKYYYKFPSPTKYYKSPSPTKYYKSPTPSINYYYKSPSPTKYYKSPTPSKYFKSPSPTKYYKSPVYYKSPPSPPKYYEKPPTYYNSPPPPYYKESTPSYKSSPPPPKTYDQSPTYYSSPPSPYYKETPTYASPPPPEKYEVSITYASPPPPEKYEVPPTYASPPPPPPTYY >Solyc07g006610.3.1 pep chromosome:SL3.0:7:1469104:1474046:1 gene:Solyc07g006610.3 transcript:Solyc07g006610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSFSCCGDEKVDEGQNNELVGENSWRIFTYKELYAATNGFSENYKLGEGGFGSVYWGKTSDGLQIAVKKLKSMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCAGNEQRLIVYDYMPNLSLLSHLHGHLSREVQLDWKKRMKIAIGSAEGLLYLHHEVSPHIIHRDIKASNVLLDSNFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGVLLLELITGRKPIEKLPNGVKRTITEWMEPMIAKEKFKDLVDPRLKGNFDEIQLKQSIRVAALCVQSEPDKRPTMKEVVCILKGEEVNNVVKANHENLRIQSVRYGDDLLALDQTSDQEDNESSSDKGNESSVYGVFGAMEMKKMQDPYKRFGDK >Solyc05g047710.3.1 pep chromosome:SL3.0:5:60043384:60052021:-1 gene:Solyc05g047710.3 transcript:Solyc05g047710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIAGILMGMIFGVGLMAAWKYMTSYRSTKRSSKAVEVNLMSSLSRDDLKKMCDDKFPEWISFPVYEQVKWLNKQLSKLWPFVAEAAEAIIKESVEPLLEDYRPPGITSLKFSKLSLGTVSPKIEGIRVQKFKKDQITMDIDLRWGGDPNIVLGVQAAMVASIPIQLKDLQVYTIVRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKTVGGSLTALPGISDMIDDTVNSIVTDMLEWPHRIVVPIGVGVDTSDLELKPQGKLIVTVVRANSLKNHEMIGKSDPYAVVYIRPLFKLKTKTINNNLNPVWDQTFDLIAEDKETQSLILEVFDKDVGQDQRMGVTKLPLNELVAESPKEIELRLLPKLDMLRVKDKKDRGTITVKVLYHEFNKEEQLAAVDREKQIVEERKRLKAEGVIGSTMDALDGAASLVGTGLGAGVGLVGTGLGAGAGMVGSGLGAVGSGLSKAGKFMGKTLTGQSRNKSGSHSRVNSVQENGGAKPL >Solyc06g060750.3.1 pep chromosome:SL3.0:6:38892725:38904175:1 gene:Solyc06g060750.3 transcript:Solyc06g060750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKVWRYSCGVLANTQKQHFFFTCWLWRRSIPYTKVCGFMLFALGLISLFTGYVASNLELYSQRLAKAAWFYKQDGDAPIDIWKSTYSTSYYGCSERGPRFASATNVRSSNRYLLIATSGGLNQQRTGITDAVVVARILNATLVVPELDHHSFWKDDSDFFDIFDVKWFISYLAKDVTIVKRVPEKVMRLVGKPPYTMRVPRKSEPEYYLDQVLPVLLKRRVLQLTKFDFRLANELDEELQKLRCRVNYHALRFTKPIRNFGQKLVVRMRKMARRFVAVHLRFEPDMLAFSGCYYGGGDKERYELAEIRKRWATLPELIPDEERTRGRCPLTPHEVGLMLRALGFKNDTYLYVASGEVYGGEKSLQPLKELFPNFYTKEIVAGDELKPFLPYSSRLAAIDYVVCDESDVFVTNNNGNMAKILAGSRRYMGHKRTIRPNARKLSALFQARNKMDWDTFSRKVKSCQSGFMGEPEEVRPGHGEFHEYPSSCICKTPFNHSNFREKYNNHTSSVNPSLLDSRYEYIHNISDLDEKILQKLY >Solyc04g009140.3.1 pep chromosome:SL3.0:4:2651061:2654033:-1 gene:Solyc04g009140.3 transcript:Solyc04g009140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMQRCCSSAIGKRWFSSFNNDKIVASVLFERLPVVVPKIDPTVYAFQEFSFRWRQQYRREYPESFLKKSDTRGKGDYQIDYKPAPRISEADKTNDQRSLQRALDKRLYLLVHGTTQGSGKPVWHFPEKVYESEENLRKCAESALESFIGDLSHTYFVGNAPMGHMVMQPTEDKKIPSIKRFFFKSQVIAANKFDIRKCDDFVWVTKDELLEYFPEQAEFLNKMIIS >Solyc10g075035.1.1 pep chromosome:SL3.0:10:58789860:58795956:1 gene:Solyc10g075035.1 transcript:Solyc10g075035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLTNFFSSSNSTIIPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMQLQAGDTVTFSRMDPEGKLLMGFRKASTVKITQNVFSTEPTSFSAMPENLPLMSGYSGLLQSFKGSRESSVNLSSKHFNSGDFSWYLTEKNGGRNADGAFSPSMPVSERKRSRNIGSKSKRLLIDAHDALELKLSWEELQDMLRPPLSVQPTTVTIEDQEFEEYEFYWYITDPREQEQWAQCDNCFKWRKLPADYLLPPHWTCQDNISDHSRSSCSIPDDLTPRELENLFKMDKDFKKQRSAAGQRITQAHDSSDLDSQANGISIAGDLGEPGPASVATTTKHPRHRPGCSCIVCIQPPSGKGKHNPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAELGQRNQLMWSSKEETEVDSFSRPVKPEVDPSEKERSGSETLARGHSSYQLQKLPEISKSQLDLNCHPNREDTGSSHISMMSLLQQACLPLETYLRQNGLTSLVSEQQGSSGSQGLPQDTRESDLRVQEDLCLASTAQEQEKDVPKENSEPDQTGKDQ >Solyc12g006390.1.1 pep chromosome:SL3.0:12:892136:893944:-1 gene:Solyc12g006390.1 transcript:Solyc12g006390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFQFFVLDEMLRGTRRFHEQDINVKKPYCSRDVTRKVMYNCNFELFSEKSFALISNNNYKSVDYKVLANKIGPKISVASFFSTGLVESSRIYGPIEELLSKDNPLKYRATTLKDFF >Solyc11g007620.1.1.1 pep chromosome:SL3.0:11:1868477:1869745:-1 gene:Solyc11g007620.1 transcript:Solyc11g007620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDFFTWLGSLVETICKGSNVVDDDDNRFERLPDCLVIDILSRLPSHSFLRCRWVCRHWRALLVSSQHSFTNIHHLSRHTTPMFIIHEDLAKYDAKSDFAKHGQDVFVYNENKKIKKKKKVMFQKLHLKPELRINKDKPCLLYSCEGVLVFVSSKWKSTYYIVNPITQEELTVRYTPGEVFVCALYFCPYTRQFRVLIAQLQDTCCTYFVHIVKIWKCEKIHSSISFNFLPNSGNPAVVNGALHWITLHDLKRKGIAPCENGIMVFRMDKEELFTMPHPPVSKVCKSNQDHLAMKLMVKDDHLCLCNMILPWYIVDMWWLEDYETRSWIKRYKINLLNERIFPFSKRLSEREIRHRHAWNVKFLYLQEGGLLIYLIHYYGEEIYLYNLDRRTVKKLELPREKLLASSHEWALYHKSFMAIV >Solyc05g015480.3.1 pep chromosome:SL3.0:5:10697103:10698837:1 gene:Solyc05g015480.3 transcript:Solyc05g015480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKDAQEELTLNKDNKDGEVVEYSLLPPIPKSPINSRPNSMVIKKANTLIPAHLVAEAISTLHGLDLRWSGPITPSEMQYVQQYVLAKYPEYCNGLVEEGDKIDLYALCMNEKGKSPRRESSSPSFGTSNSELGKIQLEPSRLLDILTKKTSYQGNFISIPEIQVRNRALQQCGLSEEEYLVVFALTFKEAMMMIGECYPFFRGNYYMTILGEEYDCIREFVTFKDSKVIAAPETWLDLRIKGSQLSQYFRRRSKHSPKGLFAYPAYVEETRYSMHWISEAHRNSWHVLLDASGLDAGKERLALALYRPDFVLCTVDNTHAQPSKITCLLVRKQSFETAASSAC >Solyc07g024010.2.1 pep chromosome:SL3.0:7:23830841:23851485:1 gene:Solyc07g024010.2 transcript:Solyc07g024010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKGEHQSVPLSVLLKREHAQEKIERPDISHGQANQIKKGEDFTFLKPECQRVLGDEVTNFSVFGLFDGHNGSAAAIYTKENLLQNVLSAIPADLNRYEWIAALPRALVAGFIKTDKEFQEKGGHGSLKNLRLNQSWAAQKSGTTVTFVIIEGWVVTVASVGDSLCVLESAEGGIYHLSADHRLECNEEERERITTSGGEVGRLNTGGGTQIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSSAGGRLVIASDGVWDALSAEISIECCRGMSPDAAASQIVKEAVQPKGIRDDTTCIVVDIQPLEKPNPPQAPPKKSGKKVFKSIFRKKTSESCSNTERDYDEPDVVEELFEEGSASLSDRLDAKYPICNMFKLFTCAICQVEIKPGEGISIHVGSSNTRNFRQWDGPFLCSSCQEKKEAMEGKRPSGTYLVVLIW >Solyc07g041213.1.1 pep chromosome:SL3.0:7:52132256:52140822:-1 gene:Solyc07g041213.1 transcript:Solyc07g041213.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTKRKQICSTNIHLLCTSIFLVFKPHLHEPYFLFCRTGSSRSGGVLHYRSGGSRGVVHTSKSEFASSNDNGRNASSMENYINQVWLNNILKPLAPSITPALFHHMQQKSNLPNHFNPLLATIREDLSFFTPIEQIVEYPLFSLWISHANHPMNSPHIPVEGEAIEVAMDEELPLSFTEIANLERVGLMLFPEMEDEKYIFNCPLNLKKCSIVFLPQFTAQATKMAVVLSPTLRTQTKGVMNNINLYLTNHSNAIIVWTIRVAHSESFMRNLKKIIRNHNPCILALLETRLQEHTTLKDELKFSNLFEVLAVGHSDGIVLLWHDNLVKVNQVRNSNQELHAWFK >Solyc02g071090.3.1 pep chromosome:SL3.0:2:41145130:41147262:-1 gene:Solyc02g071090.3 transcript:Solyc02g071090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAHEKLLNLTVEEAEGTESSENAKTSRLQSSKFILWLQIFIFTFFTLGGQAAGTLLGRVYYEQGGQTRWIATLAQTAGFSILLPFICYPSPKNHNEDERTIHHPSIFVRASVYIFLGLFQVVNSMSFTVGVQYLPASTYSLISGSQLAFNAITSYLLNGQKITAIILNSVVLLSFSSSAVIFQNETGDSGEISQKSLLIGFAATTFGSLGYALQFSLTELAFQKVFKSNTLKQVMKMSFFIGFFVTIASLTGLFVSGNWRDLGKEMGEYRTGKSSYVLNLVCSAIAWQLYALGSVGLVFKASSLFSNVIINLGTSIVPIFAMLFLKDRMNGLKVFSLLLGLWGYASYIYQHYLDDLEAKTSEVKSSDDQDDDF >Solyc03g013060.2.1.1 pep chromosome:SL3.0:3:49085235:49085486:1 gene:Solyc03g013060.2 transcript:Solyc03g013060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding REITCVLYHESAHIWQWNGNGKTPGQLIERIANYVKLNAGLGPSHWVKPGQGDHWNQATMLPLNFLVIATKEMNLWHNLIKKK >Solyc09g015080.3.1 pep chromosome:SL3.0:9:7923254:7925903:-1 gene:Solyc09g015080.3 transcript:Solyc09g015080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSPITVQKALKSPESAPQSSPKPQSLKKSFVTSLMEATTLRTPSFKEDSYFTSHLKPSEKKALQELKDKLQSSSSSCSMWGIPLLGGDEKADVILLKFLRARDFKVSDSLHMLEKCLSWRKEFGADTILEEDFSGFKELEGVVAYMNGYDRDGHPVCYNAYGVFKDKEMYEKIFGDEEKLKKFLRWRVQVLERGIEQLHFKPGGINSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSVLYSMFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDIPVQYGGLSRPTDLQNGPPKPASEFTVKGGEKVNIQIEGIEGGATITWDIVVGGWDLEYSAEFVPNGEGSYTIAVEKPRKIAANEEAIHNSFTSKEAGKMVLSVDNTASRKRKVAAYRYIVRKSATISA >Solyc06g054110.2.1 pep chromosome:SL3.0:6:37030134:37030754:1 gene:Solyc06g054110.2 transcript:Solyc06g054110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVERARGESQSMYGSLQSLCWGSSACGGIVSSYFSGSFVEVYAVRSVFGVTALLPLITSLVSLLVKEQPVTRGLYLGNSFFESSKSSIIQLWGAVKQPNATPQSGSATFFFITNRLGFTPEFLGRLNVVTSVMRFTMVD >Solyc05g053910.1.1.1 pep chromosome:SL3.0:5:64785305:64786477:-1 gene:Solyc05g053910.1 transcript:Solyc05g053910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVLPISKCSTLSKRVVARSFKITNSGLGHCSVGHRAVVGLRDRWMEFQGIKNWEGLLDPLDDDLRKEILRYGEFVEAAYRCFDFDMSSPTYATCLYPKSSMLTDSGLDKTGYKVIKNLYATCVVQMPRWTKKTFPNLASPRSSWIGYVAVCDDEKEITRLGRRDVVIAYRGTATSSEWLENFRATLTCLPDDMTTFDENYDQPMVQSGLLNLYTTNTQCDQSLQDTIREEISKILDKYNDEPLSITITGHSLGAALATLTACDITTKFSNAPIVSVVSFGGPRVGNKSFRCLLEKNGTNILRIVNSDDPITKVPGFVIDDDIDDMAESHVASTGMPSWLQKFMEDTQWVYAEVGKELRLSSKGDIATCHDLKTYLDLVNNYENDTSLA >Solyc02g085535.1.1 pep chromosome:SL3.0:2:49019535:49022316:-1 gene:Solyc02g085535.1 transcript:Solyc02g085535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCYCNNELMDEAQIVYDDLEKNGCNPNAATFRILIFYLCNKERYKTDSRRWRRKVVEITTVIFTTILHPFYKKGKSDEAEKL >Solyc09g056440.1.1.1 pep chromosome:SL3.0:9:49397229:49397471:1 gene:Solyc09g056440.1 transcript:Solyc09g056440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLLNNLFCQYCKGSCYLLENYLIPLLSNKIDTCSQCHFIYLNSFSLAFVVRIFPKGFPFSFLSISIDTLSIDDLMSYQR >Solyc01g005715.1.1 pep chromosome:SL3.0:1:488057:490061:1 gene:Solyc01g005715.1 transcript:Solyc01g005715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKENLWILDLSNNSLSGTIDTTFSIGNSFRVISLHGNKLTGKVPPSLINCTYLILLDLGNNQLNDTFPNWLGDLPDLQVLSLRSNKLHGPIKSSGNNICNLKTLEVLDLRSNNLEGTIPHCMGEMSAVKILDLSNNGLSGTINTTFSIGNTFNIIKLDGNKLQGKVPPSLINCEKLEFLDLSNNELNDTFPKWLGGLRNLKILNLRSNKLYGPIRTDNFFAQIRVIDISSNGFSGDLPVSLFQNFQAMKTNVTTKGLDRVIPRILITQILIDLSRNRFEGHIPSIIGDLVGLRTLNLSHNVLEGHIPASLQNLSVLESLDLSSNKLDGEIPQQLVSLTSLEVLNLSHNHLVGCIPKGKQFDTFENNSYLGNDGLRGLPPSRDCGPVLMGYGCGLVIGLSEGCKIGTHNYYENEKAQEKILVSSYLQDSKTSEFQVAGDADKSLLIFHSL >Solyc04g072140.3.1 pep chromosome:SL3.0:4:59250973:59254604:1 gene:Solyc04g072140.3 transcript:Solyc04g072140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVATLQSELETLRSRMKSKEKGNDSVLKNGVLEGHGKKKNKLRDLGYGAMMHHSKRYVALKVMYFGLRFYGFASEAQMDPTVEGELFKALERTRLIFGDKKELQYSRCGRTDKGVSSVGQVIALFLRSNHRESRGDNKYPGENSIEESCGLLISPFNVLLCLAFLIVICHVFTEMRFGTHQLPSVFLTLPLIFFLCMFW >Solyc02g065790.3.1 pep chromosome:SL3.0:2:37495368:37503139:1 gene:Solyc02g065790.3 transcript:Solyc02g065790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSTEDSIMKSAELISKKKRKRARQKANRKVRKQRLGQMLKNLEAPKSEESSVEDVANLENSEAQLPPLGHLQIQTESTKTLLEKSSVKRKRRNKRGRMKALDKNVDPCSMDQNNVPSNPILLDNKHGDVENDNPNISIDVVAGLENSDAQLLPLGHLEMQTEATTTLLEKSPFKKKRRKKRSTMKALNNSELTMQVQSAPISSDDFCMMRSSHLKRKLLILDVNGLLADVVNPPPKECKADACFSRRAIFKRPYCTDFLNFCFERFDVGIWSSRSKKNIVKVVDYLLGDLKHKLLFCWDMSHSTETMFRTLENKHKPLVCKELSKVWDRYDPNLPWERGDYDESNTLLVDDSPYKALLNPVHTAIFPHSYNFKAKNDNSLGPGGDLRTYLEELLKAEHVQKYVEQHPFGQRAIDQTNSDWNFYSDVLCSLLGPRTID >Solyc06g005210.2.1.1 pep chromosome:SL3.0:6:233125:233304:-1 gene:Solyc06g005210.2 transcript:Solyc06g005210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CHPSQNPHLTMSSAWIGPRSESWVQKRGSAPLPIHRISKITLKVVEFHFRLSTPTYTPL >Solyc10g050550.2.1 pep chromosome:SL3.0:10:49935661:49937272:-1 gene:Solyc10g050550.2 transcript:Solyc10g050550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYIRKIVSDDGKRVKRKIPFTDKDKEELMLRTVVAENLPDDHSHHNIEKIFNVAGSVKTIRVCHPQDPNTRTRGDLGISSKAMKLNDERNWRKGLRVRLLLRRSPKSVLKSRKTAFEGCLDDEDWMSSGLAEDSHHVSNQSEVVDHTNVEETLAAATTKKTWGKGRVKSTRQRNQMYNGRGLLSSSPQSSKTYEAPVNQVTKGPRMPDGTRGFTMGRGKPLSINIQTGVHVV >Solyc10g050303.1.1 pep chromosome:SL3.0:10:48736921:48738623:1 gene:Solyc10g050303.1 transcript:Solyc10g050303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTKLLSYRSRLQPLYSGMSMVTTICNPKTTRTFNMDKVSIVSSYRCYLSQNHNFRSEKDSFDGTTEKISPPKVNSCNEILNLLQDLEGLHLSKDPKIRKKKYMNLNR >Solyc07g043700.2.1.1 pep chromosome:SL3.0:7:57794534:57795822:-1 gene:Solyc07g043700.2 transcript:Solyc07g043700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIIKPSSPTPSSLRHHNLSFLDQINSPLHNPLAFFYPKPKNSSYDINHISTLLQNSLANLLTSYYPFAGTYNDNIFIDCNDVGAEFFDVQLDIPMSEILDHPYYNDDINLVYPQGCPWNIYDGTLVVAQLTHFDCGGIAVSVCISHKVADAYSVIKFITDWAYLTRDSSHAKPSVLFDGTSFFPPVTDTSTLPDFDAGNDRNCVTRMFHFSSSGLDKLKTIAAAESGVENPSRVEVATALIHKHAMIASGSFKPSLLCHIMGLRPPLPLNSIGNACSFFLTSTQTEDEMELSNSVAVLRKSKDQLREKMKNATQSDIFFKVVEITKQGAELVEKVNKADVYKCSSLCNFGLYDMDFGWGKAIRVSSVSRAVKNQIFFMDSPTGNGMDVLISLDEKEMEIFQSDKVILEYASPVVKM >Solyc08g081195.1.1 pep chromosome:SL3.0:8:64385449:64385935:1 gene:Solyc08g081195.1 transcript:Solyc08g081195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFSKTQQCTFVFFFWGVECSSFSFNVEVAMAHKRSIIANQYYKIAHKRSIIANQYYKIAH >Solyc06g066150.1.1.1 pep chromosome:SL3.0:6:41589378:41589704:-1 gene:Solyc06g066150.1 transcript:Solyc06g066150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKNNVPEMFDTLLEINQTPQEESETLCETEESSFVADLTEAVLDHEPLKDRWDAIRASKSALLLDQQSWQSKVHIQAYAALKISELSFYDLSRNRLFPPRINLKFVL >Solyc09g082065.1.1.1 pep chromosome:SL3.0:9:68331687:68332229:-1 gene:Solyc09g082065.1 transcript:Solyc09g082065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTLSFSQSPFPLPSSSSKFLRHSTTTFSIKPTPILPHLKTPKIFTSVSKKPNSFTTRRAAQESNSNNSSSETEQNPLPETETEAGDQGAGGDEVLSDVGSEIKKLMKDREQKEPDFWSGVAEEIREIEWPAFGKVLSTTGVVIGVIAGSSVVLLTVNAVLAELSDRVFAGKGVQDFFG >Solyc07g044920.1.1.1 pep chromosome:SL3.0:7:58097048:58098145:1 gene:Solyc07g044920.1 transcript:Solyc07g044920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSEDVIFEILTRLPVISLIRFKCVCKFWYSLIKNPNFISNHLHNLNNKQNHLHLLISRRGNITNKRILSLSQNDTFDVFINQDFPEYFNDKFGHIRLIGPCNGIVCLCGYPDNIVLWNPSIRDYKILPQSQIQRLVGSTIRGSDFGLGFDSKKNDYKVIQILFCLTIDRVFVYQVEIYSLNTNSWRKYKGVVPSKIKYGNTSWSMVYKNEIFCWLGQDVDNHEVILSFNMSEEIFQNIKLPSNIEIFGVQEIMRSVWVIVPFKESISLIVYCLKEVEKYYDVWVINNELGVENCWTKLQRIGPISRVERPLGLWKNGELILENSSGQLVIYDPSNQEMKTLGFYGKRGRLEIVVYKESLVSIS >Solyc12g043150.2.1 pep chromosome:SL3.0:12:59809165:59824123:-1 gene:Solyc12g043150.2 transcript:Solyc12g043150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNHRVEGSMVQFHLGREITNFGSYYFKRSVSCFQNRPNCHDDGGETMKPLSIFNQPGKGSKKRTKRNLSAIELQSASTHVLLNCPQVKPFVDYFVHLYGNERLFENFSKWFQDYIYDTKNGQFDQFLKDISWGSIKTYSMNKYVVNGFKFTTEEYSKYKKTNNSGVWVKGGDGNLEGVDYYGVLKEYLRSCVLDPRLDFPLWTISKSTIKQTKTRIEMIRKKRDAMLKYLKNDMADLIKSGADINAYSRADGLVVELNISSCYDFLEQYCLHISSHLATMSKQRECPEECREAVSTLMFSAARLSDIPELRELRDIFNERYGNSLECYVNKEIVSKLKSQPSIKDMKLQLMRDIAVESGVRWNSKALEHKLSKPQIAVQDSLMSHSNEEHKLQKKIDESVQRKEHQKAKINHENARTHTTSKTKRENHSSHGRKEVPGDVRSLRESDKQIRDNINRASNRYLDDKPPVKDTKADIIGKNGQRNDPKIIKSVSEEKSDDNTPFYYRPIQPSYNKSRACIVKISSDTSANVSTGKTHGHTEMNQRGITIVKEEDSCVNDTLQKPKPKSVRTRMQPMHDCEEDSHRRLRHGKHGIKIASGDHVDQRDEEEKMMDRLLMHYTRKQASKIEVKTPKPVVKLAEVESTEVSRKRSIRVELKQAGPPVILREGDTQANRDSLSPNGHIHPKLPDYDDFVTRLAALKGN >Solyc03g116580.3.1 pep chromosome:SL3.0:3:67417834:67424207:-1 gene:Solyc03g116580.3 transcript:Solyc03g116580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPAMTSRPVMLFLLVITVASITSGVQASRSIVFLPQPLSSLDIINTTLHQGQNARCSFTVSIRTSCSSPAYTRDQISLAFGDAYGNQVYAPRIDDPASRAFERCSKDTYTVYGPCTYQICYAYLYRSGYDGWIPYDVTIYGYNSKAVTFIYNVAIPGNTWYGHNYCRSRAVKSAGNLGWSLLSVGSTMLYTIAGLFRVSSVRSADIKTFNVIDFGAVGDGRTDDLPVSGNIIAPNSKSAWDGAATNAWLTFANIDHLTVNGNGVFDGLGHIWWSNACTNDNTSPETQCKGPTALIFRRCDQLRVRGVTMIRSPRSHIILTACNEVSIANIRIMSPGDSLNTDGIGVSGSTNVQIQNSLIATGDDCIAIGGGSSNISISGITCGPGHGISIGSLGEGGFEVVEDVNVRNCTMKDTLAGVRIKTWQGGEGYARRIAFEGIVFVAVNNPIIIDQFYCPSRVNCKKDTAAVALSDITFRGILGTSLMDEVINLSCSETVGCKNILVDRVYISSVNGNPVHAKCINAHGRYTHTSPAVKCLLPP >Solyc12g020005.1.1 pep chromosome:SL3.0:12:12924985:12925799:1 gene:Solyc12g020005.1 transcript:Solyc12g020005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILLYVDDIILTASSDKLHHSIISRLSSEFAMKDLRHLIYFWALQSLFMPDTVDFGLQIFPSSTSTLISYTDIDWGGCPDVQHRVTMSFWATLSRSRAEAEYRGVANVVSESCWLRNLLLELNFPIQQATLVYCDNVSAICLAGNPVQHQRTKHIEMDIHFVQEK >Solyc07g054190.3.1 pep chromosome:SL3.0:7:62678931:62681858:-1 gene:Solyc07g054190.3 transcript:Solyc07g054190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATISFKFASPSKPSNPSIAPKNPTIFNLLPTPSNPQLKRAFFLKSPAISPIFIRALKPQQCRSSSTPITSLFTGIVEEMGQIKQLGYDKPDSFTMKIQAKLILEDINLGDSISVNGTCLTVAEFDTQKLEFSVGLAPETLRKTSLIELEQGSLVNLERALRPSTRMGGHFVQGHVDGTGEIVELKTEGDSLWVKVKTAKEILRYIVPKGFIAVDGTSLTVVDVVDEEGCFNFMLVAYTQQNVVIPLKKVGQKVNLEVDILGKDAAFPIVSSWVMRSFIGCTASWFRSSFVSIKLFPSSYFLFIAVPPYPCFTAMAVWQFMFLPVHVLPILVLFEKPISESSMYSKTSPSSSVLTPLIRVVSVVRFKVAAVGFLITVHTSELFSSVLPAFWGIMIEMFSYISIIAQQQVSDGVNSGVITSRDVRVGEEAAKITQEEVLLVEPQFPHAY >Solyc01g098110.3.1 pep chromosome:SL3.0:1:88579600:88597876:1 gene:Solyc01g098110.3 transcript:Solyc01g098110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHASSPNKPIYLVGDSFGGCLALAIAAHNPEIDLVLILANPATSFDRTQLQPLLPLLESLPDEFHVTVPYLLSFIMGDPLKMAMVNIDSMLPPGQIIQRLAGNLTDLLAHLYGLADIIPKETLLWKLKLLRSASSYSNSRLHAVNAEVLVIASGKDNMLPSENEAQRLGNSLRNCTVRYFKDNGHTILLEDGINLLSIIKATSKYRRSKRRDYVKDFLPPSKSEFKNAIKNNSWYLNITGPVMLSTMENGKIVRGLAGVPREGPVLLVGYHMLMGLEIVPLVQEYMMQTKILLRGIAHPSLFTQLVESRPDASSFIDMLKLYGATPVTASNFFKLLATKSHVLLYPGGAREALHRKGEEYKVIWPDQPEFIRMAAKFGATIVPFGVVGEDDIAQLVLDYDDLKSIPILGDRIRSENEEAARRGLAVRADMDGEIANQMLYIPGLLPKIPGRFYFFFGKPIHTKGRQDLVKDREKARELYLQVKSEVQNNMNYLLKKREEDPYRNFIDRTMYRAFSATSGDVPTFDF >Solyc04g005695.1.1 pep chromosome:SL3.0:4:452491:457030:-1 gene:Solyc04g005695.1 transcript:Solyc04g005695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLIASVEMKCGEGSFFDIFHINTNQVPNISPKNIVHFGIHEGESVKTGSIVSWKYNEAGQEMYMKHLIEAADPQQKLIKWKVIEGDLLKLYKYCNFTTSCDGQWTTWTIDYEKKTEDTPEPLLHLGVILAMTKDIESHLLKK >Solyc07g062760.2.1 pep chromosome:SL3.0:7:65579988:65588560:1 gene:Solyc07g062760.2 transcript:Solyc07g062760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSRIASFERSRSDTKLVYSRARKKHKRLDEVCEETYNQNHNGVDKVETSEWNGEESEVELRRSSRVRKAPVVLDASPHPARKRQKIDRSGVRSSSRLEKGDMVKVESPCSTSNHLEEGTSAWGLRLRARSKRMNNRVRNSVDSSPVGKRKIFQDVDELKEETELEVAELDKEEDSECEKSTIVKSKRPGRIKASNVRVTEQQETGTGGGVEDGKMIDQEELLHVRDETDDSISTTRFKEGVEDGNVALPLDNEDKAQLETCVEPEEFHTADQVSMLEQDLQRRNEMSVWVNDQKDGVEGGLLPNDEKDEGTEKEAQDEVDRVDFAQEKDGGTEKQAEVEVDRVDYAQEKDEGVFSDKALEMEKVVKKECPSDNNLRKRRIREGRHCGLCGGGTDGKPPKKLVYGAASDDEERSGSSASDEPNYDMWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQQCAVWSPEVYFAGLGCLKNVRAALCRGRVLKCSRCGRPGATIGCRVDRCPKTYHLPCARANGCIFDHRKFLIACTDHRHLFQPYGSNYLQRIKKLKARKMKFELRKLSNEALRKDVDAEEKWLENCGEDEEFLKRESKRLHRDLLRIAPVYIGGSNSDAGVQFQGWDSVAGLHDVIQCMKEVVILPLLYPELFSSLGLTPPRGVLLHGYPGTGKTLIVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAEKSQPSVIFFDEIDGLAPCRGRQQDQTHSSVVSTLLALMDGLKSRGSVVVIGATNRPDAVDPALRRPGRFDREIYFPLPSVKDRESILSLHTKKWPKPVSGPVLKWIASKTVGFAGADLQALCTQAAIIALKRSFPLHKRLSAVVKVPNASCPPLPNFKVEERDWVEALTCAPPPCSRREAGMVANDVVSAPLHTFLVPCLLQPLSRLIVSLYLDERLWLPPLLFKAAEFVKDVVLSAMVEKKLPSNNWQSYVNDLLQEPDVISQIENHFVRANILDGDANIGGFDAVDDGIVHGLSNSQPSKLQLAGARPKLLKNIFHMAGNKSGFRILISGNPRSGQRHLASSLLHCFVGNVDVQKVDLATISQEGHGDIIQGLTQILMRCASVDKCMIFMPRVDLWAMETSDLVCQDDGSSLVNPESLGKDKERSFNHSAEQAGDALKRASYLWSSFVEQVESICMATSLMLLATSDVPLEALPIRVRQFFKSQALNNSILFPLEDSVSRFSEQLDRNFDEECLIDSSAAKLSKDLAQHFIQLIHRTNHVHLQTCNDEASDKSEGDAAIECQRSDLRSTIEPVNKQCPLPTSAIANSRNVKGKSNLMLAITTFGYQILRYPHFAELCWFTSKLREGPCVDINGPWKGWPFNSCVIRPVISIGNVTLPLNNNKGKEKYCMVRGLIAIGLLAYRGKYSSVREVSAEVRKVLELLVEQINDKIRNGRDRYQFVRLLSQVAYLDDLVNSWVYSLQSLGGETQLAEANTKISCAGLPESADAPENTPLREGGCKPEEFLEKAETLETCRPELTAENCTPAIPEAYGVSNFPDIGAVEREPPHLVAVNHSVPSRQVTSSEHSVLNDNSCMPDDTDKHLGNIGDCVLKRQSNGLIQEDGSNHSRYGRGIDEHSSYTLSSNSNGRLSTPNNLQIGDSNQKSVGNSLGLECSNISSNLSIDSSIVCLYRCCPQCLLNLQRTLKKMLSYEWGLKKAEFIVEDAYDFLASLAANLHSALRVWLLADDSTSFDEKRVQERYGESSECKKTNFCECRNLENRLIKLIECNCHLKSSDQTEKCKSSQNLSQDFIFRDGVLTNLDEKNVSTHCKFETLCLCSLVDWIEMRKKNT >Solyc12g017885.1.1 pep chromosome:SL3.0:12:7708775:7710521:-1 gene:Solyc12g017885.1 transcript:Solyc12g017885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQGARNNVHPSTKIIQVNIHVLGRTCRKENSWGLHLQRTYHFEALRFNFEIRVDGSCGV >Solyc08g080990.3.1 pep chromosome:SL3.0:8:64229725:64234258:-1 gene:Solyc08g080990.3 transcript:Solyc08g080990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTIEIPPGFTKTLCKRISIDHDSYMQDDKRKIGSVNELSGRRCSLRERFAPNLYIPVQFQPRPRTPGRPRKQRSDVTASQSSRRRPGRRSSKQNSDEMPASQPSLRRSRHPRRKLSDAPAVSFPTPPSGSTREDPVQVSVTANAPEPVTPPPGPPLGSTSHSPLEVPEDEQIETKLKINPLLRLKKPPSTNQTPEMLDEELKKVILKVEYILVQKLLTSGSNDVDCMVHQANTTFTYLKGFGVDYGSFYKDVREYIEHRYNLHDAEREENSLSFSVWGGNYLNAMRDVNDVDEVIARTRGEQEKVKEKIGSLKSHIEVLKQELERVEREDERLKHDMIKYKVAQKDAEAKRREVGIQLEAAQVKIREIKQRKNAALAGIESTTQRLESTYR >Solyc03g078330.1.1.1 pep chromosome:SL3.0:3:51992642:51994069:-1 gene:Solyc03g078330.1 transcript:Solyc03g078330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4BHR2] MEKKTIILDSYKLLWKQISSTLMEILKVLVFLLFFTMESCIILQNLRPMFHMIPFSVLLIYFISLYNISKTSHVYVVDFTCFKPPNCLIVPYTSYIEHARMLDFFDDKSVSFISKILQLSGLGDQTYFPPGLFYMPPKSGHKEAINEVHMILFSVFENLLSKTNISPQDIDILIVNYSGFCPNPSLSSIIVNKYALKEGVKSYTISGMGCSANSLAVDMARNIMCTHDNSNAVILSTEILSTGWYPGRERPFMILNCIFRVGGAAILLSNKKQAKNQAKYKLLWTLRTQGAFDDKGYYSAYRDEDSSGITGVRLNGDVLQVAGDTLRTHMPVLGGRFLPLIEKLRFVRSVLMYKRSKEIYIPNFRRAFQHFCFPATGKSVVRETAKRLKLGDRDMEAALMTLHRFGNQSSASLWYELAYLEGKERVKKGDKVWQLGMGTGPKCNSVVMECIRPILGEALIGPWADTINTCPLTIP >Solyc08g014365.1.1 pep chromosome:SL3.0:8:4277129:4284167:1 gene:Solyc08g014365.1 transcript:Solyc08g014365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKELEIICWNEEYIKQTDKFSIQQLYKSLRGEYHKVDLRRLIRNNVARQKWIFILYLALQKRLLTRPQGIDQPHGDVYKIYRALCKESHNHLFFRCVFAAQVLQKDGNGCKFPCRVAIEKPTNFPANNQNIYNVGQAGCQELSESKKVSPKLDENSLNDVSIVMNTLKCIIK >Solyc03g118810.1.1.1 pep chromosome:SL3.0:3:69107491:69107985:1 gene:Solyc03g118810.1 transcript:Solyc03g118810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATDNVNNNSVSKPSLYLQNMDEVQKVFQRFDTNGDGMISGEELSGVISALGSGTSPEEVSRMMNEIDSDRDGFISLQEFAEFCKGDINSIGDGGVRELREAFDLYDQDSNGLISAAELHQILTRLGENCSVQDCTKMINSVDSDGDGYVSFEEFKKMMTTSK >Solyc09g066460.3.1 pep chromosome:SL3.0:9:65106917:65115765:-1 gene:Solyc09g066460.3 transcript:Solyc09g066460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSARSWFQKFQPRDRLRSTRKKDLSGSGREEPQPPMSAEEASNVTKQRVAAAKQYIENHYKEQMRNLQDRKERRSILEKKLADADVSEEDQNNLLKFHEKKETEYMRLQRHKMGADDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVAETVLAIESIHIHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTLEEKDFAGGDSAHGASRSDGSSAPKRTQQEQLQHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWKSHLKFPEEAKLSSEAEDLIGKLLCHVTQRLGSNGADEIKVSTRGLEE >Solyc12g036160.2.1 pep chromosome:SL3.0:12:45136530:45169076:1 gene:Solyc12g036160.2 transcript:Solyc12g036160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVAKPKISAKGYDVVNSNVSLQRMEELFLAEERVLLPNPPLEPGLPTISIRDGFFSWDSKFLCCEPSQSVHAFSTIRTPRSCVSNPKSSPGKGLSFKKNEQRSVEAYTDVDWASSSIDRKSTSGYCTFVWGNLVRWRRKRQNVVARSSAEAEYLSMAHGAEHPTLSNINLDIPVGELVAIVGGTGEGKTSLISAILGEFPPLGNASVTIRGSIAYVPQVSWIFNATVSFNLSLFLVMPVAQVRENILFGSNFEPTRYWKAINVTALDHDLELLPGGDLTEIGERGVNISGGQRQRVSMARAVYKNSDIYIFDDPLSALDAHVSHQCNGIFQVFKNCIKEELQGKTRVLVTNQLHFLPQVDRIILVSEGMVKEDGTFEKLLEHGTLFPKLMENAGKMESYGVETEYDPNFDSESSQSSSTRQEHQQDVTSVTKRKAGKSVLIRQEERETGIINWSVLMRYKDALGGLWVVMILFGCYTLTEILRILSSSWLSVWTKASASQSNGAGFYILVYAILSFSQVIVTLANSFWLIISSLNAAKGIHDTMLHSILRAPMVFFHTNPSGRIINRFAKDLGDIDRNVANIGNTCLSQLWQLLSTFVLIGVVSSISLWAIMPLLILFYAAYLYYQNTSREVKRLDSITRSPVYAQFGEAITGLSTIRAYKAHDQLAAINGKSMDNNIRFTLANTSTNRWLTIRLETLGGIMIWLTATFAVIQNGRADDKVAVAATMGLLLSYSLNITTLLSNTLRQASRAENSLNAVERVGTYIDLPSEAQNVICSQPPPHWPSSGFIKFEDVVLRYRPGLPPVLHGLSFEISSGQKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDVANIELTDLRSALSIIPQSPVLFSGTVRFNLDPFNEHNDADLWEALERAHLKDVIRRSTFGLDAEVSEGGENFSVGQRQLLSLARAILRRSKILVLDEATAAVDVRTDALIQRTIREEFKTCTMLIIAHRLNTIIHTNCILVLDAGKVVEYDTPQNLFLNERSVFSNIVQSTGAANAQYLRNLVFYKERDDMFMEEELMHINGMSR >Solyc02g094758.1.1 pep chromosome:SL3.0:2:37063936:37065738:1 gene:Solyc02g094758.1 transcript:Solyc02g094758.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSETSSSVSPCLYILLDCPNGQWPRFSTECTTMSEIFVFPDLLLVGVPSRISVESSSDSVDVSLQWQWFPTTSFHLSPIFLNKALSIKYECRLLLSKNTRRCWPRQPYRKRMKDYIGEAKIVKVASDYFVCEGDWPQFVLHHKLEVGDTLIFFLINKSTFQVLLYSKRSLTKITHFEELSSSEDEVENVEENNEAL >Solyc07g005070.1.1 pep chromosome:SL3.0:7:110964:112154:-1 gene:Solyc07g005070.1 transcript:Solyc07g005070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGLMAGNGGSGGVGKPGTRGGDDGLVLGNGGSDGIGKPGIRGEDGELGDPGNEGTIGIGNPGTRGGDDGLVLGNGGSDGIGKPGISGEDGELGEPGNEGTNGIGKPGTRGGDVGLVLGNGGIDGIGKPGIRGEDREVCDPGIRGGDDGLALGNGGGDGIGKPGIRGEHGDPGNEGTNGIGKPGIRGGDGELGDPGNEGTNGIGKPGIRGEDGELGDPGNEGTNGIGKPGIRGEDGDPGNEGTNGIGKPEIRGEDGEAGDPGNGIGKPGTEGRDDGLVLGNGGSDGIGKPGIRGKDGELDEPGTEGGLAPGNGGISDGAKRDGSRGLIKGGIVPDKGEGDTEIGVDAKHT >Solyc07g018030.1.1.1 pep chromosome:SL3.0:7:8917336:8917518:-1 gene:Solyc07g018030.1 transcript:Solyc07g018030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPEYYLLEVDSGTMMNYIYDLNTYCLNVSTFKSFYFILFGTDAPLLKQYFFVYGRQG >Solyc08g060890.1.1.1 pep chromosome:SL3.0:8:45793232:45793615:1 gene:Solyc08g060890.1 transcript:Solyc08g060890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVSATFNACTAMRCSLQAKGSAGINISTCVKEHGKEVSPIKKNYPFDEISNLYRRLGTRKSTPTVLPGEGRFYRSSSKRNLGHARSTFDKSQQAIKLQKPAPTRDPFLIEANCFIHFVEQMGINEI >Solyc06g005270.2.1 pep chromosome:SL3.0:6:275287:278552:-1 gene:Solyc06g005270.2 transcript:Solyc06g005270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKHNAYLNNHWTPEEQSALDELLVKYSTDNEMHRYAKIAMHLKDKCLRDVILRCRWMSEKLTDLMPKLTNYANAPPNAQAILPMDSNGEIFYQAIGGPTGMLLEQNAQALDQICANFAACQIQENINLLWQTQSNIFNVMNNLNDISEMNLMPPLPIRLNKELANSIFQQPPPVQENS >Solyc07g024097.1.1 pep chromosome:SL3.0:7:24394834:24395331:1 gene:Solyc07g024097.1 transcript:Solyc07g024097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNSRSKEYFTSQFQDEGFRGIEIKDGILINQRRYALELVLKCGLAESKPTSTPLEQNHKLTSVEYYRQFDITDDDELEDMRIYQSLIGRLLYLAMTRPYISFAVQQLSQFMHALKRSHYNATIHVVRYIKRQPGLGLLMSSKKSGELVPFVMLTGLH >Solyc04g051205.1.1 pep chromosome:SL3.0:4:50004961:50010831:-1 gene:Solyc04g051205.1 transcript:Solyc04g051205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDPPSLLSLAIDSALLHISSYSDLSFLPEHILEDLFLTEERKEVGFELFQKRFRYHISTNFNYLDIVVSLFYFSAIHHMLDFQPVNLWQRTLRAGKLNEKILKLFIATGKEEILSLIGAFNIQCVLTPVLPTRCSEKF >Solyc09g005830.1.1.1 pep chromosome:SL3.0:9:572687:574603:1 gene:Solyc09g005830.1 transcript:Solyc09g005830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4CQ73] MPRKGMRTLNWFSPKHNSATDVNSPSTSQFSSPSRFAFSPSRPSFSEAVIDRTIEMAEQMITKWDPDTTTFAKVTSLFYENRKEAKDFIKYVNNLHKAMHFHASENSRSDKLVRAQSLMQIAMKRLQKEFYQILSINRALLDPESISTVSSRTSISTRSSTSEFNVEDDDDNRVAIAGESINEVEDISNVVMADLRLIAECMISSGYTKECLQIYKVIRKSIIDEGIYRLGVEKLSSSQVHKMDWEVMDMKIKDWLNAVDVVMKTLFDGEKILCDHVFASSDAIRESCFTEISKDGAMILFSFPEIVAKNSKKSPEKVFRILDMYNSIVEHWTEIETTFESAIRSQAMTSLVKLGEFIRMALAEFETALQKESSKTTVAGGGIHALTIDTMNYIILLADYSYVLSDILDESPPPAKSSLPESYFGMADSDESPAPAISLRFAWLILILLCKLDGKAKHYKDVSLAYLFLANNLRYIVVKVRSSNLKYLLGENWISKQEQKIKKFASNYEQLGWSHVIQSLPREPNASMTPQQVKEIFKRFNSSFEQVHRKQSLYVVPNSKLRDDLKVSIARKILPVYREFYNIHKNTIAKERYSSHVIKYSPEDLAHYLSDLFFGPIELGSSSSVESSPSRTIPSQLQ >Solyc05g051350.2.1 pep chromosome:SL3.0:5:62470383:62479526:1 gene:Solyc05g051350.2 transcript:Solyc05g051350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNTIGWKRQLQHLVLWFFAVVFHLFLLVNGSRTDYFRHTPLRNSQKLSSTTPPVKLLISSNYVVMDNGIVKVNLTNPTGSIAGVSYNGIDNVLETDFKDTNRGYWDTVWERPGDKDHTFDMLLGTKFRVIASDKNKVEVSFTKTWNPSNNGTTHDLPLNIDKRFVMLRGSSGFYSYGIFEHLKGWPSLILEEARIAFKLKRTLFHYMAISDDIQRMMPTDEDRSDGQILDYREAVRLTNPSNPKLKGEVDDKYQYSFENKDIKVHGWVCNTPHVGFWVITPSYEDRNGGPTKLDLTSHAGPTSLAIFFSGHYAGPELGVKIKEGEPWKKVFGPVFFYLNSNSGNNHPTLWEDAKRQMEDETAKWPYDFPESIDYPHANERGTIRGQLFVRDRYINKNPVYAKSAYIGLALPGHVGSWQYETKGYQFWIQTDETGHFNITSVRPGTYNLYSWVPGIIGDYKYNYDIIVKQGSDINLGNLIYDPPRYGPTLWEIGIPDRSAGEFFVPDPLPALTNQLFNNTTQKFRQYGLWDRYTDLYPNKDLAYKVGVSDYSKDWFFAHVTRRTRSKNYIPTTWQISFKLPSVDPKGTYTLRVALASATYSHLQGRINNPSRTMPHFETPSIGRSNAIARHGIHGLYWLFNFKIQGLHLQKGENTIYLKQIKGGNPFYGHQYDYIRLEGPPQQN >Solyc09g082750.1.1.1 pep chromosome:SL3.0:9:68858791:68859081:-1 gene:Solyc09g082750.1 transcript:Solyc09g082750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVVDTLEKKYNELLTSLAIVLETYNGGEKSEGVDSHLENFQKSFSSFQASCDEAQEFVESLKKSVGCEQFQEQVFDSDSLSTMIDDEKSSKTEI >Solyc05g006530.3.1 pep chromosome:SL3.0:5:1144990:1164629:1 gene:Solyc05g006530.3 transcript:Solyc05g006530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLPILFASLSPSNSIASRSPSPFLHIQTLRFCSVKSIQKNPFTSTSRIFYKFPSSIFPAESQDEDEEDDEEDDDDEEAAEEYDEVYAEVSDGDEDSEDELESSVTDEMLNIEESRRQRVEKLRNEVREFGDGIIDVNELASIYTFRIDKFQRLSIQAFLRGSSVVVSAPTSSGKTLIAEAAAVATVARGRRLFYTTPLKALSNQKFREFCETFGESNVGLLTGDSAVNRDAQILIMTTEILRNMLYQSVGIASSDGGLLHVDVIVLDEVHYLSDISRGTVWEEIVIYCPKEVQLICLSATVANPDELAGWIGQIHGRTELVTSSKRPVPLTWHFSTKTALLPLLDDKGTSMNRKLSLNYLQYDESGSELYREEGSKRRKSRRRENDVRPLSKNDISNIRRSQILLSSKKLVTKSYSQVPQIIDTLWHLKARDMLPAVWFIFSRKGCDAAVQYLEDCRLLDECEMSEVELALKRFRIQYPDAVRVSAVKGLRRGVAAHHAGCLPLWKSFIEELFQRGLVKVVFATETLAAGINMPARTAVISSLSKRGDIGRIQLSSNELFQMAGRAGRRGIDEKGHVVLVQTPYEGPEECCKVLFSGLQPLVSQFTASYGMVLNLVAGAKVTRRSTGLDEIKVTRSGRTLEEARKLIEQSFGNYVGSNVMLAAKEELARIEKEIETLTSEISEEAIAKKSQKLLTQSAYQEIAELEEELRAEKRLRTELRRKMELERVSSLKPLLKEIGDGHLPFMSLHYTNGDGVQHLVAAVYLGKVDTLNTEKLKSMVWDNEAFALKTAVENFELGDNGGEDVKPSYHVALGSDNSWYLFTEKWIRTVYRTGFPNAALTLADALPREIMAELLDKAEMQWQKLAVSELGGLWCMEGSLETWSWSLNVPVLSSLSEDDEVLGLSQAYNDAVECYKSQRNKVSRCKKRIARTEGFKQYQKIIDSAKFTEEKIRRLKVRSKRLNDRIEQIEPTGWKEFLQVSNVIHESRALDINTHVIFPLGETAAAIRGENELWLAMVLRNKLLLNLKPAQLAAVLGSLVSEGIRLRPWKNNSFVYEPSTTVLNIIDLLEEQKSSLLELQEKHGVNIPCCLDSQFTGMVEAWASGLTWKEIMMDCAMDEGDLARLLRRTIDLLAQVPKLPDIDPLLQINAKSASNAMDRPPISELAG >Solyc04g049430.1.1.1 pep chromosome:SL3.0:4:41831957:41832160:-1 gene:Solyc04g049430.1 transcript:Solyc04g049430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINPEFNSIFQISNFEFLAFRASIELISVACDVGNCGALSGGLGDALQVCFEVLDKHCVPPFFLTP >Solyc04g010333.1.1.1 pep chromosome:SL3.0:4:3689099:3691976:1 gene:Solyc04g010333.1 transcript:Solyc04g010333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFFIGKPRRGTRSVIPPKRLDNYFWEGRKSREQR >Solyc07g007370.3.1 pep chromosome:SL3.0:7:2106508:2113472:1 gene:Solyc07g007370.3 transcript:Solyc07g007370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAKLKIGGAWSGVLEVELEEWTVVMLREEVAKRSGHGGGPETINLICAGKLLKDGDGTEKLSQLGVRNNAKILASRVSVDQGKSVKEESLAEEERSTRLSRLKAAAISLSARHADGSLPVEDFNLELENQSGQKVQLGSETDQRAIMMGLMLHANAKALMRKKKYKEALEVLTMGEEAFSLCDQKLIEMVDNVPILQIDMVWCYFMLRDISWLSVAGVRLAKAREGLERSHGKEASRLRLLQKGRYPEIALHLRLELLEGVVAYHSNQTEKSRKSLTSAQAKFLQLQIPDEALSLLLGMGYKEQDAKRALRMNNQVVENAVDFLVEEREKKARKRVDDLKRQKEIMEQKCYGTTPLGKAVDIERLNELVSIGFEKELAAEALRRNENDTQKALDDLTNPEANSSIQMHIESRRKKRLRQAANASIEELVSMGFPREAAAAAVRSFGTRQAAIDHLLQGTSTTAAAGDHNVDNTLPNDQVEDGIANDPQTNDQSEDGSGTNAGSSSNGGPSQNKVETRDVEMEDEITGELLKGDAYSDYDIEVTEEGEAINEYLALVTLGVNVEIGSSS >Solyc07g064780.2.1 pep chromosome:SL3.0:7:66907941:66911459:1 gene:Solyc07g064780.2 transcript:Solyc07g064780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNFMIMIIFGTLMYTSSARNLIGLDFSDNYNNNNIPRVSTSFGGGGGGGGHVGPNGIDFGVGVGVGGQVSVPGVGSIGGGGGGGIGGSIGRDGSVSMGGGGGGGIGGQIGNVGFGGGQGFGVSTQYSKAFIFLIFLGLFARDTSARILLGDAVATVFEIPAGVNGLGFGQIVGGAGGSSGGSSGGGGGGDGGFSGGNSDGFGFGFGSGHGSGLGGSGGGGGGSGGGGENGGSGFGFGIGEGFGGGGI >Solyc03g121270.3.1 pep chromosome:SL3.0:3:70914137:70918648:1 gene:Solyc03g121270.3 transcript:Solyc03g121270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRWVFLILIFVSFATIPIFSDSQLSSGELPDIPVKFLDFAKKSEVFDWMVEVRRRIHENPELGYEELETSKLIREELDNMGIQYKYPFANTGIVGFIGSGDPPFVGIRADMDALPMQEMVDWEHKSRNPGKMHACGHDAHIAMLLGAAKILLQHQNILKGTVALVFQPAEEGGGGAKKMIEAGALENVEAIFGLHVHPNFPLGKVSSRPGPFLAGSGFFEAVISGRGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFSKESFLQLRQRIEEVIVGQAAVQRCNATVDFLTNSKPFFPPTVNDKNLHKHFQRVAGDMLGNDHVKDMEPLMGSEDFAFYQEVIPGYFYMLGMQGETNENPASVHSPYFKINEEALPLGAALQASLAITYLLEAQPQVPSSSINGHDEL >Solyc02g036270.3.1 pep chromosome:SL3.0:2:30959251:30967050:1 gene:Solyc02g036270.3 transcript:Solyc02g036270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLAMCVGRVTDCLMQPLAQQIGYFFYYNSNITSLDNESHKLDNITSGVQQRAEAARRNLQVISQDVEAWLNSVTKINSDVEGVMHGRVEVERGCFYGWCPNLKSRYSLSKRAKRITLAVIELRNDGKDYVDFSYPAPPVVEIQAMSEEFDSRKLKEEEVMEALRDEDVSVIGICGMGGVGKTTLAEKIRVRAKKERFFDEVVMVTVSQQPDLKTIQAEIAGGVGLTFQGDNFWNRGDQLRSRLMGQDSILIILDDVWEALDLNKLGIPSCSNHNHQCKVTLTTRLRDVCETMEARKIIEVGILPEKEAWVLFRQKAGNSIADLSLHDTAKDVVKECKGLPLAIITVAGALKRKSKPSWEDALKQLQKSTPKNIPGVIKNVYQSLKLSYDQLESDEVRYLFLLCSLFEEDSNIWHEQLLRYGMGLGIFSEIENLEEARKRVCHLLETLKDRFLLSQGSGKNYVKMHDVVRDVAIYIASEGRHVFMVSHSVNSEEFPRRTSYEPYSHMSIVAQKIDELPKPISFPRLEFLMLKLLEEPFKLQDDFFIGMSKLNVLSLSGYEDSILTFPNSVQLLSNLRTLSLMNLKLDDISIIGELVTLEILIIRDSTIDVLPVEIGNLSNLILLEFWNERVPLENISPGVLSRLVRLEELTLVECSGDVIHSNLDISSNLTRYYLNMGQQVHSYHDSSLMDNYNRIMVLNVIETTPLGDWICRMLKKSELVHSRGNGSKNVLTELLGHGVQNMKDLLLADCDSMTHLLNIHCQNNIPFPKLERLEVIRFCSLRSLFSLSFVVGSSSNSTVACSNDEEDEISQRKHIRSEGNMVQVMKFPNLYYLDLHFLECFTHFCTDAVEGIDFPQLQILRFWELPEFQNFWPIDNNSTAGSNPLFDEKVLCPNLEELQLNGANSIAALCSHQLPTDYFSKLKILLLWNCGKLRNLMSPSVARSVLNLQILSIEACQSMEEVITEEEQLVQEMTTKPLFPRLEKLVLEELPKLGHFFLTKHALEFTFLGEVRINSCPEMKTFSLGSVSTHSLDRLIVDYAEVKDNLNKAIQQLFILKEQEACDAVLLSELVVSVLLQSRVPEEAKLVLVTDLKPNNATVLLSELVVSVLLQSRVPEEAKLVLVTDLKPNNASMLS >Solyc04g064850.3.1 pep chromosome:SL3.0:4:56021821:56033107:1 gene:Solyc04g064850.3 transcript:Solyc04g064850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWRGILVSLLFSITLGESSSNSRKMWSLKIVSESSPVYSWAVYSAGFFVLVAVVLSMYLIVEHLAAYKQPEEQKFLIGLILMVPVYAVESFLSLMDSNAAFNCEIIRDCYEAFALYCFERYLIACLGGEKNTIEFMESQSFVSSSLPLLDDAYAYGVVEHPFPLNCFIREWYLGSDFYQAVKIGIVQYMILKMICALLAVIFHLLGIYGEGKFEWGYAYPYLAVVLNFSQTWALYCLVQFYSVTKIKLAPIKPLAKFLVFKSIVFLTWWQGIAVAFLLSFGTFKGSLAQLLKTRIQDYIICIEMGIAALVHLYVFPAKPYKRGERCVRNVAVLSDYAALDTAPDPEEVRDCERSTRARISRPEEREKRLKFHQSVRDLVVGSGEIIIDDMRFTVSHVAEPVERGIARINKTFHQISENVKRYEERRRNSKDDSYVVPLNSWTNEFSEVHDDLAEGSMSDSGMHSKRSHHQSKGMSSLRR >Solyc06g053740.3.1 pep chromosome:SL3.0:6:36681893:36689114:1 gene:Solyc06g053740.3 transcript:Solyc06g053740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDEVVDGFVDSDTLIIKARIQVIRERADRPFHCLDSQYRREIVGVYLTNVEKICLHFVEEQRGKLGKFIGDKARWPSFCAFWLGIDQNCRHRMSREKSDQILKLMVKNFFIQKEVTSTLMMDSLCSGLEALVGQTNGESGKGNAEEQTVPIVSMENDMFVLVDDVLLLLERAVLEPFPPKNEKGPRDHTNDGEDTNEDSIQRDERRLNELGRQTIEIFVLAHIFSKIEVAYEEAVASTELDKLSIEEAV >Solyc03g093735.1.1 pep chromosome:SL3.0:3:56816095:56816766:1 gene:Solyc03g093735.1 transcript:Solyc03g093735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIIVTRSNPSHVPELFLQLGKGFAMKDLVPLHFLLEIEVSGFHLNQSKYVAELLAKIEMTLAKVVTTPLVQKQGLHEAVESLVDTSYYRMIVESLQYLTLIGPDITHVANLASQFMQSSNIEHHKGVKRILRYIKVTLHFGLIIISQSPCCTTTRRSTIGYSIYLGANSISWTSKKHTTVDRSSAEAEYRALASTATEMTWILYLLHDLGVFLRYVP >Solyc02g090480.3.1 pep chromosome:SL3.0:2:52648116:52656092:1 gene:Solyc02g090480.3 transcript:Solyc02g090480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPRCYLDISLGGELEGRMVIELYKDIVPKTVENFRALCTGEKGIGPNTGVPLHFKGSCFHHVIRGFMLQGGDISTGNGSGGESIYGLTFEDENFKLKHERKGMLSMANSGPNTNGSQFFITASQTHVLDGKNVVFGRVIRGLGMIRVIEHIKTSENGFPDLPVIIEDCGEIPEGADDGTINFFKDGDTYPDWPIDLDVKPDEVAWWINVVERIKAFGNEHFKKEDYKMAIRKYRKAVRYTDLCWEKDDIDEVKSEYLRKTKSQILANSSACKLKIGDLTGALIDANDAIYDGEDNVKAFYRQGQVYMAMNAIDAAAESFKKALELEPNNGGIKKELAAAMKKIAYKLEKEKKGYAKMFQ >Solyc08g083400.3.1 pep chromosome:SL3.0:8:65981212:65985658:1 gene:Solyc08g083400.3 transcript:Solyc08g083400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEVGESNTIEREHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSTNEKGLLLNFEDTNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGELGKDRLFIDWKRRPNAPDHLHFMTNHHLHNNLYRSNIQYPAAAWDRPLFLQPPDQSHLQYSLEPAPGSMSQQQQSHGSSPNYYYDTCNFINSRTTVGCGASGVRLRTSCSRQGSSKAIQVLVLVAASLGTPPSFREDI >Solyc12g039180.2.1 pep chromosome:SL3.0:12:53478238:53489053:1 gene:Solyc12g039180.2 transcript:Solyc12g039180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:K4DER4] MEDYQELEFPLPSWIRKNSSEMAQSWFLILSFFIAGLVGILTIFYTAFQWRRNVNISWMKAIARSKKNPKAKYTVPVAPHTWTLESISRGKSLNCCVCLQSMSPSQTLGPMVASESSFNCCNTCGAAAHLSCSLNALKDCKCVSMFGYKHVVHQWAVRWTEVADQPDESCFCSYCEEPCSSSFLGGSPVWCCLWCQRLVHVYCHANMFNETGDICDLGPFKRLILSPLHIKELTRTSSGGLLSSITQGANEIASSVRASIRSQSKKYKHKHNNKKNGNVVSAGNGDTMGNTSTESTTDTYQVNDTYREEENYNGGIQRENVDQHQGNVLKKLVSIPNFKRSSSINQKDESQLIRIKQKYELTDLPPDARPLLVFLNKKSGAQRGDSLRQRLNILLNPVQVFELSSTEGPEIGLYLFRSVPHFRILVCGGDGTVGWVLNAIDKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGAVERQGGLCTLLHDIEQAAVTILDRWKVSVLNQQGELLQPPKFLNNYLGVGCDAKVALEIHNMREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVEIEVPEDAEGVLVANIGSYMGGVDLWQNEDESYDNLDPQSMHDKMLEVVSISGTWHLGKLQVGLSKARRLAQGQVIKIQLFAAFPVQVDGEPWSQQPCTLTITHHGQAFMLKRAAEEPLGHAAAIIADVLENAESNKVINTLQKRALLQEMAIRLS >Solyc04g007340.3.1 pep chromosome:SL3.0:4:1050436:1052059:-1 gene:Solyc04g007340.3 transcript:Solyc04g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMGPERSKPLHNFTLPYGLKWGNQRHLRCAKVESNGEISSVHRRSNGSESIGRRMEMEIEMVNRRGSNDRFTRKFRSPGKEDDGDGIGAVREKLMFDLLTEADKMKETIFKEGMDEQPKKFPSPSPAPATTMTGAASDAAADLSRPWNLRTRRAACKEPNGFVAGAGAVAGGNGGGSKGGLKIDTNRTNAPSAGAASVGEKKQKVKFSVPLSRREIDEDFMAMAGHRPPRRPKKRPRLVQKNLDMIFPGLWLTEITADMYKVPDDQ >Solyc10g017910.2.1 pep chromosome:SL3.0:10:6147770:6148458:1 gene:Solyc10g017910.2 transcript:Solyc10g017910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRFPRFSQGLTQDPTTCRIWFGISTTHDFESHDDITEERLYQNIFASHFSQLAIIFLWTFRDLFHIDRQGNFESWVQDPLHWWHTIGLCPNENLYTDALFVLFLSSISLIVGYTYNRNGNRSYYWFKNAESRLNHHLSGLLGGLVHVAIPASKGDYVRWNNLLDVLSHPQGLGPHFTKF >Solyc04g009340.3.1 pep chromosome:SL3.0:4:2776549:2785771:-1 gene:Solyc04g009340.3 transcript:Solyc04g009340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVMMHQHQHHHSTAPSTHPINPPPPSSASVLRSPNTPTSNTQQFPSIGSPSVEHGLPLARVKLSDIVPYDGAPVGPYLRAVEALSGSLMRHNAAVIELGGEGTAVLRCGLESARYFFKTRAVAQNGGGGAGGAALGKSGRGVYIYRAGRPLEDMDSSPPCMTEVFRCMGRAARAALCAIARHLRLRSDVFNNLLDDNPLPVNEASSSVVVATFSNTTSQNGKGAIGGGKLVTNGEVEKGLLTLVSSDAPGLQVCDPNGHWYLADSGLTPGDLLLLTGKALSHATAGLRPAAVYRGSADNYVGTTCGGRTSLAFRLIPQSNAILDCSPITAAGHVIPHSYVPISVSQFMDDLSAEEDMLCSNTDNAYVARDNVNKEPSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLKRVIDMARCILCNAEIERGSLIPNHVLRTAAAAVKHEDDRRLFHNAALRKRRKEVGDIRENGELPSENGPHKGVQYPFSVNEKVLIKGNRRTPDKFVGKEAVITSQCLNGWYLLKIMDSGENVRLQYRSLRKFLPTQETEERCQSQTVQNSS >Solyc11g071630.1.1 pep chromosome:SL3.0:11:55344445:55345058:-1 gene:Solyc11g071630.1 transcript:Solyc11g071630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIASSSKGKRKLNLMKKLSVLIVANDDISQNSYILCLQNFGVDTLGVRDGLMAFNIHDKTQMRFDLILMSSIMPMMDGIEATKKLRSMGITTTIVGITTPDDNEEYHKKFMEAGLDECYEKPLTKEILQSLIEKIGNKV >Solyc03g096680.2.1 pep chromosome:SL3.0:3:60426551:60428401:-1 gene:Solyc03g096680.2 transcript:Solyc03g096680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit G [Source:UniProtKB/TrEMBL;Acc:K4BJ86] MVSNGGSKIRWGELEEDDGEDLDFLLPPKQIIGPDEHGIKKTIEYKFNDDGNKVKITTTTRIRKLAKARLSKRAIERRAWPKFGDAVHEDVGSRLTMVSTEEILLERPRAPGSKAEDSKTAGDSMAQLVKGGAVLMVCRTCGKKGDHWTSKCPFKDLAQPSEGFVDKPPVAEANMPGGAVKGAYVPPSLRAGAERPAGTEMRRRNEENSVRVTNLSEDTREPDLLELFRPFGPVSRVYVAIDQKTSDSRGFGFVNFVNKEDAQRAIDKLNGYGYDNLILRVEWAAPRTNSHVLKF >Solyc12g099050.1.1.1 pep chromosome:SL3.0:12:67329802:67329984:-1 gene:Solyc12g099050.1 transcript:Solyc12g099050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIKSLHYIVLLVFFIGIRSAQTSKLIHPNLLMAKIQLCRLYFYETYSRLFSLFISFKHW >Solyc11g061960.2.1 pep chromosome:SL3.0:11:48963677:48975901:1 gene:Solyc11g061960.2 transcript:Solyc11g061960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRKMEEYEVVEQIGRGAFGAAFLVHHKFEKKKYVMKKIRLAKQTDKLKRTAHQEDSFVCIVTSYCECGDMADMIKKARGTFFSEDRLCKWLIQLLLALDYLHSNRVLHRDLKCSNIFLTKDDEIKLGDFGLAKLLNKDDLASSIVGTPTYMCPELLADIPYGYKSDIWSLGCCMFEISAHQAAFCATDMAGLINKINRSTISPLPTIYSSTWKRLIKSMLRKSPEHRPTAAELLRHPHLQPYLAKYQNLSPAFVQVCCDKDVIKQNPDQTHENSTSKDIRQAKKHDKVVVVHEFKGNTCMIKTKPGDLDYERLQRISVKKSGSTNSISSTRSTLTDNTIEGTKCFYKKSGIPKPHIEVTSHANKVRANVIDHLSTNEKCDDHKRASSDTSVMSNGREEALESLLELCAELLKHKKLEELAGVLKPFGEQVVSSRETAIWLTKGLINLQNPSEEA >Solyc09g064370.3.1 pep chromosome:SL3.0:9:61781099:61785732:1 gene:Solyc09g064370.3 transcript:Solyc09g064370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-(hydroxymethyl)glutathione dehydrogenase [Source:UniProtKB/TrEMBL;Acc:D2Y3F4] MATQGQVITCKAAVAWEPNKPLVIEDVQVAPPQAGEVRVKVLYTALCHTDAYTWSGKDPEGLFPCVLGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPVAPLEKVCLLGCGVPTGLGAVWNTAKVEPGSIVAVFGLGTVGLAVAEGAKAAGASRIIGIDIDSKKFDRAKNFGVTEFINPKEHEQPIQQVIVDLTDGGVDYSFECIGNVSVMRSALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPSLVDKYLKKEIKVDEYITHNMTLADINKAFDLMHDGDCLRVVLDMFV >Solyc02g070855.1.1 pep chromosome:SL3.0:2:41007707:41011662:1 gene:Solyc02g070855.1 transcript:Solyc02g070855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTVFTEQIFRHTVTLLVFLLMLVAAVLIWIGRGRNPIDSSVLARLHDPDLCRSFCLSSSFAGSVAFACYGLVLFLKMSKVRSERASSEMWKVAGLAVVSVLCFTLSELSPYSQIYPIRGMTERGLQLICSTKNASSVFFYTFSICAMADERTTTSTCISVNRSRFSNSTASAMDSCCKCAKSGLFTDQMKWEKVEDKEHKLFISSHITLGTGNHDPQTRQNCSLAVERQLIQTLFFTFHRTSKPKREYGRKCRINEELNFLHWRSFHSFIDFHYITFVGFTNLYQCVLSIVDAGLKGEPHMII >Solyc10g049533.1.1 pep chromosome:SL3.0:10:45995863:46000656:-1 gene:Solyc10g049533.1 transcript:Solyc10g049533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIHGFSIAPEINLKPLKVKLGFCYRVDLACSWRNRCGILYLRINSFGESFSQAQTSEDNNSIYIDVELNYEPVARQKLASFLGIISRTTDLTQLNVNDWRVFDDEEKKKLVEFVKDFTTKKIKLCTNGRSN >Solyc06g074720.3.1 pep chromosome:SL3.0:6:46390590:46394767:1 gene:Solyc06g074720.3 transcript:Solyc06g074720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKARKKAITKKLKKGASDFSASSASKDEAREFLPLEGGPAQKLPKTEVRENKATVLYIGRIPHGFYENEMEGFFKQFGTIKRLRIARNKKTGKSKHFGFIEFESPEVAKVVAETMHNYLLFEHLLQVQLIPPEHVHPRLWKGVNRWYKPLDWVKIERKRQDKERTLDDHKKLVEGILKRDKKRRKRIEAAGIDYECPEMVGSIQAAPKKIRFDAD >Solyc01g010890.3.1 pep chromosome:SL3.0:1:6284903:6290113:1 gene:Solyc01g010890.3 transcript:Solyc01g010890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQIVIFLVCFLAIASFVKGSGKGKWINAHATFYGGGDASGTMGGACGYGNLYNQGYGTKTAALSTALFNNGLSCGSCYEIKCVNEHKWCLSGSIKVTATNFCPPGGWCNPPLHHFDLSQPIFQHIAQYRAGIVPIAYRRIPCRRKGGIRFTINGHSYFNLVLVTNVGGAGDVHAVAIKGSKTQWQSMSRNWGQNWQSNSLLNGQSLSFKVTTSDGYSVVSKNVAPPSWSFGQTYTGGQFH >Solyc08g067590.1.1.1 pep chromosome:SL3.0:8:56646782:56647144:-1 gene:Solyc08g067590.1 transcript:Solyc08g067590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWLPGMNCCNISEDHTTKALHFLYQSLIQSNFQNRGAKGSVDVKAYNGREVSVKKRKSRKQDYIGNQLGESDANAFERKFRKQKKSKAIQTEEKKSSRSKGEGKSRRRDTGFKDSAHI >Solyc03g112293.1.1 pep chromosome:SL3.0:3:64221848:64224672:-1 gene:Solyc03g112293.1 transcript:Solyc03g112293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANIESKNKHPIPAISDMKNESLVRQTFPTCLHCTVAASLYISERMRASTSMHHLSCYCQDTISIETLSPKMQPPPPKKKALECKLNKHKRGLYPTPAIGQESIEKGIYGIVHDVKLKVGKDVEARRDKPSNNFVKTNADGSCKEGYCGGGGVIKDQLGSLVFAYSLNLDQGTSNWAEAKAMLYGVQWCISNGYEFILAESDSKLLVDCVNDLSIIPWRIQDEVKELKDHMENTVFILNLCYREANKVADALASMSFLNPCNNLYKDFAFLPFGVKGLMTMDKWGQTISEPNKRRSKISYGILHKEPCVD >Solyc05g011830.3.1 pep chromosome:SL3.0:5:5061346:5066013:-1 gene:Solyc05g011830.3 transcript:Solyc05g011830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGNQNGILGHSNGYKEADALGFPVNDFGNTNVHDNREDPLACDRKDGNKFWEVPELDDSIFFDNNDEIKASNVRDNHNVDLSTINGDNRGGNPFACDIPSSETNEIVAASVTDDQTGSLSNIIHTKRGGNPFECDTKDRNQPWNIPEYESLDFLDDKGNETIDSDSPFTSHSELFENNKHFYSDKGVTDHELSELTVCYRENNFNIVKDICMDEGVPAVDKVLTESWKDDQLSTSVSVDADEEHQSNTKKSVDMGSSIATVSQDSSCEDAKNIAVTHGAEIEPTGAPIPNDFNPSLENKANKDADKDSYLEDLLMIFGSKCTTNGKTTNASEKPSSPNTVVRVEESNIKTSDGDQSTLQPDQVPFDQTLKSQTAISAADESNNNKGNSKEGAGTNIFDFNLTKPESTTTTEGGVENLPEDSHKPKAVSVHKNGNSDNISASSQVPFANTADNAHQQHLESQNMANGQGHFADGEASFSAARGPISGSITYSGPISYSGSLSLRSESSTTSTRSFAFPVLQNEWNSSPVRMAKAERRRLSKQKGWKQGLLCCRF >Solyc09g060120.1.1.1 pep chromosome:SL3.0:9:57854140:57854547:-1 gene:Solyc09g060120.1 transcript:Solyc09g060120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRSWISSSSSINTAADRPVPPPKGVFSNDASNSLNPRNAHVTFLAMHNNLLYAASSNKINIFELINFTLIDTFNNKDPSSGSAKSVIFLDGKIFAAHQDCKIRVWKLSPNKQHKLIATLSGLAMNKNLMCSVL >Solyc01g006920.3.1.1 pep chromosome:SL3.0:1:1487515:1491708:1 gene:Solyc01g006920.3 transcript:Solyc01g006920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLETDVAPDNYVVSSILGACSSLEYIKGGKEIHGYVLRRGAEMDVTVSNVLIDFYMKCGNVKTARSVFDRMEVKNAISWTTMISGYMQNSSDWEAISMFRDLNGLGWILDRFACSSVLISCGSVEALELGRQVHAYTVKANVDSDEYVKNSLIDMYAKCNSFGDARKVFDIMGDHDVISYNAVIEGCLTQNRLYEAFDLFAEMRENLIPPSLLTFVSLLGASASLFSLELSKQLHGLTIKFGFSADMFVCSILVDVYSKCLSIGYARQVFNEMNEKDIVVWNSMLFGYIQQCENEEALKLFLLLRQSLQKPNTLTFVALIAASSNLVSLLHGLQFHNQIVKLGLDFDLHVTNALVDMYSKCGSLEEARKMFNSTIQRDVACWNSMISTYAQHGEAKEALNMFEKMIKDGLKPNNVTFVGVLSACSHVGLVKEGFRHFYSMAGYGIEPEMEHYVCMVSLLGRAGKLVEATELIETMPIPPAAIVWRSLLSACREAGHIDLGKYAASMAISIDPKDSGSYILLSNIFASKDMWINVKKLREKMDSSGVVKEKGCSWIEINNEVHLFIARDRSHHQTDLIYSFLELLIRNMKGIGYVHEDTTFSNE >Solyc03g115110.3.1 pep chromosome:SL3.0:3:66399627:66404095:-1 gene:Solyc03g115110.3 transcript:Solyc03g115110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAALRREGRRFATPLISPNPATVFRSSIIPSEEPVLSGVRCISTQVVRNRMKSVKNIQKITKAMKMVAASKLRAIQTRAENSRGLWQPFTALLGDTPSIDVKKNVIVTISADKGLCGGINSTSVKISRAMHKLNSGPDKENKYVVLGEKAKAQLVRDSKKDIELVMTELQKNPLNYTQRSLVAGVKWVMVSVMADDILKNVEFDALRIVYNKFQSVVSFLPTVSTVLSPEVVEREAESGGKLGDLDLYEIEGAETKGEVLQNLTEFQFSCMMYNAVLENATSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELIEIISGASALEG >Solyc06g036660.1.1.1 pep chromosome:SL3.0:6:27229403:27229600:1 gene:Solyc06g036660.1 transcript:Solyc06g036660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSSTIFRIFTRQLYHTVLINVQRPENYFSSYARPRQLKDYMLVSISLDLREPDFPHREQNYLQ >Solyc12g026410.1.1.1 pep chromosome:SL3.0:12:23204448:23205353:-1 gene:Solyc12g026410.1 transcript:Solyc12g026410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGYLYLPKDNPNKPLGEDAHFMHELYQTIGVAGWALKGINVGIYTRELMRNSFIATYDEAMKGHVSPKRVLEEAYKNTNSKGQSTICIITLNSVKSTMVTANVGDSGLLLIRKGKIIYKWPIQQRGFGCSYQLGNCNANNPSVAQEMELNVEKDVILMVGTDVMLDNIFESEIEKIVRRAINEKLKAEGWLVKLGTLLCIIHLIDLQIHHMLEHLREDIKEEKLMILLLLLLIHNNKIIKIKSSNKKIECFCFVAYEVQNAICKLYYIMQMLYYILFREFNILYNPNIQINLCTSDQFN >Solyc10g080140.2.1 pep chromosome:SL3.0:10:61650945:61652722:1 gene:Solyc10g080140.2 transcript:Solyc10g080140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAFPSNCEREVASALLLLSAISPSPLPKSNSMIEISHKVALSNSNSALDLDSDSNLKSKSSASSSLTSVNCSFTEARSQSRRMKMIAAFHDQIVKLKVVRQRRSKSFFISDYRQMSSGKPSKASTSLTTFCRSTMVSASASSSSCVSTDSGITSAQSLAADRVIRGKRNSQLTKELNPKAPPYLRRRAEAILRVLSHGSISEIRIRQLIGNSPDTSKALRM >Solyc05g056120.3.1 pep chromosome:SL3.0:5:66360248:66362822:-1 gene:Solyc05g056120.3 transcript:Solyc05g056120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4C2T6] MGSNVVDEIDCGSFFDHIDDLIDFPLESENVGLSSTDCKDFPSIWNDPLPDSDSLFSGSHRNSASDLSAELSVPYEDIVQLEWLSTFVEDSFSGGGLTLGKENIPVEKEPSSQGKFQTSSPVSVLESSSSSSSSFSSSGEKTLPLSPCHRGPQRARTKRPRPTTFNPFPVFVAPVVPTESENFAESPMKKILKPAEPEQKKKKKIKFSIPLAPVETNQNPVAQQAVRKCQHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPALHSNSHKKVLEMRTTIVPDNDIIARTSSPAIATQLEFNPSNVSVEEEHK >Solyc01g104770.3.1 pep chromosome:SL3.0:1:93024183:93030728:-1 gene:Solyc01g104770.3 transcript:Solyc01g104770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQSCGAALLFGYLFHVIESRNLPRVLIFKTYFPLVYQLTVWHRRKYASDDEKSSSSEKIIRHNLVCGDVFDLPVVLMTSKVARLDVPGWDVSTWMMIVAARQQKKKLFLGLKLLREKHFRERLVGWGENPQNALSLKTTCCYHRPVVISNGPRDENKVLHGALSSPLCLLLRRLSGPWDLFRSMQVNHHLAGYPNQGPVVLMQHAPQVRSSGVSNMEDTPQEMQLLGAVRLNRVEMPESYHEGLNDAREVSGHTEGKQPVYQRMKWSNDMVKLLITALSYIGEDALSNGVLVKKGKWRAISCVMSERGYRVSPQQCEDKFNDLNKKFRRLNDVLGRGTACNVVENPVLLEIMDLPNDLKEEMNKILGSRQLFYEEMCSYNNQNRLFLPHDPVVQQSVFWAVRGKYKYETGSMSQDLPLKRNIGGEESLDHNTTADPNLVQHMPAKRKARGEERNLHMARLSLNGTATLDPDPNMLYPNSNGIQLSLNKKEKKIQDEHVLLRLQKMEEQKLLIQGKLLELEKQRVNLEISCSEEDMGLQKMQLDNKVIKLENKQLMLELKCGGKQPAAVCLASVSPDTFAASGFSYVVTEFFSALANDSFNLLLHTVPLIVVLLQGQSQNLNLMMSIDAISPASFISSTFFSPSSPLICLSTAVTSEGRPW >Solyc03g058210.2.1 pep chromosome:SL3.0:3:25651433:25651800:-1 gene:Solyc03g058210.2 transcript:Solyc03g058210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQPQTHFNVRDNSGATELMTIRVIVASNRQYAHTGDVVVAVMKEAIQNIPLEKLKRDNGMIIRHDDNGAVVIDQEKNPKVTQIFGAITEELRELNFTKIVSLYPNIL >Solyc10g047520.1.1.1 pep chromosome:SL3.0:10:40937334:40937639:-1 gene:Solyc10g047520.1 transcript:Solyc10g047520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDSSLISNLLISYCCAGIFEGLASLVDIEGRSSTMVMAIVEAFPSLYLIVLDFPHVIGDRKVTEKLEFVAGSMFDKIPHANAILLKSTYYLEFRQKLTR >Solyc05g013950.1.1 pep chromosome:SL3.0:5:7428368:7430713:-1 gene:Solyc05g013950.1 transcript:Solyc05g013950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLRFPSLFYLGLLLRGSKIFSTFVSFMKSKDSSDGTEQALLDELKALEEHLKVHGPYVDGKNVCSVHMILAPKLYHLEVALGHFKKWSVPESLSHVRNYMNDFLGSGANFPVGNVSCFLFYSRHVAAFVIAEMKIVLFI >Solyc10g081320.1.1 pep chromosome:SL3.0:10:62547903:62550101:1 gene:Solyc10g081320.1 transcript:Solyc10g081320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSVGSCYVQKMNFLVPCVEENPWRLHQMENKFKDEEEGLVDLIGIKDTNHNINGGTKLCARGHWRPHEDAKLKELVGQYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFSEEEEERLLSAHKMYGNKWSMIARLFPGRTDNAVKNHWHVIMARKHREQNSVYRRRKTSNLQQIIAPNNNNNNDDDNDDMIIQSDSTISSNIVDDLQSDSTCTNLSLTPSSSKAIIHPFLMTRFNHHHVEHQGIIDPNKGLSRDEEEVKMGLYGNMSDTNSEISASESVANNMTNIVKIYEQDEDDIKTVNFIDFLGVGAT >Solyc09g092420.2.1.1 pep chromosome:SL3.0:9:71996497:71997351:-1 gene:Solyc09g092420.2 transcript:Solyc09g092420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LANGLPRTIVIVALVIALTYLNYRGLTIVAWVATVLVIFTLLPFLIMGVIALPKLEPSRWFVVDLENVQWGLYLNTLFWNLNYWDSVSTMAGEVEDPGKTIPKALFYALPLVVSGYFLPLLFGTGAVPLHRDLWSDGYFSDIAKIIGGVWLRLWVQGASAVSNMGMFLAEMSGDSYQLLGMAERGMLPDFFAKRWRYGTPFISILFSASGVVLLSCLGFQEIVAAENFMNCFAMILEFLSFVKLRIKYPAASRPYRIPLGSYFSSDKKDMQNRTSQHAKNLLHI >Solyc02g087200.1.1.1 pep chromosome:SL3.0:2:50305579:50307024:-1 gene:Solyc02g087200.1 transcript:Solyc02g087200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BBM7] MGTSKQRWRISFHKSPSKQPPIPIEFVCPISGSLMADPVIVSSGHTFERHCVHACKSLSFTPVLPDGSVPDFSTVIPNLALKSTILNFCRSSFLDPPKPINFLTAENLVFTLKATRKPQDATRFNGVRVMQTETELNRVPSHISMSSEESVTPRSGPTYYSSSSASDFETLNPNSFEEDELLVKLKSSQISEQEEAVISFRKLTRTREETRFQLCTPRILSALRVLITSRYVSVQVNSVAALVNLSLENRNKVKILRSGIVPSLIDVLKSGFQESQEHVAGALFSLALDDQNKTAIGVLGALPPLLHALRSESERTRHDSALALYHLTLVQSNRAKLVKLGAVQALLGMVKTGHMMGRILLILCNLAASSEGRAAMLDGGAVECFVCMLRKGEFESESTRENCLAALYGLSHGGLRFKGLAKEAAAEELLIQVEEMGSERAKDKVRKILEVLRQKDEEEEVDWEKLLNSDDDISQARISSS >Solyc04g005260.2.1 pep chromosome:SL3.0:4:192313:194876:1 gene:Solyc04g005260.2 transcript:Solyc04g005260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPNARRYAIEKHNKEEESIISNNYGNNLFYLSKHLKRVYPIELHKTFINDFSSPLDHKKREILDKNIVVPQVIPCPNEKELMRCSWITNTTDKVYVQFHDECWGVPVYDDQEAFVGFNAKQVAEMGEKDINELVSNVSLMLAENRARSIIGEEFGSLSCYMWNHMNYKAIINRFKNARNVPLRSPKAEAISKDLVKRGLRHVGPVVINSFMQAAGMTNDHLIYCFRHKQCVNLAERPWRHV >Solyc01g095670.3.1 pep chromosome:SL3.0:1:86743291:86747080:-1 gene:Solyc01g095670.3 transcript:Solyc01g095670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINAVRLTPLSVRSDRKNEAKKIPSHPISFPFKNLCSVENLSRNVQGGLVLVSSVLNTGLAKALTYEETIEQSETIPGAEFDATAVVETVTTFASENPIIIAGGFAALALPIVLFQVLGKPPKSWGVESAKTAYAKLADDAGAQLLDIRATAELREVGTPDIRGLKKKPVTVVYKAEDKTGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTENGFKAAYAIKDGAEGPRGWLNSSLPWILPKKTLGLDLSNLSDALDGVLGEGSDAVTVGLGVAAATGLGILAFSEVETILQLLGSAALVQLVSKKLLFAEDRKKTLQQVDEFLTTKVAPKELVDEIKQIGKALLPDSVSSNALPAPVEASPAAATSTVEETESVPKEDIAPPKVEAISPPTPEVSSVPNAEVKADALPESSRPLSPYPNVKFPFLCSTDLFITSF >Solyc12g013660.2.1 pep chromosome:SL3.0:12:4505350:4506162:1 gene:Solyc12g013660.2 transcript:Solyc12g013660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFAPQSWFNQCRIIDNGTRTTSMMNVYGTVSSPASKRYRGVRQRHWGKWVAEIRLPRKRTRLWLGTFESAEEAAFAYDVEAFRLRGTDARLNFPHLFLGDLAPFDNNINVTNSASPSTTSFAKNPFQIQHNKRICTDDNLQQQKQQCDFTITDPGFGDNVFDFSSKLLG >Solyc12g056420.2.1 pep chromosome:SL3.0:12:63296363:63300939:1 gene:Solyc12g056420.2 transcript:Solyc12g056420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMASAIGVSIPVFRFLLCFVGTIPVSFLHRFVPCVSGRHFYAALSGAVLSYLSFGFSSNLHFLVPMLLGYASMVLCRHYCGIITFFLAFGYLIGCHVYYMSGDAWKKGGMDATGALMVISLKIISSVINYQDGLLKVEDLSEAQKKNRLLKLPSLLEYFGYCLCCGSHFAGPVYEMKDYLEWTERNGIWKPSDKGQPSPFGAALRAFLQAALCMGLYMYLVPLFPISMFLDPMYKEWGFWTRLGYQYMASFTARCKYYFIWSISEVAIIISGFGFSGWADMTNPPKAQWDRAINVDILGVELAKSSVQIPLAWNIQVSTWLRHYVYERLIRKGKKPSFIQLLVTQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQATSNIQFQKTLVFMNFVYTLLVLNYSAVGFMVLSLHETVTAYGSVYYIGTIIPILVILLGKMIQPAKPVRSKAKKDE >Solyc05g025640.1.1 pep chromosome:SL3.0:5:35397769:35398236:-1 gene:Solyc05g025640.1 transcript:Solyc05g025640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDFDRNWFLKFPYSQWLEYNIKRDVSDSFGTKAFQSWNKTLETLNKLVGEIDNFHVRCFKMMQDLMNQTNLFLLHMTSNPKKIKGEYWIRLNASIDVVKYLLNEGMPFRGHNEGINSTRRGPFLNLLKWYVDRKK >Solyc03g122020.3.1 pep chromosome:SL3.0:3:71497802:71506560:1 gene:Solyc03g122020.3 transcript:Solyc03g122020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIPSSSPKLTQPSNPIMDTTNQVTAGGAAPSTTSSNPGITMTSPSNNNSIAQSPSIDLPQIPNSNLMSASNFQMQQNLQRSPSMAARMSQLQMQQQQQQFGLMRQQSGGLYGQMNFGVGGGQMGSGNLARAALMGQTGHLPMLSGQAAAAAAAVAQFNLQSQFLNSPRQKAGLMQGNQFHTGNSHGQSLQAMGMMGSLNMSSQLRANGALAYAQRVNQGQLRQQQLSQQNPLTTTQKISAQSLPRTSFANSQLPGLTQNGQSAIMQNNSSQQQWLKPMPAISTPNSPSFRLQQQRQQMLLQHQNSMGLNPQQLSQIQQSSPRMAAPAGQKSLSLTGSQPDATGSGTTTPGGSSSQGTEASNQLLGKRKIQDLVSQVDAQGKLDPEVEDLLLEIADDFIDSVTTFACNLAKHRKSSTLESKDVLLHLEKNWHLTIPGFSSEERKQYPENSSSDLHKKRLDVIRTLMETSQTETSTNSSAKETVRPVAGDSNHMIRPPSSDNMVSQSNASQMLHEMTRF >Solyc12g008380.1.1.1 pep chromosome:SL3.0:12:1810749:1811402:1 gene:Solyc12g008380.1 transcript:Solyc12g008380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEPSAPQIAGKKLWNIVRIMLYMLRKGMLKSKLILDIQITFQDMLKRGKIAGKAISNLMLHPPHCSSSSFTCISNNNNDVAMSFITRREYEFSCSNSPKFPLYNKRRRNRKGEIDVVQKVFEILNTTSTSHEAGGTTSIALASPLALLGFGKSPNNVRKLRVTDSPFPIKDSEENYNSQVDKDAEEFIKKFYKDLKQQRKIASLESPSPYHVYAR >Solyc11g043000.1.1.1 pep chromosome:SL3.0:11:34088571:34088786:1 gene:Solyc11g043000.1 transcript:Solyc11g043000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWHGDLAIGCNSQTQITVGRYTNLIGRDNKGSGQVRSIRMNSSEQLQIALISLLPMVRKLISYTQPVQFG >Solyc04g077370.2.1 pep chromosome:SL3.0:4:62377520:62381140:-1 gene:Solyc04g077370.2 transcript:Solyc04g077370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4BUH4] MEIGMVSSSRKQMKFFLLMLLILLCGFLCSISSAKDTITANDFLIEGKTIFSSDESFELGFFSPGSFANNWYIGIWYKHDEMPDKSVVWVANRETPLNNTSGVMLKIVDSGRLAIVTSANMSIWSTNSSRLLAVKNPIAQLLNSGNLIIRDANDTKPENFLWQSFDYPTNTLLPGMQLGKNFVTGQEFYLSSWKNEYDPAPGKYTYHCDPTGYPQVVVRKGKAKVFSGGPWNGLRWSGVPGLTKNTIYTFKLDFDEKKAIYSYTLVDNSVVSKLTLNSHGMLKRWTWDEKIHEWHVYLASPADACENYGACGAYGSCNIILSPVCRCLDKFVPKDPKNWSVTKWSGGCVRKTPLSCKNGDGFLKYSGIKLPDTQYSRFDVSMSLQGCEKVCLKNCSCMAYSNLDIRNGGSGCLLWYGDLIDIRELPGGQDIYIRIANSELGSKKKTKALVLSLSLLSGVSVIGLIIGLYILIKTRNKKSKMTLKDDLELPLFSLSTVTKATSNFSDKNMLGEGGFGSVYKGILEGGQEVAIKRLSKSSSQGVNEFKNEVNCIAKLQHRNLVKLIGCCVEGEEKILVYEYMPNRSLDFFIFDENKSSILNWPKRFNIINGIARGLLYLHQDSRLRIIHRDLKASNILLDADMNPKISDFGIARSVIGNETGANTHHVVGTHGYMSPEYLIHGVFSIKSDVFSFGVLVLEIISGRRNRGFFHGSHSINLLGHVWKLYKEGRPLELIDLHITDSCYFTELLRLIHVALLCAQHSPEDRPDMSEVVVMLANDAILPESKEPGFYTESIFPDSEYSTTMYSKNEITITQLDPR >Solyc08g075830.3.1 pep chromosome:SL3.0:8:60041368:60043161:1 gene:Solyc08g075830.3 transcript:Solyc08g075830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CMV0] MATLTFLSFLILQLFLITNNCEGVEVGFYKKTCPNVEAIVKETTKHYISIAPTLAAPLLRMHFHDCFVRGCDGSVLLNSTKGNKAEKDAIPNQSLRGFQVIDAAKSALEKECPGIVSCSDILALAARDAVSLINGPTWSVPLGRRDGRVSILSEASKNLPTPFDNFTTLKTTFGALGLNVKDLVVLSGGHTIGMSHCFSFSSRLYNFTGKGDMDPNMDQNYINHLKIKCKPGDVTTIVEMDPGSFKSFDADYYTMIAKRRGLFASDAALLSNTQTKEYVLSQLNRHGSTFFEDFGESMVKMGQIGVLTGNAGEIRKHCAFRN >Solyc08g065533.1.1 pep chromosome:SL3.0:8:53723242:53729881:1 gene:Solyc08g065533.1 transcript:Solyc08g065533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLDSDSPILLTYLANL >Solyc06g068120.3.1 pep chromosome:SL3.0:6:42327850:42338848:1 gene:Solyc06g068120.3 transcript:Solyc06g068120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSMGSQAVFLATAVAVSAGIIVLFLRENYFPNAELEVNKNAQNPPQGKTILKSCLSSATGEKKKKRVHFAADVRDSGKNGEEYRRRLYRKCCEKNIMDMPANPTALYDGILKESGGCLGSVSKTKLTSSVHEHLKEKKHLDHLVHKAKLSADFWSTSTGDMDNYSAAQSQGSISSISTSTQAPDAHGAANTNNHSEFVGWISGQPWIISVNCHYSSRSVSHNAPSVLWSSSTQIRPDEQICGKKVTALGKSSGDVLRVCELAHCVCVKKDLFAGTKSDSSGSDEKVRRSNQNSFLNPN >Solyc08g015633.1.1 pep chromosome:SL3.0:8:5065250:5077923:1 gene:Solyc08g015633.1 transcript:Solyc08g015633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKKFSKEIEIFQCGEGSNQSHVLELVLQLGKKFSMKDLGPLHFFLGIEVNYFEGGIHLNQSKYGAEMFAKTEMSLAKAVATPLARKRVSQFMQSPNVKHFQGVKMIVRKGARRLEEGAQKKEKGILEEY >Solyc07g056420.3.1 pep chromosome:SL3.0:7:64408624:64431052:1 gene:Solyc07g056420.3 transcript:Solyc07g056420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDEVILLDFWPSMYGMRLRIALAEKEIKYEYRDEDLRNKSPLLLQMNPIHKKIPVLIHNGKPICESIIGVEYIDEVWKDKAPLLPSDPYERAQARFWADYIDKKLYATGSKIYTATGDEQEAGKKDFVEILKVLEGALGEKPYYGGDNFGFGDIALIGFYCWFHAYEVYGNFSIEAECPNLVAWAKRCMQRDSVAKTLPDQHKIIEFIKYEYKEEEGLISNKSPLLLQMNPIHKKIPVLIHNGKSICESIIGVEYIDEVWKDKAPLLPSDPYERAQARFWADYIDKKGALGEKPYFGGDNFGFVDIALIGFYSWFHSYETYGNFSTEAECPKFVAWAKRCMQRDSVAKSLPGQHKVLEFAKILRQNMFGMRLRIALAEKGIKYEYKEEEGLISNKSALLLEMNPIHKKVPVLIHNGKPICESIIGVEYIEEVWKDKAPLLPSDPYERAQARFWVDYIDKKGELGEKPYFGGDNFGFVDIALIGFYSWFYAYETYGNFSAEAECPKFVAWAKRCMQRDSVAKSLPDQHKVLEFIQMLRRNMFGMRLRIALAEKEVKYEYKEEDVWNKSPLLLEMNPIYKKVPVLIHNGKPICESIIGVEYIEEVWKDKAPLLPFDPYERAQARFWADYINKKPSALSLWLGPRGACKGTVVKSLPDKHKVIVLGFWPSMFGLRLRIALAEKEVKFEYREEDLKNKSPLLLQMNPIHRKIPVLIHNGKPICESIIGVEYIEEVWKDKAPLLPSDPYERTQARFWADYIDKKILLSLDSTVGLVPMRLTVTSAQRLSSQSFSLGLRGVCRGTVWLSLRQTNISMFGLRLRIALAEKEVKYEYREEDLPNKSPLLLQMNPIHKKIPVLIHNGKPICESIIGVEYIDEVWKDKAPLLPSDPYERAQARFWADYIDKKGALGDKPYFGGDNFGFVDIALIGFYCWFSAYETYGNFSTEAESPKFVAWAKRCMQRDSVAKSSPDQHKVLDMFGMRLRIALAEKEIKYEYRDEDLRNKSPLLLQMNPIHKKIPVLIHNGKPICESIIGVEYIDEVWKDKAPFLPSDPYERAQARFWADYIDKKVLEGALGEKPYFGGDNFGCVDIALIGYYSWFYAYESYANISVEAECPKFVAWAKNCMLRDSVAKSLPDQHKVCEFVKVLRQKFGIE >Solyc07g064110.3.1 pep chromosome:SL3.0:7:66511762:66525359:-1 gene:Solyc07g064110.3 transcript:Solyc07g064110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGSKSKTLTSLFRAATKLSKQWKPVSPSTSANGVALKQYGSPSSKPSNDSSKSLSEIAAESFNFKSAADYGESSKLLSSEISSILCGDLDSYLSDPEESSNASCLENVLDVPWLSNVEKCSISLRRKEIARERKEKWTFKSSQVGRFHQLVEQCACKLGTDTTIKVFGKLGRETGLKEYNALIRLCIEKARESNDEEVSLKQLSKAYQLLKSMKEQGFQLKEESYGSILMYFIDFGMVQDFDFYCELITDGNADSLVRLTYYEMLLWAKVENEDKIEELLYHLSLYDGADKSAFQENYLLALCESDRVEDFVRVLRTIDITKVSSIDFVANIFKFLGKLLLESFAKIFLQELVTTGIGAKDISKFICNYTISMPSAVEDVILEFKNLHAELELRPTFSQYEKLIRYCCELFKVHAALDMVDQMFEAGLTLSLETFNSILEACDKSREYNLVHRMYPMILRHDIKPNSETFRIMINMTVRIKDFEGAYDMLKDLEKFNLMPTTNMYNAIMGGYFREKDTRAALGVLKRMEDANVKSDSQTFSYLISNCTNEDDISKFLDEMKDSGVQLTKHVYMALINGYAASGQFEKAKQVICDQGVSAKGFNEIRSVLISALASHGKISDALDIYKEMEEAQCKLEPKAVTCLIENLQAEGDLHRLLNLLEQLNGLDQWVDASYRVITYCIRKNHFRSIVDLLKRLVDVYKSDEVAKEVLFDEVFYQIAEQDPINLQLGLDLLQAIKKDIGLLPSRQSLDFLLSACVNAKDLQACYLIWKEYRIAGLPYNILSYVRMYRALLALGDYKSAANILNNIPRDDFHVCNVVKACQTAYGTSASAKGKKKENKTGKIVTYKM >Solyc06g071060.2.1 pep chromosome:SL3.0:6:43801316:43805504:1 gene:Solyc06g071060.2 transcript:Solyc06g071060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAENVAQSTFPLQDRVAIVTGSSRGIGKAIALHLASLGAKLVINYSSNSTQADDVVSQINSTSGYPRAIAVKANISDPDQVKSLFDAAESAFQSPVNILVNSAGVLDGKYPSILNTTLEDFDRTFDVNARGAFVCCKEGAKRIKHGGGGRIICLSTSLAAAFRPGYGAYIASKAAVEAMVKILAKELKGTGITVNCVAPGPIATELFYEGKTEAMIKRVIDDCPHGRLGLPEDIAPVVGFLAGDTSEWVNGQIIPIVTGSSRGIGKAIALHLASLGAKLVINYTSNSTQADDVVSQINSTSDSPRAIAVKANVSDPNQVKSLFDAAESAFQSPVNILVNCAGVLDGKYPSILNTTLEDFDRTFDVNVRGAFICCKEGANRIKRGGGGRIICLTSSMAVALRPGFGAYAASKAAVEAMVKILAKELKGTGITVNCAAPGPIATDMFYEGKTEEMIKKAIDECPHGRLGLPEDVAPVVGFLAGDASEWVNGQIIRVNGGYI >Solyc05g056470.1.1.1 pep chromosome:SL3.0:5:66595566:66597410:-1 gene:Solyc05g056470.1 transcript:Solyc05g056470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQGCEIEAIGINYTIYTHKRETPFSFLSRKQHNKCIKGVEELEKDRHVLKDVNCRAKRGEILAIVGPSGAGKSTFLEVLAGKIEPDSGSIFVNQKPVDKASFNKISGYVTQKDTLFPLLTVEETLSFTAKLRLKLGPKELSSRVKCLIQELGLEHVGGARIGDDRIRGISGGERRRVSIGVELIHDPGVLILDEPTSGLDSTSALQIIDMLKTMAVTRDRTIILSIHQPCFRIMKLFNSILLLANGTILHHGTIEQLSLRLILLGLDLPLHVNILEFAIESIDIIQTLLPQSALMIKNSGNFTLHQLFQESKQDSLSMPIHVGFANSSLQEIVILTIRFWKIIYRTKELFGFKTLQMLLSGVVLGSIFYNLEDDLVGAQARVGLFAFVLTYLLSSTTEALPIFLQEREILMKETCCGSYRVSSYVIANSLVYLPFLLILALLFSTPLYWMVGLNKHLMAFMHFLLLIWLILYTANSIVVCCSALVPNFIIGNSLVCAMMGSCALFSGYFVSKNEIPNYWIVMHYVSLFKYPFEGFLINEFSGSGKCLQYMFGMCLASGDQVLKDEGYGGEESRRKNLIIMVCFIMLYRFISYVILRVRCTSKGGFKNVFISI >Solyc07g055380.2.1 pep chromosome:SL3.0:7:63561294:63570282:-1 gene:Solyc07g055380.2 transcript:Solyc07g055380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQVRAYFGFEDKELEDCKRACLSNCSCKAAIFEYNWDLNRRGTCLLLNEVFSLIDNNRKGREKTVFLKVQNQSLIIPGRKKSRPFQVIVGSTLAHFFGIILSIATCFLIFQKRIHGKAADFPDLEPILPGILTRFSYNELKVVTEDFSSQVKESFLTEVKAVGGIHHINLVKLIGFCAEKCHRLLIYEYMVNGSLDRWIIHENRENGSTRQRIISDIAKGLAYLHEDCSQKIIHLDIKPQNSLLDQYLNAKISDFGLLKLIEKDKSNVLTRMRGKRGYLAPEWLSSVITEKVYVYAFGIVFLEILCGRKNLDRSQADEEDVHLLSVFRRKAEQEQPMDMVDKNNEDMQLHREAVTEMMSLAAWCLQGDFSKRPSMSLVVKALEGLVTVETNLDYDFTHVPEIGAGNQQRESIISSKIPSVLSGPRRIKAIIVKMNQESSVLPSPEIIRWSYDVFLSFRGEDVRKTFVDHLYLALEQKCIYTFKDDEKLEKGKFISPELESSIEESRIALIIFSKNYADSTWCLDELTKIMECKNVKGQIVVPVFYDVDPSTVRKQKMIFGEAFSKHEARFQEDKVQKWRAALEEAANISGWDMPNTSNGHEARVIEKIAEDIMARLGSQRHASNSRNLVGMESHMHQVYKMLGIGSGGVRFLGILGMSGVGKTTLARVIYDNIRSQFQGACFLHEVRDRSAKQGIERLQEILLSEILVVKKLRINDSFEGANMQKQRLRYKKVLLVLDDVDHIEQLDALAGEREWFGDGSRIIITTKDKHLLVKYETEKIYRMGTLNNYESLQLFKQHAFKKNHPTKEFEDLSAQVIEHTGGLPLALKVLGSFLYGRGLDEWLSEVERLKRIPENEILKKLEPSFTCLNNIEQKIFLDIACFFSGKRKDSVTRILESFHFSPVIGIKVLMEKCLITILKGRVIIHQLIQEMGWHIVRREASYNPRICSRLWKRKDICPVLERNFGTDKIEGISLRLTNEEEVNFGGKAFMQMTSLRFLKFRNAYVCQGPEFLPDELRWLDWHGYPLKSLPNSFKGDQLVSLKLKKSRIIQLWRTSKDLGKLKYMNLSHSQKLIRMPDFSVTPNLERLVLEECTSLVEINFSIGDLGKLVFLNLKNCRNLKTLPKSIRLEKLEILVLSGCSKLRTFPEIEEKMNRLAELYLGATALSELPASVENFSGVGVINLSYCKHLESLPSSIFRLKCLKTLDVSGCSKLKNLPDDLGLLVGLEELHCTHTAIQTIPSSMSLLKNLKHLYLRGCTAFSSQVSSSSCGQESMGVNFQNLSGLCSLIMLDLSECNISDEGILSNLGLLPSLEGLILDGNNFSNIVAARISRLTRLKALALAGCRRLESLPELPPSIKEIYADECTSLISIDQLTKYPMLGEVSFTKCHQLVKNKQHASVVVSLLKQMHKVLFMNGSFSMYIPGVEIPEWFTYKNSGTESISVALPKNWYTPTFRGIAICVVFDMMTPFILRKLKSDDPFSFHNVKCLKTFQGLAMWFRFTSHDGLSRKFSTCLGLIGSEKPIGLGNTFLAHVPLHPYRKLKDDDYSFNNFIQLEVGVCTYNIHTDVVVKGLGVRLVYEN >Solyc03g006110.3.1 pep chromosome:SL3.0:3:775206:777112:-1 gene:Solyc03g006110.3 transcript:Solyc03g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RRIHDDSPIISISSRAWSSCRMMSMKSAKTEKKGTILLHKYEIGKLLGQGTFAKVYYARNLKTGQIVAVKVIDKEKVMKVGLIDQIKREISVMRLIRHPNVVELYEVMASKTKIYFAMEYVRGGELFNKVAKGRLRESAARKYFQQLIASVDFCHSRGVYHRDLKPENILLDETGNLKVSDFGLSALFDTKRQDGLLHTTCGTPAYVAPEVINKRGYDGEKADIWSCGVILFVLLAGYLPFHDTNLLEMYKKITKGIFKCPEYFPYEVKKLLLRILDPNPISRITLAKLMDNNWFKKGFKQIDKPFILDQDHDDDSPRSVFDMVDDSDAECSSRHKEESSSTIMKPTCLNAFDIISLSPGFDLSSLFEKDKSHRSDARFTTQKSASTIVSRLEEVASMGSFKVKKKDGTVKMQGSKEGRKGQLAIDAEIFEITPAFHVVQVTKKSGDTAEYRNFCDQGLKPSLKDIVWTWQGNEQLQQVENQENKT >Solyc03g082510.1.1.1 pep chromosome:SL3.0:3:53866423:53866767:-1 gene:Solyc03g082510.1 transcript:Solyc03g082510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTGEKHHLSFHFHVPHINFHNHGKKELKNIPKGCLAVIVGQGEEQQRFVIPVNYINHPLFLQLLKEAEKEFGFDHKGPINIPCHVEQFRYVQGLIAKENPHNHHHHHSWCFKA >Solyc04g070970.3.1 pep chromosome:SL3.0:4:57866099:57871138:-1 gene:Solyc04g070970.3 transcript:Solyc04g070970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKELDHSKSLDKEEAVIMQNIDEDEIFPPEKNRNSLCIRKIEGNKKTKTRLMKMRSLDSAEESPVHGKSLCLARSFSSHFLINIDEMMSDVGNEKGALIKNNILKSKDQEDDDSCSWNRIQIEPTLRIRLKFQDVKYTVALKGVENSDTEKCILQGVSGSACPGEILALMGPSGGGKTTLLKLLSGKVKNDSGTITFNDQPYNKSLKQRIGFVLQDDVVFPHLTVKETLTYAALLRLPNTLSKEQKKERAIGVINELGLERCQDTIIGGAFVRGVSGGERKRVCIGNEILLNPSLLFLDEPTSGLDSTTALRIMQMLRNIARAGKTVVTTIHQPSSRLFSRFDKLILLGQGSSLYFGKASEAMLYFSSIGCSPLIAMNPAEFLIDLANGNITEKSIPSELKEKLLPGHHHFKKQNEGPSPADVHEYFVGAYESRVANIEKLKLLKHGLIEEDSEVQSWPNLRDSGATWCQQFTILFGRSLKERNHEYFSSLRITQVIATAIVVGLLWWNSDTSFPERISDQAGLLFFVSVFWGFFPLFTAIFTFPQERAMLVKERSVNMYKLSAYFIARITTDLLLDLVLPVTFLLIVYFMVGLKLTFNAFCLTLLTILLSIIAAQGLGLAIGAAFMDVKKATTFASVILMTFMLSGGFFVQEVPAFMSWVRYISINYHTYRLLLKIQFKSLRNSKYGSVDDSSGVEVGAMLVMVIGYRVLAYFLLRKMKPRTSK >Solyc03g096790.3.1 pep chromosome:SL3.0:3:60565159:60572007:1 gene:Solyc03g096790.3 transcript:Solyc03g096790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFQSNPQGEYGRFEQILAQFLLKTLHIIMDCRVPSIRPCGRSGEVKKSDKWFNLVLGDRPAVLDNLNFWHRNLMEPMIIDIIIVQEKHSSLSEHSSGIAGAYTETIIERWVVQYEYMRTMVPQIGDSSYKKTYKKSIILLRALYSMMRLLPAFKAFRKLSSSQSCDFDIIYKVSSFSAPFSRVEEELMKHYTFTPVDAQQGRLCISVTYREDLSNFNLETAASFPPEIITDYVGSPLADPMRSFPSSSSNKGGHPTSFPSRGTHSSSSSPFQRPHSWTSGFLTSPSLPRTQPYVGSPPLYRPPHELSSSPSDVHGHRVSPNNRLPIHHKATSFDEYQLSPPFSPSPSPSPPTYLSGANPGQTRLRSGSGPVSIPHPMMGTSSRYLSPNLSDPNRHSLPPMSPRSIKHDSSSQESPSGIRSFRKMDPLRTLESNIGTTSPGQKISRDARDDSGRFSGLLSSSGSPRLFSRSSSRLSFQDDLDVCDFSCPFIVDDVDTSDSQASEILDGRKGSEISSQGSATARKSQDAAVGALVHMLRTAPPLRQDSSCYTSNSIKTELDGELSTASGFFISRKASDALEELKTYKDLKDLLLSKSATRSVSEG >Solyc09g064690.1.1.1 pep chromosome:SL3.0:9:62263947:62265407:-1 gene:Solyc09g064690.1 transcript:Solyc09g064690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLRYLYATEIRGYIDYEKLECLEILIQFMANNVALFCFAVWVNDEDNRASDDYIGPVEDEDETDDILSRPSYLFCLIALVELEMKKIFHGELKASKFTQLRTFKDKKLPKEFSKHLYNLLMYLRNKKTENFCNNVYARNIDVEIEFLLIFLSDVPNRFINGKRLNEILEKAGVLVGDVLCVIQKIIPSYMIKDDSSTINFFTVQILEKTENMKAQVERYYKSFKFIPSQFPAVGGLSFLVSLLRKMNEMLKLESGLNSMIKPHIVILKRELSYLNSTFRDVEKVHHDILRATINLAYEAEVVIDSILVQYNGLWHIFCSLPAIIKEIKHISMKVNKMRFKNIPLKPFSMIETSRHMPDQHHNSLMNDEDIVGFGIVAEKMIHSLTRGTNELDVIPIVGMGGQGKTTCARLLYNNEIIVSHFDVRAWCIISQTYNRKELLQDIFSQVTGFMVKVDEVGELADMLRKSLLGKWYFIVLDDMWDGMA >Solyc04g081310.3.1 pep chromosome:SL3.0:4:65430073:65433485:-1 gene:Solyc04g081310.3 transcript:Solyc04g081310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIIGLGLGDDKDITLKGLEAVKKCSKVYMEAYTSLLSFGLSPNGLSNLENLYGRSITLADREMVEEKADEILNEAKASDVAFLVVGDPFGATTHTDIVVRAKKLGVDVKVIHNASVMNAVGVCGLQLYHYGETVSIPFFTETWRPDSFYEKIRENRKLGLHTLCLLDIRVKEPTIESLCRGKKQYEPPRFMTVNTAIEQLLEVEEARGEFVYGENTICVGFARLGSEDQKVVAGSMKQLLTVDFGAPLHCLVIVGKTHPVEEEMLEFYGL >Solyc02g068650.2.1.1 pep chromosome:SL3.0:2:39172565:39173820:-1 gene:Solyc02g068650.2 transcript:Solyc02g068650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA (guanine-N(7)-)-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B7J7] MGENHTHNKKTGLPRKRFYRARAHSNPLSDSHFPIPISPCEVDYSLHYPEVVKVDPCKRIEFADVGCGFGGLLIALAPLFPDNLMIGMELRDKVTEYVKERILGLRTTNSGQYQNISVVRTNSMKYIPNYFEKGQLKKMFFLFPDPHFKEKNHRRRVISPFLLDEYAYVLAVGGIIYTITDVEELGEWMKSCLEEHPMFEALTSEELEADQVVKLLSAATEEGQKVARNGGQTFRAVFRRIVTH >Solyc07g021220.2.1 pep chromosome:SL3.0:7:16777038:16778546:1 gene:Solyc07g021220.2 transcript:Solyc07g021220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGELVMCQEKLVQQAVDTLLDNGIQGQTMRDGYNKVYKSFSYVIEGKKENFVRLYLCWGAIWVHPLVYKGFNADFGGDKRVVHVYLSLEAQVEAHLLMFSHIHSMSLAIRDPISVQTQDILIRLYVLMSGNHRGICVNGYNPCNRRNYQNQNKSENKIEEAIHEFFGPIHMLPNFMIPWKMQSDVWGNVSDQEVVTHITGGNFT >Solyc02g079550.2.1 pep chromosome:SL3.0:2:44629209:44638505:1 gene:Solyc02g079550.2 transcript:Solyc02g079550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYRSFLLLLSCFYVVFSGASASDIITSSEPLRDSGTVFSSGKRFKLGFFSPGNSANRYVGIMFNLPSPTPTAVWVANRDKPINDSSGLLTLSEDGNLVILNGLKEIIWSSSISNSMKNSTAQLLDTGNLVLKDSSNGKVLWESFQYPTDSLLQLMKMGIDKSTNSTALLKSWRSPDDPSVGSFSAGIQLQYIPQAFIWNNTVPYWRTSPWDKQIYIGIPEMKSSYRSGVELVADNAGTAYQTYSNGNQSWILYYSLNSTGSYQEKVWDQSKKDWVVTWANPRSECDIYAKCGAFGSCNPKSSPICSCIQGFKPKNEGEWEKGEWSGGCIRRTALDCERNKTDVETGKKDGFLKMQTMGVPDFVIWVSSAKEDCESDCLSNCSCMAYSYYTGIGCMHWNRSLIDIQEYSMDGAADLFIRLAYSELAANDKKDFPVAAIAITVSIGSIIVILCGYLFWKLLAKHRERKRKSEAFLREASPKCYQNGMIKDDINQVKIEDITLYSFDMLATATDRFHSASKLGQGGFGPVYKGKLPDGQEIAVKRLSHSSGQGLQEFMNEVVVISRLQHRNLVRLLGCCTERGEKILVYDFMPNRSLDAYLFGSHQEKFLDWSKRAIIIEGTGRGLLYLHRDSRLRIIHRDLKASNILLDEYLNPKISDFGMARIFGGNQDQARTIRVVGTYGYMAPEYAMHGRFSEKSDVYSFGVLILEIVSGRKNSSFYDDEGELTLLAYAWKLWNENNIIKLIDPKIFDSSFEKQMVRCVHIGFLCVQEYAEDRPNVSSVLSMLTSDMAELSTPKQPAFTGGHASPQQSQGSVNTDTITVLEPR >Solyc12g088410.2.1 pep chromosome:SL3.0:12:64782440:64784704:-1 gene:Solyc12g088410.2 transcript:Solyc12g088410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFGFSVLFLMMGITAFLSFFCFNTGGMSFKWQNIDTTMTIKNRKLQDNGYGPSINEDDSSKLGLEDYRPIDPVPSSKASVKPGPIEHGTPLMPYIPKPSPPPISTIDGLP >Solyc03g031710.2.1 pep chromosome:SL3.0:3:4196223:4198446:1 gene:Solyc03g031710.2 transcript:Solyc03g031710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLCEGLHIMNDQTNQENPSPPTILPAKRRRGRPRKDDGPAKRMILQTPTTPAPEIMRQKDITTDENMLGQIVSGVIDGTFDAGYFLSVKLGNNATTLRGLIFEPGRFSPITAANDIAPQVKMHHRRQVITLQDQETGSTNEQLNVSPEQVLLPSDHPSVRNDQRGNPDEMIKHQTQFTPLLENLRMVEQDEVMEVFEVANQSGLELNAEQGKCTNGQKSTQSQLIDSNSIIQTGTLVCSDLNSLNDEIHHNNVVDGSLEMGSNQKQIEAEVENNKSNLETLVQPTELVHYELKKLEIHRAPPIDAQTQLIPTINPELQPKELTQSGQGNQDFQPHQTPSFVELNFLAQESVAAESEVKPSDSIQNEVPFFDMPQHNDTQESISELVDFSMETRNSPKEKQSTDVGTEVEVAGKEET >Solyc10g045525.1.1 pep chromosome:SL3.0:10:34233933:34234363:1 gene:Solyc10g045525.1 transcript:Solyc10g045525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDDGEEVDSTLFKSLIGSLRYLTCTRPNILFAIGVVSHFMEAPTSTHLKVAKKLLCYLKVIMREMLMIEKAHLVLCFSWVIVLFLGVKRNNQLLLSRLVKLNMWQRYHVRVTLFG >Solyc12g009920.1.1.1 pep chromosome:SL3.0:12:3091835:3092461:1 gene:Solyc12g009920.1 transcript:Solyc12g009920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISRIKQDVNSVILISSGSTTTLSQEQVNEIALGLEQSNRRFIWVLRKGDNAEKLKDKVVKIELPEGFEVRVEGRGIMVNWATQLEFLGHSSTGGFMSHCGWNSCIESINMGVPIATRPISFDQPFNAILVTNLLEIGITVKCWSHRDELVKASTIEKSIETLTSTIEGEEMRQTAMELSKKIKNSVSHGGLAREAMESFISHIIE >Solyc01g057270.3.1 pep chromosome:SL3.0:1:60271135:60280258:1 gene:Solyc01g057270.3 transcript:Solyc01g057270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSSIKSNIQSQYKCEVSGWKLESSFESDIRVELQIGRWDCILVWMLASCPRSIIKLVPSFYMIGNYYQISVKMVTIGERTRTVKLLKKLMKSLRQAASMFFTVTMSMGRATRTFRGEVIGCLKRELIFQDASSEAPRLRVVESSLEEERGRVEEDDRQLEHIVLVHYRDVKEVFPQQFNDMVVAGYRLGASRLQPVHPGLLLENPDSSSKPCFVFGPAFQKSHTSNPSLVDLKEQALSSELHSGDSKGLVAFSRSKERFQLNPQVRAFMSSGFRKFERNLNVMLQRKFYSGHYNLADLRSSKLTYAKLYAGKAVANNRSRLAITSGKVFEENIHVAPPQIQNISSSQTVVTPDAAVKTSSLDGGLNSDEVGSLKKLDILGKWMDREFAGGNKSLMSSDSGNYWNTLDTDNGDKEVSTLSRHLLLEANSVGTSPSQKQLFRIFDFSPQWAFSGVETKVNLSDLIEWSIFLLVLIVGTFLVHRKYLTCLKWSCMFGEVEVSAEVQTQSIRCQVPFHAPGHVPFYVTCGNRLACSEVREFEYREKSSELALALRPSDEVHLQVQLVKLLYSGLNKKFLDCSSRECENCKLKTQLCSLKCQTGNATERLEDLLAVIECDHINFKDVQIQNFMKDKLYEWLVSRAHEEDKGPNILNDQGKGVIHLVAALGYEWGLLPLIAAGISPNFRDACGRTALHWAAHYGR >Solyc10g047560.2.1 pep chromosome:SL3.0:10:41183987:41184496:-1 gene:Solyc10g047560.2 transcript:Solyc10g047560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMWNKQKKSVGVGPLTWHDKVCNLVHSQGQYGENLAASTGDFITATKAVEMWVNEKQYYHHEPNTCNSVCLGCARVQCNKGAYVLCCNYDPPGNFIGQTPY >Solyc03g111360.3.1 pep chromosome:SL3.0:3:63441814:63444654:1 gene:Solyc03g111360.3 transcript:Solyc03g111360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRSTFTPILTAFPSKAKTPRNYSNTIIAAITNPTQPVRQNASMENMLLQQHTPTSAYLHLPFCRKRCHYCDFPIVALGSSSPYGDDDPRIINYIDFLCREIKATSIPSDNKSPLETVFFGGGTPSLVPPRLVSLVMETLDAKFGVCSDAEISIEMDPGTFDAKKLKDLMKLGVNRVSLGVQAFQEELLRSCGRAHGLQEIHEAIDIVGWCGVENWSVDLISSLPHQKPHMWEQSLSLTIQAKPTHVSVYDLQVEQDTKFASLYTAGEFPLPSENQAADFYRMASEMLRDAGYEHYEISSYCKSGYQCKHNYTYWVNKPFYAFGLGSASYLNGLRFSRPRKLKDYMGYVQNLENGLVNCCPDSKVDAQDVAMDVVMLSLRTAKGLDLKSFGKAFGSSTILSLCEVYKPHIASGHIICLDEQRRDISPEEFSSLLSEGNKINEVLAYIRLSDPDGFLLSNELISLAFNVLAP >Solyc04g082190.1.1 pep chromosome:SL3.0:4:66038295:66042297:-1 gene:Solyc04g082190.1 transcript:Solyc04g082190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKTETLLSIFLFLLSLIILSVNGEYLIGLGSYDMTGPASQVNMMGYGNFDQVTGGIHFRLRARTFIVAESFNGPRLVFVNLDAGMASQLVTIKLLERLKSRYGNIYSEDNVAISGTHTHAGPGGYLQYVTFSVTSLGFVPQSFEAIVTAIELSIVQAHDNLKPGSIFINKGNLENAGINRSPSAYLFNPQEERSKYNTNIDTLMTLLKFVDKDTGKNIGAFSWFATHGTSMSRNNKLISGDNKGAAARFFEDWFTKNSSTKVLGSSINQDLFNKASKIKPTGGQPCTNTTSQGFKVRKNKIMKFVGAFCQSNVGDVSPNVVGAFCIDSGLPCDFNHSSCHGNDQLSLPSLSKYPDEILSTKIIGERQFQKAVDLFTSVQLEDNKVVQTCPAALGSGFAAGTTDGPGVFGFQQCDTEINPFWKKLREPSPYQVSCQKPKTVLLDSGEMFRPYPWAPAILPIQIFRLGSLIILSVPGEFTTMAGRRLREAVKETLIRSGNGEFDDQTHVVIAGLTNAYSQYITTFEEYKQQRYEAASTLYGPHTVSAYIQEFKKLAESMAKGENITTKGPLPPDLLSIQLSLLPNPTGDSPPPGINFGDIKQDIMVPKSGTFTKGDRVIATFWSANPRYDLLTEGTFAVVEMLQHQSWLPEYDDDDFCLFFKWQAENITGIDRADVNSYGYATVEWEVPEDASPGVYRLRHFGSTKETKESSNSYYTGASSAFTLS >Solyc08g079520.3.1 pep chromosome:SL3.0:8:63144422:63161581:1 gene:Solyc08g079520.3 transcript:Solyc08g079520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSDSDTSSQGSDYKNFRQVIRDRLLYEMLRSAKSKDSKSAWKVLIMDKLTVKIMSYACKMADITEEGVSLVEDIYKRRQPLPSMDAIYFIQPTKENVLMFLSDMAGKSPLYRKAFVFFSSPIAKELVNHIKKDSSVLSRIGALREMNLEFFAIDSQGFTTDNERALEELYGDDEGSRKGSLCLNEMANRIATVFASLREFPLVRYRAAKSLDPTTMTTFRDLIPTKLAAGVWNSLTKYKSTLPHFPQTETCELLIVDRSVDQIAPIIHEWTYDAMCHDLLNMDGNKYVHEVPGKAGGPPEKKDVLLEDHDPIWLELRHAHIADASERLHEKMTNFVSKNKAAQMHQGSRDGGQLSTRDLQKMVQSLPQYSEQIEKLSLHVDIAGKLNRIIRESSLKEIGQLEQDLVFGDAGTKDLINFLRVHQDVTRESKLRLLMIYASIHPEKFESDKLSKLMELARLPQDDMNAVYNMRLLEGSTDHKKSSLGPFSLKFDVHKKRHAARKDRTDQASTWQLSRFYPMIEELVEKLNKGELPKNDYPCMNDPSPTFHGTSQSASIQANQVSTPHSMRSRRTATWARPRNSDDGYSSDSILRHASSDFKKMGQRIFVFIVGGATRSELRACHKLSTKLKREVVLGSSSIDDPPQFITKLKLLTADELSLDDLQI >Solyc08g066780.2.1 pep chromosome:SL3.0:8:55681608:55683202:-1 gene:Solyc08g066780.2 transcript:Solyc08g066780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAKLTVIENRCTDAIEIRVWVPTTRPDKFQSIIRIEGKGGWKEVNPKNFIHADATILDDEDEFVSSTMFMIYIDGVYTGYYFLITDLVKYAKLIICNRKNEHGTVVIQGIKPTFNFCRFNVPCQWITELHKIPSMMTSLIIFSICLLVCDFDSFSWLKHVDFLLILESAIFICSDILLKFKSVIRKNTLLLAIIFSIL >Solyc01g056795.1.1.1 pep chromosome:SL3.0:1:56549351:56549793:1 gene:Solyc01g056795.1 transcript:Solyc01g056795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGCVPVIIANHYDLPFADILDWKHFSVIVATLDIPLLKKILRGITQQEYLVLQSNVLKVREHFQWHVSPIHFDAFYMVMYELWVRRSSLRLQ >Solyc06g082030.3.1 pep chromosome:SL3.0:6:48024244:48029957:1 gene:Solyc06g082030.3 transcript:Solyc06g082030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSYNFESYPPLFRPSPNISQIQESDETESSLLLDSYIPVIDYFKRTAPIITTTKYQDVSEDGNLISEACRDWGLFRLVNHGIPFSLLNQIEEHAKKLFSLPYETKKAFFASSSSSNFVSPISYFWGSPALSPSGAALAPKNSEQQNNNHTLQWMEGFNVSLAQLSNIHYQDLLLETFRCLLEEYGKELCRLATEIFKILGPFESNYMSLETGLLRVYRYPRCLEPERTWGIDTHTDSSVLSIIHQDDVGGLQVYKDHQWIDVNPLPNTLIVNIGDMLQAMSDDRYMSVKHRVKVNRHKERISIGYFVFPDEDTIIQSTKYNPFSYADFRAQVQHDLKTLGLKTGLQKFKFS >Solyc11g066400.1.1.1 pep chromosome:SL3.0:11:52477625:52478509:1 gene:Solyc11g066400.1 transcript:Solyc11g066400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVCQNLSERRSCAFKGKRTKRARPSSPLNTAGTTTTTTTITTITTSSSIACGSNDGSRGVDGGDFFYNSPTTSTTQISKLSTCEEDEDMANCLILLAQSGCGHKVEEVKKEKINNRKFAEIANSSTTGKAGFFVYECKTCNRTFNSFQALGGHRASHKKPKTILEDKKSVTDTTATATAAADHDNLHDQGQERLNKISNTTIQSNVKTKIHECSICGSEFSSGQALGGHMRRHRQPPTTTMIAASNVSESSHDEKSRRNVLCLDLNLPAPVEDDHKFEQSLVFSAAPLVDCYF >Solyc01g016475.1.1 pep chromosome:SL3.0:1:20101940:20102503:1 gene:Solyc01g016475.1 transcript:Solyc01g016475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEVEASSNQSLVIKVNGEILKLTIRTFALITGLNCVGVVEDFKFNIEEPYRLIVQYFGGNEIIRRSDLFDKFNGKVWIDNDDDAIKFAILYFIHMFVYSGEKRSLRFPRIHFDLVESGRICCMPVVLQIWIYECMGKRQTNFARKISDRNPCILN >Solyc11g050821.1.1 pep chromosome:SL3.0:11:16337412:16339290:-1 gene:Solyc11g050821.1 transcript:Solyc11g050821.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKRKINLGKSRFKTILESCSLLEKREVGVMETLDRVSKLPDSLLVQILSLLPTQEAFTTFILSKTWRYVWSYVDNFNFSHKNYLKTKEFVPFVDYVLVSRWLSIAVEKNVEDFVYRSSSNSNGCTLPESFYYCSSLVTLHLTFYCGFTDEDIVKLLSGCPALETMEVDIVGGFSRLKISSLKMKRQNLRVHHKCRLVDVSSLVNVNDEEDSCMDSHQVFGTDYLHKLSCASELTTGTWLIEVCSNIKGMKNISCNSKGAQIPEMKCKYLMLEELDLDKLNLFGVPGLLRALAHVETLNINFTTVEVTSTLLLASVLFMKF >Solyc11g011770.2.1 pep chromosome:SL3.0:11:4746115:4752226:-1 gene:Solyc11g011770.2 transcript:Solyc11g011770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEGVFIETPSSSTKQIPDLSLNISPPTSLDLSGRRCVTEVSENHERLLPEFTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAVSSGQSDGSGEDDLTTIGSTGGGDRAGLGRFMDQRGGSDGSLQPEPDYPSTATNTLWSNSSSSREGWLQANSNDANVLMRSHSFPSQQRSSHQIEECNSSKPKSYNIGSNLDHQKNPSLEFTLGRPDWIEKDHE >Solyc04g049673.1.1 pep chromosome:SL3.0:4:42793703:42793954:-1 gene:Solyc04g049673.1 transcript:Solyc04g049673.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIKRGYIAHRLITKIRANSRLTRTIIQQKIRALVSAHRDRDRKKKDFRRLWITRINAVIHIHISKPNLFQIKT >Solyc12g011090.2.1 pep chromosome:SL3.0:12:3952753:3955459:1 gene:Solyc12g011090.2 transcript:Solyc12g011090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILTICNQEMNKVSIPYTFYIVLINFLIISWCLQSEARVFLDMENLSSTTFKGVIRGRSNRETPIIINPSPTTNTRDHVDYTPPPLIS >Solyc01g095020.3.1 pep chromosome:SL3.0:1:86259475:86274104:1 gene:Solyc01g095020.3 transcript:Solyc01g095020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLENEDGSATNHISEIGDEVRKHPKVSYTREFLLSLSQLEICQKLPTGFDQLILSELEDTSRGIQDRQKIPGSLPSQGFRRNDYSSSPPTRGDSDGSSRGIYGRWDSRSSGRSDRDSDSQSDKDSDPGRRYGNQGRRSWQSSEHDGLLGSGSFPRPSAYASGTATKVRASDNYLLNRSNEPYHPPRPYKAVPHSRRNTDACNDETFGSIECASEDRVEEERKRRASFELMRKEQQKALQEKQKPNVEKHTAVFDSEISVLLEDDKKDRGLLDKNTKVDIMASQPIANNDSGKSSSSLLNLPSRPLVPPGFKTTVTDKTSGSTTLNHSCLTEIGKHESEEILLEAKADARNGIHQSLEKESSQEISSSDQLEHSSLHASFLKKNDQIVNLSVGSVDSDRKHSTRGHSLRTSSLEEHEALNKPSILELSAQNSGGKYVEESDINNSSSILDKIFGSAIANLTDSVAPVMNEGSKPSETLDSKAVQSSKFAHWFFEEERKQEDDPSSSRPGDLLALIVGGDKNRTQPFEANPSDQFPSEFSYHSPDPTSKFVSNFPSSPLGGPEPVYKPSKREAAPTILTCEDLEHTMLSEFSEKKSNSQPQGWNTNHTKPKEPVIVDSQASQHLLSLLQKRPDHGNVTEKSNAGIESLEARGDITMQDRSKKEDNKDTLTLESLFGTAFMTELQSAQAPVSVQRISVGSGQNVSLEAQKSSLPGSDDTLSSLIIDDRATKENIVLSSSCRDHTKLDKAENWLGCNDSLYEVNSLRRQTEAVSRNGDYRAGGFHLPVGDPLVPQVSTFMPAENMGKSDLMTVNSVGSDQMSLMGPGALPFPRASHEQIESEMLFHHHHLHGQPSSSQFHPLQMNQGKPLLHPLDSRPAHLNTQIMSGPEGMTRHDAVPGHQFAGNMMRSPFHHPNARVTGFDIPAHHPMLQQMQMSGPHPRHLLHDRLSGAPVPSHSSNQAAGFVHEANPMQGFPFKPHQVNVNGIGMQIPGPDINSRNNHPDALQRLIEMELRASKQIHPFPAGRGQGMYGHELDMGMRHR >Solyc05g006650.3.1 pep chromosome:SL3.0:5:1310993:1316303:-1 gene:Solyc05g006650.3 transcript:Solyc05g006650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQASMMNHAGGFQSPPFNLSEIWQFPINAGEGETPYSFPLSTAAAPQNVSDDVRNNDPMVLDRRTNNYSGGGGGGAARKRNEDDESAKGVSTSGNGLTESASKRMKVTRSNENCEARGDGEGNSVKSAEQPAKPAEPPKDYIHVRARRGQATDSHSLAERARREKISERMKVLQDIVPGCNKVIGKALVLDEIINYIQSLQHQVEFLSMKLEAVNSKMPSIEGYPSKDFGQQPFDTNAMAFSSQATREYTRGTSPDWLHMQLGGGFERTT >Solyc01g014130.1.1 pep chromosome:SL3.0:1:11611251:11611758:1 gene:Solyc01g014130.1 transcript:Solyc01g014130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSSNGFSGDLPMNLKVISQAIGDLIGLRTLNLSHNCLEGDIPISLHQLSVLESLDLSSNKINGEIPQKLTSLTSLEVLNICHNNLVGCIPKGKQFDTFENSSYQGNVGLRG >Solyc02g080360.1.1.1 pep chromosome:SL3.0:2:45159441:45159845:1 gene:Solyc02g080360.1 transcript:Solyc02g080360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYRARSITSRFSDKMSTSVPVEVGTRGTIGSLLKKEIEYFRKVEVDSCKGSSNNKSQKNSVEIDSCGGNSWPSFGFLMMKWKKKKRRGTGGGLPAMCSMVEVSESCKMNEIPGFSYRNLKVDSKRFEEEIMLS >Solyc04g014370.3.1 pep chromosome:SL3.0:4:4625133:4631329:-1 gene:Solyc04g014370.3 transcript:Solyc04g014370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVLSVLRRIYLTLYNWVVFFGWFQVFYFAVKTLKESGHEHVYDAVEKPLLLAQTAAILEILHGLVGLVRSPVSATLPQISSRLYVTWGILWSFPELRSHILVSSLVISWSITEIIRYSFFGTKEAFGSAPSWLLWLRYSTFLLLYPSGITSEVGLIYSALPYIKGSDMYSLRMPNKWNFSFDYYYAGLVALGIYVPGNPHMYGYMLGQRKKALSKSKKE >Solyc01g108700.3.1.1 pep chromosome:SL3.0:1:95833159:95833662:1 gene:Solyc01g108700.3 transcript:Solyc01g108700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKIKTRKIERIKMVIQRLEICIGMVKLAFEFVAVFVKAVGSVISAEEEERNYVAQTPYIGLLP >Solyc05g025760.1.1.1 pep chromosome:SL3.0:5:36343647:36343931:-1 gene:Solyc05g025760.1 transcript:Solyc05g025760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKAAVCTIKEKRRAEEPVASKSLPSPSSATAGGGSPFPLLVASRGCCYCRCQPRLEKRRDWRVTEKRYECGASYRILTVLLKLLLSSAACCC >Solyc06g053960.3.1 pep chromosome:SL3.0:6:36934642:36937894:-1 gene:Solyc06g053960.3 transcript:Solyc06g053960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHFGNLIKEEFDGSFLTPQPKECLHENGPPPFLTKTYELVDDPSNNDVVSWSRGYNSFIVWDPQNLAINFLPRYFKHNNFSSFVRQLNTYGFRKVNPDHWEFANEGFLRGKKHLLRTIRRRKPSNFIKSSSSINNQASKGY >Solyc12g037940.2.1.1 pep chromosome:SL3.0:12:49159447:49159842:-1 gene:Solyc12g037940.2 transcript:Solyc12g037940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VIYIISQNNYQTLQTTNSWIFEPEYPGKSRIFDGWTGNPFEQSVIIGNPYTLKLIHQVDDKIHGCSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVAHILQEMLTYKSNHIRARQEVLGTSQPTN >Solyc04g078800.3.1 pep chromosome:SL3.0:4:63544665:63557960:1 gene:Solyc04g078800.3 transcript:Solyc04g078800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDMLKSSATSEDQMEMMLMMQLEKFPEFSTGNCSELPMMEFSPQGSCNSSNNFQQMDQNSPNFLNMPSTISFTNSPPIHQNSPNFIPNSGGFNSNSMNRSNMAAMREMIFRIAAMQPINIDPESVKPPKRRNVKISTDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKNQVQSLERAGATRPANGTALTAAAAGLGFPVPMSLSGNYNLPRFLISVRKPMNIIGSKVELLLNYPSCNGGLLKLFFNLILGEVVRPDMKSENFMSMIGQLDWRVDLDKTKNVGDNYYGPSLAIMAAKLSYENEAFTKKVITNNWQEVYTTQAIMFQDKVEDSNLVVVAFRGTIPYNADHWITDVDLSWYELEGVGKLHAGFMKAMGLQKNKGWPKEIDESSDQKLFAYYQIRKELKMILIKNEKAKFILTGHSLGGALAVLFAAILILHEEEWLLDRLEGVYTFGQPRVGDPQFGNFMKDKFNKYDVKYYRHVYSNDMVPRLPYDDTTFFKHFGSCLYYNSLYSGKVVEEEPNKNYFSVLWFLPMLLIAVYEFIRGFILPWTKGSHYREDWLQKMFRVVGLVIPGLSAHTTIDYVNLTRLGSVLHLPRSASHDQDRLKDD >Solyc02g044040.2.1 pep chromosome:SL3.0:2:150437:151300:1 gene:Solyc02g044040.2 transcript:Solyc02g044040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFDTTPSGRILSRVQYLPSPNSDLMLFILCYPRAIFSYPKRYAKIRADANGSAKGQIIVWQNIELISDRPLETMLKEFKQLKEEYPDKILIASIMEEYNKAAWEELIHRCEETGIDAFEINFSCPHGMPERRMGAAIGQDCDLLAEVC >Solyc01g103410.3.1 pep chromosome:SL3.0:1:91885790:91899954:-1 gene:Solyc01g103410.3 transcript:Solyc01g103410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENRIPPGSYFQYSPTGIHGPLHRSSSLTDRERYLAELLGERQKLGPFMQILPICNRLLNQEIMRTTALLSNQFVDQERMGQESPHRSVSQHMNGGQINKGAWSAMQTEENRLLQKIAPFQPSPLDWHVVPGTATTPVVKRVIRLDVPVENFPNYNFVGRILGPRGNSLKRVEAITECRVYIRGQGSVKDSIKEEKLKDKPGYEHLKEPLHLLVEAEFPEDIIDARIDHAVSILENLLKPVDESVDVYKKQQLRELAMLNGTLREESPSMSPRMSPSMSPFSNTGMKRAKTGR >Solyc12g096610.2.1 pep chromosome:SL3.0:12:66427400:66433435:1 gene:Solyc12g096610.2 transcript:Solyc12g096610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREDLKSILPFLPFSLRSSSLFWPAPVTEALTDISKGPNHSKIDSGEGLFIAISDIRNSLSFHSFSIDTSASQGFALFFDDLLPRDEAAKWFEEVVPQLAKLLLRLPLLLETHYENADDGVLKGVKTGLRLLESQEPGIVFLSQELIGALLACALFCLFPTSHRGAKHLPMINFDHLFACLHDHYEEAFDNKLKCIVHYFGRICSSMPAGYVSFERKVLSLQFSPSCIPYPKEKLWSQSNISLCHYEISVSGLIEDQSREAIEVDFANMYLGGGALVRGCVQEEIRFMINPELIAGMLFLPCMADNEAIEIVGTERFSSYTGYASSFRFNGDYVDKKDIDVLGRRKTRIVAIDALCCPGNNQYRLEGLLREINKAFCGFMDQCKCHQYQQLFKDDQTVNSTGGRSIVNLLSLGHTSTSSQATEGASGNHLARNHKGHRCPPLDSQQEIGVVTGNWGCGAFGGDPQLKAMLQWIAASQVVHSPWTFSCICMREVLAHARRHRALAFHLLGDNAMRPFILYYTFGLEALQMLEQVIQWIVSHKWTAGELWNVLVEYSSQRLREETRVGFFNWLLPSLSSHDDMLNDSYDDRTCSCC >Solyc02g081280.1.1 pep chromosome:SL3.0:2:45867342:45867762:-1 gene:Solyc02g081280.1 transcript:Solyc02g081280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFVIFKFVFADTSLWQGIHHSGTGYILTDTS >Solyc12g014417.1.1.1 pep chromosome:SL3.0:12:5382409:5382873:1 gene:Solyc12g014417.1 transcript:Solyc12g014417.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISTISVPKVFLVNRPHTNVTITVFDTIHDVKCRIGVKEGINSKKFSLIRDGKFLEDDKTLAFYKINGGSTLHMVYIQRDKLLISVVMPTKETVKIEVKVALTVRDILKTIIESRVGYSISCMDLFIGKQKLEDSKILYHSDVNEESVLKVKR >Solyc07g054130.2.1 pep chromosome:SL3.0:7:62632942:62633974:-1 gene:Solyc07g054130.2 transcript:Solyc07g054130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVKLLLDRFKPCKPTRDVISIGISPTMLLLERSRLFTIPMRLLIHFGMIPDILFSDKSKI >Solyc04g081730.3.1 pep chromosome:SL3.0:4:65747838:65752734:-1 gene:Solyc04g081730.3 transcript:Solyc04g081730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPYPPPGYSLNRSSNEALIESIKLQKEREVAKAEKKDRKREKKEKKREEKKAKKEKSNLGFGKATHESKGKYLFKCFEDEPEQLERSNLTEEHEPAVCSQNSSCSSDSTQNSNKRKRPTSPSPSRGGIQAHGSIIRIRLSKKGVQGEISVSKEKHLPKPAQQVAEVTVRTSAERANPLLKTTNKRSCPPPVAVSEPSTSNCGWVDRVAEDNATPSCSKVHENSIEFQYKNLIENWLPPSLPSDNLDLEDDQSWLFQRKPKQARVEEKNLGGGDKTCGSCSSLWQQPRAQYLPDVELYALPYTVPF >Solyc03g005280.3.1 pep chromosome:SL3.0:3:161170:166379:-1 gene:Solyc03g005280.3 transcript:Solyc03g005280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKENLPSDFPTTTPPNTSRPTDAPPAVEDSPFVPDFDAIPLIRPGENPPTPSPTAPRTDSPEFSDPPNTSPSGQEAPRPPISPPGQTEAFTPQQPPDAGEQIRTSNVRSDMKIKVLLAALVIWSLCTSAYGFNNASANNTIRIGLKRRNLDIHSIRAARIYAKHNHKGSDMNLGALRDEIVYVKNYMDVQYFAEIGIGSPPQHFAVVLDTASSNLWVPSSKCIFSIACYLRSKYRSRLSTTYTKIGNPSKIPFGTRSVRGLFSQDNVKVGSSVINQQVFTEVTREGFFTFLSARYDGVLGLGFQDAAAERVTPPIFSVWLNRDTKSRLGGEILFGGVDSTRFRGQHTYVPVAQNGYWEIEIGDVVIGNNSTGLCKGGCPAIVDTGTSFLAGPTTILTQINHAIGAEGFVSMECKTVFSNYGNMIWENLVSGLQPERICHRIGICTRNGTSGVSHVEEKMVARSSKLEKLPNDESGLCSFCEMTVFWMQVELRKETTKEKAFEYVNQLCEKLPDPQGKSYINCDVFSLPHITITIGKKPFPLSPDQRLTTFCSVFGNSMLSESKTIMILAVLVDLQLWMCIHDVPSVARDVHENDFEILDVGRVLGDVFLRAYHTVFDFGNLQVGFAESA >Solyc06g065710.3.1 pep chromosome:SL3.0:6:41255753:41268141:-1 gene:Solyc06g065710.3 transcript:Solyc06g065710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPFDKELEEQIAVAGNKLIEPPSSLEELLRLLDQVESSLSKVEQSPAKSMHDALSPLMKALVANDLLRHSDVDVKVAVASCISEITRITAPDAPYDDDKMKDIFQLIVSSFENLDDQSSRSYNKRVMILETVAKVRSCVVMLDLECDGLIAEMFQHFLKAIREDHSENVFSSMATIMTLVLEESEEVSLELLTPLLASVKKDNAEVTPVAKRLGETVFANCAAKLKPYLPQAVESLQISLNEYNKIVTSVLEGTLPAVDGINDGAPKDELVTEVKLAELPEAAQATQDGGSKVGPASSGEAVQTAESGRDEACLEDIEPAVNGSPKSITSNGGSLENVRLTSETESLMKAGAHDEVDLHDASKIPSESDDSRVEKSTKSEPKSMKSEPKSEQPSKKRGRKTVSSINSAESSHQAPEGSGKEIEKLQDHQNDQNKDDHSSASEDPVVEQSNLLEKEPETNQHSAPKESEEEVVDVAPPSQGQSLPEEIALKKGDLPMEDNSNQDGESKKEIEAGSDLEVKQVRRPLRKTPLEPCRKEKGGSTSDTEAKKLKQSGKNVDTKNKSQVGPSARNKEDSKKRGHGKASQETLPSQESPDRSVKHDEDNEEEIPRTTTKRKRSSSKGRGSRQVVQKSVPTPESPDNSTKHISDEDETDTSAKKKPSSGNDRVTETVQCDKNLVGRKIRVWWPLDELFYEGTVSNYDSSRKKFTVDYTDGETEKLNLLKERWELVEDDNMSEEEQVASADAAASESHKKKKPRNAEPSLKHEMDASPKSKSKEATAKSGQKSKGKLNLKDGTSKSAGKADDTTSSKSAAPSKRSTGKSVDTEKPSARSKDVSSSTPKSKSRQDTPSTTANKSKQETVKAANKSKTKTPQSGGKSGANGSEKLKSSSSKVKESGNQKEKATNSAKTPDGSTKEKLSSASKERQSEPKSGKKRARGKN >Solyc01g104000.3.1 pep chromosome:SL3.0:1:92369523:92387852:1 gene:Solyc01g104000.3 transcript:Solyc01g104000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B1X5] MDLSQSQSSNLSLGFSSHVSPVMSAPNRSHIVDDSIAFQIDSRVKDQSYPGTPVPLQLMDKQTKENGKEGGESADEERDVEEFRILGHSMCIKRKRDTDASSSSSSSKSFKVTSSNENLLGLESRRNAVRAWGNQGLQVADPDIFEIMEKEKQRQYKGIELIASENFVCKAVMEALGSHLTNKYSEGASGARYYGGNQFIDEIETLCCKRALAAFGLDPENWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYLPNGRRVSGASIFFESLSYKVDPQTGYVDFDKLEERALDFRPKILICGGSSYPREWDYAKFRQIADKCGAVLLCDMAQISGLIAAKECASPFDYCDIVTSTTHKSLRGPRGGIIFYRKGSKPRKRGLLLNQGDGSDKYDFEEKINFAVFPALQGGPHNNHIAALAIALKQVATPDYRAYMQQVKRNAQALAAALLRRNCRLVTGGTDNHMILWDLRNLGLTGKNFEKVCELCHITLNKVMVFDDNGSITPGGVRIGTPAMTSRGCIENDFDTMADFLLKAAQITNSIQREHGKLAKGFLKGLENNKDVIELRTRVENFATLFAMPGFEV >Solyc07g053740.1.1.1 pep chromosome:SL3.0:7:62294729:62295406:1 gene:Solyc07g053740.1 transcript:Solyc07g053740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKDKAVKGGNVKVNHGVKEVHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAKAYDAAAREFRGPKAKTNFPFPAEMNNVGNNNSQSPCGSSTVESSSGETVVHAPNTRHAPLELDLTRRLGAAAEGGRGGVGYPILHQQPTVAVLPNGQPVLLFDSMWRPGVVSRPYQVVPATMEFAGVGAGVVTSVSDSSSVVEEKHYGKKGLDLDLNLAPPMEV >Solyc12g019700.1.1.1 pep chromosome:SL3.0:12:11159131:11159367:-1 gene:Solyc12g019700.1 transcript:Solyc12g019700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLFSGLLSRLCTGFCVRCYEKQAFSSVCSPLIMSLIYAWSCDSFGLSIKGFINENFYPFFLLSRKGFQIAAFEINVQ >Solyc10g074850.2.1 pep chromosome:SL3.0:10:58627070:58628816:1 gene:Solyc10g074850.2 transcript:Solyc10g074850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQTDPFLSNGKLKRKAGSSLDEKIESCIGEFGWAQFLQAIFVSLAWFFDAQQTFISVFTDTQSTWHWDLPSQISIVSEWALQCSGSIVPGLPSSSFFVGSTLAGSLYSLLRFLSGFGRSSIGTYALVLSTKLVGRHWRGVVSLPTLAYINRGSSWRILYLWTSLPTMIYSFLVHFGTFMELETFRRLVTVMCVGFGIGMTYYGINRKCSLLGFFSECTTFSILLIYILELFPTCVRNSTVAMVRQVMVLGGASSPMLIAVGRNNEWLSFGVFGLIIATCGCFVVFLPETKRRTLGDTMDGKENKDTTFVC >Solyc03g044935.1.1 pep chromosome:SL3.0:3:11112291:11114884:-1 gene:Solyc03g044935.1 transcript:Solyc03g044935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGALDGTYIHIRVPSVYKPRYRTRKGDIATNVIIIYVMEDIQMEKVFFHLTEDIDID >Solyc10g054915.1.1 pep chromosome:SL3.0:10:56024655:56025474:-1 gene:Solyc10g054915.1 transcript:Solyc10g054915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVFIYPTPQVLPYPIQPTLKFENGNLIHIPSREKTRMKKKEKDEEKHYIGVRKRPWGKYAAEIRDSTRTGIRVWLGTFDTAEEAALVYDQAAFSMRGPLALLNFSTKKDGLSPAAALKEKYKMRMKNVKRRNRKKKMKKTVLIFEDLGADLLEELFLNST >Solyc09g074160.1.1.1 pep chromosome:SL3.0:9:66318789:66318971:1 gene:Solyc09g074160.1 transcript:Solyc09g074160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKCVVCMHEHISVLFLPCAHQVLCEDCKVLHQKKGMDECPSCRTPIKERISVHFTDFE >Solyc05g016000.2.1.1 pep chromosome:SL3.0:5:13311168:13311371:1 gene:Solyc05g016000.2 transcript:Solyc05g016000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNQPHQRVVNNGSNGQQQAETTYNQSSAGISPAVSYRDPASLFRRRHQNQRHHSPTSPLKTTKYR >Solyc06g036480.2.1 pep chromosome:SL3.0:6:26189267:26193886:-1 gene:Solyc06g036480.2 transcript:Solyc06g036480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGCFIPVVVIDHILISVVEDGSNWSMGLRQLFCLGRALLRKSKILVLDEATTSIDNATDMILQKTIRTEFANCTVITVAHRIPTVMDCTMVLAISDGKLVEYDKPMNLMKNEGSLFGKLVKEYWSHYHSPQSH >Solyc07g040896.1.1 pep chromosome:SL3.0:7:51112906:51114306:-1 gene:Solyc07g040896.1 transcript:Solyc07g040896.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLRITEDEGKESTPSSTLSVSSVVSESSPIAITHEESSDEIIPLRRSTRLKIQIPNILIIFIRLVSLLLFSSRSLPKEFKSQMMNEFKMSAMSLLQYFLGLQGIFISQRKYARYLLNKFGMLNCKPAVNEEQSTDAKRFKSLVGALCNNFHSYTMGQKRESCGTFLELWTLVFDTPKLIISYCADSDWAKSLEDRQCVSANVFNLDSGVVTWSIKKKATTSLSTSEVETLQQLVKPSD >Solyc06g036236.1.1 pep chromosome:SL3.0:6:25806541:25807146:1 gene:Solyc06g036236.1 transcript:Solyc06g036236.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPCNILLGFSCLRKLTVQLEGGHGACRVNPMKIISSWLAWIELVSSPSTRFMDEPISALNATAAAIVMRIVRNEVDTGRTVTNNLILLQLTAAATSKMGGEETYVVPLGHHSFQLIKYFEVRKCR >Solyc10g085810.2.1 pep chromosome:SL3.0:10:65012933:65017492:1 gene:Solyc10g085810.2 transcript:Solyc10g085810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLWGNKGQRAQSSPQEANNGSRYSPSVVSSSSSSLPATPSSNSSVSFNAQSPTNRPSSVSQVSPAEGADIIAALRNKSANELKKLVFDKDARHNFLLSLEAVKTQNNVRDELRNATVQLARENLEKEPWIMELRNQCRIIRTTELAAAQEKMHELERRKEELLKSYSPVSLLHQLQDAMKKTEEESEALLGQLLGQEIDLTTFVQKYKKLQYSYHKRALTHLAAKASLGNHS >Solyc01g011237.1.1 pep chromosome:SL3.0:1:8131160:8131924:-1 gene:Solyc01g011237.1 transcript:Solyc01g011237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPCYLISSSFIENIAEVFPLNFVADASMNLTPTDYLEDMGFVDGVAKWCIHFIRRDLSLKILGDVMLKDRIIVYDLARQRIRLANYNCSLPTNVSITSGTYDVTRASTTYHMLEIILFILYLFLSQ >Solyc02g087240.3.1 pep chromosome:SL3.0:2:50328280:50332056:1 gene:Solyc02g087240.3 transcript:Solyc02g087240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICCENTPYVHFDASSRCGLILTDSNGHGKENLHTLLLRKAYAPIKGLLSLQRTALAQRSSERWGQYGRLFSTQAASTASTPQPTPPPPPPERTHFGGLKDEDRIFTNLYGLHDPYLKGAMKRGDWYRTKDLVIKGSDWIVNEMKKSGLRGRGGAGFPSGLKWSFMPKTTDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRARAAYIYIRGEYVNERLSLQKARQEAYEAGLLGKNACGSGYDFDVYIHFGAGAYICGEETALLESLEGKQGKPRLKPPFPANAGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNAGTKLFCISGHVNKPCTVEEEMSISLKELLERHCGGVRGGWDNLLAVIPGGSSVPLLPKNICEDVLMDFDALKAVQSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERMKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIREHAERELQQAAAA >Solyc05g047711.1.1 pep chromosome:SL3.0:5:60077569:60080104:-1 gene:Solyc05g047711.1 transcript:Solyc05g047711.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSMHDLWDRCNAIVLGWIMNSVSKGLLSTVIYGSDAHRVWEDLRERFDKVNASRAFFLHKEIVTLSQGTASVSSYFSRLRELWDEFETLMPPPSCACVEAKFLPESVTTSDTSSDTSVSTSSLVDSHSLSEIVVGKELLVILVYVDDLLVTDSNLQHIQQVRKDLQHTFKMKDLGELKYFLGIEFSRTNYEDTLLDDFGKYQRLIGRLLYLTMTRPDLAFVVQMLSQFMHSPKTSHMEAVIRVVKYIKGTAGLRLFMPSSKSSELTAYCESNWAACVESRRSVTGYVVKFGDAAISCKTKKQNTVSRSSAEAEFRSMATTVAEIIHTMNERFFFSSQISINGDSHQKTLTLDIIN >Solyc05g051490.3.1 pep chromosome:SL3.0:5:62691080:62705238:-1 gene:Solyc05g051490.3 transcript:Solyc05g051490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPLTLGMVIWTLKYFSGPEVPRYVFFTVGYTWFCSISIIILVPADIWTTIVGSDNGGISFFWSWSYWSTFLLTWLVVPLIQGFEDAGDFTLVERLKTSIHANLVFYAILGLVGLLGLILLITMRKSWDGNALGFAMACSNTFGLVTGAFLLGFGMSEIPKSMWKNADWTTRQKVLSHKIAKMAVKLDDAHQELSNAIVVAQATSKQMSKRDPLRRYMDVIDNMLVQMFREDPSFKPQGGQLGEDDMDYDTDDKSMAKLRRNLRIAREEYYRYKSEYLTYVTEALELEDTIKNYEQRNATGWKFVSTLRSERAGTLGSFFDTTELIWRCVLRKQLEKVSAVILGCMSVAILLAEATLLPRGVDLSLFSILIKSVGDQEVLVQVFAFLPLMYMCVCTYYSLFKAGMYMFYSLTPRQTSSVSLLMICSMIARYAPPISYNFLNLISLGENKKTIFEKRMGTVDKAVPFFGQGFNKIYPLIMVLYTLLVASNFFDWIIRFFGNWKIFRFQSETDDMDGFDPSGLLILQKERSWLEQGRKLGEHVLPLARNFNNMTVDLESDGNTIHTNDFESKALMESRKDRGSSSRPLKDEARRYSGSKEAISSKYAALREQGKLPSHVKPMEEDIGSTKVSLLDSASSQSGGAVAAPSGLAGRWASMKSGFQNFKTNIEAKRLIPLRQVGEFVPLRQTQDTNVSRASSSVSLDEIFQKLKRPATESENYGDDDDHERRPRR >Solyc12g096840.2.1 pep chromosome:SL3.0:12:66580476:66581525:-1 gene:Solyc12g096840.2 transcript:Solyc12g096840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISPISSSNGKTFNQKLFSRIRVAIECDVPHIYKLMQQLFVYHDITHLLKSNESSIASGLFNSKYPHLSPVTTLLIEVSTDPFPSCANDKNNSKELDLNMPLVDEESEQFRVVKHDQHDVFIAGYVMFYPCFSSFFENPVFHMENFFIRECYRRKGFGKWLFSTMALEVARMGFSSIDLYASDWNESTLDFYKHMGATISDDFRVLSLAGKALEAYEDDSN >Solyc10g074431.1.1 pep chromosome:SL3.0:10:57861126:57864688:-1 gene:Solyc10g074431.1 transcript:Solyc10g074431.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKPTNTLQGFCDADWGTCINSRRSITGYMIMFGNSFISWKSKKLPADPRSLTEAEYRSLASTVAEVALDISQVNAQHRCTKILNPKGCVLSDCKKECFQKYNENGLCSSGGTIGQYVCTCV >Solyc01g057040.3.1 pep chromosome:SL3.0:1:57952180:57956887:1 gene:Solyc01g057040.3 transcript:Solyc01g057040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAWPGLFVDGNGSYWDVPFSLALDLASATLNSGASYHLFFNNCAGSPKQYEGQHSDELPPPAALLPGFTAKGVVSLKKNIDLWRSEASMQKMVQPYDIFLSNPHISASWILGLNCEVGALPTTCESGDRKGGETTSGIAKEFISVGAVFSAYLGESSTKRQQSCSLRGLKDFDLRAQVSNSAVSVDSFASASLTAQHGNFQRLFLDLTRVHTSFDFPSGSKLLSGLTSVAYSLYNSQVPNVEALQAICPRASLSFQQQMIGPFSFRVDSEIEIDLKKDWYLSVKNPVFAIEHALQVLWSAKAVAWYSPMQREFMVELRFFET >Solyc01g106580.2.1 pep chromosome:SL3.0:1:94300836:94305832:1 gene:Solyc01g106580.2 transcript:Solyc01g106580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASASNPLKIYGIKLEELFYFPSTDSPTQTTQLLLAKPKEADPDPTFILKISISLHTDCSTQLLLLVNMSRRPTRRFADAGSIPFVGSLHPKSRPSPLLSLGLVLGALLIIGYVYHSSGGRSAADAFSRLEGGTSCTAELHRALPVLKKAYGDNMRKVLHVGPDTCSVVSNLLKEEDTEAWGIEPYDLDETDSNCKALVHKGIVRVADVKFPLPYRSKSFSLVIVSDAVDYLSPRYLNKTIPELARVAADGLVILSGYPGQQKVKGAELSKFGRPAKLRSSSWWIRFFIQTSLEENEPVTKKFEQAAAKRSYKPACQVFHLKPLL >Solyc05g005550.3.1 pep chromosome:SL3.0:5:385031:387557:-1 gene:Solyc05g005550.3 transcript:Solyc05g005550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GP2 description:Polygalacturonase non-catalytic subunit AroGP2 [Source:UniProtKB/Swiss-Prot;Acc:P93217] MHNKILVSSYILLVLLFSLSSFNIVVAKDGDESGNPFTPKGYVIRYWNKHVSNDLPKPWFLLNKASPLNAAQYATYTKLVADQNALSTHLQSFCSSANLMCAPDLLPSLEKHTGDIHFTTYGNKNFTNYGTNEPGIGVNTFKNYSEDASVNSFRRYGRGSPRDNKFDNYAPDGNVIDQSFNSYSTNTPGGSGQFTNYAPNTNVPDLRFTAYSDQGTGGEQEFKTYLEQGNSGGQSFKSYGKNGNGADSKFTSYGNETNVAASTFKNYGQNANGENQNFTSYSTNGNNPQNNFKNYGVGGNGPSETFTNYRDESNVGDDKFSNYVKDANAGEANFTNYGQSFNEGTDVFITYGKGGNDPHINFKTYGVNNTFKDYVKDTATFSNYHNKTSQDLASLSEVNGGKKVNNRWIEPGKFFREKMLKSGTIMPMPDIKDKMPKRSFLPRAIAAKLPFSTSKIDELKKIFHAANDSQVAKMIGDALSECERAPSPGETKQCVNSAEDMIDFATSVLGRNVVVRTTENTNGSKGNIMIGSIKGINGGKVTKSVSCHQTLYPSLLYYCHSVPKVRVYEADILDPNSKAKINHGVAICHVDTSSWGPRHGAFIALGSGPGKIEVCHWIFENDMTWATAD >Solyc03g033380.3.1 pep chromosome:SL3.0:3:4961771:4978333:-1 gene:Solyc03g033380.3 transcript:Solyc03g033380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLTLSLSHTNSDTHDLIGTYFLEQWRLKSKAAVRKSESVMGVSENQYLMEIDSEECEEEKEELPTELDTLHSSGGFSIVGSDKLSVRYPNAHLHGHDVGVVQANRPAPCKRLVYYFEIFVENAGTKGQIAIGFTTPGFKLRRQPGWESNSYGYHGDDGLLYRGQGKGEAFGPAYSTGDTVGGGINYASQELFFTKNGTVVGTVFKDVKGPLFPTVAVHSQHEVVTVNFGKRPFVFDLKAYEAQERAKQNSTIEKLSIPQNASYGIVRAYLQHYGYEDTLKTFDVESRSTLPPISFVQENGFAEDVSVYSLNQRKVLRQLIRSGQIGDAFGKLRELYPQILQDGTSAICFLLHCQNFIELVRVGKLEEAVLYGRTEFEKFYKLGDYDDLVKDCAALLAYEQPQKSSVGYLLGDSQRDIVADAVNAMVLSTNPSVKDSRECLHSRLDRLLRQLSACFLAKRTFNGDQGEGFHLHRILNSGRKG >Solyc06g084330.3.1 pep chromosome:SL3.0:6:49532811:49536317:1 gene:Solyc06g084330.3 transcript:Solyc06g084330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVKDISVSAVINLISALVFLLAFAIARLQPINDRVYFPKWYLKGIRASPRSSGSYVNKFVNLDFRTYIRFLNWMPAALKMPEQELISHAGLDSAVYIRMYLLGLKIFVPITLLSFAVLVPVNWTCGETLHHIEDLTFSNIDKLSISNVPSGSKRLWAHVVMAYVYTLWTLYILYKEYKKISTMRLDFLASEKRRPDQFTVLVRNVPPDPDESVSKHVEHFFRVNHSDHYLTQQVVYNANKLAKLVEKKKSYHNWLTYYQTKNERNPEKKQKIKTGFWGLWGKSVDAIDYYTTEIEKLTKEEAEEREKVKSEPNAIVSAAFVSFNSRWGAAVCAQTQQSRNSTIWLTEWAPEPRDVYWDNLSIPYIQLSLRRLLMAVALFFLTFFFMIPIGFVQAFASIDGIRKVLPFLKPLIDMDFVKSFVQGFLPGIVLKIFLILLPMILMIMSKIEGFTSISSLDRRSAAKYHLFVIVNVFFGSIITGAAFEQLDRFLHQSPTEIPKTIGVTLPMKATFFITFIMVDGWAGIAAEILRLVPLIMFHIKNTFLVKTEHDREEAMDPGSLNFSVSEPRLQLYFLLGLVYSVVTPILLPFIIIFFAFSYMVFRHQIINVYDQKYESGASFWPDVNRRILIGLVISHLLLIGLLSTKEASQSTPLLIVLTVLTIWFHKFCKGRFESVFVRFPLQDAVVKDTVERTTEPNFNLKEYLQDAYLHPVLKGVDFEVSREINDEGKNSLVATKRTCRRSSKTVSNGTSEDQKTASEIQLVF >Solyc08g006820.3.1 pep chromosome:SL3.0:8:1353545:1362433:-1 gene:Solyc08g006820.3 transcript:Solyc08g006820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGDWRSLRIPSLICAIYLLLTFNNVYCFYLPGVAPEDFQKGDLLSVKVNKLTSTKTQLPYSFYSVPFCRPENIIDSRENLGEVLRGDRIENSPFAFKMTEPEMCHVVCRLVLDDKKAKEFKEKIEDEYRVNMILDNLPLVVPVRRLEQEAPPAYQQGVYIGVKGQYAGSKDEKHFIHNHLTFTVKYHKDLQTDSARIVGFEVMPFRTDMADNISSVKHEYDGKWADNTRLTTCDPHAKRTVSNSNSPQEVEANQEIIFTYDVEFQKSDVKWASRWDAYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHSDVFRPPSNSDLLCVYVGTGVQFFCMMLVTMMFAVLGFLSPSNRGGLMTAMLLLWVFMGLFAGYSASRLYKMFKGTEWKKIALRTAFLFPATVFVIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFVGSYVGFRKPTIEDPVKTNKIPRQIPEQAWYMNPIFSVLIGGILPFGAVFIELFFILTSIWLNQFYYLFGFLFIVFVILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLDITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Solyc10g007225.1.1 pep chromosome:SL3.0:10:1632355:1633983:1 gene:Solyc10g007225.1 transcript:Solyc10g007225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINIYRFAAAVTAIEKAAQIDNQNDEVTDELENIRLVVEAHKHGDEHFCSERYEQACTSYGEGLWVDPSNPVLYYNRAACWSKLGEWEKSLADSNRALLYWPQYAKALHLRAASNIKVRYKLVHFTYFFLDKIINLIVCDALQLKRWADAVRDYEILRQELPRDREVAANLSHARVELRREAPEGKVELVSDVEKFQAVIASGESVVYFNELTNPEYAWMSSVMDTLSAKYPSVIFLKVVVEQSRAAAENITTLPRFRLYKDGSRVGTATLDVLEIMIKDNLIDPI >Solyc07g042170.3.1 pep chromosome:SL3.0:7:55305593:55306887:1 gene:Solyc07g042170.3 transcript:Solyc07g042170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSEIVDSGRFAGQKSHFSHTCNLLSQYLKEKKGSLGDLSLDMHRNFDSAGSTTMDLLPMIEKSGELVQKSMNLFPQGGMKAESEPEKAQMTIFYGGQVIVFNDFPADKAKEIMLMASTSKGNNPAKPLESAADLVVPSFGKTSIQENQMPNQPIVSDLPIARRASLTRFLEKRKDRLTAKVPYHREEAAAPKKEEHKAPWLGLGGQFAVKTEQY >Solyc03g095850.1.1.1 pep chromosome:SL3.0:3:58964391:58966172:-1 gene:Solyc03g095850.1 transcript:Solyc03g095850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSIDTTILLFFFFIFNFTAPFSSSLPHHHSHFLKHTLPHTSNPQPYIEVTRPLPFTNLTPSCSHPLFTHNFGNTYGLPPVSVPYSPPFNCSWTHVVLWFKASCKGEQYDRIAAVWLDGAEILRTSTAEPNDDGIYWTVTKDVTKYSSILVKENITLSVMLENLVNDIFTGVYHVNVTFLYYDAKSVTGVQLDDYVDNPISMGVSKLGSLLGSDDKPADLILPISANGDNGLWFRIESELGMYGQQVVIPKNTYKAVMEIYVSFHGNDEFWYSNPPDSYIKMNNLTTKRGHGAYREVLLKIDENLVGSLVPFPVVFTGGINPLFWEPVVSIGAFDLPSYDIDLTPFLGLLLDGKSHFLSLGVADSIPFWLVDGNLHLWVDNCALPCEVKAKVVDYGTPKFSIERSSNFRGLDGSCEIEMKRKSKVSGWVNSTSGNLTTTVSREVKFKNKIQFYLNGTEKRVIQNVREETIVSVLSDTGIRISRTTTKKTYPLSMTTKNSPSSENDTSLMLTDLDHEWRGKKSIGDLSISLINRQKCNGWMVVQDHDVLSGGATTQQAYSYRDEVGCYSRIVSAANGTLMSDTSSSLCSFSAL >Solyc09g059475.1.1 pep chromosome:SL3.0:9:54787980:54790426:1 gene:Solyc09g059475.1 transcript:Solyc09g059475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLLVMHSGRWNNENCYVDYSTEAIVLKEHATFRELMDLVSKQICVDLSFNIVKLKYKIEGSTSPLEIHNDMGVRMYVSLKKDNKELSKYPICVSVFVNDCQLADRNMFEDGFEMCGPGGIDIVDTESLVLSVPNNSANMNCDIITNVKHKVVLEDQVYKDKGTLKAVMTQYAIDHRFQWKTDRSSQTWYVPQEMLVANEEASLRMKDQIVQPPNHKKLPGRPSKKYRDKTYSELYGKKRENSCSTCGFKGHNRRSCRNEPRIV >Solyc11g064900.2.1 pep chromosome:SL3.0:11:50480680:50486979:-1 gene:Solyc11g064900.2 transcript:Solyc11g064900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSRGQPNNDDDHHIMSKEKSNDNDQSKSCNNNNNTTPPKSPDPSSKPSKKSPIGPVLGRPMEDVKKTYSIGKELGRGQFGVTHLCTHKQNGEQFACKTIAKRKLVNKEDIEDVKREVQIMHHLTGQQNIVELKGAYEDKHSVHLVMELCAGGELFDRIITKGHYTERAAATLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKDEDSPLKATDFGLSVFYKQGDVFKDIVGSAYYIAPEVLKRRYGPEVDIWSVGVMLYILLSGVPPFWAETEHGIFNAILRGHIDFSSDPWPSISHGAKDIVRKMLTSDPKQRLTAIQVLNHPWIKEDGDAPDTPLDNAVLSRLKQFRAMNNFKKVALRVIAGCLSEEEIMGLKQMFKSMDADNSGAITLEELKQGLAKQGTKLSDYEIQQLMEAADADGNGTIDYEEFITATMHMNRMDKEEHLYTAFQYFDKDNSGYITIEELEQALREFGIDDGKDIQDIVAEVDSNNDGRINYDEFAAMMRKGSPETTANIKKRRESFVV >Solyc12g057050.2.1 pep chromosome:SL3.0:12:64062616:64064871:-1 gene:Solyc12g057050.2 transcript:Solyc12g057050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITSIISTNSSKNGIFADFSSIEEGKHGTIQSPFLSAFQKIIAELVGTYIFIFVGCGSALVDRERTLTIVGIALAWGLSLMALIYTLSHVSGAHFNPAVTIAFAAARKLPLMQVPMYVLPQFLGSTLASLTLRVLFNHQGDILPMLTQYKSPVTDFEAIFWEFLMTLILMFVICGAATDDRATKGVAGVAIGVTLVFEVLIAGPITGASMNPARSLGPAIVSGVYKNQWVFVIAPILGAMTATGIYGLLRQPKQNTKI >Solyc10g074940.2.1 pep chromosome:SL3.0:10:58714317:58725947:1 gene:Solyc10g074940.2 transcript:Solyc10g074940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4D1T6] MTSGKPLLSPSEPSSAPSSHHNNSSLGICSLGCLPQNASASADLDELPETKLCDLKEEVDRGNQPLEDTSGVKNSRLHSSSLVNGNGTAELQSFSSKYPPSRERKRLVSWGGTADHPLEQTTFEISTDSSRVTSSGAVSTRASSLKHLDESRVLSRGQDKLNKSQRLLQKSMQLENDLLHGSNARLIHVNDPKKTNDQFEFTGNEIRTSKYTIINFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLSVTAIKDGYEDWRRHRSDRNENNREALVLQFGKFELKRWKNIRVGEVVKILADETIPCDMVLLGTSDPSGIAYIQTMNLDGESNLKTRYARQETTSLVSEVDTLSGVIRCEQPNRNIYEFTANMELNRHKFPLSQSNIILRGCQLKNTEWAMGVAVYAGQETKAMLNSAASPSKRSRLETYMNRETLWLSVFLFVMCLAVASGMCVWLKEHEKQLDTLPYYRKVYSEKGTHPGKRYRYYGIPMETFFSFLSSVIVFQIMIPISLYITMELVRLGQSYFMIGDRHMYDDNSNSRFQCRSLNINEDLGQIRYIFSDKTGTLTENKMEFKRASVWGKNYGRAFSAAGASLDPDFGESTAVPSNQGKLRLNAEIPTDSELMELLHIELAGEERIAAHEFFMTLAACNTVIPILTHSSSSDEVHDTVGTIEYQGESPDEQALVAAASAYGYTLCERTSGHIVIDVNGEKLRLDVLGLHEFDSVRKRMSVVIRFPSGAVKVLVKGADTTMFSILRKDHKSHHDIQNVTLSHLNEYSSEGLRTLVVGARDLTGEELEEWQFMYEDASTSLTDRSAKLRQTASLIECNLTLLGASAIEDKLQEGVPEAIESLRQAGMKVWVLTGDKQETAISIGMSCKLLTSDMQRIIINGTSENECKRLLFDAKIKYGINSASCCNQISTCQSDAENSYLEASASMQTSNLPEPHAGEEGVSDGPLALIIDGNSLVYILEKDLETELFDLATSCRAVICCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGLCGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRVGYLVLYNFYRNAVFVFMLFWYILYAAFSTTSALTDWSSVFYSLIYTSIPTLVVGILDKDLSHKTLLKYPKLYAAGYRQESYNMKLFWVTMLDTVWQSLVLFYVPLFIYDQSDIDIWSMGSLWTIAVVILVNMHLAMDVQRWLIFTHMAIWGSIVITYGCLVVLDLIPVFPNYNTIFQLAKSPTYWLSILLIIVLALLPRFIVKVINQSFRPSDIQIAREAEILKKNHSYIMSRPDHDTS >Solyc12g094560.1.1 pep chromosome:SL3.0:12:65737050:65739625:-1 gene:Solyc12g094560.1 transcript:Solyc12g094560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSDNNRSIIRKRKRTNQTPIQLFVRSLSKTETTLVLRGYSNDTVEVTKNKIRMLTGIPEDNQRLIYNGRHLSCDRETLSFYNVENDTTIHLFGKMRRSTYTWKITNEMISRIATIMGSDHVCSDSDLKDMEMLVRQFSEKGGCNDIDLFISSSAPADLVKMYVSPDNAKRHVADESIRGFINSLMSSDLQVRRYNECARIILAFCKELRSEVGLEDLLYSFCRSSTREIVAGVGIGRCKENIADEVLVLKDVFMFVREVVVADLSRELELSMIPIQFAGISLMSIVHDFLDYMRLVRALMQQPFDSQSDEAVYETEYMQSMHHILSELHVVLYDLLDKIERCLRTLEDQRLNVLWLSQYLVILKELSNISKFFNSSEIFWQKLSKRKVSLCYLIEMFAKSSEDYRWIIEHKEVTNFKVRRNFTMMMLEEARDGYKEEAYGMIIDRSMLLDESFEYIVDEDPALLRGDLLLQFKHEEAVGPGVLREWFFLVCREMFNPHRALFVACPNDRRRFFPNSASKVDPMHLEYFTFCGRMVALALMHKIQIGVVFDRVFFLQLAGEDISLEDIRDADPSLYSSWKMILKMDPETVDQDILSLTFAYDVEEMGSRTTIELRPNGKDVAVNSKNRKEYVNLVLQHRFVTSIASQIAHFSQGFSDVTTSSIGTSFFRSLYLEDLDKMLDGSGTAISVEDWKAHTDYDGYEENDLQISWFWKIVEGMSAEKKNALLFFWTSIRYLPLEGFRGLDTRLSISRSSESCEHLPYAQTCVYLLRFPPYINRVMMQNRLDMITQEHVGCSFGSL >Solyc02g070840.1.1.1 pep chromosome:SL3.0:2:41001046:41001228:1 gene:Solyc02g070840.1 transcript:Solyc02g070840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVKVKEKELQKKQNAELQQNQNQLLTSISQEKSSLTSFPVSLSKQVRKQAMAKSHF >Solyc07g038105.1.1 pep chromosome:SL3.0:7:45460084:45463977:1 gene:Solyc07g038105.1 transcript:Solyc07g038105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSSLTTRVISQEALLLSRPGFSHSVVDSDLQINCMHIASTASFLEVEKGILFHYPSSVVDSSSAIRKNADGPWRQVILHIL >Solyc05g051250.3.1 pep chromosome:SL3.0:5:62381482:62384632:-1 gene:Solyc05g051250.3 transcript:Solyc05g051250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:K4C1F6] MSMISDLINLNLSECTKKIIAEYIWIGGSGTDLRSKARTLSGPVKDPSELPKWNYDGSSTGQASGEDSEVILYPQAIFKDPFRRGDNILVMCDAYTPAGNPIPTNKRHNAAKIFSNPVVAAEEPWYGIEQEYTLLQKEVNWPLGWPIGGFPGPQGPYYCGIGAANAFGRDIVDSHYKACLYAGINISGVNGEVMPGQWEFQVGPAVGISAGDEVWAARYILERIAEVAGVVVSFDPKPIPGDWNGAGAHANYSTKSMREDGGYEIIKKAIEKLGLRHKEHIAAYGEGNERRLTGKHETADINSFKWGVANRGCSVRVGRDTEKAGKGYFEDRRPASNMDPYTVTSMIAETTIVWKP >Solyc01g088400.3.1 pep chromosome:SL3.0:1:83075330:83090285:1 gene:Solyc01g088400.3 transcript:Solyc01g088400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTEWPWTFLGNFKYLVLAPFVCHSTYTYFMSKDERQRDIVYIIILPLLFSRIIHNQIWISLSRYRTAKGNNRIVDKSIEDDQIIFNGLLYYIGYLMLEQAHHLPLWRSDGIIIIGLLHSGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIATEPITSVIHPFAEHLSYFTLFSIPLFTTVFTRTASIASFGAYVTYIDFMNNMGHCNFELIPKWMFSVFPPLKYFMYTPSYHSLHHTQFRTNYSLFMPMYDYIYDTLDKSSDTLYEKSLEREAEVPDVVHLTHLTTPESIYHLRLGFASLASNPHTSRWYVWLMWPVTLWSIMITWIYGRTFVVERNIFKNIKLQTWAIPKYRVQYFMKWQRETINKLIEESIMEADNKGIKEETLNSNGELYLKRHPQLKVKVVDGSSLAVAVVLNSIPKGTSQVVLRGRLSKVAYSIALALCQRGIQVATLDEEDYKRLNAKLTHEAATNLVLSKSFVSKTWLVGDGLSEDEQLKAPKGTLFIPYSQFPPRKSRKDCFYFNTPAMIAPKHLENVDSCENWLPRRVMSAWRIAGILHALEGWNENECGDMMFDIEKAWKASVDHGFRPLTLYLVLAPFACHSIYTFFMSKDESERDMFNILLLPLTLFRMVHYQTWISLSRYRTAKGNNRILDKSIEFDQVDRESNWWEEQLNSNGELYLRRHPQLKVKVVDGSSLAVAVVLNSIPEGTSQVVLRGSLSKVANSIALALCQGEIQTWLVGDGLSDDEQLKVPKGTLFIPFSQFPVREVRKDCFYFNTPAMIAPKHLENVDSCENWLPRRVMSAWRIAGIVHALEGWNEHDCGDTMIDVEKGLRPPTPLSYPPSRVHAPKPTPTDIVTIGYINELY >Solyc01g107520.3.1 pep chromosome:SL3.0:1:94942654:94943694:1 gene:Solyc01g107520.3 transcript:Solyc01g107520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKFLFIVISCFFFLAFQKGNQALTVTYTVTNRDPNSSGGIRFTNEVGINYTKQTLANSTNFIWAIFQQTADADKKQYTALNAFVENTTNGYIAYTVGNEIHLGTSYIQSYSGNIKTELTGILFHEATHVWQWFGNSSTPGGLIEGIADYVRLKAGYVVSNWAKNGQGTRWDEGYSVTARFLDYCNGLRNGFVAELNKKMRSGYSNNFFVELLGKTPDQLFVDYKAKYNNTA >Solyc12g088210.2.1 pep chromosome:SL3.0:12:64626631:64638421:1 gene:Solyc12g088210.2 transcript:Solyc12g088210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAHGSPQQQMKLVVVGYALTSKKTKSFLQPKLVGLARNKGILFVAIDQSKPLSDQGPFDIVLHKLSGSKWSRILEDYRQTHPHVAVLDPPDAIQQVYNRQYMLEEVADLNLSDSYGKVGVPRQLVIEKDPSSISDAVDKAGLSLPLVAKPLVAKSHELSLAYDMVSLQKLEPPLVLQEFINHGGVLFKVFIVGEAVKVVRRFSLPDVSKLELSNNAGVFHFPRVSCVAASAEEADLDPSVGELPPRPLLERLAKELRRRLGLRLFNLDIIREHGTKDRYYVIDINYFPEMKGFSASSFARRIFKSNSQSPKENRENTGSYDAINVILNHDFSEELHLWRTNCCNAFVVPAGSGNYKGTAEDVGCSYAVVTNRTECWQGLEQDITSRISAGCDYTVSACVGVSGTFHGSTDVLATLRLVYQNANTDYLFISKKSVMGEGWHMLEGSFSLSTMPDQVVFYLEGPSPGSDLLIKSVIITSPGCTDYESSRPTSSCTDDEKIIVNANFDYSLNSWSGRGCKVVCLDCMADANINPTSGKYFASATERKQSWNGIQQDITGRVKRKLAYEMTAIVRLYGHNANSADVRGTLWVQAADNREQYIGIAKVQATDKDWVQLQGKFLLNDSPSKAVIFLEGPPPGTDILLNSLVVNHVVKPLPPPPPVVENAVFGVNIITNTHLNNGTNGWFPLGNCRMSVQTGSPHIIPPMARDSLGSRENLSGRYIAVTNRTETWMGPAQVITDKVKLYLTYQVSAWVKVRHASGPQNVSVALGVDDQWVNGGQVEVSDDQWHEIGGSFRIEKQAAKVMVYVQGPAAGVDLMVAGLHIFPVDRHARFNHLKRQTDKLSFQRYDLQIRKRDVILKFSGSDTVHLLGTSVRVRQQQNSFPFGSCICRTNMDNEDFNDFFVKNFNWAVFGNELKWYSTEAQRGKFNYRDADELLDFCTRHNIQVRGHCIFWEVESTVQEWIRSLNNNDLMAALQNRLSGLLTRYRGKFKHYDVNNEMMHGSYYQERLGKDIWANMFKKAHQLDPSAILFVNDYHVEDGCDTRSSPEKYIEHILDLQDQGAPVGGIGIQGHIDCPVGPIVCSALDKLSILGLPIWFTEVDVSSNNEHVRADDLEVMLRESFAHPAVEGVVLWGFWELFMSRENAHLVNAEGELNEAGKRYLALKQEWLSHAHGHIDDQGQFRFRGFHGSYEVDFVTASKKITKTFVVDKGDDALVISIDI >Solyc01g017730.1.1 pep chromosome:SL3.0:1:24842903:24846709:-1 gene:Solyc01g017730.1 transcript:Solyc01g017730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVILSMLTVFSILKICIQFSEPKFVEIRRGMLCNAKHSSCAFYRMVENSSLARLFFIRRCIFCTFFICHLVEYYLSSGCAKCHRLFFLSVTTDKPIIKIIFKVVEVIKYRTKTSI >Solyc03g111050.3.1 pep chromosome:SL3.0:3:63206804:63216168:1 gene:Solyc03g111050.3 transcript:Solyc03g111050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLQTCSFFFTFPSPKTLLKPINSSKFTSFPFFNLSTSSNFKHSPLTLHCFSSDEYPVGDDDAFLEAFGPKEKESEEEARRRNWVDRGWAPWEEILSPEADFARKSLNEGEEVALQSPEAIEAFRMLSPNYRKKKIEEMGITEDEYYAKQFEIKGDIPEPLETTWAGPLVVRHVPPRDWPPRGWEVDKKELEFIREAHKMQAVRVDYDKVEEMVNTETDDMSLERYKVFLKQYNEWVAANKDRLEEESYKYDQDYYPGRRKRGKDYQDGMYELPFYYPGQICAGKVTAIHLYQGAFVDIGGVHDGWVPIKRNDWYWIRHHIKVGMHVMVEILAKRDPYRFRFPIEMRFVDPNIDHLIFNRFDFPPIFHREEDTNLDELRRDCGRQPIPRKDPGVKVEEEPLLSNHPYVDKLWQIHNAEQMILDDLEANPGKYEGKNLSELADEEDFDEENSTEYSKAYYKKALLTKMITKVSVRELDLEAALAERQHHNELRIEALERGEVYKISKLRRNIEMDEYDFIHWRRSLEEREALLRDISCRRALGLPLEEPGRYVDPSAISKDQYDPESPLYRYDYWGEPKNSEKSKQERMTDAHNKSIVGKGTVWYELSYEDAIKEQMQMEAQGFVRELYDEDSDSDQVNTDDEDDEEDFDYSILGDPSENTINQPYVNGTESSQLSDEGMFED >Solyc12g014600.2.1 pep chromosome:SL3.0:12:5599533:5604754:-1 gene:Solyc12g014600.2 transcript:Solyc12g014600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMETDLGKLFIGGISWDTDEERLKEYFTSYGEVIEAVIMRDRNTGRARGFGFVVFANPAVAERVVNEKHIIDGRTVEAKKAVPRDDQQIINRNNSSIHGSPGPGRTKKIFVGGLASTVTESDFKTYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDRALYKTYHDLNGKMIEVKRAVPKELSPGPNRSPFNGFNYGLGRVNNFLNNYAQGYSLGSIGGYGVRMDGRFSPVASGRTSFSQFSSPAYGMGVNLDPALSPTFAGASNFSNNLGYGRALNPYFTGNSSRYTTPIGYNTGSNRGDSLLSSPTRNVWGNGGLNSSPGPGSSGSFLGSGTGGYGVFGNNGANWGSSAVSAPVGSASGYGGRNVGFRNGGRSYGLGSGGLARSNAAGGVPTSFAASGGAYEGSYGDLYRSGSMYGDSTWQTVSSDMEGSNTFGYGLENPADDSAKDSENYIGNYSIANRQSNRGIAA >Solyc11g056300.1.1 pep chromosome:SL3.0:11:45611935:45612420:-1 gene:Solyc11g056300.1 transcript:Solyc11g056300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINRSGKTILGQSSRDRLACQRADIRRLRPTAPRKLDDIGSNVQDDVIQVNDEAKQFLDFVHRVSSTLAKGRKRTDAIKSDVNKFQKSALRVRESKRWQVS >Solyc05g024195.1.1.1 pep chromosome:SL3.0:5:30744989:30745822:-1 gene:Solyc05g024195.1 transcript:Solyc05g024195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAFHHLKEENHGNMGISFNEWIQFWCKKDLKYELAPPRKEKKSQRLSSTHNPTGEIPTEINTWSSTQEMVFSKIGVKHQKYETYLTTFLSCWLCAFVLPSEEGNFIRPETFKIASLMASGKRVSLAVPILTSIYHGLNKISNSSQLDHVRVCFPIHYVYGWLAYYLKTHYPLTSGPSLPRMVVYSGEGAAKYFDKDEARKRVHRGENIVWNATMLSRTHPTYYLDDQKSSELDQAYFMSIRFNYLPLRRGGSFVIEPYSPHRFNRQFGFHQDNPG >Solyc05g051145.1.1 pep chromosome:SL3.0:5:62207625:62208696:-1 gene:Solyc05g051145.1 transcript:Solyc05g051145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGAGLAGLAAAKQLMLFVIEVTVLEGRKRGGGRVYKKEMEGGNKVDTPLGLLGRQLSYTLHKVRDQCLLYCAEADYIELLEKASKVSTELSQVFSLGEKLETLQKDFGVAIDVEEMRLFNWHLANLGYANAISLAFLDQDDPYDMGGDHNFLSGGNGRLVHPLTENVLIIFLKIVHIILFDGDMTLCMVPLRVLKSRSISFILELPKKIGHNKKIGFGHGIDDSLYRGEFFLF >Solyc03g044377.1.1 pep chromosome:SL3.0:3:8968087:8971331:1 gene:Solyc03g044377.1 transcript:Solyc03g044377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRKGYYQVRIAEGDEPKTACVTRFISGYSAIAAPMTELLKKNRPWLWSEECEEAFEGLKAAIFIDAP >Solyc04g054517.1.1 pep chromosome:SL3.0:4:52751086:52751451:-1 gene:Solyc04g054517.1 transcript:Solyc04g054517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKIEIKKSEETSKRQVTFSKRRSTLLKKAKEIAISGDVDVLLVAFSTAQRLSKFCSKNRIEDILQRYIELPIARKFSYVNKP >Solyc04g064810.3.1 pep chromosome:SL3.0:4:55985987:55994188:1 gene:Solyc04g064810.3 transcript:Solyc04g064810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPTKEHIDVAETEPVASSTDNEEKTKKKKKKGFFSMIWNSLFRSKKDDFEKRLQHISKEEAAVIARINKRSQNWRRMTRHLIVLSVIFEVIAVGYAIMTTRSLELNWKMRALRVLPMFLLPGLSFITYSAIGSFTRMCERKDQKTLEKLRAERQAKIEELKEKTNYYITQQLIQRYDPDPAAKAAAATVLASKLGTDTGLKVYVGDDTKHNVPTGKSNGVEVVQSNGLRNRKQARSSSPESAVIDHPGAEMLQQAQLEGSDMMQHQQTVVEHYNPTGSSTQDGGWIARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCHALNRPKQLDDRVSGTSTPNLGSTTSLADVDSVKQVSGSTPDKIPASASGSPVAAPVETEGDNIVSSASNS >Solyc08g075510.3.1 pep chromosome:SL3.0:8:59781823:59785657:-1 gene:Solyc08g075510.3 transcript:Solyc08g075510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEEPIQNLWQEVRDLTLGISTQINHLSTPPTPLQFLREYVSPNKPCLISNAVNHWPATTLWQSTHYLTNTLSSSSVSLHLTPTGRADALSPNPISPSSLCFASAEMKHLPFPDALNRVLESEMGCVGYLQQQNDCFRSEYGALSKDCDSDFGWASEAFGCLPEAVNLWIGNELSETSFHKDHYENIYAVVSGEKHFLLLPPTDVHRMYITDYPAAEYHYLQDTGEFSLELEEPVRNVPWCSVNPYPSPELKEKEMTKFPLYFNGPRPFEVTVKAGEILYLPSMWFHHVRQSSDSRGLTIAVNYWYDMRFDIKYAYFNFLQLLPHPILCNPASSEKLGLESQHNSSIHNSEDESSMDDVTASANGNLVHHPYTAEK >Solyc01g108765.1.1 pep chromosome:SL3.0:1:95856767:95858681:-1 gene:Solyc01g108765.1 transcript:Solyc01g108765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLAKPTRHLTGRGTDTNRDSHNTQACTNPYYIACPLLQIIVFGINIPLFHVQDQRIKSHQCENHLYSYLVVVIVVLPYANATFSRTKVKKHSVLVHTGCHGAWSWYKIVALMTSSGNNVTDIDLGSSGINPKLEIPHFSDYLSLLASPPTKKSHSLGGLPISKAMEILPQKISVAVFVTALMPGPTLNAVILYTQLVPIFHIPNLSHEIICYATTLIRPYYLYHVEDISKDIVLSRTRYESVRRVFIEKNPPDEVEVIQDSDHMTMMSKPLQLFTTLLNIANKYN >Solyc07g049610.1.1.1 pep chromosome:SL3.0:7:60080066:60081529:-1 gene:Solyc07g049610.1 transcript:Solyc07g049610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLNLSKRSSNSNEHHHDSSKKSKLTFELKHAFHSVKSQIPLTHCVWLLITILLQILILFFLIRSSPPHPPPSNSQQLLALNPDCQSGYVYVYDLPATFNTEFIDKCEELDPWKSRCKAVSNGGFGPSATGLERVVPEDITPAWYWTDMYAAEVIYHNRMLSHKCRIMDPEKATGFYIPFYAGLDIGKFLWFNYTAKDRDRKSEMVLDWLKEQPTFTRANGVDHFIMLGRLTWDFRRLTANDSEWGSSLLYMPSMKNVFRLSVEKHQNDDLEESVPYPTAFHPRSESDIVQWQNYIRNYDRTKLFSFVGAKREKIKNDFRGVLMDYCKSEENCLAVDCATTVCSDGAPAIMEAFLDSDFCLQPRGDGLTRRSTFDCMLAGSIPVYFWRGTFKGQYEWHLPWMAESYTVFIDHDNVRDTNGSLILQVLQQIHKDKVKEMRETLINLLPKFVYATPGKDLGSVKDAFDITIDRVLKRLKSRRDQYFDS >Solyc04g049090.3.1 pep chromosome:SL3.0:4:39492313:39497991:-1 gene:Solyc04g049090.3 transcript:Solyc04g049090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERSMEATPTWAIAVVCFILLAISIFIEQIIHHIGEWLLEKRKKSLYEALEKIKAELMLLGFLSLLLTVLQDPVSNLCVPKSVGYSWHPCMAKEDAKSEYDDPCLPKGKVQFASSYAIHQLHIFIFVLAVAHVLYCIATFALGRLKMRKWRAWEDETKTMEYQFYNDPERFRFARETSFGRRHLHFWSKSPVLLSIVCFFRQFFSSVAKVDYLTLRHGFMMAHLTPQNQNNFDFQLYINRAVDKDFKVVVGISPALWLFTVLYFLTTTDRLYSYLWVPFIPLVIILLVGTKLQMIITEMGVRISERGDIVKGVPVVETGDHLFWFNRPALVLFLINFVLFQNAFQVAFFFWSWWKFGFPSCFHKNAADLAIRLTMGVIIQVHCSYVTLPLYALVTQMGSSMKPIIFGDNVATALRSWHHTAKKRVKHGLSGHTTPANSRPTTPLRGTSPVHLLRGYPQYNEDSVQASPRTSNVENEGWANENQEGEILQHASTDHNKQIEITMSDFTFGNK >Solyc10g011810.3.1 pep chromosome:SL3.0:10:4029426:4030468:-1 gene:Solyc10g011810.3 transcript:Solyc10g011810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQIMSGNCLTGISIAWWKWTHNAHHVACNSLDYDPDLQHLPVFAVSSSLFKSLNSTFYGRELTFDSLAKFFVSYQHFTFYPIICVSRVNLFVQTLLLLFSKRKVPDRFLNILGIMVFWTWFPLLVLSLPNWTERVLFVLTSFAVTGIQHVQFCLNHFAADVYVGHVLLRWIGSLEDCSSSLSIICFQGYQGAN >Solyc05g050730.1.1.1 pep chromosome:SL3.0:5:61708004:61708468:1 gene:Solyc05g050730.1 transcript:Solyc05g050730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVMKILISLAVTMALAITIITTITTTTTPEITGSKKAPIPTLPPLKKKLVASRFLAEQVKPKNPRAADHCHKDNEICTALEIGGKNTTCCNNKCINLSYDDHNCGACKKKCPFTETCCRGECVNLSFDKRHCGYCNNRCMTGGYCFYGICDYA >Solyc11g012990.1.1 pep chromosome:SL3.0:11:5836908:5838333:1 gene:Solyc11g012990.1 transcript:Solyc11g012990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVSFIKNSFKLAHKYPISCHQLQHSKMMYSQISKTSREKMSKCRHFSSSVGASCGNKVGFIGWYLQMLDSRPILTKTITCTLIVTAADLTAQTIVAGSFSGQYDLIRTMRVAGFAMVILGPSLHVWYNSLSLFLPERDVVSTLKKIALGQILYGPTMNAIFFSINAAAQGESSSEIVARLKRDLVPTAVNGLMYWPICDFITFKFVPVHLQPLVVNTFSYVWNIYLTYIASQQKVAASA >Solyc10g012050.3.1 pep chromosome:SL3.0:10:4350402:4368775:1 gene:Solyc10g012050.3 transcript:Solyc10g012050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAINFSTLKRPLNSSFELANQIDVRPSYACINGNLQFREPTYSKNYAAPKCFKIRGIHSASVTSHVTSYLTDNKSLRGSKSIFVTSPIWNNQWYSSSVSSNRYTPKGSEVSTGASASGFVMDTGGVSGSEWVGNIKEAWRTAVDAVTSTGGKVKEASSKMTPYVENVLDAHPYLRDVIVPVGGTLIAWVVLPRLLRRFHEYAMQGSAVLLHGNSIWGKFSYEKSIWGAMEDPVRYLITFMAFSQIAVMVAPSTIASQCLLPTWRAGSILSFIWFLQRWKTNVISRALDVKGLEVGDRDQLSTLDRISSIGLYILGLMTLAEVCGVAVQSILTVGGVGGVATAFATRDILGNVLSGLSVQLSRPFSVGDTIEAGSVEGQVVEIGLTNTSLLTAEKFPVIVPNSLFSSQVIVNKSRAQWRAMVTTVPFQIEDFNMIIKISDDVKSMLKSNPNVFLEKEAPYCYLSKIEKTYAELTLGCNLRYAIASSIAFVLKIYNIVT >Solyc10g017830.1.1.1 pep chromosome:SL3.0:10:6097896:6099137:1 gene:Solyc10g017830.1 transcript:Solyc10g017830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNQNPYNPYLPKENGSTSFPASIDPPSNAPDPVPSFASLPLQFDSNRSSLSMRFARLSTSENQQVRQPIITDPHNQDQYLLTSDIGSFSHGSGAVFKALYTEHRPDSEITVHSRWVTLKIIDMNDNESESRDLWRQGKTGLMDTPYGKIIGSRKIFSVVTNIFSDNDELLCVVLPYMSEGSLRYILSTRPQKKLSEEFIFVVLKQVLIGLRDEIHVEFNPKVHKTLNAGDIFVHFDDATQEISIKLAYEVSAYDSETQNQGNNQYAPFLNPKNIFRWGAAPEVYERENEGRSGPKSDIWLFGITALELVYGDLPVRNRVDFNYIVDEIRKKKKLPNSLKRMMIKRDGRFKEVMRNVVNRKKRVFSEEFEEIVLACLRENPVNRPSADQLLNAPFFSDSNDRFKQYVLNGRN >Solyc08g061840.1.1.1 pep chromosome:SL3.0:8:49679508:49679699:1 gene:Solyc08g061840.1 transcript:Solyc08g061840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNRLCLPCDIDLMFLALFSGDRSPYIPPQFLDLSPMIELKELSILLCRLNYYTRYFNYAKM >Solyc04g010220.3.1 pep chromosome:SL3.0:4:3536665:3549210:1 gene:Solyc04g010220.3 transcript:Solyc04g010220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLRSAMNKAVEVGNSNLTRTVRNYADSVGHAVAEGAKILQDRIGNRNFKSFKQTVQRLEEASISCKGPERVQLMKRWLAALKAIDNMSEVSVEDKEKNNEQQHPSEEVRKQPLVLYYDSEMGGEPLNFRDVFLYSKGLEGMVICMILEAPNEEEISLLLELFELCLTGGKAVHSAIISSIQDLSNAFSNYQDEVLVKREELLQFAESAITGLKSNADLGRIDAEVSTLNKQLDEIKAVKDASGDHETISKETAASIEALKVALAHIRVCSRLEGLLLKKKSLKYGDSPEVHSQKVDKLKLLSESLVRSANKAEKEISDDRIQKEEALKFRVAKTSEVGEIEKELAAEISALEKQRNEIEAQLKQVNISLAAASARLHNAREERDQFYDANDQIVAHLKTREGELSKTIGSCRVEEHVVSSWIKFLEDAWVLQSSYTETKDKEAKVELEKHEDYFVNLVLQLLSDYEKELRPSIDRIRKYVENLKSLGEGSAKEAGLSSGESKALSPRKSLELEYLDYEAKIITTFSVVDNMMEQFYAQHGKVSRKDDPKIKGLFENIEKLREEFESIERPELEMEIPDAPTQEGDASSHNIPDENKSDPARKATEAPETGTNEENKPPSTKAENVSNAAPNKVPENVSSSARKTIEAPTAGAKEEKKPAATSAEQMYEAELAKLESESGNINQDFTAEEIGGWEFDELENELNSGTRAIPEKR >Solyc04g080650.3.1 pep chromosome:SL3.0:4:64846920:64851493:-1 gene:Solyc04g080650.3 transcript:Solyc04g080650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWFWYIFPCLGLLLLQIIWYNNTGKTPAKKIPAGNRGIPVIGETIQFMATINSNKGFYDFIKIRRLKYGNCFKTNIFGQTHVFISSTEATKKILSNEKGNFTKRYIKSIAKLVGDQSLLCASHQYHKSIRSQLSNLFSTTSLSTMVRQFDELTINNLSTWHKKSSIIILHEALKITLEAICKMLMSLENKEELEMLHKDIGLIYEAMLSFPLRLPWTRFYKGLQARKRIMNLLDRIIEARRTSNKKYDDFLDYLLIKDNQIIQLTNEQIKDNILTMIIAGQDTTASAITWMVKYLDENPKALNNLRAEQQALQQKVSCKAYLTFEDLNCMPYASKDLRSKKVGSLMWMLNQYILIQ >Solyc04g058010.3.1.1 pep chromosome:SL3.0:4:55089316:55089443:-1 gene:Solyc04g058010.3 transcript:Solyc04g058010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVQAEFLSLDVVNVQHFMLL >Solyc05g053110.2.1 pep chromosome:SL3.0:5:64078961:64080501:1 gene:Solyc05g053110.2 transcript:Solyc05g053110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMKYKLTYPSGTATAYLINCFHTPKGAELAKKQVGSLFNSFGFSFIFGAVQWIFARAEGCAFASLHSFGSQAYTKRLYYVGVDMLCPYMVNISLLTGAIVSWAFMWPMIEAKKGDWYSANLSATSLHGIQGYRVFIAIAMMFGDGLFHFAYMLVVTISSFTKRKSSTQQDCSEEDDEDENIRNKYFLKDQIPNWAAAEGYAGIAVVSIIVVPMIFHSLKCYWVSLENGGVIAGLASCGLMMSILGTASDLMGDFKTGYLTLTSPRSMFFSQLIGTAMGCIITPLVFWIFNSAYRLGDPEGSYPAPYALMYRGIALLGVEGFGSLPKHCLNLAICFFVAAILINLVTRLLKKFERFIPTPMCMAIPFYLGGYVAIDMCVGSLILFGWQMYNKQKAKDFGPAVASGLICGDSLWGIPESILALAGVKAPFSNQCCFISIAHPMKLNL >Solyc01g016590.1.1.1 pep chromosome:SL3.0:1:20523848:20524015:-1 gene:Solyc01g016590.1 transcript:Solyc01g016590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQRSDHADQMFDERSNQIEELVHEFDLVEDNSVGLQIPLQVHLLGMLICTLQN >Solyc08g067100.2.1 pep chromosome:SL3.0:8:56075310:56079311:-1 gene:Solyc08g067100.2 transcript:Solyc08g067100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLFVKYPNTIIVSPMATRSVLFSSSFLLILLSFSLEKSNALEGRKTIESNFHTIQLTSILPSSSCKPSSKGKRGGASLEVINKHGPCSQLNKKGEKGPTLTEMLAHDQARVDSIQTRIAAQNFNLFRKTEKTSKKYRAKDSKTTLPAQPGIALSTGNYIVTVGIGTPKKDLTLIFDTGSDLTWTQCEPCFKTCFPQQQPIFNPSSSSTYSNISCSSTACSGLKSATGNSPVCSSSTCVYGIQYGDSSFSIGFFAKDRLTLSATDVFDGFMFGCGQDNKGLFGKTAGLIGLGRDPLSIVSQTSAKFGKYFSYCLPTRRGSNGHLSFGKNGAKSNLQFTPFASSQGTSFYFIDVLGISVGGKSLAISPMVFKNAGTIIDSGTVITRLPSTAYSNLRATFREFMSKYPRAPDLSLLDTCYDLSNYTTISIPKISFNFNGNTKMDIVPNGIFIVNGASQVCLAFAGNGDDDSIGIFGNTQQQTMEIVYDVAGEKLGFGYGGCT >Solyc10g049650.2.1 pep chromosome:SL3.0:10:46241245:46244479:-1 gene:Solyc10g049650.2 transcript:Solyc10g049650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLIEVKKKKREWKSVISGSPFQLRPCNSFRSMATGTSSSSSLPADEEEEEILMLYGSESGWVEAKSHCDHLASLSSDLTHIPTPDTPCNRCQHPAENWLCLCCKEVLCSRFVNKHMLEHHKLTDHSLALSFSDLSVWCFSCNAYLDAQVIMPLQSVHFTAYVLKFNEPPPLRAVECVHITDNKADGSSTSGN >Solyc03g114020.3.1 pep chromosome:SL3.0:3:65542950:65544215:-1 gene:Solyc03g114020.3 transcript:Solyc03g114020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCQAIDNATLLIQHPSGRVDKLYWPVTANEIMKMNPGHYVALLLTTTTLCPPTNPSSATASTAKKTDSATSNTSGTNTMPVRITRIKLLKPTDTLVLGHVYRLITTQEVMKGLWAKKYSKMKQQQLESGDRSREKSTNSHSNNGVIRRSDFDNSKQVKQEKHRSSTGAKPRTWHPKLHSISEATS >Solyc04g047680.1.1 pep chromosome:SL3.0:4:35529302:35530566:-1 gene:Solyc04g047680.1 transcript:Solyc04g047680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPASSSVSAHYSIKASPSCWHLHLGYSPSHLGHRCLDRSTQRFYTARHIKFDESKFLFAEPSILGPSPSQPTSSHPSVSLPIAIPPNSGPPQQPTPPSVFAPAPRDTFHSSSSHCSTSHGLHQTTVPITSPSESCPSSLTLTLPTPPLLADFSIYNLVPNPPLPPKPQTSRTHHMKLRNMKPSTNLASAS >Solyc10g068350.1.1.1 pep chromosome:SL3.0:10:57523004:57523264:-1 gene:Solyc10g068350.1 transcript:Solyc10g068350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPQSPKPSCHDVIIGRWNPSAGDRSANHLPGFGVITNIINGGLECGCGNDNRVQDRIGFYRRYCGILGVSTGDNLDCGNQRSFGS >Solyc04g078990.3.1 pep chromosome:SL3.0:4:63693086:63698600:-1 gene:Solyc04g078990.3 transcript:Solyc04g078990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BUY3] MIMKSLPSKALIIKINLVFLACFLIGYAAILLKPSTYVYHEYATSLVRCSLRECHHKGEGGIKMKAVLEEKIVMNEEKRMVLKREKPSFLNKMGRGMKIGMVNMEGEDVSEWKIYGQITNIKFEKVSNLFEWKDIFPEWIDEEEEMDGPMCPEIPMPNFNNYRDNMDMLVVKLPCKYPQEGWGRDVYRLQVNLVAANLAVKRGNGKKMKLIFLSKCRPMVEMFRCEELKKKEGDWWYYESNMDKLAQKVSLPIGSCMLALPLWGKEINEVYDISKIERSTKVPTREAYATVLHSSETYVCGAITLAQSLLRTGTKRDLILLLDNSISESKRDALIKAGWKLRFIKRIRNPRAEKNTYNEYNYSKFRLWQLTDYDKIIFIDADIIVLRNIDLLFHFPQMTATGNDASIFNSGIMVIEPSNCTFKMFMKRTKEIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWSNNSNEVSVKNQLFAADPPKVYSIHYLGLKPWVCYRDYDCNWDIGDQRVYASDIAHETWWKLHDSMDESLQKFCGLTEQRKIELEWDRKLAGKIGFEDEHWRMNVTDPRKFS >Solyc10g005850.3.1 pep chromosome:SL3.0:10:649847:655072:-1 gene:Solyc10g005850.3 transcript:Solyc10g005850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGQYSDDEVDEESVEVLKRAASEDSSLDHEDKGKHSGDDETNVNGEIGSTVMEVEEKAIDNGSDLLSPSDRPAEDSARENNASVSVDLHAQLSVLDQITAPTTSDAQALGDASAGWKMVLHEESNQYYYWNTVTGETSWEVPQILGHAVEQRLEEKVTAETECMGRTTLENLEPSAKMDMDTRQTSVSYSDINEYRKPTDDDLHDKKRDNDEDQSGTINGFEQIDSQCNEISSPDGSLSSGKSDHAPEGNLNGPGEDFTKCSDADYVPEGEAEADFSSDLVKHCERLLKQLETMKGSEFYVQYDRISKYALELEIRLADIRSLACNGLSLLPFWVHSERKIKLLDSEINQLCGLFLSGQQNDVEADHVSHRGSDNVNDANGESSSCPATTGDASEESGATGVHEDLTPQTVLHPAEEVDMDVDMEVEDTEPSEQQTKLESSILEQTPNVPPPPDEDWIPPPPPDNEPFLPPPPDEPVDHTHAVPSNMESVQSFPYNLAYPGSTFDYYGQTNPEIASSLYGTSDGQIAVTHHPLYYQIPTTYSVTPVAINHVDPSAYYGHQDGALQPVSVVSGTESSGLPAIPVHETVAPDATPSLDVNKGSRSDLSAKSEADVPADLENEKIYFDVPATQSSLATETVSAMEGVGVSSTSVASGAVATASTAPSKVPSKVLRKKRTVGVVSTLRSNKKVSSLVDKWKAAKEELHAEEEEERESALDKLEKKRQREIEEWRAQQIASGEAKDNANFQPLGGDWYHFSSFCFTALYLRERVKRKRVEKMREAEKQLSEENEQPDLDVISRGLPSGWKAYWDDSTKQVYYGKAVTSETSWNRPTN >Solyc12g021170.2.1 pep chromosome:SL3.0:12:14510641:14540601:1 gene:Solyc12g021170.2 transcript:Solyc12g021170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKFINSSSKSKLIPLLSNSILYKSACSSNLVSNSRNEISKKIHKPKNGNGNRVLWGRSGFRSYSSESDRETMNYDVVIVGAGPAGLSAAIKLKQLCQQKDVDLSVCVVEKGAEVGAHILSGNVFEPRALDELVPHWKEEEAPIDVPVTSDNFWLLTENRAFSLPSPFDNKGNYVISLGQLVRWLGQKAEQLGVEIYPGFAASEILCNEHNEVTGIATNDMGVAKDGSRKENYQHGVALKGRVTLLAEGCRGSLSEKLIKKYNLREKGQGQHQTYALGIKEVWEIDADKHQPGYVLHTLGWPLDQKTYGGSFLYHMKDRQVAVGLVVALDYQNPFLNPYEEYQRFKRHPAIRPLLEGGNVLQYGARSLNEGGFQSIPYPVFPGGAIMGCSAGFLNVPKIKGTHTAMKSGMLAAESAFSMLQEGLKMENYWDNLRSSWIWEELYTARNYRPAFEYGLYPGLALSAVEHYILKGRSPVTLNHGKPDHEATREAQLFSPIEYPKPDGVVSFDVPTSLYRSNTNHDHDQPSHLHLKDPTVPENVNLPKYAGPEARYCPARVYEYIPDETGDLKLQINAQNCLHCKACSIKDPKQNFEWTVPEGGGGPGYTIM >Solyc04g050245.1.1 pep chromosome:SL3.0:4:46262912:46264554:-1 gene:Solyc04g050245.1 transcript:Solyc04g050245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFGSRYYCSRVVILTTTIKHQHLCGSAALQSMVAGGVAVVQVCSICKAWMLVATAGGGWKLCNIVSRPYKPPEFEIMFGERVSKLVETGTRESIAIF >Solyc08g080010.2.1 pep chromosome:SL3.0:8:63490438:63498111:1 gene:Solyc08g080010.2 transcript:Solyc08g080010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGYSGDSSKSSAESNFRELDDVFLQTQTRIWLGEVLKMRLDEHLHISDLLADGDLLFEVAKELWNMLLAKCGELRHMKYEPLGSRKCSGRYMPYSNVDSFLKICKILGLNGIDLFSPSDVVEKKNIRKVCICLRALSNKARSKQLKVPDFDLVTSMVVMPKNMVGGIRRSLETSQCSLSSSSGYYSYKETRSKLTERNKITECEANYDSSSEESDEAESKFVGEESCCSSMNQLEDGNATDTDADNSFGEYSAVSKNRREKNSSSLGNGGKYISPNNRIHFDYSNLNAENDESIVGDSMYDYEMEGSCISNYLSFSDSIIGGTDGSTPVLREGEDNILNLFMAIDSHRPNSSKGTFQNGHQYKYSDNEDAEVSSTTSMSSVLGRVHALDFDDQFDADECLTEETSGSLLENEADRQQKDLSASHVAWNVVTTELVFHDTKEPSVIKPKISASLSELTYPASDEPLSASTHSEDIEVARSEDMVCLDTAACRNNLKNVSLVGRNEGCDGDSQYLKFVSEVVSSTCEPSMTLDGVNMDRNNSVNSANCCEHIEKGHPCSCTVCGSGDASEVCKPTLPGDDGDRKHKVPHLWEQNASEGNQETDAGELKDKHRQRPLLKTVARGTALVGVLYLLLHFSRRRNKPEEGSEANSKRTQIAQRSNALQTYLVHVNKPDARILANSDDLESYYSSFLPEVLAGAEEPSRIIHSYHHVAIGFAARLSAEEVNEMEKKDGFVSARVEKILALHTTHTPNFLGLYRNMGLWQESNYGKGVIIGVLDTGITPNHPSFSDENMPSPPAKWKGKCEFRGNVTCNKKIIGARNLVGGSSDPPFDDEGHGTHTSSTAAGNFVDDASLFGNANGTAAGMAPLAHIAMYKVCTESCSDVDILAALDSAIDDGVDVLSLSIGGFSDPFYEDGIATGAFAAMQKGIFVSVSAGNEGPLNSTLSNEAPWILTVGASTHDRKIVATTVLGNGQEYDGESAFQPASFPHTLLPLVYPGFSDQDAALCSSGSLNNTDVKGKVVVCDRGGDVPRLEKSQTVKDAGGAAMILTNLEIDGDGTFADAHVLPATHVGYTAGESIKAYINSTSTPSAGIIFKGTIIGFKSSPSVSSFSSRGPNLASPGIVKPDIIGPGVNILAAWPISVENKTGTDLTFNIISGTSMSCPHLSGIAALLKSAHPDWSPAAIKSAIMTTADQFNLEGQPILDQRDLPADIFATGAGHVNPSKASDPGLIYDIKVENYIQYLCGLGYKDKDIELLAQQTVKCSLQSSISEAELNYPSFSIILGPQTQNYTRTVTNVGDASSTYTVNITQIQGVDVVVEPATLVFTQVNQQETYSVSFTQTGLITDRFVQGAISWISNKYVVRIPISVKLE >Solyc08g029250.1.1 pep chromosome:SL3.0:8:37272990:37273444:-1 gene:Solyc08g029250.1 transcript:Solyc08g029250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWVKKRERSKHGNRYDTNMDYLFQLLCFLKLHTYTRVNVSTDIYEVTQISPVVSLFPSADRWEREVLDMFCVSSINHPEYTPNINKLLFRWSSITKDLPLSGYVEVHYDDLEKCVVS >Solyc09g055765.1.1 pep chromosome:SL3.0:9:44924878:44925253:1 gene:Solyc09g055765.1 transcript:Solyc09g055765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIRSCIIRSRFAYRFLHSLRKMNQQDKTNSRRVKHAAYASMASVVGSKRAWSRAVLSKIHEFGELRKIVPGGQLMNFYNLLDETADYINSLTSQVQVMKNILNLLST >Solyc02g076850.2.1.1 pep chromosome:SL3.0:2:42544084:42544575:1 gene:Solyc02g076850.2 transcript:Solyc02g076850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVQESPISQGIKLFGATIEIQEKQAKATHQPTNKVVVDDDDDNDQEKRPDKIIPCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKAKPPCGPGPHGDLADGCNLFDVANQLDFDGSVVAHEDQWHLFPAAKRRRSTSDSQSY >Solyc07g021025.1.1 pep chromosome:SL3.0:7:15379241:15382994:1 gene:Solyc07g021025.1 transcript:Solyc07g021025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLMGLLSAEFEMKDLGAARKILGMEIIRDKERRKLFFSQRSYTQKILARFGMSSSKPTDTFSADNIHLTTMFAPQSEEEKEYMSRVPYASAVGSFYVCYGLYKARFSTCSQCSEQIHGKTRERTLAGCEENFLCLVTGYSDSDYAGDVDIRRSMTGYVFTLGRSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLAMLVEYSHLESQFVKSKEGHQCLAKGQRKWYGRLN >Solyc10g018380.2.1 pep chromosome:SL3.0:10:7594229:7595804:-1 gene:Solyc10g018380.2 transcript:Solyc10g018380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEVVLRDYVGLEIPLHFAQKLTDYYKRINKGIGSDIYLKREDLNHGGAHKINNAIAQAMLAKRINFKNVVVPTGAGQHGVATAAACAKVSLECTILMGSLDMERQPSNVLLMKHLGAKVHPFSTSWPSTLCDIISFKDAMSVGAAIRPHPCPTMVRAFKSIIEKETRKQAKEKWDGMLVLGVALMLWDCFMNLYKMNMLG >Solyc07g005900.3.1 pep chromosome:SL3.0:7:738872:744465:1 gene:Solyc07g005900.3 transcript:Solyc07g005900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNASSLLTQYDIEEVQEHCNHTFSQQEILSLYQRFCQLDRNGCGFVSGEEFLSVPEFAVTPLSQRLLRMIDGLNFKEFVAFLSAFSAHASLQQKVEFIFRVYDSDGNGKVSFNDMLEVLQDLTGPFISEVQREQVLTRVLEEAGYSKDSSLVQSDFLKILGSSDLKMEVEIPVD >Solyc10g018945.1.1 pep chromosome:SL3.0:10:11007625:11008001:-1 gene:Solyc10g018945.1 transcript:Solyc10g018945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ARLVGDEQVRIASTKIDGIGPKKAIHRGERADIKLLVLISCFLGIRHQDGSPLHGQQTHTNTRTCCKLIQKCKKSTKIPWYLSDQSH >Solyc11g051030.1.1 pep chromosome:SL3.0:11:17268061:17268524:-1 gene:Solyc11g051030.1 transcript:Solyc11g051030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D8R5] MVSQAFRNNVGPIKHQALRVEREFEPFESGIVLQNCTIKATRDSEKLDNVTTYLGRLWGIFSRTVIMENYIDTLINPKGWVEWIE >Solyc11g072780.1.1.1 pep chromosome:SL3.0:11:56276353:56276559:-1 gene:Solyc11g072780.1 transcript:Solyc11g072780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLITHLYRPRTIRSPFSFWGDKVIVPFKTFFLECRYKSLFFSFKISISGYFFYRFRSKVVSDVFFG >Solyc12g032950.2.1 pep chromosome:SL3.0:12:37714715:37717282:1 gene:Solyc12g032950.2 transcript:Solyc12g032950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQVPPAPRRNSLVQKRNISTLKKSVNQSDTNPPTSQSQSKPSSPPHSSVAGERTVKKLRLSKALTIPEGTTVSEACRRMAARRIDAVLLTDANALLSGIDIATRVIAEELRPEQTIISKVMTRNPIFVAADSSAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLFDAISRMEKAAEQGSAIAAAVEGVERQWGNNFSGELLCSR >Solyc02g067660.3.1 pep chromosome:SL3.0:2:38362831:38365940:-1 gene:Solyc02g067660.3 transcript:Solyc02g067660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSLFSSGQELANLFLSSDLLHHSWITISALSSHPYINKPILFKVYPYHSNGAIVAFVSSPTCNLQKEMVSSEELQGSQSPFDFISTKLNPHFSVNKAAITLFASLLNDLSALKEQLDSFSPLIITGVSLGGSVASLFTLWLLKDSNKRPTCITFGSPLLGDSGLQQAISERPSWNSSFLHVVSNQDPIPRSLISPTNVFAGSIPQPCIYMPFGMFLLCSDSDCSCFEEPESVLDLMTEMNLNSQHQDNHSLAFDYEQVLERLKHRVILKGASQLFQFSVDQLQAGIDLQLEAIGIGGQQTSNMNSIRTKVKKRVEESFAKKRNAFDPGKKLNKMKEAMTWLEWYKKVTLKEGGYYDSYKRSEYRGRDAVKSRQEIVKHQRVLTKYWKTMVAEAEKMPQREEAVFRTRWLYAGTNYRRMVEPLDIAAYYMKPGNTDYVNLGRSEHYKKLEEWRREDNPSGSGNDRRKCVSLTEDSCFWAYVEEAIINSKRLREGSLQEKENAREYLVNFGGYVMNMIRSYSVSSDIFQLHSSFMNWWQEYRQDILSCLSNLPLAFYMENGEYKSYA >Solyc02g089880.3.1.1 pep chromosome:SL3.0:2:52208478:52212047:1 gene:Solyc02g089880.3 transcript:Solyc02g089880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNLSPCSIMPKLRGTPLWFFIFSLLSTLNGTDSAITDTDSNSSLHFKEAPQFYNSPSCSSINSSVNVAMTLDIAYLRGSMAAILSVLQHSSCPENIVFHFVSSSSAESTHLNLTITKSFPYLQFTIYPIQHVAAVAGLISTSIRSALDCPLNYARNYLADLLPQQLQKVVYLDSDLVLVDDIAELAATPLTGDSVLAAPEYCNANFTTYFTPTFWSNPSLSLTFANRNRRPCYFNTGVMVIDLERWRAGDYTTKIVEWMELQKRMRIYELGSLPPFLLVFAGNIAPVDHSWNQHGLGGDNFHGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDGLWSPYDLLQTPYTIES >Solyc10g049750.2.1 pep chromosome:SL3.0:10:46462667:46470909:1 gene:Solyc10g049750.2 transcript:Solyc10g049750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSRPSSSPAEGKQSRQYQDSSDEDDLLSIPSDSEYNVISDEDVADEVVNLTEEVVCFNRTKRNGGKKWIETKEDQGEEEEHVDLVLNEVGGGGEVDAGYLQLIGRIEDRKKIREKNQKKRPILLWEIWEEENDSWMAENYPNDPDLNIQDELVTETAQPPSDFIMPLLRYQKEWLAWALKQEESNARGGILADEMGMGKTAQAIALVLARRELAQAISDSSLLSSAPCSSQELLAVKGTLVICPVVAVIQWVSEIDRFTAKGSNKVLVYHGANREKNIDKFAEYEFVITTYSTVEAEYRKNVLPPKEKCQWCGKSFYEQKLPFHQKYYCGPHAVKTDKQSKQQSNPGGKPSKLKKNPIEGDSEIDTGKGGRGKGIKRKSDTDAGSVDDSACASQDMSPRKSVLHCVKWNRIILDEAHYVKDRRSNTTKAILALESSYKWALSGTPLQNRVGELYSLVRFLQILPYSYYFCKDCDCRVLDYSSSDCPHCPHKPVRHFCWWNRYIASPIQSQGNYGTGKDAMVLLKHKILKSILLRRTKKGRAADLALPPRIVTLRKDSLDVKEEDYYTSLYNESQAQFNIYIQAGTLMNNYAHIFDLLTRLRQAVDHPYLVVYSSVALARRESTNDAGSVEQPCGLCHDPVEDPVVTSCTHVFCKSCLIDFSASVGQVSCPSCAKTLTVEFTANDKGDHKSKATIKGFRSSSILNRIHLDNFQTSTKIEALREEIRFMIERDGSAKAIVFSQFTSFLDLIHYALQKSGVGCVQLDGSMSMTARDSAITRFTEDPDCRIFLMSLKAGGVALNLTVASQVFLMDPWWNPAVEQQAQDRIHRIGQYKPIRIVRFVIENTVEERILKLQQKKELVFEGTVGGSSAALGKLTEADLKFLFVT >Solyc03g119475.1.1 pep chromosome:SL3.0:3:69567181:69568407:1 gene:Solyc03g119475.1 transcript:Solyc03g119475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIDPMSWICWRQCMVLGVVKPSTIVDPADIKGVMIARLELAVCSGITLKCVNDPLKKLIHLSTYDFIEKNDMVFVSEDLESCSKQSIAFIIES >Solyc03g013030.3.1 pep chromosome:SL3.0:3:49246120:49264442:1 gene:Solyc03g013030.3 transcript:Solyc03g013030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESNEELEPLFDYRRVQPFNTPFNAVCLDDDTPDSSPVISKKRKMIDSTAEKKKDKNEAVQIIDCEEKEEDWLPPSPSISAHTSSLLEDSTIKEIRLKKKELATFAQSAKDELRDVEESVKRDLSASLHSLQDSVADILSKPSKPSTDRVKMVISIQDKDGTKQFRVYADDKFERLFKSFADKVKLELQNLVFCFDGDKINPNATPSSLGMEDDDIVEVHEKPSC >Solyc04g072180.2.1 pep chromosome:SL3.0:4:59271622:59280752:-1 gene:Solyc04g072180.2 transcript:Solyc04g072180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFWEGYVSDEVMGTFAPIVVYWLYAGFYQLLPPMDKYRLHTRKEENAKNLVPLASVVKGVLLQQFFQATVAHLLFLLTCKVTTSGTVVQPSIPVQIVQIIIAMLVMDTWQYFVHRYMHQNKFLYRHIHSQHHRLVVPYAIGALYNHPLEGLLLDTFGGALSFLVAGMTARTAVIFFCFAVVKTVDDHCGLWLPGNIFHLFFHNNTAYHDIHHQLQGTKFNYSQPFFSIWDKLLGTYRPYRLVKRPEGGFEAQLMKD >Solyc03g114410.3.1 pep chromosome:SL3.0:3:65890464:65905320:1 gene:Solyc03g114410.3 transcript:Solyc03g114410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDEEDFVFYGTPIEREEDVSSRKKKAIAEASGQLRTLPAWKQEVTDEEGRRRFHGAFTGGFSAGYYNTVGSKEGWTPQTFTSSRKNRAEVKQQSLFNFLDDDEKAEMEGRLGTSMQYDTFGFTAAEVARKQAEKEQKQRPSAIPGPVPDEVVLPVTDSIGLTLLQKMGWRRGRSINSSHTDSLYNAKREARKAFLAFSFADVDGQPLDSGLAEDAADPIADLPTDDGNHFSKSTPVYLLNPKQDLHGLGYDPYKNAPEFREKKRSRLSNGRETGQQDRVLKDSLFGFKSGRVAPGFGVGALEDLDVEDEDVYASGYDFEETCVEEVEEPSRPKVENLKMLDRKAHDVLPGFSAASKSDYQLERFDAPVIPQNFVPRHKFAAPLESDDEAPALPPPVFPPPEDNNLRILIEGLATLVARSGKLLEDLSREKNQFNPLFGFLNGGKGHEYYARKLWEERHKRNDQGKQHWDAKMSQKVQKMTAESRGQILGEKPIERSLRAANSTGISADAINLTSNLSDTFTKPVSINDLLESAKPFQDDPAKQERFEQFLKEKYHGGLRPKDGSGASNMSEAARARERLEFESVAETINKGNHGKESVPPSELFSSTLATAGLQFTSGGAELAKFGQDDGLAATSMYPKREEFQWRPSSILCKRFDLIDPYMGKPPPAPRARSKLDSLIYLPETVKAPKLEDDVSGGRSQFSLQEGRIEKGKEIADQEIEVDAEPENIERPVDLYKAIFSDDSDDEAETSNQDVTEDSQKKVEAVNTTLNRLIAGDFLESLGKELGLEVPTDMPLPDNKSSNPAKKDSVPLDVRAKSINQDPILAVGNNNRNISQQGIFSREGTVDMNSRKNGGRGTETESYRNGIDKNKFEAEGRIDAKAKGDQYRNKNSSSSEDETDRKRKRPHRGSSLDASSDSSEGYKDRRSRSRKKKSSQEKSSSSKRHSKHHKHRRRDSRSPSRHSRHGSEKDRREAKREKRKYKD >Solyc02g014545.1.1 pep chromosome:SL3.0:2:17614568:17617544:1 gene:Solyc02g014545.1 transcript:Solyc02g014545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDKVLSSTQKENHDHILVQAKDPIQIVVQEPIIAEQSVQAPAIIEKGVQAHLIQLGKTEI >Solyc04g073970.3.1 pep chromosome:SL3.0:4:60085409:60088501:1 gene:Solyc04g073970.3 transcript:Solyc04g073970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTPVRKSHTNTADLLTWSENPPENSPAIGSAASAARSRQPSDGIQKVVFGGQVTDEEVESLNKSYDTKSYTIRQPDRYLIVLVMVVCRTKAETVWHCLRKPCSGYKLKEMTGSGIFVADGENGVLESDSANPIPNRRMYQDWTLTRAFCPQQAVSGISQISFGDEDTVSPKKPVTIPEVAKQRELSGTLESESEMKLKKQISDAKTKELVGHDIFAPPPEIQPRPSTARALALRESITIGEAAPTNGDSSGDEQVVKTAKKIPEKKLAELTGNDIFKGDNPPTSAEKHLSSAKLREMSGSNIFADGKVESRDFYGGVRKPPGGESSIALV >Solyc10g084700.2.1 pep chromosome:SL3.0:10:64253830:64256669:1 gene:Solyc10g084700.2 transcript:Solyc10g084700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIAQGVLRTANACLSRYSSHHRTFSALPNFAPDDKQSTNSFESAEDFERRIFGDSAGNRPSPNSFFRKLDDAEKSYDRSGLGSTFSSGNRSSILDGLDESFNTLSDGMDGKLKEAASYFQVDPEEVGKEDYAYRADMTFWPGNTYELKDLDLRKPGVRRPPKRDEFETTTEEVLRKADFRNVRFLANFITEAGILHKRSKTGISAKAQRKIAREIKTARAFGLMPFTTMGTKHFVFGRTMEDLDADYEYEMYDPNFVDSEAGREPF >Solyc01g104436.1.1 pep chromosome:SL3.0:1:92765120:92772395:-1 gene:Solyc01g104436.1 transcript:Solyc01g104436.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSATERATNFVFGAKEIRKLRTPKQETTGHVHVVLGTFVEQEALSSAIGGIDVEHSVVIGDTSGIAPRPISLGGMIPESVFICFSQQKLDWSVYIFIESKLPEICQFQFIVLGEIAEDDPAETKLVPLQDKLKILPVQKPLLQLLAFDHHELRDASSSQKLCSGPLRHLDPHALHSTGFSGGPGLQWRCPHNAPPCSISIPDTGFSCCPGLQWGVSV >Solyc10g007770.3.1 pep chromosome:SL3.0:10:1989673:1998683:-1 gene:Solyc10g007770.3 transcript:Solyc10g007770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPLKKPMSNDSEAASTKAPYKEKNAWAIPLGSTVYHASTDTSLFSSSLPVLPHAKLNFNESEHYGQSIDDSSPSLSKLQLEDKIKDPLEEVESSPVGFLLPGDEDELLAGLMDDFDLSGLPSQLEDLEDDFFGSGGLEMEPEDQNNLLNGFATLSMYDGIPGSSSGHYSVPNGAATVVGEHPYGEHPSRTLFVRNINSNVEDSELKSLFEQFGDIRTLYTACKHRGFVMISYYDIRAARTAMRALQNKPLRRRKLDIHFSIPKENPSEKDINQGTLVVFNLDPSVSNEDLRQIFGVYGEVKEIRETPHKRHHKFIEFYDVRAADAALKALNRSDIAGKRIKLEPSRPGGARRNLMQQLTQELEHDEIRSFRHSVGSPVASSPPGSWSNFGSPVEPNPLRGYSQSPGLRNLSPVNGNLMPGLASILPGHLSSPKIAPIGKDPARVGHLNQVTSSPKSSQGMGYQHSFSVPEQKPALSIGSVPFGNSKASGTGTLSGPQFLWGSPPIHSERSDSPIWPASSMARPFASNGQGQGYLHSRRQSSFLGSHHVGSAPSGNPLDRHFGFFPESPQTSYMNPHAFGSGGSSHNSANQVMNIGSLGAMNMGGALTGNFTESGSPSSRMMPLTRNGPIFFGNGSYGGAGTVNGEGLIERGRNRKIESGGNQIDNKKQYQLDLEKIMSGGDTRTTLMIKNIPNKYTSKMLLAAIDESHKSTYDFIYLPIDFKNKCNVGYAFINMVSPAHIVSFYEAFNGKKWEKFNSEKVASLAYARIQGKVALVTHFQNSSLMNEDKRCRPILFQSEGQEAADEETLPSSNLNICIRRPDGSYSGDSLDSPTSDIDGRPELFTGSS >Solyc02g089065.1.1 pep chromosome:SL3.0:2:51607790:51609953:1 gene:Solyc02g089065.1 transcript:Solyc02g089065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGVSAGGGQSSLGYLFGSGEPANNSQTARNQEKAPTNEPVSRPAAAAQPVDNTKNVPAGIHSNNTNNYFRADGQNCGNFLTERRSTKVQAAPGGGSSLGYLFGDGSSK >Solyc12g006080.2.1 pep chromosome:SL3.0:12:659479:660075:1 gene:Solyc12g006080.2 transcript:Solyc12g006080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EAGRPLPKFGEWDVNDPATAEGFTVIFNKARNEKRSGGKADAPPKSNHKHTATLGKPQSKKWFCCMRSGAVE >Solyc03g093740.1.1 pep chromosome:SL3.0:3:56852220:56853589:-1 gene:Solyc03g093740.1 transcript:Solyc03g093740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRNQEKWVNSEWAFGHVPGVEIGDRFQFKVELAMVGLRHIFFRGIDYVNINIKKVATSNVDSDQYENETISSQKFIYVGQGRKPRVFVMREWKIRRKENIPILAINEIDNERPPPFTYITNMQYPVWYYIIRPLGCSCPSRCSAFEPCSCASKSRGEFPFNRRSSILEAKPLVHKCGLYCKCLPNCKNRVSQRGLGFHFEYFFDVGNYNEYIPKRKAVSSKVESNSFKRKDENRFTIDATIYENVGIFINHSCSPNLYAQNVMYDHGDKRVAHIMFFSSKSIYPLEELTYHCNHRTVHVHDTNDI >Solyc02g086930.3.1 pep chromosome:SL3.0:2:50156652:50161185:1 gene:Solyc02g086930.3 transcript:Solyc02g086930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Homeodomain leucine zipper protein [Source:UniProtKB/TrEMBL;Acc:B6GVA3] MGSGHIFFDPSSCHGNMLFLGSGDPVFRGPRSTMMKMEDSSKRRPFFSSPEDLYDEEYYDEQSPEKKRRLTPEQVHLLEKSFETENKLEPERKTQLAKKLGLQPRQVAVWFQNRRARWKTKQLERDYDQLKSSYDSLLSDFDSVRKDNDKLKSEVVSLMEKLQGKVVGGAGGNEKSDILEVDAMTILQVKVKAEDRLSSGSGGSAVVDEHSPQLVDSGDSYFHTDHEEYPGPGGCNVPPPMDGLQSEEDDGSDDHGSCHGYFSNVFVAEEQHHEQGEEPIGWFWS >Solyc11g062250.1.1.1 pep chromosome:SL3.0:11:49447308:49449383:1 gene:Solyc11g062250.1 transcript:Solyc11g062250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHIISQSFNSSSLHHCTLKLFSKTISSSSKLPHYKTKSPFKWRTNHVFVQTNPLLSLLETKCKYMNQLKQIHSQMILTGIFSNGFASSRLIAFCALSEKGNLDYCKKILYNMENPNTFSWNMAIRGCCESETPIDAFFLYKQMLMTVENEFSCLKPDNHTFPLLFKICSRLGLYYMGQEILVHVLRIGYDGDVFVHNALIHFLVSCGFLEDAYKVFDDSSVRDLVSWNSMINGYVRSGRSREALMVFEKMKMESVEPDEVTIIGMVGACAQLEDLELGRKLHRYFRDKCLYFSVPLCNAFMDMYMKNGSLNEAKALFDSMDERTVVSWTVMISGFAKFGCLDEARRLFNEMQEKNIVQWNALIGGYVQAKHGKEALVLFQEMQTMNIKPDEVTMVSCLSACAQLGALDIGIWIHHYIKKHKLCSTVSLGTALVDMYAKCGNIEKMLQVFHAMPIRNSLTWTAAIGALAHHGNGHDALSYFLKMVDSGLRPDDVTFLGVLSACCHGGLVEEGRKFFTQMTTKFNILPKSKHYACMVDLLGRAGLLEEAYELVRGVPNEADASVWGALFFACRVHRNIEMGEKAALKLLELDPGDSGTYVLLANMYVEANMQHKARDVRKMMGERGLQKTPGCSSVEVNGNIFEFFVTDKTHPQSDQIYECLIQLTGHIEIAEYLPYIRYDLLFNSDVYSAM >Solyc04g076560.3.1 pep chromosome:SL3.0:4:61554493:61560311:1 gene:Solyc04g076560.3 transcript:Solyc04g076560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVETSQRISRQAPQLVTVLKEMKAGLDTVSLKVQALTAKVKADHFPTADGISYLETKHLLLLNYCQSLVYYLLRKAKGLSIEGHPVVRSLVEMRLFLEKIRPIDKKLQYQIQKLTRDSDTASEKSVISEKGTDTQKEDLLKYRPKPDMLVSKTSNTAEDGVYRPPKFAPASMGEEKMSKQERNELRREKERLRNAKQSPYMIDLLNDLEGRPEEVREVVGSESRELTNYMAKMEERAKREEEAFDRAPLTKLEKKKMKHLKKSRNGLLGLTDSFYDEIKSLPLGEPVSEQSENFEKGGTEIKQQKKRKRRN >Solyc01g010990.2.1.1 pep chromosome:SL3.0:1:6794771:6796301:1 gene:Solyc01g010990.2 transcript:Solyc01g010990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CVFVSFGSQELCFCKEKLWFVIWLKMEISSGLSPRVNSVTSGEGKKDDPNHQKFELESIDLVSHTDHQFLTLSALEILRETVRILRYNSIGFMTIAALLICPVSAVVLSNVLVYQPFVTRLSMRLLLVAKTSGLPLEPFIKQSCHKFSEVVISAVMCFPLYVTLSVFSKAAIVYSVDCTYSRKKFDSQKFYVIMTKIWKRVVVTYLWVCVVIAGCLTLFIVLLVSVSSVFSIMGFPPDLILYPAMIVGMIFSIILANAIIICNIAIVISVLEDDSGPQALLMSSSLIKGQTQVGLLIFLGSTIGMAIVQGLFEHRVKTISYGDGSSRLWEGPLLVILYSFVILIDSMMSTVFYFSCKSYRMETSSEETQPVLEALTISSALAEVQSHV >Solyc01g107230.2.1.1 pep chromosome:SL3.0:1:94752278:94752853:1 gene:Solyc01g107230.2 transcript:Solyc01g107230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVILQKFCSTTLTCYSDENDSKVMPPARLLARRIYELLAEKTLYVLGIDHAIETFCWLAT >Solyc01g104840.3.1 pep chromosome:SL3.0:1:93064952:93068587:-1 gene:Solyc01g104840.3 transcript:Solyc01g104840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEEDEYRCFIGNLSWSTSDRGLKDAFEKFGHLVDAKVVLDKFSGRSRGFGFVTFDEKRAMEDAIEAMNGMDLDGRAITVDKAQPQQGSGRDFDSDRPRDRDRDRGRDRDRDRGSRDYGGGRGAGGGGGDCYNCGKPGHFVDLTGTEIDMEAAAAEMVVVVKEGNVLTVIVLDHMIVAVLEAIEADTLNCAEELKSPSWMQMFWCMSTWCLVSLACQRNHLSS >Solyc02g087150.3.1 pep chromosome:SL3.0:2:50281357:50284576:1 gene:Solyc02g087150.3 transcript:Solyc02g087150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSAFSVCRAKSHSRIPHSSSNAIPQLFPMQFLRCQSNRSIGGGYSCSSSSVKAKVPLRTTRICSATEEDGATAVAEEESAAPTTSDQTVSVSVSPSDVLTMFFQAEGTMNEAAIPSVTNSLEDIEGITGLKIQVVEGIASVELTKQTTIQATGVASSLVETIQGSGFKLQTLNLSFQDEEDIS >Solyc09g031770.3.1 pep chromosome:SL3.0:9:28168955:28171261:1 gene:Solyc09g031770.3 transcript:Solyc09g031770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDEKVEIYHERQRLQFCLLHSLNNLFQEKDAFTRSDLNKVAEKLDVDDPNRRSWNPVSLVFRPHHNEITGNYDINVLIAALQQKAKTVVWHDKRNVASSIDLDDPLMGIVLNVPVTKFSGLWKSRHWVTLRCIQGVWYNLDSDFAAPYAFKDTQQLREFLDRIITAGAEVLLVMNDKR >Solyc04g076640.2.1 pep chromosome:SL3.0:4:61614237:61629417:-1 gene:Solyc04g076640.2 transcript:Solyc04g076640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHINTLYYSAYAKLQEEYRIKKKLRSMTAPGVHLHLPDDHHVVMDNGILQVTLSVPDGIVTGITYNGVDNLLEVLNDETNRGYWDVVWSSTGTTGTTGTFERLICTTYKVILETDDQIELSFTRTWDVSLQDKLIPLNIDKRFIMLKGSSGFYSYAIYEHLEGWPGFNLDETRIAFKLRKDKFHYMAMADNRQRYMPLPDDRLPDRGQPLAYPEAVLLVNPIEPEFKGEVDDKYQYSCEDKDLKVHGWICMDPSLGFWIIIPSDEFRSGGPLKQNLTSHVGPTALSVFLSAHYAGEDLVPKFGQGEPWKKVFGPVFIYLNSVTSGEDPLTLWEDAKKQMLMEVQSWPYSFPASEDFPSSSQRGNISGRLLVLDRYFKDDKISASGAFIGLAPPGEAGSWQRECKDYQFWTRADEEGNYVISNIRAGDYNLYAFVPGFIGDYKYDINITITSGCSIEMDDLVFEPPRNGPTLWEIGIPDRSAREFYIPDPDQKYVNKLFINHPDRQYGLWERYADLYPNGDIVFTIGESDYKKDWFFVQVTRKTDEKTYQGTTWQIKFKLDNVDQNEIYTLRLALASAAQAELQVRINDNSSTNTPLFSSGVIGKDNAIARHGIHGLYWLFNVNLQGTILVEGENTIYLTQANATSPFQGIMFWDLNWSVPGTSGTRGKFDEIECNSSKVIVETEEQIELSFIRTWDPSLQGDQSPLTIDIRYIVLRDSPGFYSYAIYEHKENMPAFNLNETRIAFMLSFEKFRYMAMADDRQRPMPLPEDRLPPRGKELAYPEAVLLVDPVEPEFKGEVDDKYQYSCENKDNKVHGFICLDPPVGFWQITPSNEFRTGGPIKQDLTSHVNPTTLAMFMSTHYGGQDFVTQFGSGEQWKKVFGPVFIYLNSVADKNDALSLWYDAKEQMHKEVDCWPYSFASSEDFPKADQRGAIRGRLLVNDRCISKEYLSAKGAFVGLAPPGDAGSFQRECKGYQFWTNSDDEGYFSIQNVRLGEYNLYAWVPGFIGDYKYEKSIAITAASDVDIGELVYEPLRSGPTLWEIGIPDRSAAEFYVPDPDPQYINKLYINQPENRFRQYGLWERYADLYPDQDLVFTIGSSDYKKDWFYAQVTRKVGDKAYKPTTWQIKFNLESIDQNGSYTLRIALSSATFSILEVRVNEEEANPPHFSSGLIGSDNTIARHGVHGLYWLFNVEVAAGQLFQGENIIYLKQARSVSALHGIMYDYIRLEAPPLSTTRY >Solyc04g079320.3.1 pep chromosome:SL3.0:4:63916496:63929407:1 gene:Solyc04g079320.3 transcript:Solyc04g079320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRFVFDEGAESCCNQRTREERQAHQCSATLVRHGIDSLELPLIQHMHLPDLDRLTSLLTVDCKSGRSCYNEAETVTGKLEATFDWIIITSPEAGKVFLDAWKAAGTPSVRVGVVGSGTASIFDEAVQSSKQYLDMAFAPSKATGKVLALELPKNGNDKCTVLYPASAKASTDIEEGLSGRGFEVTRLNTYTTAPVNHVDQYLLELALSVPVVTVASPSALRVWANLTASRQWDNAVACIGETTASAAKKLGFRNIYHPTSPGLEGWVSSVLEALEVHEQVQKV >Solyc03g116520.1.1.1 pep chromosome:SL3.0:3:67392856:67393110:1 gene:Solyc03g116520.1 transcript:Solyc03g116520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLNSLYYRTTKVEEIKEKTERPMEYFTREIQRPNSTKMRKPAPAPKKLENKPSEDINESAENFIKKFKKQLLLQRVESMGN >Solyc12g088850.1.1.1 pep chromosome:SL3.0:12:65043648:65043941:1 gene:Solyc12g088850.1 transcript:Solyc12g088850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKNTYRRYISSDGKLEMSLQEFKKWIKKFDTDKDGKISKEELREAVRTNGGGWLSKIKGSHGMRVGDSNGDGFIDEKEFKNLVEFAQRNLGVRVI >Solyc04g074900.3.1 pep chromosome:SL3.0:4:60900675:60904883:-1 gene:Solyc04g074900.3 transcript:Solyc04g074900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:K4BU28] MQNDEGQNMDLYIPRKCSATNRLITSKDHASVQLNVGHLDEFGRYTGQFTTYALCGFIRAQGDADSALDRLWQKKKAEVGQQ >Solyc01g057137.1.1 pep chromosome:SL3.0:1:59227710:59228531:1 gene:Solyc01g057137.1 transcript:Solyc01g057137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSWFISADKTTHIYHLRKEQHLQCQSGYYVPYFSLSIKDLGNLHYFLGVEVLRNSGGLIFTQENYVNDILNDDLMTLPLLMTLIYICTPIHLYLRVTPIDDFNLHMYSNTDWGGDITNRVLASDYILFADHNLISWS >Solyc03g026270.2.1 pep chromosome:SL3.0:3:3737395:3744238:1 gene:Solyc03g026270.2 transcript:Solyc03g026270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSDPRIESCSSFSDSIRANHSDEEVILASNNPKKPAGRKKFRETRHPVYRGVRKRNSGKWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAAIALRGRSACLNFADSAWRLPTPDSSDTKDIQKAAAQAAEIFRPLKSEEEESVVKDQSTTPDDMFFMDEEALFCMPGLLTNMAEGLMVPPPQCTEMGDHPAGRKKFRETRHPIYRGIRKRNSGKWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAALALRGRSACLNFSDSAWRLPIPASSNSKDIQKAAAQAVEIFRSEEVSGESPETSENVQESSDFVDEEAIFFMPGLLANMAEGLMLPPPQCAEMGDHCEVIILASNNPKKPAGRKKFRETRHPVYRGIRKRNSGKWVCEVREPNKKTRIWLGTFPTAEMAARAHDVAAIALRGRSACLNFADSVWRLPIPASSNSKDIQKAAAEAAEIFRSEEVSGESPETSENVQESSDFVDEEALFSMPGLLANMAEGLMLPPPQCLEIGDHYVELADVHAYMPLWNYSI >Solyc04g051410.3.1 pep chromosome:SL3.0:4:50467745:50480032:1 gene:Solyc04g051410.3 transcript:Solyc04g051410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHSSSLIHKFKHGFNSHWFTVQVSVAATVLSIAGGLIFAIKDGAKITNIMLSSSKKDHFTVPGLQNLGNNCFLNVVLQALASCKSFGKFLEQVVDEYEGSSMEGSRDLPVVAALASLVEELCTVRHGRTVLSPRRLMHAMTSHIPGFNLTSQQDAEEALSHLLSSLRAELSESFVHDHSSLADVITLPNSRIVTQRMKGESEQERWRQSFLGPFDGILGSFLNCQTCSFQISLDFQLFHSLHLVPTSSSTGAIMPGCSVEHCLKQFFVAEQLENYKCSHCWHTAAEKYVSAMDENEAIVEKLKLCNEEDSCDCKQLACLAKFPWSNSFSRTFKQLSIGRSPKVLCLHLQRASINVFGEQNKLQGHISFPLILDLAPFVKSGVGTKSWEENLQIGQITHQQPFPLFNNLNLQANKEMLTFITQSERKFSTEVEDTAGLRDSDNFGIKALKSKSSLLEAGDDKKISLNQLAPHSGNQGETSRITHSISHKYQLTSVVQHFGIVGSGHYTVFRRVRDKISEDNHAELLGSAVDKWFCISDTEVYGVSERDVLDAEATLLFYEKVSDC >Solyc03g114080.2.1 pep chromosome:SL3.0:3:65610882:65617541:1 gene:Solyc03g114080.2 transcript:Solyc03g114080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSTRWVIMSVIFQMLLVLAGSESLEVRQALVKFMNKISLGNISKEVNFGWDLSSDPCTNKWEGITCDSKTQHVKNIVLDQKNLTGTLDAAFVCEATSLAVLSLNENEIVGTLPQEISNCRRLTHLYLRGNKLSSNLPSSISRLSNLKRFVISDNAFSGQIPDMSRISGLITFLAERNQLTGQIPEFDFSNLVGFNVSYNNLTGPVPDVKGHFSSSSFSGNPGLCGVPLPSICPPSPLPPPHPVAKKRKVSYFIYLGYAILGLIIILLLVWKLFKCIRKKKSNSSPMDQNKTIISSSVVTKTPKNRSEYSITSSPENSMLSASFEILSSPLANKLRFEDMLRAPAELIGKGKHGSVYKVNVDGVTLVVKRISGWNISKDDFKKRMQRIHRMKHPHVLPLVAFYSSKQEKLTVYKYQQNGSLFKHLHSSQGSKVFEWASRLAIAASVAEALAFMHEGLQNDDIPHGNMKSTNILLNDDMEACIGEYGLMPNNHDQSFVAQSDHSIREDDSVAITTRNTFKMDVYSFGVILLELLTGKPVQASGYELSRWINSVVRAEWTGEVFDKSLITDGTNEERMINLLHVALKCIDTSPDARPNMKEVAFIINSIKEDEEKSVSAFPESSGSQVIQRQLQQLFRQHDSGVDQAIIDTLPLFLYKDIKGLKEPFDCAVCLCEFSEHDKLRLLPFCSHAFHIHCIDTWLLSNSTCPLCRGIISSAVSMGNSLLGSIESREQWSFHLEDGIANDEKTDNVGEIRVFSVRLGKLKSINEGVENHEDKSTQGEIISCNLDARRCFSMGSFQYVVGDSELQIALPNVKILKAKCENTNFGANAEGKKISARTKGESFSVSKIWLWSKKGKFSNSSETNIDGPSVNIDIPMIKTAQFM >Solyc12g038680.1.1.1 pep chromosome:SL3.0:12:51655882:51656532:1 gene:Solyc12g038680.1 transcript:Solyc12g038680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSHPHDLRTIEVPSENLTKSKTCFGCNLPLFGSCYTCSSCNFYLHKSCSNLPQSTLNKFHEKHPLRLLYPPNCTTAPCHLCGTSCSPTFTYNCSLCNFNIHANCAHLYETKSRDDNEHYVLSFFKKKLNELKTAKSEIDSAKTLINSLKDEMSGQAKLVRQKKEAAVLQQQENEMILQQRRNNLLLQCMKNSSDSIDFMGQIGTSSNYKIYRY >Solyc02g086190.2.1 pep chromosome:SL3.0:2:49571058:49571997:1 gene:Solyc02g086190.2 transcript:Solyc02g086190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLKVFVEETSFYNRLVLGTLLPESWWGPLPHLLQGWLRNYIGALLIYFISTSLCSFYVYHLKDEAILSKQAMVLQISVAMKAMPNWYCVLPSFSKYLIENGWNKCFARISDVTYFINTSIYLVIIEFGIYWMHRLMHDIKRKYLYSTLYIYDKQNTLSPFAALFIVPMHFATHIGLLFIDTLWTANIYDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLSHPVDEQDDKKM >Solyc01g106800.3.1 pep chromosome:SL3.0:1:94451428:94454405:-1 gene:Solyc01g106800.3 transcript:Solyc01g106800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLATQLQQDTLKSHPPENKSMKKATFTGISVSTIFYLLCGLLGYAAFGNKAPGNFLTGFGFYEPFWLIDFANVCIVIHLVGAYQVFCQPIFGFVEGWSRQKWPESKFITKEYMINLSHLGLFNFNFYRLVWRTLYVVFTTILAMLFPFFNDFVGFIGAASFWPLTVYFPIQMYIAQAKIPKYSFTWIWLNILSFVCLIISLLAAAGSVRGLIKSLQEFEPFQSRS >Solyc09g061830.1.1 pep chromosome:SL3.0:9:60652782:60653313:1 gene:Solyc09g061830.1 transcript:Solyc09g061830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAKELSVFKLTVEMRRRRAREISHFISVTGFFPIKRGRPVSDSLAVLGKAEEKGKGGLPVERWLAGGEVAGLGCSTAFAGGSARGTSMVGEVEVARCGVGCERLRAR >Solyc09g098210.2.1 pep chromosome:SL3.0:9:72568889:72571097:1 gene:Solyc09g098210.2 transcript:Solyc09g098210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSPSEDLPDKLRKILMHKRYLIVLDDIWDVEAWEELQLSFPDDENGSRALLTTRDEEVYRQLKHHSDPYFLRFLTVDESWDLLQKKVFQGEICPPELLKTGLQVAENCKGLPLVIVLIAGIIAKQREASLWLGFAEDLSSHILQEQSMKIIESSYDHLEDHLKSCLLYMGLFPEDHQFPVFNLLKLWIAENFVHNLDAENVEDASKICLNDLVNRSLVIVSRRREDNGEIEYCTVHDVVHEFCLRRLTKKKFMQYQPSKEPQYTRFIHDHLVHQLLQYTESSYRIPMLAGLKKGESLAKCHDRCLFGKTLLDYSSASSNLLEVVGSSDFSRRFETSPSIWKMKKLRHVDIQDFSFKWEDNDRALSEESSSTLLPELKTFGKCRIFLADKTPEFWWRFPHIEQLKLHFIEQGYEVHMPNLEELPLQSLKLCFSRPISGYKSIGLASCVVLPSNLKNLSLDRLCLTEEAFSQLASLRNLESLKLRNYTSNQKVDLGRLDMAYVGMSVIMSSYFVDIPTLKLIKLICCNNSLEDSAFNVKNEVEEIAGCDSLQVHIQYQPDRYADADFAAECFSVWDQENNRSSLTPFDL >Solyc05g025953.1.1 pep chromosome:SL3.0:5:38740298:38745783:-1 gene:Solyc05g025953.1 transcript:Solyc05g025953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGQSQKSLRENWIPKDMIGSQLHHRLRTSTGRSLRIGPSRHTGGSRTHREHARELATALGRPFHPHEHLKKTHTKRNDEFVDLNCKSTYDVVLSKITSASQLVDEFGESPTVDYSKIYMDEEYVYEMKEEMKYEMREELHDEMKNKMQIEIQEQVDKILQERLPILKAGLPKPPPGT >Solyc03g026100.1.1.1 pep chromosome:SL3.0:3:3538473:3539669:-1 gene:Solyc03g026100.1 transcript:Solyc03g026100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTESVLPQVCKIIHIPEKPSFLPHLQPTVNMFASVIEPKDANTLVRKLNKIAPLENLQHVKRVQRKSIDGGKPQLSLLLCMIVGNDGGDDCMPYEILELVKSYQLSTFITKVCKYAATSKEEWDEQCKLWPTSYHPPTYNISGITGFSEEESQSVFSFMRHTINLATSSIGQVVNAAVIVDPSTKQVIASACDQVISSASLANGVSKEGSCSNYLENLKASNLDQQTSLLDGSISEFKPITNNVACVHPWQWALRSSGCWHPLRHAAIVAIEYSAARDLQLFPDHKALSPLKRQKIEESGFHSNGHSYQSLRPYLCTGYDIYLAWEPCAMCAMALVHQRVRRIFFSSPNSIAGALGSVHRLQGERSLNHHYAVFRVVLPEEVFRNKVVDGKASGSG >Solyc02g085190.2.1 pep chromosome:SL3.0:2:48803160:48805105:-1 gene:Solyc02g085190.2 transcript:Solyc02g085190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHRCSGSMVGPCSCGLFHNQSGSSGTTTSSAFSMLFSHEYSENMYSSSSSNVDCTLSLGTPSTRLINEDNHEKRSSVYSKHERLRSSSNCISNFWDILHPKQHVTTPQYKSTRGANNNSTTTDPLVARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAATANGGGGAETTTHQHWVHHHHHSQPTKMACFSSASYGNEFRFIEDNEHHRDSDAAATAIPFFPWRLNVADRPSLVHDFTR >Solyc02g089960.3.1 pep chromosome:SL3.0:2:52281491:52283582:1 gene:Solyc02g089960.3 transcript:Solyc02g089960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAESSSQKHMKSSRFTVSTCSSLLMAFLFALSASFQFNDPDWYLWFPLYAMACLVNLVNGSNKIAKTTLLLGTILFLKDVCFLQGISGIWSFDMRERVVREKVGSGLVILSMSIQLQKGNPNNTTLSNHVELGQSILVAIGYGLSFAFFLFSKPEMKF >Solyc10g047290.2.1 pep chromosome:SL3.0:10:40310910:40316650:1 gene:Solyc10g047290.2 transcript:Solyc10g047290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLCCFSSANSQLAGGRSSGGKGRSHQGPAKYGFTLVKGKANHPMEDYHVAKFMQLRKGELGLFAIYDGHSGDNVAAYLQKHLFSNILKEEDFWTDPHSSILQAYERTDQAILSHNPDLGQGGSTAVTAILINGRKLWIANVGDSRAVLSKRGKAIQMSIDHEPHTERGSIENKGGFVTKMPGDVARVNGQLAVSRAFGDKNLKSHLRSDPDVTTIDVDGDTDLLILASDGLWKVMSNQEAVDIAKKVKDPQKASKQLAIEALTRESKDDISCIVVRFKG >Solyc12g088800.2.1 pep chromosome:SL3.0:12:65011162:65016425:1 gene:Solyc12g088800.2 transcript:Solyc12g088800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNNDEEFCKDYFELKAQEASYFDFIRIFYSSNLDKRNFFDVSIGVASTIRGFRRRWLIFISIVLQRLFFWFKNPMENLGSFMELLQNYPSFNGGFIQLFLNIFQGKVVRPEKSSEKFKSMIGNLDLRVELDKKIKIGDIRYNRHVSIMAAKLSYENEALNKTVIQKHWQMHFLGLYNFWKAYEEQYSTQAIMFQDKIEDPNLIVVAFRGTSPFNANAWITDIDLSWYELEGLGKIHAGFMKALGLQKPIGWPKQINQDQNNSNSKEFAYYKIREELKKILSKNEKAKFIVTGHSLGGALAILFASILILHEEEWLLDKLEGVYTFGQPRVGDEQFGRFMMEKLKKFDVKYYRYVYCNDMVPRLPYDDKTLFFKHFGSCLYYNSLYCGKVLEEEPNKNYFSLLWVLPKVLNGVFELIRSFILPWIKGNDYKQSWSEMIFRMVGLIIPGLSAHGPVDYVNLTRLGTNLHLPQSQGGLKQD >Solyc01g111980.3.1 pep chromosome:SL3.0:1:98029399:98033033:-1 gene:Solyc01g111980.3 transcript:Solyc01g111980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVEDQVSSLPNSLPITPRTVNTAPMFHHDDQFGSVPITPRTASVAQTPSMLSLPITPRTARTPSIVSLPPSQFHSPSLSRSPLLLTGDHATNKPVKTPRSRGLTPRFITPLGSPLRKALKMTILDPQDAWLPITESRNGNAFYAAFHTLCSGIGIQALVLPVAFTILGWAWGIIVLTVAFVWQLYTLYLMVQLHENHELGLRYSRYLQLACATFGENLGKLSSAFPLGYLSAGTCCALIIIGGSTAKLLYETLCGATCSNPKPLTVVEWYLVFTCVAVVLAQLPNLNSIAGISLVGALTAVGYCTALWTVSVAEGRLPNVSYEPVRKGSQVNKIFDLLNAFGIIAFAFRGHNLILEIQATMPSSEKHPSRVPMWRGVQFAYLLIAMCLFPLAIGGYWAYGHLIPANGSVLTALFAFHSQDVSRSVLALISIFVIINAVSSFQLYGMPMFDDMESAYTTRCKKACPWWLRSIFRAIFGFVCFFIAVALPFLGSFTGLIGGIALPITFAHPCFMWLKVKKPKKYSLSWWVNWGLGLLGMGLSGILIAAGLYVVIDTGVKISFFNPQ >Solyc07g066420.3.1 pep chromosome:SL3.0:7:67983183:67993167:1 gene:Solyc07g066420.3 transcript:Solyc07g066420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMIVTSLGEIVIDLFTDRCPLTCKNFLKLCKIKYYNGCLFHTIQKDFTAQTGDPTGTGSGGDSVYKILYGDQARFFGDEIHLDLKHSKMGTVAMASAGENLNASQFYITLRDDLDYLDGKHTVFGEMAEGQETLDRINEAYVDGKGRPFKNIRIKHTYILDDPFDDPPQLSELVPDASPEGKPKDEVEDDVRLEDDWVPMDEQLGMQELEEVLRAKEAHSRAVVLESVGDIPDAEIKPPDNVLFVCKLNPVTEDEDLYTIFSRFGTVTSAEIIRDFKTGDSLCYAFIEFEDKEACEQAYFKMDNALIDDRRIHVDFSQSVAKLWSQYRRKDQTGKGRGCFKCGSLDHMAKDCTGDATKQQSNYILKEEDNKQHGGDDAAGSPKRNKRGREFEGGKHYENQNPKRRELEDDGARKDRKRDGYERHRLEDRSNDYRTEERHRGSGMRASGEKERDYRGRNDLDYRRNTNYDNRKAGGERQDHDKRPADNVRHVDKDYRGEREKRDRRDEVEYDGGHADEVRVK >Solyc04g077010.3.1 pep chromosome:SL3.0:4:62055398:62058851:1 gene:Solyc04g077010.3 transcript:Solyc04g077010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIQKMITLVLFSFTLSTFVQSDQSQFFLLMKKFVTGSSLSNWDIEKPICQYRGVGCDDRGNVIKINISAWYLSGQFPSDVCSYLPRLKSLHIGHNNFQGGFPKYLINCSLLEELNMTKTSLTGQIPDLSPLKSLRVLDLSCNKLTGDFPLSILNLTNLVILNFNENRHFNPWRLPEEISRLINLKWMILTACNMHGTIPVTISNMTSLVDLELSANRLAGKVPKELGKLKNLRLLELFYNLLDGEIPAELGNLTELVDLDMSANNFTGRIPESISRLPKLEVLQLYHNALSGEFPAALANSTTLTILSLYDNLFTGEVPQHFGLSSALLALDLSENRFSGKLPPFLCSGGKLSYILLLQNMFSGELPDGYVKCQSVLRFRVNYNQLEGSIPQELFTLPHVSIIDLSYNHFSGSIPTTIGSARNLSELFMQSNKLSGLLPYEISTSSNLVKLDLSNNLLYGPIPSEIGGLKSLNLLLLQGNKFNSSIPESLSSLKSLNYLDLSSNLLIGKIPESLGELLPNSMNLSNNLLSGPIPLLFIKGGVLESFSGNPGLCVPTSLNSSDRSFQTCSHSYNHKKRNNIAWVIGTSVGIVIVGLVLFIKRWFGNKKAVMEQDDHSLSSSFFSYDVKSFHRLSFDQREIFEAMVEKNIVGYGGSGAVYKIELSNGGVVAAKKLWSHKHKHSVSEDQLVLDKELKTEVETLGNIRHKNIVKLYCYFSSLDCSLLVYEYMPNGNLWHALHGGKFVLDWPIRHQIALGIAQGLAYLHHDLMPPIIHRDIKSTNILLDIDYQPKVADFGIAKVLQARGGKDSSTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELITGKKPVEPEFGDNKNIVYWVSTKVETKEGAFEVLDKKVSDSFKEDMIKVLRIAIRCTYSTPTLRPTMNEVVQLLIEADPCKFNCCNMSNKKKSDTEEVINKPPKSIYDL >Solyc03g063190.3.1 pep chromosome:SL3.0:3:35737772:35740014:1 gene:Solyc03g063190.3 transcript:Solyc03g063190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTNIFKIKQVKRLSLGFQSKWNIAEEGKGEGRGEGGKANKHVTAKTRYLCSPFKWQ >Solyc08g062515.1.1 pep chromosome:SL3.0:8:51259404:51267134:-1 gene:Solyc08g062515.1 transcript:Solyc08g062515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLAPQISTLGSPSNSSDGSLLNEDTRGSPIIYPPLRQENNQNSNNFHAHSMISQSPQEHDECNHDSRQQTIMFETVVASMNEMVELWKMNDPFWVDSSSDRRCFIHHEIYGRNFSNQVLPPQTSTGRIESSKDCGIVSMTAVELIHNFLDPVKWMNLFPTIVTKAKTIEVLDSGTWGGSMQLMYEKLHILSPLVEAREFLFIRGCRQPDVTTWIMVDISYDIFNDIQSGVPSYSWKFPSGCAIQDMGNGQMFHDPEGLKNTIQVSQRMVKKFFEILSMTDNHGDFSISPQLNRGDRISIVKNEETIQPKGFIAIATTSLWLSLSFQDVFSFFNDYKTRNQPYNMHKEMLVLEEMSIDEMGAFLVYAPIDLRAINSIVNGGDATKAPILPSGIIISPDGRLSSNRDSTPNAQNGSILTVTFQIMICAVSDLDSNPLLVVNDVSTICFRF >Solyc02g085990.1.1.1 pep chromosome:SL3.0:2:49424022:49424507:-1 gene:Solyc02g085990.1 transcript:Solyc02g085990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRKEGGAKEVQVEIINLDEEIEKRSEKSVGEIRPLDVKYYEDNGLILDLKKLGETNYESAGIDFNAMFSDFLLEDEEKVMEFTPYEKEIINPPVVLSSTVSSLMELEKLMEIPPPNEGSSLATKANWDFELMEWIWSPYTYAEYLRYDKKNKIPHLVD >Solyc09g083220.3.1 pep chromosome:SL3.0:9:69309163:69313303:1 gene:Solyc09g083220.3 transcript:Solyc09g083220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQPEGYADDFLEQILAIPPYSGLPVADVGTPSETTSFTSASAVSHLNSAAAAGLQQPLFPLGLSLDNGRDDVGDAGPYAVKHERDGMNIGNLYAGLEHLQSHAVRHSVPSVHHVQPFQGPPTTSTTVTVPHPPSIRPRVRARRGQATDPHSIAERLRRERISERIKALQELVPSCNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGASAVAQLVADIPLQSVEGDSGESRSNQHIWDKWSNVDTEREVAKLMEEDVGAAMQYLQSKSLCIMPISLAALIYPTQQPDDQSLVKPEAAAPS >Solyc05g042090.1.1.1 pep chromosome:SL3.0:5:55730140:55730748:1 gene:Solyc05g042090.1 transcript:Solyc05g042090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIKKDISHLLEKTPKNRGLVVVNSQEKRVESKSLTSHKMIVGFKEERNWIFNKLTNGPTDLDVISITGMLGSGKTTLAYKIYNDKSVSRHFDIRAWCIVGQEYDEKKLLDKMFNQVTSSDLKLNDNVDVVDKLRTQLYKKRYLIVLDDLWDTTTWDELTRPFPHVEKGSRIIFTTRDKKVALHGKRHSDPFNIRLHSDIP >Solyc09g082560.3.1 pep chromosome:SL3.0:9:68704998:68707090:-1 gene:Solyc09g082560.3 transcript:Solyc09g082560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTGRWIKNLLIGKKDKDKDKDKLEGEKIQNSITSNEHQPTTPISIPSTTPKDKKRWSFRRSSATPPGQRDLSGTDIVATTTAKQELLEAYALEEDAASKIQAVFRGYLARKALNALKGLVKLQALVRGHLVRKQAAATLRCMQALVTVQARARAQRIRMTEEENPNNPRQSVHRKSTQDNKFRHSYQDYEEDIKIVEMDLGESKGNTKSRNCYSNQGQTERTEHRFSTHNAYTNQEHHQHIISPVPSAITEQSPKAYSGHFEDYTSYPTTHSSPQYYNNTMSKPDPSRILYSSYARSEYAEPESLYNEYPFYPSYMANTKSSIAKARSHSAPKQRPDQTSFERQPSRRRPSIEGRNVPRAVRMQRSSSHVGSTAQNYQYPWSIKLDKSNISINDSECGSNCSVFTTHTNYCRSLVGFDVQEHRY >Solyc04g064620.3.1 pep chromosome:SL3.0:4:55804621:55807338:-1 gene:Solyc04g064620.3 transcript:Solyc04g064620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLPYNPFNIFFLHIQHRFPSQHNIFLEYKTKKKLLAMAIIKILHTLFGIFGNITGLFLFLAPMITFKRVIMNKSTEQFSGIPYVMTLLNCLLSTWYGLPFVSPNNMLVSIINGTGAGLEAFYVLVFLIFAPKKEKAKISGILFVVLSIFSTVALVSMLALHDNKRKVFSGFAAAIFSIIMYGSPLSIMRLVIKTKSVEYMPFFLSLFVFLCGTSWFVYGLLGKDPFIAVPNGVGSLLGTAQLILYAIYRGEKGQSKKGEEDGRVEMELEKPSNTQNGDAKA >Solyc08g069100.1.1.1 pep chromosome:SL3.0:8:58233544:58235556:1 gene:Solyc08g069100.1 transcript:Solyc08g069100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4CMD0] MEKGVEHLISARKSMRVNLEKSKDIGLSLEKARPRLVEISQRLPSLEAAIRPIRADQDALGAVVGHINRAVVPAAAVLKVFDAIHGLENSLSDPESDLPGYFGVLKRLKEALKFLGENCDMAIQWLADIVEYLEDHNVADDKFISSLKEALTTLKELHRGEDWSCLDGGLLEAALDRLENEFRRLLTENSVPLPMSTPDLPGEQACIAPSLLPVAVIKKLQSILGRLVANNRLEKCVSIYVEVRSSNVRESLQALNLDYLEISVSEFNDVQSIEGHIANWGKHLEFAVKHLLEAEYKLCNDVFDRFGLDVWMGCFAKIASQASILAFIQFGKTVTESKKDPIKMLKLLDIFASLNKLRLDFNRLFGGAACAEIQRLTRDLIKRVIDGASELFWELQVQVELQRQIPPPPDGGVPKLIIFITDYCNKLLGDDYKPMLTQVLVIERSWKREIFQERLLFDELLNIMRAVQLNLETWSKGYKDDTLSYVFLMNNHWHLYKDLKGTKLGILLGDSWLREHEQYKEYYSAVFLRESWAKLPALLSREGLILFSGGRATARDLVKKRLKAFNEAFDDMYKKQSNWIMLDKELREKTCQLIIQAIVPVYRSYMQNYGPLVEQEGSSKYAKYTVQSLEKMLNSLFVPKATRQGSFKVRVPSGKFNNSVADQNQTAIVK >Solyc02g069710.3.1.1 pep chromosome:SL3.0:2:40099894:40101834:-1 gene:Solyc02g069710.3 transcript:Solyc02g069710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDGFFIRKSPRLRGVFNLVCSLVLVFMFYNREDIVSNPIIKKSSLVSSQWNPSSRFDGYSSHTRVVRRGMLEVNGSDADDLVVKKPQLCAGLFDHVGFKSSCDFLKVHPDCSSGGFFDYLKFYYCGCNGSAWAFVVFGVWLAALFYLLGNTAADYFCGSLEKLASLLKLSPTVAGVVLLPLGNGAPDVFASIAAFVGSGAGDVGLNSVLGGAVFVTCVVVGAVSLCVADQDVQVDKKCFIRDIAFFIVTLMSLLLILIVGEVSVGATIAFVLIYVIYAFVVAANEILRKHARRLRLDVVTPLLPVRGSIFSEGTQEEDSVYSTLLDVETEDNNIQSHGSLPQWMWASNVAIYSNQSLRVHDGERPLWGWIDEGVEVKSPSFSCSQLCSLLELPLTVPRRLTIPLVEEETWSKPYAVGSATLAPFLLAALWNTQDYVSYLGRSVAIVLGISVGCTLGILAYKHTRADRPPQIFLLPWVLGGFIMSIVWFYMIANELVALLVGLGVVLGVNPSILGLTVLAWGNSMGDLVSNVALAMNGGDGVQIALSGCYAGPMFNTLIGIGISLFLGAWSEKPSSFILPGDRSLFYTICFLLFGLLWALVVLPKNDMRPNKMLGVGLIIIYLVFLSVRLSSAIGIVSLFGVSD >Solyc01g079740.3.1.1 pep chromosome:SL3.0:1:78734541:78735587:1 gene:Solyc01g079740.3 transcript:Solyc01g079740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIVYQDILQPSQIIETTTLKLKIPSSIEFGNVKEKCNYQVTTKKSCTTNLDCGGWSSLQNLSNISKESGIYVHPLSKKCSYSSSRLSEKSLQLCTESLGSETGTDIIDANIFSFSSSYSRIEKSPSSTTAINDDYTMTSSSSSRNYRGNSKRSNSSVNKKFPPPLTTIRGLNSVQFKPHREDGRLIIKAIEAPLTHNYLQAERSNGRLRLCFYKNEISASNIDENEELDEIEDETDIVIEEQEEEEEENTEEKEEESDLYNVKRDMDGNKFDVEGEMGKEKCQRLSRCKESGKGNKVFGGDWRKALLVATS >Solyc10g055070.1.1 pep chromosome:SL3.0:10:56264366:56265196:-1 gene:Solyc10g055070.1 transcript:Solyc10g055070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 20 [Source:UniProtKB/TrEMBL;Acc:K4D1A0] MIFFLLLEQANASEFPQHFLGASLQKQNKFYMALSRQRLIVEAQSSMQTIMDNLQSYRIKFPLNCEGFKYRLGDFRVRVGKVVQINFGNLRGIVMEMEYLPISSWKTSHLIMSEFFEILKETLGKKSLPGHFVHVEPNFSEFGLSDQYTSRHTVVQYASILAQMTTMAQ >Solyc11g065210.2.1 pep chromosome:SL3.0:11:50853558:50861037:1 gene:Solyc11g065210.2 transcript:Solyc11g065210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRC >Solyc01g067410.2.1 pep chromosome:SL3.0:1:75772961:75777010:-1 gene:Solyc01g067410.2 transcript:Solyc01g067410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITNSFYGYIMMLFAITIVVCVESQLQTFYNAIVSKDGTGNFNTIVGAILAAPDHSVKPFFIKIKKDTYEEYIRVEKKKINIVLIGEGMDNTIITGNRSFVDGNKTYDTATVARTPMARQYNTIIEQQREIESSKSGIVLQNCTIKATPDLKKSDVTTYLEYKNRGPGAVTKGRVTWASVTTDPNIASTFTVRNFINGGSANESSQVSGALAIAEVEVLTPKMSFASKYLSANVASSIALS >Solyc01g056810.3.1 pep chromosome:SL3.0:1:56735636:56761982:-1 gene:Solyc01g056810.3 transcript:Solyc01g056810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYSRWDGLDAFEAPRHQNDRSEDIEMGIQRPIDSGELGLDDFFKQVQQIEKQHEKLEKLLMKLQDAHEESKAVTKATAMKAIKQRMEKDVDEVSKVARFIKSKIEGLDKENLSNRSKPGCGKGSAVDRSRTATTVSLKKKLKDKMSEFQTLRENIHNEYREVVERRVYTVTGNRADEETIDRLIETGDSEQIFQKAIREQGRGQIMDTLAEIQERHDAVRELERKLLELQQIFLDMAVLVDAQGDMLDNIESQVSAAVDHVQSGNTALQKAKSLQRNSRKWMCIAIIILLIIVAVIVVGVLKPWNSNKGA >Solyc03g053130.3.1 pep chromosome:SL3.0:3:24318458:24320213:-1 gene:Solyc03g053130.3 transcript:Solyc03g053130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ASIFPTFLPPMPINDPHMEKRIQLIRSQLVSQRPHLFLLSLAFAFLVADPFGLSPVGDTDFNPVKNDIAPYKQVMESWHGDKNNRLGLGNLEFVNEIYGPESLEFDILGHGPYAGLADGRIVRWMGEDAGWETFAVVTRNWSEKLCAKGKDSTTPKQYRVEPECGRPLGLRFNKQTGDLYIADAYYGLLVVGPEGGVATSLATHVEGKRILFANDLDIHTNGSIFFTDTSKKYNRVNHFLIMLEGEDSGRLLRYDIATKSTNVVLDGLTFPNGVQLSKDQTFLLFTETTNCRLMKYWIEGPKRGIVEIVANLPGFPDNVRVNEKGQFWVAIDCCRTRAQEVLINNPWMRSIYFRLPIQMRYLARLMGMKMYTVISLFNENGEIIDVLQDKKGVVMKLVSEVREVNGKLWIGTVAHNHIATLPYP >Solyc09g074600.1.1.1 pep chromosome:SL3.0:9:66863564:66863872:1 gene:Solyc09g074600.1 transcript:Solyc09g074600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLKKMVAEKPVVMFSKSKCCMCHTIKTLISSFGANLTVYELDELPNGLQVERALLMLGRRPSVPAVFIGQELIGGANEIMSLHLEGNLVPLLMKAKALWL >Solyc01g059750.1.1 pep chromosome:SL3.0:1:68248797:68250137:-1 gene:Solyc01g059750.1 transcript:Solyc01g059750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSEVLTRDPESTIFVAQEDAPTKSYASIVSSQTKKGPTKIYAPTNTSRIGPPKAVKQTVAALPQTEAPEASNPTTPSVIDVSEANYAEEKQPTVLQPLGRHSGSICGCVEFGLPFLLSEVTINAYDFFGDSSWATELADSVFAGF >Solyc01g017600.3.1 pep chromosome:SL3.0:1:24247348:24249508:-1 gene:Solyc01g017600.3 transcript:Solyc01g017600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SHSTKLLLHLSLSKTTTLTCCVNNTKIKMGSLIGHVIPGFGFFLIGIWHLLNHIKLHAFHPKSYTSLPWFPAPKIRYVELYVILFGTLVSISMELFIGPSKHQPFDSDGTIPSYHLHNFEHANISLTFFVYASFSILFDKIIPSTSAQNGLTLFLGAVAFGQELLLFHLHSTDHMGVEGQYHWLLQIVIFSSFITTLLGIPFPKSFLNSFVRSYSIMFQGIWMMVIGVMLWTPEFISKGCFLNFEEGHKVVRCHKKEALERAKALVNIQFSWYLVGITVFTLSLYLVLVKAFRENVEYLSLISKFEEEDFFEDVEAQKKRLVNHIGEQKSFVEMGKRENN >Solyc11g007370.2.1 pep chromosome:SL3.0:11:1729526:1739487:1 gene:Solyc11g007370.2 transcript:Solyc11g007370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKNNTINVVMLPWIAHGHISPFLELAKKLSKRNFHIYLCSTPINLSSIKKNITKEYSQSIELVELHLPSSPNFPPHYHTTNGLPHHLKETLVEAFFSAGPAFSKILQTLNPDLVIYDLNITWVAELASSINIPAVQFLTFSIGMIVLFLHMFSKPGVEFPFPEIYLREHEVIPMQKLAKGYSNINIKDTFMESIRQSRDIILVKDFEVKYMDYFSQLVSKKIVPIGSLVNNSIDQIDEHALSITQWLDKKEKGSSVFVSFGSEWFLSKEEIHQVAQGLELSKVNFVWVIRFPQDEKISIDDVLPQGFLERVGERGMVLDKWAPQSTILQHKSIGGFVSHCGWGSITESMKFGVPIIAMPIYNDQPINARIVEHIGVGVEALRDENGKLQSEEIAKAIREVVIEESGEGLRKKAKELSEKMEMKGDEEIDGVVLMFPFLAYGHISPYLNVAKKLADRGFLIYFCSTPINLKSTIEKIPEKYADSIHLIELHLPELPQLPPHYHTTNGLPPNLNQVLQKALKMSKPNFSKILQNLKPDLVIYDILQRWAKHVANEQNIPAVKLLTSGAAVFSNQGLNSHSLEFISGKLNK >Solyc12g049665.1.1 pep chromosome:SL3.0:12:62434049:62436316:1 gene:Solyc12g049665.1 transcript:Solyc12g049665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTSRPRIKEREDHLGSNSMRIIEVEKLIHMRGIHQGAGFELIDGNCEPLGMNFREGLNGGHGMVDGNPSHALIGVVGCCPWIFGGVAALFTTISLFGQIQWTLRRGIKDFGFMLIDGNCELLGMNFREGLNGIMVWWMVNDYCGVDGGNPSHALIGVVGCCP >Solyc02g083700.3.1 pep chromosome:SL3.0:2:47553938:47562625:-1 gene:Solyc02g083700.3 transcript:Solyc02g083700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSVNPASVFLFIFCSTVCVYGAIGANRYLKYNTGGNIVESKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSVVMSLRRDRNRKFVFAEMAFFHRWWIRQSPEIQAEVKNLVASGQLEFVNGGWCMHDEATTHYIDMIDQTTLGHQLIKNEFNITPRAGWQIDPFGHSAVQAYLLGAEVGFDSVHFARIDYQDRAKRKEDKALEVIWRGSRTFGSSSQVDEAFLSFVHLFYIIAHLWLTLFVVPLQIFTNAFPVHYSPPHGFHFEVDDADDFVPVQDDPLIFDINVDIRVNDFINAAITQANVTRTNHVMWTMGDDFQYQYAESWFKEMDKLIHYVNKDGRVNALYSTPSIYVDTKHATNESWPLKTDDYFPYADGENSYWTGFFTSRPALKRYIRMLSGYYLAARQLEFLTGRKSNGFNTFSLGDALGVTQHHDAVTGTAKQHTTDDYAKRLAIGASESEVVVNSALSCLVNSRSGPCSTTSSLFNQCQLLNISYCPPTEEDITEGKNLVVVAYNPLGWNRTDIIKIPVNDADLIVKDSMGNLIEAQFIELDNITSNLRKLYVKAYLGISPKQTPKYWLFFRVSVSPLGWNTYFISKASQKGNLKASFSSSTGQLKRLYNSITGVDIPVQQSYLWYASSSNLDQDSGAYIFRPDGSPPVIVARSVPIKVMRGPLVDEIHQQFNSWISQVIRIYKDKEHAELEFTIGPIPTEDSVGKEVITKITANMATDKVFYTDSNGRDFLKRVRNYRADWDLQVTQPVAGNYYPVNLGMYITDNKSELSVLVDRATGGASIKDGEIELMLHRRLINDDGRGVGEALDEPVCVGSTCEGLTVRGNYYLGIHKNSDGSRWRRTTGQEIYSPLILAFAHENQEEWKASHMTKATIMNPNYSLPPNVALITLQELDNGGVLIRLAHLYEAGEDADYSKITKVQLKEMFAGKRIKAIKETSLSANQGKNEMKKMNWNIEHDSGRESAPIRGGPLDMSSLVVELGPMEIRTFIVNF >Solyc04g011963.1.1 pep chromosome:SL3.0:4:4325711:4330011:1 gene:Solyc04g011963.1 transcript:Solyc04g011963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDISQALVVENRGRSKSRGPKGCGKSKYRSKSKDGREPTICHYCSKPGHIQKFCYKLKRDQQNKKNDHHKEGDDKNTAATTSSSDDRVSLICATGECCHVDSSDTEWLIDTGASYHCVPNKEYFIDYRAGDFGSVKMGNQSSASIFGIGDIRIQTNVGCYLTLRDVRHIPDLRLNLLSANVLDEEGYKHTFGEDKWKLSKGSLTVARGKLCCTLYKTHLKVCSGDAPEDGHEIAHEHDHIEEVQLDVVVPQPDDEAVDVQHGESSNQGEKSSPQKKILGMEIARDRKVGKLWLSQEKYIERVLERFNMKNAKQVNTPLAAHFKLSKRCYPTTEKEKESMSHISYSSAVGSLMYAMVCTRPDIAHVVGLVSRYLANPSKVHWEAVKWILRYLRGTSNLSLCFGGGEPILEGFTDADMAGDLDNRKSTSGYLFKFAGGAISWQSKLQKCVALSTTEAEYIAAVEASKEMLWLKRFLQELGLKQSELQEIRPLDRSEIFTAGSQHIALHLDRSDRQSEFLIVCYRSWRVVSYLCCFVTPLTEMGVLATVAENDCHGMIVVE >Solyc09g005250.3.1 pep chromosome:SL3.0:9:183092:195458:-1 gene:Solyc09g005250.3 transcript:Solyc09g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPAAETWLLENGNIKPLSKEMRHGHGRSHGRTAHNVSSSSLRKKSDLTLVRKVPCAIVRDVLANIQEVILGTKLFVLFIAIPIAIIAHYKNFGRSWVFGLSLLGLTPLAERVSFLTEQIAFFTGPTVGGLLNATCGNATELIIAIFALIEHKVDVVKYSLLGSILSNLLLVLGTSLFCGGIANISKEQKYDRKQADVNSLLLLLGLLCHVLPLMFRYAGVGESAAITAQATLNLSRVSCIVMLLAKFGYLVFQLWTHRQLFDAQAIYKLNSFEINQEENEGDDIEDEEAVLSFWSAFAWLVGMTILIALLSEYVVGTIEAASNSWGISVSFISVILLPIVGNAAEHAGAIIFAFKNKLDISLGVALGSATQIAMFVVPLCVIVSWIIGVRMDLDFSLLETGSLALAIIVTAFTLQRKKKLHSSVSTMRKNRPVLVSMDIPALELSNTSIQSYPSQQWKNKFVGSASFSKEIGCNFGCISLSENLDEKAFKGFHPTTTQLLKHPLAMVALIPREAALFAAGAIAGAAAKSVTAPLDRIKLLMQTHGLRAGQEAAKKGIGFIEAFALIGKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYETYKKLFQGKDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVDPGYKTMSEVALNMLKEEGFASFYNGLGPSLIGIAPYIAVNFCVFDLVKKALPEEYQKRTEATLATGLISATIATLLCYPLDTVRRQMQMKGTPYMTIFDAFPGIVARDGIVGLYRGFVPNALKTLPNSSIRLTTFDTVKRLLARSEEEFQKIIEENRRQKAE >Solyc01g009930.2.1 pep chromosome:SL3.0:1:4456907:4459316:-1 gene:Solyc01g009930.2 transcript:Solyc01g009930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAVDPSASHDCLDITGEWMQSYSKTLSWNKTTNCCSWDGVYCEKKTGKVIELNLTCSNLRGKFDSNTSLFQLSNLKRLDLSYNNFSGSIISPKFGCIPSSIGDLIALRTLNLSHNGLEGVIPTSLQYLSVLESLDLSFNNISGEIPQQLASLTFLEVLSLSHNHLVGCIPRGKQFDTFENKSYQGNDELRGLPLSKDCGGDEGVPQATTPFGLDQGEEGDSTMISWQAILMGYGCGLIIGLSVIYIMLSTKKPTWFSRMVEKLDHKIITRMKKHKKRY >Solyc06g054650.3.1 pep chromosome:SL3.0:6:37521550:37536229:-1 gene:Solyc06g054650.3 transcript:Solyc06g054650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATESEKDLGDTAGAAPVTSTDNEAKTKKKKKKGLLSRMWNSLFGSHKDDFEKRLQHISKEEAAVIARTVKRSHSWKRMSRHLIILSVLFEVIAMAYAIMTTRSLELNWKMRALRVLPMFLLPGLSFFTYSTLRSFTRMKEQRDQKTLERLRAERQAKIDELKEKTNFYITQQLIQRYDPDPASKAAAATVLASKLGADTGLKVYLGDESKFNVPAGTSNDVAIQQSAGLRNRKQARSGSAGSAVLDHREGEMLHDLQLEGSIMNQHQQMIVEHYNPTSVSTQDGGWLARIAALLVGEDPTQSYALICSNCHMHNGLARKEELPYITYYCPHCNALNGPKPLADGTSSLLNMASTTIVADADLVKQDSGSIAERDSASSSPAGETTEGNSIVSESSSPAVVATATTEDENIVSASSSPAVAALETPEGDHIGSSISSS >Solyc03g117550.1.1.1 pep chromosome:SL3.0:3:68174163:68176265:1 gene:Solyc03g117550.1 transcript:Solyc03g117550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRQPIPSNPKPMSGIIFLIITVSASLIILFAILYFLYYLWYSLVHRSRTNPYDSITPLVKLHRFSYKELKSATEGFSDSTSIGKGGSGTVYKGILRDGKLVAVKLLDSGSFQSEREFQNELQILGGIKSPLVVSLLGYCVERNKRLVVYEYMPNRSLQESLFSESSLCMNWGRRFDAILDVARALAFLHLDCDPPVIHGDVKPSNVLLDSEYKAKLSDFGLSRLKLDEEFGVDMFSQELGKSQDLSGTLGGMTTGTETPTPIGTPMESQDEVDFAMALQASSSSKGSKIFQNIRPFGFTSVTQNLSFFTENDATTRNAKGKEVSIVENGVASYNEELSSVDHRKELDLGGGDDKARNIKQWGKDWWWKQDGSGELCSKDYVKEWIGSQICPSTNPEWDLEKKCSPQKTSLDISAPLSKPEEVQETTLQGQEPETLKKESDKEITEKTCNKKPRKMKEWWKEEHLDELSKKSKKAKRLESFCKKRFKIPPFDIGKRFQFKRKRKFGMQDENGDDPDAEFSFRKGWKRKHTRSMGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSFGVLVLVIVSGRRPLHVLNSPMKLEKANLVSWCKQLAHAGNVLELVDERLKDDYNKEQASLCINLALACLQKMPELRPDISDIVKILKGEMELPSLPFEFSPSPPSRTFSRSKRRHKSYAE >Solyc01g080110.3.1 pep chromosome:SL3.0:1:79114726:79126934:-1 gene:Solyc01g080110.3 transcript:Solyc01g080110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFHWSSLFKFTFLLLLLAAIATACFTLPVEKILKDFLQWIEQDLGPWGPLVLAVAYIPLTVLAVPASILTLGGGYLFGLPVGFVADSIGATIGAAAAFLLGRTIGRSFVISKLKDYPQFRAVAIAIRRSGFKIVLLLRLVPLLPFNMLNYLLSVTPVSIWEYMLASWLGMMPITFALVYVGTTLKDLSDVTHGWGEFSKTRWAMIILGFLVSVFLIICVTRVAKAALEKALAENEDIDDILSIPELPTVADSAARLDQPLIIKIDSAQDNHEK >Solyc05g015090.1.1.1 pep chromosome:SL3.0:5:9819503:9819658:1 gene:Solyc05g015090.1 transcript:Solyc05g015090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILSIDVCYFLTINLYIFKILYSDYIIFTMYAIAYEIVFFKHICILSFNS >Solyc01g089880.3.1.1 pep chromosome:SL3.0:1:83474399:83475141:-1 gene:Solyc01g089880.3 transcript:Solyc01g089880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTHSSFKIMAVQRTSASRTQPNESKQTPNLENKRTFSFQILCRFSIPSILESATSRIIEDLRKFWLYYAEFMWIVLFILLISERKVSLVCLVAMKEVAVLYLLFLNSVANSVVFRWLIAFDTRPIVLPLLAIGTCLALVLTGAGIQLLITLTLTFPIVLAHAVLCVAEDFSLDDEIDQESVPLVHTV >Solyc02g064770.3.1 pep chromosome:SL3.0:2:36448338:36452831:1 gene:Solyc02g064770.3 transcript:Solyc02g064770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIVEEAHNVKILGSGEQTVVLAHGFGTDQSVWKHLVPHLIDEYRVVLFDNMGAGTTNPDYFDFERYSSLEGYAYDVIAILEEFQIRSCIFVGHSVSAMVGAIASIARPDLFTKIVTVSASPRYLNDSEYYGGFEREELDQLFEAVKTNYKSWCSGFAPLVVGGDMDSVAIQEFSRTLFNMRPDIALSVIQIIFLSDLRHLLPHVSVPFHIIQSMKDLAVPVVVSEYLSQNLGSESIVEVMSTEGHLPQLSSPDVVIPVLLRHIRHDIAL >Solyc02g086513.1.1 pep chromosome:SL3.0:2:49821786:49823756:-1 gene:Solyc02g086513.1 transcript:Solyc02g086513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKSSTPKPVFSTSDCDSDPEEKEISDDDDRNHKHRRRETRSQSAETGALEPALSRPFRKRNKPFQNGHPYQEGDSHWGDTRFGKRRGTGSFARTPSDSYQRMKLNQSVSGHAGPGRGRGRESGAWGPCESRDFEERGFCLRGDMCPLEHGVNRIVVEDVQSLSQFNLPVSLPIGHMLGPNTAQGSLPAIGPSSSSASGKSLHSKSINPPVIDGGLGMSDTFGGGSVAGPADFYDPDQPLWSNDHPATSTALLDVNQSKIDDTGPLLNADSSVQNQAGLYDGCKLEPIDRGAGIASGSQSVWGKMSRSKNKFEPFSSSQGITRHCKQTNVDTIDQQVLESSSEPQSSSGRNMRNTSQKALRSLFVRGIPQKDNKPEALLSHFKKFGEVIDIYIPVNGEQAFVQFSKREEAEAALKAPDAVMGNRFIKLFWANRDSIMDDGVNGSSYLPRSGTPSTVPPHLSVPHKRRDNQTVAPKPAENGVVLVATSDLPKPVTKNGPKTTPALQKKLGTLELLKEEMRKKQEMLEQKRNDFRRKLDKLEKQVMASS >Solyc04g014790.1.1.1 pep chromosome:SL3.0:4:5030831:5031241:1 gene:Solyc04g014790.1 transcript:Solyc04g014790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4BPY2] MAIKFNPILGTLFVVVATVLLRVSDAQGGRKGAIVGGWNPITNLSDPEVVKIGKFAIDEHNKEAKTKLGFQKITKGESQVVAGINYRLVISAKDGDSPPHNYLAEVWDKPWEKFRNLTSFRECSIENVEQQCMFTN >Solyc04g074410.2.1.1 pep chromosome:SL3.0:4:60507541:60516683:1 gene:Solyc04g074410.2 transcript:Solyc04g074410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHIILKLFLVISFFNVCFASRKLTALVQDPQMQLLKYHKGALLSGKISVNLIWYGKFKPSQRAIVSDFINSLSSSTPSKTNPSVAQWWKTTEKYYHLANSKNTLSLNLGKQVLIENYSLGKSLTQKQIVQLASKGEQKDAINVVLTASDVAVDGFCVNRCGTHGASKGAVIKGKTYKFAYIWVGNSETLCPGYCAWPFHQPIYGPQTPPLGAPNNDVGVDGMVINLASLLAGTATNPFGNGYYQGEADAPLEAASACPGVYAKGAYPGYAGDLLVDKTTGASYNAHGTNGRKYLVPALYDPATSSCSTLV >Solyc08g075310.3.1 pep chromosome:SL3.0:8:59524395:59540679:1 gene:Solyc08g075310.3 transcript:Solyc08g075310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAPFIQIKLLKILALLGSGDKKASEQMYTIVGDIMRKSDSSSNIGNAILYECICCVSSIHPNPKVLETAAEAVAKFLKNDSHNLKYLGIDALGRLIKISSEIAEPHQLAVIDCLEDPDDTLKRKTFELLYKMTKPSNVEVIVDRMIDYMMSISDNHSKTEIASRCVELAEQFAPSNQWFIQTMNKVFEHAGDLVNLKVAHNLMRLIAEGFGKEDDTADSQLRLSAVESYLRIMGEPKLPSAFLQVICWVLGEYGTADGKYSASYITGKISDIAEAHSTDDMVKAYAVSALMKVYSFEIAAGRKVDILPECQSFIEELLASNSTDLQQRAYELQSVIGLDARAVENIIPMDASCEDIVVDRELSFLNGYVEESLKKGAQPYIPESERSGALSISSLRVEELHGSSGHSLRFEAYDLPKPSVPSRPPVPPVSSTELVPVPEPTYHREFHEAVAPKFSVSGTGSSEIKLRLDGVQKKWGKQTYSSSSPSTTDSDTYKTQNGATQRDVTSSLSSKTRDVSYDSRRQQEEINPEKQKLAASLFGGVSKTEKRPAAGHKTSRPSSRVADKSHAEKSGPSDGGAVKASPQPPPDLLDMGEPTSISNTTFEDPFKQLEGLLDLNEGTAAVGSSSATKAPDFMSLYGDTSLSGQNMGMTDLLSTGSGDANLISGISHALDKNGHGTGSAVTLPAQLSKGPNTKEALEKDALVRQMGVNPTSQNPNLFKDLLG >Solyc08g068120.2.1 pep chromosome:SL3.0:8:57251633:57282200:1 gene:Solyc08g068120.2 transcript:Solyc08g068120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDTPRERIDQFFASKKKRKSLSPSLKPTRAKKDVKTIQEVSPSTKGSLNGYLGTSQDDSKSSHIARGAPVKRNLTLEIGPYLKDENKGSTFLVEAQSTTTEAKTSKEEFDIHSDSHVVENRGHGKDVLVTTKVNGNSELKQFATNFLSLYCSELPSSLSLPSAQREGGSKRSGSSPLLEVDNKNAKRVRCISSENDSNLNRRSNSECAALNSIEITEGNTSGEARIILRKCNNIPVIGSTESETPGSTSSKLRIGVTPKSTRGSSIFSPGEAFWNEAIQVADGLFEGSDKFSSQTALESDALKNHNEVINSNSLGNGECGNKSHQVFNEGIVTVSDAGIVSAVASLRKLGKDLDGEKSPMPVKHFDFASDEKNFDSIQSHRSNLDILTISGKITGSQDHLSLSGYPVVSLHKAAQEKGNILELQNKTPKCPVSKGKDVLIQDTDIMASTTPPEKLNSYTDNSLSSKDNTPSSIAPLKNHSDLNHWLPSEVCNIYRKKGISKLYSWQVDCLQVDGVLHNRNLVYCASTSAGKSFVAEILMLRRILSSGKMGLLVLPYVSICAEKAEHLEVLLEPVGKHVRSYYGNQGGGSLPKDTAIAVCTIEKANSLINRLLEEDRLSELGIIVIDELHMVGDQNRGYLLELMLTKLRYASGEGNTESSSGETSGTSSGKVDPAGSLQIVGMSATLPNVTAVADWLQAALYQTEFRPVPLEEYVKVGNTIYNQKMDIVRTISKAADLGGKDPDHIVELCNEVVQEGNSVLIFCSSRKGCESTARHVAKHLKKFSLNQLSGQNESIDITSAIDALRRSPAGLDPILEETLPAGVAYHHAGLTVEERETVETCYRKGLVRVLTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEEVKRITALVNESCPPLHSCLSEDKNGMIHAILEVVAGGIVQTASDINRYVRCTLLNSTKPFDDVVKSAQDSLRWLCHKKFLGWSEDTKLYTVTPLGRAAFGSSLSPEESLVVLDDLIRAREGFVLASDLHVVYLVTPINVDLEPDWELYYQRFMELSTLDQSVGNRVGVQEPFLMRMAHGAPVRASYRSNAGTKGLQGKLDNRSLNDHMLSDENMLRICRRFFVSLILSRLVQMKEVPVIEVCGAFKVARGMVQALQENAGRFASMVSVFCERLGWHDLEGLVSKFQNRVSFGVRAEIVELTTIPYVKGSRARALYKAGLRTPEAIAEASVPEIIKVLFESSSWADQGSAQRMVQLGVAKKIKNGARKIVLDKAEEARLAAFSAFKSLGLDVSTLAQPVISTAAGHGAHKEASTSSAEGSTSSFISLENANRISSTSIERSKEVNITIPDAGAENAKSKTIADKEAFDIEGSNGLKTEVNESTHHIDNAKTSPYPTLNIKGIEGGGTCNDHNHAGKQHCEGAEICNVRVKETSEKGPMNATAVVGGFDTFLGLWEAVEEFYFDVHFSKKSALNSNAPFEIYGIAICWEDSPVYYVNLPRDLFWSNSKRNSQLSPITNDDIGNVLPPNLQLEIAIHRWNRISTIMRKKDIKKFAWNLKVQIQVLKHPTVSIQRFGGLSLLVKSLGVDLIDNNCYLLSPVPIQDAIDLCIVAWVLWPDEEKGSNPSIEKEVKKRLSIEAAAAANRNGRWKNQMRQVAHNGCCRRAAQTRALRSVLWKLVISEGLVEALGATEMPLVNVLADMELCGIGVDMEGCLQARQILGRKLKILEKEAYKLAGMTFSLYTAAEIANVLYGHLQLPVPEGHKKGKKHPSTDKHCLDLLRNEHPIVPIIKEHRTLAKLLNCTLGSICSLARLSMRTQRYTLHGHWLQTTTATGRLSMEEPNLQCVEHMVDFKMNNKDKGVCPLDVNNYKINARDFFVSTQDNWLLLTADYSQIELRLMAHFSKDSSLIELLSEPQGDVFNMIAAKWTGKTEAIVSQEERDQTKRLVYGILYGMGAKSLAEQIDCSADEAAERIESFKRSFPGVASWLQEAVTSCREKGYIETLKGRKRFLAKINFGNSKEKSKAQRQAVNSICQGSAADIIKIAMINIHSVLDHFEKSLSHSAVDEKFHVLKGRCRIILQVHDELVLEADPSVAKEAGLLLQMSMENAVSLLVPLHVKLKIGSTWGSLEPFQAGE >Solyc07g007890.3.1 pep chromosome:SL3.0:7:2555818:2565423:-1 gene:Solyc07g007890.3 transcript:Solyc07g007890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSASNAVANNKDVPSSMTPTAVEDDGAMSVNSGLAKEAALFFQSGNYADCVRVLYQLLQKKEGDPKVLHNIAIAVNFQDGCSNPKKLIDELNNAKKRSEELACAAGDQADPASNVGAKAVTGISGNNSAPRHLSAQHSSELVYADEFDPSVTTYNLAVCWFHLHEHAKAFSILEGLFQNIEPIDEEIAKRICLLLLDVALLARNAARSADVISYVEKVFCSSSLLSQVDSGNSALPTASAVLKSASFPSNSTIPDASTPDSPAAGITSEGSLSRTLSEEGLEDLHLISSMEIGGQNLPRQSGLKSSNDPTRNQADEFISTADMRIKLHLCKVQFLLLTRNLKAAKREVKMAMNTARGKDHSMALYLKSQLEYTRGNHRKAIKLLMASSNRAETGISSLYYNNLGCIYYRLGKHHTSSVFFAKALSNSSSLRKERPLKLSTISQDKSLLITYNCGMQYLACGKPLLAASCFYKASQVFHSRPLLWLRVAECCLMALEQGLLKSSGVAASDRSEVKVHVVGQGKWRQLVMENGLLRNGQESFSGKEDLATKDRQLKLSVQLARQCLLNALHLLNSSESKGNKSTQSHVSGVEESETREVVPSKHGSTEPKSLNVPASGQVNANGEVKEQKGTSSQNAAFLNSLGEYEATCRKENLMIEQAALADLAFVELELGNPLKALTIARSLLKVQECSRIYIFLGNVYAAEALCLLNRAKEAAEHLSTFISSGKDVDLPFSEEDSEMWRQEKTLESEDTNVGSAAVNSFPSEESQAFVFVKPEEARGILFTNLAAMAAMQGDIEQAQTYVMQALSTKPQRPEAILTAVYLDLLCGKTQEALTKLKQCSRIRFLPSSPTLSGSS >Solyc07g006280.3.1 pep chromosome:SL3.0:7:1069364:1081494:1 gene:Solyc07g006280.3 transcript:Solyc07g006280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRCSNNLVGILNIVTLLLSIPIIGGGIWLSKQANTECERFLEKPVIAIGVFILLVSLAGIIGSCCRVTWLLWVYLLVMFLLILLLFCFTIFAFVVTNKGAGETISGRGYKEYRFGDYSNWLQKRVDKHWNRIHSCLQDSKICDTLIQESNTKADDFFKKHLSALQSGCCKPSNDCNFQYVSPTNWTRSSTSSTTNPDCATWSNESNLLCYGCQSCKAGLLDNIKSDWKRVAVLNIIFLIFLIIVYSIGCCAFRNNREDNAWKRYP >Solyc09g072500.1.1.1 pep chromosome:SL3.0:9:65475404:65475613:-1 gene:Solyc09g072500.1 transcript:Solyc09g072500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRSNRMHIQALEGRPQNYLAFLTFNSRSPKKWFVIADKNRLNEVYARFKAFLTLKIGQTGTDGQPDA >Solyc11g013340.1.1 pep chromosome:SL3.0:11:6331497:6333798:-1 gene:Solyc11g013340.1 transcript:Solyc11g013340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTVPISTTAPSNSSTGNRRSQPRYLYCSCFRRCCRCDYSMSLW >Solyc02g030600.1.1.1 pep chromosome:SL3.0:2:26964670:26965299:1 gene:Solyc02g030600.1 transcript:Solyc02g030600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANHQDKGKRIVEDVSVDLTLGLPMETQMEYWRQMNLLPPMETEMEWRQQNYPFSVTRTSPLTRDTEIEWRQRLEAEITWRRRMNAEIEGRKRLEAEMDWRRRMDTKIECRRRMETEMEWRKIHGPFSVMRTASMPPMETQTEWGQMSDPFSVNRTASLPPLETGEDWMQQDDPFLTMRTASLPPMETGMDLKERRDLQTQTRGDAQ >Solyc10g084170.1.1.1 pep chromosome:SL3.0:10:63956434:63956946:1 gene:Solyc10g084170.1 transcript:Solyc10g084170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLFNWSQPARRRYSSRGKPVQSVHEKSAGIKPATKVVQIPVHSVSSDPDRSQKPDELAQAEAPDRRSASALRIQKVFRGYMVRKNVKRIMSIRREVDEIERKLLFGETAELIRRDERERVRVNETLMSLLFKLDSIRGIDSGVRECRKGVIKKAISLQENVDSIVSGS >Solyc10g051340.2.1 pep chromosome:SL3.0:10:51887735:51896359:-1 gene:Solyc10g051340.2 transcript:Solyc10g051340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyl cyclase-associated protein [Source:UniProtKB/TrEMBL;Acc:K4D0T6] MDEKLIQRLESAVSRLEALSVGGGVSLGDGEAAAALDPSIIAFDDLRSRFVGRVCTAAEKIGGQVLDVTKIVEEAFEAQRELLIKIKETQKPSMSGLAEFLKPLNDVIVKGTKMTEGRRSDLFNHLKAAVDSLTALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKVLVEFRNKDPDHVEWAKALKEIYLPGLRDYVKSHYPLGPAWSATGKVAVSAPPKAPAPSRPMPPPLPPASLFSSESPQASSSRPKKGMSAVFDEINSGKPVTGGLRKVTDDMKTKNRADRTGVISAGEKERRVSSPSFSKAGPPKLELQMGRKWVVENQIGRKNLVIDDCDAKQSVYIFGCKDSVLQIQGKVNNITIDKCTKMGVVFSDVVAACEVVNCNGVEVQCQGSAPTISVDNTTGCQLYLSKDSLGTSITTAKSSEINVLVPGAGADSDWGEHALPQQFSHVYKSGQFVTTPVSHSGG >Solyc04g015765.1.1 pep chromosome:SL3.0:4:6048637:6049400:1 gene:Solyc04g015765.1 transcript:Solyc04g015765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLKTVTKGRAKSSCLLLLIGNENNKQIRTIRICQSVDVFLTVIESKYRKQTEEYLLLVQVTGEAFLQEYAHRDTPRCDMVPKDELDVDSSNKISFLNKNQFFVTGEDTRYTMILNQKKVFNIYSLY >Solyc03g026070.3.1 pep chromosome:SL3.0:3:3501217:3506277:-1 gene:Solyc03g026070.3 transcript:Solyc03g026070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGGFIGSSSGGNGGGVSRLVGDTSYEAMPTATMAQSQLITSSLSHSMFNSSPLSLALKPKMEGSGDLSFDGMGRNSRDDEYESRSGTGSDNFDGVGSGDEIETHIGSSSKSAKKYHRHTPYQIQELEAFFKESPHPDEKARLELGKRLTLESRQVKFWFQNRRTQMKTQMERHENSMLKQENDKLRIENIAMKEAMRSPACPHCGGQAILGEIHIEEHHLKIENARLRDEYSRICVVANKFLGRQVESVHGPMSAGMANSGLELAVGRNGYGAMNSVDTALPMGLNFGNNFSSALPAISPRPALSMAGVDVSFDRNMLMELAFASMNELIKLADIGAPLWLGNFDGTAEVLNLEEYARSFPPCIGIKPAHFTAEATKATGTVMINSLTLVETLMDTSRWMDIFSCIVGKTSTINVISNSSGGSKDGNMQLVRYCYFGGSRPFYNVLQIQAEFQVPSALVPVRRVKFLRFCKQHAEGVWVMVDVSIDAIQEGPVPLDGSCRRLPSGCIVQELPNGCSKVIWIEHMEYDESVTHNYYHPYIRSGLGFGAQRWIATLQRQCEFITVMSSPVPSGDNSVLSSSGRRSIAMLARRVTRHFCNGVCATYYKWESIQLGTAEESKFIMRKGVGEPGDLNGMVLSASRTLWLPITHERLSDFLRNEQTRGQWDVLSQGGSVHRIVHIAKGQDPGNSITLFRTTVANSDGSQNGLLTLQESCTDVSGSIIAYTSLNTGDMNGVMNGGDSSCVTFLPSGFAMVPDCYENSNGVAGIGTLENGGKMNGCLLTMGYQVLMSNPPTGNLTMDSVNTVDSLITRTVHNIKLAFQCN >Solyc12g099690.1.1.1 pep chromosome:SL3.0:12:67714387:67714683:1 gene:Solyc12g099690.1 transcript:Solyc12g099690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKFCKVFLYSSLLLYLVSIFLFNDPNSSLLIFHLIASNDTNLSHLVFGLIGSEKTWDHRKNYIESWWMPKVTRGYLLLDVSPSASLLPLSKNFTPL >Solyc12g077590.2.1 pep chromosome:SL3.0:12:18649685:18655715:-1 gene:Solyc12g077590.2 transcript:Solyc12g077590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGAGCLALGYIIGMRHSSRTFLSNRASGDTETLIDGKKRKGAKQPFEVERLAEILEDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLHNRAPKALNRWEMCGQVKVVVKIESEDDMLVLQERAKSINIPTHITIDAGRTQIAPNSRTVMAILGPADMVDNVTGGLKLL >Solyc05g014530.1.1 pep chromosome:SL3.0:5:8389885:8392578:1 gene:Solyc05g014530.1 transcript:Solyc05g014530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGVGENGVNKEIDTRTKEQKSIDEWLPITSNRTAKWWYSTMHNVTAMVGAGVLSLPYAMSQMGWGAGATALVLSWVITFYTIWQMVEMHEMIPGKRFDRYHELGQCAFGDKLGLWIVVPQQLVVEVSTCIIYLVTGGKSLQKFQEIISPNAKPLKLTYFIIFFSSIQFLLSLLPNFNSLSSVSFVAAVLSITYSFIAWIASIKEHAVGTQVVSYSPRSTKDSDNVFMFLSALGNVAFSYAGHNVVLEIQATIPSTPENPSKKAMWKGVLIAYVIVAICYLPVAFIGYWVFGNGVEDNILLTLHKPVWIVAAANIFVVFHVIGSYQVFAMPVFDMIETFAVKTMKYKPSFSLRFLVRMVFVAFTLFVAITFPFFGGLMGFFGGFALAPTTYYLPCIIWLRLKKPKRFGLSWTINWVCIIVGILLTVLSPIGGMWSIIKSVKTYHFYQ >Solyc06g064900.3.1 pep chromosome:SL3.0:6:40571881:40574618:1 gene:Solyc06g064900.3 transcript:Solyc06g064900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSSPRVLLEDEPYFHLWQKYRVKNAEQTPLTTLYVENLLQEIASKFSLLLPKRVVLHISFKLSKNYIEVQCII >Solyc10g083610.2.1 pep chromosome:SL3.0:10:63509563:63524535:-1 gene:Solyc10g083610.2 transcript:Solyc10g083610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRRSSYTLLNQIPNDNFFQPPAPKFSAGAGVAPYGESSSAEKNRGKVFDLDLMDQRMMQSHNRVGSFRVPGSIGSQRQSSEGSFGGSSLSGENYVGTSFGHKNEGCGSSVARSWAQQTEESYQLQLALAIRLSSEATCADSPNFLDPVTDVLASRDSDSTASAVTMSHRLWINGCMSYFDKVPDGFYWIYGMDPYVWALCSVVQESGRIPSIESLRAVDPSKAPSVEVILIDRCNDLSLKELQNRIHSISPSCITTKEAVDQLAKLVCDHMGGAAPAGEEELVSMSKGCSNDLKDRFGTIVLPIGSLSVGLCRHRALLFKVLADIIDLPCRIAKGCKYCNSSDASSCLVRFEHDREYLVDLIGKPGVLSEPDSLLNGPSSISIPSPLRFPRYRQVEPTTDFRSLAKQYFLDSQSLNLLFDDSSAGAAADGDAGQSDRSCIDRNNVVSSSSNRDEISQLPLPPLNAWKKGRDKESQLSKMYNPRSMLNPVNMDEDQVLVKHVPPFREDAQSPMTRPDTVNDTRFLAGGGHVVSAIPSEELDLDVEEFNIPWNDLVLMEKIGAGSFGTVHRGDWHGSDVAVKILMEQDFHAERLKEFLREVAIMKRLRHPNIVLFMGAVIQPPNLSIVTEYLSRGSLYRLLHKPGAREVLDERRRLCMAYDVANGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKICDFGLSRFKANTFLSSKTAAGTPEWMAPEVIRDEPSNEKSDVYSFGVILWELATLQQPWNKLNPPQVIAAVGFNRKRLDIPSDLNPQVAIIIEACWANEPWKRPSFSTIMDMLRPHLKSPLPPPGHTDMQLLS >Solyc09g074640.3.1 pep chromosome:SL3.0:9:66879939:66885176:-1 gene:Solyc09g074640.3 transcript:Solyc09g074640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELYGPSSGSNGSQSDHQSEWVSVEQETGLDGSMQKLGLFGREVYPERPGVPDCSYYLRNGSCGYGPNCRFNHPSDRDRRSGGKMQLGGVEFPERMGEPICQYFLRTGTCKFGVSCKFHHPRNFGGSLSNIPLNTYGYPLRMGEIECTYYLKTGHCKFGITCKFHHPQPAGMSVPAPARPFYPTVQSLPAPPEECNSALTGLRVARPPILPGSYVPSAYGPVLLHPGVVTIQNWSPYSGPVSPALSPGVHPSAGLTSVYGMSQLASSPHAFAGPYSPLPPAASPSSKTQKGKSFPERPGQSICQYYVKTGDCKFGSSCKFHHPPDWIASKTNCAISPIGLPLRPGVQPCSFYLLKGFCKFGSACKFDHPMGTVQYSSSASSLPDLQVAPYMLRSSFTLAPMLLPELQAGFVTGSKVDVSLSRAPSSMKVQLVQLT >Solyc06g051250.1.1.1 pep chromosome:SL3.0:6:34562023:34562499:1 gene:Solyc06g051250.1 transcript:Solyc06g051250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQNSNSFHWQLSEFEGNDFEIRGHTLFFVILIFAIIIITTLFFLYARWINKFRSPSTTDPIGGSGSVHTPPPAPQGLDPIFINDLPIIQYTACSDCSSTVIECCICLGIFQDGDKLKVLPTCQHSYHSDCVDRWLRNQSNCPLCRASLQFDLLPL >Solyc12g044645.1.1 pep chromosome:SL3.0:12:60655354:60656275:1 gene:Solyc12g044645.1 transcript:Solyc12g044645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKCNSGSKLKGIVDEHSGHYLRGHKPIKQDNIEFLYNELFRKELTPTDVGIYSRFRYRYCKNSQSYLFTTGWINFVKNKGLRAKNKVVFNSCESMNGTKVNTRNTFVIDVVKNIKVLPLDQQEEIIDQDADANLVPVYLFGKQIGWTEKKKR >Solyc05g018405.1.1 pep chromosome:SL3.0:5:20738247:20738778:-1 gene:Solyc05g018405.1 transcript:Solyc05g018405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEIYQPYLRKFVLVFFDDILVYSTIFSSNMDHLREKARSWPLTQLLKKDAFRWNEEVQLAFDSLKEAMITLPVLALPDFNKVFVVETDASGLGTGVVLMQGTSYRFS >Solyc02g072390.2.1 pep chromosome:SL3.0:2:42180132:42180706:-1 gene:Solyc02g072390.2 transcript:Solyc02g072390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTISDEIGHLYNLKNIFMDKNYLTGSIPLTLFNISSLEMLYMNDNKLEGPLLRQVGNLTMLTWFDLSNNYLAGIIPHEVGNLQELKDLPLSYNEFSGSIPIGIFNISSLVTIGLTENHISGNLPSTIGNGLPDVEKIFLGGNNINGVFYLDLSTCQMRA >Solyc12g019690.2.1 pep chromosome:SL3.0:12:11001320:11006055:-1 gene:Solyc12g019690.2 transcript:Solyc12g019690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small basic intrinsic protein 11 [Source:UniProtKB/TrEMBL;Acc:K4DDJ2] MGVIKAAIADGLLTFLWVFCSSNIGVSTYFIASYFGIVNEIPSLFITTLIVFVIFLMFDFLGDVLGGAGFNPTGNAAFYAAGLGDDSLVSAAVRCPAQVAGAVAGSLALVELIPKHYHHMLDGPALKVDVQTGAIAEGVLTFVITFMIFVIVLRGPESVLLKNWLLTMVTLPLVLAGSNFTGPSMNPANAFGWAYLSNTHKTLEHFYVYWISPFIGAILAAWIFRVLFPPPVEQKPQKQKRN >Solyc03g115410.3.1 pep chromosome:SL3.0:3:66618044:66625955:1 gene:Solyc03g115410.3 transcript:Solyc03g115410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSSSKTADAVTISRWRSTGIVALRDSKLKTFPDEVFDLEKSVRTLDLTHNKMVEIPMEINKLTNLQRLILANNIIERLPMNLGLLQSLKVATFDGNRITNLPDELGQLVKLERLSVSANLLTSLPDTIGSLRSLVLLNVSNNKLKFLPESIGSCCSLEELQANDNSIEELPGSVCNLIQLKSLCLNNNNLNQMPSNLLRECKGLQNIALHGNPITMDQFQQMEGFQEFEARRKMKFDKQIDSNVIISSKGLDEGVDL >Solyc04g056746.1.1.1 pep chromosome:SL3.0:4:54852543:54854759:1 gene:Solyc04g056746.1 transcript:Solyc04g056746.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSKRQFPMAAARRLCLPKSTIRGTATCASLISKTHLENSPKSSISEPQHFVNGSSSPPSDDTFVVQKILWNLKQGKPITNSLHGLKPSTFLEVLVNCRDDLHLAQKFINLVSVNCPNFKHCTSSLGATVHVLIRSKRVADAQGFILRMIRRSGVSRIEIVESLVSTYGLCGSNPYVFDLLIRTYVQARKIREAVEVFRLLQRRNFCVPINACNGLLGGLVKIGWVDLAWEVYGEMTGSSIQPNVYTLNIMVNALCKDGKIESVNPFIEEMEKKEIFPDMVTYNTLINAYCHEGLLEEADEVINIMKATGLRPCLLTYNSILNGLCKNGQYGRARELLVEMEECGLAPDTTSYNALLAECCRAGNVLEAESVFKEMLCRAIIPDLVSYSSLIGLFARTGRLDRSLVYYEHMKRKGLTPDNVVYTILIGGFCRNGSMKEAMKMRDEMLERSLVMDVVTYNTILNGLCKGKMLQEANELFNEMLERDVNPDFYTFTTLINGYCKCGNMDKAQTLFEAMLLRNLKPDVVTYNSLIDGFCKVGDMEKAFSLRDEMISVNISPNYITYSILINGFCNKGHVSDALRLWDDMIILGIKPTIVTCNSIIKGYCRCGDTSRAAKFLNKMQIQGLFPDSITYNTLLDGLIREENMDKALDLVNEMGKQGLSPDVFSYNTILDGFCKFGRMQEANMLYSKMVERGINPDRSTYTSLINGHVSQDNLKEAFRFHDEMLQRGFIPDDKF >Solyc04g009400.3.1 pep chromosome:SL3.0:4:2827372:2834098:1 gene:Solyc04g009400.3 transcript:Solyc04g009400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFLDPHICCFRHGDNVSTVW >Solyc02g033110.2.1 pep chromosome:SL3.0:2:30370479:30374625:-1 gene:Solyc02g033110.2 transcript:Solyc02g033110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIILFDICIFDDDIGQGELKPSRSMPTWLGPLLKKSFFGECLVHDGLQKNERTKYCISINKHNDHDQLKIYRHVYKDLVPLEQMKKYTDCKLIQPYKCNKKWVIALNPLPHCGSDSLIAGDPTCLTCKRRLHDPKRFQFCSIACQVEAKWGKISETKQKRKRKGVPCRAPLN >Solyc09g055715.1.1 pep chromosome:SL3.0:9:44271920:44277230:1 gene:Solyc09g055715.1 transcript:Solyc09g055715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNPYPLPHQSYGCLDEAHLHIPIPYLCVLFLDARDYFFIKAIDSQGFKQIFELASLLKQIWLWQGLIKNVGSAARMTQEYKMAIDSSSKKRKVSYYKTPVDHQEYRSDEVLRHDDEEWKVQSVSMGEKSFLFADEEPEQEVAQKVDDHIPRLLNWQTTNESRRYKKLMNSIFSDVNNKYCNCHRRISKIKLHLKPSPQAVKVKRKGKVGSSMSPVRKRTKKPVTSGSKQDAKNLEPRIAVKQPMKKNVVSRKRTSGSEVEDWLKELSDFRKEVKQEFVEIRSLINDNFKTHSDDHIVPSNSNDEDGYTPQYTSNKESPSNQVLVVQCDKLESGNSEVDVEQMSCPVPIWVLDHMNVTTDSQFELDDQFMPSLNSIKSNTAPHSIVIKGHIEQLPTTIAGCITTKADQATIDVHLGTNGEKLKID >Solyc10g049930.1.1 pep chromosome:SL3.0:10:47051452:47051832:1 gene:Solyc10g049930.1 transcript:Solyc10g049930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYFGVNAGPVLVVDTPRDKNITAEVMRSLNIPRRVKRVLFRTLNTDKKLMYKKEFDSSYAAFTSDGS >Solyc11g044940.1.1.1 pep chromosome:SL3.0:11:32217334:32219991:1 gene:Solyc11g044940.1 transcript:Solyc11g044940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKCRYFVRAFMFILIFSKVSGFGSMSSIAISYGEYGSVFCGLKSDGSHLVSCYGSTSSIIYSTPAHFPFIGLTAGNGFVCGLLMDSYQPYCWGKSNFVQMGVPQPMIKGSQYLEISAGENHLCGLRQPLMGKHRNTSLVDCWGYNMTTNNEFEGQIHSISAGSEFNCALFSVNKSVLCWGDETSSQVITLAPKDLRFIKIAAGGYHVCGILEGVNSQVYCWGRSMNLEEEFSVAQLNVELAAPSDPIISVVGGKFHACGIRSYDRHVVCWGYRVEKSTPPPSGVRFYEIAAGDYFSCGILAEISLLPVCWGFGFPSSLPLAVSPGVCKPRPCASGFYEFNNGTTTCKSPDSRICLPCTNGCPAEMYQQVECSSSRDSQCTYNCSSCTSVDCINNCSTAVSGKKNAKFWSLQLPVIVAEVAFAVFLVSVVSLTSIVYVRYKLRNCRCSGRSPSPRKNGSFPKEIAKDRADLDDLKIRRAQMFTYEDLERATEGFKEESQVGKGSFSCVFKGVLKDGTVVAVKRAIMSSDMKKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEYMANGSLHEHLHGKKKEQLDWIRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVADFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYDEGNIVEWAVPLIKAGDIEAILDPVLKPPSDAEALRRIANIASKCVRMRGKERPSMDKVTTALERALAQLMGSPSNDQPILPTEVVLGSSRMHKKSSSNRSTSETTDVAETEDQRYVEFRAPSWITFPSVASSQRRKSSVSDADVEAKNLESRNCGNGTDGLRSLEEEIGPASPHEHLFLKHNF >Solyc11g005480.2.1 pep chromosome:SL3.0:11:379170:381204:-1 gene:Solyc11g005480.2 transcript:Solyc11g005480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSSSHLLFTLFQLIVIQNHLFCKADPTDGFTPIPISQSNFEIQKPYDVPVNQRYSFIDGVHKFWVFKTDKPHTTSSQTKPRSEIRIKGNDYSSGVRQFEGYFYVPSGSSGVSIMQVFGASPSSTTLMLRVYDGTLYYYREKVIESNIYNRWIRLNVIHDVGASKLKVYINGILKHEPSGRGGDHHYFKFGVYTQDDESNRMESRWRGIKLFKK >Solyc01g079630.3.1 pep chromosome:SL3.0:1:78611059:78618428:1 gene:Solyc01g079630.3 transcript:Solyc01g079630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMKPRIPASISALMAGECAGICKAISGLLPESQTLNGFGRSCSSFQFQRFQSSKGLLFKVHNDDNDFSDLGPPVERAFGQLKLVTEKRDPFPKFDNGREVLSRKSHSKSMQHVKGKVLTLDEPHQSGCGNGDDASGSTYTTSDAACMDVRRSISVGNVPSTISLPQLVEAVSVFGKFCAASVRHLPDGLNCWDIQFKSLESRNRAVRAGALNLGRYRLPIQPPRASMVVTIKIEDIPDDASLSEMHSICKSVGTTEGLAWVSKDSVEALFTVENDKESESILKKLNGAIVGGHCLSASLVPSNSSSASMSENKDDRCRMALQINNYLTELKMQLEEKEMDWLELSVLKSCMEDLQMLHEEIMHLEDLPSIIDSSDN >Solyc10g045153.1.1 pep chromosome:SL3.0:10:31676893:31677511:1 gene:Solyc10g045153.1 transcript:Solyc10g045153.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIKRSKNPLKSTNGHASSSTTPVAQDLPVASTYPSQADGKKLSSILMERIIVDFDAYNSAYGDAQGLLAGYCGSLAIDCNLFLISFERWSGQSGMLEKYMEDCF >Solyc04g082060.3.1.1 pep chromosome:SL3.0:4:65939537:65944790:-1 gene:Solyc04g082060.3 transcript:Solyc04g082060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSKTMSVDHDWSELPPELLDAIVNKIIDLRDYLHFRAVCPSWRSSTPATPKNLPCQLPWLMLPKNQTNRRGFFNFVDNKVHFLNLPEASSSRRRCGSSHGWLIIVDESPSIFVINPLTKVTFDLPPVSQFPNVMNFNFYSVGREFTLRSPDGEVHARNLKEMRDSFIRKAVISSSPSRDPNFITMVILNGTGELAYCKNGEHSWKFIDDARFYDEDVIYFEGLFYAVHKSGEIAVCDVSGDSPSVSFIETPKQIGGDMQYLVKTNDDFLLVTRFLELDIDAAYHKLDVVYKTVEFCVFRLVLERPRCEWEEINSLGETMLFLGENSSLALLASDFPGCGGNRIYFTDDYCEANYDGVDGNHDLGYYNLADGSIEALSCCPRSSHSMLRWPPPIWFTPNPC >Solyc07g052790.2.1 pep chromosome:SL3.0:7:61364058:61367028:1 gene:Solyc07g052790.2 transcript:Solyc07g052790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLRWKKVLFILDDVNHREQLEFLVGGTEWFGMGSRIILTSRDKHLLISHVGDNVYEVQLLSEDEALELFSRHAFREKSPKEDFMELSREVVKHAGGLSLALKVLGSSFYRRDKKHWRHIIDRLKRIPHKDILGKLKLSFDVLDKDEKELFLDIVFLVNVWSSGYDYFFYHILRYMSRGFLLIIDHLVEKSLLSIGMSNKIVMHNMMREMGENVLREEYTNSRIWLPEEITEKVESLCIPKYYYFEDDFVNYSNIFKRMQSLKVLIVSDGTFSLNCAITYLPSSLRFIAWEGYPSISLPESFEPWQLVMLVLSKSLLVELCPISKKFSNLKHLDLRQSLELRKTPNFGDMPNLVKLNLEGCVNLEEVHPSLGHCRMLTYLSFSYCYKLKKLPKFVCMDSLETLNLIKCTSLQEFPEICGDMQCLSKLFLESPWIRSLSPSLSGLRDLQLTGCEVLESIPDTIRNVRYLRISGCNKLSTLPNSLFESQLLEHLRLYRCSGLVKLPISFGVQKNLYVLEIESCENLQKFPSFIRMESVRRLMISNSPKLDTFPEIKGDMHCLEVLTLTSTVIREVPSSIGNLSSLTSLNLSGCEDLVSLPNNLCNLMNLDNLNLRGCKRLKKLPENTHDLQELYIHDASKTAISQPHDRNILGGQLGSLRELDVSGRNISCLPKSFKGLFPLQKLNVQFCENLNELPSGELPPNLKSLFADYHLALKSIKDLVIHYLKLCTLGVSNCGTVSSEQVNVFLQHFLKTCIQSQNFRVV >Solyc01g010430.3.1 pep chromosome:SL3.0:1:5283640:5287279:1 gene:Solyc01g010430.3 transcript:Solyc01g010430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFYKAKAVRLRSYHEKYLTAEEDEETVIQDRDGASKNATWTVEFVNNAEKFNTIRLKSCYNKYLTASNQRFLLGMTGRKVLQTLPQRLDSSVEWEPIREGNQVKLRTRKGQFLRANDSIPPWRNSVTHNIPHRTSTQDWVLWDVDVVEILVHSPVPKEPPPLVYHDQEEETNWGSESTSPSSTLYSASAQSFSRQESNEFMASSLMKFGDGRLIYYHIANEYGEINDGVEGLCIPFKGNSVEELTKTLEEETGLEDITVCTKSPLNGKLYPLRLQLPPNNANMDVVVVPSGLI >Solyc03g097580.3.1 pep chromosome:SL3.0:3:61327900:61344106:-1 gene:Solyc03g097580.3 transcript:Solyc03g097580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISGHWAFAFGILGNIISFIVFLSPIPTFYKIYKKKSTEGYQSIPYVVALFSSMLWIYYALLKTNTTLLITINSFGVFIETIYVGFYLFYAPKKDRVQTIKMLMLSVVGGFGAIVLVTEFLFKGVVRGQVVGWICLIFSLCVFVAPLGIVRQVIKTKSVEYMPLLLSIFLTLSAVVWFFYGLLLKDINIAAPNVLGFIFGILQIVLYVIYNKKEKVILKEQKLPEIQKAAVIVSDDNTNVNKKLPELTQEQIIDIVRLAGLLANTDKVQVATCPHDHANCGVKATPNTNTTLLITINSFGVFIETIYVGFYLFYAPKKDRVQTIKMLMLSVVGGFGAIVLITEFLFKGVVRGQIVGWICLIFSLCVFVAPLGIVKQVIKTKSVEYMPLLLSIFLTLSAVVWFFYGLLLKDINIAIPNVLGFILGILQMVLYETLSPSWSTLLQPTFYKIYKKKSTEGFQSVPYVRQVIRTKSVEYMPFQLSFFLTLSAVMWFLYGLLRKDYNIAVS >Solyc11g045510.2.1 pep chromosome:SL3.0:11:30619951:30634950:-1 gene:Solyc11g045510.2 transcript:Solyc11g045510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSNVCIFDIGYVSSEPVEVLRKRHTISVHGYNAHKGFSDVAFCSHDDSRLLASDVYGVINIWDRRASALPCLELATNSNSPVNSIKLNADDQIIFGASKQGTIFMWDIRGGRSSAAFQNNRVLFSYDIN >Solyc02g067350.3.1 pep chromosome:SL3.0:2:38121940:38125409:1 gene:Solyc02g067350.3 transcript:Solyc02g067350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSHFLYQYLFLPLATVFAFVSLYLSPLFNSITTFFHRSNKNSGFKDDYQKIYDFPETKQQLGYESDVFKDVSEFPNSETNETEFNFTFKFPTYEQFCKSKEDKVEYVTSTFKVKSPTKTEVHETEDSKEVHGNIEAMIDEEDKKEDLSNLERDEDEINEEEKGDLCNLECDKDETEKTEELNPMKGESDTRKDQFLKELDFTDDSLFQSEKDSISTDSDTLSIGFDHVCYLMNKLVDSYSDGFLTDENFGGEFELDHEMSEENQESDADDSDSDIMEKLTKFEQDQAPRFLSEDDLFHENSYKLFGDSEDSENGDANNLETLREHQELIEQLKLELRKVRDTGLPTILEESDTLKWKDSEPWKIDEKLQREDCMSELHKFYKSYRERMRKFDILTYQKMYTIGYLQKDPLKDPFQCVSRQRHSGPKLKSLISQNIKLFKQKRHNDNTDPMIKFIKELQSDVEVIYVGQMCLSWEFLHWQYGKALSLWDSDPRGTRTYNEVAGEFQQFQVLIQRFIENEHFQGPRVQCYIKSRYDLRNLLQVPLIREDKNKGRGRDKDEYCITSDLLVEIVEESIRIFWQFIRADKNCSSMMVKGKKGIQHQELIKDLGDLELLMEVQESLGKKEKKLKDALKGECCILKRFKKKKENDSDKVLYFFSQVDMKLVTRVLNMSRLTTDQLVWCHNKISSISFLHRKIHVDPSILLFPC >Solyc04g009473.1.1 pep chromosome:SL3.0:4:2889100:2897727:1 gene:Solyc04g009473.1 transcript:Solyc04g009473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDILSDGCPRSTLQKSQHLTNEQIEKLKMFKITLDRIMLFLQLNKDYVTLAHKEKLLSVEKHIDFFLRPRQTPKPTSPVQEQLPQKDKQQTDAEPATKRAVPPSADAAATFLDVSTTAASKATVDHAIVNPPDVTASTVFDPASATSIP >Solyc01g105710.3.1 pep chromosome:SL3.0:1:93672667:93683969:-1 gene:Solyc01g105710.3 transcript:Solyc01g105710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:Q2VY16] MGVEKEVIRAGNGPKPQPGQTVTVHCTGYGKDRDLSQKFWSTKDPGQQPFSFKIGQGKVIKGWDEGVLGMQLGEVARLTCTPDYAYGAGGFPAWGIQPNSVLVFEIEVLGAQ >Solyc09g005765.1.1 pep chromosome:SL3.0:9:535831:540233:1 gene:Solyc09g005765.1 transcript:Solyc09g005765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVLQDGADGGGVPGLDLQDGAEGGIGTPFGNI >Solyc05g043420.1.1 pep chromosome:SL3.0:5:57169245:57173805:-1 gene:Solyc05g043420.1 transcript:Solyc05g043420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGKENEGEIEKLASNSLVSSAVLRKDIVDLQDFVEKLKKKEDQIVLDTDQTEKLKFLLACLQLFYYMSDGQNAEMSCISYEVHELVQSLFDQTGDDMMVKLTDHVIPRLLENITSSKIAEHHHSESSATMTEDQLVELLDVLLVNLHNLPKVYAELIFPSMSQYELLQNAFGNLRDFYALKVNGCVEYETIEHVLPQFQLIADIVGHFCFVLLSYQLDKTDGEDDNELVVSKVNSMIVYLLLKINPVLLVVMHICYTNLKTSKSEEVGRLIKKLLEASPDLLIEYLIHLQEHVINVITPSTSARNIHVMIEFLFIILYDVSKDIIHHDKLFLLLEVVEALIEEVSSLVHNLVEKSTSEDSMNATSCASLNLLENIELLKEDLTNVFLKARADSPQLCFPVSDGPIFMTFLLTNLKDLVDSNASSVALIKEEIKQVKEDLEIIRSYFGYAEQELHRDLWTRVLDVAYETEHAINSILARDHGLLQLIFVLLDIVKKIKLVRKEVQEKIPKSSGIIVANAPNKSVESKSPTVGKIIVGFEEETKWIIRKLTSGPAEIDVISIVGMPGLGKTTLAYRVYNDKSIVDHFDVCAWCTVDQECNEKKLLQKIFNQVIGLKEKFSEDDIDEDVADKLRRKLCGQRYLIVLDDMWDTATWDELTRPFPEFQKGSRVILTSRKKEVALHGKCYSDPLYLRLLKPKESWELLEKRVFGEEGCPDELKDVGEKIARKCDGLPLVLDLIGGVISRKEKKEALWLEVLNNLSSFIFKDEEEVAKVIQLSYDHLSDHVKPCLVYLASYPKDKDIMISELKDLWIAQGLVQQTEMKSAEEVVEIYVDELISSSLVIPFFKFIFKIHDLVHDFCYIKSRKEKLFDFIGGSNTPSSSSNLMPRGITIRYDQRLFHLDENFVLFNPDKKNHYVKHLLSLKVYNGIKDCLSHNSHLKHLRLLKSLDLNGITLTDSLLNEIGMLVHLKYLSIQTKANALPPSFSNLCNLEILVVNNLKGSCMVLSPCFWSLAKLQNVRMNICSFYDTYINETTVLDKDSRLENLRTLYKLYLPGLEDTEDIFQRFPNLQNLRVCIKQLPDCSAKKICFPRFDVLNELEELHLTASWDSLSEYTHRFPLKLKILKLKGLALTSDTLSRIARLTNLQALCLKDTIIEEGKEWNMEDVTFQYLKSLKLVNVSFSEWQVDGEESFPMLEMLHIRRCDTLMDIPDSFGDIASLKLINVWYNPQLKNSSFSIKEYVEEMTGEDKLVVCFNGIWS >Solyc03g111020.3.1.1 pep chromosome:SL3.0:3:63196737:63197296:-1 gene:Solyc03g111020.3 transcript:Solyc03g111020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRFGGDIEIGENLKMDEFNDLLVHIKILYQICITIHNSERKSAAQATYQLSHPCDFISYYYPTFIHLHLLMQ >Solyc04g056480.2.1 pep chromosome:SL3.0:4:54204006:54206448:1 gene:Solyc04g056480.2 transcript:Solyc04g056480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSTEIASVRSNQRATRKQLQNSRLLLRRVELWTTDRHDNQVDVETPATESKHLLPELEMFFYLLVLVLIKEIQQGEAKWLSFSLNFVTIALAKHHGII >Solyc02g084860.1.1.1 pep chromosome:SL3.0:2:48568822:48569256:-1 gene:Solyc02g084860.1 transcript:Solyc02g084860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGNSSSGSEDLQQLMDQRKRKRMISNRESARRSRMKKQTHLNELMAQVNQLKEQNNQIVSNINMVSQVYLNVEAENSVLRAQMAELSNRLQSLNEIINCINSANSTIDETEINCEDDFLNPWNLLHVNQPIMASADAFMY >Solyc01g094410.3.1.1 pep chromosome:SL3.0:1:85784997:85789865:1 gene:Solyc01g094410.3 transcript:Solyc01g094410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKLGVEVVGAHNLLSKDGQGSSSPFVELHFDGQKFRTTIKEKDLDPAWNETFYFNVSDPNDLSSLTLEALVFNNNKSSQSKSSLGKVKINGSSFVPYSDAVVLHYPLEKAGVFSRARGELGLKVFITDDPSVRVSNSFPATDSSSHIGSLSSLNDEPTQRVPGFISEPVANGKKGTRRTFHHLPNVKHQQQEPYSSFAESSQPIRFGPDQMKSTSQGPKVVRMYSGSSSQPAEYSLKETSPVLGGGRVVGGRVVRGGRKSSTYDLVEPMQFLFVRVVKAQDLPSKDITGSLDPYVEVRVGNYKGVTQHFEKNQSPEWNTVFAFSKERMQSSVLDVVVKDKDMLKDDFVGIVRVDLHDVPTRVAPDSPLAPEWYRLENKKGEKKKGELMLAVWIGTQADEAFPDAFHTDVASPIDMSVPSTQIRGKVYHSPRLWYVRVNVIEAQDLVVSEKNRIPDVFVKVRIGSQLLRTKPIRSQTMNAMWNEDLMFVAAEPFEEHLILSVEDHVASNKDEALGVVIIPLSTVEKRADDRFVRSRWYNLQEPGSAEIEEPKKKEKFSSRIHLRVTLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGILELGILNVDGLHPSKTRDGRGTTDTYCVAKYGHKWVRTRTVIDSLNPKFNEQYTWEVYDPATVLTVGVFDNGQLEEKGSNGKRDMRIGKVRIRVSTLETGRVYTHSYPLLILHPSGVKKMGELHLAIRFSCASMVNMMFLYSRPLLPKMHYVKPLSVTQQDMLRYQAVNIVAARLSRAEPPLRKEVVEYMSDADAHLWSMRRSKANFFRLMSVFSGLFSVGKWFGDVCMWKNPITTSLVHVLFLMLVCFPELILPTVFLYMCLIGLWNYQYRPRYPPHMNTRISHADLTHPDELDEEFDTFPTSRSSDLVRMRYDRLRSLAGRIQTVVGDVATQGERILALLSWRDPRATVLFIIFCLLAAIVLYSTPFQLFAGLFGFYAMRHPRFRHKLPSAPLNFFRRLPAQTDSML >Solyc09g014490.3.1 pep chromosome:SL3.0:9:6111473:6116276:-1 gene:Solyc09g014490.3 transcript:Solyc09g014490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSVQCRPQERTLGMVMKEVDEDLAMFLEMRRSEKEMNDQLAKCDSEELNQQLGSEVDFSLLSNGTLTKPANQDYLLNSESDKNDYDWLLSPPQTPSNSLPEVEEQNIPVFQIVTNSSSTGQDCKIKVDSSTVAPEPKISIEQDIVQPANSLEGLHSTGEPDMSRSKSSSAGNGRPSSSGGKKATSTRSSTPTGRPRSSSSKPSRASTPTSRATLPSAKPVASTARSSTPTRAAPRSSTPNGRPSKPAASKSASRSATPTRRPSAASSIPIVSVSGSRSSSSTKTGSATLNKTVPSRGTSPTVKSRPLKPLETPSLSRDSSVISKTVVPKRPASASRGRPTAPGARHSTANGKPRRKSSSPSRGRATTAAILSNATALLSKSRGYGIEKDDVNPVLIGTQMVERVVNMRKLAPPKQDDNVSHENSSKKSLSRENSGFGRSFSKKSLDMALRHMDIRRSVNGTLRPVLTRVSASSANGVRSSSTKNKTGSVSDSPLATSSNASSEPSMNNSSNNINWSEPEDDNFGCERELSSPR >Solyc01g060415.1.1 pep chromosome:SL3.0:1:70829321:70834600:1 gene:Solyc01g060415.1 transcript:Solyc01g060415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEKQGSKSGGYVGGFLQLFDWNAKSRKKLFSSKSDIPELSKQKKRCDGNLPMTRVHLNNEDDTTAVSSIKGSSDYSCASSVTDEEYYGIKPAGVVARLMGLDCLPSSTLSEPYSTPFFDSQSLRSAPSLSRNLEYQQNFQTVYSSNLHEKIEDLGRSSFEPKQQKIISRPIEKFQTEILPPKSAKSIPATHYKALSPIKRANSIPPQNAAHIMETAARILDAGPQATSKVKSPLIRSSSVPLKYKDLIGRAEASQKVAKIAEASRRPAESNASKYLKGQPMNKSWSGSADIARQKDFSDSDDSFGGGKTKGKSVSLALQAKVNVQKREGLNAGSSRSILVQKESPSKGISNQLFTSQPSTEKNTHKKSSVHNSSSVLRQNNQKQNSIADRGKSPSKQFLSNSQGKRTLSGDSSFARQRSSGKMAENSKVSSRRLSREADNKKEEAYSCTKSVSRKKRPSDGDIQYEKNQATGSMSTHKSGKLIQSGTFMDREISWGENSKGKGTDIISFSFTTPLARSVPTAEPPREVLGKSNEFSTDFRSNNMQLTSDCMNNLKAPLGHHNLSGGDALSTLLDQKLRELSSVVESSRQKTSNSSSSIFEDLSPSLNGLSKTTMLHVNRNHDDMEVDDLVSPCNPGFSSTVPLGITGQHKHQVCIIGFAKFCFFLVTVSFQVVEEELSGYGSSEYECRKLFGSRFLSPISVLEQSFLTESCNSSDTAESNNTGACKQSSSVQAKEVFGICSWNKFQSMEPEVDLLDSASSTFGKEEERKSPNWELEYVKEIVYNIESMFMDFTMGRCQKIINPHLFDQLERINIHRHDELKQRRKVVFDCVGECLDLRCKQFVEGGYDSWSKGVLVVKNKKRLAEEVYREISGWSGMGNYMVDELVDKDMSSGFGRWMNFEVEAFELGIQIEKRLLNSLIDEVVADILLL >Solyc04g007850.3.1 pep chromosome:SL3.0:4:1515420:1523006:-1 gene:Solyc04g007850.3 transcript:Solyc04g007850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRKPARHCRPARCLPLSFSPFARSMARIASNNKVAHKVQNPRFPGNNLPTSSGKRMENHELIDKSEDSGSSDDEEFDGTVQADFEFFDPKPSDFHGVKILLQTYLDDKQWDLSGFVDVILGQPTVGTVIKIENDEDDGIYSIVTALNLGRYKDLECIADLKEFLLKACCQKDVFSKLSLFLGDQAKDVGLIVSQRVLNLPPQLLPPLYDALFDEVSWATEDEHKNADKQNGPSGDQSIVYIKAEDEIFHEMVLYKTKKIQAGKGNRLLISITVVGSAGPIRFVVNEKELVADVIDIALKNYAREERLPVLGSNFNNFVLYCPTAVTEDLSPWETIGSLGVRNFVLVKKPQSENPILNGKQQRILDGKQVVMARKGSGSWKAWFNKSLSRKIVSY >Solyc01g088420.3.1 pep chromosome:SL3.0:1:83092932:83093571:1 gene:Solyc01g088420.3 transcript:Solyc01g088420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKPGILTNCPWTRFGDFKYLVLAPFACHSIYTFFMSKDESERDLFYILLLPLTLFRMVHYQTWISFSRYRTANGNNRILDKSIEFDQVDRESNW >Solyc01g080990.3.1 pep chromosome:SL3.0:1:80159690:80170505:1 gene:Solyc01g080990.3 transcript:Solyc01g080990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKKILLHMNAGNGECSYASSSTLQRKIIQEAMPVLEDAIKKIGEKSCLNMADLGCSSGPNTLFTISNIIKIVQILCDEKRCKMPEFQVYLNDLPDNDFNNIFKSIPSFYQNHTNCFVSGVPGSFYERLFPSNSLHLVHSSYSLHWLSQVAPENYMENNNNIYITRTSPPHVVEAYMKQFDKDFSRFLQLRSEEIVSGGRMVLTFMGSTIPDPYGSHYALLELLSNSLIDLIHEGLVEQAKLDSFSLPFYAPNKDEVEKIVEMEGSFVVDTINFFKVKWDERDNDDDHICFDAYSSGKHIARNTRAVFEQMLVSHFQFGDSVVDYLFERYAYHLTCNLLVQKGNYFNIRKVIEVAKPVLEDAIKKMFSIIGEFPKSCLNMADLGCSSGPNTLFTLSNIINIVQVLCGEKSCKMPEFQAYLNDLPDNDFNTIFKSIPSFYQNHTNCFVSGVPGTFYERLFPSKSLHLVHSSYSLHWLSQAPEKIENNNNIYITRTSPPQVFEAYMKQFDNDFSRFLQVRSEEIVTGGYMVLTFIGRGIPDPYGNHSVHLDLLSKSFVDLIHEGLIEQAKLDSFNYPFYTPYKDEVEKIVQMEGSFDVDTIKFFKVNWDERDNDDDDAYSSGKHIARTMRAVSEQMLVSHFQFGDHIVDYLFERYAYHLACHLLVQKGKFSNIVISLRKK >Solyc02g083830.2.1 pep chromosome:SL3.0:2:47645886:47647787:-1 gene:Solyc02g083830.2 transcript:Solyc02g083830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMEGKERVILTIIMMLWWGAGNCTAFDSTVAQDGSGDYKTITEAVIAAPKMSTKTYFIHVKKGTYNENVTIPNEKPNIALVGDGMGVTIISANKNSKQYRTPYTATLEVYGSGFIGISLTIRNTAGPEGGQAAALTIAPFKGFTSFYQCSFLGYQDTIFSSDTSFFRECLIFGTIDFITGSGRAVFQNCRLKARPPIHGQGVRILAPGEGSITSNPGLVLQNCNISTTRQFNKTEIESFLGWPWKNGGKAVIMSSYISDFIDPRGWSENPQVTQTYLAEYNNRGPGSDTS >Solyc08g005390.1.1.1 pep chromosome:SL3.0:8:288236:290029:-1 gene:Solyc08g005390.1 transcript:Solyc08g005390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQTGMISHIFPISRLISFCALDVNGDINYANALFSEISEPNVYIWNTMIRGFVKKQFLEMSFCLFRRMVREKVEMDKRSYVFVLKGCGVLKGVGVHCRIWKVGFLGDLIVRNGLVHFYGGSGKIVDAQKVFDESPVRDVVTWTSLIDGYVKMKMVDEALRLFDLMCSSGVEFNDVTLITVFSACSLKGDMNLGKLVHELVENRGVECSLNLMNAILDMYVKCGCLPMAKEMFDKMEIKDVFSWTSMIHGYARNGEVDLAKKCFSVMPERNVVSWNAMIACYSQNNRPWEALELFHEMEKRGLVPMESTLVSVLSACAQSGSLDFGRRIHDYYIKQKQVKFSVILANALIDMYGKCGNMDAAGELFHEMPERDLVSWNSVIVGCASHGLAQKAVTLFEQMKCSGLKPDSITFVGVLSACAHGGLVNQGWEYFRCMELNGLIPGVEHYACMADLLGRSGHLKEAFEFTKQMPVEPDKAVWGALLNGCRMHGNVELAKVAAEKLIELDPQDSGIYVLLASLCANERKWADVRMVRSLMRAKGVKKNPGHSLIEVDGNFYEFVAADDSHHESQAIHKILDEIILLSKLEEYVSDAQPEQT >Solyc07g007950.1.1.1 pep chromosome:SL3.0:7:2646200:2646868:1 gene:Solyc07g007950.1 transcript:Solyc07g007950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTISLLFLAFLFILGLITTLFNFPTKKFQSWIFSLSVKPQTLTPTTPFVNKDSIFVEKKSSKVELSGVFATFDKNNDGYITKQELKQSLKNIGIFMEDGDILEMVEKVDFNKDGLIDIDEFYELCHSFLGIEKVVSEEEEEGGVEEGDLKDAFDVFDYDKDGLISEEELSKVLSSLGLNQGKRLDDCKEMIRNVDVDGDGMVNFDEFKKMMRNGGRLIPIP >Solyc02g092640.3.1 pep chromosome:SL3.0:2:54297493:54303945:1 gene:Solyc02g092640.3 transcript:Solyc02g092640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKARVYTDINVLRPREYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSREKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNQDQLVKIAKVLGTDELNAYLHKYQLELDPQLEAMVGRHSRKPWSKFINADNQHLVSPEAIDYLDKLLRYDHQTRLTAKEAMEISEDEWVKRRNLTSPSIFRASEGC >Solyc11g068400.2.1 pep chromosome:SL3.0:11:53434668:53438353:-1 gene:Solyc11g068400.2 transcript:Solyc11g068400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAARRSGGGVLEGFYRLVMRRTPVYVTFVIAGALLGERAVDYGVKTLWEKNNVGKRYEDISVLGQRPVDE >Solyc12g040795.1.1 pep chromosome:SL3.0:12:56238932:56239323:-1 gene:Solyc12g040795.1 transcript:Solyc12g040795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQGQGKLGLGPLLNFGNDQELIPMRIVNEWRTCMEYRELNNATRKDHYLIPFVDQMLDRLAGYNQILIAPEDQEKTTFTCPYGTYDFKHMPFEFCNAPVAFLRCMMAIFHDMVEDFLE >Solyc04g056310.3.1 pep chromosome:SL3.0:4:53976691:53978900:1 gene:Solyc04g056310.3 transcript:Solyc04g056310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPFCDEIGLKKGPWTPEEDQKLINHIKKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHAILGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGYDPMTHRPRTDIFNSLPHLIALAKLKELVEHHHHSSWEGSQQAASTIAMRSLQSEVATLQYLNFLLLPQNILPTTNVNIPEIEGYNNLLNSINNSLNNINIPPPNNSTSLQDSIHFSHLPELQDMINTTTTTSPWQLPPTSSSSPAIIATNDQSNSSINHTAEGDNTNCTPPFFGGANPNSDWPDILLEDSFFHDIP >Solyc08g014230.3.1 pep chromosome:SL3.0:8:3942115:3949835:-1 gene:Solyc08g014230.3 transcript:Solyc08g014230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Isopropylmalate synthase [Source:UniProtKB/TrEMBL;Acc:K4CJ56] MTSLCANYSFGNYISLHSKNNSVPPIKRNFQSSYDIRCSNIQRGKLSDPNYVTIFDTTLRDGEQAPGASMTAKQKMKIACQLAKLGVDVIEVGFPAASHAEFDLVKLVAQKIGNNIDEEGYVPMICGLARSTKEDIDRAWESLKYAKTPMIHMFIATSDMHMKYKLNMSREEVVERARSMVAYATSLGFEHVRFSLEDATRSDKEFVYHIIEEVIKAGATCICVADTVGCNLPNEFAQLIVDIKANTLGIQNVVLAVHCHNDLGLATANTLAGICAGVRQVDVTINGIGERAGNASLEEIVMTIKYRGGEVLGGVYTGINTKYIFTTSNMVEEYSGLKLQPNKAIVGANAFSHESGIHQDGMLKNRGTYEFISAEDVGFIRATKHGIKLGKLSGRHALKNKMLELGYSFEEKQLGDLFWRFKSLAEGKKNITDDDLRALILDETI >Solyc09g020157.1.1.1 pep chromosome:SL3.0:9:18848397:18848975:-1 gene:Solyc09g020157.1 transcript:Solyc09g020157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLAILVMHSGKWDDTNCYVDYTIEGVVFKEQSTFLDFYTTIAKQIGVDMNNKTLKIEYKVEESNKRMVIHNDMGVRVYVMLKKANIDFNKFPICITILDSCDRQISQCKEMGVLATVAENDCHDMIVVEPEDTNVAFLSIDITWVISDESNKHVEVDQVYKNKSILKAVMERYAIKERFQYKTTRSNSIR >Solyc03g025180.2.1 pep chromosome:SL3.0:3:2653367:2653959:1 gene:Solyc03g025180.2 transcript:Solyc03g025180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISWVVLWSLVYLQVRCTGSSLNFQRSLDMGPDKDTVNHARYCRAWTPRDGKKGKETKNLE >Solyc10g044995.1.1 pep chromosome:SL3.0:10:29512391:29513148:1 gene:Solyc10g044995.1 transcript:Solyc10g044995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTVRSVLSLAAFKNLKLWKLDVKNAIIYREVHQEFQMEKPQESSLNNSPIITYVRLSVFFVTKWIKPAKGTVYVKEDMLRDPRTPHLEEAKRILGYIKGSID >Solyc09g015485.1.1 pep chromosome:SL3.0:9:9174192:9174823:-1 gene:Solyc09g015485.1 transcript:Solyc09g015485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCETLVAEFKSFMMKEFETSELGVLQYFLGLRVKRVEAGFFVSQKKYEKDLLFKFGSGAISRSSKKQDMVALSSSEAEYVAVTSAAFQAFWL >Solyc03g120450.3.1 pep chromosome:SL3.0:3:70318105:70324178:-1 gene:Solyc03g120450.3 transcript:Solyc03g120450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFGMLARRAVLTETPVMVQGVVYWQPPAQALEKVKEAIWEPSVSRYGADEGLPELREALMQKLGRENNLHKSSVMVTAGANQAAFLSRLSSSFLELEWIEIDSTPPSYQAFVNVVLTLCDAGDSVVMFAPYYFNAHMSFQMTGVTDILVGPGDAKTLHPDADWLESTLKNTVPTPKLVTVVNPGNPSGTYIPESLLKRISDICKEAGCWLVIDNTYEYFMYDDRKHVCIEANHIVNIFSFSKAYGMMGWRVGYIAYPSEVEGLAVQLLKVQDNIPICASIISQRLALYSMEMGPEWVANQVKDLVKNREVLQEALSPLGEGAVKGGEAAIYLWAKLPDKYMDDFKVVHWLAKRHGVVLIPGSSSGCPGYLRIAFGGLIEKDCRVAAERLRKGLEELVNFGMVS >Solyc10g047110.2.1 pep chromosome:SL3.0:10:39780497:39791791:-1 gene:Solyc10g047110.2 transcript:Solyc10g047110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYLCVFYHRLLDYRKPEVESLADLFSKDVTDLQCNGSLEWKLPKHHHPDSPFHFVNLPSEEIARSVANRSILVKGIFELWGEGSNFEELEESIRSYPDEKKLPYLTSDSTFKIAVETFGKVISFEEQTERIRSLSYIPFKGRVNLKNPYHTFWLIETDDYDSNNGLPPISGKRIFFGREIGTADRKLLPTYQLKSRTYLGPTAMDAEMAFLMANQAQVKSGKLVYDPFVGTGSILVAAAHYGAVTMGADIDIRVVRDGRGPDCNVWSNFKQYGLPMPIGLLRADNNLPPWRSGLNEKLVNCLLTVYIIFRVDGLEFKSSFDLIRIFDAIICDPPYGVRAGGRKSGGRKLLKGMIGPYTVPDDKRTGHIPSTAPYSLVECVHDLLDLAAKMLVIGGRLVYFYPVLREDESIETTFPEHPCFKLVAISEQLLSYRYSRVLLTMVKIGPYTEEIALAARIKHLEFKENHLKWLEEGNLHSAVFSPADNHLNGSGDTKTAKDSKARMTMMLFMIILILSNQIGISHGQLKVGFYDKKCPNVESIECDASILIENGPNAERHAFGHEGVGGFEVIEKAKAEVEAVCPRLISCADIVAIAARDAIFLVNGPFYNVETGRRDGLVSNVSLADNMPDVDDSIQQLKHKFFQKGLSHKDLVLLSAAHTIGTTACFFMTKRLYNFSPYKGSDPLINPTFLHELKSTCPQNGDVNVRLSMDRGSGETFDSQILQNIRSGFAVLQSDANLYNDETTRRVVDSYFAFLSPFLGTSFQADFANAMVKMGRIGVLTGSQGTIRRVCSDF >Solyc02g049106.1.1 pep chromosome:SL3.0:2:3958213:3958689:-1 gene:Solyc02g049106.1 transcript:Solyc02g049106.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSVTCHHSPWTAHTVGQRRAWHIIIALGKHTWSNVIGRRSLPLPLDITYGQTTSGDVTHGWTTSRMTCHYSPWITHKVGRLRALHAIITLGQQTLLVDVGRDMPSLPLGSTHG >Solyc01g106290.3.1.1 pep chromosome:SL3.0:1:94122326:94122870:1 gene:Solyc01g106290.3 transcript:Solyc01g106290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CIGGVTFFTNIKGTPYPYTPLPHVYLALLEPSIITITNLQIQIQFLFFTYFFSLQIPKINFSFFFFVFSDEGIFQQKAF >Solyc07g047730.2.1 pep chromosome:SL3.0:7:59065073:59065774:-1 gene:Solyc07g047730.2 transcript:Solyc07g047730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSGRTACAVSFVQRLQLHPRIFARFERAMNDYSTHKLDIAHLVSELETLFQFYPDFLTGYRIFLPPHLRATLPSPPPQSPKKKGPPIIVLKPFIEFMNKLQKRFANERGVIVQYLETLRKLKQETELLEKRRRRRLRWKMR >Solyc11g007485.1.1 pep chromosome:SL3.0:11:1761447:1763937:1 gene:Solyc11g007485.1 transcript:Solyc11g007485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIVLLTDSFLDGEQVYQSRTQILEFPVTSIFFTLRAKEHFDSKVRQQWKSSYRKSFLDEKSTGKNTRRGGNREQDVELHICRIKDLLCRINWMFSYAKKDIYERVIEKGYRGKGK >Solyc06g051835.1.1 pep chromosome:SL3.0:6:35620283:35622276:-1 gene:Solyc06g051835.1 transcript:Solyc06g051835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVADQSRISMADSIDNTPKTWKFEQEVCRRALVEMIILDELPFSFVEKEGFKKFMSKVQPLFHIPSRRTITRDCYEVYVIKVSIWLESISNCLLDWKLDNVFTVTVDNASSNDVAVLELSKKLDMWGTNLMEGNHLHVRCMAHILNLIMQDGLKEIGPSIKKVRHMVKYVRSSFSRTRNFLKCVEMQKIECDKMLSLDVPIRWNSTYLMLDTAEKFEKAFERFYLYDGNFNSFLATDVCEDGSIAGSIQYEDWANVRNVTKITWGRNRKRSEYESRGLLEGFVCDIYKYEKGSKSQPSSSDSSNSSTSGISQNMSKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLKDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLTIPMSSVASECAFSTGGRILDSFRSLLTPKCVQCLICVKDWL >Solyc06g043354.1.1 pep chromosome:SL3.0:6:28885844:28886456:-1 gene:Solyc06g043354.1 transcript:Solyc06g043354.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIINKKIKGQCSSKNLQGLLTLNSPSKMIILRSFKGETFEVDEAVVLEPIPVPNITSQILAKVIEYYKCQVEIPKAKDKTTKEDLRIFDA >Solyc07g032445.1.1 pep chromosome:SL3.0:7:39126384:39126707:-1 gene:Solyc07g032445.1 transcript:Solyc07g032445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEYLWMESNMQYFTDKSIRLLGKNQYTANVESR >Solyc05g005100.3.1 pep chromosome:SL3.0:5:106361:110785:-1 gene:Solyc05g005100.3 transcript:Solyc05g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLTKLPFSDSSPRRKVVVSGFGLGVAASLTFVCILLLKYSSKSPFLIPVFSYSDNNNNNNSNSTLISWPFAFSNASVSLSSKASHSGNFSTNKIDESGIFSNFGEILGNPHVSEKVKNESFLVQESGNGTVLSIQDSTNYNVTSTYIEDVVGLSGGDRKKVAVGEGKGSLPVEKNAVLFKSDGESLIRRHRDFYGDCDVYDGEWVRDDTKPYYPPGSCPMIDRDFDCHLNKRPDDEYIKWRWQPYACDIPSLNATDFLERLRGQKLVFVGDSLNRNMWESLVCILRHSIRDKRRVYEISGRHEFKKKGFYAFRFEDYNCSVDFVSSPFLVRQSTFKGKNGSFETLRLDLMDKTTSMYSDADIVIFNTGHWWTHEKTSRGEDYYQEGNHVHGRLKVLEAYKRAISTWARWVDQNFDGSRSQVIFRGYSVTHFRGGPWNSGGQCHKEREPIFNKSYLAKYPSKMKVLHHVLHNMTTPVIYMNISRLTDYRKDGHPSIYRKEYKRVEEAIHSQDCSHWCLPGVPDTWNELLYASLLKTRKGSWNN >Solyc11g017430.2.1 pep chromosome:SL3.0:11:8356599:8361458:1 gene:Solyc11g017430.2 transcript:Solyc11g017430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKSFATPVLTFNSASCFSSPGCESISTTANTLVGYVNCSSSITFNVTRGSWTSNYPFKKWTLHSTATDNIVLTEEEKKTWNDSRQALSALKFSPEEEDKILGKAFGHIHSPYWYEERKKEVPRLEVVNEILDYLRSLSLTDDDLTKLVKKFPEVLGCSLEDEMKTNVEILEKQWGIEGKTLRNLLLRNPKVLGYNVDCKGDCVAKCTRCWARF >Solyc11g043170.2.1 pep chromosome:SL3.0:11:33574426:33575147:-1 gene:Solyc11g043170.2 transcript:Solyc11g043170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSVPVPVPVPSSTNTAPLGHSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGSDICTRRPLVLQLIQNKRKPDGTEDEYGEFLHLSGKRFYDFNEIRREIQADSGIVLL >Solyc01g009940.1.1 pep chromosome:SL3.0:1:4477861:4480999:1 gene:Solyc01g009940.1 transcript:Solyc01g009940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMASAVEVLGLRACLAESIMDYGEGLPASWAARTTDECIKLQKDLFIKHHNTADGRIKVWLGIRKIMNSTDRLLTETRDTAKELETGIHMHIAEIPYENQLIIETRGVDNGTVTHLEKIKFLQNNLLAAHTVWVNDKEVDCLTKAGVKVSHCPAASMRMLGFAPIKEMLRAGVCVSLGTDGAPSNNRMSIVDEMYLASLINKGREVFSTGTTDPTALPAETILRMATINGAESVLWDKEIGSLKVGKKADIVVVNPSSWSMMPIHDWYAIILTHCGETLLSRDSSFSIYVLFRDMHLVLSIF >Solyc11g021120.1.1.1 pep chromosome:SL3.0:11:13389409:13389642:-1 gene:Solyc11g021120.1 transcript:Solyc11g021120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYHVQNENFILDSTRIFVKAFHLLLSDGSLIFPECILIFGLILLLMIDSTSYQKDIPWLYFISSISLVMSITALLF >Solyc01g007940.3.1 pep chromosome:SL3.0:1:2058422:2064704:-1 gene:Solyc01g007940.3 transcript:Solyc01g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKPLDYENLNENVKKCQYAVRGELYLRASQLQKEGKKIIFTNVGNPHALGQKPLTFPRQVIALCQAPFLLDDPNVGQLFPADAIAKAKHYLSLNSGGLGAYSDSRGIPGVRKEIADFIERRDGYPSDPELIFLTDGASKGIMQILHTIIRGPNDGVLVPVPQYPLYSATISLYGGSLVPYYLEETADWGLDINDLRQSIEQARQNGITVRAMVIINPGNPTGQCLSEQNLRQIIQFCYEENLVLLGDEVYQQNIYQDEHPFISARKVLFDMGPPVSKELQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKSVEEIYKVASISLSPNVPAQIFLGLLANPPKPGDISYEQFARESKGILESLRRRAHIMTDGFNSCKNVVCNFTEGESFLMFSYSIRRAMYSFPQIQLPPRAIDAAKKLEKAPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPSIMASFKKFNDEFMARYE >Solyc11g045420.2.1.1 pep chromosome:SL3.0:11:30835031:30835108:1 gene:Solyc11g045420.2 transcript:Solyc11g045420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSHIFIILHSWFFIDKMGGSLTS >Solyc02g021400.2.1.1 pep chromosome:SL3.0:2:23095711:23097355:1 gene:Solyc02g021400.2 transcript:Solyc02g021400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTKHAIVIKIMGRTGSRGQVTQVRVKFLDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >Solyc03g111690.3.1 pep chromosome:SL3.0:3:63827404:63832904:1 gene:Solyc03g111690.3 transcript:Solyc03g111690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTGTESDRNKEFIRIKIIAYGNTHVSINGSRRNLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGKIYVVTDSGDDNAVTPKPGTLRHAVIQTEPLWIIFARDMVIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGIHIHDCKQGGNAMVRSSPSHYGWRTVSDGDGVSIFGGSHVWVDHCSLSNCKDGLIDAIMGSTAITISNNYMTHHDKVMLLGHSDTYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRFLAPDIRFSKEVTKHEDAPESEWKNWNWRTDGDLMLNGAFFTRSGVRTGSSSYAKASSLSARPSSLVANLVSSSGALNCKKGSRC >Solyc02g091940.3.1 pep chromosome:SL3.0:2:53799648:53801146:-1 gene:Solyc02g091940.3 transcript:Solyc02g091940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESFRVRVDKVFGSLTETSNLSSLWCLTDDEIEKREWNRDTPSRELVDFDSKPCPPHIDGFFSKSPQTLDFPKQLQSDLEQLGDGQEPDDDNELDIRSGIGLDCTLDYEEEEDEFDKVAVDTEKEKQQPSDRLYTREVSDYGISADTDHELPLTLHDIRRDPRANHEAAKLRLKEDAEAALREGHLGSKPPTAYKTLGQDDAHSPKKLKLKEDYRLVPNSSIPDYVRNPSKYTCYTLDVSDDMNEESNRKAYMDFLSIIKKGSQLDNDSSTNFEKSPTFNLKMKQPAGTFTKQGVKSEQMQVKKIVPLSIVSMESDNAAMEEDESSIAADRARHGSLHKPGKCYRTRTTMMDTDD >Solyc08g082120.2.1 pep chromosome:SL3.0:8:65126705:65128309:1 gene:Solyc08g082120.2 transcript:Solyc08g082120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQCQKPVAQPTVCQKTTNTVTCHKANEHHLADKMKQMTTKMLHHDNHGQQSTACHGAKTQHSACHGSTAMHGNHAKGHNTACHDAKTQHSAGHGSTVGHGNHAKGHNTACHGTKTQHSTCHGSTATHGNHAKGQITACHGTKTQHSTGHGSTAMHGGYANHGQHTASHGSTAVHGSHGSHNQQTVCHGSKKEGGIMHKIGSQLKTIGKKKNKDGHCRDGSDSSDSSSSSDDESDNENCGRKKKGSC >Solyc11g063440.2.1 pep chromosome:SL3.0:11:49893520:49893934:-1 gene:Solyc11g063440.2 transcript:Solyc11g063440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRVKRGYIARRRRTKIILFASSFRGAHSRLTKTITQQKIRALVSAHRDRDRKKRDFHRLWITRINAVIRERGVSYSYNILIHDLYSCFSTMISNEIIKEVDWKESIILMLE >Solyc01g106400.3.1 pep chromosome:SL3.0:1:94203550:94209380:1 gene:Solyc01g106400.3 transcript:Solyc01g106400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptide-methionine (R)-S-oxide reductase [Source:UniProtKB/TrEMBL;Acc:K4B2K8] MDSQILKFWPIIPSKNLIFNSKKAVPIRGLSNTRFRVAAAGSVQKSEEEWRAILSPDQFRILRQKGTETQGSGEYNKFFGVGTYLCAGCGTPLYRSATKFNSPCGWPSFYEGLPGAINRNPDPDGIRMEITCAACGGHLGHVFKGEWFRTPTNERHCVNSISLKFKPPQFS >Solyc11g012650.2.1 pep chromosome:SL3.0:11:5425007:5426386:-1 gene:Solyc11g012650.2 transcript:Solyc11g012650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKSFFIFSVVLLLVSFSVIHINHANGADFINYIVNPARKLLDLPENRIGEGTCSNNFIQVNQGPSASLPSGIPTYTVIVLNACYSGSCSISNIHLSCGWFSSARLINPRIFRRLNYNDCLVNDGQPLAAGESLTFSYANTYPYKLAVSSIVC >Solyc05g041693.1.1 pep chromosome:SL3.0:5:54178949:54179410:-1 gene:Solyc05g041693.1 transcript:Solyc05g041693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYGKKLGKRVSQGSETWLKCYADFSRVFLPGTSIDLFL >Solyc11g066440.2.1 pep chromosome:SL3.0:11:52494835:52499187:-1 gene:Solyc11g066440.2 transcript:Solyc11g066440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLLLPTPQILSISSSLQSSLPFKPHNFLQITRKKNTLISSPLRVAAPPTTTTATEEEEKLDSKSSDIEDTENDEQDSSSKFSWRDHWYPVSLVEDLDPSLPTPFQLLNRDIVIWFDKSGSQWVALDDKCPHRLAPLSEGRLDENGDLQCSYHGWSFNGCGSCTRIPQAASQGPEAKAFQSPRACATRFPTMVSQGLLFVWPDENGWERAQATKPPMLPEDFDKPEFATVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKMEASGPWGFAGANNDKPKITAKFVAPCYSMNKIEIDTKLPIVGDQKWVIWICSFNVPMAPGKTRSIVCSARNFFQFTVPGPAWWQVFPRWQEHWTSNKVYDGDMIVLQGQEKVFLSKSKENGTDVNKEYTKLTFTPTQADRFVLAFRNWLRRHGNSQPEWFGSTDNQPLPSTVLSKRQMMDRFEQHTLKCSSCKKAYYTFEKLQKLLIGSVVVCCASAGIPADVNLRIILGSLAIISAGLAYILHELQKNFIFVDYVHAEID >Solyc11g067140.2.1 pep chromosome:SL3.0:11:53143971:53182191:1 gene:Solyc11g067140.2 transcript:Solyc11g067140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAAENSRRWDRRDSRFSKKQKLTLNSEEQLESKLGFDVFTEGDKRLGWLLTLASSSWEDQETRKVYSCVDLYFVCQDGSTFKAKYKFRPYFYVATKDKMEMDVDSYLRRRYESQIADIEVLEKEDLDLKNHLSGLHRSYLKISFDTVQQLMDVKRDLTHIVERNQTKFDTIEAYESILTGKSKQRSQDFIDYITDLREYDVPYHVRFAIDIDVRCGQWYDVSVSSSGVVLERRTDLLQRAEVHVCAFDIETTKLPLKFPDAEYDSIMMISYMVDGQGYLIINREYVGEDIEDIEYTPKPEYEGHFKVTNVKNEEGLLRHWFAHMQVAKPGIYVTYNGDFFDWPFVETRATHYGLSLKDELGFSFDKNQGECRAKYACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVSTYYLYMTYVHPFIFSLATIIPMPPDEVLRKGSGTLCEMLLMVQAYKANVICPNKHQSDPEKFYGSQLLDSETYIGGHVECLESGVFRSDIPTSFKLDPSAYELLISNLDRDLQYSIVVEGKMDLQSVTNYDEVKNAIMEKLMSLRDDPLREECPLIYHLDVAAMYPNIILTNRLQPPSIVSDEICTACDFNRPGKKCLRKLEWVWRGEIYMAKRSDYYHIKRQLESELVEVGDGQRSKSFLDLPKAEQQVKLKDRLKKYCQKAYKRVLEKPVTEVREAGICMRENPFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAQDMVVVYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVEKIGKPLELDTDGIWCALPGSFPENYTFKTKDPNKKLTISYPCVMLNVDVARNNTNDQYQTLKDPINKTYTIHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVADRWLDLLDNQGQDIADSELLGYISESSTMSKSLADYGEQKSCAVTTAKRLADFLGDAMVKEKGLLCQYIVACEPKGTPVSERAIPVAIFETNAEIMRYYVKKWCKISSEIGIRSIIDWSYYKQRLSSAIQKIITIPAAMQKVSNPVPRVVHPDWLHKKVREKEDKFRQRKLNDIFSSVNKDDTVASKHSKNQQNFEDLEDFRLSGKSSIFGPRPVVHRHGVNKEHLVNTSDRLDSQQQNGQASSPSKMLPSQEIADVEDIDRNVDYHGWLQQKKRKWKEIREERKRQRLDTSSTVNHVNGSTDMFHSMANRKRQGKTGVNSYFERHELAFTRNHWQIVQLEPTSQHGQFFAWVVVEGVMHKISVTIPRVFYLNSKAPITEEFPGRRVNKILPHGRHSYNLIEVTIDEDQFKSESKKLAAHLADPEVEGIYEAKVPLEFSAILQMGCVCKVDKATKKRNPQDGWSLSELHMRTTTECPYLEQSIAFFYLYHSVSDVRAIFVGHFPASKMIHVVVVNPFQNKELSQNVLERHFHEACRTLSGQPITQKEGISFKLDYVGYIKDAERILQRAVNEHRHHGPAVAMIECPNAHLLKSGIRALEDFPCISIPCNARDSQYQALGWQNVAAKIGMQRCATSSQWLNERISLSRYAHVPIGNFDVDWLMHTADIFFSRALRDQQQVLWISDNGIPDLGGINDEVSSFMDEVNQPVLTYPGAYRKVTVELKIHHLAVDALLKSNQVNEMEGGTLFGLDQDLNPTANFTNEQYFFDATTSCAPAFRVLKQLIQRCLTDAVTSGNIFADAMLQHLYRWLCSPRSRLHDPALHSMLHKVMQKMFALLVAELRKLGAAIVFADFSKIIIDTGKSDIFAAKAYCDNVIKNVQSRELFEWIELEPLQFWHSLLFMDQYNYGGIQARHSDEPLEVNSEPGEESVCRESQVDIVSSWNIAENLPKATQDHFILIVSEFMYGPWKYAQEQATHRASTSDGDLCTPSITAAHAETFDLQMAEDLKKKIGTYFTDKLLKIVCDPNLQMKAMDNSQKIQETPDANSQSISHVQKGDPALEFIKHVCAVLALDQNVQHEVLIMRKNLLKLVRVREFAPEAEFRSLSVSYTLPNVICSYCNDCRDLDLCRDRALISQEWRCAVPQCGQPYDRETMENALVQIVRQRERLYHLQDLVCVKCHQVKAAHLSDHCACSGSFSCKEDVSDFRNKMQVFLNIAVNQKFQLLEECTSWILEAR >Solyc06g060890.3.1 pep chromosome:SL3.0:6:38998390:39018368:1 gene:Solyc06g060890.3 transcript:Solyc06g060890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSSTSSSNSNSNGVIVNTEERRIRIENPFTLKVGQVFTGFGIGCGIGIGVGRPLNLGAIPMLNQVMTATRGATDAFSGVGRHVNNSLKKVGAKGIEAGVGCGVGFGHGFGVGLAVKRGVVERMQSYLIVCILFHSSLLLPSKISSPPSHFSFNINPLKLQQATTKLLMKSGMSPGLSIGQGILPPSLQAGMKTVSEASIQNPLGIANPLEMKVPHSSSPDLTDRNTNSLSSNENDTSRANVGSSDASYISRTEKVISNFLQSPLVKGEDSTTNELSERLGSVNNLVHMVLKHQQAIDELMQENEKLREILVKDLKVSPSKLQTSYSSGSKYPNTECFECRKKQRRRQ >Solyc02g084870.3.1 pep chromosome:SL3.0:2:48573081:48576544:-1 gene:Solyc02g084870.3 transcript:Solyc02g084870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D9IV43] MATQVEAPNGIRSRGKHYYTMWQTVFEVDTKYVPIKPIGRGAYGVVCSSVNKETNEKVAIKKINNVFSNRIDALRTLRELKLLRHIRHENVIALKDVMMPIHRSSFKDIYLVYELMDTDLNHIIKSPQPLSDDHCKYFLFQLLRGLKYLHSANILHRDLKPGNLLVNANCELKICDFGLARTSRNNGQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPLFPGTECLNQLKLILNILGSQPEADLHFIDNPRAKGFIRSLPFTRGAHFSSLFPHADPLAIDLLQRMLIFDPSKRITVTEALYHPYLSSLYDPTCNLPAQFPLNLDIDENMAEPLIREMMLREIFHYHPEAAYINTFY >Solyc11g011140.2.1 pep chromosome:SL3.0:11:4216494:4222193:-1 gene:Solyc11g011140.2 transcript:Solyc11g011140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRLGRRVVNFANLPIKLLMPSSFSNITEISLKTIPSASKIEIKRVLESLYGFEVEKVQTLNMDGKKKKRGGLLIAKPDYKKAYVTLRNPLSISPDLFPIGVIQEEKKNMSKKSKSSIVEDDEPKKTHWLDEKKDGRNRSEMRFGRGRDHRSGQRSDVGRDRGGESRDVGAAPAKFPWSSMRSYAPR >Solyc06g005090.3.1 pep chromosome:SL3.0:6:103769:105345:-1 gene:Solyc06g005090.3 transcript:Solyc06g005090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSDTTNATTITSSPPSSRSVSPSSSSPPNSPPSPQVAVVISPCAACKILRRRCAEKCVLAPYFPPNDPIKFTTAHRVFGASNIIKFLQELPEFQRADAVSSMVYEANARLRDPVYGCAGAICQLQKQVNELQVQLAKAQAEVVNMQCEQANFMTLFCMENMTQSPPQQSLDNFTQINMSILDDNNVGSIWETLWT >Solyc12g009630.2.1.1 pep chromosome:SL3.0:12:2891528:2892061:-1 gene:Solyc12g009630.2 transcript:Solyc12g009630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDQKKAKSFNNYNKVELRGIFATFDKNNDGYITKQELKLSLKNIGILMEDKDIVDMVEKVDTNKDGLIDLDEFCELCHTFLGIDGVEQEGEMNEEEVANKERDLKEAFDVFDHDKDGLISEEELSKILSSLGMKEGKKLDHCKEMIKKVDVDGDGMVNFDEFKKMMKACGTLVPFS >Solyc04g050730.3.1 pep chromosome:SL3.0:4:47994783:47998673:-1 gene:Solyc04g050730.3 transcript:Solyc04g050730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCSLSFLSYYIVLLVLAFCDLPFRAEGRAFFVFGDSLVDNGNNNYLVTSARADSPPYGIDYPTHRATGRFSNGLNIPDIISEQLGMEPTLPYLAPQLTGDRLLVGANFASAGVGILNDTGIQFFNIIRIGKQLEYFEQYQRRVSGLIGAAQTEQLVNSALILITLGGNDFVNNYYLVPFSARSRQFSLPDYVVYLISEYRKILQKLYDLGGRRVLVTGTGPIGCVPAELAQRSRSGECSVELQRAAALFNPQLTQMLADLNSQIGANVFIAANTYTMNMDFVSNPQAYGFVTSKIACCGQGPYNGIGLCTPLSNLCPNRDIYAFWDPFHPSERANRIIVQQILTGSSQYMSPMNLSTIMALDSRT >Solyc04g053110.1.1.1 pep chromosome:SL3.0:4:51649260:51649568:1 gene:Solyc04g053110.1 transcript:Solyc04g053110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVHRLGEESPVVIFSKSNCCMSHSIETLIRNFGANPMVYKLDEIEKGKKMEKALIEMGCNPSTPAIFIGKEFVGGSDEVMSLNVKGKLKELLIKANAIWV >Solyc02g050247.1.1 pep chromosome:SL3.0:2:4743207:4744389:-1 gene:Solyc02g050247.1 transcript:Solyc02g050247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEGKSTAQVVTDPHILFLEKMLHLTSEEIYETCFDKKGTSSLQNVEDQLNGKIFNIHMKRLFTKKLDAKLSILSYLEKEDIVHNQ >Solyc02g092430.1.1.1 pep chromosome:SL3.0:2:54129721:54130161:1 gene:Solyc02g092430.1 transcript:Solyc02g092430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSLRRVLMSAPLSSELRAKYSVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRKKWVIHIERITREKVNGSTVNVGIHPSKVVISKLRLDKDRRSLLDRKAKGRAAADKDKGTKFTTEEIMQAID >Solyc04g026170.2.1.1 pep chromosome:SL3.0:4:18656700:18657050:1 gene:Solyc04g026170.2 transcript:Solyc04g026170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQSRDMEDPIEVRNSDLENLPNHVLDHDVVIVMVPFLAQGHLNQLLQLASLISSSYDPLVYYDGSSTHNQQVRIQANALNPSNMQKSTSIKFQLLNLLQLHLSLMPQADPNHI >Solyc04g026230.1.1 pep chromosome:SL3.0:4:18189532:18190552:1 gene:Solyc04g026230.1 transcript:Solyc04g026230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSTIVTKTDLKRMDEQLERHLDKLFRKGCDETKSLEVTKKQEWELDPTKLKINVNHLIAEGAYGSVYKGYYNGQEVAVKILDLSNEQRRDILTNAFTQEVSIWYNLHHPNIAKLQKDCPN >Solyc05g055567.1.1 pep chromosome:SL3.0:5:66002862:66004983:1 gene:Solyc05g055567.1 transcript:Solyc05g055567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLCSNLSRQNSSPIPNPFAMNRRGGRSESKQCLNHVSNDFVGNTNEEQSALVATSSSGKISYTRGKSSNFAHRNVQSKMVKSRISKKKLVSDEIICINNESYSKALVALTAGFPSDSLKDEEIKAGVVSEGGGTEDMFVDIIHERYSGLLDSTNNYLLSYAYVNFGVTLAIKDKIPTRPSKGRVIVIGAGLAGLAAARQLMLFGFEVIVLEEQKRAGGRVYTKKMEGGNKIAAADFEGSVLTGTLGNPLGVLARQLSYTLHTTSIWIKKWRLLIMNFWKRQARSDKTYLRLFSLGEALETLRKDSSVSMNDEEMNLFNWHLANLEYANASLLSKLSLAFWDQDDSYDMGGDHRFLPGGNGRLIDALAENVPISFEKTVHTIRYGRDRVKVITAGQVFEGDMVLCTVPLGVLKRGSIRFFPELPQRKLDTIRRLGFGLLNKVALLFPYVFWDSNIDTFGHVADDSSFRVDGNPMDEHLDIKKWDFWIRQARARSFLRNGSIDPYFHYKNFKLGGSEIPYHKPVEGSISYLPKPKGVELKQLAITLVLIFPIDKVLSLPLPTLLAFLGIRKEVETVNKKEHKIYEMLVSSLIYATDTNDVNI >Solyc10g083700.3.1 pep chromosome:SL3.0:10:63592561:63594545:-1 gene:Solyc10g083700.3 transcript:Solyc10g083700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWEWSYLFFSAIIFLPILILFFSQKKSSKCCYNLPPGPPGLPIFGNMFELGKEPYKKMSALKQKYGPVLGLKLGPSTNIMVVQTAEAAAELFKNHDTSFADRPIVDVNQAHNYFQGSLAVGRYGSFWRFQRRICTVEMFVHKKISETVPIRRKCVDNMLKWIEKTVNSAEKGNGIEVTRFVFLASFNMLGNLILSKDLADPESEEASEFFNAMKGIMEWSGIANVSDIFPFLRMFDLQKLRKKMTRDMGKAMEIMSMLLKERKKGMEKMKDFLDVLLEFEGTGKDEPAKLSEHEIKVLIVEMFLAGSETTSSSVEWALTELLRHPQAMAEVKTEISKAVGSNNRFEESDIENLPYMQAVIKESLRLHPPLPFLIPRETIHDTNFMGYDVLKGTQVLVNAWAIGRDPECWDDPMSFKPERFLGSKIDVKGQHYELIPFGAGRRMCVGLPLGHRMMHFALGSLLHEFEWELPDGVSPKSINMDESMGVTARKRDSLKVIPKKAYYLSQLYINNKRELLE >Solyc09g007430.1.1.1 pep chromosome:SL3.0:9:997406:998569:-1 gene:Solyc09g007430.1 transcript:Solyc09g007430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLTKSIAKKALFSSSSPQKCQLLLQTLSSRFASTTISKPRKPKIPKPQEPSSAYVLTHPRIEIYDEAKDVSSWPKPSEIPYQAKVANSVNLVGFVQTPVHFETSSDGKYCASTVVAHENSDDNSVLMIPVVFAGDLAHVVACHVKENDCVYVYGKFSMEPLSCEFMDEYQSCFHIVAENVNFVQGLKRNVSLKGNVKSVYPKGKNFVLDDSDNQHSDYLVKQKDRLSGLEYDDSVNLGGSKSEEGVTGGDDWRDLIKNSKQWWDCRKAKLDGIVKARHPDFKKKDSSTSLWIENAPRWVLEGLEGLEFDAYAPKPKGIGKDVDCWKDLLENPDKWWDNRVSKLNQKAPDFKHKNTGIGLWVGSSPDWVLSRLPPLRDQRAASSDK >Solyc05g054310.3.1 pep chromosome:SL3.0:5:65093088:65097917:1 gene:Solyc05g054310.3 transcript:Solyc05g054310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKGLMEQDLSKLDVTKLHPLSPEVIARQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEEERCPRPACYKAYGSGKEDNPMCDVPGFENCKMKLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLVQENVAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFISPPNMIVIRSFDVNKPGFEVDDIRGGVAGGSILKGVLKVNQLIEIRPGIVVKDESGNIKCTPIYSRIVSLFAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGEVGSLPEVYVELEVNFFLLRRLLGVRTKDSERQGRVSKLAKGEILMLNIGSMSTGARVVAIKNVFAKLQLTSPVCTSKGEKIALSRRIEKHWRLIGWGEIQAGITLDVPSSPL >Solyc12g089180.2.1 pep chromosome:SL3.0:12:65275985:65283093:-1 gene:Solyc12g089180.2 transcript:Solyc12g089180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar-porter family protein 6 [Source:UniProtKB/TrEMBL;Acc:K4DGY9] MSFREENSEDGRGGDLRKPFLHTGSWYRMGSAQTSSMLGSSQVIRDSSVSVLACVMIVALGPIQFGFTSGYSSPTQTAIVNDLKLSVSEFSLFGSLSNVGAMVGAISSGQIAEYIGRKGSLMIAAIPNIIGWLSISFAKDLSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLFVNWRVLAFLGTLPCLALIPGLFFIPESPRWLAKMGLTDDFETSLQVLRGFDADISVEVNEIKRAVASTSRKSTIRFADLKQRRYWLPLMIGIGLLVLQQLSGTNGVIFYSSNIFLSAGISSSDAATLGFGAIQVVATAVSTWLVDKTGRRLLLIVSSAGMAVSLLIVSIAFFVKDFVDEDSTFYGVLGMISVVGVLLMIVSFSLGMGPIPWLIMSEILPVKIKGLAGSVATLSNWFFSWVITATAPLLLAWSSGGTFTLYTLMCAFTVAFVTIWVPETKGKTLEEIQFSFR >Solyc08g013880.3.1 pep chromosome:SL3.0:8:3339147:3358307:1 gene:Solyc08g013880.3 transcript:Solyc08g013880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVQRVSGRMAYAKTATRLKGSFIHQLVVIAICLTSYQNVVALHVPHKTTQTSSTSELATPPRSGIFEPIEIPPAVIPHYPFPGKSLPPMYPSFPKTYDPVLTGRCPVNFSVISSITEKTASDCTRSLSMIVGNVICCPQFNSLLHIFQGFYSNRSDTLVLQSAVADDCFRDIISILTSKGANSSIAGLCSVKSSNLTGGSCPVKDIGTFEKIVNTSKLLESCSTVDSLKECCRPVCQPAISEAALLISGIKTALGGKNIVGVPNEIDTLSDCKGVVYSWIARKLQFDDANSAFRLLSSCKVNKVCPLEFKQPLEVVNACRNLAAPSPSCCSSLNAYISGIQKQMLITNRQAIICAAAFGFMLQKAGVMTNVYELCDVDLKDFSLQADLVYDNSTGFSFTCDLNDNIAAPWPSSSAATSLTLCAPEMSLPALPTSEILGNYSEYLSFPTCLLITVMLFTCEGHPSRLRLWDNIDLLAMSLPWLVGGCYNEIVNEEEKIRGLPVVPRENEDFALPKQLMQLQPSSLQH >Solyc09g061820.3.1.1 pep chromosome:SL3.0:9:60604154:60604637:-1 gene:Solyc09g061820.3 transcript:Solyc09g061820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKYRTFGDPPSQRSAEDLAFSVARFFAKNGTLTN >Solyc11g067355.1.1 pep chromosome:SL3.0:11:53386452:53393155:1 gene:Solyc11g067355.1 transcript:Solyc11g067355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPTILSRKFIKPSPPTPSSHRHYNLSFRDQTDNTLYLPIAALYSKPENHTITQISNILENSLSKILYFYYPFGGTVPTKFGLGNSLSEERSSLVVVQLSHFDCGGVGVSLCVSHKIADGYSAFKFLGDWISMARDDHKLNFQPSPQFDGALFLPPIDNPPPMPNVVPDPQRCVSRMYNLSSFTLSKLKDSIVSTNSQIQNPSRIEVAMALIHKCGVDVSMAKSGVFRPTMLYQVMNLRPPIPLNTMGNATCLFSTIAMSIDKITLPSYVEIVNIAKEDCFDMYFCTSLCTFGSRNVDFGWGSPLRVTHMKDPMKNKFIFMDDPSGEGINVLITLTEDD >Solyc04g072230.3.1 pep chromosome:SL3.0:4:59307164:59311475:-1 gene:Solyc04g072230.3 transcript:Solyc04g072230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTGNFETSSLAVLEGIAIGINPEYKVLGSTYPWIARKVLTDSSPKLKASLRALLYKKQWIVLYVQNDGQQARFPSCMDVAMLAMTFFAMLFFAVDGQFRIDRLESLLSESLRAKTERTLIENQNGGIDSKVIIKQILSFTLDDKGAFIREILLEEFSKGMVALGVATVDSVTNAVATTLPFSPSQPSSMTDEDITNLRNLQRLLLLISGLQENENPSMKVNGVRTSNKQMIFLNGAPLQQFEAVQEYLPLLSVLPELPQEMQQQLLRLPADFAGKLASRVAARTLKRTLELAEMCHLCLAGEGKRPPSPRNIALDWLIILLDDAYTETDGVGQTSITECLC >Solyc03g117040.3.1 pep chromosome:SL3.0:3:67781827:67788505:-1 gene:Solyc03g117040.3 transcript:Solyc03g117040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGEESDLSDSEIFEFKEKPYEELRTGKLKVKGPNGSLRCPFCAGKKKQDYKYKDLLQHATGVGKGSANRSAKQKANHLALAKYLETDLVNEAEPIPKRAVTPEHSEAEKDKLFFWPWTGIFVNVSKETANGRSPDDKEYWLKKFSMYMPLEVKLFCDNQARVSEAIVRFNSNWTGFKGAMEFEKSFEASHCSKQEWKSLRKCPGPNLYGWVAREDDYKAEGALGEYLRETGELKTISDLMKEETQGRKKVVANLANEIDMKNENLDELQTKFNLNTLSLRQMLAEKDMLHRSFFEESRKMQRLAREHVQKVLMEQEMLSIELESKKKKLDSWVRELNKREALTEREKQKLDEEKKQNDVRNSALQMASVEQRKADENVLRLVEEQKREKEEALKKILELERDIDAKQKLEMEIAELKGKLEVMKHLGGNDDAAVQNKIKEMNEELKDKMEEMDGMESLNQTLLMKERQSNDELQDARRTLKEGLIEVLSSARAHIGIKRMGEIDSKAFQNALKQKFPNQEAEIKAVELLSLWQEKIKDPDWHPFKTIMIDESNVERVIDENDEELGKLKQEFGDEIYDAVTVALKEIEEYNPSGRYAIPELWNFKEGRKATLKEVISYIFKQVKAQKRKR >Solyc12g087790.1.1 pep chromosome:SL3.0:12:64276147:64276372:-1 gene:Solyc12g087790.1 transcript:Solyc12g087790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGEAAPEGDDAGVSAVGGAGGDANGEDAVVGGFKMPEMLPVPVRKQIRQ >Solyc02g089410.3.1 pep chromosome:SL3.0:2:51882875:51885872:1 gene:Solyc02g089410.3 transcript:Solyc02g089410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENYSLRFHIQTTNFSENEVEQENPTYSFSPYNPTFFIPSFDSGTDLVTDLFDSRNDEVTDHPYVVHNNSNSRVLPDDEELELGFEFDGLRVVGVDSESDSEDEEVIHQIGNSSVPDLWNCFRVGDEGDLDEDSEWEEVERVEGREDNMSYLIGRMEEISVSSDFSSEEGNLGFGEDGEGGQSRNLEWEFLVAVNDLERSLELHNAVILQDGGGFGAVDFVGSQERLKGSPPTAKSVLENLPLVVVSDEHLKGSNAACAVCKDEILSTEKMTRLPCSHYYHCDCIMPWLNIRNTCPVCRHELPTDNADYERENGRSAGQGHVNDFQ >Solyc05g016420.1.1 pep chromosome:SL3.0:5:16234041:16234979:1 gene:Solyc05g016420.1 transcript:Solyc05g016420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVAPCVNTASQFEKKHSLRNIGFCSHFCYLDTLFLLIYITCICEQHDIWTDTVKAAHRACHSTNKGVLERVFEFRKVGSTAVTAMLINSHTLTVENVEDYQVVIYKKRVADQLTISHKLNREREVIESDVPCIDGQLAVARVLGDKSLKRHLISYPYVAIELIDKNLDLIIFASDGMWKIRCLPLN >Solyc04g053055.1.1 pep chromosome:SL3.0:4:51309890:51311325:1 gene:Solyc04g053055.1 transcript:Solyc04g053055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKRMILENLGLKNHIHELLDPNFIMFRFTHYKGSSIISEDHENNIKYDGLSGHTDVSFLTFISQNQVNGLQINKNGEWIDVNLSPNSYAVLCGDSFKAWTNGRLHSVYHRVTMSGEIDRFSIQFNTYLKPGHFIEAPKELVDEKHPLLFKPYEMLGLFSYVASRDSSVDVFKDYCGVSYDKM >Solyc04g039655.1.1 pep chromosome:SL3.0:4:14375395:14376597:-1 gene:Solyc04g039655.1 transcript:Solyc04g039655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEFEELQRQNTWVLVPPPSNRFPIGWELIHRAGVDSCTTAPMPISPSRSTNGADVPFHNPRLFRSLVGGLQYLTVTRPDIQFTVNYVAQKMHSPTEQDFHTLKRILRDGYQNPPRRLNIELYPLQPRKSCGSPTF >Solyc05g015652.1.1 pep chromosome:SL3.0:5:11645743:11652702:-1 gene:Solyc05g015652.1 transcript:Solyc05g015652.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCKTSPSPVETTFQLSIVGALQYATITRLEISSSVSRICQYMQNPTLDHWKAVKRILRYLKGSLTHGISITPSTSSTIHVYCDAGWAADPDDRRSHHGFAVYMQKVVARSSTEDEYCAIAFAASEYLAITRPDIQFAVNRVAQRIHQPSEHDYHCLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWENDKNDRKSTSGAEPDLLVYKKTTQGLSVLD >Solyc07g041970.3.1 pep chromosome:SL3.0:7:54835243:54838115:1 gene:Solyc07g041970.3 transcript:Solyc07g041970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DPLSQSHLPATVSSSVLAFPMGSLFCFSLIAFLLVLHPCFAKKTYIVHVKHQQKPPSYSTHHDWYDAQLKSLSSSSNSESLLYSYDTAYPGFAASLDPHEAELLRQSEDVVGVYEDTVYTLHTTRTPEFLGLDNELGVWAGHTQQELNSAAQDVIIGVLDTGVWPESKSFSDVGMPDVPSRWRGECESGPDFDPKVHCNKKLVGARFFAKGYRMSSSSSFANQPRQPESPRDQDGHGTHTASTAAGAPVGNASLFGYASGIARGMAPRARVATYKVCWPTGCFGSDILAGMDRAILDGVDVLSLSLGGGSGPYYRDTIAIGGFSAMEKGIVVSCSAGNSGPAKASLANTAPWIMTVGAGTIDRDFPAYAVLGNGKKITGVSLYSGKGMGKKLVSLVYNTDSSSSLCLPGSLNPKDVRGKIVVCDRGTNARVEKGLVVKEAGGVGMILANTVESGEELVADSHLLPAVAVGRKLGNAIRQYVKSERNPTALLSFGGTVVNVKPSPVVAAFSSRGPNTVTPQILKPDLIGPGVNILAAWSEAIGPTGLEKDTRRTKFNIMSGTSMSCPHISGLAALLKAAHPEWSPSAIKSALMTTAYNRDTTNSPLRDAEGDQLSTPWAHGAGHVDPHKALSPGLVYDIRPKEYIKFLCSLDYEMEHIQAIVKRPNVTCAKKFSDPGQINYPSFAVLFGKSRVVRYTRTLTNVGAAGSTYEVVIDAPPSVTVTVKPSKLVFKKVGERLRYTVTFVSKKGVSTMSKTTFGSISWNNAQNQVRSPVSYSWSQLFN >Solyc10g047702.1.1 pep chromosome:SL3.0:10:41746292:41746695:-1 gene:Solyc10g047702.1 transcript:Solyc10g047702.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQMIIQKTVDEDSGNVTDFSVHFSFRTNSHGRNLYSDGLNSFLSPAGSVFPDKHFAAGEGLGLACVDQQYSSKNHHFVAIEFDIFTNYYDPRGDHVGININSIQPVSNVT >Solyc03g078380.1.1.1 pep chromosome:SL3.0:3:52200210:52200995:1 gene:Solyc03g078380.1 transcript:Solyc03g078380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRDDEIHKPKSAIMFNRSMTLHNAANPIEFPEKTVYFYPSPPSIERHGSVKKLHTSSLGSSFKGTVKKLCSLFESRKPSNLQPQSPTKPAKSFNSDSRVPSFGCTLPGTEDSVVIYFTSLRGIRRTFEDCYTVRMILKSFRVKIDERDISMDSAYKKELQNVLGPKNVTLPQVFIKGKYVGGAEVIKQLNEVGELSKLLRGLPLRPPGYTCEGCGDMRFLPCSNCDGSRKYFDEDDGQLRRCPQCNENGLVRCPLCCS >Solyc10g049640.2.1 pep chromosome:SL3.0:10:46228529:46230827:-1 gene:Solyc10g049640.2 transcript:Solyc10g049640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDIKKNPKLTLLPLIALIFYDVSGGPFGIEDSVKAGGGPLLSLLGFLIFPLFWSIPEALITAELSTSFPQNGGYVIWISSAFGPFWGFQQGFWKWFSGVMDTTLYPLLFLDYLKHSLPIFTHLIARIPALLTITVSLTYLNYRGLHIVGFSSVLLASFSLLPFLVMGILSIPRINTRKWFVVNFEKVEWRGYFNNMFWNLNYWDKASTVAGEVDDPSRTFPKALLGAVVLVVLSYIIPLLAGTGALDSDSSEWSDGYFAEVGTLIGGLWLKWWIQAAAAMSNMGLFEAEMSSDAYQLLGMSEIGMLPSVFSSRSKYGTPTISILCSATGTIFLSWMTFQEILEFLNFLYSVGMLLEFAAFINLRIKKPNLHRPYKVPLQTVGAMLLCLPPSLLLLFVMYLASLKTFIVSGSVIIVGLFLYPAVMYAKEKQWCHFNTSEQLGLSNDLEDRSSPTELDQIVADDASLSLLGHSKTLRDSKTSSQEISSVD >Solyc05g010250.2.1 pep chromosome:SL3.0:5:4420601:4422107:-1 gene:Solyc05g010250.2 transcript:Solyc05g010250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHFNPISTPFTLKPTVHHRNLTVFSQIQPIPIPFTTTNLSISDESLRSKGFNLHSTITDLNLDHLNSVFVAVGFPRRDTEKIQIALENTDSLLWIQYEKTKRPVAFARATGDGVFNAIIWDVVVDPNFQGIGLGKAVMERLVTKLLRKGITNIALYSEPRVLGFYRPLGFVADPDGIRDTGPGMSMTTASDIRNVLATAAACSTQHLTTCFTISRKFMVH >Solyc10g008310.1.1.1 pep chromosome:SL3.0:10:2451225:2451746:-1 gene:Solyc10g008310.1 transcript:Solyc10g008310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETKQQQEEEPPLFPPSSTSCCSFMLLLKKLMSKRRTWVFLFLTVYTILLSISWNFLNSVLSWYESTMKLTPTSALYGSMILGLAFGVLSIVAALIVVVPATLVTWITILVLLTFAGKGRRDLVIEGKKLTAEISGFVVRVLIREGNLVAVICAVLGYFALVRRNKEDGIDY >Solyc06g035560.2.1 pep chromosome:SL3.0:6:24660977:24672158:-1 gene:Solyc06g035560.2 transcript:Solyc06g035560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRGKVEMKRIENATSRQVTFSKRRNGVIKKAYELSVLCDAQVALIIFSNKGRLFQFSSSCMQKTIERYREYTKETLININTFEVEQQMERFPFLKVCHKIEFLTLDGCLVCIHLQTKVVDLDGKLAKLLVNICTYSS >Solyc12g006575.1.1.1 pep chromosome:SL3.0:12:1072366:1074561:-1 gene:Solyc12g006575.1 transcript:Solyc12g006575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLSLPITILHFLPNSDPPYKLLQTHPSFSLLSKCKNMEDFKNVHTHFIKFGLHNTQFALSKLLEFCVTNPYGELSYALSIFNSTDDPNHVMYNMIIRGYSLSSSPSFAIDFYEKLLFSGNKPNSYTFPFLFKSCAKIMDTQMGKTIHGHVFKLGLMTDVYVHASLINMYAQNGEMDDARLVFDKSSNRDAVSFTALINGYALKGRVGDARGLFDEMPVRDVVSWNAMISGYAQVGRFEEALVLFEEMRNVNVVPSVSTLLSVLSACARVGELKLGNWVRSWIEDHRLGLNIRLVNALIDMYSKCGDVKTARMLFEGLEEKDLVSWNVMIGGYTHCGYYKDALAVFHRMQQEVIDPNDVTLLSILPACAHLGALDLGKWIHVYIDKHYQHLQNTSLWTSLINMYAKCGAIAAAKQVFQGMRTKTLASYNVMISGLAMHGDAYEALELFRKMTEEGMKPDDITFVSVLSACNHAGLVDLGREYFITMIQSYKYTPKLQHYGCMIDLLGRAGKFDEAMNMIESMEIKPDGAIWGSLLGSCRIHKNLELGEYAAKNLFELEPENPGAYVLLSNIYAGAGNWDKVASIRTFLNDQGMTKVPGCTSIEIDRVVHEFLVSDRTHQQSNEIYKMLDEVDRLLEMAGHVPDTSEVHYEMDEEWKEEKLNQHSEKLAIAFGLISTKPGTTLRIVKNLRVCGNCHEATKMISKIFNREIIARDRNRFHHFKNGSCSCLDYW >Solyc07g065660.3.1 pep chromosome:SL3.0:7:67468583:67482060:-1 gene:Solyc07g065660.3 transcript:Solyc07g065660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEKVAEHGGDHRKHDLILSNLERTIKEEEQAERELDVNELSRLCQLHGKGIPASRGLDQRKATVEICEFDDDGSGQLAEKCKTMCQQADHFRLCNIPNSGENGRYVWIGMLGAELWFSFYWFITQSVRWNRIYRYTFRDRLLMRYENELPRVDVFVCTADPAIEPPDMVINTVLSVLAYNYPPEKLSVYLSDDAGSDLTFYALLEASRFAKHWLPYCKKFNVEPRSPAAYFASLSVSDQSDADFSEMKRLYEEMANKIDVVCKAGTVSDQAKLEYKGFSKWNSYSSKKNHAAILQILIDSRDEETKDTDGVRLPTLIYVAREKHPEHFHNFKAGAMNALVSLVPVSHHISVILNKPVDDFVDYNVQLRVSSEISNAPVILNVDCDMYSNNSNAIQDALCFFMDEERSHEIAFVQFPQSFGNATKNEVYGSLRVIDEVEFHGADGYGGPLYTGTGCFHRRDTLYGREYSTEARIDLKSARPEKMDENVHELEERLERLASSTYDLNTQWGNEIGLKYGCPVEDVLTGLTIKCKGWKSVYYRPERNAFLGVTATTLDQILVQHKRWSEGDLMILFSKYSPVWYGLGKLNPGLVLGYLIYCLWSPNCWATLYYSIIPSFYLLKGIPLFPQVSSKWFLPFAYVIIAELVYSFAEFLWSGGTILGWWNEQRIWLYKRTSSYMFAFLDTMLKLFGSSNTTFIVTPKVTNDDVLLRYKQEKMEFGSDSLMITILSTLAMINLFCLMGLVKKLILTRELGLEYVFETMALQILLCGVLVFVNLPLYNALFFRQDKGKIPRSTAFQSVAFALSMRIGSLKVWILVTILVVAWRCGGVYGIRFVIDREECFSHKVQMGESVHFSFVVIKSEGSWHYSEEGVDLVVKGPSGEQLHDFRDKISEKNEFVAHHEGVYRFCFTNKSPYHETIDFDLHAAHFSYHDEHAKDEHFKPLFEHIGQLEEALYNIQFEQHWLEAQTDRQAIVNEIMSKRAVYKALLESSALIGVSILQVYLLKHLFERKLGQSRV >Solyc09g091725.1.1 pep chromosome:SL3.0:9:71430210:71431831:1 gene:Solyc09g091725.1 transcript:Solyc09g091725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFTKEEIDIVVVGLPYIPHKISTPKYFKPKFNTCIEAFTSGKIELYASDFLILDTRENIKGYIPKMVGVEKFKAGNYGMEIVFDLSNYENHTSVVFRSPIVSLSFYFYKVYNVSQSWKGENGLYCVGFSKRGIDGISMDAITIADDIKTVRMQDLITLHIKWGNFYLRVGDVVVEMFECTWNQKVIASF >Solyc11g065870.2.1 pep chromosome:SL3.0:11:51737804:51751334:1 gene:Solyc11g065870.2 transcript:Solyc11g065870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARQLSEAASKEERSSPKEAQVKEKRRKNTTTKNIPDHLLPKVAIVGRPNVGKSALFNRLVGGKKAIVVDEPGVTRDRLYGRSYWGNYEFMVVDTGGVLTISKSQTDLVEELAVSTTIGMEGIPLATREAAVAKMPTMIEKQATVAVEESSVIIFLVDGQAGLNAADVEIADWLRKHYSDKCIILAVNKCESPRKGITQASEFWSLGFDPFPISALSGTGTGELLDLVCAGIEKVELLQVKASAEGTEYLEEENYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPVSGTTRDAIDTEFTGPDGQKFRLIDTAGIRKKTAVASSGSIPEALSVNQAFRAIRRSDVVALVIEAMACITEQDCKIAERIEREGKGCLIVVNKWDTIPNKNQETTIFYEEDVRRKVRSLSWAPIVYSTAIAGHSVEKQKTVAIDFGICIQYRRSDVLFHILTRRNKIPAYHVIVVAVIQLKLCISELNAAVIQLKLGISELNAAVIQLKLCISELKAQKHLRDNSRSSNRVVLLLYSRIIVSAAAVEKERSRRLTTAILNQVVREAVAFKAPPRTRGGKRGRVYYSTQAAIRPPTFVFFVNDAKLFPETYRRYMEKQLRTSAGFAGTPIRLLWRSRRKIEKSAGKGPSTTMQDGFTGIEKSLAVPA >Solyc06g084430.3.1.1 pep chromosome:SL3.0:6:49588854:49589447:1 gene:Solyc06g084430.3 transcript:Solyc06g084430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRNLASCWGRSPLLMVEFCPIFTLICCPRRMARGKWSLHLSLKSFKFYVKIISVNGLFFI >Solyc04g050130.1.1.1 pep chromosome:SL3.0:4:45529517:45530635:1 gene:Solyc04g050130.1 transcript:Solyc04g050130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIQPPFKILEINVISAQDLPPVSKMLRTFAVAYIHNNDHRLTTRVDHQGKTNPTWNYKMIFRVDDKFLNSESPSVMFEIYNVAWLRDLPIGTTQLMINSFFPPLSSNNPTMRSTTLHIRRPSGHLQGLLHVSVQLIDTTPPEILCSESELSNSICTTDVSSSKDERRNNCYDEKNDNTSIISDTLYEEEITKNTHDRGMLQRLRSKRLMSNSNSGLLSPNQKMRRTSTAVSFCSGVQPIPSLVAEDMKKGLYQTGEGIDYGSCIFENWTIQGEKDDEIQSMRSKSLTWGSDDQVRVQELVEIKKKPRRRHDSERGGLFSCFGKAYGFEFKLICGSKSPKKKKDKKHERHKSLPYNNNNNNHYDDNLHRFYI >Solyc10g047440.1.1 pep chromosome:SL3.0:10:40738692:40739919:1 gene:Solyc10g047440.1 transcript:Solyc10g047440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSIFRGLKGAWKRIRTLEWKRDRNVLNRLLLMNRSDRNFEYRIQRDQIGKDTLNHRTIMKYTTNQYLSNLKKSQKKWFEPVILIYQTERSLNWDPDAYRYKWSNGSKSFQEHLEQSVLKQKSRFQVVFDRLHINQYSIDWSEVIDKKDLSKSLPLFLSKSLLFLSKLILFLSNSLPFFCVSFGNIPIHRSEIYIYEELKGSTRNILVIASTHIPQKVGPTLIAPNKLNTCIKIRRLLIPQQRKHFFTLSYTRGFYLEKKMFHTKGFVSITMGSNARDLVELTNEFLSISITQKKSIIDTNTIRSALHRQTWDL >Solyc01g066480.3.1 pep chromosome:SL3.0:1:74291369:74298379:-1 gene:Solyc01g066480.3 transcript:Solyc01g066480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKIQTFTIARNLVCSSGRAIAKSFSSRNMATTGAATADHRNLISVGSKIIAVGRNYAAHAKELGNAVPKEPVLFMKPTSSYLENGGTIEVPYPLESLDHEVELAVVISKRARDVPEATAMEYVGGYALGLDMTAREIQATAKSAGLPWTVAKGQDTFTPISSVLPQSMVPDPHDIELWLKVDGELRQKGSTRDMIFKIPYLISHISSIMTLLQGDVILTGTPKGVGPVKVGQKIDAGITGLLDVHFDVGRRPGEKQQ >Solyc05g015843.1.1 pep chromosome:SL3.0:5:12398917:12401652:1 gene:Solyc05g015843.1 transcript:Solyc05g015843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYIPAFWEINITIIITTGDHKTINNRDSLQSEKHYASTPGRPPEEKEAAVTAPRHQAASSSGAAPSAITIQPARCQQPRRSQDRDNRWLNKNNYIWM >Solyc01g099820.3.1 pep chromosome:SL3.0:1:89835544:89836313:1 gene:Solyc01g099820.3 transcript:Solyc01g099820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASNQKTSFESAREWISDHKLRTVGSLWLSGIVGSVAYNWSKPSMKTSVRLIHARLHAQALTLAALAGAAAVEYYDHKTNDNAQRYPSFVNMNSFAQKK >Solyc07g065130.3.1 pep chromosome:SL3.0:7:67161290:67164421:-1 gene:Solyc07g065130.3 transcript:Solyc07g065130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIPATQIAGLANGINGSRQVKPNNLKKLFTKAFRATAVKSIFKSKAKRKPPAEIVRRVRFLLEAVHSLDDNVDPSHFDKMEELDSLLHEVKAMLYGSNECEPAVDACAQLTQEFFRENTFRLIIICLPKLNLEARKDVTRIVANLQRQPVNSRLIASDYLEANSDLMDHLVCGYDDPGLALHYGTMLRECIRHQVVARYVLNSEHMKKFFDHMQIPEFDVAADATATFKELMTRHKSTVAEFLSENYDWFFVEFNAKLLESANYITRRQAIKLLGDILLDRSNSAVMTRYVSSKDNLRILMNLLREASKNIQLDAFHVFKLFVANRNKPTDIVNIIVANRSKLLRFFASFRIDKEDEQFEADKAQVVKEIAELEAKGPLFSGELHKFPGTPTASGELYKLPTTPLSRQVT >Solyc09g018807.1.1 pep chromosome:SL3.0:9:17286135:17302887:-1 gene:Solyc09g018807.1 transcript:Solyc09g018807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMLVQVKSACQVVSYEQQDERLTVAKTTYDAFVAESSCTKSSSFKRKKNRKKNEEERKRLERDPLRKGRSQIQKRKKQYFQDKRQEQNEVLQLPSSRAFYSLGIHRPLELRSRSICGENKVRLEVKGIDPWKVDLHGNLLSECDSSFPMRLIAIRLFRKWQKFLCSDGLHFFVTLERQLKCLGMKVVLEYLAFNLSIF >Solyc05g014470.3.1 pep chromosome:SL3.0:5:8322671:8325035:1 gene:Solyc05g014470.3 transcript:Solyc05g014470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glyceraldehyde-3-phosphate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BYG6] MANGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFISTDYMTYMFKYDSVHGQWKHHELKVKDEKTLLFGEKAVTVFGIRNPEDIPWGEAGADFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNENEYKPELDIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHAMTATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPQLNGKLTGMAFRVPTADVSVVDLTVRLEKEASYEDIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDSRSSIFDAKAGIALSKNFVKVVSWYDNEWGYSSRVIDLICHMAKA >Solyc01g067425.1.1 pep chromosome:SL3.0:1:75914753:75916263:-1 gene:Solyc01g067425.1 transcript:Solyc01g067425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDVWDWEKSIWAVETEEAMSTTSQAPLILQGLAPFEVEVAAPRPPFTVYKASSQYNGNSSKSKAPVVELEDQGIWKKVKPKEYSVIEQLSKTPSQISILELLQSSETHQNSLLKIFGEAYGPSNITHGEVSQMVGQLQPWFSQKIIDMMAWFGFELGKGLGAELQGIVEPIQPIRHSTTFGLGYKYSTEEWIDWKPPRDGYYYPLKKPIPPLYQSFRSAGFMGGSIDEISDDLKGLWLTKEEGKVCNVVINEEEKGGPSGSKEAKISVSNWTSTPSKPRRASG >Solyc01g009745.1.1.1 pep chromosome:SL3.0:1:4039646:4039660:-1 gene:Solyc01g009745.1 transcript:Solyc01g009745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLIM >Solyc11g069680.1.1.1 pep chromosome:SL3.0:11:54592276:54593700:1 gene:Solyc11g069680.1 transcript:Solyc11g069680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFSRLLSTISKKVVKPFSPTPSTQKIHKLSLLDQCMGNFYMPLVLFYPKHQLEQGPKQLSKLLETSFSKVLTYHQPWAGSLRDNATIHCDGTGAEFFEVEVNCPMNQIVHRPDLTFPPGLPWKNVPHVNDGGRLSVAQLSHFDCGGIAISVCMSHKVGDARSAFSFLKDWATLTREQSNDQLSCPSNSNDQLSCPSYYVQDSLMPSLPDGPLKFPVVVEPNGEESIEFEKRFFLSESNIRALKALIVDDPSSIVQNPTTTEVVSAIVYKCAAIAGANTSNGNNDSSSQMVFVSDLRKTIPPSIKSTSTIGNILTTFSTPTYNLEDLRLPKLVADIRKSKHELSTRDNFNENRWVSEMIEYANKINTGTEYELSYRRESSSHDVYRCSSVCNIPFQDLDFGWGRPTRASIASTPFNNMIYLMNTQDQNRGIEVFINLDQQQMSIFEQDKEFLQFASPVGDNNFHEDKEKLCCL >Solyc02g077430.3.1 pep chromosome:SL3.0:2:42941909:42943255:-1 gene:Solyc02g077430.3 transcript:Solyc02g077430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRTYQVKTKREKKMSSMADKWEELSGKNKWEGLLNPLDVDLRKYIIQYGELAHVTYDTFITEKASKYAGASRYSMENLFSKAGLDPSKYRVTKYFYATSSIPLPDAFITKSLSREAWSKESNFMGYIAVATDEGKVSLGRRDIVIAWRGTIQTLEWVNDLQFLLIPAPEVFGKGGLLPLTQPLVHHGFYNIYTSESERSKFNKTSARDQVLEEVKRLVEEYKDDEVSITVAGHSLGASLATLNAVDIAFNGINKTSEGKEFPVTAFVFASPKVGDVNFVNTFNKLKNLHIMRIDNLLDIVPKYPPIGYFDVGQEIIIDTTKSPYLKLNPGDPHTRHNLEGYLHGIDGTQGIGPLDGFKLEVNRDLALVNRIWDILKDEHLVPGAWWVEKHNGMVQQENGKWILMDHEEYEL >Solyc01g011510.3.1 pep chromosome:SL3.0:1:9476823:9491009:1 gene:Solyc01g011510.3 transcript:Solyc01g011510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldehyde dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4ATY6] MSTTTMTKLCNSYPTTPQIMLECEKELEVLKETFKSGKTKEESWRRSQLKNLLKLLEEKENDIFKALKQDLGKHKVEAYRDEVGTLVKSVHYALDGLKQWMSPKKAKLPIAAFPSSAELLPEPLGLVLIISSWNFPFSLSLEPLIGAIAAGNVVLLKPSDQAPASSSVLAKIIPNYLDNKAIKVIEGDYTVGDKLLQQKWDKIFFTGSPKVAQIVMGAAAKHLTPVTLELGGKCPAIIDSLSSSWDKKIAMKRILSGKFGSCAGQACIGIDYILVDNTFVNELVKLIKLGIPKMLGENPKESHSISRIVNKNQFLRLKNLLDEPMVKKSIIYGGSSDEDNLYIEPTVLLDPPLQSTIMTDEIFGPLLPIITLDKIEDSIEFINARPKPLTIYAFTKNEEFKRKITKGTSSGSLVFNDTIIQYAADTLPFGGVGQSGFGRYHGKFSFDTFSHEKAIARRSFLTDIWFRYPPWSDHTLQLFRSAFIYDYLSVVLITLGLKRA >Solyc04g028380.2.1 pep chromosome:SL3.0:4:16263612:16269546:-1 gene:Solyc04g028380.2 transcript:Solyc04g028380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNILEVVTDESLRKENWWGKNYVCQINNFWFLPKFVPSTIRVLNEFKPLPNDVILASFPKTGTTWLKSLVFSIINRSSKESSLLVKHNAHDLVPTLEVQVFGTTRQYLDNESHHSSTRLYSTHIPYQLLRKTFDSSNCRVVYITRNPKDTLVSMWHFTNKWKAVDQDGPWHIEEAIEKFCSGVFPGGPYYDHVMGFKNASLEKPKNIFLITYEELIKDTKIHVKRLAEFLGFPFMNHEEEEVDEIVKNCSFDILSSYAVNKSEDFPSWFQVPYNSFFRQGVVGDYKNYLDAKTIERIDALTRDKFHGADFITIRVLNEFKPLLNDVILASFPKTGTTWLKPLVFSIVNRSSKESSLLVKHNPHDLVPTLEVQVFGITREYLDHESHHSSTRLYSIHIPYQLLRKTFDSSNCRVVYITRNPKDTLVSIWHFTNKWKAVDQDGP >Solyc07g020710.3.1 pep chromosome:SL3.0:7:13274624:13291578:1 gene:Solyc07g020710.3 transcript:Solyc07g020710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEEGHFPPKKAESELASVQVETDNPAKKLARQLDFTGGVPGQALTVSLQEHANQIIQPEVIKSSQLTVSMKSTQVISPQPQLQQHILLMPMQQAPVLPPQPLIRPLSMQSSSEAKDGTPKKPKQCNCKHSRCLKLYCECFASGIFCDGCNCANCHNNVENEASREEAVEATLERNPNAFRPKIASSPHGTREEREEAGDGLVLPKHNKGCHCKKSGCLKKYCECFQANILCSENCRCMDCKNFEGNEERQAHFHGYHANNMAYLQQTANVAITDAIGSSGYGSPPVNKNRKPQELFSGSMIKDPVHRLGQFQQENQIKASVPPSLLSSIPSTRVSNAAALGPPKPTYRSLLADIIQNHDIKELCSVLIVYAQEAAKILSDEKDASLKQAKHHRKASYPSSGVGKVVPDDCSTGIQAESIRGDESRLDGADVSAERPISPGTLALMCDEQDTTFTAAAAPPNDLTSLSNNTSSQPPHGQGRAEIYPELERIVLAQTRDCLKKLITLGEIKEKKCSLMVRDTDSGRQNNVSSNGVVNTVPPPPPPQQKVDSGTTAANTTRPKVHPPDGK >Solyc01g108320.3.1 pep chromosome:SL3.0:1:95566504:95570646:1 gene:Solyc01g108320.3 transcript:Solyc01g108320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4B348] MASRSLLPCLTVLFCMISIMAPLTFGQLDYSYYDRACPVLPRIIRWNVWSALRNDSRIAASLLRLHFHDCFVNGCDGSVLLDDTNDFKGEKNAAPNRNSVRGFETIDNIKADLERACPFTVSCVDILTLAAREVVVMSGGPFWPVLLGRRDGLTASEKAANEQLPSPFEPLDKIAAKFTDKGLNLRDVVVLSGAHTIGFAQCFTFKRRLFNYQDSGKPDPLLDYSMLLNLQSTCPEEGPNSKITPLDNQSVTRFDNAYYRNLMNNTGLLESDQALMSNSETADMVKAYSLYPYLFYQDFAASMVKLGNIGVLTGESGQIRKVCGSVNYYY >Solyc04g008030.2.1 pep chromosome:SL3.0:4:1682019:1697612:-1 gene:Solyc04g008030.2 transcript:Solyc04g008030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDCLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGHSDHQRYPDFSLISESGDFDNALSCIIITHFHLDHIGALPYFTEVCGYNGPIYMTYPTKALAPLMLEDYRRVLVDRRGEKEQFSSENIADCMKKVTAVDLKQTMLVDRDLQIRAYYAGHVLGAAMFYAKVGDAAMVYTGDYNMTADRHLGAAQIDRLQLDLVITESTYATTIRDSKYVREREFLEAIHKCVDSGGKVLIPAFALGRAQELCMLLDDYWERMNLKVPIYFSAGLTIQANMYYKVLINWASQKVKNLSATRNAFDFKNVHSFERSMINAPGPCVLFATPGMLSGGFSLEVFKQWAPCEQNLIVLPGYCLAETVGHKLMRAKPPARIDVDKSTQIDVRCQIHQLSFSPHTDSKGIMDLIRFLSPKNVILVHGEKPKMASLKERIESDLRIPCYYPANNESQRIETTHYIKAEASKSFLQSSLSPNFKFLKTISRADTGFVLNERAESCVQVCDDRVAEGAVIMQKDQHPKIVHQNELMDILEAENHKVQVAYCCPVCVPDEPKNVALSPGEDMHPVLDKCSLLHLLYTKLSNGFQDVTILNDGDRLQIQSFTVSPCLKEKCPHRIHVNPDSTSEAVNFCCTWSMEDEKLAWRVISSMKNLELKTR >Solyc03g019680.3.1 pep chromosome:SL3.0:3:62921207:62927564:1 gene:Solyc03g019680.3 transcript:Solyc03g019680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHGGGSLSRRSSLSLTSSTSNLKKKAAAHENGGGQPDSGTRKSMSLSRSMGLTGERTVKRLRLSKALTVPDSTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDIATRVIAREVNIQETPVSKIMTKNPVFVLSDTLAVEALQKMVLGKFRHLPVVENGEVIALLDIAKCLYDAIARLERAAEKGKAIAAAVEGVEKHWGSSVSGSNTFIETLQERMFKPSLSTIISENSKVVIVEPSDTVLATAKKMLESRTSSAIVMVDNKPRGILTSKDMLMRVIAQDLSPESILVERVMTPSPECSTTDTPIVDALHTMHDGKFLHLPVVDKEGTVVAVLDVLHITHAAVSTVGQNAGPNNEAANTMMQRFWDSAMALTPDDDEETRSEGSLKLASEGAETGRSNLYPSASLPNTFAFKIQDRKGRMHRFNCDIRSVTDLITSIIQRLGNDIDRNNLPQILYEDEDQDKVILASDSDLTAAVEHAKLSGWKGLRLHLDYSGTPGRRRGSNSESLDYAHPESAWASAYTAVAAGAALAASLGVFAFLRRSGE >Solyc07g045540.3.1 pep chromosome:SL3.0:7:58803218:58808841:1 gene:Solyc07g045540.3 transcript:Solyc07g045540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTQPLNWVSGIYSRIQPRKHFEVFSSNGFPLNAVSVQDEQVPLTELGSGETTVSITVIGASGDLAKKKIFPALFALFYEDCLPENFVVFGYSRTKLSDEELRNMISTTLTCRIDKRENCDAKMEHFLERCFYHSGQYNSEDDFAELDYKLKEKEGCRISNRLFYLSIPPNIFVDVVRCASLKASSTSGWTRVIVEKPFGRDLESSSELTRCLKKYLTEEQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRNYIRNVQFIFSEDFGTEGRGGYFDHYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDIVLGQYKGHSKGAKSYPAYTDDPTVPNGSITPTFSAAALFIDNARWDGVPFLMKAGKALHTKRAEIRVQFRHVPGNLYKRNFGADMDKATNELVLRLQPDEAIYLKINNKVPGLGMRLDRSDLNLLYKAKYRGEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPLLKELEEKKIAPELYPYGSRGPVGAHYLAAKHNVRWGDLSGDD >Solyc05g014935.1.1 pep chromosome:SL3.0:5:9137495:9140459:-1 gene:Solyc05g014935.1 transcript:Solyc05g014935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIELCHKFSDCDKMLIEVNGKLGTMNYYDYWFSNDIYLWVFDEDKEWKLHEILHFPLEKEPNESSLYMFTIRKYGTEEIVFANITSKSVENVMITPQGIHSWLDYSTTNHQCCPETISSPVSQVDGPLVPILAHILPTKNTPQSSSSSSLQTHSYSTSPSDTQNEETSTLSCETVPALSEDAPASPL >Solyc04g049150.2.1 pep chromosome:SL3.0:4:39843281:39847044:1 gene:Solyc04g049150.2 transcript:Solyc04g049150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSHFAMSLSTCRVRTINNFISSLCSFLRISGCTHRCEMMPRQGARTSRRSRPSTVLFSFCFSQNWDFQRTTLGAVCSYSSFLSRWWKSVAVQVVVVSVVAFEKSLRLHKMNSILFYTKKMDNPLPGRAYYQLLSNALESYRSILLCEKRGIKTSLMCLVESS >Solyc11g020140.1.1.1 pep chromosome:SL3.0:11:10283615:10283788:-1 gene:Solyc11g020140.1 transcript:Solyc11g020140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLALMLELNFLIPQLLFLLVLSSQVLSDYIFHLTRVLHMTRVLHVIFVKYDISNF >Solyc02g094360.3.1 pep chromosome:SL3.0:2:55540508:55570972:-1 gene:Solyc02g094360.3 transcript:Solyc02g094360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIASETMGSTFNTQILVDKLEMLNSSQQSIETLSHWCIFHMTKAKQVVETWAQQFHCSPREQRLSFLYLANDILQNSRRKGAEFVAEFWKVLPDALRDVIENGNEFGRNAALRLISIWDERKVFGSRGQILKEEFAGKHVGNGKHSGGKVRNSAGDALDKIVSSYQILYGGQIDEDAILSRAKNAISCVAKIDKKIGSDLNPGHLNGSGIVDELKGQHTILKDCVEQLTTVESSRVNLISHLREVLQEQEYKLDQVRNHLQAAQSHADQVGSICGQLLNFDGNGQILAEQNIKEANTSQAPHSFISGNREQSAPVMYTRQVSYEKSGNLEEDMKSAAAAVAAKLTASTSSAQMLSYVLSSLASEGVIGNSTKESLHDNQPEKRMKLENDGSCYAPSQNPQEAVTFFSQPNSVQHNLASTGRESTPTEPPPLPSSPPPLAPLPPMQPYPAGQIIQTSGQLPSSTFVYATSQQVQPASTLGGFVPPVNGISPFTYPATIGYQGYSSDSSLYSHQSSSLPMAPVSRP >Solyc07g045480.3.1 pep chromosome:SL3.0:7:58737920:58749195:1 gene:Solyc07g045480.3 transcript:Solyc07g045480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phytochrome [Source:UniProtKB/TrEMBL;Acc:Q41335] MSSSSTTNKTNCSRGSSARSRRSARVIAQTPVDAKLHVEFEESEQQFDYSSSVNLSNSTSNVPSSTVSDYLQKMQRGSLIQPFGCMIAIDAQNFAVIAYSENAPEMLDLTPHAVPSIEQQEALTFGTDVRKLFRSSGASALEKAVSFGELSLLNPILVHCKNSGKPFYAILHRIEVGLVIDLEPVDPHEVPVTTAGAIKSYKLAAKAIRKLQSLPSGDISLLCDVLVREVSHLTGYDRVMVYKFHEDEHGEVVAECRTPELEPYLGLHYPATDIPQASRFLFMKNKVRMICDCLAPPIRVIQDPRLAQSLSLGGSTLRAPHGCHAQYMTNMGTVASMAMSVMINEQDDELDSDQQVGRKLWGLVVCHHTCPRFLSFPLRYASEFLLQVFSVQVNKEVEMAAQLKEKQILQIQTVLCDMLLRDAPMGIVTQSPNVMDLVKCDGAALYYRNKLWLHGVTPAESQIRDIAEWLNESHGDSTGLNTDSLMEAGFPGASVLGDAVCGMAAVKITSKDFLFWFRSHTAKEIKWGGAKHLPGDKDDGRKMHPRSSFKAFLEVVKRRSLPWEDVEMDAIHSLQLILRGSLQDEAADCSKMIVNVPAVDTIIDRVDTLHINDMVRLVETASMPVLAVDTSGRINGWNSKVSELTGLPVENVIGVPLVDLVIGGTTNTIKRVLSLALQGKEEKNVEIKLRTLGPQEKVGSISIVVNACCSRDFKQNIVGVCFTGKDVTGLKLIKDKYSRVQGDYVGIIHSPSPLIPPIFVMDEQGRCVEWNDAMHKLTGSKREEVIDQMLLGEVFTVNSFGCRVKDQDTLTQLTILLNRVIAGGEGEKLFFGLFNKQDKYIEALISANKKVDDDGRVTGVLCFLHVPSPELQYAMHVQKLSEQAAKNSLKKLAYVRLELKNPLNGINCIQNLLKSSDLSKDQRQLLKTSTMCQKQLAKIIDDTDIESIEECYTEMNSCEFNLGEVVTVVINQVMILSQERKVQVTWDSPVEVSQLYLIGDNLRLQQVLSDFLTTAILFTPFEDSSVHFRVIPRKERIGTKMYIMHLEFRITHPSPGIPDDLIQHMFHYSRSISREGFGLYISQKLVKIMDGTVQYLREADRSSFIILVEFPLMEKKNN >Solyc02g072535.1.1 pep chromosome:SL3.0:2:42296977:42297335:-1 gene:Solyc02g072535.1 transcript:Solyc02g072535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDANEMSFFGIEYSMRKLVVTPGSFSNGLCRRGHVAAGLGSVSRFGSRCCLLLWASGEDTAGMLYCW >Solyc09g074855.1.1 pep chromosome:SL3.0:9:67097539:67102596:-1 gene:Solyc09g074855.1 transcript:Solyc09g074855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDEEVVDPKATMEVSCKPKCVRQLKDYQACTRRIEGDESGSKHCTGQYFDYWQCIDKCVAPKLFEKLK >Solyc09g059690.1.1 pep chromosome:SL3.0:9:55362149:55362496:-1 gene:Solyc09g059690.1 transcript:Solyc09g059690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGNGSDDAENNHIAYDVTYVEDISQQGSDFLDCGIYLLAFVEYLSEGEGIPVKYLDSKLHRITYGALLWEYAMKKMKDGAVSDNKAPP >Solyc05g005630.3.1 pep chromosome:SL3.0:5:440749:452697:1 gene:Solyc05g005630.3 transcript:Solyc05g005630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVIDWSTLIDDKPPAELVVATKATPMISIDDGEEQNDEFSNLRIDELTEKINRFKSLLSTKGPRLVDGGEKLKTTIKKYEAEFERRKLLNSDKGTDGCALLGRHHSSSIGAANDLGQRSAQSAPRSQSAFAVCFSKKLEEKANSKAPNGFQNELHALNTCGRKRILTKKFSPRRRCKTELSAREAPFKSPLYIDDREFYANGKTRDISTSSPRYSEESFSSRVRKEWKPSQAHSVCTLRHANDEAVVLLDEEEPDVVEPRQQAHHVVESTKATKIYFPSRDDPEAVEIYYSDMESLAPEAYLSSTIMNFYIRANTGTTYVAIYLLIHMWHLQQKKSPADGERCDYHFFNTYFYSKLKEAVFSKNEKEALFVKLRRWWKGVNIFEKAYIFLPIHESHHWSLVIICIPDKEDQLGPIILHLDSLRLHSSSSLFGTMKKFLIEEWKFLRQGVVADYPFDDKIWENLPQRIDDYIIPVPQQGNDYDCGLFVLFFMERFIAEVHERLKKKDLTMFGRKWFKPEEASRMRRIIRDILEEKFKNPSDK >Solyc10g052867.1.1 pep chromosome:SL3.0:10:53873995:53874987:-1 gene:Solyc10g052867.1 transcript:Solyc10g052867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNNREEARRDFTQGYTEFENEVKLIANLQHRNLTKLLGYYINGVEKFLVYEFMTNNSLGKVPRFQFSTLLIQENYKYNLLLESQISPPLDRVQFRPNVHLLQV >Solyc01g057007.1.1 pep chromosome:SL3.0:1:57911974:57916177:-1 gene:Solyc01g057007.1 transcript:Solyc01g057007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVGAELTWLVRLFTNLSVPISLLVPLHSNSKVAIHIAKNLVFQERTKHVELDCHFVRQQFLDGLISLSFTPSSSQLADLFIQPLTGPLHSNLLCKLVFLNKMGHLLKEILEDDHTRQSKAPYGAPVLFQKKKDGSLRLCIDYRALNKVTIKKKYPIPLISEMFDRLGQAKYFTKMDFRKGYYQVRIAHGDEPKTACVTRYGAYERLVMPFGLTNAPAATFCTLMNKIFTPTWTKTPWRSTWRTLGESTNSFGKTSSMSSERSESLLSTRYTS >Solyc03g122300.2.1.1 pep chromosome:SL3.0:3:71656060:71662190:1 gene:Solyc03g122300.2 transcript:Solyc03g122300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSVISESAVVMNAAEGGKLAAPTIEVDFAKCDCCGLTEECTLAYIETIRERYQGKWICGLCAEAVKDEMMRCERLINAEEALTRHLNFCKKFSSSTPPPDPTIHLIAAMRQLLRRSLESPKSLRSMPCSPTRNSTEMQTNVLVRAESCIPTLSLVVDSAAYHAMEMDPDSE >Solyc05g007160.3.1 pep chromosome:SL3.0:5:1752611:1758706:-1 gene:Solyc05g007160.3 transcript:Solyc05g007160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSGMKKRVTTNSSSNGNSVEAAKPKERHIVSWSQEEDDILREQIRIHGTDNWTIIASKFKDKTTRQCRRRWFTYLNSDFKKGGWSPEEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFTTLCKKRAKHEALAKENSNSFINLNNKRVIFPDGINVDNIAEAAGPIKKLRRSDISDVLQIGNSREKSDGDCGTTAHPLLRHPFAVLAQNLHNTGESLVSHQTTTENASDNRTQGTFLKQDDPKKQALMQQAELLSSLAMKVNTENTDQSLESAWKILQDFLQQTKDGDTLKFQLPEMNFEPDDYKNLIADSRSSNEGSRPSWRQPALSEDSAGSSEYSTGSTLLCHALADKTEESQAEVCAQHQDIESELRTSQMSDQGGLHELENGTSCHIATTRDTLPVCDEEKANNGPATAECDFLNTDFSSPLQVTPLFRSLAAEIPTPKFSESERQFLWKTLGVESTSMHPGTNLSQPPSCKRALLNSL >Solyc12g098757.1.1 pep chromosome:SL3.0:12:67158544:67165575:1 gene:Solyc12g098757.1 transcript:Solyc12g098757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLNNGGPAKGRRYLPQILVALAILAVANVVSADPYVYSSPPPPVYEYKSPPPPSPSPSPPYVYKSPPPPTPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPAKSPPPPYYYSSPPPPVKSPPPPYYYSSPPPPKKSPPPPYHYTSPPPPVKSPPPPYYYSSPPPPKKSPPPPYHHSSPPPPVKSPPTPYYYKSPPPPPKKSSPAPYYYTSPPPPTHYYPPHHQFVVKVVGKVYCFRCYDWKHTEMSHGKKHLKGVVVEVTCKAGDKEIVSYGTTKNNGKFSITVKGFEYRKYGAKACKAKLHYAPKGSKCSIPTNLHWGIKGANLKVKSKNKYEVVLYAKPFAYGSKTPYAECKKPKPTPAPYYYKSPPPPTPTYVYKSPPPPSPKYVYKSPPPPTPTYVYKSPPPPAYHYKSPPPPSPKLAPILV >Solyc12g008500.2.1 pep chromosome:SL3.0:12:1915182:1918511:1 gene:Solyc12g008500.2 transcript:Solyc12g008500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNLPDEINRLSSNLRYLNITANNFNGDIPNGIGGLSQLKVLELPGNLFDGSFPEEIGELLNLEVLVMSLNPFAPQAIPSRFTKLKKLKNFWMTEANLIGNIPENIGNMTSLEYLDLSKNGLSGSIPDGLFQLKNLSIVYLYTNKLSGEIPQLVSSRSLNVVDLCNNSLTGRIPEDFGKLTKMTGLSLFYNQLSGEIPLSIGKLSSLVSVKLFGNKLSGVIPPDFGRFSKLFDFQISENQLVGKIPEGICNNKALARMVVYGNNLTGELPSSLGSCDSLRYLRVEKNRLSGEVPDGLWTGNNMSMLLMNDNLLTGQLPHRVASKLSQVDISNNKFSGEIPAGMGTWHNLSEFKASNNLLSGQIPQELTLLPGITKLFLDGNLLSGNFPSNISSWKTLVTLNSRKNQLSGPIPSALGLLPNLIDLDLSSNQFSGVIPTELGNLKLSSLNLSSNRLSGEIPSQLENAAFGKSFLDNPGICASNPSVEVASCKRETKSDKFPVGLVAALASVAAVSFLVAVLYGLFVLRSHRKRKQESVSTWKQTSFHKLDFTESDIVSNLTENNIIGSGGSGQVYLVPLSRSGDYVAVKRIWRNQRLDHKHEKQFLAEVQILGTIRHSNIVKLLCCIFSEESKLLVYEYMENRSLDIWLHSKNRMNNASRSTPHLVLEWPRRLQIAIGAAHGLCYMHHDCSPPIIHRDVKSSNILLDSQFNAKIADFGLARMLLKPGDNTVTAVAGSFGYIAPEYARKTRVTEKIDVYSFGVILLELVTGKEANLGDEDSCLADWAWRHLQKGKPMADALDEDIKETRYLEEIFIVFKLGIFCTSTFPSSRPTMKEVLQILIQCNNSSPTSGEKKNETEQDVLPLLKNSRSERIEENDDVGLISLI >Solyc06g062590.3.1 pep chromosome:SL3.0:6:39620312:39624587:-1 gene:Solyc06g062590.3 transcript:Solyc06g062590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLQKISRKRLTMNTKLICKMDNLPVAVPRQRQDGSQSTTYEHGFRVGFKGNYAGSKEERYFINNHLSFLVKYHKDPETDTARIVGFEVIPNSINHEYKEWNDKNPQVSTCNENTKKLILGSAAPQEVDTDKEVIFTYDVAFKESDVKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRPPINSGLLCVYVGTGVQILAMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLLAGYTSARLYKMFKGTEWKRITLKTAFMFPGILFAIFFILNALIWEERSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGYKKPAIEDPVKTNKIPRQIPEQAWYMTPSFSVLIGGILPFGAVFIELFFILTSIWLNQYYYIFGFLFIVFLILIITCAEISVVLCYFQLCSEDYHWWWRAYLTAGSSALYLFLYSVFYFFTKLEITKLVSGILYFGYMLIASYAFFVVTGTIGFYASFWFVRKIYSSVKID >Solyc12g049550.2.1 pep chromosome:SL3.0:12:62128629:62135219:-1 gene:Solyc12g049550.2 transcript:Solyc12g049550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPLGTSNFTLVLLIIACCFHIPIVECKCQKTKEKASLFIFGDSFFDVGNNNYINTTTLDQANFKPYGETYFKFPTGRFSDGRLISDLIAEYAKLPLLPPFLQPGSQHTYYNGANFASAGAGSLVTTFQGFVIDMETQLKNFKKVKSWLRNKLGKGKSQNILSNAVYLFSIGSNDYLSLFVTNSSIPISPQYQQMVVGNLTTIVNEIYKIGGRKFGFLNLGDLGCLPGLRMLNSSNKNGCLEKASNMAKLHNVELYNTLFKLKKKLKGFKYSLYDFNSSLRKRIDHPSKYGLKEGKIACCGIGRFRGIHSCGGKRPVGHKFELCQNPNMHVFWDSYHLTETTYHQMAAEMWNGPSTFKSLFRCS >Solyc12g015710.2.1 pep chromosome:SL3.0:12:5687004:5696010:-1 gene:Solyc12g015710.2 transcript:Solyc12g015710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKIGSISDTVMEILNFPASSFFSDQHAHTIMPILFSGRTLHFPKLSATSSSSSESHNSKQVKFAKKISQNSATSVRRAGSGSPLRKTHKLNLEVSPHRAVSAVRLMRIELGGAFADLLNEQGKGSGDNEMGYVERTLGFRTRDLDDRDLRLVTEVVGGTIRWRRYLDHLILSLCHDERTFRSMEPLLVQILRIGFYEITKLDMPPYAVVDESVRLAKVALRPGAGNLVNGILRKLAVLKESDSLPAVEVNGDDRQQARGLATLYSHPVWMVRRWTKYFGQDEAIKLMTWNNSYPSFSLRANTGKGLTGADLVSRLNMLKVPHEPSLYLDDFVRLKTGMQNVIQAGLLKEGFCAVQDESAGLVVSIVDPQPGENIIDCCAAPGGKTLFMASRLNGQGKLFAVDINEGRLRILRETAKLHQVIDVISTIHSDLRSFSTDKLVEWDKVLLDAPCSGLGVLSKRADLRWNRKLEDMEQLKHLQDELLDSASRLVKAGGVLIYSTCSIDPEENEERIEAFLSRHPEFDIDPVNKYVPENFITTRGFYMSNPVKHELDGAFAARLVRSQ >Solyc03g006177.1.1.1 pep chromosome:SL3.0:3:830387:831967:-1 gene:Solyc03g006177.1 transcript:Solyc03g006177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSRSCKSCQKHTIGSDDTTGNPVCLSCGTLQDYDNYDAQIGGISGPTGTQVRTGTAGSGTVYNYNETKIYNAQKEIEDLMYQLGFSSLKSSEVKDMVVKVTDGEYGQGRWFTVLIGACACIVMRIDGKPLAIVTVANIVCCDIYELGRMIYRVVDFLDLKLPEFDIVDSFEHYIRNAPSFSEVSEDLIGRMLKQGVFLVQCLVKWYVTTGRRPLPVVAAVLVFVAKLNQVDVVIEDVANELQVAVVTCRLRYKELLERLVKVARGLPWGEDVTVKNIMKHATFVIQYMELKSMSKNRNKNKNFEDVGFDLDYLIDDCLSNGNDYALDADDTVNDSQYFMMDHSKRLSIEGPNRFQISQECLAMIYSKMKDEMHVHESTHSRDNSIRKRKRGYGMLSYTDWWKGESEMSKKLLVKQIVEKDVGLSVMPPSFDSGCLSYERRKEKIKAAKYRIHKTMYPSDASSIDTIDVGSLEHVNAGKRRKRKMKFDVDWEDFIIETLLLHQVEEVEIEKGYYKTLMDLHVFNY >Solyc10g083510.2.1 pep chromosome:SL3.0:10:63422594:63427069:-1 gene:Solyc10g083510.2 transcript:Solyc10g083510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFNMKQWSNQHESENQESPTKLPRLLLDFHSVSSDSASAAALPLFVSEPTTSTTTCTKLMSDSATTVTTKFPRIGSGGGYFSLAQWQELELHSLIFRHFVAGAPVPSELLHLVKKSIIASPPPPPSYYFAHPYQQYPHYQQALMQSGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGQKYCERHVHRGRSRSRKPVEIPTPANNGSKNNNTVSHHQAFGKMTGHAHAGGGAPQFSLSGHSPSTNAPFHLNQRPIKGPPQEVLQKDVSIGDGKSSSGQILRHFFDDWPRQQLQEGDNAATSLSISMPGVGGNPSSDFSLKLSTGNYYDSGTQVSNVERSTWGTSHHHVASMGGPLAEALRSSTTNSSPTSVLHQLARGSASEASYIST >Solyc10g048110.1.1 pep chromosome:SL3.0:10:44174285:44174903:-1 gene:Solyc10g048110.1 transcript:Solyc10g048110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGGDRLKNQVEAFEEMISEIPFRYCVGESKISILNRGPKTKPDTIFSGAKRKVITLIEEVPDNPSLSDAPVKTFTGHIVRYVLQIKMD >Solyc11g072500.2.1 pep chromosome:SL3.0:11:55992049:55993935:1 gene:Solyc11g072500.2 transcript:Solyc11g072500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIMDHHQQEMTSQTLESMLVCAKPDQDQKKPRPAAAEQQPQKCPRCDSANTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNRKLSSAKRSSQDNISPNSSNSSTDLSLAFARLQKQTNAIDQEQDTNNNMSMMYNTNNDNTSTTFLDALRGGFLENHHGLFQHNMYNYANMGQLVENGEMGLSYDQDQMSIGTMMTTTMKQEMCNVARSTEGHDLNDNNKVLWGFPWQQMSGDHHVNNNMNTNDFEYSTNKQSWNGFGGSSNWHGLINSPLM >Solyc02g094100.3.1 pep chromosome:SL3.0:2:55372619:55379424:1 gene:Solyc02g094100.3 transcript:Solyc02g094100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKEDSNKNTAPNSKSEKMEDEKSEASWRKEVDTNVKRLHSMLFGAEVALKSKDFSSATVLGLGLIGFLDSHSHSDADEAFIRPIRCEAMSNFDSARSSLITESDRHAFEQAGRDPGCIFAQGKNIDIEKIKQSKYFLSLCQQHEDTAKGEQGNQLNRHANVGSQTLKTPVHTSPRDFSLIKNRSFANDSSPEVLPVDRFHPKHSYPKHQTVDIKDGEEERTCVNGSKTRRLYRESNVSRDENISSPLCTEEADVDASPNGFVTARAKLEMDTRHKRGLSRSPSASISPQNDNTLMSKGCGVRSYGFPRRGIRGNFVPPIRGSGGNNVGNVTSRTSGKGEDILDDSTRRCLEMLVGPDGELPEKLRNIEPRLIEHISNEIMHRDPNVRWNDIAGLEHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDNVSEQILLIGATNRPQELDEAARRRLTKRLYVPLPSSEARAWIAKSLLEKDGLFKLSDDDIVSICKFTEGYSGSDMKNLVKDASMGPLREALRHGIEITKLKKEDMRPVTLQDFESALQEVRPSVSLNELGAYEDWNKQFGSLAL >Solyc08g081670.2.1 pep chromosome:SL3.0:8:64763025:64765595:-1 gene:Solyc08g081670.2 transcript:Solyc08g081670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKKLVVNVNQGETLEYDECNISKNCKTKANVSLIGIRRQKNGRCAAVITDRIRHKKVWLGTFDTVEEASQAYFSKKSEFQNEKLRNQGNKKSERKRETRSIVEVYKRGKYYSEKSDVKQGNENVNGEVCHMESTWESEIDVVILSSFNGGTEQRIDSHEIGSVEEVFRIAKEGCRVFNLGLMANVCGTKSSDDCNNTTSCNPKVKVSLIGARRQKNGRYEAVITDPFTHKRVRLGTFDTVEEASQAYFYKKSEFEKLSHQGNQQNILKKNCDHIQQLESSFVVASLDTVASESGISKRIDSQGEEPKSSKATSCVESSDECCITTSCDPKTRISITGIRFEQLHGRSKKVKRTTNIHGRGNGCNFQASTVFNSGLIATVYGKESSDECNTPMRQEKLSWNPKVKEWENLQLQKLVKAKQQQWADDEDLWMGQLPGDNDREIMFSLKLGLPIMDIF >Solyc12g007300.1.1.1 pep chromosome:SL3.0:12:1699424:1700131:1 gene:Solyc12g007300.1 transcript:Solyc12g007300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLEKEDIEAALLLSWLKYVPVFPKKEEKSKRKHRRFIKNETPEIQIPKRVKNSPSASGFEVYGAGNPKRVRLSSNSNGGQAASSSRNSNPVPKRGRVSPSNLPGSLPKFPPIENLTTLIGQCSNPFVKQLTNSDVNGHQGRFLLSNEYVRNQLLPLLNEKSEDLTTGISVKTFDPMGKYCNMKFKTWGNHKTYLLLGSWKQFVQEHGLKVSDCVTGWMFRHNRTGELCFALTW >Solyc01g009190.2.1 pep chromosome:SL3.0:1:3170922:3173509:1 gene:Solyc01g009190.2 transcript:Solyc01g009190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSKLQELCHSKKWALPQYCCMRDGADHNPKFKASVVVNGINFDSLPLRKSSKEAHNEAAKFAFLHFTNGGSLPTAEDTVPTKVDGECENLQDRSTSEIKKGGSLPTIEDSGLTKTEEACQHLQDHRNTSEIKQEDSHYQYKKKLQMYAKRKNLGVPVYCNKKMSSAQGLYFEATVTVAGELFKNPGAYKSSEEAEESVAQFALMKLVTVDLEKSNTGSYKSFLQELAQQEEICLPRYKTIGAGEPHNLTFFASVEIEAEIFHGDGAKSKKQAEENAAKVAYTALTKCKSIYAGNPSTVSAESEGEIVKTERIMESLSIFISEEKFRDEEEIVYSKSTSPKSIGADIVNASSLTLGVQELSVNEKTPSSVESLLHLPSKTTPSKSPTVSNADSSARRTAETESYLLSNRIRVYKSIPDGVLPTGTTVLPIAEDKWSVVRLEFLTEKCG >Solyc05g024000.1.1 pep chromosome:SL3.0:5:30202167:30204222:1 gene:Solyc05g024000.1 transcript:Solyc05g024000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQECGAVIGAIDFLKRRHLDNFLINHQLNDTRSQLVCVSLFQAKLEEVFLENTISTHVGALFQQANDGFSEICTHMNQLYTIKMAKPLEIFKLNNVAERLEAGSKPSISSREMILQVLEILRPENIAEKIKASKSSSKINTMEMVRQDTVHNVLMHAEVTANKIAKIIKGGSTEEIGLLLSEIESVKVEIRKVCYQFLDASPYNMTEGEDLITLLSELQDWLL >Solyc11g051010.2.1 pep chromosome:SL3.0:11:17216594:17217218:-1 gene:Solyc11g051010.2 transcript:Solyc11g051010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFKDGTGNFNTIVGAILAALDQSVKPLFIKIEKDTYQEYIRNVKKKTNIFPIGEGMDSMIIMGNRSSINGNKMYDTATAGVFGNGFTAQDSTFRNNAGPVKHQAIIRCPFINVGSTGIKTLYMKKNINSTETEIYGTIDFICGDATAMFQNCLIEARTPMARQYNTITDSTKERA >Solyc04g078060.1.1.1 pep chromosome:SL3.0:4:62998202:62998552:1 gene:Solyc04g078060.1 transcript:Solyc04g078060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISDTVVGNLTTLYLLVIAAMKAYGLMTGRSYGGVFVLIVSTAVVGTVLILSLTWDVSRKVTRCALTRDRHLTLNRNQPRHHSDELCRGGICWHGVAVRSPASQFRFRLPQHHPR >Solyc01g086690.2.1 pep chromosome:SL3.0:1:81520302:81526401:-1 gene:Solyc01g086690.2 transcript:Solyc01g086690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSHTFLARKGPLGTVWCAAHLQHKLKKPHYTSTNIPSTVERIMTPEVPIALRTSGHLLLGVVRIYSKQVEYFSEDCKTLLMGVIKAFSSTNVNLPEDATHAPYSSITLPETFELDAVVFDEDLDLNRIKDTHVKSYEEITLEDQVVSHEDQYVAIFIDEDIVKSLSKSGEVSGLGSMPMEIDSDPSNLDETAAQPQHSSPKNQEGLNKRTVGDDIPQDFPDIELMRDAVHDHSFENVPLWSDRGNDVMEPDRVLEEQIMRDKETASPVVKEILAPGGHSIPSQQRQEPPSTTSADAHEFADPQISFGHQSPDLALLSTPPLEVPKIRRKRKLLIYDKDIVLPNNEMKRRLKGIGIKSREKKKVPCFSLDIWKQNQRLRKDGIFFEPLITGLCDDLCNIYKKDFISAKVQMASPQEAPPSGNDLPVEIERLRDNQDLASTSLLSEILPSPNRPISSPQMSMPSPSRLISSPLMSMPSTSRRDDFSPATTTLGTESSQIGRTIDSGVQPTPDPAASTGHVGSHMETPSTWFGEGLGVEDTVLSDIPEFDNSAGDLSFLEQDDDTPIGLRGTPLSSKQRGTPEFDTLSARTRAVAQYLKGQSPVTLISEETGDISLNAILEGKKKRICARMFYETLVLENCGLVRANQHEPYGDITLKVTSKLTEKFSS >Solyc06g065950.3.1 pep chromosome:SL3.0:6:41447874:41453989:-1 gene:Solyc06g065950.3 transcript:Solyc06g065950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILYALVARGSVVLAEQSGTSTNASTIARQILEKIPGNNDSNASYSQDRYIFHVKRTDGLTVLCMADDSAGRRIPFAFLEEIHQKFVRTYGRAVLSAQAYGMNDEFSRVLSQQMEYYSSDPNADRINRLKGEMSQVRTVMVENIDKVLERGDRLELLVDKTANMQENTFRFRKQARRFRSTGGSDIPPPSDNICYFGFRLSWTYTSNLFEVRITGSASHLLSLKHYFAIFFI >Solyc12g019890.2.1 pep chromosome:SL3.0:12:12110381:12114177:-1 gene:Solyc12g019890.2 transcript:Solyc12g019890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCVEKMFKVGIFLLFLSGVDGLGVNWGTMATHKLPPKTVVQMLKDNGIGKVKLFDADQSTMSALAGSDLEVMVAIPNDQLSAMNDYDRAKDWVKRNVTRYNFKGGVNIKYVAVGNEPFLTSYNNSFLNTTFPALQNIQNALNEAGLGSSIKATVPLNADVYFSPDDNPVPSAGRFREDINDLMTQIVQFMSQNNAPFTVNIYPFLSLYANEHFPVNFAFFDGASNPIVDNGLSYTNVFDANFDTLVSALKAAGVGNLPILVGEVGWPTDGDKNANVNLAYRFYKGLLPRLAANVGTPLRPGFIEVYLFGLIDEDGKSIAPGNFERHWGIFRYDGQPKFAMDLTGQGQDKFLVAAQNVEYLSKKWCMFNPNAKDLSKLADNINYACTFSDCTALGYGSSCNGLDANGNASYAFNMYYQAQNQEEFSCNFQGLATLTDQNISQANCNFTIQIATSFSPKLLPMFITFLTAFTFILL >Solyc05g047400.1.1.1 pep chromosome:SL3.0:5:59706756:59707043:-1 gene:Solyc05g047400.1 transcript:Solyc05g047400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICAPFIGINHHWQNMIFGCAFLSSESAESFKWLFSTFLKSMGGIMPLTFITDQARAMVVAIREVMSGTRHRLCQWHIAQNALSHLGSLKNNKGF >Solyc10g078900.2.1 pep chromosome:SL3.0:10:60685738:60686978:1 gene:Solyc10g078900.2 transcript:Solyc10g078900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSTPNTMNLDLNLGPVESPTDDSESVPATFPIEDMNLEDLLDGYRFREVVRQRRSRWRSALRNIPVPAEARSIAMEFIGRSESQTDDVIAEERPSEVENTCDNNNGYSHDEILGKKEDSEKVTNAKECPVCKGEVTMKNVIPIYGRGTNVREPEEDSTLKIPHRPQARRVESWRQTIQRTAFTIPMEEMIRRLGSRFDLSQLQQQNSEGSHELPERSSSLLNRILTSRGRREQNPVLPSDDVVDLTQTSPTNSDVWETRRLSSLLLRRSNSHRAANYANLASAFGSGGRLVEPYFRSNTVERNQEQPLPVEDRDSVSSIAAVIHSESQTVDTAAEIDSRVSLSTSSSRRRNDASRISDVDSGDSRAPRRR >Solyc11g012170.1.1 pep chromosome:SL3.0:11:5100925:5102712:1 gene:Solyc11g012170.1 transcript:Solyc11g012170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYGEEKLSTVFIHGKIVCHEYDDHGCNNIIIKDDNFQLESTPIQGASVGVFCGSSGKTRRSWARNTTDEDGDFLIDLPSHLHAIPNLEKTCLVKVLRLPRNTICEHAFTGKHKGLELISIGGGIRTYTIQTIHLTPKVTQRCRTKVVM >Solyc01g017790.2.1 pep chromosome:SL3.0:1:25336759:25343761:-1 gene:Solyc01g017790.2 transcript:Solyc01g017790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVEVGSAVKHQAYDKKRRLQLPIAPPPSSLGDNEGRLAGATPSWLWLDVQVVDVGPPADWVKINVRATNDSFEVYALVPGLLRDELRVQSDPTGCLVITGQQHQLDNPWGVTSFKKVVTLPARIDQLRTNAVLTFHGCLHVHVPFAQQNL >Solyc06g074510.3.1 pep chromosome:SL3.0:6:46242046:46246745:-1 gene:Solyc06g074510.3 transcript:Solyc06g074510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSIAASSFFSPKLPKNLPFHNPNRPLFAIVRSSSVAQEIDKSSEERTESLGLKGLEFPAIKGAKRVVLVRHGQSTWNAEGRIQGSSDFSVLTSKGESQAETSRQMLIDDSFDICFSSPLRRSKRTAEIIWGAREEEIITDSDMREIDLYSFQGLLKHEGKAKYGEAFRQWQIDAPNFIIDGHYPVRELWARAKSCWDKILVHESKSVLVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFTPQPEGGTPSICLNRLNQTPGSPVAGGSSAGRKTSKRIVLVCHGVSESDLESSMPYTGNGPLNMLGNIQAQKIAELLLDLKVNTVVSGTKIASVETADTITKVQEAADCLGADCIPRYVETKQIPDLDVESILTQSKKDAPGLQNLSSGWLNRLEDDVNTSLWDQSGKSWKHLLYELSKGSDQDNVVIAVGHPALHIAMMGHCLNLTKEWLGSFHLNAGSITVIDFPDGPSGRGVIRCINYTAHLGRWSIPITRSTQADEEY >Solyc05g013530.3.1 pep chromosome:SL3.0:5:6766777:6767981:1 gene:Solyc05g013530.3 transcript:Solyc05g013530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPSINSHNFPLKFLCSYGGKIIPRQTDGKLRYYGGETRVLSVDRSISFTELLVKLGEMCGSSVSLRCQLPNEDLDALVSITSDEDLANLIEEYDRVSKISSFLKMRAFLYPPKSTKKVVSPTPSIASTSSTNSTTISNPDVTSSPSSFCSTVTSPPTSTRHHDCPRPYKKMARNSLDETISGRIRHIRTRSSPVMFPICHEKVTAKIPQYAYHHSHGNNSRHIYLIHHGNQYWQ >Solyc07g021493.1.1 pep chromosome:SL3.0:7:18793059:18802335:1 gene:Solyc07g021493.1 transcript:Solyc07g021493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFKMKLVKTKNEDTSTMKGTLRYTFVAPGSIGKGRGRGRGLKSSGDKGNMPSKKFYRKGNRGKI >Solyc06g043030.3.1 pep chromosome:SL3.0:6:29961757:29972054:-1 gene:Solyc06g043030.3 transcript:Solyc06g043030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTQFGATADSLSKASSLMFRIGTDAHLYDDPDDVNIAPLLDSKFDSEKCEALKRLLALIAQGCNISNFFPQVVKNVASQSMEVKKLVYLYLLHYAEKRPNEALLSINCFQKDLGDPNPLVRAWALRTMAGIRLHVIAPLVLVAVGRCARDPSVYVRKCAANALPKLHDLRLEENISTIEELVGILLNDNAPGVVGAAAAAFASICPNNFSLIAKNYRRLCETLPDVEEWGQIVLIGILIRYSIARHGLVKESLMVASHSPENSDSEKEGSETYFGIKERTNDIGRVVCESEIAEMVSRSYLEGPDKYLSRPCSERAFSFSDLSDFTSAKSNDDVKILLQCTLPLLWSQNSAVVLAAAGVHWIMAPKEELKRIVKPLLFLLRSSDASKYVVLCNIQVFAKAMPTLFVSHFEDFFVSSTDPYPVKALKLDILSLIATDSSISPIFNEFQDYIKDPDRRFAADAVAAIGLCAQRLPNIASICLEGLLVLTSSEISDVDIASMDEEAIILIQAINSIKTIIKHEHSSHDKVIVHLASKLDSIRVPSARAMIIWMLGEYNSMGHIIPKVLPTVLKYLAWTFSSEALETKLQILNALVKICQRVPQKYKDKMLHTRVFFEKEMLSKYVADLVLLHAEGEALSTFKTLLNYVLELAKCDSNYDIRDRGRLLQKLLSHYKGTHELEESTPDSTLPVLVGHLFGRETKPVPSEPLAYRFYLPGSLSQMVLHAAPGYEPLPQPLSLICNDTTHESNMVIGMKQPRNRATQSESYETDDANSVSGSLNEESTSGYNSQDSKTGSSGTHGSHRSGSVSDDDEHAGPLIHLSDNGNAHGNQLGPRFYQNFDSNDLGELMSIKSLESWLDDNPGSTHNPVELNNVCQSLARISIGDISSRVKPKSYTLLDPANGNGLSVEYIFSSEMSSISPLLVCIQVTFTNNSVEAMSNLQLIEEVSSMRVESSDQVLTSDERLLLCFAIFNIYFVGQNNTEFLCSKMSVNDVPTLVPMEEIAKLERGQVMQRILQVRFHHHLLPLKLLLWCNGKKYPVKLRPDIGYFVKPLPMEINMFSIKESQLPGMFEYIRRCTFIDHIEELNKLESPLAKDNFLVICETLALKVLSNSNLFLLSVDMPVGTNLDDASGVRLRFSGEILSNSIPCLITITLEGRCSEPLDTKVKVNCEETVFGLNFLNRVVNYLTEPARL >Solyc10g019150.1.1.1 pep chromosome:SL3.0:10:11892055:11892549:-1 gene:Solyc10g019150.1 transcript:Solyc10g019150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNCTVCGGNGHNQRTCSEKGKSIKLFGVEITTASSAGGAMSKKDSMERRIKRGNPWTEDEQIAFLKGLDFHGKGSWSKIAKDFVPSRTSTQVASHAQKYFMRLLDANERKYHKKSSVFDLRLDQLEDTHDHAIVPLGNNYESQNVPSVIPNYYMMKRVLPLN >Solyc10g037995.1.1.1 pep chromosome:SL3.0:10:18548002:18548028:1 gene:Solyc10g037995.1 transcript:Solyc10g037995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVLRPALH >Solyc06g082950.3.1 pep chromosome:SL3.0:6:48607669:48609470:1 gene:Solyc06g082950.3 transcript:Solyc06g082950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASTMASQLKSSFASSLTKGLGLVTPKGITGAPLKIFPSTRKSCFTVKAVQADKPTFQVVQPLNGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGFLLVGPFVKAGPLRNTAYAGGAGSLAAAGLVVILSMCLTIYGISSFKEGDPSTAPALTLTGRKKVPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK >Solyc05g009960.3.1 pep chromosome:SL3.0:5:4170575:4173763:1 gene:Solyc05g009960.3 transcript:Solyc05g009960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYTCKDFKVGKCEGEKIVDGETMPLVLNPIEPNNKNDINSLLEYLENNKDWFDDMITKNSAVLLRGFDVKNAQNFNDIVEVFGWEDIRYVGPAPRTHIYKRVWTANEGPLSEFIYYHHEMVLIKEFPKKVILYCEIPPPEGGETPFVPSFRVTERMIEEFPEAVEEIEKKGLKYTFSAPSKNDQGSMRGRGWEDAFGTSDPIEAEKRAKALGMEMEWLPNGGVKTILGPRCLTKVFDGRKGRRMWFNTLVGMYGKDISSAMMFDGTEIPQNIVKRCEEIIEEESIQFKWQKGDVLFLDNWATLHGRRPSLPPRKVLVATCK >Solyc09g008660.3.1 pep chromosome:SL3.0:9:2113025:2115136:-1 gene:Solyc09g008660.3 transcript:Solyc09g008660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HTPNNPFIDHLDISIQLSKNYFIDMENNSSKVEMMKPVFLKAGIPIALTLAGYIIAKITSRKSSNFQISPIQENPHEVVSRKDENDHQNLEISESLSCIKSNDDDDDHCSTSTLYNDSSKSFDLYQNKCKFQENHQDFSRNEDGHQNLENSETLSCVEANDSNHCCKNTLYKDSSESFNLYQEKCKIQENPHEVSRNEDGHQNLENSDTLSCIEANDDDDHCSTHTLYKDSLESFNLYQEKHKIQEDIKSKFQQYTHLKEKEIALMDMQYKLLLEINKVELFSKEITLMEAECQRFQNMVIEYLRIMELLDLSNSENSLLQNRVKKLIKKTKEHSRVMKEQKSQLEAKEKEISTNQEGLEMKDHVIKEMELEIQQLKMELELAKTSNQSKNDVEVVTIEDYKELVNELEQLQKDKASEDKELIYLRWCNACLRHELMRRNQEQMEQGKNQELNLGHENVEQFVPKVDDLIIGRSSSVGHNESCLNSPINDHSKRRKLIQKFKKWVEGSDKMKNETNCFKRHCVSDHNEDLIHPARKSCSSA >Solyc03g114585.1.1 pep chromosome:SL3.0:3:66005557:66007960:1 gene:Solyc03g114585.1 transcript:Solyc03g114585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHISSGNCRLCVIAGNISPIDMPYVYVPSKEPDLASAGATKRPTCCVLVSTKPTKGELGQEVQEKL >Solyc03g031530.3.1 pep chromosome:SL3.0:3:4058071:4062827:-1 gene:Solyc03g031530.3 transcript:Solyc03g031530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIHKKYLQCLFFLIIILTICGFSLANKTNPASKNLNFSKFLNSIYEWRIQQKKNFGDSNNNNLKIGAPTVLAGIFCFIAASISSAGGIGGGGLYVPILIIVAGVDLKTASSFSAFMVTGGSIANVVCSMFLPSAKHGGKILVDFDIALLSQPCILLGVSIGVICNLVFPEWLITILFAIFLAWCTFKTFKSGIYYWKIESEEVMRKKENIEEIEGPLLQKEEKGIKNIPWMKMGVLIIIWFSFFSLYLLRGNRYGQGIIHMKACGVVYWIISSVQFPLAIIFTSWIFYNRENHQNLPSKKQEITCETKNNGPSRMFIFPLMALLAGVLGGVFGIGGGMLISPLLIQVGITPEITAATCSFMVFFSSTMSAVQYLFLGMEHVNTALIFAIICLIASLIGLVVVQRAIEHHGRASLIVFSVGIVMALSTVLITSFGAFDVWKDYTSGKYMGFKPPC >Solyc02g005430.2.1 pep chromosome:SL3.0:2:9020073:9022503:1 gene:Solyc02g005430.2 transcript:Solyc02g005430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMIASLVRKNRLILMMIIASLVRKDRLVLMMIEASLKRKNHLVLMMIAASLKRKNRLVLMMKMMLAPLVRRMMMMLFLKWKNRLVKDLVLTMTVAALPRMMLKLKRLVVKKNPIILILILIHTREWLLFYLKRRLTIVMINKRFTAGKNHGTKMRHSFSSQSYMWNW >Solyc09g047850.2.1.1 pep chromosome:SL3.0:3:11613941:11614129:1 gene:Solyc09g047850.2 transcript:Solyc09g047850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTLLLFRQRCRRQLAGVVAIKIIFSSLFSVLFSSFVLFTSSEIDFFFPNLFSAAVKVGVCKN >Solyc10g005700.3.1 pep chromosome:SL3.0:10:560485:574362:1 gene:Solyc10g005700.3 transcript:Solyc10g005700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHARHRSPVGAYRSSSMGMGGVATASRVSPDGTGRGRGLHNSEYRNYNRGGFGRGQQSKQFGFQQPSHGNDIFMEAGRLAAEYLVSKGLLPPNALSGKLPNGSLKSPVGNFLGFKQQDIDEGQTSTLSRTESDVGPGRRRFSDEYEPMGSRNYMRGRRRNESFRSYSSEFNRELQRTGSSDKARAYPDVNGQDEAFPRDRDEQQVVKDSNGMLPSSLPGKQNPDIESVEESICCDPKPVLGDSGKETGPINTGNDLPSDGEPEPKKSVDIGMLEEEADPINHAHSTDKLEKIGVNEDTEVKLFSQEHNQTSMTDNDLLSLCRFEKVPTRTRSSLANRGLKVCHDSEDEDAHASDIPKETEVHSQDIPVDVSPGVILSDQNRDAKSLDSDKLKPQSTEEEQCLTYANKQLNETSSSSFPGSTLMREGEKIEGLTEMETCSSIAMGRGEKRELDDNDDCKGRVTKRPRELASLTSTFSDIFLYHSSSMEKQQISQEPRRSHSEPLIFPSEEKRLVDISMIPEGDARLGSDFTEKQLSPSSFKAFDLNLMETSDVNENHDADPVHIFPLITEGVKQEAPVDIHLTMSSNSDIPSNYAKSSFDGRDVEVIDLENDSEQEEKALINPERKSEIVFTGADGFSDNAHSTNNIPHAQDGYGLMISELLGNDIANCPPLPADMDSLHNDMGLHNGLHNGEGMLGDDDSIYMSLGEIPISMLKILATLLDLNLVIELSLNAMPSVFSVTLGAAGTRIWKAILRCYDPCFLEMQRFTILRVRAACGDSFKNGSFFFFDDEVFYVMGRISRVLGAMEAKLNANSSITFRVVIIPLGPYSGLEVGVEAAFLWSIKEDVGFHIPQFHLISANPSIMEEKFGALVGGRHEAMTTTLQDLDDPDRNKVESNGSSVINHNTPILDESKGKGIETADPVGSPPSVKSSPTAKGFGLKKWRRIRREAHKDEDSNTDNAKLLKRGLSSSAANSAKPVHLSTGSIRNSDGSVSSVNALLGSPDVLVDGFGLIGNSGLAIHPIFLGGTDSENSEDRSSRSSTAASAPKSRYEAPVFHGYMPVKNGPGTLGGKMGTSAQQSHRGKGQAEISKKPRGERVKIEKENSHSSMESDSQSSNFLPMQSNNHATSNGMKGGSSVNNDGQFSDEVLTRERPFSEELQTALDRRNDKECETQEDLGVESAWEVKEERSGYQGLSTDHDPLLESIFTLQAAQENLEREIRKWKEIRIEDDVIDHSVRDVGIISDFSSGDTDFPGPNTSEQSQPSNGVQHSFNSLESEVVSLKQNVILLQHKLNDEANLIKLKEARVAELEAILSSNTEKEEKRTGNDLHQSSGDIEKELEGLFRQKIEAELEYLVISRTIQKWRAAAVDQVTLMEEQKILATEQAQMVKKLGDSETKVAMHKLQVEILDNYCDNVASTNKTLELRKGVCKYSSYFLIQFVLLVIVFGLYMLQMSSDAVEVVPT >Solyc12g036200.2.1 pep chromosome:SL3.0:12:45299467:45302865:1 gene:Solyc12g036200.2 transcript:Solyc12g036200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4DE77] MKILLAVLPLSSWKPSLSQLSNNQILSCKASEVMGKDSKSKDSGSKGKGKQAAGGSEDNASKGKGKGGKGDGLGTCTYVKARHVLCEKQSKINEAYKILQEGWLNNGDKVPPAEFAKVAATYSECPSGKKGGDLGWFPRGKMAGPFQEVAFNTPVGATSAPFKSTHGYHFILSEGRKN >Solyc02g067540.3.1 pep chromosome:SL3.0:2:38269874:38273876:1 gene:Solyc02g067540.3 transcript:Solyc02g067540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSHTAFSFLSPLRSHSIVPETSLLSFNPALVGYPFTATNRRRPFIITVSSKSKNELSVDKKRQLLEQYGLNPDEYLSEPSPKTKKRREQSKSGTGKQVLVEEPKHTRETHKLLQVVGGTARRKKLLSPKSMDVRPMMEVVKAAAFGILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSDVLRPNLEWTGFLDASVIHTVRVESFLERAEHFLGKDGSFDYISVTPPYAVVDYSILMDQISKSSIVGENTFILVEYPFRTDMLDSCGCLVKISDRRFGRTHLAIYGPKWALKKKYVEKTKRKQEKLDLLKEVEISAS >Solyc01g009250.3.1.1 pep chromosome:SL3.0:1:3212493:3213221:-1 gene:Solyc01g009250.3 transcript:Solyc01g009250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPVMTGQTGSSTVHRRQPLLRTETTTPTTTMMNHCETLRNRKGEVAGRTAAECATVCCCLPCAMIHFLILAVYKVPTGLCRKLLRKNKQKRLLNNNNKKIESKCCQLTGAGDGKESENVKAIGEKPASAESETEMWGRFYEGGFWRNSSEKKEE >Solyc01g108745.1.1 pep chromosome:SL3.0:1:95852563:95853891:-1 gene:Solyc01g108745.1 transcript:Solyc01g108745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENKFLSSLVILILLLSCANATMSGPKRPKTHKHFVLVHGACHGAWSWYKIMASIKTSGHNVTALDLGASGVNPKQVLEIPHLSDYFSPLMEFMASLPVDEKVILVGHSLGGFAISKAMESFPEKISVAIFVTALMPGLILDAATVFNESSSGAISKLDNRLTFDNGLANPPTTFIFGPKYLASYLYPLSPIQDWALATTLVRPIYFHSLDEISKEIVLSNKNYGSVRRAYIVAAEDKILKKEFQQLMIEKNPPDQVEEILGSDHMPMVSKPLQLFTLLMRIANK >Solyc03g082680.3.1 pep chromosome:SL3.0:3:54001411:54011153:-1 gene:Solyc03g082680.3 transcript:Solyc03g082680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLDLQSLQEKAFSFIDLEYVLMEFCRGLLKAGKFSLARNYLKGVGSVSLANDKAENLVIQAAREYFFSASSLSSSEIWKAKECLNILPTSRNVRVEADIIDAVTVKLPNLGVTLLPMQFRQIKDPMEIVRLVVTSQGGAYLNVDEIIELAKLLGLSSYDDISAVQEAIAREAAVVGDLQLAFDLCLVLAKKGHGSVWDLCAALARGPALENMDISSRKQLLGFALSHCDGESIAELLHAWKDLDMQDQCESLMVLTGTEPENALVQDSTMSYKPPCTPDKTDLKECSDQEAQLKQIENVLFQVAKDVQVDGDWTIPSILRENGKLLSFAAVYLPWLLELSQEAEKNKKFKSSLFSGNRYVSLRAQAVMTILSWLARNGFSPKDSLISCVAKSIMESPVSEEEDILGCSFLLNLADAFSGVDIIERNLITRENYNEITSIMNVGMIYSLLHNCGIKCEDPAQRRDLLLTKFQQKHKLICSDEKEQIDQAQSTFWREWKLKLEEQKRNADSSRSLEQILPGVEASRFLSGDMDYRENVVLSFIESMTPEKKQSVKDVLKLANTYSLDCNKVLMHYLRSIFVSDTWSTDDVRNEVSNHREELLACAAETIKCISSSIYPAVDGHDKQRLSLIYGLLSDCYLQQDEQKDPIHPHSIHIARFSKIAEEECFSVSCIEDLNFKNVAGIQDLNLDCFNSEISAHINENNVEALANMVKNLLRDGPVPDGLLSWQHVYKHHVLSLLTKLEAKAEPGVDIQSSESLHCLISEIEQTYNTCCKYLKFVPNPARLDILKRFLAIILPAEGSFKSLPCGSGWQVCLAMLVDTWLRMLNDMHEVAVLENSEERLCLECIMMCLKVFARLVAGEKVSSSQGWATVIDYVGYVLVGDVAAEMFNFFRAMVYAGCGFGAVAVVYDEVMTHFPHEAGSLTDLKKEAASIQNLRYLYLSILKTILQELTDESCEHQCLHCYLSSLSKLDGDLDNLQSVRQAVWERLEEFSENFQLPNHVRVYILELMQLIAATDKSSKRFSSKLQVEVHSWEGWDNTHNVTANCENTATDGISNKIDTSNKFTNTLIALKSTQLVSTISPNIEIRPEDLSTVESTVSCFLGVSKFAESESHVDALLAMLREWEGHFSREEMEKDSGEVSDGGNSWGNDDWDEGWESFQEPNEEEPKKGAKLSVHPLHVCWMEIFRKLLTISQYNKMLKLLDKSVAKPGEVLLDEESAQGLSQIAVEIDCFLALKLMLLLPYEVMQLQCLESVEQKLKQEGISDKIGVDLEFLLLILSSGVISTIITKSSYGTTFSYICFMVGNFSRQCQESQLSSSGCGESAESESISKYYIDLFPRLIFPCFVSELVRSGQQVLAGFLVTKLMHSNPSLSLINIAGACLTKYLERQIQQQHDSNPSFRDGVGSSEPLVNTISSLRDRMQNLIQSSLAGLKIIREGDDYYLIHQGEIQRHLGSRKSKMEDLSVSSADYKDVKEEVPSLSILDKILFFIGDLESASVQAKRSRLV >Solyc04g024790.1.1.1 pep chromosome:SL3.0:4:28845226:28845561:-1 gene:Solyc04g024790.1 transcript:Solyc04g024790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFTFGVVMPEHSCICLHKSIWCWFRDIICFGFVLQRVIFTSNWSDVSGGYSVFFYCELVARNCNRLLDSFYEAAYAHAWDYYQVIAFYPSFARPGDCSAYADWWICDFDH >Solyc10g008800.3.1 pep chromosome:SL3.0:10:2859751:2870482:-1 gene:Solyc10g008800.3 transcript:Solyc10g008800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSDEAIIEKLYEYGERLNESKDKSQNVEDYENIIKAAKSSSVKAMQLAAQLIPRFFKYFPSLSVRAVDAQLDFCEAEELGVRVQAIRGLPLFCKDTPEHLSKIVDILVQLLTAEENVERDAVNKALLSLLRQDVQASLTALFKHIETIDEQMSDDNLRERTLVFIKDKVFPLKAELLKPPEKMERHITDLIKKSLQDVTGGEFKMFMDFLRSLSIFGNKAPQERVQELIEIISGQADLDAQFNVSDGDHINRLIACLFMAIPFFERGASNSKFLNYLNKHIFPVFDKLPEERKVDLLKNLAESAPYTIPQDSRQILPSVVQLLKKYMPRRKAGEEMNFTYVECLLYTFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSENYKEFTERLNCVEELARATIKKLTQGMVEHNKAFADAKSDEEKEKIKAQKQNTTTGLRTCNNILSMAQPLHAKTPSFIGDKKINLSWKEVVKSATPMTAASTGVKRPAGAINGSTSSGPKKGRGGGSMQNQLVDRAFQGLSYGGRSSRGRGRGRGFRGGFRGRGRGRGGYH >Solyc12g055860.2.1.1 pep chromosome:SL3.0:12:62817587:62817658:-1 gene:Solyc12g055860.2 transcript:Solyc12g055860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSICCRYPGLQQMVFPSSSENSDM >Solyc10g085290.2.1 pep chromosome:SL3.0:10:64642565:64647785:1 gene:Solyc10g085290.2 transcript:Solyc10g085290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESINSSTSCGDEAAWEIRPSGMLVQKRENDAAANPTIIIKVSYASQEFDLPVPPELTFGEVKSILSSIIGLEPKLQKLLFRGKEKEDHEYLHLVGVKDNSKVLVMEEEMVEEKIPEEVRVTTEISRGAEAVAEVRTEVDKLSEQVSAVQAVVFGGTNVEDKDIIHLTEMLMRQLLKLDGIEAAGEGRVQRKSEVRRVQNLVETLDAVKARNSNPFINNSNAVSVTTQWETFDSGVGSLNAPPPPRPVLEVGSINTPSPPRPVLGVGSMKASPPPRPAFGVGGLNTPPPPTPVLGVGSMNASTPSRPAFGVGGLNTPPPPTPLLGVGSMNASTPSRPVFGVGGLNTPPPPTPVLAVGSMNAPSARPVLGVGSQTTPPLRPPTTIVTEDWEQFE >Solyc01g096430.3.1 pep chromosome:SL3.0:1:87400194:87400552:-1 gene:Solyc01g096430.3 transcript:Solyc01g096430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLERPSGKIFSGVGKELTFPAHMGINIYLSSIENFMTIVVRHVFIPKFSSPLEEKSPMTTFTTTVFWKDIV >Solyc04g051045.1.1 pep chromosome:SL3.0:4:49301547:49302827:-1 gene:Solyc04g051045.1 transcript:Solyc04g051045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPLSAEEANFIGVFDDLAVLPLDLLTIDGNVNGTGCSGKYGGKEHSSHCGREGQGESNLKNTNKVADEFAHVGNGSNPLDASLYVPRDDKFVEIDGNLIIQSILASDLAPVIPGIHPCLYRSPAMGQRIPALEERENVNSTTQEWYLKDFAGPLLSSGMCTKVFEFDASSSASDISME >Solyc04g018050.1.1 pep chromosome:SL3.0:4:9128523:9129649:1 gene:Solyc04g018050.1 transcript:Solyc04g018050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNITSLIKKFATKVVRIQTINSANASNISMEEAMFANRITVTKLLDSNWSCDIEDKQKIEHPSQPHVENAGHSHTNNSIENVDVGEDTSSSNIRVYARKLKKRRKFLINTDDDGNTDEDTNKNPNLNG >Solyc07g056700.1.1.1 pep chromosome:SL3.0:7:64634731:64634949:-1 gene:Solyc07g056700.1 transcript:Solyc07g056700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATCAMGLMLYPLSPDFPQYPISSSKSISCKKKKIRRLITSFPITFYISCEEMKKKWKSNACMLYSTKMIHE >Solyc10g083820.2.1 pep chromosome:SL3.0:10:63689468:63693935:1 gene:Solyc10g083820.2 transcript:Solyc10g083820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSKLISLYGVSHVLTVDLNGCGNFSSIQKAVDSVPDDSSTTTLILVDSGTYKEKVVINSSKINLIIEGQGYQNTAIAWNDTANSTGGTANSFTIAIYAPNFIAYNISFQNTAPAASPGDVGAQAVAVRVSGDQTAFYGCGFYGAQDTLNDDKGRHYFKECFIQGSIDFIFGSARSLYEDCKINSIAKEETSGEIGGSITAQGRNSKNENSGFSFLNCVINGTGKIWLGRAWGAYATVIFSKTYMSHVISSDGWNDWRDPNKDQTVLFGEYDCFGPGANYTDRVSYAKQLKQNEADQYMDISFIDGQQWISNGRSDT >Solyc09g091300.1.1.1 pep chromosome:SL3.0:9:71065036:71065482:1 gene:Solyc09g091300.1 transcript:Solyc09g091300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-protein homologue [Source:UniProtKB/TrEMBL;Acc:Q9AVU9] MTLYFNIFFFLLLITPNLDLSLAENCFILPSYEVHIINKLPTNTSQLQVHCTSKDDETRNAYLNIDEDLHWSFCESFFGNTSFFCNFWWGSMNKSITVFDDPGTCVHSGQYTNYHYSCKWEVRQDGFYLEMFNYKNMTYFMDHISDWS >Solyc10g085970.2.1 pep chromosome:SL3.0:10:65088816:65092944:-1 gene:Solyc10g085970.2 transcript:Solyc10g085970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTTTAQQNPDNHMKTLDEPSDPKPSAESVSPPDSAPDSPKSPPTDGAKEEIKAAGGDDSCQGNDIQKKMKRAERFGMPVKLSEEEKRSSRAERFGTGSPVQGSDALKKSEEHKRQARAERFGIVKSDTTDEEAKKKKARLTRFALPGKADPVEEDKRKARALRFSQPQPGSQPQANGKGNIEQEAVAADKAGEPE >Solyc08g005940.1.1.1 pep chromosome:SL3.0:8:710586:711965:1 gene:Solyc08g005940.1 transcript:Solyc08g005940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFHLIFFILVALFVPSCEKIVHAIQQHDSLIRKGEKNSQPELAVHKISRKLSDFSQGSEFSYHVIPGEPDPDRPVAAPIKSGKTFSYRVIPDEPAPDRPAAAPIKSTKKFSYRVIPGEPDPDRPVTAPIKSGKKFSYRVIPGEPDPDRPIAAPIKSGKKFSYRVIPGEPDPDRPIAAPIKSGKKFSYRVIPGEPDPDRPIAAPIKSGKKFSYRMISGEPDPDRPVAAPIKSGKKFSYRVIPGEPDPDRPTASPIKSTKKFSNRVISGEPDPDRPVVAPIKSDKKFSYPDLPIAAPIKSGKKFSYRIIFDPDRPVAAPVMSGKKFSYRMIFDPDRPVAAPIKSGKIFSYRRIFDPDRPVAAPVKSGKNSSYRMIFDPDHPIAAPIKSGKNFSYRRIFDPDRPVAAPVKSSKIFSYRRIFDPDRLVAAPVKSGKNFSYRMIFDPNRPVASPIKSDKYFS >Solyc03g117520.2.1.1 pep chromosome:SL3.0:3:68141540:68144136:1 gene:Solyc03g117520.2 transcript:Solyc03g117520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETSLDSEQNVAGQGSGRFQEIESDDQNSEKIESDELFVQNGVQIEANGLDDHNCVTEDGQKEFVAPAVGMEFESYDDAYNYYNCYSREVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMMRMRMVDSKRWRVLEVTLEHNHSLGTKAYKTIMKTGTGNKKKLDSNCNAEVQTIKLYRALVIDAGATRNANFSARRCQTSSDCHDKLNLRKGDTQAMYNYFCRMQLTNPNFFYLMDLNDEGQLRNVFWIDARSRAAYAYFVDVIYIDNSYLSNKYEIPLVAFVGTNHHGQSMLLGCGLLAGETKASYVWMFKAWLTCSLGRFPQTIITERCKVLETAIHEVFPRSLHRFALSHILRKVPEKLGGLRNYDVIRKALFKTIYEGLKPFDFEAAWRVMIQRSGVGDHEWLCSLYNDRAKWAPVYLKDTFFAGMATARSNETLTAFFDKYVHKQTPLKEFLDKYELALQKKFKEEALADMESRSSNPELKTRCSFELQLSKVYTREIFKRFQLEVEEMYSCFSTTKLHVDGQVVIFLVKERVLEEGNRREIRDYEVLYNREAAEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPSKYILSRWKKDYKRLCALDVESSFTESTEQVQWYSQLYISALQVVEEGVISLDHYKVALNAFEESLSRVHHIEEKTK >Solyc06g068350.1.1.1 pep chromosome:SL3.0:6:42458513:42458884:1 gene:Solyc06g068350.1 transcript:Solyc06g068350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSGGGGEGTSGGGNIITGGHIGRGGNGTSGGGRIINGGRLGGGGEGTSGGGRIINGGRRGGGGDGTSGGGRIINGGRRGGGGEGTSGGGWIIIGGRLRGGGGDRTKGGGQVIIGGRCLFGG >Solyc08g067910.3.1 pep chromosome:SL3.0:8:57013214:57022075:-1 gene:Solyc08g067910.3 transcript:Solyc08g067910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKVASASLRDRTQEFQSIAERLKKSFSSVQNGSISTSTSSGSRSEEQRTTIAMQSEFNRRASKIGFGIHQTSQKLAKLAKLAKRTSVFDDPTTEIQELTAVIKQDITALNSAVVDLQLHSNARNESGNSDTTSHSTTVVDDLKNRLMTATKEFKEVLTMRTENMKVHENRRQMFSSSTSKEASNPFMRQRPLASRNTASTSASPPPWANDSPSSSQLFPRKQGDGDTQPLLQDQQQQQQQQIVPLQDSYMQSRAEALQNVESTIHELGSIFNQLATLVSQQGEVAIRIDENMDDTLTNVEGAQGALLKYLNSISSNRWLMIKIFFVLIFFLMIFLFFVA >Solyc04g045340.3.1 pep chromosome:SL3.0:4:32439574:32454980:1 gene:Solyc04g045340.3 transcript:Solyc04g045340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANFKVSRVETTPFEGQKPGTSGLRKKVKVFIQPHYLQNFVQATFNALGADRVEGATLVVSGDGRYYSKDAIQIITKMAAANGVRRVWIGQNGLLSTPAVSAVVRERVGADGSKATGAFILTASHNPGGPHEDFGIKYNMENGGPAPEGITNKIYENTTIIKEYLIAEGLPDVIISTTGVSSFEGPKGKFDVDVFDSTSDYLKLLKSIFDFPAIQKLLSSPKFSFCYDALHGVAGVHAKRIFVEELGANETSLVNCVPKEDFGGGHPDPNLTYAKELVARMGLSKTHSEPNPPEFGAAADGDGDRNMVLGKRFFVTPSDSVAIIAANAVQAIPYFSGGLKGVARSMPTSAALDIVAKHLNLKFFEVPTGWKFFGNLMDAGMCSICGEESFGTGSDHIREKDGIWAVLAWLSILAYKNKDNLGEGNLVSVEDIVRQHWATYGRHYYTRYDYENVNADGAKDLMAHLVKLQSSIDEVNKLIKGIRSDVSNVVHADEFEYKDPVDGSVSKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDSSKIGRDSQEALAPLVEVALKLSKMQEYTGRSAPTVIT >Solyc04g080060.3.1 pep chromosome:SL3.0:4:64442651:64448180:1 gene:Solyc04g080060.3 transcript:Solyc04g080060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVESLVLLLSDVELGAAMALRNRQLWQQTKMIYKVTMEYYRFFSSKPKVDLRKLRPMILKRIEDRAKDYPVKSMVPVAEGVFKARTILYHGVSALMQRFPTWACKYCPEVYIGENGHLIQTCHGYRRRAKNQAHEWIRGSLNDILVPVEAFHLRTMFQNIINHQERFDYDRIPAVVELCLQAGAIPSEEIVCGSSLPSSVPFQADSLSDDDLMLLGRETLKAWEALRSGVQRLLLVYPAKVCEHCSEVHIGPSGHKARLCGVFKFESWRGSHFWKKAEVDHLVPSKTVWYRRPQDPPLLLDDGRNYYGHAPAVVDLCTKAGIIAPSKYHCMMKLEGLSAPSL >Solyc06g076260.3.1 pep chromosome:SL3.0:6:47519484:47524110:-1 gene:Solyc06g076260.3 transcript:Solyc06g076260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRKKSNSDVQPEDQHQNGHLSKFRQLFDPEASWDKDQLGDVLHWTRQLMAVVCGLIWGAIPLVGGVWFMLFLALSTGIVYCYYALVLKVDEEEFGGHGALLQEGLFASMTLFLLSWTVVYSLAHF >Solyc09g011937.1.1 pep chromosome:SL3.0:9:5228828:5232835:-1 gene:Solyc09g011937.1 transcript:Solyc09g011937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFSEKITVPKSHLRALFLAKKFIDVDSAVSLAVLYFINDFLFSYEDNEYQISNRDFYLVESGKFNSYPWGLDVYKKLSDSVRHELKSTHKYYRIGGLPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKFENIVASEDEVSKFRLPETRDYHAEILKLEPKGSNHGLDILTNEVIELRKELVKVNENNKALEEKIDLGFNQIKEFVVNSNKQLLEDISLLFAKSGGSSSVIREVKEPSKKHADETFSGGLDFNGESQVLKATVRFADVENLERVSSKIDEDVAGIVIEKVLSEVVADINVQEAADVNTVGAKPDDATEDCQKPLHTLDDFILLDKDLSQINRTEESYLKKRAQVDQNKKKVSPKKRGRKKNPGKGKKPYTDALNVINPAFELGVCKVDERLWFFKLAHSGQQWCDEHIDVIFYYLRKKGKYDTNSNVRFTTTDCVFKTKITNSFFKLCDAHEDKKNFKVLDSDDIARYISGRRLLASTSWDKVDFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARGGVNLEEFCLCSWNATYVSLFGSNKLLPMSIQLAGSMHD >Solyc08g079560.1.1.1 pep chromosome:SL3.0:8:63184195:63184362:1 gene:Solyc08g079560.1 transcript:Solyc08g079560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRIIILSSPLTRLFVNFFFAYLDNLSLLFILPTKKYKLLQTTLIYYFSMHVL >Solyc06g043175.1.1 pep chromosome:SL3.0:6:29722494:29735727:1 gene:Solyc06g043175.1 transcript:Solyc06g043175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFISKIERQLDYGSFNSSHPIVIDNGASYFRIGWAGENEPRVIFRNIVQRPRHKTTGETVTVVGDHNPVLLKYFDCTRSGPRSAFESNVVYQFEIMEYILDFGFDRLGADQSQRYHYSSYLPYDPSFHFQTDYFGIGQIDHPILITECACNPVQSRGKMAELLFESYGVPSVAFGVDAAFSYKYNQQLGICNDTGLAITSGFTTSHVIPFINGEPVYEACCRTNVGGYHVTDYLKQLLSLKYPHHISKLTWERVEELKMEHCYIAADYASEVQLFQRGDKEAEDKTRCWQLPWSPSPVEEPPSEEEIARKAALKEKQSQRLREMAEAKRSSKINELENELKGLEFLLEELKDIEEEDEIVLFLASRGYASKREVESAFAKVTQSLRKAKGEQVPSEEKVDISAAEKYYLIDIPDDELSAEQLKEKRRQVFLKSTTEARQRAKQRRLEEELEKEKEKKLEEQKRMENPERYLEQLRAKYKELSEKLEQRKRLKTNGNNANGNNNVSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDTFGQRDEDWQLYKLMSRDNDDDDDDKPDHDETELARISSRLQEIDPTFFPGSESGASASETPRFRPLTKEDFQIILGVERFRCPEVLFNPNFIGIDQSGLDEMVGVSLRRLPSWVQGLEDKMTSSILVTGGSCLYAGVGERLEAGIRMIRPCGTPIEVVRATDPIMDAWRGASVYAAAMQFPEQTFSRTDYYEKGEDWLRRYKFKYTI >Solyc03g097080.3.1 pep chromosome:SL3.0:3:60861798:60865912:1 gene:Solyc03g097080.3 transcript:Solyc03g097080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSDEVNDTSSPIRPTYSQYDSDEDFCPYYPQKMDKAVWEKYYQQVKESEGFDITDYPGQCAMTTVYPMPFYLNDPKNVDMMTDYAGKALRQYNDEKGTNYKVNDILKVNGGGCRDFIFYITFSVKTRDDKDDIFQAKVVKDLRYRLQFPLVRPKVKAFIG >Solyc11g012860.2.1 pep chromosome:SL3.0:11:5649382:5654820:-1 gene:Solyc11g012860.2 transcript:Solyc11g012860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEMEKRKWLWKRRPSDKSPGETESSGSLSSYSERYSDEQDALKEFPDHDKQSPEVTSKAAFVDDESKESLRCLTEKLSAALVNVSAKEDLVKQHAKVAEEAIAGWEKAENEVAVLKQQLDAAVQQNLTLEVRVSHLDGALKECVRQLRQARDEQEKMIQDAMAEKNEMESEKTALEKQLLKLQTQVEAGKAEMPTSTDPDILVRLKYLEKENAALKIELVSCSEVLEIRTIERDLSTQAAETASKQQLESIKKLTKLEVECRKLQAMARKSSPFNDQRSSAVSSFYVDSVTDSQSDSGERLNTVDNDALKMSKLETREYEPSCSNSWASALIAELDQFKNEKAMPKTLAACSIEIDMMDDFLEMEQLAALSETANKTPSVTSDAVPHDSPNIENPLAAEYNSISQRVVELEQKLEKIEAEKAELENAFNESQDALKVSSLQLKETQTRLEGLQKELDVVNESKELLEFQLYGMEVEARTMSVNIDSLKTEVEKEKSLSSEMEAKCHELENDLRKKSQEAEAQQTSGSNSELKIKQEDLAVAADKLAECQKTIASLGKQLQSLATLEDFLTDTANLPGGGAVVAKAGGELWKLHVNETFTPKRDSDPTKVEENVSHSTNENEGESPASSSSSSTSSTTQASTGKSKNGFGKLFSRSKSGVPTLKVIEDK >Solyc07g007390.3.1 pep chromosome:SL3.0:7:2125548:2133025:1 gene:Solyc07g007390.3 transcript:Solyc07g007390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESWTKSKKIGGKSGESSSPRAFDKDTAVFIAMSKELKDEGNKLFQKRDYEGAMLKYDKAIKLLPRNHIDVSYLRSNIAACYMQMGLSEYPRAIHECNLALEVTPKYSKALLKRARCYEALNRLDLAQRDVNRVLEMEPNNLMATEIAERVKTTIEERGIGVNDIPVDLIPVPEYVEPTFVSSHSKSSKEKALKKKIKKVEEKMVNGKAEEKEFDAGVDLKQTDNRNEERNTEDQNENTDKDQTKEEKVDDKTEGNKAENKTNRKKAKDKSKEKKNKDKIEVNKVKDRFEENKDEDNEERKTEDKLVVEEIISHTTEEEPKRTVKLVFGDDIRWAQVPVDCSILTLREIIGDRFPSLKAVLIKYRDQEGDLVTVTTNEELRWAEASVGHGSIRFYIVEVSPEQDPFYEKIKGVEDDHKYNARHDKIVENGNVETSKELHNGPVCINDWIFQFSNLFKNYVGFESDDYLDLHEVGMKLYSEALEEAITSEEAQYLFSTAGETFQEMAALALFNWGNVHMSRARKRVYLKEDSSGESLLAQIKIAYDWALKEYSKAGERYEEALNIKPNFYEGILALGQQQFEQAKLSWYYTISTGVNLDSWPSTEVLQLYNSAEENMERGMQMWEEAEEQRLNELSSTNKLQKMKSENLFKGISADEASEQAANMMSQINLLWGTMLYERSLMEFKLGLPLWQESLEVAVEKFELAGASPTDIAVMIKNHCSNSTATEGLGFNIDEIVQAWNEMYEAKRWERGVPSFRLEPLLRRRVSKLYHALELA >Solyc08g061375.1.1 pep chromosome:SL3.0:8:48721525:48723540:1 gene:Solyc08g061375.1 transcript:Solyc08g061375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRHKSHLGYWEPGDFFWTPIYDVDGFGELWDIHYFNGKFYVITSTGVWVIDEDYELQLLCFVVDPVDCHKKRGSGVNTRTTVNLQLYPW >Solyc10g084150.2.1 pep chromosome:SL3.0:10:63928953:63933554:-1 gene:Solyc10g084150.2 transcript:Solyc10g084150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin riboside 5'-monophosphate phosphoribohydrolase [Source:UniProtKB/TrEMBL;Acc:I0IUQ2] MERESGVVVKGSKFKRICVFCGSSQGKKSSYQDAAIELGKELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVAGMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFISPNARHIIVSAPSSKELVKKLEEYVPSHEGVASKLNWETEQLGYPQAQEISR >Solyc11g062025.1.1 pep chromosome:SL3.0:11:49105294:49105724:-1 gene:Solyc11g062025.1 transcript:Solyc11g062025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILIVYIDDMVLTSDDPEKMEKIQQYLATKFKMKDLGQMRYLLETGMFDCKLAETPMKMNQQLGISLAKQLTDKVYYQQLVGNLIYLTHTRPDIAYVVSLVSQIMQAPSIEHMNAVHRISIKG >Solyc05g014045.1.1 pep chromosome:SL3.0:5:7583340:7590343:-1 gene:Solyc05g014045.1 transcript:Solyc05g014045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPVHPTDKLDAFSSSNEPQSRYKARLVSKGYNQEYGLDYSETFSPVIRQKTIRLVLSLAVRNNWLINQNGADTSFADITHFRSPIGALQYLAITHPDIQFAVNRVARRMHQPKMTVEDEHCIMDGTKSYKGCIFKM >Solyc08g080980.3.1 pep chromosome:SL3.0:8:64227334:64229191:-1 gene:Solyc08g080980.3 transcript:Solyc08g080980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKNYFSEIPPGFTKCVPRNIETPTIYSRQSEKFIDDFERGRQQLPQIPVSAEASSMAMPTMPLGSSINTLIIKDEKINLNPLTLLSPPPNQRVRINDFSSPHINEESKTIISQVKSVLVFQLTSGSTKNIEAMIKFANNAFFNLDSSFYNNVRDFIACHYDLLIAKRQREMQSFPIELKTRYENAITCANDLKDEIFQTQGHIAMVMKKKESLERQIADAMELIGKLKECVAVLKQEEGALKYEEQKCVAAYEIANHEVQKVCNQAEAAKNVLREIDQRENAALNGTELAFRRLKAIQS >Solyc11g020493.1.1 pep chromosome:SL3.0:11:11363350:11364143:1 gene:Solyc11g020493.1 transcript:Solyc11g020493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEPDSDFKAKDFCLQAVVYIEKIQKTHRVPIIVGGSNSYIEKLVKDPVFMFKYKYNSCFFSIDVEQSVLNRRVDMRVDQMVKAGLVNEVRQIFIPDADYTKGIGWSIDVPEMDRYLREETNIDGDDESKQIILQASISSIKRNTRMLICNQLEKIQRLISEKMWSVHQIIATDVFEEDREEDIDEAWRNTVLQPRLDIVKRFLKSDHHNIIIECT >Solyc03g063460.1.1.1 pep chromosome:SL3.0:3:36812501:36812701:-1 gene:Solyc03g063460.1 transcript:Solyc03g063460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDDKNLGASEHINPFGKIPQRRVTKRAIVVAVWLTASTNTQPADRYYVIEESGFVRYGYETGYA >Solyc09g059100.1.1 pep chromosome:SL3.0:9:53483650:53487904:-1 gene:Solyc09g059100.1 transcript:Solyc09g059100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEKLQILYPLVEAREFFFMRYCRQLDATTWIMVDVSYDIFNEIQSGAPCYSLKFQTGCAIQDMGNDQSKDGESFFDILSMTVDFPTSSQLNCGDRISIRKNEEITQPKGFIAIAASSLWLPLSFQDVFNFFKDNKTRNQPYNMHKEMLVLEETSTDEMGAFLVYAPIELCTYRVTNNHFNYH >Solyc03g097675.1.1 pep chromosome:SL3.0:3:61439735:61447923:1 gene:Solyc03g097675.1 transcript:Solyc03g097675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNNEAEGFWPLAFWSSALPGKDVNLQLYLSTLRSLKSRGLSEEFDSYKEADMSGIINRKMATRGISALNMNQFLLGSRVFASRNFSSATKEMTVRDALNSALDEEMSADPKVFIMGEEVGEYQGAYKITKGLLNKYGPQRVLDTPITEAGFTGMGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQIAVPIVFRGPNGAAAGVGAQHSQELSTTSKFHQHCHIVKSNNASFIALIPKRKGSQHLRDFRPSTYLGLTLGAKYKELEIWNWSGGKGGEEAGCDPWWWEVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPVSAEVLDSSFALPIGKAKASSCFLSLLLCIFYILLLFLTLQAFAD >Solyc09g056200.3.1 pep chromosome:SL3.0:9:47660993:47661663:-1 gene:Solyc09g056200.3 transcript:Solyc09g056200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFCISILCSNFGNLHQQHETPQFVHHNFGVELIKDIIPLSKAFKKQYFCLPQVIFDYW >Solyc11g056520.1.1.1 pep chromosome:SL3.0:11:45702942:45703154:-1 gene:Solyc11g056520.1 transcript:Solyc11g056520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQPGAIYLRYLVDIHKKNLMNSEFNPSCLAERRIFLAHYQTITYSQTSCGENHFHGKPFSLRLSLSTL >Solyc05g056290.3.1 pep chromosome:SL3.0:5:66474170:66482944:1 gene:Solyc05g056290.3 transcript:Solyc05g056290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIRKQLDVLMGANRNGDVTEVDRKYYDREVCRLYLSGLCPHELFQLTKMDMGACPKVHSLPLRKEYEEAKAKGQDNYDRDLEDLIDRLIVECDRKITRALKRLDEEDAKAAIAISVSEVTLTPEIDELSKQIKEKLKEADIHDLEGKTDMKIRALEEVEELRTKRADKQSMLLLDAFNKDRASLPQPLQNAPQLAPLPASTPDPRIQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPVRQEPVQDSSKYTAVDVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLAELQEERNKKRKAIEEDRRSKERKSRERERESSRDRVDNRDHDRRSKDRSYDRERDRNRDRSRNYDSRSHRRSRSRSRERSRDYDRHRRHDRY >Solyc08g066320.3.1 pep chromosome:SL3.0:8:54906722:54910159:1 gene:Solyc08g066320.3 transcript:Solyc08g066320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQHWSDSEFFQSWNSNSSECTWPGVWCIDDRVVTELHLGGKNITGTISSILCELKNLTFIDLSNNNISGIIPLSLKDCSMLQHLDLSNNSLSDRIPGELFEMKQLLNLYLNGNMLSGEMPKEIASSQLKNLNLSENYLNGSIPEDIGNLKNIVKLDMSHNSLSGSITNKLFQLHHLRHLSLSFNYLSSVIPDEMNLFSLYDMDLSHNQLTGSIPRGFQYLPGLHALDLSYNQLSGDISQSIEHLRPRNTLKLCSNKFSGSISAEFVKLTYEENCFDESNLCSASKNLSVPSLPNCSSGDEVQKSSRPKHLIIIIPIVGFGVAIQLTWIFYMVRKHWWKTKKRNVKDDIKFISFQKLKVTTEDILCSLKDENIIGNGGSGKVYRVVIDQTGSTYAVKSIGHGGKSGGRPQKEFLAEVRTLGSIRHNNIVKLMCCISSLDRKLLVYEYFEKQSLDKWLHGEKRAVSPGQSSTPALDWRKRLNIATGAAQGLSYMHHDCTRPIIHRDIKSSNILLDSEFNAKIADFGLAKILSRRDDNPETASAIAGTFGYIAPEYASTFRVNIKTDIYSFGVVLLELTTGRQPILREEQMNLAQWAQQRYKDGNYIVEALDEEIMETSNVEQMRDVFKLGLMCTGASPSSRPSMKEVCNILQSLRDPIF >Solyc04g074500.3.1 pep chromosome:SL3.0:4:60579097:60583505:-1 gene:Solyc04g074500.3 transcript:Solyc04g074500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERYEIVKELGSGNFGVAKLVCDKNTKELFAVKFIERGQKIDEHVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFARICNAGRFNEDEARFFFQQLISGVSYCHFMQICHRDLKLENTLLDGSAAPRVKICDFGYSKSSVFHSQPKSTVGTPAYVAPEVLTRKEYDGKLADVWSCGVTLYVMLVGAYPFQDSSDPKNIKKTISKILTARYSIPEQIQISLECRHLITRIFVADPEKRITIPEIKMHPWFLKNLPVELMEGGSYQCVDVNNPSQSMEEVLAIIQEARVPLQVGTHSFGGSMELDELDEADIEDVIETSADFAGLL >Solyc10g079920.2.1 pep chromosome:SL3.0:10:61503088:61505197:-1 gene:Solyc10g079920.2 transcript:Solyc10g079920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCSIYKYGSKAQGLAFLAFGSISFLVFVYVAIVSKLLPPFDNPILAAIQNDRYYCFLVPLTLPILVVAIYFHWLSMKIFKHA >Solyc06g083535.1.1 pep chromosome:SL3.0:6:48967629:48968407:1 gene:Solyc06g083535.1 transcript:Solyc06g083535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFALCCGDTDRIGVNFSIIRIKQYTPYLLPQGSYHLEIPGVDIQKRVPEHEQKSKINK >Solyc09g011420.3.1 pep chromosome:SL3.0:9:4751104:4754547:1 gene:Solyc09g011420.3 transcript:Solyc09g011420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATETVNPKAYPLADSQLTTTIMDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTSNEGSQLKSQIQQLKDAIEKLLI >Solyc07g065730.2.1 pep chromosome:SL3.0:7:67523819:67529803:-1 gene:Solyc07g065730.2 transcript:Solyc07g065730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRNINYSRLAVDDDDDYYGYSGKRADPRFDYSPKSLDRIPWKSIALALFLLFLGCLLLLLSYFILSGHMEGESSQAYGLLGLGILTFLPANIEAKAMLHSVCLASPLHSPKLKDSINGTSEIPTTTLHHFTSPFELKQAVAFLIKTNKPLSLLPLSRVASICALTPDFPFAQQIFSSVDQQEVAIWNSCLRNLAEGSSLIDAIFLFQQMRSYNVSLDCFTCSFVLKACVGLRDLLRGRIVHGYIEKLGFQSNLVLLNALLHLYATCGATDDAILLFDKMPQRDVVSWNIMITQLSKKGDVDGAFDLFGKMPERNLRSWTAMITGFVHCVKAKEAIRLFVEMEETGLRANEVTVVAVLAACADLGALDLGRRIHEYSNKSGFRRNVHICNTLIDMYIKCGCLEAAKAVFDEMKEPTIVSWSAMIQGLAIHGHGDEALELFNEMIKMGMKPNEVTFLGILHACSHMGLINKGREFFTSMSRDYNISPQIEHYGCMVDLLSRAGLLEDAYELITSMPIKPNAVVWGSFLGGCRTQKDVKMAEEAIRQLGVLDPLNDGYYIIMSNIYAEAKRWEDAAMVRKLMKDRGVKKTPGWSSITIAGTTHEFVAGDDNHPQAEQIFKRWDELLEQMKSKGYVPNTSVVLLDIEENEKEKYVYRHSEKLALVYGLMNIKPGETIRIMKNLRVCEDCHEAFKVISEIVKREIVVRDRNRFHCFKDGFCS >Solyc06g036570.1.1.1 pep chromosome:SL3.0:6:26757290:26757517:-1 gene:Solyc06g036570.1 transcript:Solyc06g036570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFQSSMSKAVNVGNKNNLTRTVKNYADSVVQQASQAVAEGAKLLQDYTVISPLSYFVSLQPTLHIINPFVLMY >Solyc08g016050.3.1 pep chromosome:SL3.0:8:6604746:6653925:-1 gene:Solyc08g016050.3 transcript:Solyc08g016050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILLQLMETSSSGYRFRRIPHHSFAGSLNLDPLLDENLEQWPHLNELVQCYRTDWVKDENKYGHYESVSPTSFQSQIYEGPDTDIETEMHLANARRPKIEDSVDGEIPSTSGAQLSEDNFSDLSNAKVSKHFGESPLPTYEPVFDWENERSLIFGQRIPEAHMSQYTSGLKIAVKVLSLSFQAGLVEPFHGTICLYNRERREKLSEDFIFHVLPTEMQEASSSYERRCIFHLDAPSASICLLIQLEKPATEEGGVSPSVYSRKEPVHLTEREKQKLQVWSRIMPYRESFSWAIIPLFDSNIASVGGSASPSSPLAPSVSASSSQEGITEPLSKITADGKLGYSNGNSIVVEVSNLNKVKEGYTEESLQDPKRKVHKPVKGVLKLEIEKLPASSTETENALDSGSLIYDSLDHGDHLNDSTSMKFPTNGTFSKSKSSEMKELVRNGSVAHENVENTADDFEAFDFRTTTRNEPFLQLFHCLYVYPLTVSMSRKRNMFIRVELRRDDTDIRKPPLEAMHPREPGVPLQKWSHTQVAVGARVASYHDEIKVSLPVIWTPSHHLLFTFYHVDLQTKLEAPKPTLYKLLFSLFTSARFRSEISLPIMKELVPHYLQESGKERLDYLEDGKNIFKLRLRLCSSLYPVSERIRDFFLEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLYPILNMLLHLIGNGGETLQVAAFRAMVNILTRVQQESVDEAERNAFLVNFVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARSFYHNLPSGEDVPPMQLKEGVFRCVVQLYDCLLTEVHERCKKGLSLAKHLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQTVLHDCKLTFLQIICDHDLFVEMPGRDPSDRDFSVEVQVLPFPAISVYDQCLILVRLFMLRELFHLPVLNYLSSILIQEIFLTWDHDDLSMRAKAARILVVLMCKHEFDIRYQKLEDKLYIAQLYFPLVGQILDEMPVFYNLSTIEKREVLIIFLQIVRNLDDETLVKAWEQSIARTRLFFKLLEECLMHFEHRKPADGMLVGSSSRSVIGEGPASPKYSDRLSPAINQYMSEAARQEVRGTPDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASALALRESLHPILRQKLELWEENLSAAVSLQVLEVSEKFSRTAATKRIATDYGKLDCITSIFMNVFSRNQPLSFWKALFPVFNSVFELHGATLMARENDRFLKQIAFHLLRLAVFRNDNVRRRAVIGLQILIRSSFSYFMQTGRLRVMLTITLSELMSEVQVTQMKPDGTLEESGEARRLRNSLEEMADEAKSSSLLLESGLPQNALAAVPEGSEENLWSWSEVKFLSESLLMALDASLEHALLGSVMNVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCEAHQEMQSWAEAAQCAVAVAGVVMQALVCRNDGVWSKDHVSALRKICPMVSSDITSEASAAEVEGYGASKLTVDSAVKYLQLANKLFHQAELFHFCASILELVIPVNKSRKAYGQLAKCHTTLTNIYESILEQESSPIPFTDATYYRVGFYGEKFGKLDRKEYVYREPRDVRLGDIMEKLSRIYESIMDGTTLHVIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGSVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVIKCESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILSEL >Solyc03g034453.1.1 pep chromosome:SL3.0:3:6446234:6448303:1 gene:Solyc03g034453.1 transcript:Solyc03g034453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSNMDTVPSEGEFATERRWIKATEMEADGSLGEARLYQQNGNSLSELGWDNFAWFEDDFSPVID >Solyc10g084530.1.1 pep chromosome:SL3.0:10:64138882:64139210:-1 gene:Solyc10g084530.1 transcript:Solyc10g084530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLPLPFLLLLLLCLVSFTTGEIKKLKISSDPRAMILFERFGFTHTGQAAISVSSVSVISTLATPDPSRLGFFLLSEEAFQIHQFVVYFP >Solyc04g048970.1.1.1 pep chromosome:SL3.0:4:38681202:38681390:-1 gene:Solyc04g048970.1 transcript:Solyc04g048970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHVREISGRLYVSSKFCYSFEIFNFSYRHEVVAVVTEIGSKVEKFKIREKVGVGVLIESC >Solyc01g017140.1.1 pep chromosome:SL3.0:1:23799947:23802058:1 gene:Solyc01g017140.1 transcript:Solyc01g017140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLTMDMMPEIDRFYITLQFELAKAMSPCIIWIPNIHDMDVNESNDLSLGLVVNHLSRDCKRCCTRNILVIASAHIPQKLDPALITPNKLIHALGYREFLFYNNENTNTIRSALHRQTCNLRSQVRSVEDDGLFFYQIGRVVAQNVLLSNCPIDTISVYMKKKSCNEGYSNLYKWYFELGMNMKRLTILLHLLSMKTFIPQQVRSVQDHAILFYQIGRAAAQNVLLSNCPIDPISIYMKKKSCNRGGFVFVRMVLRTSNEDLSIKNINWSLTKGREKDLFNHIVWAPRTWRPWGFLFDFIEKPNELGFPYRYRSFRGKQIIYDEEDELQENDSGFL >Solyc08g075210.2.1.1 pep chromosome:SL3.0:8:59452369:59453687:-1 gene:Solyc08g075210.2 transcript:Solyc08g075210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSFVSMAEKIIKPHSPTPFSVKRYNLCLMDEIMVPVYMPIVAFYPNPSKTPEQVSNILEDSLSKVLSSYYPFAGTLGSDNATFVDCNDRGAKSIQVRYDCPMSEIVNLPDTGPEYLPFAKGTPWSSTPEEQSLLVVQLSHFNCGGLGISARLSHKIADGCTLANFISDWASVARDDNANIPSPQLIGSSIFPPFTEMRIHTDTNVDYEFYNLPVCKKRYLFSNAKLEMLKTQVESETGVQNPTRIEVLSALIYKCAVTANSSSFRPSSLSLPVNLRPILNPPLETRTVGNIISFIKVETTSEDEMTIGRVVREIRKGKDELKQEGGVKKEKLVSLWSEWIHSIDLYRSSSVCNYPLNNLDFGWGKPNRVAIPVFGVANTCMFMDNLSGDGIEVIIALPEKDATQFENSKELLHFASPVTNL >Solyc09g059580.2.1.1 pep chromosome:SL3.0:9:55104316:55106373:-1 gene:Solyc09g059580.2 transcript:Solyc09g059580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLPHELKPLLLRCKHNALISQIHTLMVVSGLFSNGNSIAQLISSYGKVGDLKSAHKLFDKSPLRRVDSWNAMIIAYSKNEFPVEVVNFYSQMVLEGVKPDSSTFTVVLKACTILQDLEKGEEVWEKVVDCGYENDVFVGSSVLNLYSKCGKMDKAGAVFEKMQRRDVVCWTTMITGFVQSGKGREAVDLYRRMQREGMVGDGVVMLGLIQASANIADTKLGSSVHGYMIRRSLNMDVNVLTSLVDMYAKNGELEKATRVFRKMPFRNTVTWSALISGYAQNGFAVNALQLLIEMQLLGFTPDVASLVSALLACSDVGSLRLGRSIHGYAARKVIIDQVLSTGLIDMYAKCGLISCARAIYDCIISKDLICWNTIIACYGIHGHGKEALTLFQQMKDQIEPDHATFAALLSALSHSGLVEEGRHWFDIMVNEYKIKPSEKHYACSVDLLARAGEVEEAKDLITSMETKPGLAVWVALLSGCHKHKKFSIGELAANRVLELIPENTGTFVLVANFFAAAKMWDKAAAVRKLMKETGMTKVPGYSAVEVKGRLHAFLVDDTSHPQYEQIMGLLCNLENEMKAMGYVPKTDFVLQNLEEDVKVKMLGIHSERLAIAFGLLNTAPGTRLLITKNLRVCGDCHEVTKFISVIVKREIIVRDVKRFHHFKDGTCSCGDYW >Solyc09g005075.1.1 pep chromosome:SL3.0:9:47628:50040:1 gene:Solyc09g005075.1 transcript:Solyc09g005075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIAAPTPYPTPLCLVILHVRFPLNLLERKTRTFRLVEFLNMHGKRSTRLLFPTFRTSNNVQLTSDFGSFPSKIFLLRSKVIRAQPIGNESGITPWSLLFPRFSTPSFGLYSSRHGGIVPLKALLEKSRT >Solyc05g006607.1.1 pep chromosome:SL3.0:5:1239171:1239862:1 gene:Solyc05g006607.1 transcript:Solyc05g006607.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLVYAYGCPSRLVRPIWKVKRAPKRAYSSFRRFSCAIAHHLLVDPDSDVKNAKCFRGRPSRPCLFIRLAITACPTHLEGQTSSEASIRLISMIFVCYST >Solyc02g084970.3.1 pep chromosome:SL3.0:2:48645013:48647342:1 gene:Solyc02g084970.3 transcript:Solyc02g084970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVATTLVNGSILVNQLQFRRLDRFSTFKLDICGKFKFKKSISHCTLIPPKMMMDSVENRAAAAAGDEGVSLGGLEKEAVIDGSMNFSSDGIQAFLNSMSKWLMAAVYGMILLWRHDMEALWVTSGGVVNTCLSIALKRILNHERPVSTLRSDPGMPSSHAQSIFYTVAFSIILMIKFFGFNEMTAVTSTLIFAMGSYFSWLRVSQRFHTLNQVAVGAILGFCFSIFWFWLWDAIVMKAFINHYWVRIVAVVGAAGFSVGFLLYVIRNWVIEDLH >Solyc01g102950.3.1 pep chromosome:SL3.0:1:91480581:91487331:1 gene:Solyc01g102950.3 transcript:Solyc01g102950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQLQTTVRNGVFQYPSAIRPFGNRKRVSFQAQATPTRTQRIMEGIAVSGEVGGAGGAYSYTALKRLDQLLSKICSASAVVEEPQKVVFSVPGSYKDSEHVGNSEEMFDVIVCGGTLGIFIATALSSKGLRVGVVERNVLKGREQEWNISRKELLELVEVGVLTEDDIEEATAASFNPNRCGFEGKGDIWVQGILNLGVSPVKLVEIVKDRFDSLGGVTFEGYSVSNISVYQDAAVLQLKEGKTLFSRLIIDAMGNFSPIVKQIRCGRKPDGMCLVVGTCCRGFKENSTSDVIFSSATAKEVGQSLVQYFWEAFPAGSGPIDRTTYMFTYVDPQPGSPQLEELLEDYWDLMPKYQGVSFDDLEILRIIFGIFPTYRDSPLPAAFDRILQFGDASGIQSPVSFGGFGSLTRHLGRLTTGIYEALEGNFLDSKSLSMLNPYMPNLSSSWLFQRAMSAKKQSNVPPDFINELLSANFISMKKLGDPVLRPFLQDVIQFGPLVKTLGLITLTRPQIIPSIFKQVGIPVLLEWFGHFIMLGYYTFLSTFLDPTIRPLIESFPAKMRYEWKRGLEAWQYGAGLDYKQSPSEAHEAANRSDPSKETFSTNSHP >Solyc05g012090.3.1 pep chromosome:SL3.0:5:5307947:5311714:-1 gene:Solyc05g012090.3 transcript:Solyc05g012090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVFRRFLPKKIGFKTSKKSCYAPGVCSIHSSPDCVVEVHSPEQEVIIALGSNVGNRLNNFDEALHLMKQSGIKITRHACLYETAPAYVTDQPQFLNSAVRGVTKLGPHELLRALKKIEKDMGRTTGIRYGPRPIDLDILFYGKFKIHSEILDVPHERIWERPFVVAPLIDLLGSDIDDDTVASWHSFSKHSSDLFELWEKLGGESLVGRNGMKRVLPVGNHLWDWSLKTSLMGILNLTPDSFSDGGKYISVEAAVSQARLMLSEGADMIDFGAQSTRPNASKISVEEELDRLIPVIEGVTKIPEAEGKLLSVDTFYSEVASEAVKRGVHLVNDVSGGRLDSNMHSVVAALRVPYVAMHMRGDPSSMQNPENLQYNDVCKDVASELYVRLKEAELAGIPAWRLIIDPGIGFSKNTEHNLDILTGLTTIRAEIARRSLALSHTPLLIGPSRKRFIGDVCARPAADERDPATVAAVTTGVLNGANVVRVHNVRYNADALRLCDALLERKQRQNS >Solyc01g066055.1.1 pep chromosome:SL3.0:1:72809553:72815026:1 gene:Solyc01g066055.1 transcript:Solyc01g066055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLFDYLDDFVIVYLDDIVIYSRTLEEHVNHLSLVLSQLRKYTLYVKMEKCEFAQQEIKFLGHLVSKNQVRMDPKKVQAIVDWQAPRHVKDLRKFIAGYSKKAAALTDLLKKDTKWVWSERCDEAFQNLKNAIASEPILKLPDFELPFEVHIDASNKAIVEPKMDLHSLFANSSNPLESADFVPNSVAFELAFAVFPP >Solyc06g034150.3.1 pep chromosome:SL3.0:6:23802010:23809370:-1 gene:Solyc06g034150.3 transcript:Solyc06g034150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWNEWVEQTLDKLEYRKLLRSLRPIHLSDDNSHSDEFECFDGLRQWDRASVEVEISETTFQKWLQDIPSPGDDHGGSGVAYTAARTSAGRFRKLILFSGNDYLGLSSHPSAVQKHGMGPRGSALICGYTNYHRLLESSLAELKSKEDCLLCPTGFSANMAFMTAVGNVSLLLAKDSQPSIDERVAVFSDALNHASIIDGIRLAEKQKSVAAFVYQHCDMHHLNELLTNCPMKKKVVITDSLFSMDGDFAPLVELVKLRKKHGFLLAIDDAHATFVCGKTGGGAAELFNCISGVDICIGTLSKAGGCHGGYIACSKKWKQFIQSRGRSFIFSTSTPVPIAAAAHAAVIVAKKEMWRRRAIWNRVQDFRDLTGIPITSPIISLIVGSEATALQASRHLLEFGFHITAIRPPTVAPNSCRLRIALSAAHTLDDVKNLTAALSQCINFSEIGFYCTSWNAARL >Solyc12g055710.1.1.1 pep chromosome:SL3.0:12:62632001:62632765:-1 gene:Solyc12g055710.1 transcript:Solyc12g055710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLDESGVLALLGKFMVGLIIFMFLVVFFIFLFHVYTKWFLNRRRYVDTASDSDGSGTRRKVSAKGLDPLILETIPIVGFDVNEFKDGTLECTICLCEFSEGEKLRFLPKCNHGFHVDCIDMWFHSHSTCPLCRNAISTTEESSLEIEEEGSAWASVETELPIFPTNVLFWGNETQVSTLCNPCLDSTASTSNRSHGMLVIDIPREINEEEESKYVMPERLRRLMRLLSGDRRVFNPSSSRNVDIEQGSRSPS >Solyc11g040170.2.1 pep chromosome:SL3.0:11:39464998:39467072:-1 gene:Solyc11g040170.2 transcript:Solyc11g040170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNALPQPEDDDEIFGQQLEDEPQEPIILHSDERADYVTTAVEISRREREERIQRLKRQHPDDRPAYPSQPRMRDEIFQTKRQKPSSRLPPGWLDCPAFGQEIGCIIPSKVPLDETFNDCVLPGKRYSFRQVLHQQRVLGRKVSVLVW >Solyc02g089680.3.1 pep chromosome:SL3.0:2:52071657:52074435:1 gene:Solyc02g089680.3 transcript:Solyc02g089680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKFPQKKMELPFGKYSTSTQRTPRIFLLLVLAVVVLGIIPLYHPFNWYPADLATQHPSEISPNYHAAEEQKIKIKEPETCDIFTGEWVWNPDAPYYTNMTCYAIHEHQNCIKYGRPDTDYLKWRWKPNGCELPIFDPFLFLDLVRNKSLALVGDSVGRNQMQSLICLLARVEYPVDISDNPDEAFKKYKYTTYNFTLATYWSPFLVSTKEADADGPSHTGVYNLHLDKADEKWTTEIERYDYVILNAGHWFARISVYFENNQRVGCRYCGIPDVSELPITYAYQKAFRTALKAINNLENFKGVAILRTFAPSHFEGGEWNKGGNCARTRPYRSNETALEGQSLEQYRIQVEEFKAAEEEGKVKGKKFRLMDTTQAMLLRPDGHPSKYGHWPNANVVLYNDCVHWCLPGPIDSWADILFHMFKMESTKSLEEKLE >Solyc08g074750.2.1 pep chromosome:SL3.0:8:59007389:59012073:-1 gene:Solyc08g074750.2 transcript:Solyc08g074750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRGKQKIEAQRKNAEKNQKGKGSQLEARAVGLKVICPICKAQLANQNQLVDHYGSKHPKEKAPSNSE >Solyc05g018825.1.1 pep chromosome:SL3.0:5:24158418:24162200:1 gene:Solyc05g018825.1 transcript:Solyc05g018825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVKPSRARILGLKDSLTCATKGTLSIYAYLQHSKQLVTTLISSGAVITLDDVTLYVFNGLSSKYKDCQTGLALVSGKPKNGLYELTKNLSTSLKKTINLVALHTRIIIYLIVYVDDLIVIGNSDSTISLFLTKLGTQFSIKDLGNLTYFLGVQFIRTSNEREDIVDHASMAGAKSSLTPLATTNSLMLDDSAHLPNPKEYQFAHHPTTNHWIALKHVIRYLIGTTDHGLFLHKNSFLIYMPFLMQIVQEITMIGHPLVIMLFFLNKISRSANKKHYVARSSSEAKYRFVATTTAKIVLPSKSIPKTSTQLCSASCHLL >Solyc02g065280.3.1 pep chromosome:SL3.0:2:37010909:37016661:1 gene:Solyc02g065280.3 transcript:Solyc02g065280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIKKQGRHFVLVHGACHGGWCWYKLKPLLEVAGHKVTTLDLAASGIDLRKIEQLHTFHDYTLPLMELMESLPQEEKVILVGHSLGGMNLGLVMEKYPQKIYVAVFLAAFMPDSIHSSSYVLDQYFERMQTMNWLDTQFVSYGSHEEPLPSIFFGPKFLAYNLYQLCPPEDVALVSSLGRASSLFLEDLSKSKYLTDEGYGSVKKVYIVCTDDKLLPKEFQKWQIDNINSIIETKEIEGADHMAMLSMPKKLCDTLLEIADKYN >Solyc02g079150.2.1 pep chromosome:SL3.0:2:44312198:44314224:-1 gene:Solyc02g079150.2 transcript:Solyc02g079150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGKKCGSYGSGLVRSSSFGRKRVIVDVDFSSTIHMKKVCSHNSFFNHEKSPIEDLPQDILIRVLCGVDHDDLKRLYHVSRAIREATVIAKKLHFEYATPRKTVGFKNAIDDSGEFNDIEAPNTPRQLKVRKLRLSKKKIADISVALFASEDDDDNWMAMNAEF >Solyc10g018000.1.1 pep chromosome:SL3.0:10:6369037:6375735:-1 gene:Solyc10g018000.1 transcript:Solyc10g018000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSGEKHVGESSTRRNASKRKGQGQRHSVEATQRLEAFYEICRFPDEDQRNQLAFEVGLDPDQVKGWFQNKRTQSKTKDERSDNKTLRNENETLRLEIIAMKEAIKNNMGSQSDGPSIGEEERARNVEKMKINIQKLREERKRISNIISSYDGKSFVMDSNSTLESLADKSLLKQTICGSSIEHTSSGNNNDIYNARIRMNNIPIISKLEQENYGFHHDDSNGEKSIIFEIVVPAINELLGLVHVDKPLWIKSSVDEGWTIHREGYDTTFPNSNRPYKSSTARIESSRYFGVVPMTGIDLINNFRNPIKWMNMFPTIVTKAKIVDVLDSGNTEVSIQLMYEKMHILSPLVEAREFVFIRCCKQLQPTTWIMVDVSYDLFKEIQICAPSYAWKFPSGCLIQEIGDGRSVVAWIEHVQIDEKCHEVNHLFRHLLCRRHIYGAERWIATLERTSERYNNEMSTTGFKGEGLKNAMNISQRMVKRFCEILSMTDKLDFSTSLLLNNEDRVSIRKNKETTQSKGFIVTAATSLWVPLSFETIFDLLNDINTRYQWDAFSGGNYTAEMDRLSTGTSTKNCITFIELNTTRENNMVLLQESGIDKMGAFLIYGLIDSTAYKSFLSGGNAEEVFILPSGIIISPDGRLTDNNENVKNGSILTVAFQKLNFANNSISQDQHEEEMAYIHNLLSYTVLKIKALLGCSD >Solyc11g011790.2.1 pep chromosome:SL3.0:11:4775257:4780766:-1 gene:Solyc11g011790.2 transcript:Solyc11g011790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVLKSAQLSVSNSSDTDDHDDERVHSEVTAGNKNIGIRSALLEEKTLACHMLCCFATELKGRLHLWVNEVVSALVPNLTFEFSEEVRMTAISAMPLLLNSASCAMKKELPVTGCGKCPVQKLSNTVILSLLDVLKKIGICLGTMVKKLKASFFPLLDKLLPYVSLMWSDDRTAEERRIVVHLFRDVAEQYYEDWIPLLFKVYYHKDPDVPQIVAIAFGICAEFGADFLKPHAEAMFNCLKSALEHPNAKYQYNIMAYEAAVFTCGKLNQFLSDDFYTSEFLWLWLSHLPIRCNLDEAKISHGILCSMIETFEDRAIGPRGLHIPKIIAIFAEVLWAGNNLATEETRGRIIKLLKKFQREQHPSVLFETLETLPLPHQNLVRTVLSTL >Solyc12g056720.2.1 pep chromosome:SL3.0:12:63757137:63761173:1 gene:Solyc12g056720.2 transcript:Solyc12g056720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4DG03] MVLPIIHTLQLSFLSLLFFTFILITTISYTPLTKLLYFLLTTHLHFFLLFSLCTLISIYYNYHTRPHRVFLLNYTCYKPPPHRKCSYKISDSYVLRNVHLVKKSIDFMRNIYLKSGLGDETYGPPFIFEDDDDGDNNNNNNNNNTKNVPTLKSANQEAREGVFSSIDELLGKTLIDPRSIDVVIVTCGGFSPSPSLSSLVVNRYNLRSDVKTYNLSGMGCSSGVLSIDFAARVLRGSRKVQNALIVITESITLNWYHGENRSMLVTNCIFRVGCAAAIISNNPKLFKRAKMELIHSLRTHHGADDSSYRAAIQEEDEKGITGISLTKDLLHQHIKILAPRVLPLTQITNYIYSIIMSTILPQSKFKPVVPDFTTAFQHMCIHTGGKAVIEQVSRVLKLSDEVTEAAKMTLNRFGNTSSSLVFYELAYFEAQKGKVKKGDKMWMIAFGTGFKVGSLVWKWIQDSNQDYDNPWNDCIHNYPLKVW >Solyc05g009250.2.1 pep chromosome:SL3.0:5:3385847:3399663:1 gene:Solyc05g009250.2 transcript:Solyc05g009250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKIKQDCIDENEVIEIERTIRVKISDPDATESSSDDEKQEKRPKIIVHEIVQKKVKIQSFLLNSKNPLDFYQLPPPRVRKRKYQKKGVSAKSGNPPLMADSQNLDLTKVKTRFSLKNRSPLSFEQSSGKLPPMVRKRKSGKFATEIRDPFSKKRIWLGTFNTPEEASEVYQSKKLEFQEKLEKARNANVDKVISAKFELGSSSSSAPPLMADYQNTDSSNESVDRLKKAKNAKENMVISAKFELGSSSSSDPSLIADSQNTDSSNESGDILKKSKNAKEKMVIFANSEPGSSPSEPILMVDEIDEQLNKAINANVAKGISSKSELGSSSSDQVDAQTSDSSNGVEESDEDLWMGQWIQISGDKEVKFSQKLGVPVVDNYGYLVLMRWSLDLYVETNQFDVTSLKLGIERNRFITVTPEAEVLKAKQLMIAYTLSCLTWMRLQVLDYIEDSGLEEIAQGRPNVSLMKQDLIAVSVGFPKQGTIKLEMLSLAFLGDKHLCLHYVLSGCDSLGKLEIRDCRPFGDDGAKLEGDNVINCSVCILVAQKLPGLNVEVIDGTPDKKLLLKSLIMKSKKKISTNFSVRLYPVGVRKRKNGKFCAEIKHPFNKKLIWLGTFVTADEAYESYKSKKVEFEELVMAKEAKKRQKNGNFDRECGSSEEKSLMGIAENSNSSNRVDEKIDFFAEDKQESLMGDSENLNSISSNGEESGEELFKGTWVKISEDKEVMFSYNLGVPIIDNYGFLLGEFSNLDDLTFM >Solyc03g115000.3.1 pep chromosome:SL3.0:3:66335700:66342062:1 gene:Solyc03g115000.3 transcript:Solyc03g115000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKLLHSLTEDNQDLQKQIGCMTGILHIFDRQSMLASRRLIGNSPRRLTSGSSHIGSGTSEKEYTSTYPKSPAMESHTNKTVQDKQRLSTESSRPSFSSSSRSSSFSSLDCNKTSQQEPLAFDRLSFAETPSREPAAGQPNASPQFGRQSLDIRDVVKDSMNREAQRFSAGPAVKEEVAESMSKPGDSPRPVQTLKNFDGAYDSGPNGKQNSSVDLKESLRVLAKLREAPWYSSEHRELTRSLSYHSKDTSTLSVSKDAPRFSYDGRETNHVPFEQRDISKSTLKLKELPRLSLDSRVSPVRSLNSEPKSNFSSKSMQKDSGNTNAKSPTLQQTSGTPARPPSVVAKLMGLDTLPGSMSSTDNKMGLSTSSQVEAPVSFPRSSEVSDPCKPIRTSNTSKNLWKEPTSPKWRNPDMAMKPISRFPIEPAPWKQPDRTRVYEKPISRTTKTPVKPAHPFPSVYSEIEKRWKDLEFTHSGKDLRALKQILEAMQAKGLLETEKEEQDSNFTGQKEHHQKFASPAQSAKLANQRMRQTDQVTAPTKRGINSSRNFESPIVIMKPAKLVEKSDIPSSSMIPLHGGDSVSRKGNSVSRAAKEHQPRTSHGNSPVNPNEARRTSKPPQISTRSQQLPKEIISGSIKSSGSISPRLQQNKLELEKKSRPPTPPSDSNRSRRQSNKQHTEASSPGGRRRPRISNIQQHDDHVSEISSESRNLSCHGNKISGQSNGNVVAESKVDFEVTSFERSLEMTSSPSSSIDASSYLRCDLVEKKSIRVLSEDEMLTEPAPEYPSPVSVLDNAVYMDESPSPVKHTPKVMKDESCNTADKFSSPPQCDRSNTLAIDATSSGLSSEINRKKLQNIENLVEKLRRLNSSHDEARTDYIASLCENTNPDHRYISEILLASGLLLRDLGSSLTSFQFHPSGHPINPELFLVLEQTKASTLLKEELCNDKMRQSNPKEKIRRKLIFDVVNESLAGKLMLVGPSYEPWLMSQKLAKSTLNAQRLLRDLCSEIEQLQAKPSKCNMEDEEDEWKNILLDDVVHRSESWTIFTGEISSVVLDVERMIFKDLVDEIVRGDGSGLRAKPTRRRQLFAK >Solyc05g009660.3.1 pep chromosome:SL3.0:5:3852020:3872878:-1 gene:Solyc05g009660.3 transcript:Solyc05g009660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSQFSSLSSSSYDGYGSPSAVTPPPNMVGNSASGDDIRSRQLTSQVSRHWRDVFWMGVFMLHLVLIGGALAVLGFNRFREKDRLKIDRFTKMILDNQPGLTEDFWPLYIVGGAVGTVLAWAWLVLLGSSASNMMKLSVHILTTYLAVISVLCFWQKQFFWGVAFSVGAALQFLYVISIIDRLPFTMLVLQRSVKMVCSLPEVMGVSFAFMLVMLSWLVLWSFGVAGVIALSIGDGGRWWLLVVFCVSLFWTGAVLCNIIHVIVSGMVFLVLIHGGRQATSMPPKPLKKSLRYAVTTSFGSICYGSLFTAAIRTLRWKIRGVRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVLGYLFVQTTKLLIHVNLFLLDCPSMLPAWIAVYGKNFNCSARDAWELFQSTGVEALIAYDCSGAVILMGTVVGGLVAGTCAGIWTRIRHPDRVMMVGSTSMLMGMILVGLATVVVESAVTSIYICYAEDPVLIQRWDAEFFNKMSEMLHQRLQHRSSRATQPLSARFAPPALRCAKTLFKPRLPFPSVVVPLIPRRGDEDSRLAARFADIGELEQSTGFTQDDAVDLSRSTVYGEMRPNNVGIVSCNNNNLHFGELNTHFENWGDSGIADHSQQTDTSTDVDTDERNHQGRENALRTAGILVAILGQGVQHGALIAVDSMDLSKVKLGDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLSQLEQELKRARQQGIFIANGYAGDQSLSAGGNGALAFDMDYARWLDEHQRLISDLRSAVNSHRGDNELRHLVDGVMSHYDEKFKLKSVGLKADVFHMLSGMWKTPVERCFMWLGGFRSSELLKILGNHLEPFTEQQLIGICNLQQSSQQAEDALSQGMEALQQLLIDTLSSTSLGPSGSGNVADYMGQMAIAMNQLATLENFLYQADLLRQRTLQQLHRILTTRQAARALLVISDYMSRLRALSSLWLARPKDQL >Solyc04g063280.3.1 pep chromosome:SL3.0:4:55412433:55428220:-1 gene:Solyc04g063280.3 transcript:Solyc04g063280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNAAACAERATSDMLIGPDWAINIELCDIINMNPGQAKDALKIIKKRLGSKNPKIQLLTLFVLETLSKNCGENVFQQIVEREILRDMVKIVKKKPDLNVREKILILIDSWQEALGGPGGRFPQYYAAYNELKAAGVEFPPREENSVPLFTPPQTHPIVHTPSAYEEAAVEASLESDPSGLSVAEIQSAEGLSDVLTEMLGALDPKNPQSVKEEVIVDLVEQCRSYQKRVMILVNNTVDEDLLCKGLALNDNLQRVLRRHDDIAKGTSTITVPPRETPVAPLMNVNHEDDESEDDFSHLSRRTSRDPSQGQGRKTIDVKSEPMRITPTLPPPPSSRRPVFTDGKMVDYLSGDRFESERSMGTEGSSPIAASTNSNNASSIPWSSPKPSSSAPPPDDLLNPTADENFLNPTASMFTGKNNQNESNSKAKSADNLPPAPWDAPPPTAIPPPPARYDQRQQYFEKHVSGGSPPARTGSSSYDSIVGQTKNLSINSSSPSKPEKPEDALFKDLVDFAKAKSSSSSNQSNRSF >Solyc01g060185.1.1.1 pep chromosome:SL3.0:1:69693093:69705044:-1 gene:Solyc01g060185.1 transcript:Solyc01g060185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYHNIGRLVDCVGCHWTDDCLVEWCLY >Solyc07g062690.1.1.1 pep chromosome:SL3.0:7:65510321:65510500:1 gene:Solyc07g062690.1 transcript:Solyc07g062690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFNFHSIKSVLDSAHFHCSVRVDIVTSSRSIVLLLALLCQVNQFLVTMCVFVHGCVCV >Solyc01g011410.1.1.1 pep chromosome:SL3.0:1:8954616:8954837:-1 gene:Solyc01g011410.1 transcript:Solyc01g011410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMMHNYFRIGGVAADLPYGWIDKCLDFCDYFLTGVAEYQKLITRNPIFLERVEGVGIIGRDEALNWGLSGPI >Solyc12g096490.2.1 pep chromosome:SL3.0:12:66363132:66367786:-1 gene:Solyc12g096490.2 transcript:Solyc12g096490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVSTFIATPSTIEPVESTVKTTFSPVESFQRSPWHSPAAYLFIGVAAMLGLIAFALVILACSYLKRSGETSDSTDDLESGAGEKSSGDLVKFVPIYEEKIVVIMAGDLNPTFLATPISSKSSSFGDENGSKIEKKLEMEDEELADENLSIGDCSKIAMAFAGAVSIRRRSVHATCSYWRRSGYPRENGDVESGDGEKSTGDVFKASPVFEEKIVVIMAGDLNPTFLATPISSRGNSFGAGDKIEKKLEKETEEFDDEKAKDEAMDQAHEESQIGH >Solyc06g034200.1.1.1 pep chromosome:SL3.0:6:23921108:23922712:1 gene:Solyc06g034200.1 transcript:Solyc06g034200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter [Source:UniProtKB/TrEMBL;Acc:K4C4P4] MAGDMKVLNALDSAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGSSRPGSLPPNVSAAINGVAFCGTIAGQLFFGWIGDKIGRKKVYGVTLMIMSICSIASGLSFGKEPKTVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILGGGIFAIIISAVFQACFKAPAYQVDPLGSTVPQADYVWRIILMAGSLPALVTYYWRMKMPETARYTALVAKDVKQATADMEKVMQVDIETEQKELAVSSVAVKSGNEFGLFTKQFLTRHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAIGWIPAAKTMNAIEEVYKIGRAQTLIALCSIVPGYWFTVFLIDRIGRFTIQVIGFTMMTVFMFALAIPYHHWTLPGNHIGFVVFYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAACGKLGAMVGAFGFLYLAQPQDKTKADAGYPAGIGVKNSLIVLGIVNLLGLFFTFLVPESKGKSLEEMSRENEDSAQPENHNRTVPV >Solyc01g106360.3.1 pep chromosome:SL3.0:1:94184744:94193215:1 gene:Solyc01g106360.3 transcript:Solyc01g106360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKRVMLPASEIDLTAVKYIPENIQAPHLTGFWFKFFLNLAEVPGIGSLIVNRLKNDNKFDEKLKYTVIPEYPMFKPEFPPQEPEPAVVSIEEDAKPEDRVELALKCLPEYDPASNWSGDTTTSFRYWKIRDYAYAYRSKFTTPSMVAERFISAIEEFNNKNPTTPLLISFDPDDVRKQAAASTQRFEQGNPLSILDGIFMAIKDDIDCYSHPSKGATTWMHEVREVKKDAVSVSRLRSCGAILVGKANMHELGLGTTGNNANYGTTRNPHDPDRYTGGSSSGPAAIVALGLCSAALGTDGGGSVRIPSSLCGVVGLKSTYGRTDMTGSLCGDGTVAIIGPIATTVEDTILVYAAILGSSPADRISLRPSLPCVPNFSSQESLQSVESLRLGKYTEWFNDVFSIDISDKCGNVLSQLSEEHGCRIVEIVIPELHEMRIAHVVSIGSEALCALNPDCCDGKGERLTYDTRTNLTLFRSFTAADYVASQRLRRRLMYFHMEIFKRVDIIVTPTTGMTAPIIPPSALKVGETNMQVTGNLMRFIITANLLGLPAVTVPVGYDKQGLPIGIQLIGRPWCEASILRLAAAIEETCAEPKKKPLQYYDILKGN >Solyc10g083740.2.1 pep chromosome:SL3.0:10:63624306:63628769:-1 gene:Solyc10g083740.2 transcript:Solyc10g083740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RTELCRFSGAKIYPGRGIRFIRSDSQVFLFVNSKCKHYFHNRLKPSKLTWTAMYRKQHKKDIAQEAAKKRRRTTKKPYSRSIVGATLEVIQKKRTERPEVRDAAREAALREIKERIKKTKDEKKAKKAEVQAKSQKAGGKGNVSKGGSKGPKLGGGSGKR >Solyc04g017940.2.1.1 pep chromosome:SL3.0:4:8716987:8717776:-1 gene:Solyc04g017940.2 transcript:Solyc04g017940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTTIIGRKTRRNFRVVLHLTISFVSPPFQIPCCYIRFKKPRRIV >Solyc02g087420.2.1 pep chromosome:SL3.0:2:50512862:50519300:1 gene:Solyc02g087420.2 transcript:Solyc02g087420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHYKTGIRNFEISSQRKEEMAGNDSQKQFLTLLREFASEKSQGERRIVNHKKRNQQLQSELQLAYAEVEEAKNQKETAEQELKVYEVELARNESAIQTLEEGILWIQDELSAYGSNVESLKNKEAETRDDFIEKMFELNAQIRKFHESIASIFRNDDCSTSASKPGSAKAKAEDAEAFKGDLQNKLAQIVSQITKEEEEYQVEQNIHRQVAEELNILESKASLIEGITKENMEMQELARYP >Solyc11g007040.2.1 pep chromosome:SL3.0:11:1521879:1526549:-1 gene:Solyc11g007040.2 transcript:Solyc11g007040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATCFYVSPFIQNPTFFTSTKSLYTSIKFKFNHKKGLNHLQDSIFVHSNSSQGMLGKVLVVLLIAGLAWAFPSLQPPPPRICGSPNGPIVTGPRIKLRDGRYLAYKEHGVPKETAKYKVVYAHSFSATKYDSAVAPLETLEELGAYVVSFDRPGYGESDPHPKRTIQTTALDMEELADQLGLGPKFYVMGYSMGGLSVWGCLKYIPNRLAGAALVAPVVNYWWPSFPANLSTEGYNLQLPQDQWALRVAHYAPWLVYWWNTQKWFPCNSVISGKPKMSPQDLEVVSRSAKHLSKRPKLKEYAVQQGVFESLHRDMMVGFGKWDFDPMDLKNPFRNGEGSVHMWHGDEDWIVPVTLQRYVAERLSWIQYHEMPNVGHLVMLDPAMNEVIWKTFLTGRQRTNSVHS >Solyc07g049800.1.1 pep chromosome:SL3.0:7:60361361:60366752:-1 gene:Solyc07g049800.1 transcript:Solyc07g049800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLPESPFFESTLGSSSSSPSQKSPNDLGAFTPNQLVLLIDDASLHGEVMHHLYEEVTSAYKKFSPSTLSMREATRVCCAIALFQVMANNPETRTGLIKAEIPCYFYPFLKPCGDDKHLECVRTTTLGVLSDLSKFDDPHGSHAVRFFLESEAVPLCLKCMDVCDEKSQKHNHEASRMLQVLHINIYRYLYFLPIEERKWIAKKAASSYASCSASSSKGKGSRTS >Solyc07g042430.1.1 pep chromosome:SL3.0:7:55698697:55701177:1 gene:Solyc07g042430.1 transcript:Solyc07g042430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flavin-containing monooxygenase [Source:UniProtKB/TrEMBL;Acc:K4CEA4] MAIIRSKIGIIGGGISGIAAAKQLAKYDPMVFEATECVGGVWKHCSYRSTKLQTPRCDYEFSDFPWTQRDNTNFPTYEEILDYLYSYAKHFDVLKFFNFNSKVVEIKFVGDREMNYFGEYGSLLTGNPVWEVAVRNNQSQTLQWYAFDFVVMCTGKYGDIPNIPNFPPKKGPKEFNGQVLHTLDYSKLDQEASTKLMKGKKVVVVGYKKSAIDLAVECAEANQGPEGQPCTMVVRTLHWTVPHYSIWGLPFYLFYSTRSSQFLHERPNQGLFRTLLCHMLSPMRKAASKLIESYLEWKLPLEKYGLKPEHPFEEDYASCQMAILPENFFTEADKGKIMFKRTSKWWFWEGGVEFEDNTKLEADVVILATGFDGKKKIKAILPDPFRSLVEFPSGMIPLYRGTIHPLIPNMAFVGYLESVSNLHSAEIRCIWLSRLVDDLFKLPSVEKMLEQITQEMEIMKRTTRFYKRNCISTFSINHSDEICQEMGWQVWRKTNWLAEAFSPYNSQDYAEEK >Solyc05g017990.3.1 pep chromosome:SL3.0:5:19352328:19356149:1 gene:Solyc05g017990.3 transcript:Solyc05g017990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPYPLKVKGLEVNLVHMLKQFHTPRSLLLFCSTKPLWNLEISMNFSCGVRPKSHQHSITVRSFSTEIAEGSEKSLPWLEEDKVKQTKRPEKVVLNRSSWGESADKLFKGAEGSVEFKRYEDRRDNYKSTSNSGEEDDEGEMDDPRWDRIKSRFSRIKVRPRSDRPEVRRWNNQDSWGKKTWKEASESTLPRMIGEGVYGVGPVLAALSAGRREFYGLYIQEGLDLSGNNRKKKDKKGFERVLRTAEKVGLSIKEVSKHDLNMISDNRPHQGLVLDTSPLEMVGIKELEPVSIEEDTTPLWVALDEVTDPQNLGAIIRSAYFFGASGIVLCAKNSAPLSGVVSKASAGSLELMELRSCKNMMQFLTSSAENGWRVLGGSVSSRAVPLHKIVPGAPTILVLGSEGTGLRPLVERSCTELVGIPGNIPLDIIAAEDEDAESDNSILGQNFRSFMAVESLNVSVAAGIW >Solyc09g064670.2.1 pep chromosome:SL3.0:9:62246288:62248010:1 gene:Solyc09g064670.2 transcript:Solyc09g064670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CALSHKHQTISLIKFKKSLTINTISSYICEKPYPKTSSWNMSRDCCSWDGVICDNMTGNVIELNLSCSGIVGKIDSNSNLFQLSHLQRLDLSYLTHFFGSHISPEFGRFSNLTYFDLSWAGFSGQIPSEVSHLSKLHSLRLYGCSGLEVLDTHHNNLIGSLQTIFSNNGSSLINLNLHSNKLEGRIPRSLANCKELQLLHLGDNHLTDTFLIWLGTLPKLKVLILRSNSLHGSIQPPRIETIFPKLQILDFSDNAFSGNLPSNLFQRLEAMRTNDPSRETQRYHLIPITTKGFEVRILYLYTVIDFSSNKFEGQIPIESLDLSGNKLSGKIPEQLVSLVSFILKSFPQSSSRMHPSTTSISHF >Solyc02g094860.2.1 pep chromosome:SL3.0:2:49312839:49315983:1 gene:Solyc02g094860.2 transcript:Solyc02g094860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMMAVLLLICIILMSLKIAYETLSFYYLIPRRITKIMKKQGVCGPRPRFLVGNIFDMTSLVSKSTSNDMDSIHHDIVARLLPHYVAWSKIYGKRFIFWNGTEPRMCLSEADLIKELFSKYSSVSGKSWLQQQGSKHFIGRGLLMANGDDWYHQRHIVAPAFIGDKLKSYAGYMVECTSGLLRSLENAVKSGQMEFEMGEYMTRLTAEIISRTEFDSSYEKGKQIFHLLTILQKKCAQASRHLCFPGSRFFPSKYNRDIKTLKIEVETLLMEIIQSRKDGVEIGRSSSYGNDLLGMLLNEMQKKRSSNGFSLNLQLIMDECKTFFFAGHETTALLLTWTLSMIINESLRLYPPATVLPRMAFEDFKLGDLNIPKGLSIWIPVLAIHHSEEIWGKDANEFRPDRFASKPFTAGRNFLPFAAGPRNCVGQSFALMEAKIILAMLISKFRFTISENYRHAPVIVLTIKPKYGVQVKLTPLTT >Solyc09g092100.3.1 pep chromosome:SL3.0:9:71716862:71736886:1 gene:Solyc09g092100.3 transcript:Solyc09g092100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGQPPHNGGGGGGWRIPPPQSQPQQQTPYLGFQNPNFVPYPFFPNPNFPIQSPNFVNYPFQQFQQPPPQQSFQFQQPPPQQSFPRGNNQVDGAAVNFGEHENVQQNPIFQVQHQPSNKEGIERIDKAVIKARKDLIEAGKNVSAWEVSQAALVILNADTWDSLGFKVQEVPSLQSLIVTEGKINAFIHCFVGVQSITTLCDLEVAICKNERVELFEDLELGPLVKHPLIIHYFSISLDVSEVSRITSKEIMFFLSEFMDADKSRKVSLDEFLNFITEKKSAGSRENLCVRIQNLRMYVTLIHEAKQFEMSTVNKYIHVVKKKSSKNTKNRPLLSSEKKQLDEHFNAMCERIKSFSSAKKEFCGTHIRFQSSSESESSDDDQDGSAACSPAGNIISDIPTTCPYPSASEELTRLGLKAEDDFGLLTASGSDRYSKDIRQSKSKRKHDDVHSSMALPKKALKRDASTHSNKKGSKLSQTWKDESDNSNDFSNGDDSIKSFVNTWKEACRTNNVDEVFQRMLQFYKARKKNKVTKLFSSYPFCGLLQVAVASIKRGMWDSLYDKFQTFQNCGVTNRGTENCADSICIEVESPERIATNLFEKVCESGVTVEDILGKIITYFEGDDNAMSTASSFHEKFFFLLNKFCKLESWLTTQFAVKKFESLGYGDIWHFLEKNMHLFSHTLPRCLTDDMHGKPPLEPPSMLDYQFDLLLSQASQCLWNSEKVDKRRISELLLRQFPLVCLNVAGNDLMIDIENFMKAKKGNMTLKSVVFSETLLKGSAIGKQKESILKETGSEDDVGHSDWILMSKDAMKVLVSAPMLIDLKLWSHWDMIFAPSLGSLVQWLLKDVKTEELLCLVTTCGKVVRVDHSATVESFGNVLLQGSPFETAVKLISLLVLYGGEKNVPNALLKCHARQAFEVLVKNFEEMKSHDIQDSLKHATSLCRQLIHDETTSTMNKKLLSRDRVGKIAPLTSRFVLDCLGYLPVEFWHFAADILLAGVQPFVKDAPLAIIGECDRIEQRLMLHRVGMSLGIVEWVEDKHKLSACSATNLLMSSGSSCLKVAELDCSIDSTFMEGVSNKSTLSANEISLFQDPMRKNENRDTSCSAGDISYIPPDSSADSARQHSYELESSATRVVESIQRDEFGLQPDLPLVENAILNKQHARLGRALHCLSQELYSQDSHFILELVQNADDNIYSEDIEPTLTFILQDKGIIVLNNERGFSADNIRALCDVGNSTKKGRNTGYIGKKGIGFKSVFRVTDAPEIHSNGFHIKFDITNGQIGFVLPTIVPPCDIDFYTRLAYSGSDCNYWNTCIVLPFRSNLLERSGEENIMSMFADLHPSLLLFLHRLHCIKFRNMVSDSIVVMRKEVVGNGIIKISCGEEKLTCLVVSQKLQPGTIRPDTPTTEISVAFMLQETLDGSYNPHLDQQPVFAFLPLRKYGLKFILQGDFVLPSSREEVDGDSPWNQWLLSEFPSLFVSAVRSFCDLPCFKDSPAKAVSAYMSFVPLVGEVHGFFSSLPWMILSRLRTSNCLIIEGMENEWVPPCKVLRNWTQEARNLLPVSLLRKHLGIGFLHKDIVLPDLLARALGIEEYGLKVLLQVITSLCSSDDGLKSMGLEWLCVWLSAVYTMWSNGNDSADYGIESHLMKDLKNIPFIPLSDGKYGSLNEGTIWLHIDSMGTATNDEYALETFSILYSTLRTVSPALLSAAATFGTSCSESSTVDNVTRMLYRVGVQRLSAHQIVKTHVLPFICRDQNGLGLRETMTEYLAFLMFHLQSSCPDCQSERDQIIREVRDKAFILTNHGCKCPKEFPIHFGKEFQNPIDMNKLLHTLDFEWHEIEDIYLKHPINKLLSEAVLKWRKFFQEIGITDFVRVLQVENSSSDVCSVRINSTLDKDVISSAIAKDWVSEEFVDLLSRLSSTRDQEKSKYLLEVLDSLWDDNFSDKVTGFYFTSTGERKSFDSSFTTILRDVQWIASSMDNELHFPRELFHDCETVRSIFGDNAPYAIPKVRSEKLLTALGLKTQVTVDDTLAILKVWRAKVTLSASLSQMSKFYTFIWSGMNTSEKKLVEELCNGPFVFVPCKLVASHEAVVPGVFLSSKEVFWHDSTGSVDLLKMVCPEFDSHSVQHTFTKMLCSVYPTLHDFFVKECGVDEHPHFRGYLQILLQLSAAVLPSQGAKNVFHIFLKWIDELNLGSLRSEDISFLKEGLLTKDYLVLATAEDKWVSLHPSFGLICWCDDDKLRKEFQYFDNIKFLYFGQLNDEEKEILQTKFPMFMDKLNIPSISKVVMREAIYDGPTDSSLVASLINWVLPFAQRYMFNVHPEKYLQLSQSGFQNLRCLQIVVVEKLFYRNVIRSSHIASKKQFECSCLLEGNILYATQESDSHSIFMEISRLLSSGAPDLHLANFLHMITTMAESGSNEEQTEFFILNSQKMPKLPESESVWSLANVPLSTDSETGVMSSSRTIDEKNPEKIKKRPGISSSWPPTDWKTAPGFHRSSVCISKGKADSCIQSENNTVGESVMKTWALTATGMTCVENMDNYPESAAVVLGSQGVDHVPGTMMEAFDSPHAMTEPHNPSNSSSDVTERDQLHTATNGNSDIMIETGRLGEYFAFKYFLEKFGEPFVKWVNETNETGLPYDLVVGDDEYIEIKTTRSSTKDWFHITAREWQFAVEKGESFSIAHVFLSPNNTGVVTVYKNPFKLYRLGKLRLALLISKS >Solyc07g055760.2.1 pep chromosome:SL3.0:7:63811379:63824980:1 gene:Solyc07g055760.2 transcript:Solyc07g055760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQALSLLLLPQTPLLGFTGKPQQCAIRPSGFHSDFSLLILYLVNSLYMAQLEAMPVREIHGGLRFVAGAANLPISPTSDEG >Solyc07g054570.1.1 pep chromosome:SL3.0:7:62977585:62979604:-1 gene:Solyc07g054570.1 transcript:Solyc07g054570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSIPSPSRNPNRLSQENDDQYYRIYASFCGKDYTPNMNVSNWISCYHPSTNSWHRLTTIPGLLENQVIKSFAMVSIGETIYVIGGRHYYKAFGDGLDDNYVQETRLGGVRSSVFKYDTRVDTWSTCARLITPRYNFACTCKDGKIFVAGGQTTLDSAEGTSSAEIYDPVKDKWESLPNMSTLRYKSVAVAWQGKIYVVGGFAKRGNSDSQGPYIMERSSAELYDPRQQNWEYVARMWDLDVPPNQIVNVDGKLFSSGDCLKAWKGHIEAYDENLNIWNIVDGSNSPISTSDYTLAKSPPMQRIFCTMAPIGTQLYFLAGYRMPAGETSMTRTEVHVFDTSAIGNGWRAFEPIEEEGEKELCSHCCVLRID >Solyc09g091830.3.1 pep chromosome:SL3.0:9:71513825:71517380:1 gene:Solyc09g091830.3 transcript:Solyc09g091830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLPLSFHIITSNLHSNNTYTPKFFSLKNSSASVSVSHVCYSGSPEREESRWLREEQRWLREEQRWLREERRWEAEREALLVQIRELELRVKEVESSRDSLLPEATSVTETVANIAKLLQLLKEGEVGKNVTVIAESGSIALPLVLEEAKQNEVIVKEEPQQEKVIREVPKELEGEGNKAKKRRSLKKGSEGDEVRLMQEQLLKLGFYCGEEDMEFSSFSSGTERAVKTWQASSDLREDGIMTSELLEKLYMVQNIERVKENPKQPDGTEAKTSANGAPITSIMEIEEVQQTIVKEDGVSETEVSHHRVFLLGENRWEEPSRLSSSKKPAETSSASTTIKCITCRGEGRVLCMECDGTGEPNIEEQFMEWIDEGMKCPYCEGHGFLTCDVCQGNKIMQA >Solyc09g064790.3.1 pep chromosome:SL3.0:9:62431482:62437170:1 gene:Solyc09g064790.3 transcript:Solyc09g064790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIALGLTCTATVFPHSFPSTSSLSTFYSIKFPSTTALRSKGIEEKDLYGLPKEFYDDEWQAKQREKTKELHRRRQQEEEEEEEKIDEYREIGLRLKDYPEEELVKAKKLVASFIRSAEEVEEKIEEAAEKGELDELVLLIIWNRLDLARRDDEKDAVRSLDLLYRRVETEILKREATPAMRLLDDLLNMHDGGLDNEGWLKACKKRMVDTFPREDPFSILVPAGFDIDTHQGPLRPTLEADDMLLRIDFVREVDELLKEVRSEYTESLEAQGLDPESVASRLKQQEKQRAIHQVESLLDLAINLVW >Solyc08g067095.1.1 pep chromosome:SL3.0:8:56072635:56074602:1 gene:Solyc08g067095.1 transcript:Solyc08g067095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSMSISPRRSLSRSRSISPKRSVSRSPSVRSRHSVSRSPSRSGSPHRVSQRRSSSIVKSRSGSPARSISRSPVRGKRGGSVSVSPPARAHSRRRSSRSPSASPRRQLTPSPHRASSRKSVKSKSRTPVRSYRRSPSGSPVRSSRRSPSKSPVRSSRRSASRSSGRVPSRLRPAGRSPSRSPVKSSRRSVSRSSGRVPSRRSPSRSPGRAPSRNNRRSYSRSPVSAGRRARSPVYDRARSSSRSASVDGSPKRIRRGRGFSERYSYVRRYRSRSPDRSPARPYRYGERDRYSRYRRSPRRYRSPPRGRTPPRYRGRRSRSRSVSRSPVRYRGRRYSRSPVQSRSPVDRYRRSPSAERRKSPSRSRSRSESKSSRGSRSPKQVSRGKSVSSSASPPGKAGLVSYGDGSPESG >Solyc03g115310.1.1 pep chromosome:SL3.0:3:66557599:66558492:-1 gene:Solyc03g115310.1 transcript:Solyc03g115310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4BL33] MAKHQICFILFITFIVIFLVAAFESKEVERNLDEQGWNNARATFYGDMSGNETMQGACGYGDLFKQGYGLETAALSTALFNNGATCGACFQIKCVNAPKACHPDQIITITATNFCPPNYTKTHDIWCNPPQQHFDLSLPMFLKIAEYKAGVVPVVYRRVTCQKKGGLKFEIKGNPNWILVLVFNVGGVGDVVNVKIKGSKIQWLPMSRNWGQNWQTSVQLGGQSLSFQVQTSDGKWVQSDNVVPDNWQFGQTFEAKNNF >Solyc06g072110.3.1 pep chromosome:SL3.0:6:44579456:44588138:1 gene:Solyc06g072110.3 transcript:Solyc06g072110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLFIVFVLGAVTVIAIEAVCVVYLIRWLNRRLAREVDKAKPDGSLSSTEDFDFSLYLKQGTVWVLESERIPKALPVDKAVTQQKSKKEVLEVTPTQKFAQIKDHHLVFTESDGSHVEIPLKGCIIAAVSASSLSTRKWAKRYPIKIECRASTSCEGSRILYIYLETCWEKEAWCKSLRLASCEDQVKLTWFAKLNIEFQNYLTSLNAGYPSFMKPYSSVSPDLGDKSSKFDGSSSKVRQFLKKLGKKASKSIPENKGSSISTSDHEERKISERAQSLQDLSFAGGGIKLAPTRKPLDFSTKDVAVPSSTSTSSGLGSRSQTSVTSDADSDERIFGDEGTLCWNLLISRLFFDAKRNDQMKTSLQARIQRTLSNIRIPSYIGEVTCTSVNIGNLPPYIRAMRVLPSDMNEFWAFEIDLQYSGDAILEVETRVAVQDLDLPEGDEPETESGAIHDVKYDLLEGVEQFGKQKHSEVNVDKMDQAKEDGMRSSNSTPNVLPQVSKWKSIFNSVAKQVSQSSLVSRKAYNLDVKGSILSTSSLVSRHKGFLFPIDFSKMKECNKMVIVMTTQEPKVSLSLGIRIASIQGTMRLYIKPPPSDQIWFGFTSMPDIDIHLDSSVGEHKISSGHLSLFLINRFKGAIRETLVLPNCESVSIPFMLAEKDDWVPRQVAPFLWLNREGAGNNANRQEASSSQPADATRVTEVDKGGTNGNSESKTEIPGKTGWSTQQSKSLDPHALLSVPTHSPETGSTSSNGGSNQAKTKKLGWSALQSKSLDHASLSVPIAQPGTNNQPLEELGAPLLKHEEQQEGHLESTAENIECKSPSRQLLLLEKNQITGEDDSKCTRLGRRARMLGLGKKMGEKLEERARHIEEKGRNLVERMRGQQ >Solyc11g007310.2.1 pep chromosome:SL3.0:11:1687078:1693752:-1 gene:Solyc11g007310.2 transcript:Solyc11g007310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVEPDVNAVRGYRAERLGVNGVDLDYGRCFIRDSGVMEMYSCRQRSLSPVREEGPRRVSWQRREVMVGDQDFCDRGDIREPQLGREVMDGGDMREPQLSRKVMGRDQHFSDRGAIRERQLSREVMDRDQHFSDRGVIREPQLRSGGLMVRDEEFNDRGDIREPQLRRSGLMLRDEKFCDRGDIREPQLRRSGLMVRDEEFGDRGDIREPTLRRSGLMVRDEEFNGRGDIREAQKRRSGLMVRNEEFSYRGDIVQPQLKSPPVYHQVHEKPQHYYQASLSRDEEESRRSFDYEYRYEAARCTGVDYDIEYDSARNRFHPKDVSCYTESALQSKDLALPSQYKECLATSSGTSRMNYTPMIQDDMHLLGDIHSRISTKLRQPLYLNKYEENHSYNTLEDYAAGLKGLTSYQSDKVSSPRGDNMNHVYPRGRPSDTSDYGHSYERTTLLEQAVLDKRHARAQILLEPLRENISDNEFPRRDVIKSSSWDHHSLNKQPVSMSLFDGRSLARSTLGQFYLDFGDTNVDTQNVRESDIENLDVPCHEEIPLRRLDYHSSKDEHSNFYAERWRRSSRLEHEMEMIGDGVRPKKIESGVIGCDGHPIRSEKRKYILDEEMMRHSSGQIVFSERKNNISRTQDIDYRNEAWDDQDASCLPSPENFEDDKWFRKAERAYSRDLNGRVAATGGLLSYCGSINQGKRHLIRPYISGKKQKVYENPSSLRQYAVQCNQKHHLTKNVWIRDKDDKQTEASDHVVKELKDQVACAKPELPEDSIEFTQLVHNFSLSYTKKLNESVATQKRYKEQGRAGGLFCIVCANSQLKEFKDTRSLAVHCYMSQKVWLKAKHLGLHKAICVLMGWNSDAPPDGKLWLPVAVPAPNAFAQKEDLILWPPVVIIHNCSGLVTGLDGQKVTTTEAVENFLRGKGFSGGRMKVCMGKPGNGSILLVKFLGTIPGIQDAEKLHNYFMEEERGRKDFRVITSTKGKGIDNRNVKGGKAEEISLYGYMGIAEDLDKVDIDTRRRSLIKSKKEIHDFVDAPVKSVGKD >Solyc05g016600.1.1.1 pep chromosome:SL3.0:5:17628309:17628743:1 gene:Solyc05g016600.1 transcript:Solyc05g016600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQENVKFLLGVWLLLLYFFSSVFGDNNTQDGNSEDGSISPPTQSACTNCTICPYTCQSPPPPTPPSGGGYQSYGVPPPTGYVNCPPAQPVTNPCCPQYNNYGPPPPPYNYYSGSTTLSPFIRLFAFECSFAILVLFLHYVVCV >Solyc02g061650.2.1 pep chromosome:SL3.0:2:33704272:33706315:1 gene:Solyc02g061650.2 transcript:Solyc02g061650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLEQPESFSNDKEVEIEMEDVFEEALIDIDNDDAKNPLAGVEYVGDLYAYYRKMEVYSCVLTNYMEQQSEINGNMRGVLIDWIIEVHDKFELKEETLFLTVNLLDRFLEKQVVAKNKLQLVGLVAFLIAGKYEEILPPLVQELVIISYENYNKKDVIEMEKLMLNTLQNNMSFPTAYVFMRRFLKATQADKKLEELSFFLIELCLVEYEMLMNSSSFMAAAEIYTAQCTLYGVKEWSTTCEWHIGYSQESLMKCTKLIVMYHDKAKTGGLIRVHNKYNASKFGYVAKVEPTYFLVQDS >Solyc05g041940.1.1 pep chromosome:SL3.0:5:55149396:55149657:1 gene:Solyc05g041940.1 transcript:Solyc05g041940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSNSISKGLLHSPELREYMLETFVYPREPELLKEIRLITSNNPR >Solyc10g074550.2.1 pep chromosome:SL3.0:10:58178899:58180192:-1 gene:Solyc10g074550.2 transcript:Solyc10g074550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRITGSSIFQGYKCSSSILGKDIDVYEFS >Solyc05g051580.3.1 pep chromosome:SL3.0:5:62798023:62804549:1 gene:Solyc05g051580.3 transcript:Solyc05g051580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLILDEQEGTSDHPESNESHHQVHDDQLVVVPTMLNAIADGFVKKEKSWSATSQLPSDLSIRVEDITFYIHKYPLIARCGYINQIELHEPQNSHLGYDIKLEKFPGGSETFETILKFCYGLPISLNPANVAALRCGSEYLEMTEAMEEGNLISKTEAFFTFVALSSWNDTIAVLKSCERLSPWAENLQIVRRCCDSIAWKIFRENSTAGEIITNEGTWWFDDVATLRIDFFMRIITAVRVKGVKPEIIGSCIMNYGEKCLPCMYGDTRGMDCKTSTNRRNDSQWSITSGRIGETSIGQNKEQRTIIESLISILPPQKETVSCKFLLRLLKISIVYAASPALISELEKRIGMVLENASTNDLLIPTYAVGDQTINSNEEQTIHNIDVVQRILDYFLMYEQQKLQQQELKSTTLNISKLFDSYLAEIARDPNVSITKFRVLAESLPRHARTCHDGLYRAIDTYLKTHPSLSEHDRRRLCKIMDCGKLSLDGCMHAAQNERLPMRIIIQVLLSEQVKMRAAVHGKDITESDDNSDKENRWSSTKNEVKSLREELENVKIQMAELHRDYSELQQEYEKTNNKHRSPWTSGWRKMKKSALFIRKMIEDETQEGEHKVKPGRRTQSIS >Solyc10g075175.1.1 pep chromosome:SL3.0:10:58952603:58953979:1 gene:Solyc10g075175.1 transcript:Solyc10g075175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQNAPDRSFFLLHPCAHNPTGVDPNYEQWKEISHIFKIKNHFPFFDMAYQGIASGDVERDATAIRIFLEDGHLLGCAQSFSKNMGLYEHRVGCVSIVSRDDKQATAIKSQLQRIVRAMYSSFPVHGPLLVSTILNDADLKALWEEEVKVMVDRLISMRVTLRQTLEELNSSSSWEHVTKQVGMFYFSGLSPEEVNQLQRDFHIYMTNDGRISSDTYILDMANAILSYGSMAGVTSSNVDYLANAIHEVTKE >Solyc05g005690.1.1.1 pep chromosome:SL3.0:5:510035:510478:-1 gene:Solyc05g005690.1 transcript:Solyc05g005690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAAPATVESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELVQPEILRYKAFEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQTKKEIKDILIRYDRTLLVADPRRCEPKKFGGRGARSRFQKSYR >Solyc05g053820.3.1 pep chromosome:SL3.0:5:64703528:64705708:-1 gene:Solyc05g053820.3 transcript:Solyc05g053820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHHHFIIISLTIQGHINPTLQLAKNLSRAGVRCTFVTTVNGFSKLNNLPSIDGLFYASISDGNDDGTAKMDFSDYMKQLKRVGSENLKKLIDRYAGDGHPVTCLVYTFIWPWVAEVAREINLPSAFLVIQSATAFAIYHHLFSINNNGVYSSTNEINLSFPIKLPELPLLFRDDIPSFLLQNDPYSSFMIPVMREHIQNLEHDTNPRVLINTFNKLEEKSLKIIDKIGIYSIGPLIPSAFLDGIELEDKSFGCDLFEKSETYCQWLDSKLEGSVVYVAFGSIATVKEEQKEEVLQGLLESEMPFLWVIRSSKEDDKKKNDEIYGLNGKGMIVPWCSQMEVLFHKSIGCFVSHCGWNSTLESTIAGVPLIGVPQLADQFTNIKMVEEVWGTGVRARVEEEGGIVKREELKRCLGVLMGDGEKGNEIRRNVKKYRDLAMEAVKVGGSSHNNLNKFLESL >Solyc08g080250.3.1 pep chromosome:SL3.0:8:63682723:63691184:1 gene:Solyc08g080250.3 transcript:Solyc08g080250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVDAVGNPIPTSAVLMSASKHIDIRCRNENVAYLKCKKDDPNPEKCLQKGQQVTRCVLSLLKDLHQRCNKEMDAYAGCMYYNTNEFEMCRNEQKDFEKACACPGVVVYKKPLQLAYPLPLKFDHKYNVTGPGRQGTFLCIERRYPQGRNSKKREPVQQNVDLPLVLPKQKKKPYPIPLKKIQQAAKADKKLAEFGIEKKLEPPKNGLLVPSLVPAAYELLDDWKLLIKGLAQLLHYVPVHACSECSQIHVAQSGHEIQDCLGPTNSSRRSFHSWVKGSINDVLIPIESYHMYDPFGTRIKHETRFNYDRIPAAVELCIQAGVDLPGYPSRRRTKPIRMMGKKVIDIGGLVGEPRPTIDTNSAIMDLDTHRSFERFAPPLGSEVPRIAQETIDAYERVKQGVTILMKKYTVKACGYCSEVHVGPWGHNAKLCGEFKHQWRDGKHGWQDATVDEVLPPNYVWHVQDPKGTPLRSALKRFYGKAPAVVEVCMQAGAQIPQKYKPMMRLDIVLPESEESRLVA >Solyc05g054770.3.1 pep chromosome:SL3.0:5:65463296:65467425:1 gene:Solyc05g054770.3 transcript:Solyc05g054770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQHTPSVIARLMSLDELPPRQHLPVKRRRVLSQNYLQKMASIGLREKSSFSVGLSRGISTQKHQIVKDVSAAKLKMRKYTNSSVTPIKEKHSYLMDFEGTSESLLSTKHLRDLQAYKPYCHPVHSAVAKSICKMSAKATAKEKNLRSLHTLEIGSPKDDIGECSIHHLKKINFQLDPNENMPHPSTRVIVVKPSSGKYRKTNHQSVSLRHGLQSVPVDLKYKKFAEHENGAVHNERPGRAESISDTFLKAEALKLPSSKLFSTQRKDNTLNFFSKRSSFSKEVKKQTIEKWKLMNGLQEVETTCRSQNLGEMLATDDLETRPQFLDSKRDSQRFCSSSSVNTQNSGSCSKDSLVPNHSAGSRIASGSSEGMIGHKASLYGWCPRQKVAGAEKHSKSMNQKQKDNMEYRDLNLKETDQRSPNSVLEPPFQEEEPYTSAFHGLCSVARQLQFLETNSEETYSEGSEMGVSTDGDSETGSPDLLQDSENILKDFKTADGRDFSYLVDVLDEASLHGINLGMCFETWHSLEYPVNPSVFDLLEKKYGKQTSWLKSERKLLFDHINSGLSEILHSFLEIYIMGKSFKRRCCSTMRRTDIEEELWRMLVSHENEIRKDLSGKAIGNETKWLQVEEEIGSICREIEKYLLEELAAELASH >Solyc11g065740.2.1 pep chromosome:SL3.0:11:51628460:51632177:1 gene:Solyc11g065740.2 transcript:Solyc11g065740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGAFSVVGSPMVDSHSSPCLCLDALPTCNLGIGDSLVRKQKQLPRLGTMELSTSFVDLRFSAKCVKGNYKNLKKQTKGRKNLRIVNDLGGQYEESFSDIKTQILNYFTYKAVRTVLNQLNEMNPPQYHWFNDYVTANKPSDGKRFIRNLAKEKQELAEKVMATRLSLYAKWIKKCDHEEIYNRISDQNVEVMRERLMETVIWPSDDTNTGMK >Solyc07g005650.3.1 pep chromosome:SL3.0:7:518603:532304:-1 gene:Solyc07g005650.3 transcript:Solyc07g005650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSNLTHLEMARGNLTQLDYNYRCSGNLGTCNTDYCDEDCCIKTCNNYYSELNPEPICTEFPGFYPYKFCICWHDCDMGDSNLIPTKMENIMSGRDDIDNDYQCSANLGPCNYEYCNEACCIRLCNNYYSGLHPRPMCEDVGPSNKIENSSVHNDENGDDDRVIDGSSGAESLRESKTGALISETLAHPNAESSVQIEIDGQSEFDSEFSPSYQLSEKENTSTIICESHGQEVPVEYELPSFGFSEKIKDRISVTKPGTLNAQARTEHQRRVPDAASSLELSSLSVAQSISSVPSATLAERRSAAAVNCSTGEVVKQSSDAQVLALVPVLKRPTRDGYNWRKYGQKQVKSPQGSRSYYRCTHSECCAKKIECSDHTNRVMEIIYRTQHNHDPPPRVNCPRESKSALLSSPTNGKSIIAHPRRNSIETVVSPLNENLQESLPIAETANQDSGGSRKSDTSCLESVSKPGKKPKLVVHAACDVGISSDGYRWRKYGQKIVKGNPHPRNYYRCTSAGCPVRKHIERVLDTTSALTITYKGVHDHDMPVPKKRHGPPSAPLIAATAPASVTTMHANKPEPLQHQKSTTQWSVDKEGELTGEKLDVGGEKAMESARTLLSIGFEIKPC >Solyc06g009930.1.1.1 pep chromosome:SL3.0:6:4347239:4347397:1 gene:Solyc06g009930.1 transcript:Solyc06g009930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSSIDCIEHFCTHTTSASTFLQYPPVALVQKGQLLIYSTTINLKHFLIT >Solyc10g051200.2.1 pep chromosome:SL3.0:10:51630620:51634904:-1 gene:Solyc10g051200.2 transcript:Solyc10g051200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKISSRISLVQNQILPLNIKPYSLIHNSRILGVYNNKGRTFSFTLTCKLDTVKGNKPSKEKSRISVPGSDGVAPPVVESEEGSVGNGGRKVEETKNGVVGFGLLKKLPRKVVGILSNLPLAIAEMFAIAALMALGTFIDQGEAPDYYFQKFPEDHPPLGFFSWRWVLTLGFDHMFSSPVFLGTLALLGASLMACTYTTQIPLVKVARRWSFLQSAETIRKQEYADTLPRASVKDLGVILMGDGYEVFSKGPALYAFKGLAGRFSPIGVHISLLLIMAGGTLSAVGSFRGAVTVPQGLNFVAGDVLAPSGFLSTPSDAFSTEIRVNRFSMDYYDSGEVSQFHTDLSLFDLKGKEVMRKTISVNDPLRYGGITIYQTDWSISALQVLKDDEGPFNLAMAPLQLNGGDKKLFGTFLPVADDNSPNVKGISMLARDLQSVILYDKEGKFAGVRRPNSNLPIEIDGIKIVIADAIGSSGLDLKTDPGVPVVYAGFGALMLTTCISYLSHTQLWALQDGTSVVVGGKTNRAKGEFPDTMNRLLDQVPELVESSSPEEPNILSGL >Solyc01g096900.3.1 pep chromosome:SL3.0:1:87762102:87784173:1 gene:Solyc01g096900.3 transcript:Solyc01g096900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLHTLNPQTSFVQSNSMAFSTGIRLLRCYHHQFTFTAIPSRFSGLRKASSELRFLSSVTPSRKHVRPVSARRKVTEEEVGEEGNGSVVLRDRDGNEGGGGERIVHTELHKEATEAYMSYAMSVLLGRALPDVRDGLKPVHRRILYAMHELGLSSKKPYKKSARVVGEVLGKFHPHGDNAVYDSLVRMAQDFSLRSPLIRGHGNFGSIDADPPAAMRYTECRLEALTEAMLLADLEQNTVDFVPNFDNSQKEPSLLPARIPNLLLNGASGIAVGMATNIPPHNLGELVDALSALIHNPEATLQELLEYMPGPDFPTGGIIMGNIGILEAYRTGRGRVVIRGKTDIELLDAKTKRAAIIIQEIPYQTNKASLVEKIADLVENKTLEGVSDIRDESDRSGMRVVIELKRGSDPAIVLNNLYRLTPLQSSFSCNMVGILNGQPKLMGLKELLQAFLDFRCSVVERRAKYKLSQAQERNHIVEGIIIGLDNLDEVINTIRKASSHALATANLRKEFELTEKQAEAILDISLRRLTALERNKFVDEGKSLRTQISKLEELLSSEKQILQLIEEEALEIKDKYFTPRRSQLEDTDSGDLEDIDVIPNEEMLLAISEKGYVKRMKPDTFNLQNRGTIGKSVGKLRVNDAMSDFLVCRAHDKVLYFSDKGTVYSSPAYKIPECSRTAAGTPLIQILSLSDGERITSIIPVSDFAGDQYLVMLTVNGYIKKVSLNYFASIRSTGIIAIQLVPGDELKWVKCCSNNDFVAMASLNGMVILTPCANIRALGRNTRGSVAMRLKDGDKVASMDIIPDALQKELDVTLAVHQRNKRSMNGPWLLFVSESGYGKRVPVSRFRTSPLNRVGLIGYKFSSEDRLAAVFVVGFSFGEDGESDEQVVLVSQSGTVNRIKVRDISIQSRYARGVILMRLEHAGKIQSASLISAADADSDSDPEVEDGAAVEA >Solyc07g064640.3.1 pep chromosome:SL3.0:7:66829980:66834654:-1 gene:Solyc07g064640.3 transcript:Solyc07g064640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH dehydrogenase [ubiquinone] 1 alpha subcomplex subunit 12 [Source:UniProtKB/TrEMBL;Acc:K4CHE3] MSKLWARFSGLFSSKNFVGIDKVGNRYFKNIEELDGVMKEKRWVTFKGEEDPTSIPVEWICWLNGQRKNAPTPEEMAELEARREFVKLNIARLKKEEEERMAKEGKRKATNIGKADGPDLKSFVQQFPDASKGDTKAEASDTQHEKRSSEPTGTGESFRPGTWQPPT >Solyc03g123380.1.1.1 pep chromosome:SL3.0:3:71762144:71762515:-1 gene:Solyc03g123380.1 transcript:Solyc03g123380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENYASSSYHYSSHRGVAMALALVSAVVLSPLYVDRGKKESSYYETRWSCVLPVLLAGLIVAIRSTSSSSSVRGRASTSSIFASPQPSAVLRIGSSSWGLAGVLVMLLCVLSWQSSMQQYLWK >Solyc09g009140.3.1 pep chromosome:SL3.0:9:2480880:2484511:1 gene:Solyc09g009140.3 transcript:Solyc09g009140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:K4CQU3] MDDGDRRALDLVKELVHRLLSTSTPPSTADSHQSTILNPNPVIPSDQQYHQALRYAIRILSSRMTPSIAADESAMVESIKRRLATQGKSSDALTFADVYTKFSLKTGQGSVRNKWAVLYLLKTVSEDRKIQKHQSASVAPNGFLSSALSGGLPELVGSESNRNFGLRNDSSKVLNNVQGNMDNSKDSRGLVGKLGKMEKGYSDRSLSDDFQSLNCVGDNSRVLRGKGEVGKGWSGGVLMVSKDPENLRDMAYKEFVNLSKEENEVSEDVLVRDVLYACQGIDGKYVKYDKNEDGYVLPDWMKVPRATRSVVRKLCELGWLFRKVKGYISDSMNQFPAQDVGTVGQAFCAALQDELSEYYKLLAVLEGQAMNPIPLGSEGACSGNYISLRRLTVWFAEPIVKMRLMAVLVDNCKSLKGGAMAGAIHMHAQHGDPLVNDFMKRLLRRVCSPLFEMVRRWVLEGELEDIFAEFFIVSQPVKDESLWREGYRLHAAMLPAFISQSLAKQILRTGKSINFLRVCCDDRGWADAATEAAAAVGTTTTRGSLGYGETDALESLVTEAAKRIDKHLLELMHKRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGPELSEPANTISSFKLATLLESAITSSNAQYDGCDIRARLRVKMMPHKTGDRGWDVFSLEYDAGVPLNTIFTESVMTRYIRVFNFLWKLRRVEHALTGTWKTMKPNCITSHFFSKLPQAVKSQLILTSRKCQVLWDEMNHFVSNLQYYIMFEVLEVSWSNLVKEMELSKDLDDLLAAHEKYLFAILEKSLLGERSQELNKTLFVLFDLILRFRSLADRLYEGINELQSRTSDTSINSRDKVKSRGKSNDKTSEPGSWLGEGRKALTQRAGEFLKNMGNDMDVIGKDYTTIFEGFISQLPVQQHVDLKFLMFRLNFTEFYSQIQPITRGKLF >Solyc05g010155.1.1 pep chromosome:SL3.0:5:4330959:4332071:1 gene:Solyc05g010155.1 transcript:Solyc05g010155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKTIAQTKSSISQDIIYEIFSRLPDKSFTRFKCVSKFYNSLVSFKVLAWTYPRKKFYTIKQKFMILDESNLIEPEEKIDKKGSAHLIKELDGFPYHKIDYIEGLFCLWNPSQLPPIIFNPTTRKVTYISCQNLADDEIDEYHIILGFEPEKKTHKVLLITYPHNYILSSSRYWIFTIGTSESWQEIILTNLFVTPPSKGVCIDGVIYFFAYHNRGRYLKMTMVNLRVENFKIISLWNKHRHYSVDNMFDYIHLIEVKGKLAVVDVKQGTTREIILRILQNSETEEWVNHTIVLPRLLSRDIAKENMFYLKNYVYSNTLNGEIVLMNSLIKPNWIYIES >Solyc03g093720.2.1 pep chromosome:SL3.0:3:56801252:56804149:-1 gene:Solyc03g093720.2 transcript:Solyc03g093720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRVELTNISSQKAQSTDDKKGGKEGEIIHKCSDILEGFKSLPDIIRPEQQYESVFMKKQMDLGVPQENSRNPAVMCGVSGQGGNPKVFVNARVEDQNLKGGNIALKNSMDMGCPVRVICGRKRVNGEKIDIRYIYNGLYTVTKYWEEIAPTGKYVFKFELKRNPGQQKLNREIVSRPTSLGKVDHFHVNKATKSIMESEFVVDYDVSQRKEKIPIRVVNAIDDERLLSFTYITNIQYPDWYYISTPQGCNCTSGCSNSGQCSCSSRNGGEVPYNTRGSLLKHNMLFMSVAHLANVLLAKIELTNIVLDTIWRFSRPNREDGV >Solyc01g067408.1.1 pep chromosome:SL3.0:1:75733848:75736917:-1 gene:Solyc01g067408.1 transcript:Solyc01g067408.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSNSGNGGYYNNNTTSSGTGRGVSNFQNNGYRNKGYGDGRTSYSAGKSQLYCEFCHYKGHTKETCYKLHGYPKKKGGVSSYANNASTGNESGMIVSTSGSNARTNESSNDTTLGQGVSMFTQEQYYEILQMLRKGKSKEVDIMANVATAGVSGTSDIGASNHMVHYFGLMSQSTNLDVQGGCKPSSTPLEFNHKLTSTVFDEFIGKMLMQKTYFLMTLENIKG >Solyc11g069430.2.1 pep chromosome:SL3.0:11:54305254:54308455:-1 gene:Solyc11g069430.2 transcript:Solyc11g069430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEVEAVHEQAVEYSAKDYTDPPPAPLIDFEELTKWSLYRAAIAEFIATLLFLYITILTVIGYKHQADVKAGGDICGGVGLLGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAILYMVAQCLGAICGVGFVKAFQSAYYNRYGGGVNVMAGGHTKGVGLAAEIIGTFVLVYVVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNGDKAWDEHWIFWVGPFIGAFIAAVYHQYILRAGAIKALGSFRSNA >Solyc03g051727.1.1 pep chromosome:SL3.0:3:22460741:22470637:-1 gene:Solyc03g051727.1 transcript:Solyc03g051727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTGWRVCMDYRKLNSWTEKDHFPMPFMDQMLDRLAGKGWYCFLDGYSGISIATEYQDKTTFTCPYGTFAFRKMTFGLCNAPATFQRCMMSIFSDMVEDTIEYCFLDGYSGYNQISIAPEDQEKTTLTCPYGTLRSEECRLGCAMHPQPFRDV >Solyc08g068250.3.1 pep chromosome:SL3.0:8:57412167:57426213:-1 gene:Solyc08g068250.3 transcript:Solyc08g068250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLQYQHHLGLKHNVFMPMAMPLSTPPLIPLPTLALKLKTSLITPISSTRLLCKFNDSDMTSQLEILKPEGKKPDKGVNGIFWILLLNLGIYVADHVFQLVLNMHPTLVSWTRAGKLVEEEEGNFGLWLSYILTGAGANLVSWLILPRNAVSVGASGAVFGLFAISVLVKIDVRRQYTVALDWNLTSVRAGTEYIQVMEAAQASTGLAGGIHGGSALQNVNHIAHLSGALIGVALIWMLSGISSEPDAQNNKKAIPKPPTTVVNFTHSPPPPSPSSPNASLKPIVKPIDDKVALHPPPRRPIFTQPLPKLTSPPPPVTALMFPLNASSAPASRDETSSFKVQSPLSSASIGSVIGISVGVTGAFFIVAIILFVCCRNKLKCGKLRDRESPLPKDDLYRILRQSFRQQNVADGSPVSPKQATPFHPLHCFENNAHSNSLNSEADNHFSPKDHIVDLAFSGGNFTYEELWLATSGFSTSNLLGEGGFGYVHKGVLPTGREIAVKQLKVGSHQGEREFQAEVETISRVHHKHLVSLVGYCMNGTKRLLVYEFVSNRTLEYHLHGEAQSTMEWASRMKIAIGSAKGLAYLHEDCNPTIIHRDIKAANILLDSNFEAKVADFGLAKFLSDSDHHVSHISTRVVGTFGYLAPEYAQSGKTSDKSDIFSFGVMLLELITGRPPIISTESSACSSLAIWAKPFLRSALDGGKLDALVDPCLGQNYNIEEMANMIACAAACVRHSSRKRPRMSQVVRALEGDAYVLDLDEGNRPGQSTICDFDSDGSSYFRKFKKIQRNTLKSKEGW >Solyc01g065620.1.1.1 pep chromosome:SL3.0:1:71833830:71834195:1 gene:Solyc01g065620.1 transcript:Solyc01g065620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIAIPMTKMFVMFSSFSMASLSLPGISCFFAESIVFFGRITGQKYLLMSKLLITFIREIGIILTPIYSLSMPRQMFYGYNLFNALKDSILYSGVREFFLSISIFLPIIGIGTYPGFVLL >Solyc03g005410.3.1 pep chromosome:SL3.0:3:271930:288255:-1 gene:Solyc03g005410.3 transcript:Solyc03g005410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHTDSNSGVPGTPSGRIRRRRGSNEVPPEVVKANGNHLLVNDRSKYKSMLIRAYSSVWMIGGFAFIIYMGHLYIWAMVVVIQIFMAKELFNLLRRAHEDRHLPGFRLLNWHFFFTAMLFVYGRMLSQRLVNTVTLDKFLYKLVGRFVKYHMVTCYFFYIAGFMWFILTLKKKMYKYQFSQYAWTHMILIVVFTQSAFTVANIFEGIFWFLLPASLIVINDIAAYFFGFFFGRTPLIKLSPKKTWEGFIGASITTIISAFLLANMFGHFQWLTCPRKDLSTGWLDCDPGPLFKPEYFTLPEWFPAWFLSREIAVLPVQWHALLLGLFASIIAPFGGFFASGFKRAFKIKDFGDSIPGHGGMTDRMDCQMVMAVFAYIYHQSFIVPQNLSIEMILDQIILNLTFEEQLAVYKKLGQIIQERTFGES >Solyc03g095770.3.1 pep chromosome:SL3.0:3:58401678:58404280:1 gene:Solyc03g095770.3 transcript:Solyc03g095770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WRKY70 description:WRKY DNA-binding transcription factor 70 [Source:UniProtKB/Swiss-Prot;Acc:K4BIZ9] MEDFLGENPHNRLIKELVEGKSFTVQLQTLLKQPNESVLAEELIRKIWGSFTQAITVLNSLGNSDNSLTQGQIEEVDQPNSGSELKKKKKEKQDRRGCYKRRKTSGSWMRESATMNDGCAWRKYGQKKILNSKYPRCYYRCTHKYDQECRATKQVQIIQENPIIMYHTTYFGNHTCNPTKIPKHTYNNHAMVKHSDSTVLKEEEEEEESKGQSDNASSIVDSNLWQDFMPSSPSAHDSTMAANYNSSYYEEIISSHDMEDWAKFGEIEAIEFC >Solyc12g032940.1.1.1 pep chromosome:SL3.0:12:37511015:37511302:-1 gene:Solyc12g032940.1 transcript:Solyc12g032940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLLSSSNSRGVVGGQRSEKAEELDIVRSIFSVIFACVSWTFVDVARWSMLLVVVTILLTVWRKCWCCFSLDRNGTGGAISVLLLLLVECCLIT >Solyc02g089310.1.1.1 pep chromosome:SL3.0:2:51808572:51809888:-1 gene:Solyc02g089310.1 transcript:Solyc02g089310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIAESSESGSGLLNSTQVKSGSLPEEDSIHRQVSSFGSSGSRNTSPLGRIGSRNTSPSRQKVVKTKPRGLDEETVTTFVKAVQPDVQMEDNIWAMLPEDLLNEILARVPPFMIFRLRSVCKRWNSILQDHSFLKFHSQVPSHGPCLLTFWKNSQTPQCSVFSLPLKQWFRIPFTFLPQWAFWLVGSSGGLVCFSGLDVLTFKTLVCNPLTQTWRTLPSMHYNQQRQLLMVVDRKDRSFKVIATSDIYGDRSLPTEVYDSKIDKWSLHQTMPAVNLCSSKMAFCDSRLYLETLSPLGLMMYRLDTGQWEHIPAKFPRSLLDGYLVAGTHKRLFLVGRIGLYSTLQSMRIWELDHSKVVWVEISRMPPRYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Solyc12g019170.1.1 pep chromosome:SL3.0:12:9421340:9422617:-1 gene:Solyc12g019170.1 transcript:Solyc12g019170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEIDSPQPQAVEERPEKPNYMQMFGHEALFSLASAVGTLLQVDKETTDEKKKDLSLQQAGKDQATLSNWSIINSGKSSVDNLNMDRHTITTIQERVDTPNLLLLSTATSGHKEDTEAMLLGTLTSKISEQLVAAATGSSTPVHIDVEAQNNADNNSRELIVTTQVATRQLSSRIGYPYCSCGY >Solyc10g008440.3.1 pep chromosome:SL3.0:10:2546631:2549858:1 gene:Solyc10g008440.3 transcript:Solyc10g008440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSIRLVSYVVLVILIFLTVIASGTTPRRGVNPHWHSASATWYGSPNGDGSDGGACGYGTMVDVKPFRARVGAVSSALFKKGEGCGACYKVKCLDKTICSKRAVTIIVTDESPALTKGLVHFDLSGSAFGRMAVSGRNTNLRNRGKISIIYRKTPCKYPGQNIAFHVNVGSTAYWLSLLVEFEDGDGDIGSMQIKEARSNQWLTMTHLWGANWCIIGGPLQGPFSIKLTTLTKKRTLSARDVIPSKWTPKATYTSRLNFLK >Solyc08g005190.3.1 pep chromosome:SL3.0:8:125102:131467:1 gene:Solyc08g005190.3 transcript:Solyc08g005190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDLSDNRHCRRRNDEDVKKVERQRYRDRSSSDDDGGRDEREDSAEKGYRRLKEEQEEEEEDEGDRDKTRERRRKSEQVDADEKDDRRERRLRNDREDDAGRDDRRQRRPKDGSEEDDERRDGRKESAKNVSQVDEDVERDDRSKRKRRHESEADDVKDDRKERSRRNGDERNDRKERSRNNGDDWREKKQRESDDEKVQKRREGRDTRQRIGADKHGKDNNREDNTQEDGALKASEVSKVQKQDVNLNVDTTKLGRSGGVYIPPFKLARMMKDVQDKSSVEYQRMTWDALRKSINGLVNKVNAANLKNIIPELFAENLIRGRGLFCRSCMKSQIASPGFTGVFAALVAVVNTKFPEVGDLLLRRIILQLQRAYKRNDKPQLLAAVKFIAHLVNQQIVHELIALELLTVLLEKPTDDSVEVAVGFVTECGSMLQDLCPRGLHGIFERFRGILHEGEIDRRVQFLIESLFALRKAKFQGYQAVRPELDLVEQEDQLTHEVSLSDTIDPEITLDIFKPNPNFLENERNVDFEEAGHKLLKIKLEPGQEQVTDFGSLMELCIMLLECCSQERTYLRYYGLLGQRFCMINKIHQENFEKCFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHALAYIRLTEEDTTSSSRIFIKILFQEMSEHLGIRLLNERLNDPTMQDSLDSIFPKDNPKNTRFAINFFTSIGLGGITENLRDYLKNMPRLIMQQQKPISESDDESGSSGSESSGSESSSTSSDDSDSESDDSPRKRRRRRR >Solyc05g005685.1.1 pep chromosome:SL3.0:5:508630:509235:1 gene:Solyc05g005685.1 transcript:Solyc05g005685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIKGWYNIIPICYDAYFNHSAFGNENMMHCSNTDLSLHHLRWDCKHNSYGLIDPHATVFRVGEVIDVMAPRGLEGLSLLLLRRRFKQGMWIESEFRKKRWPSSLQKKQQIEFHFKCERHKNADKFETAKIKQNKLPEYSRKLEPPNSQTTNNVAHASEVMAMSQLFSRTKDGVETWP >Solyc11g071570.2.1 pep chromosome:SL3.0:11:55284468:55292881:1 gene:Solyc11g071570.2 transcript:Solyc11g071570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDTNLASLFEKLKLEDPYVQPTQWESIPSESGFSSSLDTNRFSHVQYSTSAVSESSLVRLALDALQGVESALISIQKLSALFCFDSADRSFHHIPNLWTRTSSTLALGNLLKSIGHFGCLIFLLHKFVNHFTCLSLARNEDEVQIYDAGDGVGCRMSNHTLVNQAFAVSVAKILDGYTSSLNTLYASVNLRRRLKAKGGGCFTSVGHGEITLLEAYLHSAGLRTQMDVLGNICNMSDLALRYSELSLEEISAKAFLEFNKFPRSGALLTFLYTQLKVANPAHCTLLKFLFLRSWEPYSGFIRSWIFEGSITDPFTEFIVENMKEQPVHEPGNIGISNDFPFASVRVREGVLPLFLEDCLLPLFRAGQQLQIIMKLLEFCNTSGPFNGIHEEFLPGIHGFSSEFPSIRSSLLFEKGAIETMVVSRNSYYQRMLEKIDNIFTKSKFRFRETIHCSISLIFAVDDFEVQLSWMERSEHQRSSKKISLQGMQPRYANHARNLNSPVEFSTNDNLETCSTDTGEQTLPHNTMEAEVSTDNDFSCTEDLLESSECSWEENSEEQSDFDLSRKAPGNDVELEPDYLSALSFTYDGLLQKQKFPQCVTSYSAEYVSHETWKRMEISCFSTDVSNSERAACDSSLPCRSEENNMLQTLDNQITNSFQNASCLPDCFPGDLLNNDGRSSKTTWLHAVEIEPEISSCSIGGQLNLDSGVSVLPQDPSLPEAYEKDQHPNKACNFLSSTSLPSWQLKHHSNFFSMNPILTKNSLNLKRESEQMCSRDSREPYPFFDFTCIKDPCQVYIEKFSASSRDQLGAGNSVFTSTAAAPAILTSRQHNLKDYSDENLENKAKPCHTCSPVSSKVHYDNISSLENVAGGSGWERLLANSSKILSTTARYPKTSLVTVVEMPLDHIIKKCLLEEILLQYPYVL >Solyc02g086720.1.1.1 pep chromosome:SL3.0:2:49996262:49996456:-1 gene:Solyc02g086720.1 transcript:Solyc02g086720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSELYANNNTILDYINLTNTDFKTAITIKKSGAFRESNSGPLAPKARIIPLDQMPCFEIHVLS >Solyc12g044250.2.1 pep chromosome:SL3.0:12:60083127:60085758:-1 gene:Solyc12g044250.2 transcript:Solyc12g044250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAKIGVLVICPMLSYLEEELDKRFNLFRLWEAPSKSEFLTQNSDSIRAVVGSASFGADSELIDALPNLEIVSSFCVGLDKIDLVKCKERGIRVTNTPDVLTDDVADAAIGLILATLRRICVADGFVRSGLWKNGDFELTSKFSGKSVGIIGLGRIGSAIAKRAEAFGCPISYHSRSKKPNINYKYYSTVVDLAANSEILVVACALTEETRNIVNRIVLDALGPKGIIINIGRGAHVDESELVAALIEGRIGGAGLDVYQREPEVPEQLFGLENVVLLSHVASDTVETCTAMADLVIANLEAHFLHKPLLTPVL >Solyc09g061580.1.1.1 pep chromosome:SL3.0:9:60157681:60158031:1 gene:Solyc09g061580.1 transcript:Solyc09g061580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRTTFAGTKMKPLLSDSSFRAITCKAGNQICRSLAAENPFTETRFCDICCSESGFCGDCCCILCSKLISLDYDGYSYIHTEATLISCHICGHVSYLECALRANLAGRVGGSINL >Solyc12g013810.2.1 pep chromosome:SL3.0:12:4597633:4600071:-1 gene:Solyc12g013810.2 transcript:Solyc12g013810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLLETIAVPRAAAAAASPSLSPVSGRRSSVKFSLFNGLKIQSTRSSVSFSSFTKSVPSRGGRIVCEAQDTAVLVPGVNDQSWETLVIGSKLPVLVEFWAPWCGPCRMIHPVIDELSQEFADKFKFFKVNTDESPGIATRYGIRSIPTVMIFKDGEKKDTVIGAVPKTTLTACIEKFL >Solyc04g057885.1.1 pep chromosome:SL3.0:4:54928720:54929681:1 gene:Solyc04g057885.1 transcript:Solyc04g057885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGIDITAAAFDLISLTKDCLQKKNDKLKKTTIRFDALESKFPDIKCFAPGWRRPGYITKLTVIKLQYKQEVAGKNS >Solyc11g018540.2.1.1 pep chromosome:SL3.0:11:8662559:8662651:-1 gene:Solyc11g018540.2 transcript:Solyc11g018540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGEAWVNGQSIGRYWVSFRTLAGIPSQTW >Solyc07g043560.3.1 pep chromosome:SL3.0:7:57584812:57593345:-1 gene:Solyc07g043560.3 transcript:Solyc07g043560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAKNMLFHIGIILSLFLLNPIPSQSAVSSIDLGSEWFKVAVVNLKPGQPPISIAINEMSKRKTPSLVAFHSESRLIGEEASGIVARYPNKVYSHLRDLISKPFPHVSKTLGSLYLTYDISPEESRNVAVFKTENGNFTAEELVAMLFKYALGLAEAHTRGTPVKDAVVTVPPYMGVAERKGLLVAAELAGINVLALVNEHSGAALQYGIDKDFSNGSRHVIFYDMGAGSTYAALVYFSAYNTKEFGKTVSANQFQVKDVRWNAELGGEHMELRLVEHFADEFNKQVGNGVDIRKSPKAMAKLKKQVKRTKEILSANTAAPISVESIYDDRDFRSSITREKFEELCADLWEKALVPLKEVLTHSGLKIEDIYAVELIGGATRVPKLQAKLQEFLGRKELDRHLDSDEAIALGASLHAANISDGIKLNRKLGMIDGSPYGYVIEVDGPDLPKDESTKQLTIPRMKKLPSKMFRSIVHKKDFEVSLAYESDDFLPPGTTSRTFAQYAVSGLTDASEKYASRNLSAPVKANLHFSLSRSGIFSLDRADAVIEITEWVEVPVKNLTVDNSTSASANTSTESGPSNTEESDEKLNPDIVNSNTSDSGANDSSTISPVTEKKLKKRTFRVPLKIDEKTAGPGAPLSKESFSEAKSKLEALDKKDEERRRTAELKNSLEGYIYDTRDKLESGDFVTISTSQERQSFIQKLDEVQEWLYTDGEDASAKQFQEHLDKLKAIGDPIFFRSAPSINCRQRLNCSYLLYMVRHKELAARPASSDHARKYLNEVQQIVRGWETNKSWLPKGKIDEVLNESEKVKNWLNQKEAEQKNTPGSDKPAFTSEEVYVKVFDLQDKVNKVNKIPKPKPKVEKPLKNETENSKEKADTTKSSSEEGTSQKEQTASEAEKPSADENSDHDEL >Solyc07g017750.3.1 pep chromosome:SL3.0:7:7927962:7930736:1 gene:Solyc07g017750.3 transcript:Solyc07g017750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRFLQCRGPYAKRRHPLVDSTVITEIRRCIDQGIEFQGELLNFRKDGSPLMNRLRLTPIYGDGVAITHILGIQYFQEVNIDLGPLPGSLVKEPTRLLDRYRSSLSLYGPASEGNRSNNHGVCGILQLSDEVLALKILSLLTPRDIASVGSVSTRLHELTKNEDLWRMVCQNAWGSETTRVLEAVPGAKRLGWGRLARELTTLEAAAWRKLTVGGTVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWKHVKVSSPPPGRWGHTLSCVNGSHLVVFGGYGRQGLLNDVFVLDLDAKQPTWREISSLAPPLPRSWHSSCTLDGTKLIVSGGCTDSGVLLSDTFLLDLSIEKPVWREIAVTWTPPSRLGHTLSVYGGRKILMFGGLAKSGPVRFRSSDVFTLDLSEEEPCWRCVTGSGMPGAGNPGGLAPSPRLDHVALSLPGGRVLVFGGSITSGLHSGSQLYILDPTEEKPTWRILNVTGRAPSIAWGHNTCVVGGTRAIVIGGQTGEDWMLGELHELSLASSVVV >Solyc12g057030.2.1 pep chromosome:SL3.0:12:64052166:64053649:-1 gene:Solyc12g057030.2 transcript:Solyc12g057030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNVEKNFQISVACLAVVIVFIGLYTQSLNKMMGTYFIGILGILGVFLPDWESFDQSVSHWVTPLKVDEFTAESCRSIRFRFYPVRMAIFTILYGFGLYKWWMFIST >Solyc08g063000.3.1 pep chromosome:SL3.0:8:52563445:52566151:1 gene:Solyc08g063000.3 transcript:Solyc08g063000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVNTADATIALSAEDRADLVNAIKNKLHDLTRDHSAFLDKFPKNIRMRVDVLRDIQRCEIVNGVGEAGDESTVGEETNESAGDKGIPHFWLLAMKNNEIIAKEITEKDEDALQYLKDIKWNRLDDANGFKLEFFFETNPYFSNNVLTKTYHMISEDEHILENAIGTEIEWFLGKCLTQKILKKKPKKGSNDTKLIIKTEECESFFHFFDPPQLPEDAEELDEETAELLQGQMEQDYEIGSTIREKIIPHAVSWFTGEADGSEETRGQ >Solyc01g014325.1.1 pep chromosome:SL3.0:1:12014402:12016355:1 gene:Solyc01g014325.1 transcript:Solyc01g014325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPARQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKENMKKVPYASAVGSLMYAMVCTRPDIAHAVGVVSRFLSNLGREHWNAVKWVMRYLCGTSSLNMAGDVDTRKSTSGYLVTFAGGAVSWQFRLQKCVALSTTEAELIAVVEACKELLWMKRFLGELGCSQESINFPNTQSNDVTCTCCHKKQDKYHK >Solyc11g011285.1.1 pep chromosome:SL3.0:11:4333357:4339479:1 gene:Solyc11g011285.1 transcript:Solyc11g011285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIMGRYKQLSVHVLDVTMVALLFFLVADCHPHNGQRSTMTSALKLDIQPKHITMSNEIFNITLSKPHGDVTGISYGGVQNLLSTHNVETNRGYWDIIWNNTGPSTTRAKLSGTSFEVIINNENQTEISFKRTWNASQSNEPPLNIDKRFIILRNTSGFYTYSIVERLKGWPISYIQNLRLVFKLQENMFYYMAVSDERQRVMPTSMDRKKGQVLDYKEAVHLTNSTNPNLNGEVDDKYFYASDNKDGKVYGWVSSTSPPLGFWMINPSNEYRTGGPFRQDLTTHIGPTVLSIFVSTHYAGEDLAIKFGQGEPWKKVIGPVFVYLNSNAAAKENPSILWNDAKTRMNQEVASCKHIVPASNAYIGLAPLGEAGSWQRENKGYQFWTKTHDNGNFTIKNVISGTYNLYATIPGVLGDYKYTSIVNVTPGSNIKLGDLLYNPPRNGVTIWEIGVPDRTAAEFFIPNPPPQFKVHKYKNNSESRFRQYGLWKQYSVLYPKTDLVYNVETSNYSRDWFYAHVTRKIGNNTYKAITWKIIFNLANVSNASNYTLQLAIAAASQAELQVRVNYENAKTPHFTTGQIGGSNAIARHGIHGLYWIYSIGIQGKLLVNGANTIFLSQILALSPFQGVMYDYLRFEGPHQ >Solyc01g101030.3.1.1 pep chromosome:SL3.0:1:90762986:90772599:-1 gene:Solyc01g101030.3 transcript:Solyc01g101030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPEQRTGNGPPANGNATGRNAYTIDLPTFSKRLKDLYSHWREHKDEFWGSSDVLAIATPPPSEDLRYLKSSAVNVWLLGYEFPETIMVFGNKQIHFLCSQKKASLLDVVKLTAKEAVGVEVVTHVKTKGEDGTSKMDKVLHAIHVQSISDAYDTPVIGYIAREGPEGKLLEAWTKKIKDSGLKLNDITSGLSDLFAVKDQNELVNVKKAAHLTASAMKNFVVPKLEKVIDEEKKVTHSSLMDDTEKAILEPAKVKVKLKAENVDICYPPIFQSGGVFDLRPSATSNDDGLYYESASAIICAIGSRYSSYCSNLARTFLIDSTQMQTKAYEVLLKAQEVAIDALKPGNKVSDVYQAALAVVDRDAPELVNNLTKSAGTGIGLEFRESGLILNAKNDKLLRSGMVFNVSLGFHNLQNETNKVKSRNFSLLLADTVIVTKDGRDVITHLSSKALKDVAYSFNEDDEEEEPQMKPESNGRDTLYSKATLRSDNHEISREEKRKLHQEELARQKNEETARRLAGEETLTGNNRSAKTSTDVVAYKNVNDLPPPREMIIQVDQKNEAILLPIYGNMVPFHVATVKTVSSQQDYIRIIFNVPGAPFSPIDVKNQGAIYLKEVSFRSKDHRHISEMVQMIKTLRRNYMSRESERAERATLVTQEKLVLAGNKFKPVRLPDLWIRPTFGGRARKLAGTLEAHANGFRYSTTRQDERVDILYGNIKHVFFQPAEKEMVTLLHFHLHNHIMVGKKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDIWSQPQFKGFDLEFDQPLRELGFHGVPYKSSAFIVPTSSCLVELVETPFLVITLSEIEIVNLERVGFGQKNCDMAIVFKDFKRDVMRIDSIPISSLDGIKEWLDTTDIKYYESKVNLNWRQVLKTITDEPQKFIDDGGWEFLNLEGTDSSSGDSESDQGYEPSDAEPESDSDDDESDSESLVDSEDDEEEDDDEDSEEEKGKTWEELEKEASYADREINESDSEDEKRKKKNFGKSRAAPTSAARKRMKFR >Solyc07g066670.3.1 pep chromosome:SL3.0:7:68165222:68170529:-1 gene:Solyc07g066670.3 transcript:Solyc07g066670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLTHKFSDFVFLIACQRIVLCDIRWLTQNRLDKLKFVRERSAYCYFSAAATIFQPELSDARMSWAKNGVLTTVIDDFFDVGGSMEELNNLILLFKKYMFSFIFPLFLILLGDFICVFLFKCLGLFGRWDVDVSTDCCSERVGIIFSALHSTISEIGDKASKWQARSVTRHITDIWLNLLNAMLREAEWAKDMSVPSLDKYMANGYVSFALGPIFLPALYFVGPKLPDDVVQHPEYHSLFELVSTCGRLLNDIRSFERESKDGKLNAVTLSVTHGNGRISEEAAIEGLSHRVEMQRKELLKLVLQREGSVVPNACKDLFWEMSKVLHQFYIKDDGFSSMGMADTVNAIIHEPITLNYLGDSKLITDYN >Solyc11g011590.2.1 pep chromosome:SL3.0:11:4649078:4650737:-1 gene:Solyc11g011590.2 transcript:Solyc11g011590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLGRNEVGVSMSSVVYSFGRQPKRLLSLSACQDHLPSATSKHLPKIKQRKTVSSKMNKLGERMDCLAQGIREHVKGKLSLGAKILQLGGLEKIFRQKFSVRDDEKLLNVCQCYLSTTAGPIAGLLFISTDKIAFCSERSIKFLSPTGKLLRMYYKVSIPISKTMKAKESENREKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLHHAISSTSSS >Solyc04g017807.1.1 pep chromosome:SL3.0:4:8347500:8352268:-1 gene:Solyc04g017807.1 transcript:Solyc04g017807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEHSSSSKKMLASLNQIDPASFYTLSSSVSLTKNSTSYQVNIASEEISNKSHRQKFPRESAPRRSNATSTAISYDFNKKSIFRRPGVSISRAHSSLFEDSWLRLIVPLELGCSLPPLLVSSPARCPGEGIYMLLMWAARLQKPMKIEKRMRGLKCTCGSKFKIWVCSSPDTLLKRSFQLSKVDKTGADIQPIYTDIHRRCTLNMCKNCELMVTYMDANFR >Solyc01g068580.3.1 pep chromosome:SL3.0:1:77598656:77608028:1 gene:Solyc01g068580.3 transcript:Solyc01g068580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTKFFKFSFFFSLEDASMMKQRNFRKRSRVDDGEDDSSTKDNNGSDDEQERRLALEEVKFLQKQRERKLGVPAVSSTTSQVAAGGGGGGSNSSAGGMVRKVNDKGEGDGEKDELVLQDTFAQETAVMEEDPNMLRYVEQELAKKRGKNVDVADQVENEVKRAEDELYKIPEHLKVKRRNSEESSTQWTTGIAEIQLPIEYKLKNIEETEAAKKLLQEKRLMGRARTESSIPSSYSADYFQRGKDYAEKLRKEHPDLYKDKSKDTKNSESKPQDSTSDGAVKRQAATDQFMLERFRKRDRHRVMRR >Solyc11g066510.2.1 pep chromosome:SL3.0:11:52561924:52563929:-1 gene:Solyc11g066510.2 transcript:Solyc11g066510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRILLQYSNPEILHYSMSSSDPTITYQPMNDSVATAIGRPFKLTAPFDSSMALTILVLLTALFFMGFFSIYIRHFAGDITTSAGSDEDRRRRNLSLSSSSTFAHRSCRKGMDPSTVQSLPLISYGGAAKHLIEDCPICLSEFEVSELVRLIPYCRHVFHQQCLDTWLSSHVTCPLCRSTQFFKDEVCLDIVDVRDENVVSERSTVQQCDTCRCIRRSCSSSNLGSRVTLHRSASF >Solyc03g116490.1.1.1 pep chromosome:SL3.0:3:67378612:67378983:1 gene:Solyc03g116490.1 transcript:Solyc03g116490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLQRSATSFRRQGSSGLVWDDKLVTASGELIQLGNPRGETTIKTDREREEKPKLEASVPDKASSKTAGSMERSRSNRGFRTGKVSPAIEPPSPKVSACGFCSAFGKNDKSNRRPKSGKRKM >Solyc05g025540.1.1 pep chromosome:SL3.0:5:33159718:33160268:1 gene:Solyc05g025540.1 transcript:Solyc05g025540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHGQQFYPTHTVLATSTKGRQPQPRSAHIVHGMYASIDHGLQVLALFSLANVRQHEKKIVSFTQDMMPNGKHHQPRLACISRGRCASAW >Solyc09g010780.3.1 pep chromosome:SL3.0:9:4070840:4073898:-1 gene:Solyc09g010780.3 transcript:Solyc09g010780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVRKLNLCFAGDVGEISKRYNDLNFTDPLDEGLGHSFCYIRPDPYSKPHPFSDDSSSSSTTTTISSSTTSTTTAAFRTISGASISANTFTPLSTALVDFSSHVDKASAFESSQFFSSIPLQPHPRGVFHSGPIPARLSNTGSGLGSGPVERGFMSGPMERSFTSGPLENQYDPIQIQRYKLKPKKWGLIRSLKKAFSSSFFGYKEVKFTEKNIVNVSEIKFVDCDNNNVGNVLSSENSLVDDDDDVNESFTGQNVQWAQGKAGEDRVHVVISEEHGWVFVGIYDGFNGPDATDFLLSNLYSNVYKELKGLLWNDKSETPKNSMSNEKVEHFVPNQEFDGVDHSDVLKALSEGLRKTEASYLEIADMMVNENPELALMGSCVLVMLLKDQDVYLLNVGDSRAVLAQNLESDLAVSKFRRINEQSVGSIDALYRAESDRKHNLVPAQLTMDHSTSIKEEVVRIRSEHPDDAFAIKNDRVKGSLKVTRAFGAGYLKQPKWNHALLEMFRIDYIGNSPYINCLPSLHHHTLGSRDKFLILSSDGLYQYFTNEEAVSEVETFLSIFPEGDPAQHLVEEVLFRAAKKAGLNFHELLDIPQGDRRKYHDDVSIIILSFEGRIWKSSM >Solyc09g065560.3.1 pep chromosome:SL3.0:9:63917258:63934237:-1 gene:Solyc09g065560.3 transcript:Solyc09g065560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNAEYDEYSSSMKGEKNRKKHQVEIPAAQPFLKSLKNTVKETLFPDDPLRQFKNQPPLKKLILGLQYFFPIFEWAPRYTLDFFKSDLISGITIASLAIPQGISYAKLANLPPILGLYSSFVPALVYAVMGSSRDLAVGTVAVASLLIGSMLGEEVNPTQNPTLYLHLALTATFFAGLFEAALGIFRLGFIVDFLSHSTIVGFMGGAATVVILQQLKGILGLDHFTQSTDIISVLRSVFTQTHQWRWQSAVLGFCFLFYLLAARFFSQKRPKFFWVSAMAPLLSVILATILVYFTHAENHGVQVIGELKKGLNPISITDLSFGAPYLSIAIKTGIVTGVISLAEGIAVGRSFAMYKNYNIDGNKEMIAFGMMNIVGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMAVAVMVTLLVLTPLFHYTPLVVLSSIIVSAMLGLIDYNAAIHLWHVDKFDFLVCMSAYFGVVFASVEIGLVIAVALSLLRVLLYVARPRTLVLGNIPDSNIYRNVEQYPNTDIIVGVLILDLGAPIYFTNASYLRERISRWIDDEEDKLKSSGETLQYVILDMGAVGNIDTSGISMLEEVKRNLDRRDLKLVLANPGAEVMKKLNKSKFLETLGQEWIFLTVGEAVESCNYMLHSCKPKSGMDAPFSNNV >Solyc04g014520.1.1.1 pep chromosome:SL3.0:4:4795240:4796133:1 gene:Solyc04g014520.1 transcript:Solyc04g014520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLAGHVAPGFAFFAIGIWHLLNHIKLHCLHPKSYTSLLWFPSPRIRYLELFVIMFGTIVSILMELFIGPSKHQPLDIDGTIPSYHLHNFEHATISLNFFVYAIFSIIFDKITVPSTLVQNGLTLLLATLAFGQELLLFHLHSTDHKGVEGQYHWLLQIVIFSSFATTLLGIPFPKSFLNSFVRSYTIMFQGIWFMVMGIMLWTPNFVPKDCFLTWEEGRQVVRCHNKEALERAKSLANIQFSWYLVGTTIFTLSLYLLLVKFFKENVDYFSLITEFEDKDLEDVEVQKKRLVTHG >Solyc04g071500.3.1 pep chromosome:SL3.0:4:58565581:58568382:-1 gene:Solyc04g071500.3 transcript:Solyc04g071500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLFSSIKKLLKLTLFVTFFLYLLSPLNLAPIFSMGNVSMFCVFFFILGSLIFQLSQSTTVVVDGVSLWKNPIVHIDDSIIFQHKYEYNVYIFKNQNAFNACNFTQATLLTKSDSKSYTWHPSRQGIFYFSFNNGSNAACLQGQKLAIKVSLPTPAASPAYSPEKPPVGAPPVISGGVVSSSPAYPWPFQPREATSPSPSPIALLPANGPTVPEKGDEIPFINSNPAVPLPTGEVDSATIRPLPTSGSNHQVVGFSAIQRSVCFAIFLMML >Solyc09g098480.1.1 pep chromosome:SL3.0:9:72800512:72802199:1 gene:Solyc09g098480.1 transcript:Solyc09g098480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMNQRIIDHFLLMVFLCLSYDGVRGTKLSKQEDTLELEKQLKVLNKPPIKTIKTKYGDIYDCVDFYKQPAFDHPLLKNHNYHPQDQEKGNWWLFSTESNTPFGFWPREVFDNDFAYFATRVEWGGVVYSLPGILEPPMGSSFFPIENISYDAFCKNMTLLGYRGERLESQLSSFLSDSHLYNVSTIPDLMYYGGPGEK >Solyc09g098030.3.1 pep chromosome:SL3.0:9:72432693:72446818:1 gene:Solyc09g098030.3 transcript:Solyc09g098030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKVKAKIRSKKLPPGPSPWPIIGNFHLLGAKPHVSLANLAKNYGPIMSLKIGQMTTVVISSSTIAKQVLKNQDEAFSSRFIPNVVQAHNYSKFSMVWLPVCPQWRMLRRILNTKMVSPHSLDANQHLRSQKVKEMIAYCEKCSQQGEALDVSQVAFKTILNLLSHTLFSKDLANTSSDSKEVIWRIMNEVGKINLVDFFPILEKIDFQGIRCRATIHIGKLFELFDGLINERLEEKRRGCYGKSDVLEVLLNAENTEEIDQNHIKSMLLDLFIGGFDTTTNTLEWAMSETLGQPEIMKKAQAELAQVVGKGKSIQEADISRLPYLQFIVKETLRMHPPAPFLIPRRVDHDVKLFDYIIPKGSLVLVNVWAICRDSTFWEEPLIFKPERFQSLELDVRGKYFELIPFGAGRRICPGLPLELRMVHVMLGSMLNSFNWKLKAGIDPKDLDMDEKFGFITPKAHPLREIGEDSTLWEDPLVFKPESKGNKKLPPGPSPWPIIGNLHLLGTKSQVSLANLAKIYGPIMSLKLGQITTVVISSSTIAKQVLKIQDQAFSTYRFVPNAIQAHNYSKFSVAFLHVCPQWRTLRKILNKNIFSSKSLDANQHLRSQKVKEMIDYCEKCSEQGKVVDIGQVAFKTSLNLLSNTLFSKDLADPFSDSKVELKEVIKNIIDEMGNPNLVDFFPILEKFDLQGIKRRTTIHIGKLFKLFDGLINERLEEKRRFHSERSDVLEVFLSICEENPQEINHNHIKSDLFLAGTDTTTSTMEWIMAEILKHPEIMKKVQIELEEIIGKGKSIEEDDISRLPYLQCTVKETLRLHPSAPFLLPHKVEQDVELCGYIVPKGSQVLVNVWEINRDSTFWEDPLVFKPERFWHSNLDVRGQDFELIPFGAGRRMCPALPLALRMIPVMLGSLLNSFNWKLEAGIRPEDLDMEEKFVLANIIAKICSKGSKKLPPGPSPWPIIGNLQLLGAKPHISLANLAKNYGPIMSLKLGQITTIVISSSTIAKQVLKTQDQAFSSRFAPNALQAHNHYKFSVAWLPVCPQWRTLRRILNTNLLSSNKLDANQHLRSQKVKQLIDYCDKCSQQGEALDIGQVVFKTSLNLLSNTLFSKDLADPFSDSKVELKEVIWGIMAEVGKPNLVDFFPILEKIDLQGIRRRAIIHFGKLFKLFDDLINERLEEKKRPGFMEKSDVLEIFLNITEKNPQEIDHNHIKSMFMDLFGAGTDTTTSTLEWAMAELLKQPEIIKKAQVELAEIIGKGKLIEEADVFRLPYLQCIIKETLRLHPPVPLLVPRKVDQDVELCDYIIPKGSQVLVNVWAIGRDFTFWKDPLEFKPERFLNLDLDMRGQDFELIPFGAGRRICPGLPLALRMIPVMLGSLLNSFNWKLDAGIEPQELDMEEKFGITLAKAHPLRAIPSPL >Solyc07g062905.1.1 pep chromosome:SL3.0:7:65667849:65668721:1 gene:Solyc07g062905.1 transcript:Solyc07g062905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLFFQDLEGKTFNCKFCKTKLARADQLITKEISWERFFFAACAKSKVAFGIWRFCDATIL >Solyc04g016220.3.1.1 pep chromosome:SL3.0:4:6987152:6988540:1 gene:Solyc04g016220.3 transcript:Solyc04g016220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRKEVRNSDHEVVIVMVPFPIQGHLNQFLQFSCLISSSYDLPVYYLGSPTLNRQARLRANALNPSDIAKIHFHDIPIPEFASPPPVFDALSKFPLHHKPLWDASLLLREPIASFLRDISSKSRRIVVVHDPFMSYNVQDVSSLPNAESYIFNGISALNMYCFVCRFRGKPVQLGEELLKKLPDLEGGIPDEMRDFTAIQSPHMGIRSGDILNTSKVIEGDFLELLVEESKQQWAIGPILPTKLDHISNRNNICLEWLNKQPPRSVLYVSFGTTSIFSDREVIELAMGLEQSRHKFIWVLREADRGDIFTGEARKLELPEGFEERVKGVGLVMREWAPQPEILAHSSTGGFMSHCGWNSCIESITMGVPIAAWPMHADQPKNGFLVTEILKIGLTVREWDKRAELVSASTVENVVRKLMASEEGDAIRKRAEELAESVRRSTEKGGSSRIELDSFIAHITR >Solyc01g011415.1.1 pep chromosome:SL3.0:1:9051098:9053669:-1 gene:Solyc01g011415.1 transcript:Solyc01g011415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYQNSESLVSNLIVTDYGPAWQAACCNQTTSAHKKKENRPTTQKLNILDNIIVNFDQLDFELSFVVAGNMWYGSATTLIELFGPTRYQWDQGYFQQEIYRRCKGELCLAMLLCSTTDSLRRFFLDHRCQAS >Solyc03g079860.2.1 pep chromosome:SL3.0:3:53103292:53105320:-1 gene:Solyc03g079860.2 transcript:Solyc03g079860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRSSGSFGSLQQQLFQNSSSPIQTTPPIPRKPPKLFKEEEGLFLWICKFAPRKNVGMLLHCVVSAAAFLWVLHVGKACPVCYLPVEQAIALMPDAPSFSPGVSNLTYIHEENQSKADFGGSDFGGYPSLFQRNDSDIRESMRLHCGFVRGIRPGDQTSFDIDDSNLLEMESCQGAFDLIRQPKNTSEYAKKNACFYMFVDEQTEAFLRNSSELNSSMRIGLWRIVVVHNLPYGDLRRNRKVNVHNVTLPI >Solyc04g025290.3.1 pep chromosome:SL3.0:4:24256190:24271634:-1 gene:Solyc04g025290.3 transcript:Solyc04g025290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METVVQSSVGIYSKPFHSLARKLIKASSGPITRVTEMDRRRGGISLLTSHSFFPAIRRIRAMHKSITSSPTVSFATPEVGVTNTETREWAMQDFYTLRKDVETVLERVQEIRAAAGLKQLQEDLAALEAAAADRSLWDDRAKAQETLQALTDCKDKLKLLRDFKTQADDAETIIKLTEEMDSIDSVFLQEASGIIKELNKALDRFELTQLLSGPYDKEGAVITITAGAGGTDAQDWADMLLRMYVRWAEKQRYKTKVVEKSVGEEAGIKSATVEIDGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSFTGIEVMPLLPEDSTNVEIPEEDLDISFTRAGGKGGQNVNKVETAVRITHIPTGVAVRCTEERSQLANKIKALSRLKAKLLVIAEEQRASEIKQIRGDTVKAEWGQQIRNYVFHPYKLVKDVRTAYETCDITSVMDGELDPFIKAYLKHKYSLAANPSGLT >Solyc06g073520.3.1 pep chromosome:SL3.0:6:45450898:45461955:-1 gene:Solyc06g073520.3 transcript:Solyc06g073520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMKGIWTKQTLINLLLGQFLSLLITSTGFLSSELARKGINAPTSQSFLNYVLLALFYGVFMIYRQEGIKAKWYFYILLGIVDVEANFLVVKAYQYTSLTSVMLLDCWAIPCVLLFTWFFLKTKYRPRKLVGVAICIAGIVLVIFSDVHAEDRSSGSNPIKGDILVIAGATLYAVSNVSEEFFVKSADMVELMAFLGLFGAIVSGCQIGILERNELKSIHWSAGAALPFVGFALAMFLFYSFVPILLKRSGATMLNLALLTSDMWAVVIRIFVYHQKVDWIYFVAFAAVAVGLLIYSGRDKVDKNVADEGIVRSKRFDEETGLDNPARKDAIESSRTEESFDAETVPGIYGR >Solyc07g053890.3.1 pep chromosome:SL3.0:7:62424162:62428885:1 gene:Solyc07g053890.3 transcript:Solyc07g053890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEIFEPASPSSQYLNSSNLSLSVIAVLESKVPIEYEDSLPINLLKDVFVPINPRFSSIMVTGKKGTKKWKRVEVNYQDHIKTPIFPTQKPIEFYDECFSTYISNLATEKFSQNRPLWEIHVFKYPTSDAAGNIVFKLHHSLGDGYSLMGALLSCLQRVDNPSLPLTFPSRQKSNLNNSNKAKGYINNFKIVPRFFKGIVNTLYDFGWSTLKSTLIEDDRTAIHSGDDGVEFRSPVLVTKTFSLDRLKQIKANLNVTINAGIVIYGTRLYMEEVNKETCNGKCSALVLFNTRALGGSYKSVSDMIRPNSDMPWGNHFTFLPISLPKLTNNKSNNPLYFIQKAHRIIERKRNSASIWLTGKLLDLLRKLRGTEATARFIHGTLRNTSMALTNMIGPVEEMALANHPVKGLYFVVTGAPQSCSVTIVSYVDKLRIAIVVEKDFIDPNKLKSCIEYAYESIFKAAINSSKVEK >Solyc03g071790.1.1 pep chromosome:SL3.0:3:20883475:20885323:-1 gene:Solyc03g071790.1 transcript:Solyc03g071790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAVVCKTHDGLKALLTSDKKGPTNTSSRLHGVGSSIGGPLHRYLVICLENLIPYTGEFIADDPKRRLAIRRKPRYVNKETSPGFLGFAVNMINIDTANLYCVISNGHVLRETLFSGLVAQLQVYKTRADMMQALPFITNGDISLDGGIIKSGCIFSLGKREVQIKFPKSFGRSYLRKSYIKSEIRMKELKWERVRCVEDLEREQTLLTNAKNNFKIRKEEFVKFLSQRSSYL >Solyc02g093690.3.1 pep chromosome:SL3.0:2:55074102:55081565:-1 gene:Solyc02g093690.3 transcript:Solyc02g093690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEIVRRSLKASNFSLLRNLTSLHTRCFSNLAESVQPESDVPSSSFTFSENSGDADSNYQRKSAIDENIFIKGPKKASSSKEADSVTMPMSFMTGSIVGKRFYQKVTTREAEDGNGWSVMLDYRTLKTPSKRPLKCPTLALAKAIAAEWEYQEADGIRPFTMPLMKLSCTALERVPLTRHKIIEYLMKKFPQDLVFCRAPGDNDLTSGVLERQVEKIDPLLKWVESEFGFKPTVHTSFFGGKQDDGLVSAIGSVLKKTDDCELATIDAIASAAHSLVIALGIFRGRLGIEEAIELIRLEEDLQVDNWGLVEGGHDVDIADLRVQIASAVVFLGLTRSV >Solyc05g041710.2.1 pep chromosome:SL3.0:5:54253393:54261327:-1 gene:Solyc05g041710.2 transcript:Solyc05g041710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRIKENVIDSIGHVKFCNFKEDDIPGFEDSSSKTPNQIVRGVDAWKKKCTRSFSISDEEVIEEDNFNQPKTANQFVEPSSPIDMEFVNNVLVDNLDVEVEEETHIQQYEVLEFVDAVKDPQA >Solyc02g005310.2.1 pep chromosome:SL3.0:2:8036292:8052184:-1 gene:Solyc02g005310.2 transcript:Solyc02g005310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQQPVHNPKISLKMEDGTPTTCSPPQDCEIRRGKLMPPAAAGSEKRIKKIKAASEKPPGEDHLVITVYGAIILSSKDEGAIQVKRMLRLSDKDEQDVTDFHKLHPHAEAKGFGRLFRSPTLFEDVAKSLLLRFCPWKTSLDLAKALCDIQLKKVRMSKRNKENIGDFPSPRELASFREKELKDKGFGYRARDLIKLAKQVVDEKINLDGVDEGFYSNLKINGAGPFTINTIMMCIGHYHHIPIDTETLRHMKEFHGFNMGKRKNGSISVETKAKIQESYKIYHPFESLAFWFELVNTYETKLGKTLGELLPSEYHHATGSKKY >Solyc06g043032.1.1 pep chromosome:SL3.0:6:29972064:30033228:-1 gene:Solyc06g043032.1 transcript:Solyc06g043032.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSYHPGDFRRLSWSSRIVRPLVEVRKKLDKGSQNRELPHEPLDETCRPPWTMGGVGSKALNLCQGHVLRFRSRQSHCRLQSCLPTDDRSSKASVKAMYSASVVDKVTVGCKVAFQLTTDPPRALDFQDLLEKDNLSNLTTNLKIFYDSSEKCIEDKENWAKPRMRKTSVAKLVLVGVESLKLKSGSD >Solyc08g078785.1.1 pep chromosome:SL3.0:8:62625460:62626958:-1 gene:Solyc08g078785.1 transcript:Solyc08g078785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSSGSCLVWWILPSSGLKDMIGYFSFDSMMLMFFDDLTDGTSWFRKLDKYLIICTLIAAECSSIFALRKRDVLCIFSDFRGMVWNIPTSHLTGKLSTVRYKSDELWEVGEQFEGKLLLQERLSTSM >Solyc08g078280.1.1.1 pep chromosome:SL3.0:8:62213281:62214711:-1 gene:Solyc08g078280.1 transcript:Solyc08g078280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLPSISRLFADTKSLNKPIFSLKLVHLLSTSSSSAGEYLSHLLKNKNVSGMERTLSSVRSKLDARCVDEVLEKCAVDDPQMCLRFFIWAGFQSSYRHSSYMYSRAYKLLGVDRKPQIIRDIIEAYRMHKYVTSAKMFKVVLNLCREGKDAILGLWVLRKMKELNCRPDTTMYNVVIRLLCEKGDMDEAMGLMREMDLIDVHPDMITYVVMIKGLSEVGRLEEACGLTKAMREHGCIPNTVTYSALLDGICRFGSLERALELLREMEKDGGQCKPNVVTYTTVVQNFVEKCQSIEALSILDQMMDFGCKPNRVLISTLIHGLCKEGHVEEAHKVIDRVAKSGISYGSCYSSLVLSLFRIGKVEDAEMFFRRMLTGGLKPDSYTSSTIIRWLCQQNRILDGYHLIEQSASVSSIDSDIYSVLMAGLCDANHLAEAANLAHLMVEKRIQLKGPVKNVIECLRRCGKEDLASSIGNVKS >Solyc02g079060.3.1 pep chromosome:SL3.0:2:44240497:44247670:-1 gene:Solyc02g079060.3 transcript:Solyc02g079060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit J [Source:UniProtKB/TrEMBL;Acc:K4B9D0] MEDWEDIPVPDLLKKDQPTSKWDDEDADDDDVKESWEDEEEPAPAPKPEPPAEKAPKKSAAKAGEKKGKEVHTNEEPLDPVAEKLRQQRLVEEADYKSTAELFAKKGGDDKTLENFIPKSESDFLEYAELISHKLRPFEKSYHYIGLLKAVMRLSMTSLKGQDAKDIGSSITAFANEKIKAEKEATASKKKTGAKKKQLHVDKADDDAVVNAYDGYDDYDFM >Solyc10g007840.3.1 pep chromosome:SL3.0:10:2036880:2042838:-1 gene:Solyc10g007840.3 transcript:Solyc10g007840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit A, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CXW3] MLSSVQTPRLLRFQSFTLKCLHTHPTTTPPPPHSQILTIRKSLLSREISAVDLAGTFLNRLRNTEPQLKSFLYVSDVVLKEAEEIDRKIAENEELGPLAGVLVGVKDNICTSDMPSTAGSKILENYRPPFDATAVGKMKKCGAIVIGKTNMDEFGMGSTTEGSGYQVTANPWDLSRVPGGSSGGSAAAVSARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRYGLVAYASSLDVIGCFGSSVTDAAILLHAISGHDKFDATSSKKEVPDFASQFTARDHLDSKPLKGLRVGLIRDTIEDGVDPDVISSIRGAASHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGKQVFADELNSLYGESRAGGLGAEVKMRILMGTYALSAGYYDAYYKRAQQVRTLVRESFRAALDENDILISPAAPSAAYKIGEKKNDPLSMYAGDIMTVNVNLAGLPALVLPCGFVDSSSVALPVGVQMISAAFEEEKLLKVGHIFEQTLQGCSFIPPLVADELGC >Solyc05g055020.3.1 pep chromosome:SL3.0:5:65644630:65646790:-1 gene:Solyc05g055020.3 transcript:Solyc05g055020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVTAQGNNFTTSGNNMVQGTNFIANSTTMIESSVPPLSRYENQKRRDWNTFCQYVRNHQPPLSLPQCTSAHILEFLRYLDQFGKTKVHNQNCPFFGLLNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGKPEMNPFGSRNVRLFLREVRDFQSKSRGVSYEKKRKRTTSSTNNNKSKIITVIDGGGDGCGTDNHNGLIID >Solyc03g063310.1.1.1 pep chromosome:SL3.0:3:36319397:36319675:-1 gene:Solyc03g063310.1 transcript:Solyc03g063310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSKTPEREIVIALPATNTSLSILAFPKENETFMLHEKHQVVEMVSSTSIEISSYESEKNPALGPSSPPNIQHQALNQEPYGKKPPVQTF >Solyc07g054450.3.1 pep chromosome:SL3.0:7:62895476:62900092:1 gene:Solyc07g054450.3 transcript:Solyc07g054450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRVGGEEISSMENGGNGVVTLLFTEEELREISGVKRCDDYVEVMCGCTSHRYGDAVARLRVFSSGELEITCECTPGCTEDKLTPSAFEKHSGRETARKWKNNVWIIVNGDKVPVVKTPLLKYYNKSLKHAISQNGKACHRDEFLRCTECNKARRFRLRSKEECRTYHDALANVHWNCSCIPYDKFSCDDEEERASRRVYRGCSRSPTCKGCTTCVCFGCEICRFSDCSCQTCSDFTKNAKG >Solyc07g053850.3.1 pep chromosome:SL3.0:7:62380452:62393013:-1 gene:Solyc07g053850.3 transcript:Solyc07g053850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVAKNLIFIRKNSGVWLRVLFYLWIFFGGFCQVKGLRPLREKYRSWGDEWLSARKDENEFGPFSAWNITGTFRGSWRFLDSKNNSSLFPDFKKSNGNSVLELISTPTKITGVHYVQGVIIFHDIFDNERGGAQIRVEGVYVWPFRQLRMVAHSSKEGEFGQEDDYLLSNPYHLLGTFSSQVFQESPRDKIWKQKHSPIYEMEKHCNVEIAAQISRVSSSTNDGDQDHYHLEGLMESPSVDDDGDCFSPMLLNATSINIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQGIIDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKANRPMTSGEGWQAMRRELSVLYSRFYGILLGGILIMYEFHRFLRPLLFLLHSFWIPQIVTNVIRDSRKPLHPHYILGMTVTRLAIPLYVFGCPHNFMRIEPDRSWCICLGIFMAVQALILLLQHYLGSRWFIPRQILPEKYSYYRRFDQNGDHATDCVICMTAIDLTQRSNNCMVTPCDHFFHSGCLQRWMDIKMECPTCRRSLPPA >Solyc03g026345.1.1 pep chromosome:SL3.0:3:3800949:3802993:-1 gene:Solyc03g026345.1 transcript:Solyc03g026345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAKSNSNTESSFYTSCCYKVANLSGTILDASHTANLYDRYILGEQLGWGQFGIIRTCSDKFNGEVLACKSIAKNRLVTQEDVRSVKLEIEIMTRLSGHPNVVDLKAVYEEEDCVHLVMELCAGGELFHQLERHGRFSEAEARVLFHDLMEVVMYCHHKGIVHRDLKPENILLATKGSSSPIKLADFGLATYIKPGQNLHGTVGSPFYIAPEVLAGGYNEAADIWSTGVILYILLSGIPPFWGKTKSKIFDAVRAADLRFPSDRWDTISSSAKELIKGMLCTDPSQRLTPQQILGIICKIFIKFIILVT >Solyc01g106150.1.1.1 pep chromosome:SL3.0:1:93992324:93993484:-1 gene:Solyc01g106150.1 transcript:Solyc01g106150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDASNSTYIPEKDLHTYLEELFKKKSSARKNALKTLIKQFETNVRYEFVQNNFVTLIHRCQNCLKRGSASEIDLALQLIGLVVLTLGAGDNAREVYEELFVLVRELVTKSKLCHAIKVFECLSIVTCVGARDFIDTERSMEIIWQFLNQETKHTSSVTAAAISGWVLLLSGIDRWSISPKKWKESISYLLKQLEEDDEHVNVASIEALALIFEIGSLEKFSNQDGEYKDIKDGIMDQIKRICNGTKQDTSKIFEDDYDKTITLTLGRTSLTFSTWSKLKQISYIRKFLGNGFKNHMKENKHLHNVFNFAPARKCSSDDDLELYKPEFEEAVVRVFVPEVRRENCAWRINKSRNSVLSKGRTKLRNKYRTLAEDTKTGHIADEQLD >Solyc01g081480.3.1 pep chromosome:SL3.0:1:80535898:80542208:1 gene:Solyc01g081480.3 transcript:Solyc01g081480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVAWLSPCTTISSPNIFSCCSAISCQAESSLTTTTATAIRPAVILPGLGNNTNDYEKLALILKGYGVPTAIAKVSRIDWLRNAAGLLDTNYWRGTLQPRPVLDWYLKRIDEAVSEAKELAQGESGTLSLIGHSAGGWLARVYLQEFGSSDISLLLTLGTPHLPPPKGLPGVIDQTRGLLYYVEKNCGKAVYSPELRYVCIAGRYIQGSRFFDSSSTYSSEVMDGAEQPMSEVAAVSNTNAETETGSTFRTRFVGQGYKQVCGQADVWGDGVVPEVSAHLKGALNVTLEGVYHSPVGSDDATRPWYGSPAVVEQWIRHLLV >Solyc03g025740.3.1 pep chromosome:SL3.0:3:3170247:3176165:1 gene:Solyc03g025740.3 transcript:Solyc03g025740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKRQRIARKRYKEANPELFPKPEPTPPKDPNKKKKKKSTFKKKKGESKDPNKKRSFTKHPFRVAGMKPGESCFICKEHDHIARDCPRKAEWEKNKICLLCRRRGHSLKNCPNKNDEVVDKKLCYNCGETSHSLANCPYPLQDGGTKFASCFICKEQGHLSKDCPKNTHGIYPKGGCCKICGGVTHLARDCPNKSGKASDAAIGRFKISQFEDRPRGQVTKLSSGDDLEDDFNFKADDVNEDDDAKLKKKKEPKVVNFANAVSGTAVQDECKLKFLELKAKRNYRFIIFKIDGQEVVVEKLGSPEESYDNFTNSLPPDECRYAVFDFDFTTNENIQKSKIFFIAWSPESSTVRMKMVYASSKDRFKRELDGIQVELQATEPSEMSLDLIKSRAY >Solyc02g067220.3.1.1 pep chromosome:SL3.0:2:37975065:37975646:-1 gene:Solyc02g067220.3 transcript:Solyc02g067220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASNYLNRFSIKKLPVSTVPVPLVIHGLVGFFLLYIIFDWGRKFLNFLSQSQKSKSEKGNHNATTTSHHNNVPYLLVDVSLYRDEVETIMGKLGIFCNPDGDKLYHERFDSDNFRDLFGDDDDNDNSNIMQEVFDVFDENRDGFIDEMELQKVLCALGFKEAAELENCRKMILAFDGNKDGKINFEEFVEMI >Solyc03g117590.3.1 pep chromosome:SL3.0:3:68185183:68187739:-1 gene:Solyc03g117590.3 transcript:Solyc03g117590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heat shock protein binding protein [Source:UniProtKB/TrEMBL;Acc:E2DDU6] MATMGMTGSIGGYGAASASLFRLRNSAKKKTRNGKNGFRVSCVCSSSAVADPYKTLKIQPGASESEVRKAFRQLALKYHPDVCRGNNCGVQFHQINEAYDVVMSNLRGETRAELEMIEEYDDSNDESMRGMHEPDWDLWEEWMGWEGAGIRDYTSHVNPYI >Solyc09g072750.2.1 pep chromosome:SL3.0:9:65806016:65806759:-1 gene:Solyc09g072750.2 transcript:Solyc09g072750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQNKNYQIIMSSKMCVVSLVILLSIITTSPLAHAQLPINLGPIVRPILGPIPFVGTLLHPLLGQPAPPRIVPPVGQVPPVGLGQLPIVGSILSPIVGPILVYHRLYHLLVVKYHRLYQRILI >Solyc03g026410.2.1 pep chromosome:SL3.0:3:3875683:3877825:-1 gene:Solyc03g026410.2 transcript:Solyc03g026410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQKKRKIEVVAMGNGDSSVRRCENLDINILVMIFLSLGLFQLVYAISQVCRAWPLTCCDPRLWKTLDLSEFRRLKTYGVSRKKKIEVVAMENEDSSVRRWEDFDSNILVMIFLSFGLFQWIHAISQVRRAWQLTCCDPRLRKTWTCPTI >Solyc08g068390.3.1 pep chromosome:SL3.0:8:57579149:57584636:1 gene:Solyc08g068390.3 transcript:Solyc08g068390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKGSINMEIGNDGVAVITMINPPVNALTLSAIEELKRCYQEAIDCDNVKAIVLTGADGRFCGGLDISVMENVHKHGDISLLPDASIGLVVNKIENGKKPSVAAIQGFALGGGLELAMGCNARISTPKCDLGLPELKLGVIPGCGGTQRLPRLVGTSKAVDMLMSSKTITSEEGKELGLIDAIVSSDELLTVARCWALDIVEGRQPNCNSLEKTDKLGPKDESLQILKSARQSYAVSRYRDCLDVIEEGIVAGGYSGLLKEEKVFKELVLSNTAKGLLHVFLAERASSKVPSVTDTGLKPRRIEKVAVVGGGLMGSGIATALIISNISVVVKEINHEYLSKALKSVEANLQGFVTRGKLNQDKMKRTLSLLKGTLDYEDLKDVDMVIEAVNENVSLKQSIFEDIEKVCTSECIFASNTSTISLDVIGKRTTSQDRILGIHLFSPAHLMPLVEIVRTENTSPQVIVDVIKFTKILRKVPIVVKNCTGFAVNRSFFPYMQGPDLLANLGVDIFRIDRVITEFGMRLGPFQLQDLSGYGIFLAGVEEFVAAYPDRAFQSPLVQLMVENGREGKKNGKGYYTYNKRSKPEADHSVLQIVEESMRLTNIAPAGKPISVTDEEILEMIFFPVVNEACRVIEEGIVVRASDIDIASVHGFKFPTETGGVMFWADTIGSEYIYSKLKGWYEAYGDFFKPSTFLEQKAAKGLPLGGSC >Solyc02g069500.1.1.1 pep chromosome:SL3.0:2:39948072:39950633:1 gene:Solyc02g069500.1 transcript:Solyc02g069500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFQSKNICSIYRRSISVAAAFSSKPNSPFIQDSVIHCTEEVLASKLAPILQSCNSSAENLGSVIRKGEQVHAQVTVNGIDNLGILGTRILGMYVLCNRFIDAKKLFFQLRLCYASPWNWMIRGYTIMGRFDLAILLFFKMLVFGTYPDKYTFPYVIKACAGVNAVSFGKWLHRLVQSLGFEDDVFVGSAFIKFYAENGCLDDARLLFDKMYQRDSVLWNVMLNGYAKDEQSVNDVVGLFMEMRKSETKPNSVTYACVLSVCASETMVKFGCQLHGLVVRCGLEMDSPVANTLIAMYAKFCSLFDARKIFDLVSQADRVTWNGMIGGYVQNGYIDEALDLFREMVASSVKPDSITFASLLPSVSISEDLYQGKAIHGYIVRNDVSIDVFLKNAIIDMYFKCRNVVAARNIFSCSPAVDVVICTAMISGFILNAMSSDAIDVFRWLLNKNMRPNPVTLASTLPACSGLAALRLGKELHGVIVKRSFQGILYVGSAVMDMYAKCGRLDLAQQVFRRMPERDVVCWNSMITSCCQNAEPELAIDFFQQMGAIGAKYDCVSISSALSACANLPALHYGKEIHGFVMKSALSSDLFVESALIDMYAKCGNLEVAWRVFDLMAHKNEVSWNSIIAAYGNHGRLKDCLNLFHGMRKDGFQPDHVTFLAIISACGHSGRVEEGKHYFNCMTNEYGITPRTEHYACMVDLFGRAGLVEEAFGVIKSMPFAPDAGIWGTLLGACRLHGNTELAEMASEHLLSLDPQNSGYYMLQSNLHANAGKWDMVSKIRHMMKERGVQKVPGYSWTEVNNSTHIFVAADASHPQSAQIYLLLDNLLIELQNEGYVPQMNLQIQQSSSPELC >Solyc04g005320.3.1 pep chromosome:SL3.0:4:228281:233355:-1 gene:Solyc04g005320.3 transcript:Solyc04g005320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein 04g005320 [Source:UniProtKB/Swiss-Prot;Acc:K4BND8] MGRGKVELKRIENKINRQVTFAKRRNGLLKKAYELSILCEAEVALIIFSNRGKLYEFCSTSSMSDTLERYHRCSYGDLETGQSSKDSQNNYQEYMKLKARVEVLQQSQRHILGEDLGQLNTKDLEQLERQLDSSLRLIRSRRTQNMLDQLSDLQQKEQSLLEINRSLKTKLEENSVAHWHITGEQNVQFRQQPAQSEGFFQPLQCNTNIVPNRYNVAPLDSIEPSTQNATGILPGWML >Solyc03g095305.1.1 pep chromosome:SL3.0:3:57750616:57751799:1 gene:Solyc03g095305.1 transcript:Solyc03g095305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSPNIIAPAANAPAPTPIPKPGLAFRAAEVEVEVGVEYATADSLVLLLVCSSVPPQDMALDVHIGDFSVVVSPAFETGLKIVVVGLYPLVKLKPLLLSPSLNPSFSPSFKQDVVKKGKFSVKNRKSMVLHPEKKIDFSLIDTNGDNICAPSKGSFLDKSDDGK >Solyc05g026360.3.1 pep chromosome:SL3.0:5:40930555:40934461:1 gene:Solyc05g026360.3 transcript:Solyc05g026360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSSDSISLDVETIYLGGKGHILFVVLNVVITHPAHEHIVRTRCGSVSVIVYGDQEKPALITYPDLALNHLSCFQGLFFCPEAASLLLHNFCIYHINPPGHELGAAAICSESPVPSVDDLTDQIVEVLNYFGLGAVMCMGVIAGAYILTLFAIKHKERVLGLILVSPLCRAPSWTEWFYNKVMSNLLYFYGMCGVLEEFLLHRYFSKEVRGSADVPESDIAQACRRLLDERQKMNVWRFLQAVDRRPDITDGLKTLKCRTLIFVGDDSPFHAEALHMTSKLDRRLSALVEVQACGSMVTEEQPHAMLIPMEFFLMGYGLYRPNQFNGSPRSPLSPSCIAPELLSPESMGLKLKPIKTRIASKMHGA >Solyc02g078330.3.1 pep chromosome:SL3.0:2:43624228:43628124:-1 gene:Solyc02g078330.3 transcript:Solyc02g078330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSALCKQIPMHFQRTMINRFYGTTSTKYKKRDWVFARIAPLGSPDMSMVPVLEQWVEEGKTVAKGELQWIIKRLNSYKRYKHALEVSHWMTDRRYLPLQVADVAERINLVYKVKGLEEVEKYFNSISQILRRPEVYTALLNCYTNEKSVGKAEAIMQQLRDMGFAKGTLCYNHMMNLYCKTGTWEKMDKLMNEMEQKGVNFDEFTLTIRLTAYATAGDSEGMDKILAMMESDKQIILHWDTYSIAAELYLKVGLVEKALELLSRLDSMILTRKKSNGAYNDLLKLYAEAGKKEEVHRVWDLYKQNMRILNKGYISVMSALMKFGDTERVEKIFEEWESEALSYDFRVPDVLIRSYCRNGLLGKAKALMDKGISKGGVPWVTTWCHLANGYIHEDLVPEAVEALKKAISICPPNYKPSKETLATCVNYWEKQGNVDNAADFVRSLEQDHIFSPVFRDKLLCFIKEEKLQT >Solyc03g019900.3.1 pep chromosome:SL3.0:3:62757363:62764897:-1 gene:Solyc03g019900.3 transcript:Solyc03g019900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BEW2] MDLDQWITTVKEGQHLAEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPDTNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILNFNENMEREVKFFSETEENNQMRGPRTGVPYFL >Solyc09g091970.3.1 pep chromosome:SL3.0:9:71640644:71650154:-1 gene:Solyc09g091970.3 transcript:Solyc09g091970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRSENEPEVDIKAPEIKYVSYGGEHHLPLIMNLVDEELSEPYSIFTYRYFVYLWPQLSFMAFHKGKCVGTVVCKMGEHRGTFRGYIAMLVVLKPYRGKGIATELVTRSIKVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPRVEPQPLNSMADAGDESLEYKEHTHCGEQSPLLPTQHGGESKRVTFWCVNANMDSASSPKESKMIVSVTGATGFVGKRLVQRLHADKHQIRVLTRSRSTAQSIFPVKEFPGIVIAEEPEWKNCIEGSTAVVNLAGTSISTRWSYEVVELINNSKDDARPKVLISATAVGYYGTASVKLSDKSTYIITLGTSETEVFDEKSPSGNDYLAEVCREWEASALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMMFAGGPLGSGKQWFSWIHLDDLVDLIYEALSNPSYKGVINGTAPNPVRLGEMCEQLGSTLGRPSWLPVPEIALKAVLGEGASVVLDGQKVVPTKAKELGFSFKYRYIKDALKAIMS >Solyc08g066640.3.1 pep chromosome:SL3.0:8:55466019:55474308:1 gene:Solyc08g066640.3 transcript:Solyc08g066640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSSSSIRAFGSLLCRISNGVYLKPHHSSTLRSIFLLSGNFSSSSSYSSSDIKVLPLELQSSNSVRKIWTSSPLCMGRRSCKIAGRKTAQDLKKAKLYSKIGKEIVSAVKKGGPSPISNTALAALIEKVKELDIPKDIVDRNVKRASEKGQEAFIEKIYEVYGYGGVGIIIEVLTDKVNRSVAAVREVVKDNGGKMADPGSIMFKFQRARVANVKVTDVDRDQLLTIALDAGAEDIIEPSMDEYDTEADSSERVYKVVSSAENYPVILSKLQEEGIKFKPDNGSELLPTTPIEVDDEAMELNKELMSKLLELDDVDAVYTDQKY >Solyc03g119030.3.1 pep chromosome:SL3.0:3:69225579:69227287:-1 gene:Solyc03g119030.3 transcript:Solyc03g119030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFSLDGLEAQAVLSSSEFENILDMSLKTVVDVMMEDIKVLCGETNLKLGIPLRDRYIQIVQNILPVTTVLPPPDELITLEIQGGLRNTFRVSL >Solyc09g072800.3.1 pep chromosome:SL3.0:9:65923492:65926854:1 gene:Solyc09g072800.3 transcript:Solyc09g072800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFLGCFGSDKEKKCRKNRKKVIPRDQKHVCQDAQRSIISTEQSITEEPSGSLVTEARDRPEEQLSLSARKKVTFDSKITTYEPVSVYESTDSLPETKKSGEEEREEEGSLAKSSKSSSSSEGGSVVSSVGSYPTNHRYQNCRDSDDEAEEFGDSDVDEECDLDDDEDYGDFDCEGGQVWSESMVADKYFHQMKEQEVDSPNLMFGVPEKETRKGETKGYVRDRSAYIHPVLNPVENLSQWKSVKSKAAEPMKLLPQKENCTAEVEGPHASFSLEPTFKQSSFSFKTKPKDQEIGVDASLSNWLVTPDTTKKAGSNALETVTSEKSMSQGSNSMMSFEDRPILGVLTVEQLKQHSATSSPRKSPCRSPDEMPIIGTVGTYWNPLGSAKDSGSVSSFKGIPNSTSKYREDKRVNWHSTPFEARLDRALKEGATEASIA >Solyc02g062810.3.1 pep chromosome:SL3.0:2:35136802:35151542:1 gene:Solyc02g062810.3 transcript:Solyc02g062810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQKESTAMEIEDQSSIPSDQISNLKFSVNVLQLLKSAQMQHGLRFGDYARYRRYCTARLRRLYKSLKFTHGRLKYTKRSISVTTVTEVRFLHLVLYTSERAWSHAMEKKTLPDGPNARQRSYLIGRLRKAVKWASLFQELCSIKGDSRTSLEAEAYAAYMKGSLLFEKDQNWDVALKCFKSARAVYEELGKYGDLENQVLCRERVEELEPSIRYCLHKIGESNLQTSELVSIGEIEGPALDLFKAKLEAAMAEARSQQAASMTEFHWLGNRFPISNAKTRVSILKAQELEKDIHGSAADSLPAEKKLVLYDKIFAAYHEARSCIRNDLVTAANSENVKDELSGLDKAVGAILGQRTIERNQLLVKIAKSKLNKVRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKIEEVALAEECELKSMVFRAERCFYLAKSYSSAGKRTEAYALYSRARSLADAALMKLQSANAADLSRSNSCAEHARGIMEEEKAPENLSKKISNISLNGTDKKMEKLLMEKLDTYESAVGEANVKVVPRIETFPPAFQPVARNPIVLDLAYNLIEFPSLDSRMKKDKKGFISRFWG >Solyc12g042500.2.1 pep chromosome:SL3.0:12:58755171:58756334:-1 gene:Solyc12g042500.2 transcript:Solyc12g042500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLKFSTLLLVALVLTSFLIPATIAGSEFCDSKCNFRCSKAGRQDRCLKYCGICCAECHCVPSGTSGNKDECPCYRDKKNSKGGPKCP >Solyc03g058990.2.1.1 pep chromosome:SL3.0:3:29484682:29484855:1 gene:Solyc03g058990.2 transcript:Solyc03g058990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRLLQKDARSVKIKKNKDMVKFKVRCSKYLYTLCVSDFEKADKLKQSLPPGLSIQDL >Solyc03g033800.1.1.1 pep chromosome:SL3.0:3:5441635:5441802:-1 gene:Solyc03g033800.1 transcript:Solyc03g033800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLDRLVRSHSKISVGATRDPFWVVTMSSVTHLNNLKKMKGRKIEKSRISYSHF >Solyc07g052135.1.1 pep chromosome:SL3.0:7:60798751:60801511:-1 gene:Solyc07g052135.1 transcript:Solyc07g052135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGFGGEAEITRRCANHHPSVWGDHFLTYANLLGANEWEEKEHEDQKGGLSYRALVQVYNETEKELENLGNKMTYRVKYSINEMKKLLRAYFQEAKWYHGKDVPTMEQYIKNGIPSSTYLLLATTSWLGMGDVATKDAFDWISNEPTILVASSIIARLLNDLVTHEIEVERGDVASGIECYMNEYGATKEEAYMEIRKIIENNWKDLNRGCLKPTTVPRVLLMPVLNLTRVAEFFYKDEDAYTFSKNNLKDVISMVLIDPIKA >Solyc02g036350.3.1 pep chromosome:SL3.0:2:31052614:31054844:1 gene:Solyc02g036350.3 transcript:Solyc02g036350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate oxidase [Source:UniProtKB/TrEMBL;Acc:A4ZYQ6] METFPVVNMEMLNTEKRAAALEKIKDACENWGFFEVINHGISHELLDTVEKFTKEHYKKCMEQRFKEMVASKGLEGVQTEIDDLDWESTFFLKHLPVSNISEVPDLEDDYRKIMKEFADKLEKLAEQLLDLLCENLGLEQGYLKKVFYGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDDKWIDVPPMRHSIVINLGDQLEVITNGKYKSVEHRVIAQPDGNRMSLASFYNPGSDAVIYPAPELLEKEEKENTIMYPKFVFEDYMKLYAGLKFQAKEPRFEAMKAVETAVNLGPIATV >Solyc03g033510.3.1 pep chromosome:SL3.0:3:5072730:5075300:-1 gene:Solyc03g033510.3 transcript:Solyc03g033510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADIVKQILAKPIQLADQVTKVADEANSFKQDCADIKSKTEKLAALLRQAARASNDLYQRPTKRIIEDTEQVLEKALSIVSKCRANGLVKRVFTIIPAAAFRKMTSLLENSIGDVSWLLRVSASANERGDEYLGLPPIAANEPILCLIWQQIAILYTGSSDEKSDAASSLVSLAQDNDRYGKLIIEEGGVGPLLKLLKEGKMEGQENAAKAIGLLGRDPESVEHMLHAGVCSVFAKILKEGPMKVQSVVAWAVAELVSHYPKCQDLFQQHNIVRLLVSHLAFETVQEHSKYAIVSKATSIHAVVLASNNNSNVNKGNEDDGKIRVPHPLGNNKSNQMHNVITTTMSMKGLTKTPQENLVNGVNQTLNQLSKVNGNNNVMKQQHQNQNSVCSAGASNKGRENEDPATKAYMKAMAARALWKLSKGNSSICRSITESRALLCFAVLLDKGTDDVKYNSSMAIMEITAVAEQDADLRRSAFKPNTTACKAVVDQLLKIIEKGDSDLLIPCINAIGNLARTFRATETRIISPLVKLLDEREPGISKEAALALTKFACSDNYLHKDHSKAIINAGGTKHLIQLVYFGEQKVQSPALLLLCYIALHVPDSEALAQAEVLTVLEWASKHAYLSQHEKVERLLLEANSRLELYQSRGSRGFH >Solyc02g068490.3.1 pep chromosome:SL3.0:2:38992818:39001166:-1 gene:Solyc02g068490.3 transcript:Solyc02g068490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSMRDVQLTVTGKSSSAAAEDDIDGSGEEVRLLDSYDEVNLDKLDENLRRIQVRVTGMTCAACSTSVEGALMGVNGVVKASVALLQNKADVVFDPTLVKDEDITNAIEDAGFEAELLSEPAASHTNPHGTVVGQFTIGGMTCAACVNSVEGILKNLPGVRKAVVALATSLGEVEYDSTIISKDDIANAIEDAGFEASFVQSSEQDKIVLGVIGISGEMDAQFLEGILSKLHGVKQFCFDRVSSELEVVFDPEVIGSRSLVDGIEGGSSGKFKLVVKNPYTRMASRDLEESSRMFRLFTASLSLSVPVILMRVLCPRIPLLYSLLIWQCGPFQMGDWLKWALVTVVQFGIGKRFYIAAGRALRNGSTNMDVLVALGTTASYVYSVCALLYGAVSGFWSPTYFETSAMLITFVLLGKYLETLAKGKTSGAIKKLVELTPATATLLVKDKGGKVVGEREIDALLIQPGDILKVLPGTKVPVDGVVVWGSSHVNESMVTGESAPVLKEIDSVVIGGTINLHGSLHIQGTKVGSNTVLSQIISLVETAQMSKAPIQKFADYVSILSVILLKSIIASIFVPTVVTMSLLTFFGWYVAGVLGGYPEEWLPENGNYFVFSLMFAISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGDALERAQKISHVIFDKTGTLTQGNAKVTTVKIFNEMDRGEFLTLVASAEASSEHPLAKAILEYARHFHFFDEPSNTSELQTYSEQAKFSGWLHDVSDFSVLPGKGIQCSIDGKWILVGNRKLLTENGITIPSNVENFVVELEESARTGILVAHDNIVIGALGIADPLKREAAVVVEGLIKMGVKPIMVTGDNWRTARAVAKEVGIQDVRAEVLPAGKAEVVRSFQKGGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAAEYVLMRSNLEDVIIAIDLSRKTFARIRWNYIFAMAYNVISIPVAAGVFFPFLKLELPPWVAGACMAMSSVSVVCSSLYLKRYKKPRLTTILEITIE >Solyc12g099880.1.1.1 pep chromosome:SL3.0:12:67817283:67817606:-1 gene:Solyc12g099880.1 transcript:Solyc12g099880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSGQFSFLLFPCFCLLSFSSSIPHPCRKDQCSALLKFKKMLTVDTSLVCCSYTSTWNMSRYCCSWDGVVCNDMTGFVTELDLSLFRDESLNLSNSSLHMLEEYQGP >Solyc11g011850.2.1 pep chromosome:SL3.0:11:4794954:4807174:-1 gene:Solyc11g011850.2 transcript:Solyc11g011850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferases [Source:UniProtKB/TrEMBL;Acc:K4D5Z0] MKQLAALQQGRRSNSFRGSSALDSSSDGSVKSPATIFWLVLHGVCCLISLVLGFRFSRLVFFLLFTNSATTPNSIYSATSLFHDPGADVAVKTEMTSFSGGEDQLNRTSVSSSRVVVGRHGILIRPWPHPNASEVMQAHKIMEIVQREQRLQYGVKSPRTVIAVTPTYVRTFQTLHLTGVMHSLMNVPYNVVWIVVEAGGTTNETASLIAKSGLKTVHIGLREKMPILWEDRHKLEAKMRLRAMRYVREEKLDGIVIFADDSNMHSLEFFDEIQKVKWIGALSVGILAHSGGVEEEISTVQKEEDKNLQLPVQGPACNSSDHFVGWHTFDSSQYVEKSARYIGDRAVVLPRKLEWAGFVLNSRLVWKDAEDKPEWVKDLDDVVGDREDVENPLSLLKDLSMVEPLGSCGRKIMLWWLRVEARADSKFPARWIIDPPLDVTVPAKRTPWPDVPPELPSGEKLVTMQEHTEKRPPKTRSRKRSSRGKRKHAAKNIDDHHSTRQSAENK >Solyc06g072445.1.1 pep chromosome:SL3.0:6:44829699:44830227:-1 gene:Solyc06g072445.1 transcript:Solyc06g072445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIEVTEINSEERHTMLSEYIKSIAFEITKVFQKRDEVEVASQVYGFIGSYYDAAILSPVGAYHYKIKYKNLLTRPGRDGQCSTDPPCSLSESGFRLYDMVDVFANDGWWFGFISGKIGEEYYVYFPTTADNIAYPRDVLRFHQEWSNGKWIFLPMEHFYLYNNRLLYIF >Solyc09g065110.3.1 pep chromosome:SL3.0:9:63205222:63210502:-1 gene:Solyc09g065110.3 transcript:Solyc09g065110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGEGETDFTVIETASDTLDSSIKFHLVTDILGFVLFMHQQIPSKREVRMGIRRLDKLMNTISSLRTALQLMITEFPAIERVILILGSSPLRPLNVYELNFSCETAASGGEFTRNRVVETLSKKAIRVLVSKGAGSSSSVGPTKLFLFVEAPSSVNLHLHFLPKRDFRYSKKIVPFKVRFSCRTKNLEREVCADDTHPTKAITSHSSNVDDVIWFQCRHIIKGLASRASPTEE >Solyc12g042715.1.1 pep chromosome:SL3.0:12:59081710:59084235:1 gene:Solyc12g042715.1 transcript:Solyc12g042715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYEDSFSVDRFIYILILWIQSVSVLYYCIAAAAAAPARTAVAAPTTPTPSEPPTGKNSATASRQTPLHFLLRRPSKFNKPLVYFGALKNDLNRSARDSMKLGIN >Solyc08g008060.1.1 pep chromosome:SL3.0:8:2545648:2549160:1 gene:Solyc08g008060.1 transcript:Solyc08g008060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIFGRKGNSGFSCCSTAEEVTKGINGFGLTAIVTGATSGIGVETTRVLALQGVHVIMAIRNMGNGKKIKEKIVKSIPNAKIDFMELNLSSMESIRKFVKEFNSAGHPLNLLINNAGVMIPPFTLSQDKIELQFAVNHLGHFLLTNLLLENMKNTAKNSKKEGRIVNVASAAHDFAYSQGIIFDKINDKESYHRFHAYGQSKLANILHANELAIRLKEEGVNITANSVHPGPIATNIMRYDNILHGIVNWIGRYVLKSIEQGASTTCYVALHQQVKGVSGEYFSDNNIATNKTTSLAKDLDLAKKLWEFSLDLTK >Solyc01g095120.3.1 pep chromosome:SL3.0:1:86381954:86389466:1 gene:Solyc01g095120.3 transcript:Solyc01g095120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALHSSLIKPLIRLHCPFSTPIISLSPLRKQFPYLHSSATTSLQKLTAMADNKTAAVDGNFTSDHVSDAWYSVPDLRLRDHRFTVPLDYSIDRCSSTKITVFAREVVAVGKEEQSLPYLLYLQGGPGFECPRPTEAGGWISRACEEYRVVLMDQRGTGLSTPLTPSSMSQVNSAEDLANYLRHFRADNIVHDAEFIRKRLVPDAGPWTILGQSFGGFCAVTYLSFAPKGLKQALITGGLPPIGEGCTADSVYKAGFEQLVCQNEKYYKRFPQDIEIVRDVVNYLAESGGGVPLPSGGILTPRGLQLLGLSGLGSSTGFERLHYLFERVWDPLIVQGAKRRISDYFLNAYERWIGFDANPLYALLHESIYCQGASSLWSAHRIRALNDNKFDAIEAAKVGCPVLFTGEMIFPWIFDEVHALRPFKDAAQLLAEKKDWPSLYDIAALKDNKVPVAAAVYYEDMYVNLKLSMETASRIAGIRPWITNEYMHSGLRDAGSRVLDHLLAMLNGKKPLY >Solyc02g087415.1.1 pep chromosome:SL3.0:2:50493140:50494309:1 gene:Solyc02g087415.1 transcript:Solyc02g087415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGWTAIMTHASLHAITRPMVQAIVTAETLNSNSTSGRTTLASLASLEQTAPVEFSSLSYHPISKLCAAELAELDLLTIDSLLLLVFCTSWSEVNPEFKFDGAKTVFLFVGAIEISSLGFSVCWRRTKEVYSPSSSSISSSSALRIVERRWAMMIVVRPTAALSRASCTTRSDSESSALVASSRRSILGDLIMALAIAILCFCPPDI >Solyc01g079320.3.1 pep chromosome:SL3.0:1:78253848:78256312:-1 gene:Solyc01g079320.3 transcript:Solyc01g079320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAGGYTWESYRAKMIRNLTRQISNETRLNLRRLCFTAAEQSGRDSRLYRRLSALGATKGSVTETINAYTREGRVVKKYELEKCIKELRKYKRYQHALEIMEWMEKRGINFSYGDYGVRLDLIAKVQGITAAEKYFGSLSPSMQNQSTYGALLNCYCVEKMTDKALTFFERMDQLKFTNRSLAFNNLMSLYMRLGQPEKVAPLVQEMKSRKVPLCTFSYNVWMNSYSCLDDIEGVERVFEELKQENAKECDWTTYSNLAVAYVKAGHNEKAELALKKLEEEMGPRNRQAYHYLISLHARISNLGEVYRIWGSLKSSLDLTNSSYLVMLQSLSKHNDMDGLKKYYEEWESSCSTYDMRLANNVIGAYLRHDMLNNAEKVFHCALKRSQGPFFLAWEMFMLFYLRKRQINFAQQCMEAIASRIKENKWRPKYETISNFLEYFVEEKDVDGAEEFYKFLKKVNCLSSDVYNSLLRTYAAANRTTDDMKLRIKEDGIEMSCELEELLESVCPE >Solyc10g079790.1.1 pep chromosome:SL3.0:10:61407198:61411861:1 gene:Solyc10g079790.1 transcript:Solyc10g079790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITNFGNTKSPWRRSKSRIKQVSPSDYAATPQHPSSLEVSELSSHCMKATSCSKGKQGHTLDHSPSSRKSSSSKSTCSSTLKDSKFPQQVELHPGLNESDRISKVKVCSYHHCSLNKHSDDDPSPPVKRVYRRRRLLKPQKSRRLESESTNADQFSIEKSNLKQDVGVFGVNEPNVESVFGEERSYQETIDIMRKYSTQEQDTLVSSDVESNDQSVTTSVFRDIEDIEADFCHAVLIKPVDNVVTTGEEVEDINRELHENETSLIYDLVEAKCSTEVSSALASNDTMELVDNLQETDDKANPTEDVDPNASSKKVHVAQLPKEKLRSMWSLIHRHMISEESTELESKVIRGTDEDNHKDGSNKSCAAESSNSFLSCSERESMTTNQDANNEEIEAPKILAVKLVREAIERILLPEVQDHSSDDQLVTSEVCNEENSNESDTKNEECDKADEGIVIRENIDSPHEIQENEERVMNKAEKKAPTHWSNLKRWIILQRFIKELEKLRKFNPRKPRYLQLEPDPEAEKVNLKHQMEDERKSAEEWMLDYALQKAISQLAPTQKRKVGLLVTAFENVVPPRSSNIQVTFPKLETRNEDNMQTAGKGKASVSNADNVREHVDKRDAEDDSSMLKNDDTQKAIVLCQKLNEVASTSSDKGSVEIVEFGDSNDDSQRGTSSTISNLGNDGDETQENNINLSECEAMESSTLSSDENEKITEAEDEDETYRKQVNKQKHISMWHLVSQHILSDVVSKIGNEQLDEVNYNKTLAETNMDNSLHDFSEEKDDMSHNGRSFSRNDAVNLIKEAVSQILTTPIQDDSSNTQSVTSDILPDEEPPKTDHTDCGEQNSTNSLNESLRHRDSPLETTELVANNPITESKFEPPKSKSWSKLKKLILLKRSIKVLERARKVNPQPPQLLPPTPDQEQEKVDLRNQMSNERKKAEQWMLDNAVQRMVSKLTPARKTRVAMLVEAFEAVVPLPEITRLNIAEPCKWWNVRLTLTAGLGGLIFGLRRYRLLGL >Solyc05g012363.1.1 pep chromosome:SL3.0:5:5628088:5628853:-1 gene:Solyc05g012363.1 transcript:Solyc05g012363.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSSKNSHMEAALRVVRYIKGTTGLGLFMPSNNVSEFVAYCDSNWGACIESTKSVTGDIVKLGNALVSWKAKKQNTMSRSSAEAEFRSMATTVAEIVWLKGLFKELGFVDIQLFTQIE >Solyc03g117560.3.1 pep chromosome:SL3.0:3:68178894:68179763:-1 gene:Solyc03g117560.3 transcript:Solyc03g117560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSVLFFLLLAAVATTVNATDHIVGANKGWNPGINYTLWANNQTFYTGDYISFRYQKSQFNVFLVNQTGYDNCTIDGALGNWTSGKDFIMLNDTQRYYFICGTGGCVNGMKVSVLVHPLASPPMLAVPAEHSSKKSSAPAARGFVSLLATSFAFFGLTLCSSVWM >Solyc09g090250.3.1 pep chromosome:SL3.0:9:70259440:70265014:1 gene:Solyc09g090250.3 transcript:Solyc09g090250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGKFKLGRKIGSGSFGELYLGVNVQTGEEVAVKLESTKTKHPQLHYESKLYMLLQGGTGIQHIKWLGVEGEYNAMVIDLFGPSWEDLFKYCNWRFTLKTALMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPIEVLCKSYPSEFISYFHYCRSLRFDDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRARQPIARLPVNPGPSIERPEKIPVRQEIKDRFSGAVEAFSRRNVSGTGLQGDHLRHHRSSDDVPSSKDVQADAERGRVSRTGSTSRRAVMGSSRPSSSGEPTDNRSGRLGSSSGRISTTQRVQPGFESKSSSFTRATATRGGRDDALRSFELLTIGTGRRK >Solyc02g092570.1.1.1 pep chromosome:SL3.0:2:54259931:54261247:1 gene:Solyc02g092570.1 transcript:Solyc02g092570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTESLPPQVSSFSSLMMNKDSSTNNNQIQRARPWPGFHTSKNLGNIGDTNCMEQLLVHCANAIESNDATLAQQILWVLNNIAPPDGDSNQRLTCGFLRALILRAAKIGTCKLLTANLNHSMETHKFSIIELASFVDLTPWHRFGFTAANASILEAVEGYSIIHIVDFSLTHCMQIPTLIDAVSTRVEGPPLIKLTVAGATEDLPPMLDLSYEELGAKLVNFARSRNVMMEFRVIPSSSSDGFSNVIEQLRVQNLIRPDNGEALVINCHMMLHYIPEETTVISNSFRAMFLKAIRNLDPTIVVLVDEDADFTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSKQRQWYEADICWKIENVIAQEGIQRLERLEPKSQWVQRMRNANFRSSGFSEEGVTEVKNMLDEHAAGWGLKRDEEYLVLTWKGHNVVFATAWVPL >Solyc01g009645.1.1 pep chromosome:SL3.0:1:3821591:3824469:1 gene:Solyc01g009645.1 transcript:Solyc01g009645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKNSKIISSNMVMSAGHLCQSMLVRLQRTGKSCRLRWINYLRPGLKRGTFSTDEEEAILTFHGMLGNKWSQIAQHLPGRTDNEIKNHWHSHLKKRMPKLVESEEGHARTYTIENGEFSPSSMKLTSQNSSNMDSIEQIEGSLLADTDQSVSANNEFAKEKYKKNLPKVLFSEWLSLDWFNCQDFKIMNTSNQDLPKNNFGCNDSMFHLDTFAQYDPVLRMNEVITNDINRDFNNMIQQPLKFEDQMFVNDFGEFISGGFNRNVDDVHINYIF >Solyc08g066550.3.1 pep chromosome:SL3.0:8:55356919:55358458:1 gene:Solyc08g066550.3 transcript:Solyc08g066550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGSSSTASNNHHHHPHRRHLMPTQPLADRIIRAVSHHLRLLHHYDTTFFILGATGNVYTVNLSTTPSCSCPDRTAPCKHILFVFIRVLGVSVDDMCLLRRTLRPCELQRLLSLPISTESLANPNVRERFHQLFFQERSKSSVLKIEIEKGVTCPICLEEMNKEERVGICGTCKNPLHEECLMAWKRSNRRRSMKCVICRAKWRDLRANCEQQDKYLNLSAYDDDMQVEDRQSHCGS >Solyc02g090220.3.1 pep chromosome:SL3.0:2:52482018:52482922:1 gene:Solyc02g090220.3 transcript:Solyc02g090220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSDVRATKQQQGGAPAPEPEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPIGGGSRKNAKRSRTITTNSMNSSCLSSTLSPRDYHHAPHPSHVSPFLVPLTADHGGSLPFDVKPSVNMCGSFTSLLSSAQGPGGLLALGGFGLGVEDMGFGLGRPIWPFPGVSHNTSVDNNSNGAGASMYGSTWQLASGGEGGFVGAGGEIFNFPDLAISTHGNVFNCTFLASGVLALEFRTAVPSIDHQL >Solyc03g045080.2.1 pep chromosome:SL3.0:3:11507379:11508500:-1 gene:Solyc03g045080.2 transcript:Solyc03g045080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFLKPRQYFINHKQHYDPFVNNLKGAIPLCLGNTSDQLEVLDLQHISLSGNLHTTFSFGSRLKSLDLSLYTTMDLSSNKFEGHIPSMMGDLIALHVLKLSHILNLSYNHLEGCIPKGNQFDTFEKKILHEGNDRLGGFPVSGGCGSNWTFETNNTTFVLDEASDLTFLSELSWK >Solyc05g023863.1.1 pep chromosome:SL3.0:5:29805836:29806259:1 gene:Solyc05g023863.1 transcript:Solyc05g023863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVGSRWVLKTKLKLVGSVEHFKACFVAKGYNQLIVIDVHDISSVASKLGCAYVYFTFSIHYKLQHYGSKILLWASKR >Solyc04g050975.1.1 pep chromosome:SL3.0:4:49129129:49129502:1 gene:Solyc04g050975.1 transcript:Solyc04g050975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTSICNDAIAKFETRQLTIGELVEPLCVLESMSCDRVISYTCNKYVKIDKVYKNKVTLKFVMKNYAIKNRFQYRTVRSNGISYTLKCIFDERE >Solyc04g009580.3.1 pep chromosome:SL3.0:4:2975792:2980387:1 gene:Solyc04g009580.3 transcript:Solyc04g009580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGLKLKAVASIYGHMPYNFYRTFSSTAAPTTNLPVVPTHIYRSRRISTPAPAFSKVSIFSCQGEKEEYAPFSVRNISTTGMGYTPGNKEDHHREKTLRSSPSSSAPSKSAKLLTLPTILTIGRVAAIPVLVTTFYVDSWWGPTATTCIFIAAAITDWLDGYLARKMNLGTAFGAFLDPVADKLMVAATLILLCTKPLEASLFGQFPWLLTVPSIAIIGREITMSAVREWAASQGGKLSEAVAVNNLGKWKTATQMTALTILLLTRDSSLSGAGTFVASGVILLYVSAWLAVWSLVVYMRKISKVLLM >Solyc02g093900.3.1 pep chromosome:SL3.0:2:55236747:55242895:-1 gene:Solyc02g093900.3 transcript:Solyc02g093900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGRAVHRLLGRKFQSESTASPILSSFVSKKAQEEFGSFGMKSFRTLALIGAGVSGLLGFATVASADEAEHGLECPSYPWPHAGILSSYDHASIRRGHQVYQQVCASCHSMSLVSYRDLVGVAYTEEEVKAMAAEIEVADGPNDEGEMFTRPGKLSDRFPQPYPNEAAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLNDGAVEYEDGVPATEAQMGKDVVSFLTWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLRWSVLKSRKLVLDVVN >Solyc03g112410.2.1 pep chromosome:SL3.0:3:64299804:64302568:1 gene:Solyc03g112410.2 transcript:Solyc03g112410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:K4BK95] MDSSVVEGGASLRSTGTDATKRRVSYFFDSSIGEYDYGEGHLMKPHRIRVAHNLILNYNLHRKMEIIEPFPATKEEIGSFHSSDYVEFLSSVSPETINDKYDSYQRRRFNVGLDSESFDCPVFYGLFDFCQTSSGGSIGAAAKLNRNEADIAINWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHKRVLYVDIDVHHGDGVEEAFFTTDRVMTVSFHKFGDFFPGTGHIKDIGASTGKYYALNAPLGNGIDDESFRSLFRPVIQKVMEVYQPEAVVVQCGADSLAGDRLGVFNLSVKGHADCIRFLRSFNVPLMMLGGGGYTVKNVARCWCYETAVAVGVELDNDLPYNEFYEYFAPDYILYHESLHMKNENSPSELERIRNTLLEQLSRLPHVPSVPFQVTPSVTEVPEKEDENMDQRPKPEISQDYDTDDEEKSNNGKFSNYYNL >Solyc06g064530.1.1.1 pep chromosome:SL3.0:6:40310066:40310233:-1 gene:Solyc06g064530.1 transcript:Solyc06g064530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRFPTGRRNEEGRRKLILPKNRKLSAIHGKMDMELDVFRPTESELFTFLLRFIV >Solyc12g010120.2.1 pep chromosome:SL3.0:12:3251243:3256851:1 gene:Solyc12g010120.2 transcript:Solyc12g010120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4DC99] MEGGNRSRSKSSRNNGVVATGSVWENRMKLDEVKGGFKVFSNSSIEETPDENDDKDCVFISGSNTQVDKKLDMGPKRENLDVGVVSGKRKTWKTTESFEGNPIQIASKKTDLSKSLDGKSRDLSVSVDGVVGITKKNLKNESFEGNPLQIANKKSDLSKKLDEKCKDLRKNLDEKCKSLSVSVDGVVGITKKTWKSESFEGNPFQIASKRSDLRKNLDEKSKDLSVSADEVCKKAPIQKKKSSELRKLKSDQSVNGNVKKSNLEDSIEIKKTKSEEFGMCEEKFITSNVVSEAESVKKLEKNLENEDDDDDDDEWDDVLEEEIDEGNDKRSVEVKEIRVQEQNKLKKIVIEEKRFQYNNKRQMPITSINMKQSPPTLGNANIHQSATRTKSDEFQSKQHSKLQSLVDLVMWRNVSKSALVFGIGTFVIISSSYTQDLNISFISALAYLGLIYLAAIFLFRSLIHRRAVDVGESSECVVEEEEVMWILKLILPLINEFLLKIRALFSGDPSTTMKMAVLLFLLAKFGNYITIWKLYKLGFFGVFVIPKVCSSYSTQLTSHGTFFIRYIRDAWESCTHKKAIGFAIFTLVWNFSSIIARIWAVFMLYVGFRYYHQTLMREDTINSDDFLQGKISGLKQIGKKSNFMDTRKQKKAF >Solyc05g055060.3.1 pep chromosome:SL3.0:5:65698144:65704224:-1 gene:Solyc05g055060.3 transcript:Solyc05g055060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4C2I3] MDQNVLDDIITRLLEVKGKPGKQVVLTEAEIKQLCMVAKETFLRQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGLPPQSNYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPDLNHLDQIRGLQRPTDVPDAGLLCDLLWSDPSKDVQGWGMNDRGVSYTFGADKVTEFLEKHDLDLICRAHQVVEDGYEFFANRQLVTVFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKSKFSFGSTTTAKPGSPTGMKSFFNSKA >Solyc03g062950.1.1 pep chromosome:SL3.0:3:34750384:34751820:1 gene:Solyc03g062950.1 transcript:Solyc03g062950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDHFAHVAEVLPRDGDLRLVILGTFQQPASNRNNYGVRSMIVEAMNTVERKGVVTLEEGRSVENNLRVVQGIDRVNILEDDVGNGYRISSRRIELQALETLVVLELRGALEVAELKAPGFGERKS >Solyc09g061290.3.1 pep chromosome:SL3.0:9:58837990:58844996:-1 gene:Solyc09g061290.3 transcript:Solyc09g061290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSNWEADKMLDVYIHDYLLKRKLHNSAKAFMTEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIETQQMKAREHQHQQQLQMQQLQLMQQRNAQLQRRDPNHSPHGGPINAINSEGMMGQPSASVLAMKMYEERMKHPQSMDSETSSALIDPNRMALLKSATNHQGQLVQGNSGNMLQQIQGRPQMPTDIKGEVNLGGTHKSLPMDPSSIYGQAILQSKAGLGAGLNQGGTTLPLKGWPLTGIDQVRPSLGLQVQKPNIQTQNQFLLASQQQQVLAQAQAQGNLGNSPNYGFGGLPRGNFNAKDGQPPRNDGSICSPVQSNSPKMKMSQMQHSSSQQQDQLQQQQQQLQQTNRKRKQHSSSGHANSTGTGNTVGPSPSSPQSTHTPGDGMTSMSKGLIMYGEGTGGIASSTNQLDDLEPFGDIDNVESFLSQDGGDGNIYGSLKQTLTEHKPETSKGFSFGEVGCIRTRNKVTCCHFSSDGKLLASAGHDKKAVLWNMDTLQTETTPEEHQYLITDIRFRPNSSQLATASFDKSVRLWDASNPSYCLHAYTGHSYHVMSLDFHPKKNDLFCFCDSNNEIRYWSISPFSCTRVSKQGGSAQVRFQPMTGRLLAAASDKMVSVFDVENDRQIHSFQGHPGVVNYLCWDLNGELLASVSEESVKVWSLATGDCIHELSSTGNQFHSCVFHPSYPALLVIGGMRSLELWDMVENKSMTVPAHENIIAALAQSPATGMVGSASHDSSVKLWK >Solyc08g048540.2.1.1 pep chromosome:SL3.0:8:12245638:12246261:-1 gene:Solyc08g048540.2 transcript:Solyc08g048540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKELETYLSDVGSSNQFETNSSKYVSHVKKLLYRRMLVGISDGRFFLGTFYCIDKQGNIILQDAVEYRSTRRSAPSPMEQRVLGLILIPSSCRKTCHVDCSIDEQLSLMSLGEQKS >Solyc01g057280.2.1.1 pep chromosome:SL3.0:1:60314655:60315327:-1 gene:Solyc01g057280.2 transcript:Solyc01g057280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITRLKQKKDQNIQVLHSARLASSPSSVKDSGVQGSTVNIGSSSSPGNYSVTAATSAAMDIDVVFSRPFELIDEITEASPDAEDGLQLGDQIVRFGNVQPGENLLQCLAAKDQSNKGCVFTMTILRQGAMTNVQVTPRVWLGRGLLGCNFRIL >Solyc08g062060.1.1 pep chromosome:SL3.0:8:50427496:50428419:1 gene:Solyc08g062060.1 transcript:Solyc08g062060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVELSESANHNKSKTKNEEESNDEESCEEVSDYEECKLWDLVPPNVKVAMYPHQCGGFEFMWKHIAGDITFERLREPLSKSRGGCIISHPPGTGKTRLNIVFLQSL >Solyc10g080780.2.1 pep chromosome:SL3.0:10:62109247:62112705:1 gene:Solyc10g080780.2 transcript:Solyc10g080780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMGDSEGHRIVSSTALWRGDRRPAQIVQVSQLEQDIERAPQQGESGPVAFDTDYIRWLEEHDKHINELRNAVKSHARDPELRRIADNVTAHYDEVFRMKGNAAKADVVHVLSGMWKTPAERCCMWIGGFRPSLLLKLLVNQLDPLSEQQVADFNNLQLSSHHAEVALSQGMEALQLSLGETLAMGSPFTESGNVATTYMCQMAMAMGKLMTLEDFLRQADSLRQLVLQQIYRILTTRQYARALLAINDYFSIFRVLSSIWLARPREQ >Solyc01g088010.1.1 pep chromosome:SL3.0:1:82726844:82727623:1 gene:Solyc01g088010.1 transcript:Solyc01g088010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTRVYHPHATCHTQISSTAIAKSLTNTSRINYSVIYYVRKKEKMQRQPERKKEQEKEIRDHENDNKNNEDEIPLMALNHVSRLCKNVEKSVEFYTKVLGFVLIERPQAFDFDGAWLFNYGVGIHLVHAKDDEDYKLPNHTDNLDPMDNHISFQSEDMEGMVQRLEEFKIKYLKRTVGEEEGAAIDQLFFKDPDGFMIEICNCENVKLVPQRSIGRIKFPSDRHNPPVELGNDDK >Solyc04g028580.2.1 pep chromosome:SL3.0:4:14819092:14826340:1 gene:Solyc04g028580.2 transcript:Solyc04g028580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDIPEWLKGLPLAPEFRPTDTEFADPIAYISKIEKEASAFGICKVIPPLPKPSKKYVLHNLNNSLSKCPDLNSAGAPVFTTRHQELGHTEKKKFPFGAQKQVWQSGQLYTLDQFETKSKNFARTQFGIVKDISPFLVEAMFWKTAFDHPIYVEYANDVPGSAFGEPEENFCRTKRPRNRKILDRTSSTTSVDKGRSHHSVDTPSSSLLTPLSNSSPFRPKGCSNAAEMEGSAGWKLANSPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSLNFLHTGSPKTWYAVPGDYAFSFEEVIRCHAYGETTDRLVNLGHKALKFASGKAKATYTEQHEDFVVRLCTSNHEIGCLGEQAALALLGEKTTLLSPEVLVASGIPCCRLVQNPGEFVVTFPRAYHVGFSHETEIFIGLRTYDSLWRS >Solyc07g052750.1.1 pep chromosome:SL3.0:7:61330894:61331919:1 gene:Solyc07g052750.1 transcript:Solyc07g052750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKKVAVKEVVTEDIVTGDQQDFQSKYPRLAASFGSMAGMSTMYPNGSSFLKEKMSLIATDKAEMLEEKWKKLEDDEAALMVKRLDLIAEHFKLVVDAMRGPLHSN >Solyc03g117110.3.1 pep chromosome:SL3.0:3:67843071:67848592:-1 gene:Solyc03g117110.3 transcript:Solyc03g117110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTSSKKGSTGSAASTNPAADLFRSASSKAASKELERIDQLFHTYASSSSGMIDPEGIEFLCSDLEVEHTDVRILMLAWKMQSEKQGYFSLNEWRKGLKALRADTVQKLKKALPELEKEVRRPSNFVDFYSYAFQYCLTEEKQKSIDIESICLLLDLVLGSQFRPQVDALIQYLRMQVDYKVINMDQWMGFFRFVNEVNLQFTLSILLITLFVSSSYMSNLVFVQQISFPDLSNYDSTLAWPLILDNFVELMREKQG >Solyc12g056540.1.1.1 pep chromosome:SL3.0:12:63437439:63437849:-1 gene:Solyc12g056540.1 transcript:Solyc12g056540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4DFY5] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDMQLARRIRGERA >Solyc10g052777.1.1 pep chromosome:SL3.0:10:53423712:53432154:-1 gene:Solyc10g052777.1 transcript:Solyc10g052777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALSTYRMSLFSIPRSTEKIINQMRRRHSLMEETHHREIWTPKPMENGRCGRFSKTNEPFVLVDQVSQVFYVDDNSNKGLQVVRKTRPHDSHEIADQTDDIYIHPLTFKMKPLKIENEVDSNLKNTIIYTFVAPAFCILYFILEEVLQGRSRDKDIKTLPCPLVRDTNDA >Solyc11g020555.1.1 pep chromosome:SL3.0:11:11560263:11580630:-1 gene:Solyc11g020555.1 transcript:Solyc11g020555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESIRANILNRETLSNIDLVFGELIREDMHINTQASWIRPTQLTWLYVHQKMIQDSVVVALSGAVSSAITVANSKVWHNFYGLWVEDDCFLYECWLVSLRDALVIPRGSLVRPIAAIKNYFKNGSTDEALEMLERESEGGHKAATYVFALISIFLRGETGEHGIETIGHMKGMQQQRILTRECQQSLRQILGTICI >Solyc01g091220.3.1 pep chromosome:SL3.0:1:84773603:84775594:-1 gene:Solyc01g091220.3 transcript:Solyc01g091220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISAPDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Solyc11g064780.2.1 pep chromosome:SL3.0:11:50239486:50242715:1 gene:Solyc11g064780.2 transcript:Solyc11g064780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQDQLSGGCRDTGNSKSLLIENSSQKKTKIDIECEEIDRISQLPEGLIVQILSRLSIKEAFRTSILSKDWQYFWTSIDNIVYEEEYGRPDSSTETVHKFIFVTDNVLPLLSCSSIKKFSLNIVFTNDNDVVSYYPIIDKWLEFATSKKVEDLCLNISYRYLDTSPPQPYSLLQVLCSSSSIVTLNCEYCILSEDCVLNWTSLKSLKMQSLYLLDEHIKQISNCPQLESLELHDFFGFSRLHMTSPKFRRLQLTQHYFPYTEWYSYEGDICCLEIIAPYVEHLTITGILSHTKIKLGDMPSLNHATFNLYCDEHNEMDLNIEKDLLLRVCCANELILSSRFIKVISNLMLEEEHVSLPLMECRCLTMSSRISKLSFPMLDNLLRSTHNLENLMIFPDKPYHPFFEVEDTDLPEDKYLSLEENIFKDFLQNLKNVKVMPFCSPKRRSDATELHQFLKFLLEHAINLEKLVIVPEHKECNSCSTNTSCLMKYLLDFPTFSISAIISLGPVSHNVFYNDV >Solyc01g020406.1.1 pep chromosome:SL3.0:1:30336410:30341930:1 gene:Solyc01g020406.1 transcript:Solyc01g020406.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEKLITLKTSDGEEFKLEEAISVRSEVIKNIVQDVDCTSNSIPLLNGDVKTMKTIIKFWKNHSEEGFTEDQLKNFNQDFLKMSSLELLVNDSNDVITYNVPSCFEESTSITIRTKASQELDLMLITKQRIILKEACKSCYSNSSLFTNDLGPSYWLPPNLSTTFNTELKSPETIHGLTQIELWIPGFHNKRFNISQLNHSDRDLTLHLRSHITNLNPIFDTLDILKLSRIDALLIGISASTWSAQDLTPLFEEKSLLELADVCTTSTWCSDASNSEISVVILGVQLIEPSCRDVLCLAFLPVPFAPSCSLFHFEEVFLNFY >Solyc06g065080.1.1 pep chromosome:SL3.0:6:40717507:40718787:1 gene:Solyc06g065080.1 transcript:Solyc06g065080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDCGNFKRVYDSDDELWAENRSKRLHDPLLMKNNNVHEPKKKTGEIKKKRPRKVTSTVSRPTFPKNIIGTTYRMYHIHLKSITWFSVLRSIIWACTMLLKKFRRIHYRDYGLYVATFAEFLSDQLVIPPDTDGHLANYLCNRYAALLWRYDSDKVNGGYISDNDDTSKPKGQFTTPTEDDIVNIDC >Solyc04g050807.1.1 pep chromosome:SL3.0:4:48326569:48327149:1 gene:Solyc04g050807.1 transcript:Solyc04g050807.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLHYFLGIQYLTLTRPEISHVVNVLYQFMQNPSEDHWLGVKLIIRYVAGTSHIRLCIMTNSSLHLVEFSDADWVGCLLTRTFTISLCIFLRANCVSWASKKKHTVDKSSSVQIICVSCYITHVDYIYFEGY >Solyc12g089320.2.1.1 pep chromosome:SL3.0:12:65427919:65431260:-1 gene:Solyc12g089320.2 transcript:Solyc12g089320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEDFFTLTEMSNGLTTPSRVQELLSIMQKERECDVKNAGEITKQWSAVASTIAATENKECLELFIQLDGLSFIQSWLRDALRFGNETGEYFVDESISHLIDLLLRAVERLHVDDKKSVSSGIWLTVKSLLGHNNSKVQERAKTLLDSWNNGKDDCMVSAGIEKVQASINDKTRDTANLVGENGPSEQSSVEGGSGEEKTKEHVGNSRTLSSRSDIHQSRIGDTATSNQNLEHTHMKDAFPGSSLSNSVTEGVKGEHPAHHAECATNAIDTSNASTSIELRPGPVDEQADVPVSDSINHSSHIKEVGGFEKFNSAVSKSLEDRTISLVTDIREALDAIAGSDLQKQTDVYNEKICSGNSTFGDVSVAVPKGKTPVDDSKPDNHGSSKIVLEAKENSKCNNDVLQDSDKHNLEHPIDSVVGQADKHTSDNSEDKHTSDNSEDDMENESEFQEAGKGGRDNGVFGKKSDIDFDYGIMDPLELARQVAIEVEREVQSCSSSEKIEESKIHEPGSPVSVSAKQSQKRIECSNKEVSRGMAPSTEASLANSEVRPINGTVKVESSQVVDATLDLETNVDKGLCTFDLNLEVCSDDMDSPGNPISSSVSVVSASRAAAASGVPATPLQFEGTLGWKGSAATSAFRPASPRRIPGGEKAVSSGGNASSSKQMQCFHDIDLNVSEGGDDRVADLFPEKKVSLSSALPLGKSSREASPRKSEMLEWDLNCASEEGEAPSDWRMEGSLLSLRNGHPSQSPSSSSSSKQPSLRNFDLNDQSSFLNDFSNLNNFKKPPQNSNASGGIKSGDTVVSIMGVKVEVNRKDYAAQSSPFPNGRVAENAVEHNVARGGGVLGMGSPFQYTPLPAFGYSGIAPVPPAFSSSMYGPSGHIPYLVDSRGAPVVPQIGGSTSAIPPSFSQQSFILNMGSAPVPNGVWPSRSGLDLDTGLVLDRGNKDTGGLRQLFDQGQARTMDEQFRIGMQPSTSLSIGGKRKEPDGGWESSPFKHHPPPWK >Solyc05g055343.1.1.1 pep chromosome:SL3.0:5:65871846:65873180:-1 gene:Solyc05g055343.1 transcript:Solyc05g055343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTNLSVLMFPYLAYGHFTPFLELAKKLSDRGFLIDFCSTPINLSYIKKKIPHKYSCSIQLVELHLQDLPELPPHYHTTKDLPFHLQTTLYKALMMEEAQFHQILKDQKPDVLVFDIMQPWSVRAASSLNIPAIKYCIASAATCCYFGNLKLKPGVEFPFTALYLKDYEQEIKRHIELQVEEGGENIMLLNTSRAIDAKYLDYLSEIGQGNILPTGVVIQDLEDEGDIEETQLIKWIGKNKEHSTVYVSFGSECVMTKEEMEEVAYGLEVSNVHFIWVVRIPRGEQVVRLEEVLPQGFLERIGERGRIVEGWVPQAKILKHASIGAFVTHCGWNSTLESIEFGVPIIALPINFCSDQPINARLVVEIGIATEIARDGDGKLHRGYIAETIKEVIFGKEIGENLRKKVNSLRENVTLLREEHMDGVAKVIKQLREKKNQSRSA >Solyc08g022120.3.1 pep chromosome:SL3.0:8:32256192:32259528:1 gene:Solyc08g022120.3 transcript:Solyc08g022120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVENHSSPPSDPAPTDPTTPHPQTGFNPSRMIGIIRRKAMIKDLAAIYHAECLAYCQELLELQKKAEESLIEMKAAEESRRETMRPPKRMKKAR >Solyc07g065780.2.1 pep chromosome:SL3.0:7:67540788:67544277:1 gene:Solyc07g065780.2 transcript:Solyc07g065780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHMAQDEIEDLSLSLPTVGSMQIAGSNGFGHNIEFMSQAYLRNRSSEIDIEVEHDTSIAHIDQPLPIFLKFANVEYKVKTNQAFSNNPVKAVVSKVASQFENDNYKHILKGITGSISPGEILALMGPSGSGKTTLLKILGGRLHENVKGTVTYNDIPYSPALKRRVGFVTQDDVLFPQLTVEETLVFAAFLRLPCKMSRRQKYERVEVIIKELGLERCRHTRIGGGLVKGVSGGERKRASIGHEILVDPSLLLLDEPTSGLDSTSANRLLQVLQGLAKMGKTIITTIHQPSSRIFHMFEKILLIAEGYPVYYGKARDSMEYFSSLGFIPEIAMNPAEFLLDLATGQVNDIIVPDDLYPPQVTNEREKIVIRYLQHKYKVLLEPKENHQLSKAPEHLQMAVKVRKDWTISWWEQFRVLSKRTYRERCRDYFDKLRLVQSIGLMFYICIFWTSSSIFGAVYVFPFEKMYLVKERKADMYRLSVYYVCSTLCDMVAHILYPTFFMCIVYFMAGFKRTVQCFFLTLAATLLIAITSQGAGELFGAAVMSIRRAGMVASLILMLFLLTGGYYVQHIPKFMRWLKYLSFMYYGFRLLLKVQYSGDDLYNCESKEGCRTLQSSPSFDTVGLDGGLKEVWVLLAMAIVYRFLAYICLSRKINSCNL >Solyc12g010650.1.1 pep chromosome:SL3.0:12:3593841:3596369:1 gene:Solyc12g010650.1 transcript:Solyc12g010650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRFRGKCEALSRFHCFSSEYLYSTSTRNNFFVKYLINSLGFSKEEALLASTKVNSWKFSKKNPDLVLNFLKQTGLDNTQMKILVSTSPKFLFYDVSKTLKPKFQCLMDIGLSGSDLVNVIAKDSTIVERGLVTHLKPTINCLRRTLGSDENVVKAIKRTSWLLSFGGRNVMGTNLLLLKNYGVSDDSIQKLVLRNPRYITQKPEWVKDLLHRLEKDFRLSLDSSMFPYGFHTLASQKKARCEKKLEIFKSFGWSDDDILTMFRKLPYCIALSEVKIQKGLSLFMKELGFESAYLASHPSILIYSMEKRVVPRMQVLKILDEKKLERRKVALYTVVSLKEKKFIETFVLPYKDQVYMLFLFVHLVKSDILSRWVVIVWLLTPCKKSYIEANLNLVLLYDWLFFDEH >Solyc01g079520.3.1 pep chromosome:SL3.0:1:78417851:78456152:1 gene:Solyc01g079520.3 transcript:Solyc01g079520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGKCTSRNGASSSNMAHTRFLNRVYTKSLFEEEERFTEHATIKDVNIDLREVYFLIMHFLSSGPCRKTFGIFCDELLEHELLPRRYNAWYSRKGVLSGDDDNDISFLLNYDDLMLSSSLISDETEPHHCHICHLRQLRIGQFADDRYPHVEKDHLVKLLKQLLLNTGPPMQCGGGDAPGAADVPTLLGSGPFSLLTCERNRVKKQAQSLPSYLRWPHMPANQVHGLTLREIAGGFPKHHRAPSIRLASYAVAKPSTMVQKMQNIKKLRGHRDAVYCAIFDRSGRYVITGSDDRLVKVWSMETGLCLASCRGHEGDITDLAVSSNNALVASASNDYSIRVWRLPDGLPISVLRGHAGAVTAIAFTPKTSSVYQLLSSSDDGTCRIWDARSSQCVPRVYSPRPKDNVSVRSSGTAATNLQSSSNTSHSHQILCCAYNANGTVFVTGSSDTLARVWSACKFSPDHPEELNHEIDTLSGHENDVNYVQFSGCAVASRSSTSDSFVEDCIPKFRNSWFSHDNIVTCSRDGSAIIWTPKPRKSSHGKHGRSWGKAYHLKVPPPPMPPQPPRGGPRQRFRPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNASDGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTILWDIWEGIPIRTYDIGRFKLVDGKFSQDGTSIVLSDDVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIQDAQGNVLDQETQLAPYRRNMQDLLCDASRYSLLSAFSTYQRRRLGALGTEWRPSSIKFSVGTDGGLGLGYQVLPVADLDIIAEPLPEFVDTLFWEPDNVILNDETDSEYNMNEELSAEEEHECLRDGSSSGSVCSEEQKVEAASSGRRLRKKVKDDDVGTSYRSLRTRKSRNGQKATTKRKSTKPKSFRSHRGAAHPEIVYQQYDISSDDEDEASSEDDSLETESLECWSSDQSIASDDKLTSTPRSYPTGGAIDVPAKSTEPPTNGENKRRLVLKLKIRDANKLELSKDTAAQCGDQADKPCSSQAGEEITEDNVVNLRLKEPGSSSAGEISMKLFGKYSETEHMVNDKEPKYVLNEQVDRKPSAGLDIQNLALADNLMAEAQTNLGQTEVSSLLAGNGPGDALCFSGVAKSSSLLHSSSSPSHQLQQIGVGPGANKLTTTDDNPEVNLKPRVKPTIIKIKSKKMSREFLTHSEFNPPTDAYCGAESTSKNFSHLEQNQVPETGNGPDRFSQNLHWGVLMDDSVGRNKSHGSRSGLCSSHDICGSASNASNDHNETGSEFPHAATDAARRKRSLRFTAMSRDAAIGKDDPKIRESHVAVGSSRSTEKLTKKATGSPPLGWTSTDVFKCRSSRNAKEGSSRDENVFSSGMSLNEAVKKLNWLLLSEREEGYRYIPQLGDEVVYFRQGHQEYIEYSDSSERGPWTKNAAAVQAVEICLVKHLSYATLPGSGESCCKVTLQFIDSSSPVSGQKFKLTLPELVNFPDFLIERSRYESAMERNWSYGDKCLVWWKDESEQGGKWWVGEVVSVKAKSDQFPDSPWERCGILYEGEVEPHPQSPWELHDVDSSWEQSQLDLESKNRVLSSVTELLQSASRNQDNFGILKLKQVAVKLDFMNRFPVPLSPEIIRLRLENNYYRSLKAMKHDFSVMIANGEAYFAKNRELSLKMKRLSDCAKRKITVPISAVVDLKPSYGQEVVDKRVKVYWPLDKIWYEGCVKSFDSSSGEHLVKYDDGDEEMIDLAEEKIEWVKAPSVEDDSEDEDWGKDAAKLVSEGEDASEDMDLEIEEEDDGVVGPKSRKVSGSKVVARKRKTGEGEKLTPSSSKKSKTLADKRSANSKMDSAVIGVNGKEPTATNEDCAKASNNVNVLLCGAADRFGQRETQKFPFLGKDRKDANRRSPDDADYDPRTIYLPPNFLKGLTGGQRQWWEFKSKHMDKVLFFKMGKFYELYEMDAHIGAQELHLQYMKGEQPHCGFPEKNFSMNVEKLARKGYRVLVVEQTETPEQLENRRREMGSKDKVVRREICAVVTKGTLTEGEMLAANPDASYLMAVTESSLTAAFQQEKRTYGVCMVDISTGRVIIGQFEDDSDCSALCCLLSELRPVEIIKPAKLLSLETERVLMRHTRNPLVNELVPLSEFWDAERTICEVKGLYRNMSLSLLSSSPNDMGTHESTASEEDGERNFLPDVLCELINLGGNGSYALSALGGVLYYLKQAFLDESLLKFAKFELLPLSGFCDGTQKWNMVLDAAALENLEIFENSRNGDSSGTLYAQINHCITAFGKRMLRSWLARPLYRPESIRERQDAVAGLKGPNLPSVLEFRKELSRLPDMERLLARLFGSSEANGRNANKVTLYEDAAKKQLQEFISALRGCESMVQACSSLGVILGNTDSKLLHHLLTLGNGLPDVDSVLKHFKDAFDWVEASNSGRIIPHEGVDEEYDAACKQVQEVELKLAKHLKEQRKLLGDSSIDYVTIGKDAYLLEVPESLCRSTPKEYELQSSKKGYFRYWNPILKKLIGELSHADSEKESKLKSILRRLIGRFCEHHNKWRELVSTTAELDVLISLSIASDYYEGPTCRPNIKSVPSQDDVPVLLAENLGHPVLRSDSLDKGTFVSNNVSLGGPPNASFILLTGPNMGGKSTLLRQVCMAVILAQVGADVPASSFDISPVDRIFVRMGAKDHIMAGQSTFLTELLETASMLSMASRNSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLSIDYQKDSRVSLCHMACQIGKGSGGLEEVTFLYRLTPGACPKSYGVNVARLAGLPDDVLHRAAAKSEALELYGHNKQSEENPSENLTGKTAILLQNLINLVEHNKYDDNDNNGVIDELSGLQNRARILLEQN >Solyc03g025540.3.1 pep chromosome:SL3.0:3:2947919:2967332:-1 gene:Solyc03g025540.3 transcript:Solyc03g025540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cleavage and polyadenylation specificity factor subunit 2 [Source:UniProtKB/TrEMBL;Acc:K4BF27] MGTSVQVTPLCGVYNENPLSYLVSIDGFNFLVDCGWNDHFDTSLLQPLSRVASTVDAVLISHSDTFHLGALPYAMKQLGLSAPIYATEPVYRLGLLTMYDQYLSRKQVSEFDLFTLDDIDSAFQNVTRLTYSQNHYMSGKGEGIVIAPLVAGHLLGGTTWRITKDGEDVIYAVDFNHRKERHLNGTVLESFVRPAVLITDAFNALNNQPPRRQRDQEFLDAIERTLNVGGNVLLPVDTAGRVLELILTLEQHWTQKQLSTPIYFLSYVSSSTIDYVKSFLEWMSDSIAKSFEHTRDNAFLLRKIKLVINKSALEEAPGPKVVMASMASLEAGFSHDLFVEWAADPKNLVMFTERGQFGTLARILQSDPPPKAVKVTMSRRIPLVGEELAAYEEEQNRIKREEALKATLVKEEESKASVGAEVVTDDPMAVDTNVTHPSSNASGLHSGAFKDVLIDGFVTTSSSIAPMFPFYDNTSEWDDFGEVINPDDYVVKDDNMEQSFMHVDGDLNGKLDEGSANLILDTTPSKVESSELTVQVKCSLLYMDFEGRSDGRSIKSILAHVAPLKLVLVHGSAEATEHLKQHCLKHVCPQVYAPQLEETIDVTSDLCAYKVQLSEKLMSQVLFKKLGDYEIAWVDAEVGKTENDMFSLLPLSGPSPPHKTVLVGDLKMSDFKQFLASKGVQVEFGGGALRCGEYVTIRKVGDASQKVGGAAIQQIVLEGPLSEEYYKIREYLYSHFYSL >Solyc07g021750.1.1.1 pep chromosome:SL3.0:7:21301509:21302489:-1 gene:Solyc07g021750.1 transcript:Solyc07g021750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytidine deaminase [Source:UniProtKB/TrEMBL;Acc:K4CD31] MDQLQFVVEASEADSITQKLGLPSVHHLLPLLVQPAQTLARPPISNYHVAAVGLGSDGRVFLGVNLEFPGLPLHHSVHAEQFLITNLAVHLCPRLVAFAVSAAPCGHCRQFLQELRNSSDLQIHITSQHQNNPDVIFEPLREILPNPFGPFDLLDDETPLLLERHNNNLILSYEINHVGDLCNGFSDDDLKSGKNLSNGFYKLTETESTLLRIAALGGANNSHAPYSECPSGVAIMDCDGKIYKGSYVESAAYNPSLGPMQAALVAFVAEGGGGYERIVAAALVEKEGAKVRQEDTARIFLKLVSPKCDLKVFHCCVAAENGCKKD >Solyc11g044230.2.1 pep chromosome:SL3.0:11:33468524:33470495:-1 gene:Solyc11g044230.2 transcript:Solyc11g044230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEEEVERLKEEIKRLGIAQDDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKFLTYDGELLLQGVHDNVEIILKPPLVTTS >Solyc04g052970.2.1 pep chromosome:SL3.0:4:51232951:51233991:-1 gene:Solyc04g052970.2 transcript:Solyc04g052970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLVPIIVIFFGRMETRDDDEKVGMGSQKLGVPSPVLTMGIKMSPLIQGTRILRRFSNSGGVPKGHCAVYVGESQKKRFVVPISYLSQPLFQDLLTQAEEQFGFDHPMGGLTIPCKEDVFVHLTSCLRS >Solyc03g031890.3.1 pep chromosome:SL3.0:3:4376027:4378501:-1 gene:Solyc03g031890.3 transcript:Solyc03g031890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKMRLVSLDQQNNHGIMDEDINHDSDSSEILSNFSSSDSELFDEEGGGEGDSSDPTSPNSSCSDSISHDEHTKGALQNMSTLLQELPFKRGLSKHYNGKSQSFTSLSNVRSLEDMAKPENPYNKKLKSCKSYGVFLEGFKSNNLNPPIRSNSSSRLSSKRGSCSSLRAKRNGSFLGNNNSRPPVPPHTSTSATSFTTQTPLFA >Solyc12g094530.1.1.1 pep chromosome:SL3.0:12:65722057:65722761:-1 gene:Solyc12g094530.1 transcript:Solyc12g094530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNFDALRDLHESANDMLHSPVIKREIAHQGQEKWTHEVSETSLRMLDVCGTTKDVLLLVKDHVHDLKCTFRRISVGENATTENKFAPFHCHRKKLKKEMLKRLHSLKGMKYNTKCIDSSSIDNENNLMVVVNVLRDVRVATMSILESLMALMSMPSPNVTRKSSKGYFGPKLLMRVNSLSSWEKCDTMTLQCANKRLEAVELAIENLEEELECIIRRLIRTRVSLLNLLTY >Solyc08g014430.3.1 pep chromosome:SL3.0:8:4476693:4481329:1 gene:Solyc08g014430.3 transcript:Solyc08g014430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4CJ74] MYSPLFSLCLIFTFFLLSSATHRRLLHQPLPPTSTPSINKAKPLFPSPHFHPFFVFLLPPPPPSNDFATFPANISSLILPHSLHSSNKSITNKFIAILVSTSILSATFVTVLVLFFFFYHRYPHREDEHYDDDDHETDDTLRLVSSSTTPSHIEIEKDTSSTFLRCREEISQTSATSNDYVMLNYQRIGSPELRPLPPLPRHQYFKQHLYIESFEDEYDGFFSPRGSSGGRGSPDFIQRSFRYQYLNYNSSNDSLSNSPSGEVSLVKFPARPRFILQQVEDRPMSSSSISSGSTHNSPASEISSSSLQNPVSTMSKFGYPERYVSRGLPPPPPLPPPRVVIENDGPSMPVSFHSADGESIKPKLKPLHWDKVRANSDRAMVWDQLKSNSFQLNEEMIETLFTINSSNLNSKEGVRLPIIPVMNQEKQLLDLKKSQNIAIWLRALQITSDEVCEALLEGSADTLRMELLESLMKMAPTKEEEWKLKNIKDESPFKLGPAEKFLKAVVDIPFAFKRVDAMLYIACFDSEVEYLTKSFQTFEIACEELRNSRTFLRLLEAVLRTGNRMNMGTDRGDARAFKLDALLKLVDIKGADGKTTLLHFVLHETIRAEGSHLSDAELSSHNEFEFRNGVHVISALSHELANVKKAAVMDSDILSNEVAKLAAGVAKVTEVLKLNQEFVLDESSRKFCESMNGFLKKAEGEIMNIQAQEEFSLSMVKELTEYFYGDLAKEEARRIRIFMVVKEFLSIIDQVCTDLEK >Solyc01g093975.1.1 pep chromosome:SL3.0:1:85439034:85439715:-1 gene:Solyc01g093975.1 transcript:Solyc01g093975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQDHHFPLGSKVGSRNVGIVVGYHINRGIKSLFLTHPFYGDVHELATKCDVLVICCSLTERTRHFIHKEILLGIGNEMELVKCLEEGEIAGAGLDAFDNEPKELLSMDNVVLATYECVCANLEAFFLNNPLITSVLDN >Solyc11g073280.2.1 pep chromosome:SL3.0:11:56582039:56586855:1 gene:Solyc11g073280.2 transcript:Solyc11g073280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAALDMTLDDLIKKNKTGTGGKPRGRGRGAASTSSAGPSRRVPNRSANRAAPYSIAKAPQASWNHDMFEADQAVAFGQAGGRASSIETGTKLYISNLDYGVSNEDIKELFSEIGDLKRYAVHYDRSGRSKGTAEVVFSRRQDALAGVKRFNNVQLDGKPMKIEIVGTNIVTPTAPFSNGAFGFGDTNGAPRSGQVRGGGFGRSRGGRGRDRGFRGGNRGWGRGGRGEKVSAEDLDADLMKYHTEAMQTN >Solyc06g074900.2.1 pep chromosome:SL3.0:6:46542281:46543400:1 gene:Solyc06g074900.2 transcript:Solyc06g074900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTMTTCIVSTIPKNVAIYPATAVTKKGLLKHCISLQGRLRSLVKPVSAASSGLEADSADECLKNAKIVVESEEAEKIQVRVDVNGEYTKMVFDSVLTKLAKSEQPIPGFRREKGGKTSQVPREFLPQILGEERVTNSVIREIINSTLAEYVKKENLAVKDNKISITQTADELMSSFAPGMEFGFNKTETKITNLPFCCCHLELYLIISFFGIKIVDSKGKKERYVKCLKWSCLVIIVVTTVN >Solyc01g009215.1.1 pep chromosome:SL3.0:1:3177341:3178737:-1 gene:Solyc01g009215.1 transcript:Solyc01g009215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTQTQVYEDFVPSTKLVQEEHLDTLHLTLQGFKKEELRVELNKTGTLKISGQRPVGKSNKWRRFQKEFPVAVNCDRSKISAKLENGILHVIQPKMITSSEKKDNKLIATLAENTPAANRQTTTLRDEFSKQDNAGTNTCSKEEPKTNSPKNSEQTEGKSSSSSSSYSEWTDDETIGKVSCLAANMKKTRKVMKMILVALSVLGIGLYVANVMNSANGVEE >Solyc05g051990.3.1 pep chromosome:SL3.0:5:63183996:63186215:-1 gene:Solyc05g051990.3 transcript:Solyc05g051990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFAISLQKVHLQDVKVLREKILVVQWAILFWAEC >Solyc04g072110.3.1 pep chromosome:SL3.0:4:59237490:59242427:-1 gene:Solyc04g072110.3 transcript:Solyc04g072110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEIEEASTGADEMSPLLSNPKTDPIPSTRTKSVKTKVPEIKVHLYKQGKGPIDEFTSSLGGFEQDQLEVRDILDKYGFKSVYAFKPETGRGVPIRFNPRNGRSILTYRDGSEIHIDGEPKDSLIQPITRILVGVAVITLLIVMVMKESPEWAKKLNITGGNIPPWVLAAAVILFTRIRKRTKDFFGKRP >Solyc01g005510.3.1.1 pep chromosome:SL3.0:1:347207:348880:-1 gene:Solyc01g005510.3 transcript:Solyc01g005510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERTSMTVVCLLVCLSFVLVKGEDPYLRFEWNVTYGTIAPLGVPQQGILINGQLPGPRINCTSNNNIVVNVFNHLDEPLLLTWNGVQQRKNSWQDGTPGTMCPIMPGTNFTYRFQVKDQIGSFFYFPTTDLHRAAGGFGAINVHSRALIPVPFDNPADDYSVFVGDWYNKGYKSLKKILDGGRTIGRPDGIHINGKSEKVGEKVAEPLYKMEAGKTYRYRFCNVGMRTSVNVRIQGHPMKLVEIEGSHTVQNVYESLDLHVGQCLSVLVTADQEPKDYYMIVSSRFLKQAELSSVSIIRYVNGKGPASSDLPAPPPENTQGIAWSMNQFRSFRWNLTASAARPNPQGSYHYGQINITRTFKIVNSRTEVDGKLRYALNGISHTDSETPFKLAEYFGATDKVFKYDLMPDQPSTPDDKVTIASNIKNATFRNFVEIVFENHEKTIQTYHLDGYSFFAVAVEPGKWTPEKRKNYNLVDAISRHSIQVYPNSWAAVMTTLDNAGLWSLRSEMWERFYLGQQLYFSVLSPARSLRDEYNLPDNHPLCGIVKTMPMPSPYKA >Solyc02g079570.3.1 pep chromosome:SL3.0:2:44648156:44651783:1 gene:Solyc02g079570.3 transcript:Solyc02g079570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4B9H8] MATGFTFPPFWFLFLFILSCFSSFCLGGDRVTLGEIYKDGDNLTSKGGDFVLGFFSPAGTSKRYLGIWYVDIPVKTYIWVANRNKLVHDKNGTFSIDTIGNLVVKDGNGDLLWSSNVSVQTRNSTACLRDDGNLVILNNDRDAARLNSELWESFSDPTDTYVPGMEVLIERQGEQKVFRSWTNESDPSPGRYSMGVDPRGTPQIIIWDGPNRRWRSGHFDGAEFIGVPDVIRTNFFSGFRILNEGDNKLLLTYSASNTSSFLRFQLTVTGNELQQRWNEDEGEWNTLQSRPVGGCDLYNFCGNFSECNKEVCQCLEGFVPSVQEEWHAGNRTGGCVRKTELECRKNSSVSRNDSSKDDGFSTIRRVKLPDHADVAEISTEECKIKCLNDCSCNGYAHVRGINCMVWRDDLVDIEHFEEGGNTLYVRLHPSDIGKKKKTIIIVVISILAALALVVMVAIWLVCKYRARKRESKRTSEIPKNHLVRSGEFSMEYSGPGDISAEGHQGNGSELAFFSFSMVATATDDFSLANKLGQGGFGPVYKGKLPCGQEVAVKRLSQKSGQGDEEFKNEITLIAKLQHRNLVRLLGCCVEGEEKMLIYEYMPNKSLDTFLFDTARKSLLDWRKRFNIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMTPKISDFGMARIFGGNQNEANTNRVVGTYGYMAPEYAMEGLFSGKSDVYSFGIILLEIICGRRNTSFRTDEHSGIIGYAWEKWDEGRPMDLVDRSIWDGCQHNEALRCIHLALLCVQDLAAHRPNMSSVVLMLETDNVRLPLPRQPTYTSMRRSVDEDIWHGNQDLTSSNNVTVSVLIGR >Solyc08g006690.1.1.1 pep chromosome:SL3.0:8:1257784:1258368:1 gene:Solyc08g006690.1 transcript:Solyc08g006690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNLMLVLACLTSLTCSTMCLSKGGESYVRDACSVTRYQDLCLHSLASFSRTAKDNPSKWARAGVSVTISQAKGVTQFLLKLRKSNFLKGKSRVAILDCVECLQDALDNLHNSLGVLRKLSSQAFNDQMGDVTTWLSAALTDEDTCLDGLEDKKRKQVKLLLNKVTNVSYMTSNALALVNKLATTGPQCLENS >Solyc03g121380.3.1 pep chromosome:SL3.0:3:70965906:70976913:-1 gene:Solyc03g121380.3 transcript:Solyc03g121380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGSGKLGRGGGGAGRGGGGAGRGGGVGKRNIQSPFQPPPFHRASPSPAGRLPVGSGAAAPRNRNMASGPTSSAPSNGVEETFSLVTGNRLNYAMIIRLAPDLVEEIKRLETEGGAARIKFDANANSDGNVINVGRKDFRFTCSREPGDLCDIYEERQSGEDGNGLLVESGGAWRKLNVQRVLDESFTNHVKMRTEEAEKKLKSRKAIVLDHANPSMKGQPRAIATIEANPRKMNFKQKKEPPLKKRKAEPPPGGSYGSAYKSGSSLTTNSKGKPSASPLSSPPEPSGAPASPFGNVNLLKGQIVVDDMIPTQTITKATSSDKEIPGKAITSSVQDKVGRKSHTGTTSTDLRSMLISLLMENQSKGISLKALEKAVGDKLPNSAKQIEPIIKKIAIYQAPGRYFLKPEVDIESFPKPALECGSSPEDHCQPSSAPHKFDQLPAPQPVLFPKTDSGELEEGAVSDSKPQEASDAVENIDILHLSPDRSEGQANSSSSSSSDSESSDSGSDSGSQSRSRSKSVASGSSSDSESDASSNSKEASDEDVDIMSDDDKETNHKLQASEQLQCGTLDFEPGQFEVGEIEDLNVADIVDIEKDLPDGGQVAEMDVIPDLDPTRDDEKPVQEIGPLSADWHAHKQREVQMGKLPREAENVSYQNHSSEIGSIDKDSFRDELSNSVQKSQKSKTKRARDEKHSVDKGDKHKRVKTQNPIQQQSSGGRNSTFVESSHLSPDKPLEGPYKGLHMQMEDRTSRDAAGDFGSQNNQAMSRKSASEFLQPDRRSVDFSARGKTPTGSERPIKQGESSGHTAKYTERSLQMNEGFPLQRDKVSKYSQDEYGIVSDKRERKSLKDGVGDLHRTSVDSNLNKYDSPLPGNSPKDIMSNMGKSSFINGRGHMLHRELSDLELGELREPPTQEPAGLKKQVERKNSFKGENRPSSSDYWNFESSKGRTVDKTVADFRRSSPLQLSSVHSGTPDGLSKRRTPEWHTEDLSRSHQKVAQPQPQQHRPRIYQNDIGSQYNQPVDVHSSRQIEEEGSLGTGQEVHADNRRKSSGGPREQHDLKQSVLPPSVKQNKGQKSSLAAEINDRHKDASLLGSCEGHQRMQEFSPDEMSSYSKYEKEEPELKGPIENFAQYEEYVQEYREKYDSYCSINKILESYRNEFIKLGKELDVSKGRDTKRFSDMLGQLKDSYRKCGPRHVRLKKIFIVLHEELKHLKQMIKDFAVSYARDR >Solyc07g061850.1.1.1 pep chromosome:SL3.0:7:64890795:64891142:1 gene:Solyc07g061850.1 transcript:Solyc07g061850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFILGIIFGVLMVPISVFSYKIYRHIRDKDKVKGGVDVEIDNWALELKARELKARELRERKMKILALTGAGGAVAATKTGSTTNVVIYDGMCCGGGGGDDGGGCGGGCGGGCG >Solyc02g065400.3.1 pep chromosome:SL3.0:2:37141474:37144354:1 gene:Solyc02g065400.3 transcript:Solyc02g065400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSBO description:Oxygen-evolving enhancer protein 1, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P23322] MAASLQAAATLMQPTKVGVRNNLQLRSAQSVSKAFGVEQGSGRLTCSLQTEIKELAQKCTDAAKIAGFALATSALVVSGANAEGVPKRLTYDEIQSKTYMEVKGTGTANQCPTIEGGVGSFAFKPGKYTAKKFCLEPTSFTVKAEGVSKNSAPDFQKTKLMTRLTYTLDEIEGPFEVSPDGTVKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPESFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELQKENVKNTASLTGKITLSVTQSKPETGEVIGVFESIQPSDTDLGAKVPKDVKIQGIWYAQLE >Solyc03g083245.1.1 pep chromosome:SL3.0:3:54517611:54525969:1 gene:Solyc03g083245.1 transcript:Solyc03g083245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKETLLRRLHCKVIMNRYPDRTNDEVEDTCPFCYGNCNCGACLQKDVFLKDCCKETDEKMRLEGSLYLLFNILPLLRHIQKEQRFELEVEANIRGVQLTEEDVIISAVDDDDRVYCDNCNTSIVNFHRSCPNPDCSYDICVNCCRELRDGAQHGATEVSSSLSKSVEASRITALKGNNAPDGWRSPETLLANDCPTHMSFDVAEWRAKSDGSIPCPPKECGGCGSSLMALRRIFEANWVDQLIQSAEALTCNYRLPDIDLSHGCSFCLATTSVQDGDNRCQVREASFRNNSHDNLLYCPNAVHVDGNEFEHFQMHWRAGEPVIVRNAQAKASGLSWEPMVMWRAFRKASKKLKEEHFSVMSIDCLDWCQINIHQFFKGYLEGRRHHNGWPEILKLKDWPPANTFEECLPRHGADFFAMLPFSEYTHPRKGLLNLATKLPDTALKPDLGPKTYIAYGYQEELGRGDSVTKLHCDISDAVNILTHTTKAKVDHNQREIIEKLRKQQEVEDSKEHCPGIAEAPDSHQRSDETETINFYSQESTGDNKSCLPETMDKGKDIDKGENIISERDYADISGRTSLPNEINPSTNALALVEADVALEIKQDCAEIECGGAVWDIFRRQDVPKLIEYLQRHWREFRHFNNAPVSSVIHPIHDQTFYLEEKHKKQLKEEFNVEPWTFEQYLGEAVFIPAGCPHQVRNRQSCIKVAVDFVSPENVQECIRLTEEFRLLPKGHRSKEDILEVKKLGLYAASVAVDEAINLLSKLNAPQSCDELQQQEHATGTESSIAESLDNGIHQL >Solyc09g014975.1.1.1 pep chromosome:SL3.0:9:7355212:7355475:1 gene:Solyc09g014975.1 transcript:Solyc09g014975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEHGTQLSGGQKQRLAIARAILKNPRILLLDEATSALDAESVKFTVCIYTQCSPNSQQSAQHNIFYYKTLDTTATAVSTTQHFLL >Solyc10g084630.2.1 pep chromosome:SL3.0:10:64202427:64205493:-1 gene:Solyc10g084630.2 transcript:Solyc10g084630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFRRIFSGSSTIVQSQFSSIRLNSTLTSPKLFVSGLSRYTGDENLRKAFEEFGCLTEAKVITDRATGRSKGFGFVSYETIEEAEKAREGMNAKFLDGWVIFVDPAKPSMPRAPPPPPQDLVNFGITTNKTVGWTGR >Solyc04g080320.1.1.1 pep chromosome:SL3.0:4:64635679:64636752:1 gene:Solyc04g080320.1 transcript:Solyc04g080320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCVVHFPEDIQKDILLRCPVKSLLRFKCVSKSWCALIKNPKFVQQHLKNRSPPQLLAYTVGTSNDAGRGPRSITLISEENPQTFIGMTHLIGSVDGLFLMYRIIDTMISCALWNPATREVRSLQIPLPAPIIFDAPLLGFGLDPVTNDYKIVYSHCGYWRKYTAAVYSCSRGSWRIFKPKEPVPFYTDVKHSFGTAYLNGSYYWLLRGGDQRNYTILSFEFGSEMFEEIEGPDRNIVSTFALGLMLIDDSIAMLNYTTYTMLAYDIWALIQPGVWNKIVTFELFTPIKTCYDSSLITVVNDSQLVSYNVRTNSMRYLGFQHPGLSRHAILGGCGVSYYKESLVTFKQQEHEDLDH >Solyc01g079580.3.1 pep chromosome:SL3.0:1:78535393:78538096:1 gene:Solyc01g079580.3 transcript:Solyc01g079580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDHYTSTMAASPNSSISCSEKKHWWISNRKIVDKYIKDAKFLIATQEPNEIASAVNLLDAALALLPRFELALELKARSLLYLRRFKDVADMLQDYIPSLKMPVDETSSSSTSSSGSSDNSSTQFSREKMKLLSSGSGSPGRDEPGFKCFSVSDLKKKVMAGIYKNCDKEGKWRYLVLGQACCHLGLMEDAMVLLQTGKRIATDAFRRESICWSDDSFSFAKFPISGEPGIANSQPPTPPKTESESISQLLSHIKLLLRRKTAAIAALDAGLYSEAIRHFSKIVDGRRGAPQGFLAECYVHRASAYRSSGRIAEAIADCNRTLALDPSCIDALRTRAALFEAIRCLPDSLHDLEHLKLLYNSILRDRKLPGPVWKRQCVQYREIPGRLCSLGAKMQELKQRVASGEMMNVDNYALIGLRRGCSRSELERAHLLLTLRHKPDKSTSFVERCEFADEKDVDSIRDRAKLSALLLYRLIQKGYTKLMTTIIDEEAAEKQRTKAAAALQAMQQQQQQVQQTQELQQSRAEPIRNAASRRAVEASASLNTTSRVPPKAAANTTSSCNNSRAESKVVVSTSTNASSFQGVFCRDLAIVGNLLSQAGTGFNRPIPMKYEALSC >Solyc11g020050.1.1.1 pep chromosome:SL3.0:11:10041183:10041617:-1 gene:Solyc11g020050.1 transcript:Solyc11g020050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYSRINSKAKSNRKSVAIDFSDHLSLSISETPQIISKPHEEKSNKNHETIINGEEGDGEMFGVILERSRSVSSYKSMTEKERSSVPMKRSSSVSSSSAGGRGGYCRIHHQNDNPHEKTENFNAHKEKGNKILRACIKLLRFR >Solyc02g044050.1.1 pep chromosome:SL3.0:2:147086:150359:1 gene:Solyc02g044050.1 transcript:Solyc02g044050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGERFSGTLSSFDDVHMLAHRLKTVDNGCVSDDGEIDESINEKDEVTPQSNHSVVKPMENASDFVEDAIEVTDKNVLVCSRRDKPFENHILAFLQFIATVEYVAGHISVYVWSCNLFQKPLDGATVFIATIVFRILQDPIRYFPQSLMTISQAMVSLGRLDGYMTSRELDSDVVQRQQGCNGSIAVEVKDGNFSWEDDGKSSLLASMLGELHKVSGESVEALVMLPKTSWIQNSNIQENILFGSPMNNKRYKDVLRVCLLEKDLEILEHGDQGEIGERGINLSGGQKQRIQLAKAVYQDRDIYLLDNIFSVVDAQTGSEIFKECVRGASKDKTVVLVTYQVDFLPNADLILLFRKLTRDVRSYVQKGQANAAGTRAGVSQVLNRLTFASTLSHLRRLNSPIGREETLEGQACGLVKNLALMVFITIGSAANPILEFLEEWSTENFEEISPAVIPQSTKIFVNGCWVGIHRNPDLLISTLRQLRRHVILPH >Solyc02g014455.1.1 pep chromosome:SL3.0:2:17099850:17103788:1 gene:Solyc02g014455.1 transcript:Solyc02g014455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELLAPLLYVLQADIEQLSEVRNLYDFADKFDGFSFHENDFTYKFDIRKFSESEEEENRSESSPLKITSLTELDPKIQCIVLLNDAYCTEGELGILLSEKFMEHDAYCMFDALMNGASGAVAMAEFFSHSPYGTPHAGFSPVVEASAALYHLLSLIDSSLHSHLVELGVEPQYFALRWLRVLFGREFALEDLLIIWDEIFACENKKMVKSSEIAAESSCSVLNSNRGTFISAYAVTMILHLRPSLLATENATVCLQRLLNFPDDAIVEKLIAKAKSLQALATEVSNSTPLVGQGGDYGRSKSKVVSGHSNSNDLSSQRTPINLVPESYWEEKWRVIHKEEEHKQNCIQKQTSKQRKGCSELHLTRTESAPSPSKVNNGRKDPKLSVRKNLLKDMPQNLCSDEDENNHIGDDNVQEKNPVAVNVQDGYSRDDLTCASEQMWSSRNAASVQSASIFSDPPSPIHAGDPENRSESSVASNSYADETNVDANRGEVSGTNLGNSPLRSLDPPQQACSKSEPNDASGGKCTIGLKEQRSVSGKFQRLWKFGRNADEETSDRSGLCDSIKACNGGNNLTTPAGSSTADASHNYEVIKEETVDQNLIATLRNLGQSMHEKIQVIESEFLQAQGHVGTFKNVSKIDLAGQSQGTAMTALEELWKISNLLSEINIASINVLQTHFYLSRDDI >Solyc02g093490.3.1 pep chromosome:SL3.0:2:54983613:54988110:1 gene:Solyc02g093490.3 transcript:Solyc02g093490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDITEMLEKSKELDRLRKEQEEVLLEINKMHKKLQNTPEAVEKPGDNSLSKLKMLYTQAKELSESEMSISNQLLGQLDAMIPAGGAGQQRRRIEGNEQKKKRMKGDPDIPRLSPSMRNQQEFFASLKGEQVAARVAQEDGEKDEWVIVKVTHYDKESKEFEVLDEEPGDDEEGGGQRKYKLPWSHIIPFPKMSDLATAPEFPPGKQVLAVYPGTTALYKATVVQARKRKSDDYTLEFDDDEEDGSLPQRMVPCNQVVALPDGHRQ >Solyc06g008730.3.1 pep chromosome:SL3.0:6:2641475:2649996:-1 gene:Solyc06g008730.3 transcript:Solyc06g008730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRKPLILSSTKNLLNSLLNSETQTQISLLSTVQLRAGILQVSKDASKISNPKFVNFDDSALVGLTTSQLRRLCVTSGSLVLIKNVNTSQQRIGQVVVLDPPSSDKVLSERSSLSHSSLTTFLLPLHSYPDCHGIKPDGEVAYLSPILAFNLNLHLSCLRSMIHQGKEALSPIFEAKSDNIVSGKDNTLITLGLEPLDQLPKYATHLRASFVKIPECGTVDSAKKDSSIEAEDRQELIDMELNKYFGVDRFLSRGDLFSVCINWNCKSALCIPCSQKKQNDGSDLIYFKVVGMEPSEEPVLKVNRTRTALVLGGNVPSAVPPDFLIPRPQGSLPLQVSTVKTLASILIPPLCPSALSSKFRVVVLLHGLTGCGKRTVVKFVARQLGLHVVEYNCQSIFANSDRKTSAALAEAFSMARRYSPTILLLRHFEAFRNLASNEGSPHDQVGMNLEVASVIKEFTEPITEDEENYSEGKSNAHDQVKVAQPINRHPVLLVAAADSPEGLPPTIRRCFSHEISMDPLNEEQRKEMLSQSLQHVSELLPNTSLEDLVKDLVGQTSGFMPRDLRALVADVGANLVHSHASQDVKVVHGDLKEGSHESKPIENDGSHDSAKSLSKEDVMKSLERSKKRNATALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKDLFSSGLRKRSGVLFYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVRDIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSEASYRERVLKALTRKFKLKEDISLLSIAKRCPPNFTGADMYALCADAWFHAAKRKALASDSDSTGSEEMDVSIIVEYEDFLKVLGEISPSLSMAELKKYELLREQFEGPSR >Solyc07g045357.1.1 pep chromosome:SL3.0:7:58597534:58601511:1 gene:Solyc07g045357.1 transcript:Solyc07g045357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRPSAGLYFHNLNKLRINLMKDSMSSDEFARSLANIMLKKFGKYWTHMYLVLALATIMDPRYKVKYLEFCFLKYEGNDLLPLSSILEAIQRLLDDYVVHRSSMEYPMSDSDSDNSDTGEDLISDEFGTSEDLLEPMEVVNDPSFGFDCSDEFSKFIQTTSQPPKSELDCYLEEPIVPWTKNFDVLSWWKAASPRYPVLSKLARDLLSIQLSLVTGYDSYYTDLREPDRDMTSMESDLVNALMSTKSWFGKQRHNAAELRTRLVGFEDISSMYIDINLSPLPPPSPNSYGYCTDSTLAIMQMKAEFEISRRHHQDHLLRIMECTCTSYSSYIIVATWDVTGYVIIVIK >Solyc01g104510.3.1 pep chromosome:SL3.0:1:92867032:92871950:-1 gene:Solyc01g104510.3 transcript:Solyc01g104510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MSI1 description:WD-40 repeat-containing protein MSI1 [Source:UniProtKB/Swiss-Prot;Acc:O22466] MGKDEDEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPSGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLEDAENDARHYDDDRSEFGGFGCANGKVQIIQQINHDGEVNRARYMPQNPFIIATKTVSAEVYVFDYSKHPSKPPLDGACNPDLRLRGHSTEGYGLSWSQFKQGHLLSGSDDSHICLWDINATPKNKALEAMQIFKVHEGVVEDVAWHLRHEYLFGSVGDDQYLHVWDLRTPSVTKPIQSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDLRKISTALHTLDCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDEEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDLPGDDAPKGP >Solyc03g058350.3.1 pep chromosome:SL3.0:3:26175940:26186814:1 gene:Solyc03g058350.3 transcript:Solyc03g058350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKKTTEENPEPPKHGGGGGKSKKKNRMIADDEYSVQTEEPTVQEDTVLDDDDRKKPAKQGGGKSRKKNVVIDDDDEYTVATEEPVVLEEKVILGGKKKAKKGKKGASNYGDDLTRDNDQEDEGIAPFSGKLNKSKQGQARASVFSTVFDTIGDEESEEDKEPVVAGGMGKTIGNSFSVALLDEEEEADTSVSKFETETVEEDDAPELIFAGKKKSSKKKKKIAVKEEDEPEQASQAVNPEEEADDDESKKQQRDVPETSKNKTKKKKGGRTVQEDEDEIDKILAELGEEAAPAPSEEKVQAQLESKDNKSKKKKSGRAAQEEDDIDKILAEIGEGPLATSAPTPSLAQEEEGQLQPQLGDAAAEKEAIEEGAMESAAAKKKKKKKEKEKEKKEESIEIPEVKEHHQEIVSEVDSVETEKVEDVDSTITEEKSEIADAEENEVEEEEEDDEEWDAKSWDDADLKLPGKSAFEDEEVDSEPQPITKKEIKVASSAVNGAATLPVAAKSVIPTQKTAAAVPGPLKIDRRRKGEPEDRNVEQNKQKGSPEEPGAPNQNEDNLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLKVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLKMRNTEFIVALNKVDRLYGWKVCKNAPIVKAMKQQSKDVQFEYNTRLTQIVTQFKEQGINTELYYKNKEMGKDTFSIVPTSAISGEGIPDMLLLLVQWTQKTMIERLTYSNVVQCTVLEVKVVEGHGTTIDVVLVNGVLHEGDQIVVCGMQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITAQGFEHAIAGTSLYVVGPDDDVEDIKEAAMEDMKSVMSRIDKSGEGVYVQASTLGSLEALLEFLKTPEVSIPVSGIGIGPVHKKDVMKASVMLEKKKEYATILAFDVKVTQEARELSDELGVKVFMADIIYHLFDQFKAYIDTIKEEKKKEVAEEAVFPCVLKIVPNCVFNKKDPIVLGVDVLEGIVRIGSPICIPQKEFIDIGRIASIENNHKPVDSAKKGQRVAIKIVGFNPEEQQKMFGRHFEMEDELVSKISRRSIDILKANFRKDLSVEDWRLVMKLKTLFKIQ >Solyc10g061880.1.1.1 pep chromosome:SL3.0:10:22640895:22641329:1 gene:Solyc10g061880.1 transcript:Solyc10g061880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYDRSVPVREPSLIDSLHQVIASNQLLSLGVKGRVPFLSCPKTFFILIGERLSRGKVLHIGPAAFFISLALSPSSAFRLGSALGVRLDRLGFFSSIEGSQRVSHSSPIRLVNPLLFFLLSSSSPPLHYLTGAENTTLSITRKK >Solyc12g005360.2.1 pep chromosome:SL3.0:12:218469:220313:1 gene:Solyc12g005360.2 transcript:Solyc12g005360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQYTPFNINEVENLIIFLRSYNFRIKNFPTVTLIYEEDRSLLHVNPLFTRTRNNIVLVYKKNVIMEIIFQLIIYRTYSYTIFSHSSFFKIQNSREFIIMITTDKRWIKQYVLGVGCNGPVYLATHSSAKNYSEAVAVKSAEIGTDECSVLREEAKILNKLKGSPYIIRCFGEDQSTEYSKYTYNLLLECAHSGTLLTFILWNGKISEQVAAIYAYQLLMGIRHIHNKGYIHGDIKLTNILIYPDNKLIKIADFGCAKEEKSRAHQVFDICSVGCVVAKMLTGKGSWYAEQIDEYKRQIWGFDTGDEQFIDIGLSKMAENFVIGCLLCDVPGGLLSVDELINHPFIQNVISTENEHHMMNTHNPFGDYWVLERDLFSTTYDEWKDILSGLSLRTVLSPSSIVFSFCFDYNIIILLLFFSILNDKRINRTSNFSNFTLISSKDLNSSHFDHRFTKILKESRSILINSLFLEIIFGFTIIK >Solyc01g100090.1.1.1 pep chromosome:SL3.0:1:90051142:90051762:1 gene:Solyc01g100090.1 transcript:Solyc01g100090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLVLFLFLFPFSCVSSSPNNCPKCGIMDVPYPLSTSDNCGNPNYKVYCKNETLEFLSSVGFYYKILNINPHTSSLIISPPFIQKHSCQSSDLSLGGFKIDENSPFNISSRNTVMLFNCSENILLSPLNCSSSSPCRRFEEANEGRNCKNTLCCSYLKDSSMTSHRIRIRNQGCTAYISFVDFKAEESINAWRYGIELQWTPPNN >Solyc12g005370.2.1 pep chromosome:SL3.0:12:220126:222091:-1 gene:Solyc12g005370.2 transcript:Solyc12g005370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4DB75] MAINNSNCLLISLTFFLIAFGSNHANGEVLKVGFYHKTCPHVELIVKGIIDDVISRVPSLAAPLLRMHYHDCFVRGCDGSVLLDSPTKQAEKDSIPNLSLRGYQIIDKVKTALEKSCPGVVSCADIVALVARDVTVAVKGPSWEVETGRRDGSVSNITEALFNLIPPFANITSLKQGFLQRGLSVKDLVVLSGSHTIGISHCSSFNNRIYNFTGKGDTDPSLDPNYIKNLKKKCLPNDQNTLVEMDPGSVRTFDTSYYKLVAKRRGLFTSDSALLDDSETKDYLKKQGINQYGSTFFKDFGESMVKMGRVQVLTGNQGEIRKVCSRVN >Solyc09g014930.1.1.1 pep chromosome:SL3.0:9:7176644:7178134:1 gene:Solyc09g014930.1 transcript:Solyc09g014930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFRRLFPLHKKLHSSSHSYSARSSMNNYFLRKRRKWPLSLYKTKWQEEKLTHQLSMQKLVESTPNRSPKTHLLSILLDSFSAYECDPTPNAYYFILKTLTQNPSTWDEIPLILDYIRKFENFETPEYIFTYLIKFYGDSNMTHLAYEMFFTMPAYRCNPSVKSLNCLIWVLCKNNYDLRIVLQVLVKSQLLNIWVEESTFKILIRALCRIGKTNNAVDLLKLMVDSGFNLDANICSLILSTMPDVKDCVGVEIWGVLEEMRKLGYSPKRVDLCNVIRFYVNNGKGIDALEVLNKMKMCGMVPDVVCYNLVLNGLIFEGEYSNADELFDELLVLGLNPDIVTYNVYINGLCKQDKMVEALRVLGCMEDLGCKPEMNTYHTILDGLCRCGMLSSVKEVLGQMKSKGLQLSSHIYGVIINCMIRNGEVDEAYNLLHEMVDMGFVPQSITFDGLIGLLCNKGSFYEVMELLSIMSTKNLVPGIRSWEAFVQVLSSAKE >Solyc07g045530.2.1.1 pep chromosome:SL3.0:7:58784930:58785559:-1 gene:Solyc07g045530.2 transcript:Solyc07g045530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMSLNSQFYFLKNAMRILLPLSVFSLMFSQYYLIFPSLFNSFNEFSSNFSIQLFTYSSERNYIFLLCNGILVFIIKNSGLISTTNISDNHHHHYPKESKIQETNIESLEKVVEIEKQEKEEEIETMNIQDDLVSVETIDENEVYQESEDSKLFLQNNQLDYHSVRCVEVIEEFEEVEEFEEEETLEELHKKCDDFIKRIKKEINRKN >Solyc04g079940.3.1 pep chromosome:SL3.0:4:64345662:64350472:-1 gene:Solyc04g079940.3 transcript:Solyc04g079940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPLSPSASPPGLMSSCGTDGEIFLVLHQINRGSPIPDDLIEFNPYQYDPSNLPDGAWFLVRKTEEKSTEYGLWRVKDSACEIFANSDISGWRTTYEFFQVQASIEQKTVYIMHEYKVTPKGQRVLTKSQESSICRFFGLWNDAEHDDVRHLLSYFSFIPSVNPDTSGNDGQRSIAEPQVNGQIEGAGSSLVDKWIDQSEIDCIMRGDYLELNDLIDGASHDSSSSENTSRQSFVSDDFFNSRALLAELDDEKKEDLSGKGSLLAELNDEKKEDLSGKGSTSNHHTIMMCQIANDVVVQPGPLGFVFIGNDAKAKETQQTPDNHKSKSQDQAVKKMKVAKKLKDEGPSHARRATTSSSSSNSSSDEPGRAARREEKRSKKLMKFLCFMPF >Solyc06g009330.3.1 pep chromosome:SL3.0:6:3283065:3285569:1 gene:Solyc06g009330.3 transcript:Solyc06g009330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEPLLQTHPITESAPSSPINFSSSDSDSDDDFFAPTSWSSNNLQKKKFRRSRTAPAMVSVNDLFESHPNEESDHFEPTSLVRQAAFLLVIYLSLGVVVYSFNRDHFSGVETHPVVDALYFCIVTMCTIGYGDIAPTTPLTKLFACIFVLVGFGFIDILLSGVVNYVLDLQENFILTGSRLQGQRQLASNDHRRSGLSACMDCIVDVAKGRMRIRLKVGLALGVVLLCIGLGSMVLYFQEDLDWVDSVYLSVMSVTTVGYGDRAFKTLPGRLFASIWLLLSTLAVARAFLYLAEARIDKRHRRITNWVLQREITIEDLLAADINNNGFIKKSEYVIYKLKEMGKINEKDVMQICNQFNKLDENNSGKITLPSLLQSHL >Solyc08g074850.2.1.1 pep chromosome:SL3.0:8:59117058:59117570:-1 gene:Solyc08g074850.2 transcript:Solyc08g074850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRKHARIGQSHTSHFLSIQQHKSERWTFVQSYHQYSHHLPLLDQLAHTRLLKNANYF >Solyc01g099530.3.1 pep chromosome:SL3.0:1:89625476:89632125:-1 gene:Solyc01g099530.3 transcript:Solyc01g099530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKETLKMPIISITSPSHLLKTIFMILLSSKMFCISISENLVCPTGTPKVGLPVTKEDIDLLQFFENLEFLEAEYFLWAVHGYGLDIVAPELAMGGPPPIGVRKANLDFLTCNIISEFAMQEVGHLRALRSKVGGLPRPLMDLSSRHFAHLFDEAFGYKLQPPFDPYRDSLNFMLSCYALPYAALVGYVGTNPLIQGYESKRLLAGLLGIESGQDAMIRMYLYERAGKVVYPYRHTVAEFTIHISNLRNRLAMCGIKDEGLFVPWQLGAENRTTTNILSADYYSLSQWRTPEEILRIMYNTGSENAHGGFFPRGANGNIAKNLFKLECGIKNFHGNGGNCETMNSQRHFIKQLIGRTKSLPLLSVAISLDLRICLPFSSSATPTGTPIQTHRKKSLYTSFFCTLIHLFLRCHRLSRATETFSSMRNYNLVPDIPSWNRLLHHFNSAGLVDQVIILYSDMLACGVASNVVTRNIVVHSLCKVGKLEKALELLRENESDTVTYNTLIWGFCRIEFVEMGFGLLSDMLKKGVFIDTITCNILIKGFCDKGLLYNAELVMEMLSDKRRGVCKDVVGFNTLIDGYCKAVEMSGGFEMMGRMKREGLSPDIVTYNTLINGFGIMGDFDAANCIMDELLDSIKNIDVSYVGNKEKLDHDDGENKGLVVGDLGLEPNTITYTTLISKYVKWFQFEKALATYEEMTRLGFFHDTVTYNCLIYGLCKNGQFHEAKLLLDEMRRGGVDPNHMTYSIFIHHLYKNKAEKVAANFQSQIVIRGVPFDVVLFTTLINGLFKVGKSREAKDMFQTLLECNITPNHITYTALVDGLCKSGDFKSVEILLQQMEQKGVLPNVVTFSSVINGYAKSGMVEAAIEIMRKMVSINVSPNVFTYNTLIDGCFKAGKHDMALALYEEMQSNGVEENEFLLDTFVKNLKKLGKMDEAEAIFMDMTSKGLSPDHVNYTSLIDGLFKKGKESDALQLVEEMKEKKICFDTIAWNVLLNGLLGIGQYEVQSVYAEIRKLGLVPDVQTFNSLIDAYCKEGKLESAVKVWVEMKSSGIMPNSITCNILVKGLCEVGDIEKAMDLLKDVVTIGFRPSPAIHKIVLDAASGHRRADIILRMHERLVGIGLKLDHTVHNTLIAVLCKLGMTRKAMSELENMRDRGFSADTTTYNAFIRGYCKSYQFQKVFATYSQMLAKGVPPNVATYNTMLASLSAVGLINEAVDLFNEMKGRGFVPNANTYDILVSGHGKIGNKKESIKLYCEMITKGFVPRTSTYNVLIFDFAKAGKMRQAQELMHEMQVRGVIPNSSTYDILLVGWCKLSKRPELERSLRLSCRSEVSYVDERTE >Solyc09g075790.3.1 pep chromosome:SL3.0:9:67829769:67839521:1 gene:Solyc09g075790.3 transcript:Solyc09g075790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQAERRFRTIRNHLTSTAAVDQEQPSLLRRKNAAGDFFFEQGFSYALPEELKTGKWNVYRSARSPVKLISRFADHPEIGTLHDNFVRSVEAFPDCNYLGSRVQEDGTVGEYKWMTYKEADTARSAIGSALVCHQIPKGSRVGLYFINRPEWLIVDHACSAYSFISVPLYDTLGPEAVKYIANHAAIEAIFCVPETLNHLLSFVSEIPSLHLIAVVGAVDGRIPSLPPSSGVEIISYSTLLSQGLSNLQPFCPPKPETVATICYTSGTTGTPKGAVLTHGNLIANVAGTSIGINLYSSDIFDNLKLVDDMAVLRPTVFCSVPRLYNKLYASIMNVVKTSGSLRERIFNAAYNAKKQAIFNGKNPSPFWDRLIFNKIKSRLGGRVRYMVSGASPLSPDVMDFLRVCFGCQVIEGYGMTETSCTISNMDQSDILSGHVGSPNPACEVKLVDVPEMNYTSEDKPCPRGEICVRGPIVFQGYYKDEVQTREMIDKDGWLHTGDIGVWLPGGRLKIIDRKKNIFKLAQGEYVAPEKIENVYAKSKYVAQCFIHGDSLNSSLVAILCVDPDMFKAWAINEGIKNKDVKQLCTDPRAKAAILKDMDTVGKEAQLRGFEFAKAITLVLEPFTLENNLLTPTYKIKRPQARTYFAKEIADMYTELSTSNSSPDKIL >Solyc03g053010.3.1 pep chromosome:SL3.0:3:23654013:23673714:1 gene:Solyc03g053010.3 transcript:Solyc03g053010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQASSSQPSGFGSSGALSHVYIQYPPLRCTISGARNIFYDDGTKQLIVPTSDQVFCWKTTPFNPNVTPSSDQIGEGPVLSIRYSLDLKLLAVQRSTHEVQIQNRESGDTFSFKCRSGSERILGFFWTDSPTCDIVFVKTSGLELFSCSSGIRSLQLVETKKLNVSWYVYTHESRLVLLATGMQCKNLTGYQISSVGIVRLPRFDMAMAKSEANSKPVLAAEDVYIVTVYGRIYCLQLDKIAMQLHCYRFYRDAVIQQGSLPVYSNKIAVSVVDNVLLVHQVDAKVVIIYDIFADSQVPVSAPLPLLVRGFSRANAAASQLMGQNIEGLEGKDSNHGETIIYADEWVFLVPDLICDTANGVLWKIHLDLEAISSSSSEVQTVLEFLQRRKLEANKAKQLCLAMTRTIILERRPVPMVARVIDVLVNCFSLSIKTGKHHMGSKVKRSSTTSGSNVNSAIDESISQADTSEKSPKQESGSGTHDKSIVKSSSVTSESEDNVSSAQNRGKSINVDLSSSEQNGGNLVGTDVSGDEAQPSVVRPQAPGSGSTSLRTDEQQESLVTSAAISPDDLCSFVFVPVEEEMAGDSSYLVAIVVEFLRSANLERLKVPLNIYVLMIQLLARNENYAELGLFIMNKIIEPSKEVAMQLLASGRHNFQTRRLGLDMLRELALHHDYVLLLVQDGYYLEALRYARKTKVNTVQPSLFLEAAYASNDSQHLAAVLRFFSDFIPRFKSTTDHQTFSRYLAEMSTMITASGTKS >Solyc01g098600.3.1 pep chromosome:SL3.0:1:88950936:88954621:1 gene:Solyc01g098600.3 transcript:Solyc01g098600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPSDHRSSSRGTVRLVLVLVGLFLVIYMVRPPTLRHSKALSSCPPCFCDCEEDPMFSAIDILNSSLADCGKNDPQLNEEMKKDIATLLAEEISLQKNVTDDVLNRTKALIMSAKRASSHYQKESEKCNIGIDTCEVGREKAEAALIEERKLSALWETRAIEFGWKD >Solyc06g082620.1.1.1 pep chromosome:SL3.0:6:48425520:48426158:-1 gene:Solyc06g082620.1 transcript:Solyc06g082620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDNLRAEQPPATLPAKPNFQPLKAHEISDGHVQFRKVTVPQHRYTPLKKVWMEIYNPIFEQMKIDIRMNLKKRRVELKTRTDTPDISNLQKCADFVHAFMLGFDVCDAVALLRLDELYVDSFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADSKIHILGSFLNIKIARDSLCSLIMGSPAGKVYSKLRAVTARAEML >Solyc02g011720.1.1 pep chromosome:SL3.0:2:14130297:14130882:-1 gene:Solyc02g011720.1 transcript:Solyc02g011720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTYGYAWMLPFIPLPVPMLIGARLIIFKGNKKVSLYVGFSEHLRFLAYMSFLSTSKLELVTNSNSIQIYILCEFVGVCSYLLIRFWFTRLVAANACQKAFKTNLGDFGLLVGILGFY >Solyc09g083340.2.1.1 pep chromosome:SL3.0:9:69431678:69438839:-1 gene:Solyc09g083340.2 transcript:Solyc09g083340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCDFEVRLYVVVPMEDIKKSGELPGNIIIRRLFSQLTFLRATEDCGYFLKVTKVKSVGNGKLLDSSKYIMFPVTFHSLTFLPKIGEVLVGIVVEVCRHGVFLKCGPMNSIYLSVRKMPNYNYVPGENPFFICNDQSRIENEVAVRFVVYAMRWSRTLVRKFDVLASIEGDCLGPVSLNGFDGLEL >Solyc05g050840.3.1 pep chromosome:SL3.0:5:61912800:61920143:1 gene:Solyc05g050840.3 transcript:Solyc05g050840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQNAASPFSFSNFNTITPPSSPMKFGFSSTDSGSSSPVAGDTVPGLSATVPSWLLGTSFVSTDNSTLPWFSNASSSTVISSSLGPTTTNNCFADSLSSVTSPSSVSEITTTVSATSTSSLSGKVSVSTSSPPQPTVSAPGFVTVPASCSLEQDEVAAILARQCEKLLSRQAQGRGQNEKHVSVSQPCAYGATVLEITSSSKPVGDAAYIPAATAEQKYGESKPCSVPITGVPSTSTPSVPMGSKSGSSLLSSSQPIEEEQPCLPPVDLLDSTIEMWERVVVNNKTDQEVTEEGLRHFYEGINYFCLLSERLLLVTDKYDEEAKVRDDKEKMTSSLKDKLAKVSRKLEDSKKEKERLEHELAGAANKITALTGEKDSILLVQTILHQTISELRRELEEAAPAAIQKYKASSLYRQELMEYAAPYMGNGVKLAIEKIKAKDPTFEPETYGLDMYILPPEADDQEFSS >Solyc01g081500.3.1 pep chromosome:SL3.0:1:80554715:80560782:1 gene:Solyc01g081500.3 transcript:Solyc01g081500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTAQFILSHNKPKIDNSEKKMKDKKETIKKSKEKSIRERKCRERMKEGKRKSSRLQSEAAGAGSSRKLDLDGVAAERQERNGYPEDAGSKCEEEPLAGEGEGEGEEKDEAPEVVRVEKGDGDGVAKKVKPKLAEGFYEIETVRRRRTVKGKVYYLIKWRGWPESANTWEPETNLSSCTDIIDAYEESLKSGKLRRRKRKFGATQTHPKIKQQRRFSAPVATYNVPAVRVRIIEEPTPSPPLNVLKATDLVDSNGSELNSKVDEVVNGNGLRLREQNELNLKLSELKGATSTNGNPVDISGNGLTNGFPKVNGAEFYQSDRCTGAKKRKSGCVRRFKRETTSAVKDDTQDALAGGPLATFMQDGSHNHVMVADDSKDGYTITQLVNPVSYKASFSNDMLDVSVTFVAKRADGNLVLVDNKFLKMNNPLLLINFYEENMRYHPTE >Solyc01g007840.3.1.1 pep chromosome:SL3.0:1:1942415:1943272:-1 gene:Solyc01g007840.3 transcript:Solyc01g007840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGIVTPPPPPFAAGKKPVFVRSVWANNLESEFSLIRGLVDRFPFVSMDTEFPGLIYRSDIRAKNPIELYNNLKSNVDALKLIQVGITLTDVYGNLPDFGCGYGFIWEFNFRDFDVLHDDHAPESIELLRDHGMNFKKTRARGADTTRFAELMMSSGLLCNEAVSYVTFHSAYDFGYLIKVITGCNLPGGLTEFLKLMKVFFGKRVYDVKYMMMFFPYLHGGLDRVAETLMLNRVVGNSHQAGSDSLLTWHVFQKVKQVYLADNEAHTEKFGGILFGLEVLSP >Solyc03g077990.1.1.1 pep chromosome:SL3.0:3:50255529:50255705:-1 gene:Solyc03g077990.1 transcript:Solyc03g077990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMSITNIHFLIFGISSLSSFFISFLVIVIHCRRKVVINLCRIIKGNNIIVVFGSKTL >Solyc05g012730.1.1.1 pep chromosome:SL3.0:5:5907115:5908362:1 gene:Solyc05g012730.1 transcript:Solyc05g012730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNWNFIVFLCLAFVLRVESFKFHRIDQHVERISGSAGDVLEDDPTGRLKVFVYELPSKYNKKTVQRDSRCLSHMFAAEIYMHQFLLSSPVRTLDPEEADWFYTPVYTTCEYLTPHGHPLPFNSPRMMRSAIQLIASSWPYWNRTQGGDHFFIVPHDFGACFHFQEEKAIGRGILPLLHRATLVQTFGQRNHVCLKEGSITIPPYAPPQKIQSHLISPDTPRSIFVYFRGLFYDKRNDPKGGYYARGARAAVWENFKDNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEDIGLFVAEKDVPYLDNILTSVPPQEILRKQRLLANPSMKQAMLFLQPAQPGDAFHQILNGLARKLPNHHKTIYGDNNVLNWTAGPVADLKPW >Solyc06g065345.1.1 pep chromosome:SL3.0:6:40883943:40891668:1 gene:Solyc06g065345.1 transcript:Solyc06g065345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPPSSSRELQCVGRLEIARPKPVGFLCGTIPVPTDKAFHDFSTSELVPSAERVRAPRYRMIPIETDLNTLPLLSSIPDKVLPLVATQSRTSAALMDPWLGIGAIKDCSQPCSYMAGMVSWRVDRLPNFFEKREVKDLALHNEKHAPEMAPEIRSRRNEPWECGDSSQLWQRLSLGLRHQQTPSWLCIWKEIRNMRPEFSMNSSLQEDVWLGQSNLQEAYPTISPLLPIKTELGGKYLVSKLEKKFE >Solyc08g006670.3.1 pep chromosome:SL3.0:8:1246907:1250091:-1 gene:Solyc08g006670.3 transcript:Solyc08g006670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKGTITSPKTIQELAVEGQKHLEDTIEAAHQILSAMNDELCNPSLWSTLNTAAASSAVAVAGGGVGASGVLSNGQQHHTNGDISSDTSSSSSASAQHLDIGGGALDESRLRYKSSIASLRSVLTAISNSQKAKALEAASASGSLSAADQAEIEQLEDRASSLKKELVDKNKHLKLLIDQLRDLLADLCTWQSPCST >Solyc01g103370.3.1 pep chromosome:SL3.0:1:91868910:91873903:1 gene:Solyc01g103370.3 transcript:Solyc01g103370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small GTP-binding protein [Source:UniProtKB/TrEMBL;Acc:Q41339] MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANESVCKLLVGNKCDLVENKVVDTQMGKALADELGIPFLETSAKDSINVEQAFLTMAGEIKKKMGNQPAGAKRTGSTVQIKGQPIEQKGNCCG >Solyc08g006470.3.1 pep chromosome:SL3.0:8:1066070:1070156:1 gene:Solyc08g006470.3 transcript:Solyc08g006470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKRRKMKSLICNCCNKEFFDENEQKIHYKSEWHTYNLKRKVAGVPGLTEALYKARQSALLETPLLYSCEHCGKEFTTSKAHAQHLKSKKTHLARASQEIAHHHEWEEVDQEEEIACEDNDSLKELKMNRSSTSSGVKDEEFDPRCCFMCDMKHDTVENCTIHMHKKHGFFIPDIEYLKDPKGFITYLGLKVRRDYMCLYCNDRCRPFSSLEAVRKHMDAKNHCKVHYGDGGDDEEAELEEFYDYSSSYVDTTGKQLVSSGDFNNNVELGSGGSELIITTRTDDRLSVKSIGSREFLRYYRQKPKPTRTNDTAISSVSKHETSNHVEGAQCYDGSVEGHE >Solyc03g116650.2.1 pep chromosome:SL3.0:3:67460239:67461553:1 gene:Solyc03g116650.2 transcript:Solyc03g116650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKPFPRKMLKIRSRSILYNFKVKSEVTGASEYCLFLWKQFSILSHHKYHPLIIPSIAIFFHLIKKETNLISFFATIIIKFIHIPMALSSSNCSLGLTLALLVSLLFHPSQSVNIGRQMIGSRPPTCVNKCMNCDSCKASLVIQKNSDASKREADDNYYYLLSWKCTCRDHLFQP >Solyc07g008500.2.1 pep chromosome:SL3.0:7:3407837:3438901:1 gene:Solyc07g008500.2 transcript:Solyc07g008500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYCGEVLSSEVAKKRSLSYEAHKVKDAYIMSLNANYFIDATKKGSLARFINHSCQPNCETRKWIVLGKTRVGIFAKKDISVGMELLYNYNFEWYGGARVRCLCGAANCSLFLGAESQGFKLAQECSDVSEEEGNRYIMDNILLYDTTDDDESSPVISGTGEGNKHTKVLNDSEASTFKVEPTKSRTKKKSQPKPKLKGCNHVWEEGDNRYIVDNIPVYDTTDDDESTPVISGSSGGNEQTKVLNDGEGSMLKLEPTHSATKKKSQRKPKLKVKYLK >Solyc09g047930.1.1.1 pep chromosome:SL3.0:9:33951711:33952232:1 gene:Solyc09g047930.1 transcript:Solyc09g047930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSVSTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPGQKSLEDRTACDAGIDIVKKLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDEAFRAHINLKVLRLGMMASAIGSVMGCLFLMLSIVNVIQIRLGVLSCGSKSAIHAVSTLLVLVTSALVVYISTAIYAFLH >Solyc07g063850.3.1 pep chromosome:SL3.0:7:66335499:66337944:1 gene:Solyc07g063850.3 transcript:Solyc07g063850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKSDVVTEKNKQILDFIEEATTNVDEIQKQVLAEILRENAHVEYLQRHGLNGHVDRENFKKIMPVITYEDIQSDITRIANGDKSQILCSQPISEFLTSSGTSGGERKLMPTIEEELARRSQLYSLLMPVMSQFVPDLEKGKGMYFLFIKSEAKTPGGLPARPVLTSYYKSPHFKNRQPDPYTNYTSPNETILCSDSYQSMYSQMLCGLCQNKQVLRVGAVFASGFIRAIRFLEKHWPLLCHDIRVGTINSQITDLSIREAVMNILKPDTNLADFVEAECSKNSWQGIITRLWPNTKYIDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPSEVAYTLIPTMGYFEFLPVHRNNGVSNSIAMPKSLNEKEQQELVDLVDVKIGQEYELIVTTYAGLYRYRVGDVLRVAGFKNNAPQFNFICRKNVVLSIDSDKTDEVELQNAVKNAVTHLMPFDAHVTEYTSYADTTTTIPGHYVLYWELNVNGSTPVPPSVFEDCCLTIEESLNSVYRQGRASDKSIGPLEIKIVESGTFDKLMDYAISLGASINQYKTPRCVKFEPIVELLNSRVVSNYFSPKCPKWVPGHKQWNNMN >Solyc03g043810.1.1 pep chromosome:SL3.0:3:7495818:7500513:-1 gene:Solyc03g043810.1 transcript:Solyc03g043810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKRNKSAEPNLSLSLKTPIEYESINNIPTLEEAVNLELKNGQVDPNVNMKKLRRTISNRLSAQRARMRKIEYTDGLKKEAKNLEIIFGEWRRIVSFGKGTVKDPPKPRQFHTKVFIVKREAI >Solyc11g008030.2.1 pep chromosome:SL3.0:11:2237934:2251563:1 gene:Solyc11g008030.2 transcript:Solyc11g008030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSRKNQSVGFSNSYDYSFKILLVGDSGVGKSSLLLTFISHHLFQDLSPTVGVDFKIRMLTVGSKRLKLTIWDTAGQERFGALTSSYYRGAHGIILVYDVTRRETFTNLSETWAKDIKSYSTNPECIKMLVGNKVDRDSERAVTREEGLAFAKEHNCLFLECSARTRENVQLCFKDLTLKVITINGKFPGPLLNATTNDNIHVNVFNDMDKYPVLITWNGIQQRHNSWQDGVSGTNCAIRPNTNWTYEFQLKDQIGSFFYFPTLHYEKAGGAFGPIQINNRVRIQPPFAEPEGDFDLLIGDWYENSFKVIGDKLAHEGYNKTPNMMLMNGKGSYLDPKAKSNESFTINQGKTYRLRISNVGSEWSFNFRIQNHTMLLVETEGSYTNQITLNSLDVHVGQSYSVLVTADQDAADYYIVATAKMANSTQLKTLQVVGVLHYENSTKPANGPIPNGPGPFDVNFSISQARSIRWNLTTGAARPNPQGTFNVSNVTLSQTFVLQNSLNYKNGMINYAINNVSYATPKTPLKLADYYLNGAGVYELDKFPANVSLPEVVYGTFVVSGEHKGWLEIVFKNELQVMDSWHLDGFSFFVVGYGFGNWKPESRSRYNLHDPIVRSTVQVYPKGWTAVYVYLDNPGMWNLRNCSDTSTSNTKSKARASTKPLASSEVFINTFTEEEEETAKCLVLLSKGRDDHPPPPRRFIDNNVDFFNEDLRLYPTKFNSKRYIETSTNSIDGQALGGHMRRHRGGANVNLPYHMSNLSPATSVDQEFGNNTINIMKKQRDHELSLDLNNIPIQDDHPVVSLKQQDQEQTQRQLVDCNY >Solyc07g043190.2.1 pep chromosome:SL3.0:7:56921547:56922461:1 gene:Solyc07g043190.2 transcript:Solyc07g043190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTTIIDVANEFGVPTYVFYTTSAAMLGLHFHMQSLRDDFNTDVTDYNNDPELELCCSSALEAREVSTRSKVKEIAQALRDSGCKFLWSLRKPPPKNSWYPSEYEKLEDALPEGFLEKTKGNGMVIGWAPQAVILSHRAVGGFVSHCGWNSILESIWFGVPMATWPMYSEQQANAFQLVKDLSMAVDIKMDYKIKGSNTHVIKAKEMEKAIRHLMDPENGIRLK >Solyc05g012310.3.1 pep chromosome:SL3.0:5:5576751:5578303:-1 gene:Solyc05g012310.3 transcript:Solyc05g012310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIGHCHLDGNADAVEFCPHESFHNVLAACTYTLQEGDQPSRTGSISLFDVDANSSRLSLIHRVQTAGIFDIRWSPIGGIVGPLLAQADAEGYVRVHKLESCLDESQVPGNSLLEISDEHVSSSMCLCIDWNPSATSLAVGLSDGTVSIISFLESQLSISRDWKAHDFEVWAASYDIHQPQLVYTGSDDCKFSCWDLRDDPSNIAFQNRKDPYTLLTGSYDEHLRVWDVRSTAKPVHETSISLGGGVWRIKYHPSVLDLVLTACMHNGFAVVKVKGDQAEVVETYNKHGSLAYGADWQRGRLEKNNVIATCSFYDQLLRVWMPEGNIPE >Solyc11g073010.1.1.1 pep chromosome:SL3.0:11:56434996:56436900:-1 gene:Solyc11g073010.1 transcript:Solyc11g073010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4DB07] MRSGHFSPSRPSSPLHSSTTSTDSPSRHTFSQTLMEDTINDAGAIIKKWDLDASSSTSYNRVANLFRDYREEAIQFLDAITNLQHGMHFVIKECSSSELLVQAQNLMQIAMKRLQKEMYTILSGNRYFLDSETLSTRSSRQSTRSSVSDDDDHDDEITNTEVSPRASEVEIVSELVMANLKAIADCMIGAGYGKECVKIYNLNRKSVIDETLYYLGVEKLTSSQIQKMDWELLEKKTKNWLGAVKIAVSTLFHGEKILCDHVFSASDAIRETCFAEIARESALTLFTFPEMVAKYKKLSLEKMFTVLDLYNSISELWDEIELIFSFNSFDIVKSQAMASLVKLGEAARLMLSEFESAIQKDTSKAKAGGGVHPLTRYVMNYLVFLGDYSGAFAEIIGDFPLSVQSPLPESYFMSPIPDEDDSPSSAVSVRLAWLVLVLLCKLDGKAQLYKDVSLSYLFLANNLNYVVSKVKKSNLKFLLGSDWLSKHEMKIRQYISNYERMGWNKVLTLLPENATEEMSLPEAREWFFKFNSGFEEAYRVQSSWVIPDPKLRDEVKISLSRKIVSGYRIFYEKYRESLRSGGVKSVVRFAPDDLQNYLSDLFYGTGLSEQAATAYGSASPSVSISTSSSPSHGR >Solyc07g017687.1.1 pep chromosome:SL3.0:7:7726557:7728196:-1 gene:Solyc07g017687.1 transcript:Solyc07g017687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAARKFSNSIFENSNLRDIPDIVGGQHDFSDQDDNDEEDQFRFTTPIQSIVSMERSQQSQFELDNSLMPSLSNIKSICVTENTINAEQSIIMHIQTTSNDNSNMQKQASIESQNKISPVQTPLPAHRIRRRGPFNTSSYLTSFGSSAGIKT >Solyc06g071280.3.1 pep chromosome:SL3.0:6:43994203:43997133:-1 gene:Solyc06g071280.3 transcript:Solyc06g071280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIGEGIEVRDELIKKTCNLTMEAHNLSPGKPYIYKKINGSTDVVFAFAGTLSSDGWYSNTSFGEKEINTTLFPSLRSVGTDEVAKVNEVFATRFEEILDKSSLKNEVEKAMLEGRQVVFAGHSSGGAIAILAALWCLECCRTRPNGDMLLHPYCMTFGSPLVGNKIWSHALRRENWARYFLHFVMKYDVVPRMMLAPLSSIQELLQVISPFINPKSQYYQHEAVARSSHASNFFMTVMRSASSVASYDACNLKGCTNLLLETVSNIVQLSPYRPFGTYIFCTGNRKLVVVENPDAVLQLLFYSSQLSSEAEAAVVVPRSLNDHLLYKNEMQDSLEMQDVLHLNNLTDIPLSSNVDPSMNSALNDLGLSTRARLCLRAAGEWEKQKKKNEEKIEQNKRSIRDALSKIQEYQTKCDIRKVGYYDAFKIQNTDDDFNANVRRLELAGIWDEIIEMLKRYELPDSFEGRRDWIELGTQFRRQVEPLDIANYYRHLKNEDTGPYLIRARPKRYRFTQRWLEHFDRVQAGARSESCFWAEVEELRNKPFAQVQDRVLNLETAANGWIQSSLLGDDIFFPESTYTKWWKTLPPQHKQASWVSRKITPYNPDICR >Solyc06g062490.3.1 pep chromosome:SL3.0:6:39539327:39541927:-1 gene:Solyc06g062490.3 transcript:Solyc06g062490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILENGKDNKPLSLKVLQFLLLFLGLGIALSILSMFMLRFSELKKVLPVVQSPCFEQQNSLENWIKPLSNLHHSMNDAELFWRASFVPQMKKLPFKRTPKIAFLFLTRGPLPLAPLWERFFKGNEEFYSIYIHNLPSYNPDFPTSSVFYGRQVPSQVAEWGKISITDAERRLLANALLDISNEWFIILSESCIPLHNFSVIYRYISESKYSFVNVFDDPSSVGRGRYNWKMSPVVKITQWRKGSQWFEVNRKLAVDIVTDDIYYPKFKQFCKPACYVDEHYFPTMLHIQSPHLLTNTSLTWVDWHRGGAHPATFGKADVTDKFMKQLSEGQRRCVYSNQTTSYCLLFARKFSPSALDPLLKLSSKYLGF >Solyc05g010365.1.1 pep chromosome:SL3.0:5:4518366:4520710:1 gene:Solyc05g010365.1 transcript:Solyc05g010365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFLPPDVLSNLPENVLADILIRLPLRDAVRSSILSKKWRYNWCRLPQLSLDQTLWDTTDQSICFVTRFTDIIYHLLALHVVPITKFILSDIANLGNYSKIDNLVLFVSKNGIQHLALQFPKHKPYKLPSSFFTCSRMSHLSLHHCSIQPLSTFTGFSELVKLELNEVTISSEMLGCLISHSQLLEKLVLQISSILDHIQIDAPKLKSFDFTGNIELISLKKVPFLLELSLFNTVAPSLETGEHDFTKYFESFPNLEHLHLDYHSLQLLAAGSYDIEAKLSSPLNGLKCLCLSDICLDELAELSPALCLIRSSPYLQDVQIKLTEYFDYIWLDVTVQIASQMSHKPLQSR >Solyc01g106280.3.1 pep chromosome:SL3.0:1:94118432:94121163:-1 gene:Solyc01g106280.3 transcript:Solyc01g106280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAVLASRNESSWAQSGGAGGGFMGKTPYSHTQLNPNHNPNPKKKQKQFHHTSNGRHMDDSPAVTQTASDDAYSFNQRPIESTTNVDGLNFGGYLTFNVVSYNKAEVNELRSRLMAEVEQIRNLKDRIESGQLSTTNPRSQGKSKKQSGNKRPTPSGSSKDLKKLPNGVENRNFGNPGGVDGVKAIGTESMMKECRQILAKLMKHKNGWIFNIPVDAEALGLHDYHQIIKRPMDLGTVKSNLAKNFYPSPFEFAADVRLTFNNALLYNPKTDQVNGFAEQLLGRFEDMFRPLQDKMNKLEGGRRDYHPVDELQGSSWNHIPTPERVKKPKPTPVPNISKKQERMQNHSSASTPSLPVPPPNPPARQQSPLSTPSPVRAPAAKPQSAAKVPTMGKQPKPRAKDPNKREMNMEEKHKLGVGLQSLPQEKMPQLVQIIRKRNEHLAQDGDEIELDIEALDTETLWELDRFVTNWKKMVSKTKRQALMNNLGPPSASAAASAATTSVAEADGPTTSEKNDSFKKAKKGDVGEEDVEIEDDEPATHFPPVEIEKDEGGGRDQENGGGGGSSSSSSSSSSSSGSSSSSDSDSGSSSGSDSDADDAHS >Solyc04g025375.1.1 pep chromosome:SL3.0:4:24109914:24111451:1 gene:Solyc04g025375.1 transcript:Solyc04g025375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDKPHSVQTEGNHTFDEESTVPIMSTAAAPHLDTESPQDSPQTVLEAPSNNQTNCNCTFEPPSPQLDIHDSPSSPIIDPPQPPYSPSQTIRAVQYCPSTFSRQPSPSNSHKMLIRAKTNSIPNPTFHSLSINVSITSDVKEPRTNKEALSKEQWVAAKHEEFHALHTTYTWQIVPCQSNINIVGPRWFFRTKLKADGSVERHHLLLLYVDDIDLTGSCISLLKALVSNLNSKFAMKDMGDLHYFLGIEVIRCLDGLLLSQHKYAMDILEHTKMTCACPIHTPIATKCELYDTGGPPINAFEFQSILTLTRPELAYAVHLLCQFMQHPCDAHWTGVKRELHNLAFTSLQNPLSSRLGWLSYHVKLND >Solyc06g076670.3.1 pep chromosome:SL3.0:6:47754957:47763708:1 gene:Solyc06g076670.3 transcript:Solyc06g076670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSRRSRYSRSPSYDRYSKSVSRSRCVSRSRSRSCDSSDVENPGNNLYVTGLSTRVKERDIEKHFSAEGKVEDVRLVLDPWTHESRGFGFVTMSSVEEADRCIKSLNRSILEGRVITVEKARRRRGRTPTPGKYLGLRTVRVRRESRTYPHYSRNHSPCYSSESYRSRSRSYSPYYRQEHRSYSYYRGRQRSHSSYYSRHHCYSESPYSPYYSRGRSYSRSLSPYNGRDRSYSPDDCYYRRSRYHDYSPDNHRRDRSYSPDDRYYRRSRYRDYSPESHDLSDSPDVRDNRMSRYRDYSPNNSYYYRRNRYRSISRSISPRYRRSYSRSVSPRWSKRSYSRSVSRSSCSRSSYSPNQKKSSKKSRSVSASSRFVSRSVTPRSSPSS >Solyc02g080635.1.1 pep chromosome:SL3.0:2:45373437:45374224:1 gene:Solyc02g080635.1 transcript:Solyc02g080635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYEMTAIDYESSTDQTRKKRKKGQSPKGLRRAGPEASQAQAFTFLVRDQRLGTNVGSAQGPTGLGKYLMRSPTREVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFFFVGHLWHAGRARAAAAGFEKGIDRDFEPVLNKSM >Solyc05g054493.1.1.1 pep chromosome:SL3.0:5:65262406:65263605:-1 gene:Solyc05g054493.1 transcript:Solyc05g054493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMEIFGQNPNRIVGGYSEEFESLFLEHMKRSHRFSRIAATVVYNEYIADRHHVHMNSTQWATLTEFVKYLGKTGKCKVEDTPKGWFITYIDRDSETLFKEKMKNKRIRSDLADEEKQEREIKKQRERAEQLMGAGNMDGMENMDGSVELHPVLMEKLETEQKIKLTLGSTSKSVVKERAGSSKSVFDEIDNEKREKGKDSGKNGKRENCPVLDEIMREEEEQKERSNRKDYWLCSGIVVKVMSKALAEKGYYKQKGIVRKVIDKYVGEIEMIETKHVLRVDQEELETVIPQIGGLVRIVNGAYRSSNARLLAVDTKNFSARVQIEKGIYDGKVIKAIDYEDISKIAE >Solyc03g115050.3.1 pep chromosome:SL3.0:3:66368886:66372359:-1 gene:Solyc03g115050.3 transcript:Solyc03g115050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYFISQQFSLCISLPLTFSERERETMAKMVSPDAISTILANPSPDSSSDLPEIIVQVVDLKPTGNRYMFSANDGKMKIKGILQSSLSSEVISGSIQNLGLIRVIDYTLNDIPTKNEKYLIVTKCEAVSPALEVEYKAEVKSEDTGIVLKPRQEETGIVLKPKQEYQTKSAAQIVHEQSGNMAPTARMAMTRRIQPLVSLNPYQGNWTIKVRVTSKGNMRTYKNARGEGCVFNVELTDEDGTQIQATMFNEAAKKFFDKFELGKVYYISKGTLRVANKQFKTVQNDYEMTLNENSQVEEASNEEAFIPEIKFNFVPIDELGPYVNGRELVDVIGVVQSVSPTMSIRRKSNNETVPKRDITIADETKKTVVVSLWNDLATHVGQELLDMADKSPVVAIKSLKVGDFQGLSLSALSKSNIVVNPDLPEAKKLRSWYDSEGKETSLASIGSGMSPSTKSGARSMYTDRVSLLHITSNLSLGEEKPVFFSLKAYISFIKPDQTMWYRACKTCNKKVTEAFGSGYWCEGCQKNDAECSLRYIMALRVSDASGEAWLSTFNDQAEKILGCSADELDKLKSEEGETAYQMKLKEATWVPHLFRVSVAQQEYNNEKRQRITVRAIAPVDYEAESKYLLEEMSKMNIAI >Solyc07g017605.1.1 pep chromosome:SL3.0:7:7646103:7649352:-1 gene:Solyc07g017605.1 transcript:Solyc07g017605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVECRRIKSCHLRQHTLHFTRLGSVVEDVQEDAVHTTAEVEDDISNSAKAAVLAAVDLTRTADPVAAIFPQSKYTEELLTRAGMAESKTTPTPMVVHIQYAVNRVSQSMHAPTEQNFRALKRILRYLKGSSRRGLLFRKGNLELSIYSDSEWANDKDDRCSTTGYLLFLGPNLISWCTKKQTWVSHSSTEAKYIAMAVGVAKAMWLHHITDAL >Solyc10g045175.1.1 pep chromosome:SL3.0:10:31827080:31842098:1 gene:Solyc10g045175.1 transcript:Solyc10g045175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTPTILPQFPNHPNPTSDIETPTTFTPSDDNFLLNSPYLHRLIRHLTTTNDAPTPNLHNNSDPVILCHSDCILPWLEMNNSCPVCRFQLPTEEDEVCRRRQDFVAAMRLEEFLGDGQTGQGDGVVWPLESGSEDEVGGSGNTFGN >Solyc04g054440.2.1.1 pep chromosome:SL3.0:4:52470343:52472124:1 gene:Solyc04g054440.2 transcript:Solyc04g054440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ADRTRGRNGRKYCRESPSLLDVDDFRFSDSSTVHDSPVYEEGTVWDLVPSNAKATMFPHQRGGFEFMWKNIAGDIILERLREPLSDGKGGCIISHPPGTGKTRLTIVFLQSFLKLFPKCRPVIIAPSNLLLNWETEFHKWEVDIPFHNLNNKDFSFQEDEATASVFHCLSQAGRKNPQLIRMVKLRSWAKSKSVLGISYDLFRILTGGDGDGYAKEIREILLKLPGLLILEEGHTARNEQSLVWKALKKVETEKRILLSGTPFQNNIKELYNTLYVVSPKFASDLEQKWASLSSSIDKNARALEELRDIISPFVHRCSENVKKVSLPGIRDTVIHLKPTDLQKELLKRIPENPGSFYEQNLVSLISVHPSLVAKRKEFSESVSHLKEQRCRLDPDIGVKMKFVVELIKLCGGPKERVIIFSQLLDPLNLIKEQLNSLFGWTLGREILYMDGKLDVKQRQLSINSLNDPNSDVKVLLASIKACSEGISLIGASRVVLLDVLWNPSVQQQAISRAYRNGQTKVVHVYNPVISKWEVDKIEQQTRKKYHSDVLLSRNEVKMDPSPSVSEDDILESMVKHEGLRHIFEKLSHAPCTT >Solyc11g066000.1.1.1 pep chromosome:SL3.0:11:51911584:51912171:1 gene:Solyc11g066000.1 transcript:Solyc11g066000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQFNSSSTSSSSSLMVLPKCNLLSSRPFLNFQDGYQDSPQQNTQEAQVTQNQEVHRQHDTQQQQQQQDKKEENELFEENVKDYNNNSSVIPEVESGQGRVQHVDFIPSFEKDNTKKIPTSPKHVRSTIELMICPPAPKKKKTIQVTKRRSHHDHQDERVFLDVYNEVESLFPPSLLADLSKKIKKARKTTPIL >Solyc05g011940.3.1 pep chromosome:SL3.0:5:5170072:5172166:-1 gene:Solyc05g011940.3 transcript:Solyc05g011940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYLDSKKKKPRTSITKMMMIIIILASSLFILVGIVKKLLWTPFHVQFMMRYQGIQGPCYKFLYGNFKEIDEMKKESTNKAMDHLSHDIFPRILPHIFSWKKLYGPNFLYWHGLQPELVVTEPELLKEILSNRNNNYPKMDLEGFPKKLFGDGVASSKGEKWVKMRKLANHVFHGVSLRVSAIFLSMIPMMIMSCETMLERWKNYEDKKIEVFEEFRLLTSEIISRTAFGSSYSEGKNIFQMLMKLASLVSGNANKVRFPGKSCDEIESEKLEKGIHDCITRIIKKREEEEEDHNFGSDFLGKLLEAYQDNRISIEDIVDECKTFYFAGHETTTILLGWTMFLLATNKKWQEKARKEVVESFGHNVPNADGLSRLKTMNMILDESLRLYPPVPFIKRKVDNKVELGKLTLPGEMHFYISPLALHHDRKIWGEDVHVFRPDRFAEGVVKATNNNPVAYLPFGYGPRTCLGLNFAMTEAKIALSMILQRYMFTMSPTYVHSPAQLFMLRPQHGVEVILHKI >Solyc03g091035.1.1 pep chromosome:SL3.0:3:55628250:55638131:-1 gene:Solyc03g091035.1 transcript:Solyc03g091035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDWFSEGIEWLKDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAWKILGMEIIRDRERRKLFLSQRIYIQKILARFGMSSSKLIDTPVLPISISLPCSLHSQKKRRSICHEGTSDVGLIYGGDTQCLVTGYSDSNYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTMEAEYMALTEAAKEGIWLKGLNICFHGCAQANRGL >Solyc03g118450.1.1.1 pep chromosome:SL3.0:3:68808975:68809196:-1 gene:Solyc03g118450.1 transcript:Solyc03g118450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKEEGFFEQNQEGDNHRQYIMHSQVKKIKEEESERNVIDRPVLAREVIMTRQHSRSRLGLISGQPISVGDS >Solyc09g011700.1.1.1 pep chromosome:SL3.0:9:4974661:4975062:-1 gene:Solyc09g011700.1 transcript:Solyc09g011700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPHDQNMPMIMNMVMQMNFYWGKDVTILFKGWPNYNLGMYILSLFFVFFMAFGVEIMSMGPIMINKRPIGAIGIIQSGIYYTLRMVLVYFVMLAVMSFNIGIFIVAILGHGLGYIVVKFRELVAVETTMEV >Solyc05g005020.3.1 pep chromosome:SL3.0:5:32196:50843:-1 gene:Solyc05g005020.3 transcript:Solyc05g005020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSLGNNLLYQGFLTSTVLEHKSRITPPCVGGNSLFQQQVISKSPLSTEFRGNRLRVQKNKKIPMGKKRAFSTYPHALLTTDTSSELAEKFSLEGNIELQVDVRPPTSDDASFVDFQVTNGSDKLFLHWGAVKFGKETWSLPNDCPDGTKVYKNKALRTPFVKSGSNSILRLEILDTAIEAIEFLIYDEARDKWIKNNGGNFRVKLSRKEIRGPDVSVPEELVQIQSYLRWERKGKQNYTPEKEKEEYEAARTELQEEIARGASIQDIRARLTKTNDKSQSKEEPPHVTESDIPDDLAQVQAYIRWEKAGKPNYPPERQIEELEEARRELQLELEKGITLDELRKKITKGEIKTKVEKHLKRSSFAVERIQRKKRDFGQLINKYPSSPAVQVQKVLEEPPALSKIKLYAKEKEEQVDDPILNKKIFKVDDGELLVLVAKSSGKTKVHLATDLNQPITLHWALSRSPGEWMVPPSSILPPGSIVLDKAAETPFSVSSSDGLTSKVQSLDIVIEDGNFVGMPFVLFSGEKWIKNQGSDFYVDFSAASKLALKAAGDGSGTAKSLLDKIADMESEAQKSFMHRFNIAADLMEDATSAGELGFAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQNAFTSHPQYRETLRMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGMMEEWHQKLHNNTSPDDVVICQALIDYIKSDFDIGVYWKTLNENGITKERLLSYDRAIHSEPNFRGDQKDGLLRDLGHYMRTLKAVHSGADLESAIANCMGYKTEGEGFMVGVQINPVSGLPSGFQDLLHFVLDHVEDKNVETLLEGLLEAREELRPLLLKPNNRLKDLLFLDIALDSTVRTAVERGYEELNSANPEQLMYFISLVLENLALSVDDNEDLVYCLKGWNQALSMSNGGNHHWALFAKAVLDRTRLALASKAEWYHHLLQPSAEYLGSILGVDQWALNIFTEEIIRAGSAASLSSLLNRLDPVLRKTANLGSWQIISPVEAVGYVVVVDELISVQNEIYEKPTILVAKSVKGEEEIPDGAVALITPDMPDVLSHVSVRARNGKVCFATCFDPNILADLQAKEGRILLLKPTPSDVIYSEVNEIELQSSSNLVEAETSATLRLVRKQFGGCYAISADEFTSEMVGAKSRNIAYLKGKVPSWVGIPTSVALPFGVFEKVLSDDINQGVAKELQILTKKLSEGEFNALGEIRTTVLELSAPAQLVKELKEKMQGSGMPWPGDEGPKRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEIINADYAFVIHTTNPSSGDDSEIYAEVVRGLGETLVGAYPGRALSFICKKKDLNSPQVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEEVVIDYSSDPLITDGNFRQTILSNIARAGHAIEELYGSSQDIEGVVRDGKLYVVQTRPQM >Solyc04g077580.3.1 pep chromosome:SL3.0:4:62626878:62627839:1 gene:Solyc04g077580.3 transcript:Solyc04g077580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLCTIFVVAFLLCFNTLTFAVRPNSFSVLDPKIQVASEGKVEIKEAKCEGVEEEECLKRRTMAAHLDYIYTQSINNNHP >Solyc04g072220.3.1 pep chromosome:SL3.0:4:59302343:59305768:1 gene:Solyc04g072220.3 transcript:Solyc04g072220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLMDSVAAAASSSPCKEVKVSECFGENSVFPPGFRFHPTDEELVLYYLKRKICRRRILLDAIGETDVYKWEPEDLPDLSKLKTGDRQWFFFSPRDRKYPNGARSNRASKHGYWKATGKDRIITCNSRAVGVKKTLVFYKGRAPVGERTDWVMHEYTMDEEELKRCQNVQDYYALYKVFKKSGPGPKNGEQYGAPFREEDWLDDERLNAKVSVQPESPRDQENTAKNINVVPDPDDAIEELLKRPFTVDNNFALEQFVQEEDTESTLLNQSSRDVNLYNHCAVAGPSCQQYNARASFDLTESGTSPLHLHEAPEVSSAPVNPEKPPYAVEEDFLEDFLEMDDLLVVDPNVQNFDHGTPNGQVFDKPAGNLETLQFDDFDGLSEFDLYHDAPSLLDNVGTPVVGQIAEPYMNNFVNGITYPDSTTYMSAFQNDMMNNQQMRLNHENQINNQFWMHDERFGVFNPIEGDQSVVDQSSSGVVNDNNMANYPMGANQNLAKKDDGTQSWFSSNLWAFVDSIPTTPASAAESALVVNRAFERMSSFSRMKLNVGNMNVAAGNASATSSSSGKGKYGLCCISLLGVLCAFLWVVIGTSAKIAGT >Solyc06g073180.3.1 pep chromosome:SL3.0:6:45230969:45234467:1 gene:Solyc06g073180.3 transcript:Solyc06g073180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CONSTANS interacting protein 1 [Source:UniProtKB/TrEMBL;Acc:Q2VY19] MKIQCDVCEKAQATVICCADEAALCAKCDIEVHAANKLASKHQRLHLQCLSNKLPPCDICQDKAAFIFCVEDRALFCKDCDEAIHSASSLAKNHQRFLATGIRVALSSSCNKESVKNQLQPQPPQQNSQQVGLKMPPQQLSCITSPSWPVDDLLGFPDYESSDKKDLLELGEFEWLGGIDLFGEQTAAEVPELSVPQSSNTNIYRTTKYQMPYKKSRIEIPDDDEYFTVPDLG >Solyc10g045540.2.1 pep chromosome:SL3.0:10:34497658:34513604:1 gene:Solyc10g045540.2 transcript:Solyc10g045540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVPSNHSRDQFQDMQGLFNNLQDWELALKGKDKKMKSQAGGKETLKEDWSRTSEPLTSPQANGTQQVGKSTSIRNAAGPYSYSKNYNPISHLSSELISEESNINANSEKELGNECFKQKKFNEAIDCYSRSIALSPTAVSYANRAMAYLKIKRFQEAENDCTEALNLDDRYIKAYSRRSTSRKELGKLKESIEDAEFALWLEPRNPEIKKQYGEVKALYEKEILKRVSGATDVSAQGPQKSGKTIKIGPVIQSVSSSSQKVAEVRTIPAKENNRDVLGTAKVEDTHMQISNKDSDASPTVPTLNLAFGTAKKTHKISKQELEESVQELAARAAGLAKTEAAKNIAAPNSAYQFEVSWRGLSGDRNLQTQLLKVTSPAMLPRIFKNALSAPMLMDIVRCIATFFIEDMNLAIRYLEDLTKVPRFDMIIMCLSSADKSELLKIWEEIFCKVAEEHSATLGALRVSYGLKQ >Solyc04g051393.1.1 pep chromosome:SL3.0:4:50459391:50460303:-1 gene:Solyc04g051393.1 transcript:Solyc04g051393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKVIEYERAIVEYADRDIGLSLYLKFVDKNSTGTLLNSDWEGVKRITKFLEMFFNLTLKISGSRYVTYNLYFLESCQVGVYLNQLISNEDHVLDKMTENMKEKFDNYWGDAEKMNKMVFIPCVLDPRHKFRTLGFELKKMFGEKGAAIENGVRTYMEALFNEYTKPISNYKSGQFSSTEMARDVLAIPVSNVAYECAISTGWLILDSFRSSLNPVLVQVLVCLQDWLRSEPQL >Solyc06g033780.1.1 pep chromosome:SL3.0:6:22905972:22906531:1 gene:Solyc06g033780.1 transcript:Solyc06g033780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASQPKSVELKRLLITLRKMDSIHVHLGLGKEYDILVGIITHFPGSLSLDEVQTKLLLHDQPLLLKVFLHIHIMCQVHNLLKVVVAKEVLLILKAEVVVVELKILLVVVIPNMPLHPTLFRALVSLHNHCQVLVALPLVHIIHNQLVHLYHPWEF >Solyc03g007400.2.1 pep chromosome:SL3.0:3:1942428:1945909:1 gene:Solyc03g007400.2 transcript:Solyc03g007400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRHPNGTWKSIQQSSVCCGNWQVPCCFFILVVGLMKGACMQTSILRTQ >Solyc07g019500.2.1 pep chromosome:SL3.0:7:11842093:11842977:1 gene:Solyc07g019500.2 transcript:Solyc07g019500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRCATQRLALSPLLLFWLCHYQSRVTPGPAAPDLRRTIILMTKQGSASYFSSYSSTIPTSNFLGVGASKLTQPSKGGGSLECQNQAKRWLFFLGLSNQRMPIPPLMTPSRESLNFPMWIERKLGMDIDPSAYPECWKYSNVFLYFLFPDHWKQSSLAQGSPHSNLHYDRNRQ >Solyc12g100180.2.1 pep chromosome:SL3.0:12:67979199:67995586:1 gene:Solyc12g100180.2 transcript:Solyc12g100180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNSRGTSLREKIIRGSSLRGSLSRKRNSTNNSRWNGNDGEIFNRSTRDEDDEEALKWAALEKLPTFDRLRKGLLFGSQGASAEIDIHDIGFQERNKLLERLVKVADEDNEKLLLKLKQRIDRVGIDLPEIEVRYEHLTIEADAYVGSRALPTFINFISNFFEDILNSVHILPSRKRKLTILNDVSGIIKPRRLTLLLGPPSSGKTTLLLALAGKLDSALKVTGKVTYNGHEMNEFVPQRTAAYISQYDLHIGEMTVRETLEFSARCQGVGSSYELLVELSRREKAAKIKPDPDIDIFMKALATEGQEAVFVTDYVLKLLGLDICADTMVGDEMIRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTYSIVNSLRQSVQILHGTAVISLLQPAPETYNLFDDIILLSDEKIVYQGPREDVLGFFESMGFKCPDRKGVADFLQEVTSKKDQQQYWVRRDETYRFITSKEFAEAHQSFHVGRKLADKLAASYDKSKSHPAALSTQKYGIGKKQLLKVCTERELLLMKRNSFVYIFKFIQLTIVALISMTLFFRTKMPRDTIEDGVKYVGALFLVVTQIMFNGMAEIALTIYKLPVFYKQRDLLFYPSWAYAVPTWILKMPITFAEVGLWVFLTYYVIGFDPSAARFFKQFLLLISLNQMASALFRFIGAAGRTMGVANTFGTFVLLLQFALGGFVLSRVDVKKWWLWGYWSSPMMYAMNSILVNEFDGKKWKQIAPNGTDSLGVTVVRSRGFFTNAYWYWIGVGAQIGFTIVFNICYSIALAYLNPFGKPQGMISEDSNDAKTTSTEKEVSTSEGQNKKKGMVLPFEPHSITFDEVTYSVDMPQEMKNQGVTEDRLVLLNGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKVSGYPKKQETFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPSDVGEKTRKMFVDEVMELVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGNEIYVGPLGHHSCHLIRYFESIPGVSKIRDGYNPATWMLEVTNSAQEMMLVLDFTDLYKKSDLYRRNKILISELSVPRPGTKDLHFKNQYSQTFWTQCLACLWKQHWSYWRNPTYTAVRYIFTVIIALAIGTMFWDLGTKVSKSQDLFNAMGSMYAPVLFLGFQNASSVMPVVAVERTVFYRERAAGMYSSLPYAFGQTFIEIPYVFVQAVTYAVIIYAMIGFEWTVSKFFWYLFIMYFTFLYFTFYGMMSVAVSPNQNIAQIVSLFGYSMWNLFSGFMIPRPSMPIWWRWYYWADPVAWTLYGLVVSQFGDLQDKITDIDETSKQFLRRYFGFKHDFLGVVAAVTVAYAVVFAFTFGLAIKGNSLKGNSTNNSRWTSNDGEIFNRSTRDEDDEEALKWAALEKLPTFDRLRKGLLFGSQGASAEIDIHDIGFQERNKLLERLVKVADEDNEKLLLKLRQRIDRVGIDFPEIEVRYENLTIEADAYIGSRALPTFTNFITNFLEDMLNSLHILPSRKRNLTILNDVSGIIKPCRLTLLLGPPGCGKTTFLLALAGKLDSALKVTGKVTYNGHVMNEFVPQRTAAYISQYDLHIGEMTVRETLEFSARCQGVGSRYEMLIELSRREKAAKIKPDPDIDIFMKALATEGQEAIFVTDYVLKLLGLDICADTLVGDEMIRGISGGQKKRVTTGEMLVGPSKALFMDEISTGLDSSTTYSIVNSLRQSVQILHGTAVISLLQPAPETYNLFDDIILLSDGKIVYQGPREDVLGFFESMGFKCPDRKGVADFLQEVTSKKDQQQYWVRDETYQFIKSNEFAEAYQSFHVGRKLADELAASYDKSKSHPAALSTQKYGIGRKQLLKVCTEREILLMKRNLFVYIFKFIQNMIIAVITTTLFFRTKMPHDTIEDGGKYAGALFFIVTQIMFSGMIEIGLVIYKLPIFYKQRDLLFFPSWAYAMPSWILKIPIAFVEVGLWVLLTYYVIGFDPSPVRLFKHFLLLILVNQMTSGMCRFLGAAGRTMGVANTYGTFALLLLFGLGGFVLSRDDVKKWWIWGYWSSPLMYSLNSIFVNEFDGKRWKHIAPTGTDSLGVAIVRSRGFFPNAYWYWIGVGALIGFTIVFNICYSIALAFLNPLGKPQGMISEDSDDAKTTNTGKEVPTSEGQNKKKGMVLPFEPHSITFNEVTYSVDMPQEMKNQGATEDRLVLLNGVCGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGSIKISGYPKKQETFARISGYCEQNDIHSPYVTVYESLVYSAWLRLPSDVDEKTRKMFVDEVMELVELTPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGNEIYVGPLGHHSCHLIRYFESIPGVSKIHDGYNPATWMLEVTNLAQETMLGLDFTDLYKKSDLYRRNKTLISELSMPCPGTKDLHFNNQYSQPFWIQCMACLWKQHWSYWRNPAYTAVRYICTIFIALAIGTMFWDLGTKVGKKQDLFNALGSLYTPVFFLGFQNASSVLPVVAVERTVYYRERAAGMYSAIPYAFGQTFIEIPYVFVQAVSYGVIVYAMIGFEWTVTKFFWYLFIMFFTLLYFTFYGMMSVAITPNQHVAQIVSVSGYGMWNLFSGFIVPRPSMPIWWRWYYWADPVAWTLYGLVASQFGDLQNKITDSDETAKQFLRRYFGFKHDFVGVAAVVTVAYTLVFAFTFALAIKVK >Solyc06g042935.1.1 pep chromosome:SL3.0:6:30838647:30840024:-1 gene:Solyc06g042935.1 transcript:Solyc06g042935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKKGWHFVYASTGKCYTFRNVIFDETSLWLPSKKEILSDSNVLKDVLDSSHVQLSLDEAEAEAKKDTAEKGLAQNSWQIGETVNKKFFYQVRIYQFASVCFQIKELRQLKHFLGFEVDCNEDEICLHQKRYSKDLLMKFGMLNCNPISTPLEPNARICAHEGKDLAYVTMYRQLVGNMIYFYQTRSKISFAVGVMSRYMHNPKKHPIEVFR >Solyc03g097930.3.1.1 pep chromosome:SL3.0:3:61743789:61756187:-1 gene:Solyc03g097930.3 transcript:Solyc03g097930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RANNKSVISASPASTTTTLSIISNTNSTTVVESRVIPQQLQPILPIRRR >Solyc11g027630.1.1.1 pep chromosome:SL3.0:11:18725190:18725360:-1 gene:Solyc11g027630.1 transcript:Solyc11g027630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKGTYRWLSRCDGSIAVTALKYNFHREAGRILCRRLKYTTGFIKWQSGLAATIH >Solyc06g053610.3.1 pep chromosome:SL3.0:6:36519369:36522613:-1 gene:Solyc06g053610.3 transcript:Solyc06g053610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:THM18 protein [Source:UniProtKB/TrEMBL;Acc:Q40174] MGRAPCCEKMGLKKGPWTPQEDNILISYIQNNGHSNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFTKEEEDTIIKLHENLGNRWSAIAARLPGRTDNEIKNIWHTNLKKKLKNYQPCQNSKRHSKTSHVSNKGPTTSESSNNSDLSTSTKQHIKIAPNSPQLSSSEMSSVTLVVDDNQMVIIKEEKIESSSSEYFPKIDESFWADELSTENNMIIGHDQEIQVREENVDIFTTSSKMEEDMDFWYNVFIKTGDLPELPEF >Solyc12g099060.1.1 pep chromosome:SL3.0:12:67330158:67331340:1 gene:Solyc12g099060.1 transcript:Solyc12g099060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKEELRAGFLSATAYIFLKKLTNFTVAEIGKIWEADNELRKLERAVARITGMIERVEADRCFSSENSKKAWQLWLEDLNKFSYVSSDLLEKASTLLDDNTKSKLAADTSSSLGPTTFTKQPSLRSEVLSSGKLDMPHDIVKLREMLEALAGEMDTLLKFEALKSGKTTKVVTYSGSTSLVDESLVVGRNIEKAHIVAKLVPAADRSLSSISIIGMGGLGKTTVAQLNVAGR >Solyc05g009080.3.1 pep chromosome:SL3.0:5:3224539:3228624:-1 gene:Solyc05g009080.3 transcript:Solyc05g009080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEKNVGCEVEVVSWRERKIKAEILVNADVDSVWNALTDYERLADFVPNLVSSRRIPCPRPGRIWLEQRGIQRSLYWHIEARVVLDLQEFIKSDNVRELHFSMVDGDFKKFEGKWSVRVGTRSSTAILSYEVSVIPRFNFPAIFLERIIRSDLPVNLQALSCRAENSYQGYQNVTKEEPGSYLIDHVISHENKSSGEHLKEKFVKATFGPSTPVTSDVTNNWGIFGKTCRLDKPCVVDEVHLRRFDGLLENGGVHRCVVASITVKAPVREVWNVLTAYESLPEIVPNLAISKILSRDNNKVRILQEGCKGLLYMVLHARVVLDLSELIEQEISFEQVEGDFDSFEGKWILEQLGSHHTLLKYSVESKMHKNSFLSEAIMEEVIYEDLPSNLCAIRDYIEERETEKPLEKFNHDEFREASVSSSMKDSSVHYDRQAGQNSDSSSLHSPRQRPKVPGLQRDIEVLKAELLDFISEHGQEGFMPMRKQLRKHGRVDIEKAITRMGGFRRISSLMNLSLAYKHRKPKGYWDSLENLQEEISRFQKNWGMDLSYMPSRKSFERAGRYDIARALEKWGGLHEVSRLLSLKVRHPNRQASFAKEKKVELLANDVNCETTSSKPFVAQDAKKWLMKLKDLDINWVE >Solyc01g008910.2.1.1 pep chromosome:SL3.0:1:2870029:2871920:1 gene:Solyc01g008910.2 transcript:Solyc01g008910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDDGSSSVTSSSPLQNFPMMSISPSFVGGGGSPYQWLKDLKSEDRGLYLIHLLLACANHVANGNLENANIALDQISYLASPNGDTMQRIASYFAESLADRILRSWNGIYKALNSTKLRVVSEDILVKKMFFEYFPFLKVASVIANQAIIEAMEGEKMVHIVDLNASEPLQWRALLQDLSARPEGPPHLRITGVHQQKQVLEQIAHVLTEEAEKLDVPFQFHQVVSKLENLDIEKLRVKTGEALAISSVMQLHTLLAHDDEPQKKSPLGFKHLNGVHLQRAILNQNTLGDLLENEMMTHSVFSPGNESASSSPLSSSASTKMEGFLHALWGLSPKVMVVTEQDSNHNGTTLMERLSESLYYYAALFDCLEFTLQRTSLERLKVEKMMFGEEIKNIVACEGGERRERHEKLDKWFQRLDGAGFMNVPLSYYAMLQARRLLQSYSCEGYKIKDENGSVVICWHDRALFSVSAWRCRR >Solyc12g019753.1.1 pep chromosome:SL3.0:12:11442247:11444279:1 gene:Solyc12g019753.1 transcript:Solyc12g019753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPVSKRIIVSHDVIFKENQHKTTQEGEVAKVDINSPTGNNSSVIPLDVEFDVTDLGQMRFLLGIEEIQRSDGVSIFQRKYAADLLNRFRIENYNPVCNSIVPGQKIGRYEDCIKVDATQYNQVAPPLQDSLPPEERLIAFGNPGLHCVCSSDYVDYKEDSKSTSGYVFMISGRAVAWPSRRQPIVTFSTTMVEFVAASECACQAIWMTRILKEIGHVHAAGTTLMYDNASTIMLSNNPVFHGRAKHIRIRFHFIRDLAREGVVYFLFSGTQDKLANLLTKPLKVEACQNMRKEFGMCTDSDLS >Solyc08g006750.3.1 pep chromosome:SL3.0:8:1323634:1327041:1 gene:Solyc08g006750.3 transcript:Solyc08g006750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMFLKYGSFFFKDFEPSAVTPRGLAPPGLIVNGDFSEMMRLKVSSTPTTPRKNLNLSVTEPGKNDGPTLDCTLMNYIDTLTQRINYHIGYPVNICYEHYANLAPLLQFHLNNCGDPFLQNTVDFHSKDFEVAVLNWFADLWEIERDQYWGYVTNGGTEGNLHGILVGRELFPTGILYASKDSHYSVAKAAMMYRMDFENINASINGEIDYSDLKVKLLQNKGKPAIINVTIGTTFKGAVDDLDVILQILEECGYTQDQFYIHCDAALNGLIIPFIKNMITFKKPIGSVTISGHKFLGCPMPCGVQITRKSYINNLSRRVEYIASVDATISGSRNGLTPIFLWYSLSAKGQIGFQKDVKRCFDNAKYLKDRLQQAGISVMLNELSIIVVLERPRDHEFVRRWQLSCVRDMAHVIVMPGITRETLDGFINDLLQQRKKWYQDGRISPPCVASDIGAQNCTCSYHKIDFIIP >Solyc03g059318.1.1 pep chromosome:SL3.0:3:31073684:31077777:1 gene:Solyc03g059318.1 transcript:Solyc03g059318.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKRLVLEKLEGSYIDEFNKLEGYAQELRDNNPESFNKWIIDARAKPIIKMLENIRIKVQRLKKPAQDVLVSTPQAIQEESCIMSTPGFVDSSSQQSSQPDGPSKSKEIENNPTKPSKSKRKIIVDESEDGQHIRLTGTRRIDFKGDENGVSIPTNLPYSPRKLVWKGKEAITSDHLTIEKEKRIGKLKAKRGGKKTTPFSHIHDYAKVFIDHYDISTFFTT >Solyc07g022760.3.1 pep chromosome:SL3.0:7:21366700:21400194:-1 gene:Solyc07g022760.3 transcript:Solyc07g022760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPPPSGPQPLWPPSVGSTPPQGFGSFPMQFRPALSTQQGQHFAPPISASPQYRPVGQTPNAGMPPGQGQIPQFSQTMQQFPPRPGQPGHGTPSSQAIQMSYNQSSISQPQQVNPPLNSHMPGVSGAGNPFSSSYTVQSSSQMHGPTFPAGGQPWLSSGSQTTPVGDPTPPSSHQLLAVAPAVPASTASQQTASDWQEYEAADGRRYYYNKNTKQSSWEKPLELMTPLERADASTVWKEFTTADGRKYYYNKETKQSKWTMPDELKLARELAENVASQVVQTGTSTNSGVQVSEAVTSTEQPSAVTPVSSTPSSTVSGVPSSPVPVTPAVSDVNTPPLVVSGSSAIPTVSFAVTSSAGISSPAVSGNTRSAALANAYQTQMSGIENLSPQVASSLSGASSQDIEEAKKGMAVAGKINVVPAEEKSADEEPFLYATKQEAKHAFKSLLESATVESDWTWEQTMRVIINDKRYGALKTLGERKQAFNEYLMQRKKQEAEERRLRQRKAKEEFTKMLEESKELTSSTRWSKAVTMFEDDERFKGVEREADREDLFRNYLVDLQKKERSKAQEEYRRNRLEYKQFLETCGFIKVDTQWRKVQDLLEDDERCSRLEKLDRLDIFQEYIRDLEKEDEEQRKLQKEQLRRAERKNRDAFRKMIEEHIAAGMLTAKTYWRDYWQMVKESVAYQAVASNTSGSTPKDLFEDVTEELEKQYHEDKIHVKDVVKSEKITISPTCTFEDFKVAILEGISSPSIQDVNLQLIFEDLVERAKEKEEKEAKKRQRLAKDFTDKLSSIKEITDSSSWEESKELVEDSSEFRAIGEETISRAVFEEYVAWLQEKAKEKERKREEEKVKKEKEKDEKEKRKDKERREKEKEREKEKERGKERSRKDEPDSVIMDVTESFEHKEERKREKDRERKHRKRHHSSNDELTSDKDEKEESERDKERKHRKRHQSSNDEVASDRDEREDLRKSHRHSSDRKKSKKHAHSPESDGESRHKRYRRDRDGSRRNGGHEELEDGELGEDGES >Solyc03g114185.1.1 pep chromosome:SL3.0:3:65668845:65670343:1 gene:Solyc03g114185.1 transcript:Solyc03g114185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWKGLFLKLSEDHLLAKWEDKEKGKEEFPERNSINSLTIFSTSSASTNPLTYPFLGIILSPTPISSVTILAFKCCSAMPK >Solyc06g051577.1.1 pep chromosome:SL3.0:6:35184934:35186408:-1 gene:Solyc06g051577.1 transcript:Solyc06g051577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTSTTPAPLLSPPSSDSDSDSSSHRHHHSDLSSTIFKSYLELSGDHHNQKHDLIKIQAFLTSSRSGALSCLICLERIRPSDPTWSCSSRCFAVFHLHCIQSWGVQSSNLAAVRAITRAATPNDSSLLWHCPKCRVEYSKSQIPKTYYCFCGKLPDPPHDPWVLPHSCGEICGRPLMYNCGHNCLLLCHPGPCPSCPKLVTSKCFCGAVEDVKRCGFKNFSCNGVCKKMLDCNTHRCNEICHADDCPPCKAKGMFNCQCGKVEMKRECFDRVFRCENPCEKLLGCGRHKCEKGCHEGDCGDCPLQGKRTCPCGKIFYEGIACDVMVSVCGATCGKMLSCGFHRCPERCHRGPCVETCRLVVTKSCRCGSYKKQVPCHQHMTCERKCQKLRDCGKHACKRRCCDGDCPPCSEVCVAVHI >Solyc07g009135.1.1 pep chromosome:SL3.0:7:4150453:4153236:-1 gene:Solyc07g009135.1 transcript:Solyc07g009135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFGSLIGALQYLAIIDPDIQFAVNRVAQRMHQPSEHDYHCLKTHSQKSTTPANTTTISAVAPIPFSPPAKPEEINNNNSKRTEAQAISRRPPPPFFFSFLVTSTINEPGVREPAPTDEDETAAPARSMAADNPLVCSVLTASLRQPTTVSKSWRRPARHQQRPTMVKTQISYFNFIKFCLVSPISMLLLFF >Solyc09g089630.3.1 pep chromosome:SL3.0:9:69862680:69869324:-1 gene:Solyc09g089630.3 transcript:Solyc09g089630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYRRDHRAHRASLFDNYDSIEEGGIRASSSYPRDLDERDNDKAVDSLQDRVSFLKKLTGDIHEEVETHNKMLDRMGNEMDSSRGIMSGTMDRFKMVFEKKSNQKVCKLVGYFVLSFFLIYYIFRFLMYFMYGLRVRITKIVHPN >Solyc05g006270.2.1.1 pep chromosome:SL3.0:5:930366:930527:-1 gene:Solyc05g006270.2 transcript:Solyc05g006270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTGLDNLATRTKTRLDMLPLMKLHSLNAHKYMLAMSLQKLLATKTVECYIAYK >Solyc10g052580.2.1 pep chromosome:SL3.0:10:52889685:52890441:1 gene:Solyc10g052580.2 transcript:Solyc10g052580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWSYKSFLDDNGNRFLRRSSTSGGVPKGHCVVYVGESQKKRFVVPVSYLSQPLFQDLLAKAEEEFGFDHPMGCLTIPCKEDVFVDLTSH >Solyc11g017000.2.1 pep chromosome:SL3.0:11:7715107:7729559:1 gene:Solyc11g017000.2 transcript:Solyc11g017000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGLCSRRANADSTTDHGIPHGNGHLSYGAGTVYQSRGLPIQLSSDPMPPAGESQQLTEPTLSYPEMNDISLGIPMDDVDDGIPILSRVLSNKSISARSKQVAIAKVSEMSSLLGRAGTAGLGKAVNVLDTLGSSMTNLNLSSGFVSNMATKGNKISILAFEVANTIVKAANLIHSLSEENVKHLKEVVLLSEGVQLLITKDMDELLRIAAADKRDELKIFSGEVVRFGNRCKDPQWHNLERYFEKLESELTPHEHLKEEAEALMVQLMISVQYTAELYHEFHALDRFEQDYRRKVQEEDTSSATQRGDTIAILRADLKSQRKHVKNLKKKSLWSKILEEVTEKLVDIVHYLHLEIHAAFGSADGEISMKNNNQRLGSAGLALHYANIITQIDTIVARPGSVPPNTRDALYHGLPPSIKSALRFKLMSFSLKEELTVPQIKGEMEKTLQWLVPMAANTNKAHHGFGWVGEWANTGSEMNRKSSSQVDLLRIETLYHADKEKTEAYILELVVWLHYLVSQSKRANAGVRSPVKSPICSPNQKTIELSNHQSSSPSSPLSVEDQEMLRDVSKRKLTPGISKSQEFAKTGLSKYNRLSKSNSHSPINETRKNPFPIKRPSSVPVFDFDTDRLKALDVIDRVDTVRGA >Solyc02g081535.1.1 pep chromosome:SL3.0:2:46015107:46016903:1 gene:Solyc02g081535.1 transcript:Solyc02g081535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLEVRIEPTSVLEAFHSKAQTLLSLYFLMDHDILVHDIPGDGSCITFKLLSAGINYLPISVEICLRGKGRVSLYNCNSAVRRSSPYDEVTAH >Solyc01g108400.3.1 pep chromosome:SL3.0:1:95628570:95637064:1 gene:Solyc01g108400.3 transcript:Solyc01g108400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACTSKPPRPNPYSPQEILPPPETPNVAETQKENEAKKSPFFPFYSPSPARFFLSKKSPARHSSASKSANSTPARLFKRPFPPPSPAKHIKALLLRRHGSVKPNAASIPEGEETEGANLDKSFGFSKQFVSKYEIGEEVGRGHFGYTCSAIVKKGELKGQKVAVKVIPKAKMTTAISIEDVRREVKILRALTGHNNLIQFYDAFEDRDNVYIVMELCQGGELLDRILARGGKYSEEDAKDVMVQILNVVAFCHLQGVVHRDLKPENFLFLSKDESSPLKAIDFGLSDFVRPDEKLNDIVGSAYYVAPEVLHRSYGIEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPTYDEAPWPTLTSEANDFVKRLLNKDPRKRMSAAQALCHPWMRNHSGAKLPLDILIFRLMKAYMRSSSLRKAALRALSKTLTADELFYLKEQFALLEPDKNGNIKLENIRSALMKYGTDAMKESRIPDFLASLNALQYRKMDFEEFCAAALSVHQLEALERWEQHARCAYEIFDKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFLGFVKLLHGPSTRGLAKAQ >Solyc10g005670.2.1.1 pep chromosome:SL3.0:10:535681:536181:-1 gene:Solyc10g005670.2 transcript:Solyc10g005670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATAEVCDVNAGHLSNGDLRVLPPVFKIYGQCRAFSGPITTLKVFEDNVLVRELLETRGEGRVLVIDGGGSMRCALVGGNLGQLAQNMGWAGILVNGCIRDVDEINGCDIGVRALASHPQKSNKKGQGEKHVPIYIGGMMIREGEWLYADSDGILVSKTELSI >Solyc10g049530.2.1 pep chromosome:SL3.0:10:45994064:46002591:1 gene:Solyc10g049530.2 transcript:Solyc10g049530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSETQTNAKWDNDAHLKFIELCELEIRKGNRPNTHLSKDGWKNTIKAFYEKTGRRYTKLQMKNHWDGMKAEWTFFKQLMRGYTGIGWDATKNTIMADDDWWKRKIKEDVRYRKFRNKDLLLIWFRYDALFSDIVATGERARASNQSQFFESEVDCDEERQNGIDNDDMEHFINTNNEGGDESDDPEEMNSSMFPKPSIKRPNSTDGIGTSNQVKKSKTKSTAASMKEDMQSLLELMSNKSTATSHEVDDPTIDKCMDFLANIPNIFERGEMYNYFVNMFLKKDIRQVFRKMPTDEVRKSWMEYNYELYLKKV >Solyc08g043140.3.1 pep chromosome:SL3.0:8:23098389:23103171:1 gene:Solyc08g043140.3 transcript:Solyc08g043140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIHHQGNVDSNNVTGSCLYELLQMESSANCSGHQPERRNRSSTPRILVELPRTSQFHLCYVVTSVELSVYFLILHNRPSLTYRLQVFSSNRLLFVLGPRHRLQRLLSESGNRFCADCGSPDPKWVSINLGIFICIKCSGVHRSLGVHISKVLSVKLDEWTDDQVDSMIEMGGNSAANMKYEAAIPDSYRKPRPEALIEVRTDFIRRKYELQQFLNSDEQMICPYPPSSSSHCNSLSLTCSLALDKRNYEKQSTGHRIHGIGHAFRNSWRRKESEHRSTKKSNSMAGMVEFIGLIKVNVVRGTNLAVRDVVTSDPYVILSLGSQSVKTRVIKNNLNPVWNEKLMLSIPENVPPLKMLVYDKDTFTTDDFMGEAEIDIQPLVTAAKASENSTLSESIQLGKWKASKDNTLVKDGMISLIDGKVKQEISVKLQNVERGVLEIELECVPLTQ >Solyc10g008530.1.1 pep chromosome:SL3.0:10:2638296:2640286:1 gene:Solyc10g008530.1 transcript:Solyc10g008530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWISCYYPSTNSWNTHVTSIPGLLENHVLKDFTITVIGEEIFVIGGRQCHKDVNGSMENNNVHEIDIEILPSVLKYNVCKDCWTKCAPLKTPRFNFACMTSKDGKIYVAGGQTTFDGAKGTSLAEVYDPILDEWKQLPNMSTTRYKCIGVLWQEKFYVVGGFARRENTHDTHQGPYIMERSSAEVYDPDRDTWDYMARMWDLDVPPNQIVNIDGKLYSSGDCLNTWKGHIESYDGKHNLWYIVDGSSSPISILDDTHNNWPNLERMFCTMAPIGTKLYFLAGYRNMLGDNYITRTRSEVHVFETTTNGNGWRSLIEPLEEQGEKELCSHSCVMKLDT >Solyc08g076070.1.1 pep chromosome:SL3.0:8:60247048:60248094:1 gene:Solyc08g076070.1 transcript:Solyc08g076070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCRRSTREESMDRSLVSRLQDSGNFVVQDETRNTTLWQSFDHPTNCLLPGMKLGYNLTTMQNWTTYSSYIDEKRKEEAYIRELTASTTFGFILEATNNFSSEEMLGEGGFGPVYKGKFPDGREVIQQEGSYWIGRNSLQLSKELLYLHRYSRMRVIHRDLKASNVLLDENMNP >Solyc12g062680.2.1 pep chromosome:SL3.0:12:34021943:34024826:1 gene:Solyc12g062680.2 transcript:Solyc12g062680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IPVDCRAFYTFILDNIVRTGQGWRKYTRELLSFAGAKTILKCNFGALPSLPPLLHPKGWGGGAEEKRKGYAASVESRDMDLLELSAYGDVRRGEDSGGCKIQ >Solyc01g103480.3.1 pep chromosome:SL3.0:1:91952064:91959744:-1 gene:Solyc01g103480.3 transcript:Solyc01g103480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit delta [Source:UniProtKB/TrEMBL;Acc:K4B1S4] MVVLAASIISKSGKALVSRQFVDMSRIRIEGYLAAFPKLVGIGKQHTYIETENVRYVYQPIESLYLLLVTNKQSNILEDLETLRLLSKLVPEYSYSLDEEGIGRTAFELIFAFDEVISLGHKENVTVTQVKQYCEMESHEERLHKLVLQNKINETKDVMKRKASEIDKSKIERNRGDKGGFMSLQSMSSGRIDTGFGSDSGISNIGGNGSGGFALPPDVDTFSTKSKGRPAASATAPPKGLGMQLGKTQKTNQFLESLKAEGEVIVEDVRPSVGQAKPAAAPLTDPVTLTVEEKINVTLKRDGGLSNFVVQGTLSLQILNQEDAFIQVQIETSGNPAILFKAHPNMNKELFANENILGLKDPNRSFPTGQGGDGVSLLRWRMQSTDESILPLTINCWPSVSGSETYVNIEYETPAQIDLQNVVISVPLPALREAPNVQQIDGEWRYDSRNSVLEWSVLLIDNSNRSGSLEFVVPAADPSAFFPISAQFTSSRTFSDVKVVNVLPLKGGATPKHSQRTLLATESYQVV >Solyc02g081150.1.1.1 pep chromosome:SL3.0:2:45767095:45768144:-1 gene:Solyc02g081150.1 transcript:Solyc02g081150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPERLTSSNSQTTTISSDSIHSPEFEFWMVRNPSFPQPNQLSADELFSHGVLLPLDLLQNETNVISPEPSGSGRPETESGVEPSAAIVNSSGGGASFTSSKRWKDIFKKTEKKDSNEENCREKKKEKKKEKKLVGGSNGVTGAELNINIWPFSRSRSAGNGGSRPRVTGGSGLATRKVSSAPCSRSNSAGESKSRKWPSSPSRGGVHLGRSSPVWQVRRSSINPGSGTRSSDNLVKTTEKAIRKEAPQKESSTRKVVTKKEGVEVRRKWSSAAAGGGPKTRVLNLNVPMCIGYRNQLGCRSDQNSAIHIAAATGVGDDQNGSSTVTGEGVRGSNLFNLKSLFTKKVY >Solyc08g065830.3.1 pep chromosome:SL3.0:8:54206792:54209125:-1 gene:Solyc08g065830.3 transcript:Solyc08g065830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKGKGRNNTRENVVTDKDDLQEIAASNDEKKLNTATKNEIALLPEEEQLNTGTEKKKSVAKTSEKSLKVRNKNKRKLYPGMPEVAFEESCPVCRQNCNCKTCLRLDGPIRALKNLYCEISEEEKIQCSKFIVQKLLPFLRRFNTEQVMEMEIEVKIQGVPVSELMLPKAKCRRSERIH >Solyc03g098760.2.1.1 pep chromosome:SL3.0:3:62472753:62473361:-1 gene:Solyc03g098760.2 transcript:Solyc03g098760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKCLFLLCLCLVPFVVFSSTFTSQNPIDLPSAKPVPILDTNGKELDPRSSYRMVYTNRGPYGGDIYLDYSPGSTAPCPDGVFRYGQVGPMGTPVRLITPSHFGPGVYEEQQIKIQFVISNVEKCGDYTIWKVGPYDREERTSFLETGQQDSKSCFKIVKSPRLLGYELLTCDGALVGTMGQRVALVANYSLDFDFEKVED >Solyc06g082960.3.1 pep chromosome:SL3.0:6:48610119:48611076:1 gene:Solyc06g082960.3 transcript:Solyc06g082960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSENSDNRGKHSVAVTALDGVINVNSLFTIAIFVGLSLASPGQKSLNSSKRCQPGILTVKQLVIFEVLSFSFFLFSSLIALAIKLSLHLLYSEDLSHGFSDIVSATLLRAGMLMTAISSVMGCLFLMVSMLNVVEIKTGMLYCGAKSTIISVTFLIILVSSGLLVYISAAWYAFIHANVHPHENRTA >Solyc08g023630.3.1 pep chromosome:SL3.0:8:26881594:26884134:-1 gene:Solyc08g023630.3 transcript:Solyc08g023630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSNIMEDEDVSPNASLQRNGSFICVAAPFLYDKLPEEPLKLSILKLDGSSFDIEVPRNGNVDDLKRAVVEAFSHCKISWLHVWGHFCLSYDGQKLLSDDDLIGTYGIKDGDKLSFVRHVSVGHNPKMTQSEVNHQFDPKVSNDFDGLKGEEEDNHHDQDDEDNSSEDEYNGCGVSNCQYRLFHLFRGLFSYQKLEMSERRAEQKTE >Solyc01g104930.2.1 pep chromosome:SL3.0:1:93153397:93155819:-1 gene:Solyc01g104930.2 transcript:Solyc01g104930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDDTYFIFEASNSSGIKIINQREAASSNNFRQIEFNYESLGIGGLGAEFSDIFRRAFASRVFLSHVTNKLGIKHVKRMLLHGPPGTEKTLLMSKAGRDKVCDL >Solyc12g017855.1.1 pep chromosome:SL3.0:12:7572658:7572890:-1 gene:Solyc12g017855.1 transcript:Solyc12g017855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKRLRDTRNYSENARNSILDRRVTSLFKKVEELSTLCDIEVAIIIFKPGSIQPIAWKSASLAQDVLTSE >Solyc06g068230.3.1 pep chromosome:SL3.0:6:42400752:42411479:1 gene:Solyc06g068230.3 transcript:Solyc06g068230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPRSGRGKGNRAKTDKKKKEEKVIPSALDITIITPYETQVILKGISTDKILDVTKLLSANVETCHFTNYSLSHEVKGPKLNDKLDIATLKPCLLRMVEEDYTEESQVVDHVRRLLDIVACTTRFAKPKAGKSTTASAASGGAGLEARAKKPKAQRNASSRPASPSDGVAPTLEPSAPAAQEENEMVAIHPIPKLSDFYEFFSFSHLSPPILSLKRVDCNNAKTRRDGDYFELQIKICNGKTLQVVAAAKGFYTLGKPLMRSHCLVDLLQQLSQAFANAYESLMKAFTEHNKFGNLPYGFRANTWLVPPSIVDSASNIIPLPVEDESWGGDGGGQGRNGEHDHRSWATDFAVLAKLPCKTEEERVVRDRKAFLLHNLFLDVSIFKAVSAIYQVMNSTSRDNSNCAPGSVLCENRIGDLSIVVKRDCGEASLKEVKVIDSSDSNVSAEDVAQRNLIKGVTADESVVVHDTSSLSMVVVKHCGYIAIVKVVGDIQVGKSLPQDIKIDDQPDGGANALNINSLRLLLHKPVTAGFSGGGLLPLSNLKDSANSMSLVYKIIKDGLSKLKRMDDKSKGSIRWELGSCWVQHLQKQETLAEDKVGNDGKAEPIVKGLGKQFKMLKKREKKPGNVSSMDDNEADDVTASTLNTESDLTELSNGNPKCEVEWRRFISQEAYLRLKESGTDLHLKSVNELVEMAHKYYDEVALPKLVTDFASLELSPVDGRTLTDFMHLRGLQMRSLGHVVELAEKLPHIQSLCIHEMVTRAFKHVLRAVIASVDNVANLSAAIASSLNFLFGSSSSQESDENHILKMQWLRNFLVERFGWTLKDEFQQLRKLTVLRGLCHKVGLELVPKDYDMECPYPFSKSDVISVVPSCKHVGCSSADGRTLLESSKVALDKGKLEDAVMYGTKALAKMIAVCGPYHRATASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHIELALKYVNRALFLLHFTCGLSHPNTAATYINVAMMEEGMGNVHIALRYLHEALKCNQRLLGVDHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGADDLRTQDAAAWLEYFESKALEQQEAARTGAPRLDASIASKGHLSVSDLLDYISPGQGSKTIEEQRKRRSKVVYHSACTSLTPCQVLPVDDQSQKGQRDGRSNNPINHDVTENPVTTVEVNKKEDDVERVATQEVEGINITNNEEPVEIIHETSSDEGWQEANSKTRAGHVSSKMFNRRQPGLAKIKTNLEYIFPRDNSSRKEVTPQGQKVVSKNGLGEFSPAKQLKAASFSSSEKSTKLAAKMTVAEISHTSNVTVPSPPASLATMASKSLSYKEVAVSPPGTVLKPLLEKVEELNEDKTDSQICVSPTETSEEDGRHSMTTEATPANDQDRHGIHEDEIQISGSESDKSSLEPEDVSCSSNEEKCLRRNGSKLSAAAEPFNPGAYHLTHMLISAAVTSVYDVRANQGMLTEPVGFPSIAERVPCGPRSPLYHRTSHARMKNGYVKYQKPAAEINGYDYPRIMNPHAPEFVPRKTQPTTAASEDSKVAIDSDSSSGLNNSVPVFSAEEKLDRKVAVNVKNGRSTKSSSHADREELARQIQNSFIVKSKQNNSDVASEYPVSTKKSEFLVSSAKASADGATKLHGGSEGKKELLVEANKYSGPKTVDVNKNKHEDGEGFLTVVRRRRNRRQFAHGINGLYSQHSICA >Solyc09g055800.1.1 pep chromosome:SL3.0:9:45081588:45082437:1 gene:Solyc09g055800.1 transcript:Solyc09g055800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLNALDDTQDDLKQLVDARITTIVLVLIVFISMIWIAEGLGALALYYPACPHLVLTIGTNNHYDYEFLTFLLQDHTIGL >Solyc03g098250.3.1 pep chromosome:SL3.0:3:62036193:62041994:1 gene:Solyc03g098250.3 transcript:Solyc03g098250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVLRNKVQRKFKMRGYSLKVEALSEVLSFLSHFPSDAFDDALELLLDELHHLSLKSSILDREPVHKVVSLLLEADAAVEENPSSSSSSASALRVIDTFIVPKFRYDPVKKFFHEHTGRLPIHGDASAKATLYKDRFLLLFQRVSRDPRFSTLAFDASSSDYGSCEEIVVLQISPIQSLVGRVGRRWIMGVISQLEDGHFYLEDLTAAVEYKITTGFFLENTIVLAEGEMQLDGVFQVRTCGFPPLEDREKSMAFFSGLDFFGGGILTKEETVSFFTKFNKMKIENAMAELFMVAKNDYEASLTSVIAKACRAREKCCE >Solyc10g086570.3.1 pep chromosome:SL3.0:10:65482767:65483435:-1 gene:Solyc10g086570.3 transcript:Solyc10g086570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSIACVQFSVKKSLVIPSTIVGTKSPVTHKNKKQRSLKVVAAIGDVSSDGTVYLIAGAAAVALVGTAFPILFSRKDLCPECDGAGFVRKGGAAALKANAARKDEVQIVCANCNGLGKLNQVDK >Solyc10g045750.1.1 pep chromosome:SL3.0:10:35863103:35863893:1 gene:Solyc10g045750.1 transcript:Solyc10g045750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRVCPILRCFVLPTIGSKISVFFVILTTFQIPICISVGWSCMICYGKEYITTFLIREFMTIAMFFKLDLLLLYVLPKSVQIPMLCRASIFYSLE >Solyc10g005510.3.1 pep chromosome:SL3.0:10:413592:427748:-1 gene:Solyc10g005510.3 transcript:Solyc10g005510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEVQVDENFPLFSRKTKPATKKLVPAPTSIEETPKQLDKETNSGPTPSYITFSDLGLAGWAVQTCNELGMKKPTPVQYHCIPRILSGQDVLGLAQTGSGKTAAFALPILHRLAEDPYGVSCLVVTPTRELAFQLAEQFRALGSCLNLRCAVIVGGMDMITQTKTLMQRPHVVIATPGRIKVLIEQNPDIPPVFSKTKFLVLDEADRVLDVGFEEELRAIFQCLPKNRQTLLFSATMTSNLQTLLELSANKAYFYEAYEGFKTVESLKQQYIFIPKNVKDVYLQYILSKIKDIDVRSAIIFVSTCSITLLNDSFYSSAVACNVCQTNSREYEKQRIHSCFTILTRSCQLLGLLLEELEIDAAALHSYKSQSLRLSALHKFKSGQVPILVATDVASRGLDIPTVDLVVNYDIPRYPQDYVHRVGRTARAGRGGLAVSFVTQCKDYFLQNDVDLIHEIEAVLGKQLEKFDCKENEVLDDISKVYKAKRVASMKMMDDGDSPNSLVFITMAFSSLLKPAASFVRPSHRSQVSCAGLHHSSNSVKLQSSIFGDAVTILQSSSLQKSGACSIQPIRATATELPPTVPRSQTGGKTRVGINGFGRIGRLVLRIATFRDDIEVVAVNDPFIDAKYMAYMLKYDSTHGVYSGSISVLDDSTLEINGKQIKVSSKRDPADIPWGDLGADYVVESSGVFTTIDKASAHKKGGAKKVVISAPSADAPMFVVGVNEKTYKANMDVVSNASCTTNCLAPLAKVVHEEFGIVEGLMTTVHATTATQKTVDGPSMKDWRGGRGAGQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLDKSASYDDVKAAIKYASEGPLKGILGYTDEDVVSNDFVGDSRSSIFDAKAGIGLSKSFVKLVSWYDNEWGYSNRVLDLIEHMALVASIH >Solyc04g077700.1.1.1 pep chromosome:SL3.0:4:62725874:62726185:1 gene:Solyc04g077700.1 transcript:Solyc04g077700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCYDKLERYFVLNVDSVELNAESMELNVESEELKAELEIVVDDPNMTEEIEARIVEIRVRNDVIEARNEEINKHEMYRIENVILARDKVFVRQVEHINSPT >Solyc04g050700.2.1 pep chromosome:SL3.0:4:47964402:47965745:1 gene:Solyc04g050700.2 transcript:Solyc04g050700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTDDFFALLYLLKLNTSQMDLKAITISTHGWNDAGHVVNQVYDMLYMIGRDDIVVDVGGEGGILPNGTILPDVGGYLPIIDQATAGYCRYRQAILGPGGRLDINSNFGF >Solyc11g073240.2.1.1 pep chromosome:SL3.0:11:56560885:56569493:1 gene:Solyc11g073240.2 transcript:Solyc11g073240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFLAISQSSIVLPNFRVQAWSKRQLFIAHSQPLRSLHSSLHSLTTSHTVYPGLSAGSTHFSLGVRASVVSVDSTMPTTFTVDPAGPGIDVLPEAGGGGGDIGGANDDGGGRGDNSGNNNDGDNEGGSDEGEDHNSKKKMALSMSQKLTLGYAFLVGAGGFMGYLKSGSTKSLIAGGVSASLLYAVYTMLPIQPVLASAIGFVLSAGLVGVMGSRFLKSKKVFPAGVVSFVSFVMTGGYLHGILRSAH >Solyc09g090890.2.1 pep chromosome:SL3.0:9:70778101:70780365:-1 gene:Solyc09g090890.2 transcript:Solyc09g090890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding HAHPGSPYVFGLVGNDQDLDFPEPMPVVGISRSAKGYCIISVYGTMKTCFLEDGLTEEAVVTKLRTFFFLRIGKQTKLRTYRCHHLFLHNSSKKNSSGLQIAVLFLPLLIRELSSLAKGPNTHTLGHSSYSYIYLFGCM >Solyc01g105560.3.1 pep chromosome:SL3.0:1:93592009:93596576:1 gene:Solyc01g105560.3 transcript:Solyc01g105560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASINISFPSTTFHSRSQANFKLFSGLHSGDVVSLNGVSSGSFIRLNARPHLTIKSDFKITSALLSGEGDIRFQSRGEKTLFSSLSTGTETQPDAVTFATLEADGVPTTSGFLSDDDECDLDRPTEGFSSVPEAIEDIRQGKMVLVTDDEDRENEGDLVMAASKATPEAMAFFVKYGTGIVCVSMTEEHLERLQLPLMVNDKKNEEKLCTAFTVSVDAKHGTTTGVSAHDRATTVLALASGDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAVLAGLDPVGVICEVVDDDGSMARLPMLRQFAKEHNLKIISVADLIRYRRKTDQLVEHASAARIPTMWGPFTAHCFKSIIDGIEHIAMVKGDIGDGQDILVRVHSECLTGDIFGSARCDCGSQLATAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDAGRDTVEANEDLGLPVDSREYGVGAQILRDLGVRTMKLMTNNPAKYSGLKGYGLAISGRVPVVTPITKDNKRYLETKRAKMGHVYGLNLIRPATSTSTTNGKPNSENTSTIR >Solyc04g017780.2.1 pep chromosome:SL3.0:4:8194412:8195277:1 gene:Solyc04g017780.2 transcript:Solyc04g017780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGKDYEHEAFLSLFLSRFMFPCIVGSLIFSIAVNMARGMRLALSPGVLASIYRDLGSLRKVMIEAGRRNKDMIEIHKPNLWSPLFFIKVWAWERMISLQLERKWSLTIDS >Solyc06g048390.2.1 pep chromosome:SL3.0:6:31069354:31070184:1 gene:Solyc06g048390.2 transcript:Solyc06g048390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSLPLHHYPTFCTNYSPSPHPTYAFSYNIIVAQLYTHTLLIGNTALCITYSLSLILSPACTREKPNLLDALRSPTTDRDKRPPALDA >Solyc10g007260.3.1 pep chromosome:SL3.0:10:1663266:1668550:-1 gene:Solyc10g007260.3 transcript:Solyc10g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC13-2 [Source:UniProtKB/TrEMBL;Acc:K4CXQ6] MANSNLPRRIIKETQRLLSEPAPGISASPSEENMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEAEAVETAKEWTRLYASGA >Solyc07g015840.1.1 pep chromosome:SL3.0:7:5544252:5546698:-1 gene:Solyc07g015840.1 transcript:Solyc07g015840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDNDSIMIFGVKIEKGRKSPMKMVQNKEKSNAEKKKSVEDTKTKNKNNLYDFVEKTVLGKEQPEDIKASKPGATIDNMDNSPGIGASARYKHVHESTSKPPKEDVRTSIQQNDIVQSPSQAKRQKVLKYTVSLNFLLLLCIVKKTNYV >Solyc04g082010.1.1.1 pep chromosome:SL3.0:4:65911468:65911980:-1 gene:Solyc04g082010.1 transcript:Solyc04g082010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PETE description:Plastocyanin, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P17340] MATVTSAAVAIPSFTGLKAGASSSSRVSTGASAKVAAAPVARLTVKASLKDVGAVVAATAVSAMLASNAMALEVLLGGDDGSLAFIPGNFSVSAGEKITFKNNAGFPHNVVFDEDEIPAGVDASKISMSEEDLLNAAGETYSVTLSEKGTYTFYCAPHQGAGMVGKVTVN >Solyc03g113690.1.1.1 pep chromosome:SL3.0:3:65245782:65247758:1 gene:Solyc03g113690.1 transcript:Solyc03g113690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPCTTYHPSPGSPENDSMILFSTSTSCSPAKESTITNSNSSSFDSSSPPQSSLDKTYELRIRNLSYTISPNGSIFSSLMKKSTKPKTTILKSVSFVAGCSGILAIVGPSGTGKSTLLRVISGRVSDKEFDPKSIYLNDHAVTTPAQLRNICGYVAQEDNLLPLLTVKETLMFSAKFRLRGMSSKEREERVESLMQELDLDHVANNFVGDEEKRGISGGERKRVSIGVDMIHDPSILLLDEPTSGLDSSSALQVIELLSSMAKTKQRSIVLSIHQPSYRILQYISNLLILSHGSVVHYGSLQSLEENITRMGYEIPIQLNPLEFAMETISVLENQEPHYKYQSCSYLRWEEAIIQDTANKEGIDQAKVGAVSNKHCSSLFEIAVLCSRFWKIIYRTKQLFLARTMQALVGGFGLGSVYIKMRNDEGAVAERLGLFAFSLSFLLSSTVEALPIYLQERRVIMKEASRGAYKISSYMIANTIIFLPFLFAVAILFAVPVYWIVGLNPSITAFVFFTFVVWVIVLMASSLVLFLSAISPDFISGNSLICTVLGAFFLFSGYFIPKECIPKYWIFMYYVSLYRYPLDALLTNEYWSLRHKCFTWNDENHSECLLTGNDVLKNRGLDKDTRWMNVGIMLAFFVFYRVISWIVLARKASKTTI >Solyc11g020660.1.1.1 pep chromosome:SL3.0:11:11830221:11831159:-1 gene:Solyc11g020660.1 transcript:Solyc11g020660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSVKRKASLVKKISELSILCDIKACMIIYDEGNSNCEMWPNEVKELINVYKDQPFEGRTKRGKTLSNYFKDEIKVEKDLDSRFDYFENDEKKKADAINVEKYPTWDSRFDYLSQKEIQNLVGVISKRMENAKGRIELLKSMNGSCSLSHRQQIWDYNNLMNQTSPWPISDHVNSFNNFFQSNIGVNSMMESENWLANDEIGSSLAMHPMGNNYGIIDSSTTMMQPMGNNEIGSSSTMQQPMFQYPFMYNGSTHVIGSSSTMQPPMGQYPFTNNDDSTGDIGSSSMQPIGNNNEIGPMDNDSTYDSCTFYY >Solyc05g052880.3.1 pep chromosome:SL3.0:5:63905963:63909439:-1 gene:Solyc05g052880.3 transcript:Solyc05g052880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGKQVFGSSASASALSFTNDLFGPKEPSKTSLFGSVFGPQSTGLGRDSTHSVARGSSRIQDPKNQSCGNAKYGTSGNKEKNNSILYQNRTTEPCHLSSSIYYGGQDIYYPPTASHNTKNVEDDDDQNGNNSNCASRGNWWQDTPLPGIEPGSPA >Solyc02g072170.3.1 pep chromosome:SL3.0:2:42058872:42059490:-1 gene:Solyc02g072170.3 transcript:Solyc02g072170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTISEISSSSSPNSWQLDEDEPIISSSLKIQLVSKSVSERLLSKFSDLTEFGFDYSKSGLWSPPIERCHVFSGSPTGKILSHRQMADKLNKVLKRHQRRRRCFNACLCSPKRF >Solyc05g013340.3.1 pep chromosome:SL3.0:5:6428177:6438049:-1 gene:Solyc05g013340.3 transcript:Solyc05g013340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAASALFLLDIKGRVLVWRDYRGDVTSVQAERFFTKLIEKEGDPLDPVAYDNGVTYMFIQHNNVYLMTASRQNCNAASLLLFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMEVTQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQIVRSDVVGALKMRAYLSGMPECKLGLNDRMLLEAQGRTTKGKAIDLDDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLGTQVKPLIWVEAQVERHSRSRMEIVVKARSQFKERSTATNVEIELPVATDATNPNVRTSMGSSTYAPEKDALIWKIKSFPGGKEYMLRAEFTLPSITAEESVPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLV >Solyc06g054520.1.1 pep chromosome:SL3.0:6:37388649:37393605:1 gene:Solyc06g054520.1 transcript:Solyc06g054520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEIVKLDREDVVIAEEIGGVGVITINRPKQLNVLSNKVILLLGEKLEKLEKDDSTKLVIIKGAGKAFSAGGDLKMFYYGQKTRDSCLEATYRMYWLCYHIHTYKKPLVALVHGMSMGGGASLMTPMKFSVVTEKTVFSTPETLIGFHPDCGFSYMLSRLSGRLGEYLGLTGARLKGKEVVAAGLATHIVPSQKLVELEKCLLSLNSGDENAIESVIKEFSTDFEIHENSILQLNRMSIINECFSKDTVEEILMSFEAEAHKLGNDWIQPVLKHLKRLSPTGLKITLRSIREGRKQTLSECLKKEFRISNNILRKTISNDFYEGISAIVINKKKSPKWCPTTLEEVKDEQVNLIFKNFEQHLELQIPEKNEQRWKGKYDNSPHYYRINQAMKIQKVLPSTTPGIGLIQSAL >Solyc12g096250.2.1 pep chromosome:SL3.0:12:66243207:66245460:-1 gene:Solyc12g096250.2 transcript:Solyc12g096250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQIVSTCVVRASSNSNNGITHTSQNIEMTPWDLQFLLVETIQKGLLFKKPTPQQQNNLIKSLNSLSLVDHLKASLSRTLDFFPPLAGRFSTTKNPNDNTITSFSITCNNSGAEFTHAIAPELTVKEILESCYVPTIVHSFFPLNKVRNVQCVTKPLLGVQVTELVDGYFIGCTMSHSLGDGTCFWHFFNSWSEITRGFELISRFPTLERWFPQNVNPPIYFPLELDDEKLDVCMEMPIVKERVFHLSKENVSKLKAKANYEMDTKSISSLQAFLAHLWRCVTRCNRVNANEEVILNIVIGTRTRLDPPLPEEYWGNAAIIKPIKVKAGELLENGLGYAALLINKVVASQNYEEVMDSYRRWVENPVIVSKKSLFVANRLSISSSPKFNVYSCDFGWGKPVGVRSGMANKDNGKVTLFRGVEEGSVDIEVCLMTSTLLAMENDEEFMEFITV >Solyc04g082950.3.1 pep chromosome:SL3.0:4:66499675:66502524:1 gene:Solyc04g082950.3 transcript:Solyc04g082950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTPSNLVVTLLAFTLVMLIWNLQPYYDTILNPPASSNITDPNKRTFITYGNAASLFVQMGAYRGGPTTFAVVGLASKPLHVFGRPWYKCEWIPNTNTNSSKAKAYKILPDWGYGRVYTVVVVNCTFTVNPNLDNNGGKLILYAYYSESPKRYEKITALEEAPGSYNQSKFSPPYTYEYLYCGSSLYGNLSASRMREWMAYHAWFFGPSSHFVFHDAGGVSSEVRAVLEPWVQAGRVTLQDIRDQSEFDGYYYNQFLVVNDCLHRYRHSAYWTFYFDVDEYIYLPDANTTLESVLRDFSNNTQFTIEQNAMSSILCLNNSSQNYSRLWGFEKLLFRDSRSNIRRDRKYAIQAKNAYATGVHMSENVVGGTLHQTETKIRYYHYHNSITVHEELCREFLPMSAKHNITWFDKVPYEYDDSMKKLTQTIKDFERNTININAAPDHTNNS >Solyc01g109350.3.1 pep chromosome:SL3.0:1:96227747:96246196:-1 gene:Solyc01g109350.3 transcript:Solyc01g109350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSAEYQLRCQLTGHEDDVRGICICDKVGFATSSRDRTIRFWSPDESNHRRFTTSKILLGHTSFVGPLAWVAPSEELPEGGLVSGGMDTLILVWNLSTGDKVQTLKGHKLQVTGIALDGSDVVSTSVDCTLRRWRKGQEVEVLEAHKAAIQAIIRLPSGELVTGSSDTTLKVWNGAACAHTFSGHTDTVRGLAVVPGLGVLSASHDGTIRLWELSGQVLLEMVGHTSIVYSVDAHASGLIVSGSEDRFAKIWKDGVCVQSLEHPGCVWDAKFLDNGDIVTACSDGVVRIWTVHQDKIADPLELESYAAQLSQYTLSRKRVGGLKLEELPGLEALQIPGNSDGQTKVVREGDNGVAYAWNMMEQKWDKIGEVVDGPNDGMSRPLHDGIQYDHVFDVDIGDGEPIRKLPCNRSDNPYDVADKWLLKENLPLSYREQIVEFILQNTGQKQFTPDPSFRDPYTGSSAYTPGQPSKPVAAKPTFKHVPKKGMLVFDAAQFDGILKKVSEFNNTLLSAPEQKHMVLTEADMSRLASIIHVLKDTSHYHSSKFSDDDVVMVLKLLKSWPLTMLFPVIDILRMMILHADGAAVLSKHVNKANDVLMELIKKVTTSPPLPANLLTSVRALTNFFKNASYHDWLLKHRGEVLDAYSTCYLSSNKNVQLAYSTLILNYAVLLVEKKDEEGQSQVLSAALEIAEEESVEADAKFRALVAIGSLMLEGVVKRIAMDFDVANIAKAAKASKDAKIAEVGVDIELITKQS >Solyc10g079510.2.1 pep chromosome:SL3.0:10:61167387:61179125:-1 gene:Solyc10g079510.2 transcript:Solyc10g079510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTILKTFVVMLVVLMLRRIFCFMELEQLIQSDTMIYQPLVEMTQDIRSWIARKKNTLEKHGFQHYASCWTGDKALKELQEANVTKQCFPILQECASKAIKAASEAEPGTDHLSGMAASVLEGTAPYFKFQIAYLLKECSLYIYIYIDYENHSGLVSSLSYFFSGDGLHVCDYQLALQRYVKKSPGTAVGSWTQTFSLWCLNPAVVFREIADSCLSVILTSGTLSPMDSFSSELGVIFGTSLEAPHVIDVESQLCAAVISRGPRNYPLNASFKTADSYAFQDALGTSLEEICKIVPGGCLVFFPSYKLMEKLSSRWKETGQWARLNARKPIFTEPRGGQEEFESVLNGYYSSINQREKLVVGRKKKAKGSALSDGTPLEVSNENKKEGAAFLAVCRGKVSEGIDFSDEKARVVIIVGIPFPNINDMKVDLKKKFNNTYKSSKNLLSGSEWYCNQAFRALNQATGRCIRHRFDYGAVIFLDERFCEARNRAYISKWLRNSIRHHSNFDKSMEELKSFFRDVKERVGKAASSIQSSVVDNEENAFVTNSIRTRQKNQKFGTSDVKGLKEEENCALICQKAPLLCQSSCIDAMYNTSSTKMRIDAPHLMLNDDEDSDGRRAYIDLECDSAFSSRFSGDPLIVSHAADPQLTIVKETPATDDIIHISSPQSFSKDEYSSSTIIQASSDLSDHLANHSTTHQKTELGCKSPCLTITPQKDVYTKANMISFDVESPINSSVNSHVGKRIKRLDLSSIVHLDAEEFDTQMTKIPMHDSSTCKSRKFKDANQMIDSSSRISQLDKELEKSKSPWSSVLNNYETSVVQSNLAMYERLQIFCSLCNNPLGLPENNLFVVCSRTLSTKTHLKSLWKGQPETPGLSRHSIPILIANFSSVDQRIYERTSDNLSVQGIWCKEDGCVFKTIFCPFCVNSRHCLGVQVMASDASNVQLLNKVLLYCDCLMIKEPEASRKELSPSNNLSSDRGLSSIESFTFTPEQQNLGGWRTTKSKMRLPKRSISSSAQR >Solyc10g078930.2.1 pep chromosome:SL3.0:10:60698754:60704557:-1 gene:Solyc10g078930.2 transcript:Solyc10g078930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLGEGDKRWIVEDRPDGTNVHNWHWAETDCLHWSRNFLTNLLANKTLVDGEGNLKIRTKKIEKLEGEAYVNIRKGKIIPGYELNLVVSWEGEVKEADGSSVMKIEGNVEFPYIADENADEDPEVKVSVKDEGPIGKRLKDAFVVKGKPFVLEQVKTYVKAMAKGGPAKEEGEVKKIVKRPAGAGNVALPPTTAVDEKAKVVVAEKEKKKEGFKTITMTEKFNCRAKDLFEILMDENRWKGFTQSNARISKEVGGEFSIFDGSVTGTNVELQEGTLIVQKWRFGNWPDGIHSMVRITFEEPQSGVTVINLTHTDVPEEDRYGNATVVENTERGWRDLIFNRVRAVFGFGI >Solyc07g049400.1.1.1 pep chromosome:SL3.0:7:59801665:59802177:1 gene:Solyc07g049400.1 transcript:Solyc07g049400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGEDRPRTMWRSLKQRLGFTGLVCCGSSWNLRVSDVTMREEEAQQFEAQLFGEEEPIIGAHQGNVNLATALAAERRYRSVDATAELKTLMRLFEETDGIDEEKSLKWYIKEEGRGGIDEMCCVCMERNKGAAFIPCGHTYCRVCSRELWMKRGSCPLCNCSIDEILNIF >Solyc03g113140.3.1 pep chromosome:SL3.0:3:64886518:64892027:-1 gene:Solyc03g113140.3 transcript:Solyc03g113140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKTTKDSESINSNRRGNSSQKRERGLQIEGYSLEGISIAGHETCIIVPSLNLAFDIGKCPQRAISQQFLFISHGHMDHIGGLPMYVATRSLYRMRPPTIIVPKVIKESVEKLFEAHRAMDHSELNHTLIGLDVGEEFYLRKDLKVRAFKTYHVIPSQGYIVYSIKQKLKQEYVGLPGDEIKKLKLSGVEITNTSTAPEIAFTGDTMSDFIKDPKNVDVLRAKILIMESTYVEDKTTADNAREYGHTHLSEIINFADMFQNKAILLIHFSARYQLDVIQEAISAIPPPLAGRVFALTEGF >Solyc05g008590.3.1.1 pep chromosome:SL3.0:5:2912888:2913787:1 gene:Solyc05g008590.3 transcript:Solyc05g008590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLMYHMFSSSSLLSLGFYHLICTTRNRLKSAGDYVAKPYHPLSFISQPHLRRLPLYLLLLCLLISLIHQSLVSLDADPLLKGHTPVHRFTSLQAAAVVFSFLILVVFLLISESTSLLPLPPDLFFALAAALFYLQYSVSDSSASVQTSDLQAKCDSVSARISALSALLCLVIAVQPRLFLADVALAASICLQGLWVLQTGLSLYVDAFIPEGCHKLLDVVTGVEGSTKCDLEDSKLRAIAILDLAFVVHVMFVLLIVSVTYAAVAKTIGIRRFGSYEALSNADSSHIQMKAMTGTQA >Solyc03g118270.1.1.1 pep chromosome:SL3.0:3:68671584:68672675:1 gene:Solyc03g118270.1 transcript:Solyc03g118270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDRSESTGDSNGDRRKVALITGITGQDGSYLTEFLLDKGYEVHGLIRRSSNFNTQRVNHIYIDPHNVHKARMKLHYADLTDASSLRRWIDTIQPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHISATGRSNIRYYQAGSSEMFGSTPPPQSESTPFHPRSPYAVSKCAAHWYTVNYREAYGIFACNGILFNHESPRRGENFVTRKITRAVGRIKIGLQSKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEEAFGSVGLKWSDHVVIDKRYFRPTEVDNLKGDSSKARKVLGWKPKVGFKQLVKMMVDEDVELAKREKVLVDAGYMDAQQQP >Solyc04g016400.3.1 pep chromosome:SL3.0:4:7192778:7199675:-1 gene:Solyc04g016400.3 transcript:Solyc04g016400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGYPHNPSGYGYGQQPPPHSSTPYGGSAPVPPQQQVSSPYGDLNKPPKDNTPPYGSNPFSSLMPSIFPPGTDPTVMSCFQMADQDGSGFIDDKELQKALSSYNQSFGLRTVHLLMYLFTNTNIRKIGPKEFTAVFYSLQNWRAIFERFDKDRSGKIDSSELREALHSLGYAVYGPVLELLVSKFDKTGGLNKAIEYDNFIECCLTVKGLTEKFKEKDITYSGSATFTYDSFMLTILPFLIA >Solyc01g111440.3.1 pep chromosome:SL3.0:1:97593986:97597058:1 gene:Solyc01g111440.3 transcript:Solyc01g111440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQNPSPPKLYPEVIDSNPESSSPFITNTQKNKSSSSMYPTIDMKDLAENLFPETENSQPNQNSNFVSLEEVIVQIPGAIVHLIDKERSIELASGEFEIVQLKQGDNVVAVLARVGDQIQWPLARDEAAVKLDESHYFFTLRVPSEANDEDGYAENLLNYGLTIASKGQERLLKELDLVLEKYSAFRVEKVKKDKGVAEKWWMAPKDVSPEEMEKKKEDVERSSAAYWTTLAPNVEDYSSSIARMIAAGSGQLIKGILWCGDITVDRLKWGNDVLMKRMGKGSNSEISPEAMRRMRRVKKMTKMSEKVATGILSGVVKVSGFFTSSIANSKAGQRFFSLLPGEIVLASLDGFNKVCDAVEVAGKNVMSTTSVVTTGLVQQRHGDQAAQMTREGFDAAGHALGTAWAVFKIRKALNPKGAIKPTTVAKAAAQANLAKLKSKQK >Solyc05g015530.3.1 pep chromosome:SL3.0:5:10832700:10835619:-1 gene:Solyc05g015530.3 transcript:Solyc05g015530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSRRLADRKVERFEKNITKRGAVPETTAKKGSQYPVGPILLGFFVFVVIGSSLFQIIRTATSGGMA >Solyc06g010050.1.1 pep chromosome:SL3.0:6:4827083:4827476:1 gene:Solyc06g010050.1 transcript:Solyc06g010050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGKNERRIYNRTIQVLKGKFSY >Solyc02g085005.1.1.1 pep chromosome:SL3.0:2:48679414:48679773:-1 gene:Solyc02g085005.1 transcript:Solyc02g085005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRALSTRRDYRGYDELIKEEAPSTPLVDPKLNRNRSVSAAYKFFSPSRKEQNFPMSPQVNKEAKKASKIHPIFSLFETKKKKKATARPEFSRYIQYVREGGFGDVLQTSSVPPTSPRP >Solyc05g015990.1.1.1 pep chromosome:SL3.0:5:13272246:13272467:-1 gene:Solyc05g015990.1 transcript:Solyc05g015990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFICAQTATSTIKLKLVQPTNNPLTKSPFMIIFSHFMFFGQQRLTTMNQVFTNPPFLVRFATLASSILKFI >Solyc05g014637.1.1 pep chromosome:SL3.0:5:8628288:8628619:-1 gene:Solyc05g014637.1 transcript:Solyc05g014637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKQKIVSMPHDINDRINVLKKKRGKIYNGKKIYYTDASESHNRLSIPTTQIVEKFLTDEEEEYLCMCSSGNKKSFKKVRVIDPCLDIGELELRRWEMEKLDKKQGKI >Solyc07g052860.2.1.1 pep chromosome:SL3.0:7:61426308:61426640:-1 gene:Solyc07g052860.2 transcript:Solyc07g052860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQLLHKQIEEKKQVAVKEVVTEDIVTGDQQDFQSKYPRLAASFGSMAGMSTVYPNGSSFLREKMSLIATDKAEMLEEKWKKLEDDEAALMVKRLDLIAEHFKLVVDAMR >Solyc12g094380.2.1 pep chromosome:SL3.0:12:65579290:65581175:-1 gene:Solyc12g094380.2 transcript:Solyc12g094380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLKIQNYNTLMTMKSIVLLLLCVVRVNAQVTLPSRQDGFWYKNRIADTETILIEAFFDPLCPDSRDSWPPLKLAIEHYGSRVSLVVHPFPLPYHDNAFISSRALHISNQLNSSATYRLLESFFDHQGKFYGNATINLSRSFVLDKIARFTAKSIGNSHYAAVKSAFTDPKTDHATRISFKYGCIKGVYGTPFFFVNGFPLADDGSTLDYNKWRKIIHPLLKN >Solyc08g066025.1.1 pep chromosome:SL3.0:8:54557750:54558457:1 gene:Solyc08g066025.1 transcript:Solyc08g066025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSTREINNLKTRLSAAFEMKDLGPAKQILGMKISRDRSAGTLNLSQELYIEKVLSRFRVNDAKPRTTPLKSVSLSSTEAEYVAIAEAGKEMIWLVDYLEELGKK >Solyc04g074060.2.1 pep chromosome:SL3.0:4:60162501:60162880:1 gene:Solyc04g074060.2 transcript:Solyc04g074060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDWKALYRDILNATEEFDAKYFIGQGGHGNVYKVNLSSFGNVAVKRLHSSFQNTHPKSFINEVRALTGIKHRNIVNLYGYCSKAQHSLWFTIESLNIIKGVAFALSYMHQD >Solyc01g105893.1.1 pep chromosome:SL3.0:1:93831652:93833163:1 gene:Solyc01g105893.1 transcript:Solyc01g105893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDVWDWEESIWAVETEEAMPTTAQAPLIVQGLAPFEVEVAAPRPPFAVYKASSPTQCDTHAVPWDYNKRETNNMDGEMYHTVELVGNIELQPWFSQKIIDMMAWFGFELGKGLGAKLQGIVEPIQPVRHSTTFGLGYKYTTEEWLDWRPPRDGYYYPLKKPIPPLYQSFRSAGFMGDNIDEISDDLKGLSLTKEEGKVCNVVINEEEKGGPSGSKEAKISVSNWTSTPSRPRRASG >Solyc03g078430.2.1 pep chromosome:SL3.0:3:52345593:52348052:1 gene:Solyc03g078430.2 transcript:Solyc03g078430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDSQNTPFSWFSLYYSINNLFVCRHEIVGVVTAVGNSVHKFQVDHDGTNTYGGYSDIIVVHPRFVVQFPENLPFDAGAPLLCAGITVYSPMKYYGMTEQGKHLGVAGLGGLGHIAAFGLKITVISTSPKKEDEAITKVGADAFVAVNTMDYIIETIIHWLRCAAY >Solyc04g079647.1.1 pep chromosome:SL3.0:4:64129813:64130545:1 gene:Solyc04g079647.1 transcript:Solyc04g079647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFDMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKG >Solyc02g089690.3.1 pep chromosome:SL3.0:2:52075974:52080625:1 gene:Solyc02g089690.3 transcript:Solyc02g089690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPFGKYSTSAQKTPSIFILLILAVVVLGLIPLYHPFNWYSGGLRIRNPSEISPTYFPLEEQKIEFKEADKCDIFSGKWIWNPEAPYYTNVTCTEIHDHQNCMKHGRPDSDYLKWRWKPNECELPIFNPFQFLDLVRNKSVAIVGDSVGRNQMQSLLCLLSRVEYPVDISDNPDQSFKKYKYTTYNFTLAMYWSPFLVSTKEVDADGPCHTGLYNLYLDEADEKWTTKIEGYDYIILNAGHWFARCSVYYVNNQRVGCRYCGIPNVTELPSTYAYQRAFETTLKAINNLDNFKGVAILRTFAPSHYEGGKWNEGGDCARTRPYGSNETSLEDQSVEQYRIQVEEFEVAEKEGKMKGKRFRLMDTTRAMLMRPDGHPSKYGHWPNENVANDCVHWCLPGPIDSWSDFLFHMFKMEAIRSLEENLQ >Solyc01g087180.3.1 pep chromosome:SL3.0:1:81995360:81997040:-1 gene:Solyc01g087180.3 transcript:Solyc01g087180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVEEPILSRIDRLDNIIKKLEEVRGGDHSPKTSTASSETLTSDGQVSSLDFSPRSMEKHCRPIDDVISETEHKGTLMERLVNVEKRVLNVCLQWEAELEMIKKNNNNNDVGVEENLNLNLKKKRQVSNVVIIEEKNKASSPHKKGSFKSFVKSCVKGIHEH >Solyc07g063420.3.1 pep chromosome:SL3.0:7:66031401:66034062:1 gene:Solyc07g063420.3 transcript:Solyc07g063420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC transcription factor [Source:UniProtKB/TrEMBL;Acc:K4CH25] MESTDSSTGSHHQPQLPPGFRFHPTDEELVVHYLKKRVASVPLPVSIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLTAGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRLADNKTNNKPPGCDLANKKSLRLDDWVLCRIYKKNNTQRPIDHERDDLNIDMMMGSSSIHPSCIPNSMSMPNIFGQPKIPQLKSSNFGTTLIHDQNDQNLYEGGSQYSSKRPLANLYWNDQDGGASNDNSQSTKRFLTENMEDGLNMNARADEQNGSIVSLLSQQQVLGSLSEGVFRQPYSGMNWYS >Solyc04g011510.3.1 pep chromosome:SL3.0:4:3944614:3949348:1 gene:Solyc04g011510.3 transcript:Solyc04g011510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTFFVGGNWKCNGTSEEIKKIVATLNAGQVPSQDVVEVVVSPPFVFLPLVKSELRSDFHVAAQNCWVKNGGAFTGEVSANMLVNLSIPWVILGHSERRAILGESNEFVGDKVAYALSQGLKVIACVGETLEQRESGSTMAVVAAQTKAIADRVKDWSNVVIAYEPVWAIGTGKVATPAQAQEVHAELRKWLQANVSAEVAASTRIIYGGSVSGANCKELAGQPDVDGFLVGGASLKPEFIDIIKAAEVKKSA >Solyc09g011103.1.1.1 pep chromosome:SL3.0:9:4431896:4432354:1 gene:Solyc09g011103.1 transcript:Solyc09g011103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYKIKFSLFSFIFLLNLILRSCGAPFSSIRVVYIIDALESSSKPLTVHCQSKDDNLGYKNLHSGEEYNFRFQEKFFGGTLFFCHFWWDDKNIIFDVYNDDIATFCGDLIPLEGFDYECFWKVQEDGFYFAPHRDPPSGYEKKHDWSKVLV >Solyc11g030647.1.1 pep chromosome:SL3.0:11:22606314:22607110:-1 gene:Solyc11g030647.1 transcript:Solyc11g030647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINSEQFNKNKVIFIMGPTVTEKSRKIESDSDFTAEDFCLQDVAFIENILKTQHVPIIFGGSNAYIENLLKSVLFIWIDVEQSVLKRRVDMRVDQMVKAGLVNEV >Solyc09g014900.3.1 pep chromosome:SL3.0:9:7155179:7157620:-1 gene:Solyc09g014900.3 transcript:Solyc09g014900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASILQLLLLLSLTSCTILFYKIRGRWRRRPPSPPSLPIIGHLHLLNQMPHHTFFNLSQKLGKIIYLQLGQIPTLIISSPRLAELILKTNDHIFCSRPQIIAAQYLSFGCSDITFSPYGPYWRQARKICVTELLSSKRVHSFEFIRDEEINRMIELISSRSQSEVDLSQVFFGLANDILCRVAFGTRFIDDKLKDKDLVSVLTETQALLAGFCFGDFFPDFEWVNWLSGMKKRLMNNLKDLREVCDEIIKEHLMKTREDGSEDFVHVLLKVQKRDDLQVPITDDNLKALILDMFVAGTDTSAATLEWTMTELARHPSVMKKAQNEVRKIVANRGKVEEFDLQHLHYMKAVIKETMRLHPPVPLLVPRESIEKCSIDGYEVPAKTRVLINTYAIGRDPEYWNNPLDYNPERFMEKDIDLRGQDFRFLPFGGGRRGCPGYALGLATIELSLARLLYRFDWKLPSGVEAQDMDLSEIFGLATRKKVALKLVPTINKL >Solyc07g007350.1.1.1 pep chromosome:SL3.0:7:2091209:2091976:-1 gene:Solyc07g007350.1 transcript:Solyc07g007350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNMDWYSWLSKSSLDQELIYEYGLVFSRNELQKEDLIYFNHEFLQSMGIIVAKHRLEILKLARKEGGGGIGGGGTSSSSNGLSRLVLAINKTKKLLAKNLSKLSIHRVSTRLALSELKPYRTQWTGALRKLESSKEEKAIVTTRSMIMNSGPLDRRMQDKFMATNRNLSASGPLDGRVLQEKLMYPNRSPMKSGPLDRRYKDSAVYPSRINKSGPLDAMGYNSPRVNHYNQEMMPDDGVIYSQWSLMFQDMKPT >Solyc04g081950.3.1.1 pep chromosome:SL3.0:4:65874978:65877007:-1 gene:Solyc04g081950.3 transcript:Solyc04g081950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYITSLGNAPKNRVKSRSIWFTSFLSHNVYHLKSFITILIPTTSIDQKVIRDNIRIAR >Solyc05g025733.1.1 pep chromosome:SL3.0:5:36124562:36125161:-1 gene:Solyc05g025733.1 transcript:Solyc05g025733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFKMKDLCPVKQILGMKISRDRSASTLNLSQELYIKKVLSKFIVNDAKPRTTPMENQLKWSKEKPDIAQAVGVVSMYMENPGKEHWEVVKWFFRYLKGTSSTSLCFGKGKVTLQDFVDADLGRDVDSSNSTSGCIYTIGGTTVSMMYMLQKCVIY >Solyc05g008220.3.1 pep chromosome:SL3.0:5:2614904:2616511:1 gene:Solyc05g008220.3 transcript:Solyc05g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGQTMKFKTPIYANEVLKNYPSMVLLESEEVKHYGVRAKPLEPQQELKSKRLYFLVELPKFPEENKKSTRRVRSAIQMSAKDRLETLMLARRSTSDLSIMKPASIAHPTRLKLRLSKSEVEKLMMESKDENEVAEKIMKLCMNNNNCGTSHNNGGAIKKGLKSREKRVGFMPITEGEIQVAVAAS >Solyc02g068100.3.1 pep chromosome:SL3.0:2:38713911:38719110:1 gene:Solyc02g068100.3 transcript:Solyc02g068100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVNNNNNPNKNIGGPSSFGNSPPGNPMAHLQAQSQGQQQMPSGFPGAFQLSQLSAAHAQAIAQAQSKVQAHAQAQAQAQAAHAQFQAQLQAQGLSLSQAHALGNFGSSSPSMPGSALAKRLPQKPPVRPPAFTTTNTISPMRTMELSAAARRKKQKLPEKHMNEKVAAILPESSLYTQLLEFESRVDSALTRKKVDIQESLKNPPTIQKTLRIYVFNSFANQVRTIPKKPTAEPPTWTLKIVGRILEEGMDPDQAAMFQKTSSMYPKFSTFFKRVTISLDQKLYPDNHIIIWDSARSPAPQDGFEVKRKGEQEFTANLRLELNYMPEKYKLSPALTEVLGIEVETRARIVSSIWHYVKARKLQNPDDPSYFNCDPPLQKVFGEGKVKFNTVTQKITPHLSPPQPIHLEHRIKLSGNNPVGTACYDVLVDVPFPIQRELNALLANTEKTKEIETCDEAICSAIRKIHEHRRRRAFFLGFSQSPVEFIDALLESQSKDLKVVSGEPSRNVEKERRSQFYSQPWVEDAVIRYLNRKPAAADAPGSG >Solyc01g095920.3.1 pep chromosome:SL3.0:1:86939590:86941828:-1 gene:Solyc01g095920.3 transcript:Solyc01g095920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSPKYAANAYLDTLKLCSKHKQMCSSCTGTQEPESNEFLSALAAGMKAKLIVEVTTEGSPSTVALAAAARQTGGKLVCIIPETILDKTQKVIEETGLNDMVEFKTGDPVEILHNYENIDFSLVDGNTDDYEMLMEKLDVNPRRSVVVTNNVQGKKGIGGHLKKVENTVKVRSLQHPIGKGLEVTMIGKSTEFGKKENKSKSGCYSHLIRGGDKKNGHVVKKGDKSKWVFVVDEKSGEEHIYRMPKSYTP >Solyc07g052340.3.1 pep chromosome:SL3.0:7:60967877:60980098:1 gene:Solyc07g052340.3 transcript:Solyc07g052340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKESLKLVVKLTIATCLTVAISIFIAKSYHKVRKHNRKKQQKKHSCYLNAEIRPQSHFKRVIADNSYSQFKHLKLDNSNEDFTNLHPYKEEIAELMKSSNVEFLEHFGGNFEDFTMRESYVWVESESQLMELAEVLSKERVFAVDTEQHSLRSFLGFTALIQISTESEDYLVDTIALHDVMAILRPVFANPEICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACDVLSKPQRSLAYLLDTYCGIVTNKLLQKEDWRRRPLPAEMVQYARTDAHYLMYIARRLSCELKQHDTENLSHLRDKFSFVLEATRRSNSICLQLFSKECETYPGESAASSIISRYQSDKGSFMLSSDESKFHGLVRRLCAWRDLMARVHDESLRYVLSEHAIIALAAKVPTTELDICNTISEADQNLESQSSSSLFQSLSSVVCSHLDDLEYLFLDETGMDDDNCKLILQKCLGSDGSCPLSVYNYALLSKSSLKMPVRSALKQNRLKNSKQFAKKASRKLFVQKFSCKSPVYHNCRIFANDGRLLCYCDRRKLEWYVSRNLAKIIEEDPPGRPEDEGNDFYIQSKRNICVGCGEGNHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHEVAHAAAEKYKRKIAIEFGIPLFVRRIVESNQNQNSSESSVPKLNVEEEGVSPLQLRTAAMALLRHGSRMPAKRREELITIVRNYYGGREVSDEDLERALLIGISTNRRKRFEKKRMLACKDSNRSTTSNNKLDNKQAKGASPPEEISDNSSNNEENANVLPVEDMTISDSHFGVNDESSIVHNNANSLERESLVKQDDILSESYVEESCDVCNGTANSIDNINASISSKRDSKLSLLGHGPHGKQVVNHLLEENGEEGIREFCQRWRQVFVEAVHPRFLPAGWDIMHSGRRDFGEFSVYNPSAKTTPASASEQ >Solyc06g008560.2.1 pep chromosome:SL3.0:6:2455976:2462492:1 gene:Solyc06g008560.2 transcript:Solyc06g008560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFEDLLMQPSDEKQKKILLEEEVEELREELDEQLQLKTVLQYALQRPNVGSFPSLSTLPRRVQHLLEEMVATEEEIAWLERKVDVLKLKLYREKELAEKWEMLQLKQVQHQRLISKQLPPPRPVVHKDVEPHVASRSSNYQQLRKQYRIRKERRATVGSSIDFHPPIDLTEEIVESSSRGSKSWRKHHSQSADIEMETETPNKLSEEVLKCLIIIYLKLNKASLESKGSSTSNSIAKQSLISSKKSKSSFICTKTCSSAAVDAPTFAFNDYASNLDPYGILLDTDGSHREIGSYKNFIQISRTSLNISHISECLPQMGKLRSMMQKFSNVGITCLTYKQKLAFWINIYNICIMNAAINIGGIVLNALAIEHFILRHPRDAEHGLKDDNERFLRNAYGLEYPEPNVTFSLCRGSWSSPALRIYRPDEVANELERAKMEYLEASVGVTSKKKIMVPKLMQWHMKDFADDMESLIEWMYSQLPSSCSLKKSMMDCLDAGEKKYLSLAKMIEVQPYASEFRYLLPL >Solyc08g068570.3.1 pep chromosome:SL3.0:8:57770781:57779173:1 gene:Solyc08g068570.3 transcript:Solyc08g068570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSAISPISKNGGFCRIRTHFATSIANGEVLLNNYSSAVLKLQSQKSRHAFVVKADSAVDTTKKENREPVKPVYSSTPSNRPLRTPHSGYHFDGSTRKFFEGWFFKVSIPECRQSFCFMYSVESPSFTKKLSSLEELQYGPRFTGVGAQILGADDKYICQYSEESSNFWGSRHELMLGNTFVAQNSAKPPNKEVSPQEFNRCVTEGFQVTPLWHQGSIRDDGRTDYTEIVKTASWEYSTRPIYGWGDVNSKQKSTAGWPAAFPVFEPHWQVCMAAGLSTGWIEWDGQRFEFQNAPSYSEKNWGGSFPRKWFWVQCSVFEGAIGDVALTAGGGLRLLPGLNETFESVALIGIHYRGIFYEFVPWNASVSWEITPWGKWHISAENETHMVLLEATAEDPGTTLRAPTEEMGLAPACRDTCFGELRLQLWERKSNGSKGKVILDVTSNMAGLEVGGGPWFNTWKGNAEMPEIVTRAINVPVDLDGIFSCVPSLLKPPGL >Solyc01g088560.3.1 pep chromosome:SL3.0:1:83208469:83213794:-1 gene:Solyc01g088560.3 transcript:Solyc01g088560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEEGEAKARELNVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSAKQEDMVDVNLKSSNSNAAQSQAQSGGCAC >Solyc01g080030.2.1 pep chromosome:SL3.0:1:79009102:79011260:-1 gene:Solyc01g080030.2 transcript:Solyc01g080030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKNSTIKLNVPEGSNVVILKNLYLSCDPYMRGRMKKQEGSYIDSFTPGSNYLFKINDKDVPLSYYTGILGMPYVGFYEICSPKKGETVFVSAASGAVGQLVGQFAKMLGCYVVGSAGSKEKNVGGKMLDAVLLNMKLHGRIPACGMISQYNLEPTEGVHNLFYIISKRIRIEGFVIFDYFHLYSKYLEMIVPKIKAGDVVYVEDVVEGLENAPTALFSGRNIGKQVVMVSRE >Solyc02g091770.2.1 pep chromosome:SL3.0:2:53619350:53633403:1 gene:Solyc02g091770.2 transcript:Solyc02g091770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPVASVGSLAPYVTGLSRALQRKGNLVEVILPKYASLNLNEVLGLREVEAEFHSYFNGQLHGNRIWTGVVCGIGVTFVEPLYYSAFFGCENIYGYSNDFERFTYFSRVSLDYIVKAGKQPDVLHIHNWETSIVGPLFWDVFVNQFQNAPSLLAISECHLYQCVEQPEKLALCGLDPYGLHCSDRLQDNNNSHLVNVLKFLHSMDYLQTNLSSFAVHHAGVVYSNNVIIMSSMQTKGQIIHARSHGLESTLTIHKDKLVVAPQGFNSSAWDPSVDKFLPQNYSADNMKGKSVCKVSLQQHLGLQEKASIVLVGFTLVEDTPAKVGCIFSDIFNIELENLKTLIWMASRRGVQFVFMSSAQTPGLNSALEYFEEEFKDENMRFLNKYDETLAHLVLAGSDIMLCPSFDDTVLQIPAQH >Solyc09g059510.3.1 pep chromosome:SL3.0:9:54835363:54841442:-1 gene:Solyc09g059510.3 transcript:Solyc09g059510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIRRRKLLGLCSGRSAFLVPLPKFSENGHFAEHRFFSNRPTSVHPMPSTDIDESKEKIAVKVVPGSSNSHASGSLMEQTAQQFPEVKRRKRHRRKHFENQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGTQEEAARLYDRAAFMCGREPNFELSEEEKQELRQFKWDDFLAFTRSAITNKKTRRRSGAGARRKFEPLTSALNSEEDEEEEEGGEPESNSFSASEDIEHDILFS >Solyc02g088820.3.1 pep chromosome:SL3.0:2:51412047:51417740:-1 gene:Solyc02g088820.3 transcript:Solyc02g088820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRRLALLLILATIVCVSAAKLNKYQDEEDADRIISLPGQPKVSFHQYSGYVTVNQFAGRALFYWLTEAIDEPLTKPLVIWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNKLANLLFLETPAGVGFSYSNRSSDLLDTGDIRTAKDSLQFLIHWMNRFPRYRNREVYITGESYAGHYVPQLAREIVHYNANSKNPINLKGFMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYNQLVNTCDFKRHKESNECESLYYYAMDQEFGNIDQYNIYAPPCNNSDGSTSTRQTMNLPHRPYKMFKQLSGYDPCTEKYAEIYYNRPDVQKAMHANTTRIPYKWTACSETLNRNWNDTDDSILPIYQELIAAGLRIWVFSGDVDSVVPVTATRYSLAQLKLSTTTPWYPWYVKKQVGGWTEVYKGLTFATVRGAGHEVPLFKPRAAYQLFRSFLKGEPLPKS >Solyc08g082560.3.1 pep chromosome:SL3.0:8:65427000:65432999:1 gene:Solyc08g082560.3 transcript:Solyc08g082560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISFFSGAVTLICCFFAVAAACLTHRSKSVRTKGGEDSCNCACSHGSCGGGRFDKTSVLNGGSSGSSVFGGFEEEMVEKVSVTVAAEKLTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSLSPINGWKAYYAATRAIMNVNADFFNIIRERSVPAMERFWLNADYVKCFHASGECFTGHNAVIGSWQLAFNWEQNVDFEIRDVKARVMTDSAWVTMKAYLNMGSGTVTNVFELHNGRWYMVHHHCSVMLIHGGAGQQAVQG >Solyc03g033770.1.1.1 pep chromosome:SL3.0:3:5421046:5421390:1 gene:Solyc03g033770.1 transcript:Solyc03g033770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNSIILVITIVNGLVVLVKTIDENRALFSKLKKFLSNKVTLVIYEVNGHVKDELYKAVEIYLSNNLPSNNGKINASKTEKEKNSIKLALEHNKEVEYIYDGHKFKWISIQI >Solyc01g067295.1.1 pep chromosome:SL3.0:1:75555547:75556255:-1 gene:Solyc01g067295.1 transcript:Solyc01g067295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGARALLNFAFYFVVVLAATTVTMSRSPKMQAMGARDMSVDIVEIEQKLVPVGSILTCLKRCYKHSDCRDGWFCKDCANDAFDQGGKHCDKFTSSGQGYFAMLNRRQANHGVYEFAV >Solyc02g078940.3.1 pep chromosome:SL3.0:2:44108569:44113688:1 gene:Solyc02g078940.3 transcript:Solyc02g078940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVVITSPGGPEVLKLQEVEDPQIKDDEILIKIAATALNRADTLQRQGKYPPPKGDSEYPGLECSGTVEAVGKEVTRWKIGDQVCALIGGGGYAEKVAVPTGQVLPIPSGVSLQDAASFPEVVCTVWSTIFMTSKLSSGETFLIHGGSSGIGTFAIQMAKCLGVKVFITAGSEEKLSACKELGADVCINYKTEDFVTRIKEETGGKGVDVILDNIGGSYFQRNLDSLNVDGRLFIIGFMGGTVTQVNLGCLLARRLTVQAAGLRSRSPKNKAQIVREVEKNVWPAIAAGKVKPVVYKYFPLAEAAEAHQLMESSNHIGKILLTV >Solyc01g080330.3.1 pep chromosome:SL3.0:1:79411843:79414387:1 gene:Solyc01g080330.3 transcript:Solyc01g080330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NQLSPLKIHSICKLTKRKILGYFVEREFQVTSQKLLTYYFLNWNYPKKLRNNTLSSLFHRNKPYSLQLQREREKMYGFTSLRTESDGGIKGDIEEGTLYPGLGYGENQLRWGFIRKVYGILAAQILLTTLVSAVTVLYTPINDLLRGSPGLLLFLIFLPFVLLWPLHIYQQKHPLNFIFLGLFTASLSLTVGVTCANTDGRIVLEALLLTSAVVSALTGYTFWASKKGKDFSFLGPILFTSLFVLILTGFMQMFFPLGSTTSAVYSAMSAIIFCGYIIYDTDNLIKRFTYDEYIWASVTLYLDVLNLFLTILRILRQGDN >Solyc04g054490.3.1 pep chromosome:SL3.0:4:52548420:52557072:1 gene:Solyc04g054490.3 transcript:Solyc04g054490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWKVGFVAMVLGLGILVECGVSDMYSSKLVHRFSDEVRKVRVSRNGEVGVVWPQHRSFEYYGKLMNSDLQRQKMKLGPQFQLLFPSQGSKTMPLGNDFGWLHYMWIDIGTPNVSFLVALDAGSDLLWVPCECVQCAPLSASYYSSLDKDLNEYNPSGSSTSKFLSCSHQLCELGSNCKNPKQPCPYTVNYVSEDTSTSGVLVEDILHLASGSRMAANGSARAPVTFGCGSKQTGGYLNGVAPDGLMGLGPGEISVPSRLAKAGLVRNSFSLCFKEDDLGRIFFGDQGPAGQQTTSFLPSEGQFVTYVVGVESCCFGSSCIEQTSFKAIVDSGTSFTFLPDQIYDRVVKEFDRKVNATKASFEGYPWQYCYKSSSEGLPKIPSFTLKFTMNSSFIVQDPVFMIYGSQGAVGFCLAVEPSGIDIGTIGQNFMSGYRMVFDRENMKLGWSRSDCEDLTDNNRLPLAPADGSSPNSLPAEQQNTPNSSGVAPAVAGRTPSNSAAPASRGMPSKLCLTKLLFQLLLVSSNFRWSLHTFTF >Solyc03g098570.1.1.1 pep chromosome:SL3.0:3:62321297:62322436:1 gene:Solyc03g098570.1 transcript:Solyc03g098570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIWFPSSDMLYEVLPLSTKDLLKLKCVSKGWQCLMSDRSFIQGQLKKMEPLTGFFYQGRYQWCDENYDWISFIPIERVTTDIYIDVLDFLPERIVIQDSSYGLICCRSSFPCDVPVIYVCNLLNKEWKELQWPNPSRESCITLVFDPFKNPIDAFTKFKVVIVSQDETSTEGDGCFSFNIYSSETGEWRISREICLCNHNMQKKGCICVTGILCWLTDGDEILMFDPENEISWLIMVPLPTTQFNLTPEMCIGEAEGKLHYALISEHGLQLWVLKDHFTSQWDLTFTISLELLEKENDKYLFKIAEKLARDYNSAYPWIGTLAFKNNILLMRVAADIYLYQFDTMKMRHLCSLSALAPKPFFSATVVPYTMSLVPLA >Solyc02g049103.1.1 pep chromosome:SL3.0:2:3955214:3956020:-1 gene:Solyc02g049103.1 transcript:Solyc02g049103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWPLDSTHGRTTSSVACHHRHWEAHMDHTRSNNVGRAIPSSPLGSKDGQTTSCLTFHHSPWTIHTVGRRRALHAIIAFEKHTRSDDVGRSMPSSPLGSTHGRTTSTWNAIIVVGQHIWSDEDGRGTPSSPLGSTKRSDDHTRSDDVGLDMPSWPLDSTQGRMTSGVACLHCLWTAHTVIQRRPWHAIMALSLHTQSDVVGLGMPS >Solyc09g059380.1.1.1 pep chromosome:SL3.0:9:54615711:54616244:-1 gene:Solyc09g059380.1 transcript:Solyc09g059380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPTISSIKRGRRKKHNDGQLRTETVEDVEPSESVELVEGEQSKKIVVAENSTNISEGNDTRKNNHTMVENTEKRVENIHQKEKSVDNEKIIFTNLHLELVTHEPDDLNCEHVGNQIAKQPITNVDDNNNYIEETDYDKISNREGECTQMQKDGAQTKNENSTETRGESKERKKGE >Solyc03g020080.3.1 pep chromosome:SL3.0:3:62621519:62629075:1 gene:Solyc03g020080.3 transcript:Solyc03g020080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVHKQVSFLAYLLLVLGLLLLVSAVEHVDVKPCTKECGHLGFGICPRSQGSPQNPICTNCCSGFKGCNYYSADGTFICEGQSDPKKPRACHLNCDPHIAYSKCPRSGGKTFIYPTGCTTCCTGYKGCYYFGKNGKFVCEGESDEPKELEIIEHKACTLECDPKVIYMTRSSSCLAKHYEVCVDYFTAGEGCNLYGYESLDYCYL >Solyc06g051070.1.1.1 pep chromosome:SL3.0:6:34253362:34253556:-1 gene:Solyc06g051070.1 transcript:Solyc06g051070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVSYEIKLMSQLFWNFFFSPLPPTFLFSWCFELTVFELKVSYVFLCFETSFDQKIKFIVFSS >Solyc11g066480.2.1 pep chromosome:SL3.0:11:52508670:52521681:-1 gene:Solyc11g066480.2 transcript:Solyc11g066480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSENGEIVVSSIRTGMKREFAMMMKAQADWDIDVGQKRVTRTPQSSQNSPGNVSNDKVYVKKRKREVKDVVDSEDLSNLKVVGKELESDGVVKKDDNVALLSEDLSNSKVVGEELESDGVQKMDQNVVLLSGDLSNSKVVEELESDRVVKKDDNVVLLSEDLSNSKVVGEEQERDGIVTRDENVIILSEEEEPKSGVVDCTSDDEKKAKMDEGVVGSGEEGNASLQNCGNDATTEKGESAKTNDDFDEEMAEIVPELAVTAQGDGKDEQKRGDDTQNEMPTTGKASDFIVGAMPEIVPEAAVTAQADGKNVQTCRGDAMTEMAKTVKANDCTVEARPEIAPELAVTAQGDANAKIEMAKTVKANDCTVEARPEIAPELAVTAQGDANDEQLQTPMRRFTRSALKTEEDTMVSQCDRIKIVDVHETDSVGTMSTPARLELKMSKKVALTKIPTKLRDLLETGLLEGLSVRYIRGTTKGRGRPAKGLRGEIRGSGILCFCDNCHGTSVVTPNQFELHANSANKRPPEYIYLENGKSLRDVLSMCKDASSDEVEMVIKNAIGSADAKIDASACMSAQEFQSPPVPSGEASSRSTSSAPATKLTDRMPSGSGTQSKAHGKLTRKDLRMHKLVFEEDALPDGTALAYYVRGQKLLEGYKKGHGIFCYCCNTEVSPSQFEAHAGCASRRKPYLYIYTSNGVSLHELSIKLSKERRSSAEENDDLCSICADGGDLLCCDNCPRAFHTECVCLPSIPTGTWYCKYCENMFAKERFVENNANAKAAGRVAGVDAIEQITRRCIRMVETLETEVSVCVLCRDQDFSKSGFGPRTVIICDQCEKEYHVGCLKEHNIDDLQELPKDKWFCCTDCSRIHFALEKVVSDGEQNIPESLLEVLKAKNEGKGSVNNSRLDIKWRLLSGKMSSEETRVWLSSAVSIFHEQFDPIADASTSRLDLIPHMVYGRSFKDQDYGGMFCAILLVNSLVVSAGIFRVFGKEVAELPLVATSTNCQGQGYFQSLFSCVENLLRSLKVENLVLPSAEEAEAIWTNRFSFTKIPEEQMKQYRKNYQMMVFSGTSMLQKQLLVGAMVPKRWIVSVVEHSSLFNSIPNNGCGGWWVGVVILIIINTLSSSTTAYPARSINGFEEGGSCYFDHYQHSFVLNNIPGEVHQRIQYSEKYFDDTYEYRHVVLPPDVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQENQTQQVLLAK >Solyc02g068000.2.1 pep chromosome:SL3.0:2:38633626:38636867:1 gene:Solyc02g068000.2 transcript:Solyc02g068000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNQGEGSSSGIKFDEGGGFSFNWEEEEFDETADLLPSDPFNMECRNQRTGFSTMTSWLEDFWFKIDENEVAKLDSFLIRKMGIHQGAGFQLIDGNCEPVGMIVKEGLNGGHGLMDGDTTDNDQGRIKDHCGVNEGNPHQALLLSLGYLGLGDLFVVERVSKPLRDAVVGDPLLWKNINIVPPFCTNITNDILINLTNRAQGNLHSLSLFHCSKLTDAGMKHVLDRNPNLSKLNVQGCARLTADGMLSNLKDWKTAGKNRLKYLGIYGLSGLTDKHMDEFKLLTGVDNSKLPTTRKPRFFGGGRPRLIFDDDRDIDVEVCPICQRFELVYDCTSESCQKQKALGSHNAFEHQI >Solyc09g008295.1.1 pep chromosome:SL3.0:9:1749843:1754631:-1 gene:Solyc09g008295.1 transcript:Solyc09g008295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFREKEKVKMGEGGEKAVSRKLPVNKQANIMTATGDENLEDIFHTIRTTKTPAVINYGVSWCRVCNQILPTFWELSKKFPKLSFVYADIDECPETTQNLRYTPTFQFYRDGERVDEMFGGGDERLHDRLWLHS >Solyc05g006390.1.1.1 pep chromosome:SL3.0:5:1028809:1029063:-1 gene:Solyc05g006390.1 transcript:Solyc05g006390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKNSYTAYNSNETSWADQWDPEPASYTSFDKKTGNNNSSKISSKVGDTLGKTKYVASTGVKKVKSSATAGFQWIKDKCNKPK >Solyc12g042890.2.1 pep chromosome:SL3.0:12:59269845:59272561:-1 gene:Solyc12g042890.2 transcript:Solyc12g042890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYINPSMGSGSRTARRTMEFGRTYVVRPKGKHQATMVWLHGLGDNGSSSSQLLESLALPNIKWICPTAPTRPVAILGGFPCTAWFDAGELSDDTTNDLEGLEASVAHIANLLSTEPADVKLGIGGFSMGAATALYSATCFAHGKYGNGNPYPVNLRAVIGLSGWLPGSRNVRNKIEGSHEAARCAASLPILLCHGKCDEVVPYRYGERASYVLSSAGFRNLQFKAYDGLGHYTVPREMDEVCNWLNARLGLEGSRR >Solyc02g030383.1.1 pep chromosome:SL3.0:2:26102697:26103091:1 gene:Solyc02g030383.1 transcript:Solyc02g030383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDIREFGASWQDIYVKLDTSELGNSSTKQVKILSISLPVAALCLFLALCLILYVWQKKKDQNQQHFSK >Solyc03g082630.3.1 pep chromosome:SL3.0:3:53971176:53977563:1 gene:Solyc03g082630.3 transcript:Solyc03g082630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRSSETKLLKELLLYAASAALSSLVLFVGLRQLDPNRQASKKALENKKELAKRLGRPIIQTNSYEDVIACDVINPDHIDVEFDSIGGLESIKQALFELVILPLKRPELFYGKLLGPQKGVLLYGPPGTGKTMLAKAIAKESSAVFINVRTSNLMSKWFGDAQKLVAAVFSLAHKLQPAIIFIDEVDSFLGQRKSTDNEASTNMKTEFMALWDGFTTDQNARVMVLAATNQPSELDEAILRRLPQAFEIGMPNCRERAEILKVILRGEKVEDTIDYDGIASLCDGYTGSDLLELCKKAAYFPIRDLLNDEKSGKRTAEPRPLLESDLEKVLTTSKKTKVAASEYSRLSSMRADSDSAINEISKLVASHILNVQSDN >Solyc09g075770.2.1 pep chromosome:SL3.0:9:67824089:67824659:1 gene:Solyc09g075770.2 transcript:Solyc09g075770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFFKKIVGVFEIIFVKVKQSLRPKLGDSPLTGISNLYCNVPNLDEVCYRGVRKTSWEKWTTEITYLIRGSSVNNNEVVSKIEGINNFLEDNPPKYQRLSYLGG >Solyc10g049415.1.1 pep chromosome:SL3.0:10:45652478:45678119:1 gene:Solyc10g049415.1 transcript:Solyc10g049415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLMELYIEKVLRRFRVNDAKTRTTPLPNHFKLSKEQSPKTAEEHDHMALVSYASAVGSLMYVMVCTRPDIAHAVGVVSRYMANPGKEHWEAVKWLLRYLRGTSSTSHCFGKGKVTLQGFVDADLGGDNDSSKSTSGIPYLLLDLRIVTCFKLGNFFRLISGKEDQNCPNIGDTFFGELDYDIGTSNGISDVDVDLRWSREDVPGHAVLGSHETKSVFKNKE >Solyc10g055570.2.1 pep chromosome:SL3.0:10:56951194:56953544:1 gene:Solyc10g055570.2 transcript:Solyc10g055570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLRCSDGETFEVDKAVALESQTIKHMIEDDCADTSIPLPNITSKILALVIEYCKRHLEVAKVEDQTAEEDLKTFDAEFVKVDQSTLFNLILAANYLNIKSLMDLTCQTVAEMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >Solyc03g026377.1.1 pep chromosome:SL3.0:3:3868827:3869525:-1 gene:Solyc03g026377.1 transcript:Solyc03g026377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKVTLDEFLKLLGTFSIGDYIPRLEWVNRITGLDTKVEKVAKDLNTFLESVIEEHISRHRKGEYSTKDFDNFAGGTDTTYTALEWIMTELLSHPRAMEKLKNEVRGLAQGKAEVTEDDLGNMHYLKAVIKDTLRLHPPIPLLVPQE >Solyc06g064670.2.1 pep chromosome:SL3.0:6:40423103:40423530:-1 gene:Solyc06g064670.2 transcript:Solyc06g064670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTIYFLSTGYFANVGGAMLDEVILHMKCHGRIVVCGMISQYGLKEQYGIHNLISKCIRIEGFSDVNYWKYYPQYLEWVISLIRDKKNSAAFSGIFQGKSIGTALVNISAVH >Solyc08g074740.3.1 pep chromosome:SL3.0:8:58986298:59005937:-1 gene:Solyc08g074740.3 transcript:Solyc08g074740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATITKLKVDELRKELLSRGLDTTGTKPILVKRLEEAIEVDEEENKKKLNGDNKKRSRIDSDSIGSGKMYDVEEYKKMSVKELREVATSRGILSSGSKKELEPNPYWLAPILGTNCISCLLLSCVLIEYPFWGNCRKRGKINAVEEYKKMSVKELRDVATSRGISSTGSKKELVERLCAVADSQKNDSKDDLGVGDEREIEKLVTATKKGAAVLDQYLSDDIKERYHVLQQGNDIYDATLNQTNVGNNNNKFYIIQVLENDSGGNFLVYTRWGRVGAKGGTKISGPYTSAYDATAEFESKFYDKTKNDWSNRKDFFCQPKHYTWLEMDYAETGKDSSVQGQSNPVHKSQPRETKLEAPIAKFISLICDINMMRQQMMEIGYNANKLPLVIPHDFGFKKMREFVIDTPQKLKRKIEMVEALAEIEVATKLLEDNTDIQEDPLYYQYEQLRCKLVPVEVGSQEFLMIESYMKNTHAKTHSGYAVDIVQVFRASRDGETERFQKFSDTSNRMLLWHGSRLTNWAGILSQGLRIAPPEAPSTGYMFGKGVYFADMFSKSANYCYASSAAKNGVLLLCEVALGEMNELLSANYDADKLPWGKLSTKGVGATAPDPKASQILEDGVIVPLGNPKNQRKQGSLLYNEFIVYNVEQIRMLGDEGKTEKLVTATKKGAAVLDQYLSDEIKALYHVLHQGNDIYEATLNQTNVENNDNEFYIIQVLENDCGGNFLLYTRWGRVGEKGETKISGPYTYAGDATSEFERKFYEKTKNCWSNRKDFFCQPKQYAWLEMDYDENGEYSSVIPRSRPRETKLEAPIAKFISLICDINMMRQQMMEIGYNANKLPLGKLSKKTILKIENYMKNTHAKIHSGYAVDIVQVFRASRNGENERFQKFSDTSNRMLLWHGSRLTNWAGILSQGLRIAPPEAPSTGYMFGKGVYFADMFSESAIYCYASSAAKNGVLLLCEVALGDMNELLSANSDADKLPLGKLSTKAVGAMAPDFKEAQILEDGVIVPLGNPKERPKHEGNLLHNEYIVYNVEQLRMRYVIQVEFNYEI >Solyc06g051950.3.1 pep chromosome:SL3.0:6:35696735:35702787:-1 gene:Solyc06g051950.3 transcript:Solyc06g051950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIITEYNEQDDIPPSSSPVEEVKDKNATKAIEENKKGLQVLQSNLVCFASPVAYSADMYTAPNIGNVLDLDNYSCGQSVQEVKVANNATEAIEENKKGHLEELCGFHLHCANLLREGSCCGFLLLSPYLLQDLVFLPSMSSEAPTIGNGLDLDNYSCGQSVQEVKEANNATEVLEENKKGPLAPNIDNGLDLDNYCWGQSLQEVYVNILVPQGTKSRFIVCDIKRNHLTVGLKGQPPIVDGELYGPVKVEACFWTLEDQKSISLLLTKIDQMNWWKWVVKGEPELDTEKVEQITSLSELDPETRSSVETVMEAMGLPTLDEMQNQEMEKFIMEKYPWMDFSRAKKS >Solyc07g062100.3.1 pep chromosome:SL3.0:7:65045002:65046508:-1 gene:Solyc07g062100.3 transcript:Solyc07g062100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKLQVLPPAKRFMMMHQQDQKQNGSVSSSKLPAKKRKFSPETPPPFTNNHTVTTLCLPAKKRVWAFHPFDLNEEYNPVCFNGDEIKEEKIGEEITNDDIDVDEDDGIVCVICNSTDGDPSDPIVLCDGCDLMVHTSCYGHPFTNGIPEGDWFCAQCLASKSQIPNPKSFNCCLCPESGGALKSTVSEGKWAHVVCSLFVPEVFFVDSEGREGIDFSEVPKRRWEKKCYICKSKKGCAIDCSEPKCPLSFHVTCGLKCDLCIEYTEGRKNGGVVAGFCSSHTELWKKQQKTGKFKIVPREE >Solyc02g069330.1.1.1 pep chromosome:SL3.0:2:39822673:39823185:-1 gene:Solyc02g069330.1 transcript:Solyc02g069330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKILLLIFFYLLNNSSANVIDSVCKATQDYKLCNESLASNPNSANEDAKGLARIMLYMALHKTTHIIVYVKRMIIENKFPDYRSVCEDCLEACDKNYNFILHHFVLNAIKYFEVEMYHDATFAIGLSGLNVSTCADACVNCNAFNLTNRSNEYAYFVLVVGDVIDYLY >Solyc06g011470.3.1.1 pep chromosome:SL3.0:6:7558392:7558759:-1 gene:Solyc06g011470.3 transcript:Solyc06g011470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPCLFQFYPHLFLSISALVSFYKCCPLNHS >Solyc01g112080.3.1 pep chromosome:SL3.0:1:98103732:98105483:1 gene:Solyc01g112080.3 transcript:Solyc01g112080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLSSLFVSILCLLTVSSPAEASFSCTSPGTCDAIIDYTLPNATTFNAVKKLFNVKNLRSLLGVNNLPVNTPADEKLPANQTIKIPFPCLCRNGTGIANKRPIYTVVAGDFLSHIVTDIFAGLFTVEELQRVNNISNPNLIQPGDKLWIPLPCSCDDVDGEKVVHYGRLVSSGNSIEAIAQQYNVSQETLLRLNGLASPRELLAGAVLDVPLKACQSRVSNASLDYPLLVPNDTYIFTAANCVTCKCDAASNWTLQCQPSQIKSSLWKTCPSMQCQGLDNLYIGNVTDCNSTSCAYAGYSNQTIFTTNTQLTCPASDNSAFGMRPGTWIWNVILVAVSSMVIVF >Solyc10g051277.1.1 pep chromosome:SL3.0:10:51778132:51781529:-1 gene:Solyc10g051277.1 transcript:Solyc10g051277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAVMLYFNGRWDPSNKYINYLADGVLIHTESTFATLVSVIATQLSIDTSTNTSGDMRVIHNSYFSNTFNGIDEAIGLIGFGSCEEVDELEELAPGIIINPNHSLFEKDQKWNNNNRSKATFSGFHLGKKYENILRRNKTASEKLKFTGITQLSLRLGNVLLSIIVRLGNLGDACKLDRHGQQLVAPKQTNICSIPTAKTKFLRGSTNRKDQ >Solyc08g016588.1.1 pep chromosome:SL3.0:8:8002056:8004119:-1 gene:Solyc08g016588.1 transcript:Solyc08g016588.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVAAQATNFLSVLDSVVKLLTKASVSECCLKRRKPQIRFHIFVYWHVLTNELIRLIPPEVEVPSPS >Solyc06g072710.3.1 pep chromosome:SL3.0:6:44985345:44990498:1 gene:Solyc06g072710.3 transcript:Solyc06g072710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAVIGPYAGNRILSSSFYYSDLTEKLACSGDHALICNPTRNVINAKKSSNYSAGYVSNRKKQSVKAVKEHVNIASNHSDVEPMVEMLKKLQNESCSKEDPVEVLLLLQKSMLEKQWNLSAEKTLEAFPPNEKNCKKKMQITCSGTSARRRRMDTRKRVQIPKASAEANSASKRLRSVVGPELQQNRLRGYMNGVVNQELLTHKEVVQLSKKIKFGLYLEEQKASLKERLGCEPSDDQLAVSLKMSLADLRSTLMECSLAREKLAMSNVRLVMSIAQRYDSMGTEMADLIQGGLIGLLRGIEKFDHSRGFKISTYVYWWIRQGVSRALVDNSRTLRLPIHLHERLSLIRNAKVKLKDSGITPSIEKIAECLNMSQKKVRNATEASSKVFSLDREAYPSLNGLPGATLHSYIADNRLENNPWHGVDGWALKDEVNNLISSILRERERDIIRLYYGLDNECLTWEDISRRIGLSRERVRQVGLVALEKLKHAARKKQLDAMLVKH >Solyc02g062750.3.1 pep chromosome:SL3.0:2:35071553:35085592:1 gene:Solyc02g062750.3 transcript:Solyc02g062750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFREDYASNSDENSIRKPLLLPTTKGKDIARTSFRDDSVISEDGLRKPLLHTGSWYRMGSRQSSMMESSAQILRESVSVYLCVLIVALGSFLFGFTLGYTNPTQSDIMNDLELSISEFSIFGSLANVGAMVGAIASGQISEYIGRKGTLMIAALPSISGWFAISIARDTSFLYMGRLMGGFGVGIISYVVPVYISEISPQNMRGVLGSINNLCLTVGIMVAYLLGLFASWRMLAVIGMLPCMILIPGLFFIPESPRWLAKMGNFEDFETSLQVLRGFDTDISLEINEIKKSVGSSSKKATIHFSELKRRRYYYPLLIGIGLLSLQQLSGINGILMYSSNIFKSAGVSSSKAATFGLGAIQVIATAIAASLVDKAGRRVLLIISSSLMTVSSFLVATAFYLKDFAPKSWHPALGILSLVGLVVLVMAFALGLGSIPWIIMSEILPVDIKSLGGSVATLANWLTSWVVTMTANLLLSWSEGGTPSTRLSNDERRLHDSSHEPQRRSSSYMSKCWNLLQNKNNHTSSTHHHKSGRGSSNNNVNSSSSNNIADPLVARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRASAAAAANGIGIGSESSTQHTINGSWVHHSQGQKMPCYTSAYGNHEFRFIEDHDDRDSDNAISFWPFLASQHC >Solyc06g074690.3.1 pep chromosome:SL3.0:6:46357876:46368614:-1 gene:Solyc06g074690.3 transcript:Solyc06g074690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPPESPSEHNEEAPEQEFQSSTHHPPAPSGELFDITTTVDPAYIISLIRNLLSENVKHGERSKITTSSPPENEGDQSWSIDESKNMKNVETFVKQSVDDKFYCQNEREDVAVGEEAWEEFGCILWDLAASKTHAEFMERRRGGFLCRYWHPVFLFQMVGAWCTLLYIVYTSFQQLRKETSHLDDQSFINLSKEKEDQGSVENFALEVLLATLMVSKSARITEINLGIIGNLACHDVSRKKITTTDGLIGAVLQQLFLDDTACLCEACRLITLFLPSNECGFLAEALQPEHILCRILWIVENTLNIQLLEKSINLLLAIAESKQDVVAILLPPLIKLGLPRSLVDLLSVEISKLTPEERLPERYSILDLILQTVEALSVIDDYSQEICSNKELFQLLVQLIKHPDKAEFANSCVTASVLTANILTDVTDLALEISQDTLFLEGLLGVFPFASDDTEARSAIWSILARLLVRIQKTDPSNLHQHVSVLTSKSEVVEDELLIYDVDDSREDHRSSTKLTARTFALNGIVEILSRWRTLDDHMKGTLSMEGCYVNEGEVDKMLYYCYKYTNK >Solyc01g094060.3.1 pep chromosome:SL3.0:1:85493740:85530759:1 gene:Solyc01g094060.3 transcript:Solyc01g094060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKPKNTQSELGGDNVDNVHSNVDSKGVDSSSAPMVDAELFDIVSLKNQDKIIGGLNQSPGSDNLRGSSSGIEDNFEFSLGKIPSGDDYVDIEVHHESEILSPNPDRQFMDTDENRQSSSSMDSAMYSYGDDAYSPFGSPPKPKTKQVVPNVEPELLHLVDSAIMGKPEGLDKLKNVVSGVESFGTGDDADSIAFLVVDSLLATMGGVECFEDDGDNNPPSVMLNSRAAIVAGELIPWLPSVGDIAGLMSPRSRMVKGLLAILRACTRNRAMCSTAGLLRVLLHSAEKIFCQDFSTSEPSRWDGTPLCLCIQHLAAYSLSVRDLHGWFQVVTKTLATKWAARLLLSLEKAMSGKESRGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKGRKSSLHFTHAFKPQCWYFIGLEHSCKQGLIGKADSELRLYIDGSLYESRPFDFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFREPIGPEKMAHLASRGGDVLPSFGHGAGSPWLATNDYVQKLAEESSALDAEISGCLHLLYHPGLLSGRFCPDASPSGSSGVLRRPAEILGQVHVATRMRPTEALWALAYGGPMSLLPLAVSNVQENSLEPQQGDLALSLATTAIAAPIFRIISKAIEHPGNNEELSRRKGPEVLSRILNYLLQTLSSLDVAKHDGVGDEALVAAVVSLCQSQKHNHTLKVQLFSMLLLDLKIWSLCSYGLQKKLLSSLADMVFTESSVMRDANAIQMLLDGCRRCYWTIRESDSTDTFMTDETRPVGEVNALVDELLVVIELLVVAAPPSLATDDVRCLLGFMVDCPQPNQVARVLHLMYRLVVQPNMSRAQTFSDAFLSGGGIETLLVLLQREVKTGDCDDLSTVDHNVTNASAQEAELDTEAHCLMGSSEVGETGYTKERETGVNAMESVLFNGAGATISSRSTIEKMQSIPENAFLKNLGGISFSISAENARNNAYNVDKSDEIVLGIINLLGSLVSSGYLKFGTHAPPDVTNNLLGLLEGGGTMFDDKVSLLLFALQKAFQAAPNRLMTSRVYTALLGASINASSTDEGLNFYDSGHRFEHIQLLLILLRSLPYAPKPLQSRALQDLLIMACSHPENRINLTKMDEWPEWILEILISNYETGASKTANPGSLRDIEDLIHNFLIIVLEHSMRQKDGWQSWHDYVSPGFFTHRYMAKEKLLVSSRPDIGGSHSSGDLGDIEATIHCAEWLSMVGGSSTGDLRIRREESLPIFKRRLLGSLLDFAARELQVQTQVIAAAAAGVAAEGLSAKDAKLGAENAAQLSVALVENAIVILMLVEDHLRLQSKLYRTARVPTGSVTPLSNAVHAGSQPASVVGGDTLDTVADHKSSNSSGRMSLDVLASMADPNGQISATVMERLAAAAATEPYESVSCAFVSYGSCTLDLAEGWKYRSRLWYGVGLPSNTSDIGGGGSGWEAWNSALEKDADGNWIELPLVKKSVAMLEALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLVSLREEDDGGNQMLMRHGKTEDGTSEGFRRQTSNLSILDVNARVPSRKPRSSLLWSVLSPVLNMPISESRRQRVLVASCVMFSEVWHAVGRDRTPLRKQYLEVILPPFIAALRRWRPLLAGIHELATADGLNPFVVDDRSLAADALPLEAALSMISPSWAAAFASPPAAMALAMLAAGAAGGEAPAPATTSHLKRDSSLLERKAARLHTFSSFQKPIEAPSKSPAIPKDKAAAKAAALAAARDLERNAKIGSGRGLSAVAMATSAQRRSRSDMGRVMRWNVSEAMGTAWMECLQSVDTKSVYGKDFNALSYKFIAVLVGSLALARNMQRSEVERRSQVNVIAQHRLYTGIRQWRKLIHSLLEIKCLFGPFSDCLYNPQRVYWKLDNMETSARMRKCLRRNYGGSDHFGSAADYADHTGLKEGEDQTISPSKASLLAAEAISIEPEHEDYEQEDGSNLDSKLDDTEHHGDIQSRMSGTTEQPLQTSLESGDTPVTNHHDVVQSPSAVAPGYVPSEHDERIVLELPSSMVRPLKVSRGTFQRCHCFLGGVVSKAFHQLVSELQNFHGHDFVRRPASVVYDDHTGNAKWNRSIFLSVDKSLLIFISTQVKATYLKSVFLCQITTRRINFIIDNTEISVAGDNLDCSSDEKVKGKDRSWLISSLHQIYSRSCLLSAAAILPDFLSLQISSEEKCIGIIYGRPSTEARRDAYRAIVQTRPSHLNNIYLATQRPEQLLKRTQLMERWARREISNFEYLMQLNTLAGRSYNDITQYPVFPWVISDYTSTDLDFTNPSSYRDLSKVGIYFMCQIISRLEPFTTLSIHLQGGKFDHADRMFSDIAATWKSVLEEMSDVKELVPELFYLPEMLTNENSIEFGTTQLGEKLDSVRLPPWAKNKVDFIHKHRMALESEHVSAHLHEWIDLIFGYKQRGKEAIQANNVFFYLTYEGTVDIDKITDPVQQRAMQDQIAYFGQTPSQLLTVPHMKRMPLEEVLQLQTIFRNPRAAKPYTVPHPERCNLPAAAMQASSDSLVIVDTNAPAAHVAQHKWQPNTPDGQGAPFLFQHGKPGASSAGGTFMRMFKGPTGSESEEWHFPQALAFAASGIRGSSVVAITCGHVDNSVRLISSDGAKTLEVARGHCAPVTCLSLSSDSNYLVTGSRDSTVLLWRINRASTLHRSSTSEASTGSSTPSTSTTPNSLRDKSKRHRIEGPIHVLRGHLGDILCCCVSSDLGIVVSCSNSSDVLLHTIRRGRLVRRLVGVEAHSVCLSSDGIIMAWNKFHKTLSTFTLNGILIARTQFPLCSTISCMEISVDGQNALLGVNPYSENDGPSDNKLQKPELGDSDGELDENSEGNRLDISVPSICFLDIFTLKVSHIMKLGKGQDVMALALNKDNTNLLLSTADRQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLMK >Solyc07g062520.3.1 pep chromosome:SL3.0:7:65341817:65354470:1 gene:Solyc07g062520.3 transcript:Solyc07g062520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVTIFCCAIAITLFVCLWKVLNWVWFQPKKLEKLLRKQGLNGNSYRFLYGDMKDFSKMIKEANSKPMNMDDHDLAPRMVPFFLETIKKYGKKCFTWMGPRPQILIMDPELIKEVLSKTYVYQKPHGNPLGTLFVQGLVSYEKDKWAKHRKIINPAFHLEKLKHMLPAFYLSCSEMLSKWEDVVRVEGSHEIDVWPHLQQLTCDVISRTAFGSSYEEGRKIFELQKEQAQHFLEVIRSVYIPGWRFLPTKRNRRMKELKNDVRSSIRGIIDKRLKAMEAGNADNEDLLGILLESNFKEIELHGNKDFGMSIEEVIEECKLFYFAGAETTSVWILWTLVLLSRHPDWQERAREEVLQVLGSRKPDFDGLNHLKVVTMILYESLRLYSPITVLTRRVYEDITLGEVSLPTGVLVSLPMILLHHDKDIWGEDATKFNPERFSEGISSATKGQVTYFPFAWGPRICIGQNFAILEAKMTLCMILQSFSFELSPSYTHAPQSLVTTQPQYVLNWVWFRPKKLEKLLRKQGLNGNSYRLLYGDMKDFSKMIKEANSKPMNLSNDITPRLVPFFLETIKKYGKKSFIWLCPKPQVLIMDPELIKEVFSKNYFYQKPHGNPFAALLVQGLAAYEEDKWAKHRKIINPAFHLEKLKHMLPAFHLSCSEMLSKWEDAVEGSCEIDVWPHLQQLTCDVISRTAFGSSYEEGRKIFELQREQAQHFIDTVRSVNIPRWRFLPTKRNRRMKEIKNEVRTSMKGIIDKRMKAMKAGHDADNEDLLGVLLESNFKEIEQHGNKDFGMSIEEVIEECKLFYFAGQETIAVLLVWTLVLLSRYQDWQTQAREEVLQVFGSRKPDFDGLNHLKVVTMILYESLRLYSPLFTHNRQASEDTVLVELCLPADVLVSLPTIILHHDKDIWGEDANKFNPERFREGISSATMGKVTYFPFSWGPRICIGQNFAMLEAKMTLCMILQSFSFELSPSYTHAPQPLLTIHPQYVRVCCSAIAIALFVCLWKVLNWVWLNPKKLENLLRKQGLNGNSYKILYGDLNDFIGMIREASSKPMNLSDDIAPRLVPFFLETTKKYGKKCFIWLGPKPQVIIMDPELIKEVLSKTYLYQKPGGNPFAALLVQGLATYEEDKWAKHRKIINPAFHLEKLKHMLPAFHLSCTEMLSKWEDAVPLGSSREIDVWPHLQQLTCDVISRTAFGSSYEEGRKIFELQTEQAQNFIDAVREVYIPGRRFLPTKRNRRMKEIKNEVRTSVKGIIDKRMKAMKAGNADNNEDLLGILLESNFKEIEQHGNKDFGMSIEEVIEECKLFYFAGQETTSVLLVWTLVLLSRYQDWQTQAREEVLQVFESRKPDFDGLNHLKVVTMILYESLRLYPPLITLNRQVNEDIVLGELCLPAGVLVSLPMILLHHDKDIWGEDANEFNPERFREGISSATKGKVTYFPFSWGPRICIGQNFAMLEAKMALCMILQSFSFELSPSYTHAPKSLVTMQPQYGAPLILHKL >Solyc02g081445.1.1 pep chromosome:SL3.0:2:45967220:45969607:-1 gene:Solyc02g081445.1 transcript:Solyc02g081445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQRIHPVPEPEHELPVQKPSVPLVPKGSFSSEKDVAFLLLSSERALDRLELVVEFRSATASTIEQAFVTQGGSENAGVV >Solyc02g090690.2.1 pep chromosome:SL3.0:2:52861011:52865621:-1 gene:Solyc02g090690.2 transcript:Solyc02g090690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNVNSWFQGVYVVFAFCSALFLGAIKSLLVGPIAGLILIIGNVGVILCLFPAHVFWTIYTLVKTNRFDAPLKAALLFGLPALFGIWLCLSLAGSVLVGVGYGFFAPWISAFEAFRHDDEFNKFVHCVLDGTWGTIKVKIMCKMSCCRFIHVPGCIMVGLLGLIVEIPLYTAIAIVKSPFMLFKGWYRLIHDLISREGPFLETACIPIAGLTILLWPLVVIGSVIMAVFSSFFIGLYGAVIVYQVTYSERSFQRGVAFVVAMVAEFDEYTNDWLYLREGSILPKPRYRNKKGSNSSDYSVKRNSSVQGRLNSIFAEAPAMLVPSLTSSRSVREAIQEVKMVQNMMKSCEIRGKELLDEKVITPNDLDDWLKGKHGVDVAVINVGLACYTFLQIIFYSIKSGSDGLFLLEDLEITQLNRPQDRLLDWFFQPVMVLKEQIRVLSLEEGEMRFLEKVVLFDSNSERFKAWENGSVAPQDSLRVAQIEGISRR >Solyc06g071790.3.1 pep chromosome:SL3.0:6:44353148:44354955:-1 gene:Solyc06g071790.3 transcript:Solyc06g071790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGSLSPYQKKITSLSSLPSTTIHFTLFLQNLQSSAKASSMASISAASATAAAAASTKINTSGTVLPSKSSKLILSSSFTPNPSTLFLHSPATTAPSSSSSRHRRFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASLGNSAPKKYDEIDAAPEERARGITINTATVEYETENRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKEDQVDDEELLELVELEVRELLSSYEGDDQWVDKIYKLMDSVDEYIPIPQRQTELPFLMAIEDVFSITGRGTVATGRVERGTVKTGEIVDIVGLKDTRNTTVTGVEMFQKILDEAMAGDNVGLLLRGIQKIDIQRGMVLAKPGSITPHTKFEALVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGKVTAIMTDKGEESKMVMPGDRVNMVVELIMPVACEQGMRFAIREGGKTVGAGVIQKILE >Solyc07g064440.3.1 pep chromosome:SL3.0:7:66728776:66735642:1 gene:Solyc07g064440.3 transcript:Solyc07g064440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILKNHSFITFLFLFQFVSWQIHGFKTPFHPKDVLPLLPRQVSWPILNSLYSAVDILPSFVGGASIEGNNTLEWKGACFFKNIAWLELHNKSKSQFGGGTLHIKVSNAHSWTCMDLYVFATPYRVTWDYYVLSREHTLEIKEWESQAELEYVKHKGISIFLMQAGMLGTLSALWDVLPLFSNTLWGENSNIGFLKKHMGTSFEKRPQPWVTNLTNTDDIHSGDFLALSKIRGRWGAFETLEKWVSGAYAGHSAVCLRDSEGKLWVGESGNENDKGEDVIAILPWEEWWEFEVKKDDSNPHIALLPLHPDLRAKFNETAAWEYAKSMAGMPYGYHNLIFSWIDTIDGNYPSPLDANLVASVMTVWNHLQPAYAANMWNEALNKRLGTKNLSLPDILVEVEKRGFSFAKLLAIPEKDDWVYSDGKSTSCVAFILEMYKEAGLFGEFASSIQVTEFTIKDAYSLKFFENNSSRLPKWCNADDNVSLPFCQIRGEYRMELPEYNSIDPYPHMNERCPSMPPKYFRPQSC >Solyc09g064960.1.1 pep chromosome:SL3.0:9:62867170:62868848:-1 gene:Solyc09g064960.1 transcript:Solyc09g064960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCSGAPIPITTFQRGIIFWFLTFCRMETFTASVNFHTFPAIHTVFLFSFSLQQAASSDFLANETDKLSLLGFKSQISEDPSRFFASWNDSVRFYQWTGVKYGLRHGRVIRLNLEGMRLAGEIPVNLSHCVNLNNLVLDHNTLMGKIPYQVGSLKKLVKLSLRNNNLTGLFPGSVGNLTSLEELYLSYNNLEGQVPTSIAQLTKLRLLGLSVNSLFGEFPPPLYNLSSLIPRTRGKCLALGENYLKGNSLQATIPNLKDLSNLQSVDLSQYKLSGPIPHFIASLTSLLYLNLSVINLDGEVPVTGVFSNLSVNGLNRNS >Solyc06g084610.3.1 pep chromosome:SL3.0:6:49712839:49713661:-1 gene:Solyc06g084610.3 transcript:Solyc06g084610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEGVVAIKDNRNDDQNKKKAKPPGVFGFFKAAMFVLRHRTKGKQKAAQVITQQGDWKKLVVSQYASADDLKSLDQLDTMSQYASANDLKSLDDQASASASSETMSQYASASNLQDLDEQEEDEDDPDKVFDAIGADDMIDAKAELFILQFYQQMRRQNVDSINGQFH >Solyc04g024810.1.1.1 pep chromosome:SL3.0:4:28610287:28610487:-1 gene:Solyc04g024810.1 transcript:Solyc04g024810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSRSSQANSGQQALPTTHTGDSIGEQTKLLQPPSEVSRRPQAHNQGQRQQRLRRTIINNIDIR >Solyc10g074460.2.1 pep chromosome:SL3.0:10:58020700:58022064:-1 gene:Solyc10g074460.2 transcript:Solyc10g074460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTSKFTTFSLLFSLVLLSAASAQNCGSQGGGKVCASGQCCSKFGYNAFITAARSFPGFGTSGDINARKREIAAFFALTSHETTGGWPSAPDGPFAWGYCFLRERGNPGDYCSPSSQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGVDLLNNPNLVATDPVISFKTAIWFWMTPQSPKPSCHDVIIGRWNPSAGDRSANRLPGFGVITNIINGGLECGRGNDNRVQDRIEFYRRYCGILGVSPGDNLDCGNQRPFGS >Solyc01g103395.1.1 pep chromosome:SL3.0:1:91881765:91882287:-1 gene:Solyc01g103395.1 transcript:Solyc01g103395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDSVTMAWWRTDKGGPMMVLSDLRSAFNSVSDGMPPSPALSVRQMEFFFQNIEVLDCSLLFFHKFTANFYNNLIDPRSYGLNSQMAGCSVPLEKLILRLGQNWCIHNSFDSLRPD >Solyc08g067505.1.1 pep chromosome:SL3.0:8:56592697:56593052:1 gene:Solyc08g067505.1 transcript:Solyc08g067505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKQLFPLLLICIAVAVATAEDVTITCNLVYSSLEPCLGYVLGGGLSVPSECCSGIKFLHRSAPDRQSFCRCIKSAGSDATEAQVSRAAKLTGICRANVLFKISPADVDCSKVK >Solyc05g024430.3.1 pep chromosome:SL3.0:5:31720956:31722590:-1 gene:Solyc05g024430.3 transcript:Solyc05g024430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSCALSMNVGVLPRELLSPFPQSLTSTSLKRRRTHFTVSAKLGGGEGDVKKDEKKKFITKEQEPEQYWQSAGEKAGENPMMTPLPYIIIFGMSTPFVILAIAFANGWIKVPIR >Solyc01g095160.3.1.1 pep chromosome:SL3.0:1:86402329:86404416:1 gene:Solyc01g095160.3 transcript:Solyc01g095160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRANLRASVKLTSHSFSPHIHSACTIRSSSSFSTAHKEKTFFQPANKDQMITRLCNENKFNEALQMLCEQRRLKEAIQLLERPETRPSATVFSTLLRICIDNRALEEGKRVHKIMKCSGFRPGVVISNRVLDFYCKCDKPFDAQNLFVEMPERDLCSWNIMVSGFAKLGLIDEARKLFDEMPEKDNFSWTAMISGYVRHNKPECALELYRVMLRDENFKCNKFTISSALAASASIQSLRLGKEIYGHIVRTGLDSDAVVWSALSDMYGKCGSVDEARHIFDRTKDKDVVSWTAMIDRYFGDGRWEEGYLLFSCLMYSGIRPNDFTFAGVLNACAHQTKEHFGKQVHGYMMRIGFDPLSFAASTLVHMYAKCGSVDSAYKVFKRLPKPDVVSWTSLINGYAQNSQPSEALQLYDSLLKSGTQPDHITFVGVLSACTHAGLVDKGLEYFYSIKDKHCLTHTADHYACVIDLLSRFGRFKEAEEIISQMPMKPDKFLWASLLGGCRVHGNVELAKRAAEALFEIEPENAATYVTIANVYATAGKWTEVAKIRRVMEEKGVVKKPGISWINLQRKDYVFLVGDKSHPRSKEIYEFLGELWRRMKEEGYVPAIDNVLHDVEEEQKEQNLSYHSEKLAVAFGIIATPPGTQIKVFKNLRTCVDCHTAIKYISKIEERRIIVRDSSRFHCFEGGSCSCKDYW >Solyc02g091023.1.1 pep chromosome:SL3.0:2:53094120:53097407:-1 gene:Solyc02g091023.1 transcript:Solyc02g091023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEKRPLDFNAATNATSGQTRNVSMGSSTLPTFGKKYDFNSVANEPLVGTRDVNVSDSSIIGYPRGPAFGKGSTPPLFKSSGFGKSTFGINQKGSRIASYTATPGNDITGFRIQSICGMLTYKDKSQEELRFEDYQLGDKEKQGGFEIGRKAKFAPCGSSYAY >Solyc02g079090.3.1 pep chromosome:SL3.0:2:44266916:44269273:1 gene:Solyc02g079090.3 transcript:Solyc02g079090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVASDRLSSLPDSILFHILSFLPFDDVVRTSRLCRQWRTLWSFSSTLNFIHRSKDFFSVRKFVSFVDKSLINLHRNCNTLLKFHVDFPFKRCFTSDVTVWVLFAITHQVKELNLVLSNDDGDCYNLPKRLLFNPFLRKANWVSCRFYRVPAVRWDSLRELRIGSMGFGDDIVRKIVAGSPCLDLLELDNCWGFRCLDLFGGKVSKLVVNGYKEEVNKFLDLELEIKAPCVKVLELKGCIRMNIKLNNVRNCVSVKFDFHSKNPKDEECDYFYEQRGVMLMAMFESLIHVKDVMLGTWCIEVMAFLNSPWVLFPMIGCECLTVHTPIQKRYLPGIIRLLQNLLKLQTLIIHMALPYFEFEGCFLSETLLFTC >Solyc07g017295.1.1 pep chromosome:SL3.0:7:6658903:6659796:-1 gene:Solyc07g017295.1 transcript:Solyc07g017295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPINIQTSLSVHLPYETINLLSPSSFLRSPITDQTTSEGNPRFSTMLKFSLLAGRNSPLKCIKNRIEEKSL >Solyc06g051570.3.1 pep chromosome:SL3.0:6:35169757:35173534:-1 gene:Solyc06g051570.3 transcript:Solyc06g051570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESGSFVPCGAEAEQKPPKCRKSCCVGRLCRHASNCKPHRCHYGACPPCRLLCDEEYPCGHKCELRCHGPRPPPLPEFTLKSKKKRPNNQIEPTPGSPCPPCPQLVWRSCLGNHVGAERMMVCSDRATFSCDNLCGNPLACGNHYCTNVCHTLVTSTSKLDSSSRAETCEKCTLPCQQERRPTCPHPCPLSCHPGECPPCKALIKRSCHCGSMVHVFECIYYNSLSAKEQLTVRSCGGPCHRKLPNCTHLCPETCHPGECPSPDQCSKKVNVRCGCQSFKKELLCKDVQAAYRSSGTDPKDVSRNQYGLGLLPCNSDCRSKMKASEAELQHRKSKAPEVNLYNSLKCFVASAVHYLLEFNNMRVTFLSLR >Solyc02g071950.1.1.1 pep chromosome:SL3.0:2:41867289:41867591:1 gene:Solyc02g071950.1 transcript:Solyc02g071950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQGSGASFIFGLAVGSIPMMSMAVFGSTNNCFEKPRPTTPTGVVVAGGGEIACSSTSTATSRSIGSRRRMGNNNVDGGRGKTCPSVMLVKEILPSLILR >Solyc05g008400.3.1 pep chromosome:SL3.0:5:2768418:2773363:1 gene:Solyc05g008400.3 transcript:Solyc05g008400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLEYTPLDRINDFLSHVNLGERTIKGCLEAYSCKHTGTDKKLSLSLENEIFDYLGKSSDADSSSPVEYLMCRSRCRKTLIYLLLSLYHMYPDYDFSAVNAHQFFTEESWDSFKQIFDVYMFEASKEWLDANEGSALLETLYKALDEVRYFFINIKRRQRGNMVKLLNLLAVQVVKVAECELYTYNPEADADPFLEKGAIWSYHFFFYNRRLKRVVSFRFSCVSNLVSEGHLLDDSSFDEDGEIFDGMDM >Solyc06g082010.3.1.1 pep chromosome:SL3.0:6:48006160:48010161:1 gene:Solyc06g082010.3 transcript:Solyc06g082010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGSGSEVCSTELAMEGKIQKQKELVPDFSLLLELSASDDIRNFQKAVEEEGHDINEVGLWYVRRVGVKKMGYEERTPLMVAATFGSKQVLSYMLEKGCVDVNQACGSDRATALHCAISGGSAALLEVVKLLVDASADVNLVDSNGKRAVDLISGQSCCLNSRRKILEHLLGGSSTDEVIDQIISEQAEEQLLLTPTVSKFGIEKKEYPVDPSLPDIKNGIYGTDDFRMYIFKVKPCSRAYSHDWTECPFVHPGENARRRDPSKYHYSCVPCPDFRKGTCQRGDSCEYAHGIFECWLHPAQYRTRMCKDETNCNRRVCFFAHKPEELRPLYPSTGSAVLSPRSYSSGASSLDITSITPLALGSPSVMVPPTSTPPMSPSAGASSVGGSLWTCQSSPATPTLQLPISRLKTAINARDMDIGNGYLMQDQLMDELSALSSPSRWNSSSAKAAAFAASLNDRNGEFSRHGGLNPSNLDDIIANLDAKILSQLQGLSLDAASPQLQSPKGMQMRQNMNQQHMSSYSSSQSSPSFRTSSSYGIDPSNAAATAVLSSRAAAFAKRSQSFIDRSGAGRLPNASAVPSNLSGWGSPDGKLDWGIQKEELNKLRKSASFGLRSSGSRFPTTSESSVSDSLVEPDVSWVQSPHSPSMTSRQLATMEDQQYRLNTSRGSETMPTWVDQLYMEQEQIVH >Solyc12g013500.2.1 pep chromosome:SL3.0:12:4376748:4379134:-1 gene:Solyc12g013500.2 transcript:Solyc12g013500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSYQVNHSCALNPPKDGLPSTQSSNDALRQMGSEGKMGAVHEEMKRVSRLPPSSTYATHRMRVLNKILQLMSIQRTTSQDEELELLFSGLSLG >Solyc08g078580.2.1 pep chromosome:SL3.0:8:62477192:62480576:1 gene:Solyc08g078580.2 transcript:Solyc08g078580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGSSSRKREIIEDLSIIGGLIGVQFMYAGNSVVSSYLMSLGFKPSSLIILSSLATFLILCPFSFMFERSQWPRQMSLKLLIQLFLISFGGQSFARVKDQTKYNEVKIRVTLFQSLFMEGIKFTSPSMATAMPNLAPGLIFLIAWAFGLEKVELRCKYSRAKIAGTLMCVTGAILMSLMQNTTNAEIDLPSSPPHDKYNLFDSEKIKGSLYLMAAILVLSSNIVLQAATLGDFPAPISLCAITSLIGMLLTGFVQLIQHGSMEIGLPLLSIRDLIGYSLLAGIVSGACVSFNNWAMKKRGPVLVSVFSPVGTLLTVVLSAVTLRDTITTGSLAGMFLMFTGLYFVLWAKRKEGFLNNNMTNSSSESEYDVEKPLLN >Solyc09g075960.1.1.1 pep chromosome:SL3.0:9:68010981:68011892:-1 gene:Solyc09g075960.1 transcript:Solyc09g075960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFPSCFGENGVQVADASCSNVVGVSKTPQNLVTCVYQCKLLGKSCLITVVWTKSLMGQCLSVEIDDMSHQCLCKVDVKPSLFSKRKGSRFLEVNACKIDIYWDFSLAKFGSGPEPVEGYYLAVVCKGQMVLCIGDLRKEAFKKSNATPSLSNAMFISKREHIFGKRVFSTKAQFCYTGPVHDITIECDSSVIDDPCLLVRIDSKTVMQVKHLRWKFRGNYTVLIDGLPVEVFWDVHNWLFSSNFGNAVFMFQTCLSAEKLWNTQTLSDLSVMPWPYTESTLSNSKSPGLGFSLVLYVWKND >Solyc04g080440.3.1 pep chromosome:SL3.0:4:64700990:64705976:-1 gene:Solyc04g080440.3 transcript:Solyc04g080440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANAPQFKLILGSSSTARKKILGDMGYEFTTMSADIDEKAIRKEKPEDLVMALAEAKADAIISKFRKTENPEKVLNPTILVAADTAEAIIPRVSIGESEGDAEPTLLITCDQVYIISVLLIVYVLRCQLPDYANGHAATVSSVLVTNLTTGSRRGEWDKVEIYFHDIPDQVIDKLIEEGIVLYAAGGLIIEHPLVLPYIKEVVGSTDSVMGLPKALTERLIKEVL >Solyc06g053230.3.1 pep chromosome:SL3.0:6:36052383:36054498:1 gene:Solyc06g053230.3 transcript:Solyc06g053230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSSPSPSPLPFDNRNNNVTDPTSKPTVILPVLPPIADGVSDFATIWFVFGILLLSLFAFAFIFHLRIRSRQLPHLQNFNSLWAVRLLLVLFAALWAVNEVIRLPFIRQKYLYPFLPLNQQAEICKVHVVLSLGFFEPGFLITLLFLVNESIKTQNPFRTWGVALVCLACSPILLLQSFFVFFSPLEAQLPTFMHATSYLSTDHLGNKSVLCTYPLFSCVVFGVFSIAYSLAFLLSCWRVVAFVINKKIRVRLNMLATSFMILLPVQILCLGLSPLWQPAEPIHGYVVLAMDLAVAWCVVIGEVILVIRPIADALAAGGAFCPWSPGCRPGKT >Solyc09g060060.1.1.1 pep chromosome:SL3.0:9:57731581:57731763:1 gene:Solyc09g060060.1 transcript:Solyc09g060060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTVGGRINLDVQQLCRCCDSRKDLVSHPVKLLNICTSIASGAHIKNILNVGIFILCGS >Solyc12g099680.1.1 pep chromosome:SL3.0:12:67710959:67713431:1 gene:Solyc12g099680.1 transcript:Solyc12g099680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEFSFVSLFDKYLVFSLCKIVLSFGLFLHLASVFLFRDPNCPSPYELLECSNNINPPISSNPTNLSHLLFGLLGSQNAWHHRKNYIESWWRPNVTKGYLFLDVYPNSTLLPWSKNSPPYKVSKNITKLVQETQHVAPIQARMVHGIKELFDQEHEGVRWVVMGDDDSMFFLENIIDVLAKYDHNKYYYFGAQSEYILSNFWFSFDQGFGGAGFIMSFPLAKALAQDIENCLRRYPFLNSADLITMVCIVDLGFGFTPLKGLHHLDMRGDISGFLSSHPKTPLLSLHHIDSIAPIFPLMDRSNSLRHLMKAAKFDQSRLVQQTICHHRLSNWTFSVSWGYSIHIYEKIMPRSHLIKPIQTFHTWVKKPKSPPYYMFNTRPRVNDSCETPHVFFFKTIGKMKNKNEIWTTYFRSEARGLPTCSIDGNYPANYIDKIQVYTPRAKRTEMDRRECCDIIHTSGSNKAKIKLRECFTNEKIA >Solyc02g022930.3.1 pep chromosome:SL3.0:2:24912114:24927157:-1 gene:Solyc02g022930.3 transcript:Solyc02g022930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxyisobutyrate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4B541] MATFCRLRSLLGLHKMVCSVSPFYPMHSYSSSSFGRIGFIGLGNMGARMADSLIKDGYEVAVHDINHNVMTMFSAKGVLTEDSPLKVAETSDVVITMLPSSNHVLDVYTGKNGFLSGGSLLRPRLFIDSSTIDPQTSRKVSAAVSNCSLIEKRDGWKAPAMLDAPVSGGVLAAEDGTLTFMVGGPEEAYLASKPLFLSMGKNTIYCGGSGNGATAKICNNLAMAISMLGVSEAFALGQSLGIEASTLTKIFNSSSARCWSSDAYNPVPGVMDGVPSSRNYTGGFASKLMAKDLNLAAASAKDIGFKCPLTSQAEKIFTELCNNGHEAKDFSGVFRYYYSGKDEQ >Solyc10g005680.2.1 pep chromosome:SL3.0:10:544560:548403:1 gene:Solyc10g005680.2 transcript:Solyc10g005680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFNDLVPNGDWLPTSPSPSPSSRALISSLRADDFGWPAHLEEANESTCKNLVVEPQEHVNWSNSVGNDGAQTGAMTNQTVNVSAPSKQNTSSRGGLMERMAARTGFNVSRLNTDGLRPSFVSQNQEIKSPYLSIAAGLSPSILLYSPVMLYNSLTILPQVSPPTGLLPCASGNEGRSVMLMTEAADKMNETAFGTNSSSYLSFNPIMETGGVNEFQIEGSVDPNNSLQLHSMEATQNEQICNETTDIPMFTEEDVRGSDKNPEVRAFNAVGGITEHPPSLEKQQNEGAAGDTSVEDGHNWIKYGENQVKGSEYPRSFYKCTHPNCLVKKEIARYHQGHVTEVIYNGAHKHPKPQPNQISGPGSSSSFGDLQLDNVDPTGTGVNSDLALETVQQGPTAGGLKRKNDNLEAISFAALHSEYCRGAATLHSNDAQLGSADTVDIASIFSTEGDDHGTCGSAPLDCDDGGYDPESKIRKIEADATDTSSVSRSMKQPRIVVETISEVDVIEDGYRWRKYGQKLVKGNPNPRSYYKCTNSGCSVRKHVERSPLDQMSVITSYDGKHNHDAPEERSSIQVSSIASIYRSNPIITNAQDQVGRPEPKQLQKNSRRYGRGPPFGSTSGFNSSETNQQQGLTGPSMTGFNSEQHQFSVPAYPYPGWPQPVNDAGFVLPEGKPMPNPNMNYSNASSTYQQTMNGLPPGPQM >Solyc05g023740.3.1 pep chromosome:SL3.0:5:29175992:29183947:1 gene:Solyc05g023740.3 transcript:Solyc05g023740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELQGLSPSSYFSEELCFRDERQVGFWKANSLQNYHGLKSDDALQRAAVRSSPFENHISLGSPTTKHFEHHDSHLKQDKNVNSIIERRAVGIERASHSLPRGLDYNVGVRSIVSTDLASYPTEDDKISVLGGQCENGLFSSSLSELFSRKLRLPTNYSPHGHSVGAADSHYEEERFESLKELEAHAIGNLLPDDDDLLAGVTDGLDYVGQPYAGDETEDLDLFSSVGGMDLGEDGSSTGQQNSEYAGNYTLPLGDSNAAIGSQKPFEENPSRTLFVRNVNSSVEDSELQTLFEQYGDIRTLYTACKHRGFVMISYYDIRASQNAMKALQNNPLRRRKLDIHFSIPKDNPSEKNANQGTLLVFNLDSSVSNDELRQIFGVYGEIKEICETQHRSHHKYIEFYDVRAAEAALRALNRSDVAGKQIMIEAIHPGGTRRRLSQQFPSELEQDEPGLYLHQNSPSSLATGFSGALPHGGHGLSMENGSILGRQSASGSAMNSYLDNAFDCGLSFSVPNSLLRLESKGGNQANVGETGHLQSQFNFDLRGTSGLHPHSLPEYHDGLSNGTTSISPGGISANMNIRPLEAIENRKFSRVGPNGQPVELNEVFTPNGTANCPSPGHQYMWSNSHQSQPQGMMWPNSPTYVGGVCASRPQQLHSVPRAPSHMLNALVPINNHHVGSAPSVNPSLSLWDRRHAYAGESPDASGFHPGSLGSMRISGNSPHPLEFIPHNVFSRTGGSCIDLPMSSSNVGHQQRNLMFPGRAQIIPMISSFDSPNERMRSRRNEGNSSQTDNKKQFELDIERIARGDDKRTTLMIKNIPNKYTSKMLLAAIDERHRGTYDFIYLPIDFKNKCNVGYAFINMTEPSLIVPFYHAFNGKKWEKFNSEKVASLAYARIQGKSALIAHFQNSSLMNEDKRCRPILFHTDGPNAGDQVPFPMGVSMRPRSSKNRAGTSEESYQESKDFIIEESVNESN >Solyc05g052150.3.1 pep chromosome:SL3.0:5:63295732:63301971:-1 gene:Solyc05g052150.3 transcript:Solyc05g052150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRHGSRFLARATTMTWRRPFATDLQAEYVADSSFAEAWKKVVPNVDPPKTPSAFMSPRPATPSSIPSKLTVNFVLPYTSELSGKEVDMVIIPATTGQMGVLPGHVATIAELKPGILSVHEGNDVNKYFVSGGFAFVHANSFADIIAIEAVPLDRIDPNLVQKGLTDFTQKLNTASTDVEKAEAQIGIDVHSALNAALTG >Solyc02g030120.2.1 pep chromosome:SL3.0:2:25643271:25653155:-1 gene:Solyc02g030120.2 transcript:Solyc02g030120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNCISKTGTDDMYMLVSAIHSLNDTAAKDMYVSECQDASKFNHTLVQGNLLICSYSIRFVLGLSTIKQASETAMNLSAAGVVFAMDPFVISYQLNPVPMRLPGIIIPSPDDSKILLQYYNSSLEKDETTRKIVKFGAVACILGGVTPNFSLSAPKVMYYSARGPDPEDNSVDNADILKPNLVAPGNSIWAAWSSRGAESIEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPTFSPAAIGSALSTTASQHNKYGGPILAQRAYANPDLNQSPATSFDMGSGFVNATAALDPGLILDTNDMKSTSGYCFSLGSGMFLWCSKKQDIVAQSTAVAEFVAATTTVNQHRGYNDYMAFLCGINGSAPVLLNYTGESCGVSTMNGADLNMPSITISKLNQSRKVQRMLTNIAGNETYIVGWSAPNGVSVKVNPKRFFVASAQQQILNVFLNATMNSTTPSFGRIGLVGNKGHVVNIPLSVVVKISYHSTNS >Solyc04g073960.3.1 pep chromosome:SL3.0:4:60074652:60078092:1 gene:Solyc04g073960.3 transcript:Solyc04g073960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAETVTLVLVNLAGIMERADESLLPGVYKEVGEALHADPTRLGSLTLFRSMVQSLCYPLAAYLAVRHNRAHVIAYGAFLWAAATFLVAFSSTFTQVAVSRALNGIGLAIVAPAIQSLVADSTDDDKRGMAFGWLQLTSNIGSIIGGLFSLMIAPVTLLGIPGWRLAFHLVGIISIIIGILVRLFANDPHFPDGHLKATNEGPGRSFKSEVLGLVQEAKTTGVLICLFVVGSSIGGLFGGRMGDMLSQRLPNCGRIILAQISSASAIPLTAILLLALPDNPSAAFMHGLVLFITGFCISWNAPATNNANSPIFAEIVPEKSRTSIYALDRSFESVLSSFAPPVVGLLAQNVYGYKPVPKGTQSIATDRENAKALAQALFTSIGTPMALCCVIYSFLYCTYPRDKARAQMEALIESELQIIGLDSTPPANQPYSQVKSSELQENLEDRIIVEMDYGEDELGFDDDDEKTLVNHHQTFSQLDL >Solyc04g049220.2.1 pep chromosome:SL3.0:4:40212148:40212907:-1 gene:Solyc04g049220.2 transcript:Solyc04g049220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ENDPIADVIDIQTIVIDIGTALSKSGFSVDDAPKAIFESVVGVPRHTSIILRMKYPIKYGMVKNWDHMDKIYHHILDNELCDAPEEHFILLLEVPLHPKVNREKMIKIMFEKFSVPSMYVAM >Solyc12g098230.1.1 pep chromosome:SL3.0:12:66815948:66819626:-1 gene:Solyc12g098230.1 transcript:Solyc12g098230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFSARVIGAILLIVLFSGIMVSADVLGRRMLAAGGGGGGGGGLFGGGLGIGGSIAEAAGGAGLGGGLVGGKGLGGGGGLGGGGGAGGGLGGGGGAGGGVGGGGFGGGGGIGGGH >Solyc05g005190.3.1 pep chromosome:SL3.0:5:169443:172750:1 gene:Solyc05g005190.3 transcript:Solyc05g005190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Solyc01g098960.2.1 pep chromosome:SL3.0:1:89198161:89205125:1 gene:Solyc01g098960.2 transcript:Solyc01g098960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVTKSKSYTLSLIFLSIFLFYLTLTCKLRPKNHDIPIPNLEKPTFRQNIVMPMEHVTSKPQWFQLLQDEIKDKSTLKIGLVNLDDVLFFDYVGLHGAKNMETFDVKFPKVSNKIKWKDLFPEWIDEKEVSAKPTCPEIPMPVFDKYDELDVIIAKVPCKHVGMDVSRDVFRLQVNLVVANLLVKIGGLNKNRPVYAVFIGDCGPMWEIFRCEDMLLHEENLWVYKPELIRLKQKILMPVGSCQLAPPISEQEQESWKSYPVSALDKTFHKPREAYVTVIHSSEAYVCGAIALAQSIILTNSTRDLVLLADDSISEKSLHGLRAAGWKIKKIKRIRSPHAPKNAYNEWNYSKLRIWQLIEYDKVIFIDSDFVVFRNIDQFFSYPELSAAGNDGYIFNSGVMIIEPSKCKFQTLMNKRFEVDSYNGGDQGFLNEMFVWWHRWPTKLNTLKIFVNSNHRHLPDDSYTVHYLGLKPWLCYEDYDCNWDKMESQLFASDSAHGRWWKVYKKMSMELREYCALTPQMDARIVKWRRKAKKANFSDGHWRIQLSNLSVKDLHDDEVDLVNVHFRRVNKSVMWKDLFPEWINENVPQKSFQCPEIPMPELEDYVNLDVVLARAPCENATNVFRLQVNLVVANLLVKNGLDSNDTYREIYVVFIGPCSPMLEIFRCDDQIWHEGNVWIYKPDLRRLKQKILMPVGSCQLASPFAVQGLQVRPHSLCLPWLKLLGREVWRKYGSSSTSNKSAHKRREAYVTVLHSSESYVCGAISLAQSIILSKSTKDLILLVDNSISQETLHSLKLAGWKIKIIERIRNPHAKRGTYNEWNYSKLRIWQLTEYDKLIFVDADFLFFKNLDHFFVFPQLSAAGNCRHVFNSGIMIIEPSECTFKTLMEKTLTVVSYNGGDQGFLNEVFSWWHRWPAKLNFLKNFQTDESRKYEYPEDAYAMHYLGLKPWMCYKDYDCNWDVLEYRDFPNDLIHAKWWQVYDLMPKELQKYCDLTPEMDTRIRLERQKAKIANFSDGHWKIQVKDPRRLSDSDI >Solyc10g076570.2.1 pep chromosome:SL3.0:10:59639393:59641758:-1 gene:Solyc10g076570.2 transcript:Solyc10g076570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWTKLSLPIITAIVFTFLAFIFLLYTERITTVSRFKLNCPKRISADDDRALESDSIDNPVDDILDFDPDECSVNHGKWMFNSSIKPLYTDRTCPYIDKQYSCIKNGRNDSYYLHWEWKPDDCMLPRFDPEVALRKLQGKRLMFVGDSLQRNQWISFICLVDSVIPKDKKSMKRGRVHSVFKAKEYDATIEFYWAPFLVESNTDIPIKSDPKQRIIKVDSISQRAKNWLGADILVFNTYVWWMSGLKTKALWGEFENGEEGYEELETAVSYRVALRTWANWIDSTIDPSKTKVFFTTMSPSHQKNKEWGNINGIRCFNETRPVTKKGHWGSGSNKEMMNVVASVMRRMKVPVTVLNVTQLSEYRIDAHTSIYGELQGKLLTDEQRADPLHFADCIHWCLPGVPDTWNQLLFAYL >Solyc02g075615.1.1 pep chromosome:SL3.0:2:42342044:42342487:1 gene:Solyc02g075615.1 transcript:Solyc02g075615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFKNNLVIFIQNGKKLQHDNSKERLSTFSFDRLKKAQVLNELVYTDAAFIKDLLLNEALQSGDNKTLMESDAMIDLLVCLGQEQRKVDKLSSRLRELGEDVGKLLEDVVDDVDADEDD >Solyc05g041950.1.1 pep chromosome:SL3.0:5:55153541:55154280:1 gene:Solyc05g041950.1 transcript:Solyc05g041950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPEDGQLIALLLKLTNAKKTIEIGVFTEYSLVLNALTIPDNGKIIAIDLYRDAYEMESPIIKKANVEHKINFIQSSALSALDELLNEYHERMLELVKVGGIIVYDNTLWFETVAMPEEYVKETMKPNRQHIIEFNKFLDSDTRVQISQVPTGDGITICWRL >Solyc11g071900.1.1.1 pep chromosome:SL3.0:11:55522584:55522997:1 gene:Solyc11g071900.1 transcript:Solyc11g071900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFNCNFYIFLLLILPLIFESCCLARVQVHIINKLPDGLAMTLHCQSHDNDLGQLRLTGGDEASWTFSVNFWGTTMFYCDAQWDDSSTTYRFVTYDAARDARRCQTECWWMISEEETLYGYNQESEYWELFPFTSA >Solyc04g054380.1.1 pep chromosome:SL3.0:4:52363738:52366846:-1 gene:Solyc04g054380.1 transcript:Solyc04g054380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase [Source:UniProtKB/TrEMBL;Acc:G5CV47] MSLLEGNVNHENGIFRPEANFSPSMWGNIFRDSSKDNQISEEVVEEIEALKEVVKHMIISTTSNAIEQKIHLIDTLERLGIYYHFEKEIEDQLSKMFDQNLIHEEDDLYKVALYFRLFRQHGYPISSDCFNQFKDTKGKFKKTLLIDVKGMLSLYEAAHVREHGDDILEEALIFATFHLERITPNSLDSTLEKQVGHALMQSLHRGIPRAEAHFNISIYEECGSSNEKLLRLAKLDYNLVQVLHKEELSELTKWWKDLDFASKLSYVRDRMVECFFWTVGVYFEPQYSRARVMLAKCIAMISVIDDTYDSYGTLDELIIFTEVVDRWDISEVDRLPNYMKPIYISLLYLFNEYEREINEQDRFNGVNYVKEAMKEIVRSYYIEAEWFIEGKIPSFEEYLNNALVTGTYYLLAPASLLGMESTSKRTFDWMMKKPKILVASAIIGRVIDDIATYKIEKEKGQLVTGIECYMQENNLSVEKASAQLSEIAESAWKDLNKECIKTTTSNIPNEILMRVVNLTRLIDVVYKNNQDGYSNPKNNVKSVIEALLVNPINM >Solyc02g087340.3.1 pep chromosome:SL3.0:2:50409120:50414904:1 gene:Solyc02g087340.3 transcript:Solyc02g087340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI043 [Source:UniProtKB/TrEMBL;Acc:G8Z270] MAAASARVLLASRLADLSLKPHQHPPHLPSPFTHHLLIRPLLPSLSASTRRRTSVNCLISGVDGGGVSDDFVSTRKSGFGSEFSVIANMLKRIEPLDTSVISKGVSDSAKDSMKQTISTMLGLLPSDQFSITVRFSKHALDRLIVSSIITGYTLWNAEYRISLMRNFDIPSDNLKGFNSTEEDVNSGSKSEGIEGGERGVGVNMSSAVSEKMDIQALGNLSPEALKYVQQLEEELSSIKQELHSQQQENLQMEYINESNNDLLEYLRSLESDMVTELSRPSSFEVEEIIKELTQNILQIFFKEDDVNKEEDPNFSGAKDYQSSDSELCDAIGTSRDYLAKLLFWCMLLGHHLRGLENRLHLSCVVGLL >Solyc02g084990.3.1 pep chromosome:SL3.0:2:48656077:48659567:-1 gene:Solyc02g084990.3 transcript:Solyc02g084990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKHFAYAFLLIFLLQHVCLFVQVEAGDGFIRTRGIHFMLNGDPFYANGFNAYWLMYIAADPSQRSKVTDAFREASSHGLTVARTWAFSDGGYRPLQYAPGSYNEDMFKGLDFVISEARRYGIKVILSFANNYESFGGKKQYVNWARSHGQYLNSDDDFFKNSVVKGYYKNHIMTVLNRYNRFSGVVYKNDPTIMAWELMNEPRCTSDHSGRTIQAWATEMASYVKSIDRNHLLEVGLEGFYGQTTPQRRNLNPNFDIGTDFIANNRIPGIDFATVHAYPDQWVTNSNDQGQLSFLNNWLDSHIQDAQYILRKPLLITEFGKSSKDSGFSSYQRDLLYNTVYYKIYSSAKRGGAAAGGLFWQLLSEGMNSFGDGYEIILSQNPSTANLIAQQSHKLYQIRKIFARMRNIQRWKRAKAARRSDWTNRNKGKRIGN >Solyc03g115415.1.1 pep chromosome:SL3.0:3:66623062:66623652:1 gene:Solyc03g115415.1 transcript:Solyc03g115415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDGIHCGKHAQSKKVLHHNCYRLCPSRQNYVVWQVSESDRYICQFICARISFKRSVGWKCDALKLIDFNEQLLLLTAKNLLRNGIVNLDKLGNKCRTPLKNKTIAVSFALNEPQILHI >Solyc11g043050.1.1 pep chromosome:SL3.0:11:33921207:33922068:-1 gene:Solyc11g043050.1 transcript:Solyc11g043050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFSTMKEENTKPSEFTLVSLLNACGHLGALDKGNWIYMYVKKNNVELNVIIVTAIIDMYCNCGNFDMASHVFVSLSNEGLSSWNSMILGLDTNGLEDDAIKIFASLQCSILKPDSVTFINVLTACNHSGLVDKLDPNENSGYVLMENIYATSGLFEEALDGRISMEEKHIAKEPGCSPLEITGEDHEFASGRKLYSEFHDIYSLMH >Solyc08g067440.2.1 pep chromosome:SL3.0:8:56544584:56549439:-1 gene:Solyc08g067440.2 transcript:Solyc08g067440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGREMLISLDNVRDKNMMQLKKINTALFPIRYNDKYYSDALASADFTKLAYYSDICVGSIACRLEKKEDGAVCVYIMTLGVLAPYRGLGIGTKLLNHVLDLSTKQNSREIYLHVQTSNEDAINFYKKFGFEVTDTIKNYYINITPPDCYVLTKFISQTKK >Solyc01g009590.3.1 pep chromosome:SL3.0:1:3765065:3766335:-1 gene:Solyc01g009590.3 transcript:Solyc01g009590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein 108 [Source:UniProtKB/Swiss-Prot;Acc:Q43495] MASVKSSSSSSSSSFISLLLLILLVIVLQSQVIECQPQQSCTASLTGLNVCAPFLVPGSPTASTECCNAVQSINHDCMCNTMRIAAQIPAQCNLPPLSCSAN >Solyc12g006600.2.1 pep chromosome:SL3.0:12:1083805:1084468:1 gene:Solyc12g006600.2 transcript:Solyc12g006600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLSKTDVLAGDWYCSAMNCGSHNYASRTSCYRCGSSKSDYYGMGVGMMAPAGYGYDASAIPGWKSGDWICSRLGCGMHNYASRAECYKCKTPRDFGGDMRESELY >Solyc06g050710.3.1 pep chromosome:SL3.0:6:33555957:33556250:-1 gene:Solyc06g050710.3 transcript:Solyc06g050710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKGEENNRRYGRNRCQRRDLRSIHEASNGGTKEKSERDPTSDQRSPPLDDTRREQTLEH >Solyc11g006060.1.1.1 pep chromosome:SL3.0:11:860037:861392:1 gene:Solyc11g006060.1 transcript:Solyc11g006060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLTVNSPKSLSLSTPFLPSHPHPIPTITHKPNLSPRPISALIIPPSGQRNQQYSTAPPQQQQLYQPFRPPPSPLPPKFRNLDTNSKLEVLANRLGLWYEYAPLIPSLTSEGFTPSTLEEITGITGVEQNRLVVAAQVRETLVECGLDEETLSFFESGGAELLYEIRLLSGKQRTDAASFIVRNGFDMKQAQDLARSMKDFPRRRIDYGWDKFTGDSPGDCLAFWFFRLAQEHAAAAAEDSRVEAMEKALEVVETESARNVLVEVLEGKGVDKESVIDEQVKVPLVRMKLGEVAESTKVVVLPVCKAEKREFEVEAAPWECGGVGEFGVVEAEKDWRRWVVLPGWQPIAGLERGGVAVSFKNGKLLPWKEKRKYKEEPVLVVADRGRKEVVVDDGFYLVLSGGNGSGDEGLKVERGLNLKEMGVEMSLGMVLLVVRPPRWEDEDQQIDED >Solyc10g061840.2.1 pep chromosome:SL3.0:10:22489545:22492431:1 gene:Solyc10g061840.2 transcript:Solyc10g061840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNIGIFPKCLRVADLGCSSGGNTLLCMSNVIDTVDNLCKQNKFEPLEFQVYLNDLPDNDFNNVFKSIPSFLEKYGNKCYVAGVAGSFYQRLFPSNTLNFVHSSYSLHWLSQVPKGLDYCNKKSILISQSSPPQVVEAYSNQFNKDFSSFLCFRSQEVMSGGHMVLVYVGRSNPDPRSYDSCCLMDLLTNSLLHLAAQGKIKEDEIDSFNIPSYAPYEEEIKKIIQMEGSFSLEKLETFESDMTAIDKPFEDIAKLVVKTIRAVTEVMLASHFGNSIIHHLFDIYENHVTQYLSMGNTIKFFNICLCLRKK >Solyc01g087280.1.1 pep chromosome:SL3.0:1:82091601:82095910:1 gene:Solyc01g087280.1 transcript:Solyc01g087280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFRILFIVLLATSLFATSCNGKKKIEKPIKHGHVKKRTQAIIDIQSFGAKGDGISDDTQAFIKAWKKTCKTENGVLLIPRHKIYYIGPIKFHGPCKKGLRMMINGELRASKDISDYNEDKRHWLLFQNMENFIVEGVGSIDGNGQIWWKNSCKVDKTIPCNTKTLTVPTAMSFYNCTNLKVRNLGFKNPQKMHLTITKSELVEVSRLKITAPYDSPNTDGIHVSGTKDIDIHHSYIETGDDCISIVNGSTNVRARYIHCGPGHGISIGSLGKNKEEDVVSNIYVHDATLRGTTNGLRIKSWQGGRGYAKDILFQNIQMVNVTNPIIIDQFYCDQDKPCKEQKEAVRVSNIMFKNIKGTSFTQTAIKLKCSKTVPCKGIQMENVNIRHEGGLTVKALCTNVKYTTKGVLFPKCPSEPHPLTFLRN >Solyc07g051820.3.1 pep chromosome:SL3.0:7:60435521:60448524:-1 gene:Solyc07g051820.3 transcript:Solyc07g051820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKTPSSLPLYEINYRKNYISRGIELFILFLLFSLLAYRFLTLKFHGLQWLLALICESWFTFIWILTVSTKWNQVEPKTYPPRLLERTWNFPAVDIFVTTADPVLEPPLITINTVLSLLAVDYPANKLACYVSDDGASIITYYSLVEASKFAKIWIPFCKKYNISLRAPFRYFSGNSSPPQDGSKEFQQDWIRIKDEYKQLCKKIQDASTQEPETCDFAGDFAVFSNIQPKNHPTIIKVILENKEGVADGLPHLVYISREKRPKHPHQFKAGAMNVLTRVSGVMTNAPFMLNVDCDMYANNPQVILHAMCYFLGAKDEIDCGFVQFPQFFYDGLKEDPYGNQLKVLHEYFGRGIGGIQGPFYQGSGCFHRRKVIYGLSPHEKITAGGLKDEYIKKTYGKSEKLSTSIAKTLLEGSHIIEQFNSDSPSSFIDIAHQVGSCGFEYGTAWGQKLGWLYGSVTEDVLTGLFIQSRGWKSAYCLPDPAAFLGCAPTAGPATMIQQKRWATGLFEVLFNTKSPIIGTLFGKLQLRQCMAYLYVQLWALRSIFEVCYAILPAYCLITNSYFLPKANEVSIVIPTSIFIIYNLYGLSEYIRANEPIIAWMNNQRMWRVNAMSAWLFGILSATIKLLGFCETAFEITKKDQDDTNSDIGRFTFDDSPIFVPGIAILLLNLGALFIGVLDFKKGINIEWGLGEVICIMWIVFVFWAFLKGLFAKGKYGIPTSSILKAGALALLLVHLFKFKQ >Solyc06g010000.3.1 pep chromosome:SL3.0:6:4680898:4697246:1 gene:Solyc06g010000.3 transcript:Solyc06g010000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSCKDKLAHFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSNERDSGMCAQKNSVGKEEVAKLVDGIYRKMQVNGATDLASKSQIVSDSSNVKLKEEIEEDTYQMEIRCLCGSSQQADKIIQCENIRCRAWRHVSCVIIPEKRREAGSPLALPEKFYCEFCRLSRADPFWVTVSMPLFPTKWAVTSAPLDSTNQMQRIEKLFSLSRDDMELLTKQEYDVQAWCMLLNDKVEFRMQWPQYADLQVNGVPVRCINRPDSQLLGANGRDDGPIITLFSRDGMNKILLTGCDARIFCFGVRLVRRRTLVQVLNIIPKEPDGEAFDDALARIRRCIGGGTATENADSDSDLEVVADFITVNLRCPMSGSRMKVAGRFKPCVHMGCFDLDIFVEMNQRSRKWQCPICLKNYSLEHIIIDPYFNRITSQLQTCGEEVSEIEVKPDGSWRAKAEGDRRSIGDLGRWHLPDGTLLESQDIESKPRTGILKHVIQEGGSESCGLKVGLKKNRNGLWKINKPEAMQTYPQRDGVRENVENHIQNILPMSSSATVSGKEGEDPSVNQDGLINFDFPTNGFELETVSPNFAPAHGCNDRNPPGPARGAEVIVLSDSDEEDEPFISSASIYNNSHTNAPVVSFAGRPKGISASCHDNRTLFNDGNPCRGIAKNNEIMTNVWSLPTVNQCAPSFQLFGTAMHQGSINRTSSTNGYSLPADTGIGSCTLLPESSVDRMNAAMCDGSNNNTVLCDGIQGSLHIFLPLPSNASVEADVRQPGVSTGVHTEDWVSLRLGAGGGSAVANGLSSGKPLQTKDSSLNAFTDTASLVVGANEGVSLTSRRRSDGPFNFPRQRRSVRRRCLNIDSDTE >Solyc07g009383.1.1 pep chromosome:SL3.0:7:4451051:4454243:1 gene:Solyc07g009383.1 transcript:Solyc07g009383.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKYEVAKFNGDSGFSTWQRRMKDLLIQQESMIDEVAEHEEQPDEIVEQGEQLGDNTEQMEYPEEEQSPPLRRSERQRIESTKYPSSEFSDNNFIILLLYVDDMLIVGQDKELIAKLKKDLSKSFDMKDLGPAQQILGMRIVRERTKRKLWLSQEKYIERVLLLQF >Solyc08g083230.2.1 pep chromosome:SL3.0:8:65874740:65877076:1 gene:Solyc08g083230.2 transcript:Solyc08g083230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGENTELLDLKMKTSEVSYPNKRTMFMAPSSHPYFLKETADDGGSGGSGPEKYVGSDVVSSGASNTCANVGCFAGKVPFTASQLQEFQRQFIICKYIMASMPVPPHLLLPLPSPPQSNMDLKFSSGSDPEPWRCKRTDGKKWRCSRDVAPDQKYCERHAHKSKPRSRKPVEIHTNPSPKSNNRHSFQFPTVQPSNDQTRWAIFKFHLICVCGSFVPINKLVLSAFIYERCIEWLGRGDTCGTIPVDDNCNKQLMQSIGFNTRNSPAFQVQHEPKLYPMNFNQYMCNSSSDQALASQLKNEHCNNSIIASLQGRGTTSNAWPKDGISSNGSFTSLTLSMSGWNGGMDDDNKHAQAGIRMLDSERSGDEVLRSQWLNPVSWMSSTAPGGPLGEALCLGNASSLRSPHGYSNSSATSSCSFDSCENGSHGLDFIG >Solyc11g017220.1.1.1 pep chromosome:SL3.0:11:8035120:8036376:1 gene:Solyc11g017220.1 transcript:Solyc11g017220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMKVGGNTLPVTVDEHGHVRYDTIARQAENSQKIVYCHYNDLVPKFVKDHDQDLEQEKKKLIDETTRETKAILLQKIGTQQSWDSMESLKFIKYKPCKQQQGSRLVKMVEKAVDPMEPPKFKHKKVPRASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGSRGIDEVKINDDFPKLAEALYVATEKATEAIAMRSKVQREIILKEMELQELARNARAEIAAGLKNDGDDYEGRLWREKISKERHQERRLLEAKEGAAMKRRKIKTRDGDRDISEKVALGMASTSRGCGEATMYDQRLFNQEKGIDSGFAADDAYNLYDNILFTAQCTLYRPKKDVDCDTYGEQQQEKITHSKPDNAFAGTSERTDPRDRPVEFEADPFGLDQFMTGVKNNMANYGN >Solyc02g069820.3.1 pep chromosome:SL3.0:2:40218686:40222571:-1 gene:Solyc02g069820.3 transcript:Solyc02g069820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETEKESIKSASEEISSEFKTLINAKDLDSLKQLQNLILGRLQDSNAVLSHFNEYSEHCYAEVSADFSRNTRLLKSMKSDLDYIFLKLRNMKAKIISTYPDALPDNTTIQALDQRPDLEMPQ >Solyc02g071680.1.1 pep chromosome:SL3.0:2:41614222:41617428:1 gene:Solyc02g071680.1 transcript:Solyc02g071680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVQLEFAVTAEVILVIAFTWVGIWRIINQQFLVIANLVGNKISAEKHLNKCLFIVGIGSNDYINNYLLPEIYPSSHLYAPSQYATALIDQYSRHLRSRNVVSDNYAIQKKSFTEEKPVTSKWIGKISRDSYLIPFQWLNP >Solyc06g064580.2.1 pep chromosome:SL3.0:6:40360732:40363818:1 gene:Solyc06g064580.2 transcript:Solyc06g064580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTTFNNNIIGDEEAPFEFKNLLSEMFTSLPNQNPTTSNSLENVPKIAFSCSSPSSNNSSSSSQNIISFGNKADSFFLEDNELDYDMITEKVIMSNVNISNSSMASKRICRSPLQSQDHLLAERKRRERFSQLFALLAKAIPQLKKLDKASILEDAIKYIGELQERVSSLEEAARTIKSSTNLIESTHPTLVHKQYSHDDHVDDLESKRHENINDIKVQILDKNVLIGIHCNKQMRSIFSIIAGIMEKLHLTIHHIRVSPSNHTSLHYISILAEIDENVDIKVQDVEKAFELHLLTIQDSTQE >Solyc04g010090.3.1 pep chromosome:SL3.0:4:3390413:3397084:1 gene:Solyc04g010090.3 transcript:Solyc04g010090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGGEEDLPRDAKIVKTLLKSMGVDDYEPRVVHQFLELWYRYVVDVLTDAQVYSEHAGKASIDSDDIKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSIAGSGVPLPPEQDTLINPNYQLAIAKKQTNQPEETEEDEESADPNPAPSKNPTLSHEKTDLPQGTPQRVSFPLGAKRPR >Solyc08g074950.2.1 pep chromosome:SL3.0:8:59200176:59202250:-1 gene:Solyc08g074950.2 transcript:Solyc08g074950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4CML3] MDLFLCTVCLDGSPPAYHLHRGHGTGLGSWIIHLDGGGWCDSITDCLNRSTTYLGSTKYMRKKGFFDGILHNTSIRNPEFHNWNRVRVKYCDGSSFTGDVEQVDTENKLYFRGARIFKAIMEDLWSKGLESAENVRIYCNSIGISAGGLATILNCNKFKCLLPENAKVKCVADAGFFINGKTINGTSYIQEMYHKIVNLHVSYIFSIKVILVKNKSYKLNLFLFCFKGSAKNLPSACTSVMEPSLMKNILVPPHVDPQHVWEDCLNNTNTCTSSQHIAIQAFGVEFLKTFEGLPPCFTRGYFLTSCYSHGGILAPPYWFSSTSPRLLNKTIGEAVADWYFERTRFQCIDPYPCVKVCKDLNNH >Solyc02g078015.1.1 pep chromosome:SL3.0:2:43392037:43394171:-1 gene:Solyc02g078015.1 transcript:Solyc02g078015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKESNREELSSAKAVLVGALAPGVNAPTWNTLKMAFLMLVVCLAVMLGLAFSSSDFSLTLHVAFLFLITGTLFVLLSRFLAETGFVSVEHQMEEIGLAPRDEDTSKKIS >Solyc01g005305.1.1 pep chromosome:SL3.0:1:218549:220138:-1 gene:Solyc01g005305.1 transcript:Solyc01g005305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNLACSLSTIHTIRSGPSPPRYGLLGQRPLFLQPRMCYDPKQTLEVAQVGLSLVRSNKYVSLRSTPAPVHPPETTNFEPSIVQELCQHLGRGGAPPDTSFHVGCLASKSRTNTSLSNPCLPHPPNTTNQEPLRHERVWPQRPGGDDLTLTRRHSGLAASRLRTNVSSIGCILTPSPPNNTSLFPAAHALKLQREGSTAPLTVSFFQQTASRVKQQQDPPKDAAFERVDL >Solyc07g049320.3.1 pep chromosome:SL3.0:7:59703389:59709569:1 gene:Solyc07g049320.3 transcript:Solyc07g049320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMADISHPPMEQLQDLEYCIDSNPPWVETILLAFQNYILVLGTSVMIPSALVPLMGGSDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSFAYVIPIVYIISDSHLQRISDPHVRFVHTMRAIQGALIVAASIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGFGLFQRGFPALGNCIEIGLPMLLLVIGLSQYLKNVKPMRDFPIFERFPVLICVSIIWIYSIILTASGAYHGKHALTQHNCRTDRANLISTAPWFKFPYPLQWGPPTFAAGHSFAMMSAVVVSMVESTGAYMAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTCTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSMLGKYIHILSKIPRCFRLHFKISCLLYAGKFGAVFASIPFPIYAALYCVLFGLVGSVGLSFLQFTNLNCMRNLIITGLSLFLGISIPQFFNEYWYPARHGLVQTNAGWFNAFVNTIFTSPPMVGLIIAVFLDNTLDVEKAKKDRGMPWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Solyc11g006460.2.1.1 pep chromosome:SL3.0:11:1149511:1150087:-1 gene:Solyc11g006460.2 transcript:Solyc11g006460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGKMYIHFTVDFPESLTAEQCKNLEAVLPPKPKLQVSDMELDECEETTLHDVNIEDEMRRKQQAAQEAYDEDDDMPGGAQRVQCAQQ >Solyc02g080460.2.1 pep chromosome:SL3.0:2:45221804:45224779:-1 gene:Solyc02g080460.2 transcript:Solyc02g080460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLPHLRLGKCRMAGLSGWTFRFQMVKIYRPLSEDEIRLHTPVVISCNEGRREVSAIQNIANKQIDRTFAFDKVFGPTSQQ >Solyc12g056180.2.1 pep chromosome:SL3.0:12:63095739:63100298:1 gene:Solyc12g056180.2 transcript:Solyc12g056180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFISNINNIELLSKVATNNGHGENSAYFDGWKAYEIDPFHPTKNPNGVIQMGLAENQLCFDLIQEWVVNNQKSSICTAGGCEEFKEIAIYQDYHGLPEFRRGVASFMSKVRGDKIKFDEERIVMSGGATGAHELLAFCLADPGEAFLVPTPYYPGFDRDLSWRTGVQLFPIICESCNNFKVTKKALEDAYNKAQQSNITIKGLLLNNPSNPLGTILDMEALKDTIRFINDKNIHLICDEIYAATVFNVPKFISISEIIISEDVQCNLDLIHIVYSLSKDLGFPGFRVGIIYSYNDVVTKCARKMSSFGLVSTQTQYLISNMLLDDTFIEKFVVESRERLEKRHGVFTKGLENIGINTLESNAGLFCWMDLRSLLEKNTFESEIKLWRMIINDVKLNVSPGCSFHCCEPGWFRVCFANMDDDTMRIALRRIEIFVVQYKGINNIIEEGIGESLPITPGPVSLRSIYEKIDRRR >Solyc06g074420.1.1.1 pep chromosome:SL3.0:6:46194906:46196363:1 gene:Solyc06g074420.1 transcript:Solyc06g074420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATILFFLILAIVLSILLFLSRLKPYCECEICKSYLNSTWSLEFKNLCDWYSYLLKKSPTGTIHVHVLGNVITSNPNNVEYMLKTNFDNFPKGKQFSTILGDLLGRGIFAVDGEMWKFQRKMASLELGRVSIRSYAFDIVSDEIRNRLIPLLESNIHTVLDLQDVLRRFSFDSICKFSFGMDPGCLKLSLPDSDLQESFDLASKLSAERAMTVSPLVWKIKRSLNIGSEKKLKEAIEKVDVLAEEVIIQKRKNDFSSQNDVLSRFMRNIDDDKLLRDIIVSFLLAGRDTVASGLTTFFWLLAQHPHVINEIRAESNRVMGKTVNGTLATFEGIREMHFLTAAIHESIRLFPPVQFDSKFCQEDDTLPDGTFVAKGTRVTYHPYAMGRMEGIWGKDCLEFKPERWLEDGVFKAQCPFKYPVFQGGVRVCLGKDLSIVEMKSVALALIRQFDFQVHLAKDQAPKFMPGLTATVRGGLPIMVQKRREQ >Solyc10g086000.2.1 pep chromosome:SL3.0:10:65110221:65120957:-1 gene:Solyc10g086000.2 transcript:Solyc10g086000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSVSMKIVGDEDKKCLDEEKVIGDGIGISHEGINVVKDNELKINMISNNKIGGREITLQAQRGLKLQEQQYCQVTAVCWQQFLHVTSVKVLLVENDDSTRHVVSALLRNCNYEVIEAANGLQAWKILENLTNHIDLVLTEVVMPCLSGLGLLTKIMSHYTRKTVPVIMMSSHDSMDIVFKCLSKGALDFLVKPIRKNELKNLWQHVWRRCHSSSGSGSESGTQTQKSIKSKSSEKSENNSGSNDGEDNGRYGLNVGKGSEDGSGTQNSWTKQAVEAVSSQAVSPLNQVPECPDSTCAQVVSSKAEIAAYKNAQRNAKRKCQEEEEHPDYIAKKPSLKGIPRNQKLQPENAIQVPVELVDAEHKTLLAINSNPSSLKMDEHQESLDGNVPSTEYHDVTAETAHPRTNSRRLNKAVQLLEINNLSIGESKEPSFQTVKVPEKGAQDDFSVLRRLDLSAFSRFGDNSIIHPQTLQGLLMGLHLAVL >Solyc12g100270.2.1 pep chromosome:SL3.0:12:68023915:68026963:1 gene:Solyc12g100270.2 transcript:Solyc12g100270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILSEWPWANLGNFKYLVLAPFVGHSIYSFLRDGDIGYIAILPFLLFRFIHNQIWISLSRHRTAKGNNRIVDRSIEFDQVDRENNWDDQIIFNGLLYYVGYLRMEQVHHLPLWRSGGFIVTALAHIGPVEFIYYWFHRALHHHFLYSRYHSHHHSSIVTQPISAFIHPFAEVASYYAIFAVPVIATELTGTTSIATITLYVIFTDFMNNLGHCNFEVIPKWIFSLFPPLKYLIYTSSYHSLHHTQFRTNYSLFMPIYDYIFGTMDKSSDTLYEKSLEKKAELPHVVHLTHLTTLQSIYHLRLGFSSLASKPHMTSSKWYLWLMWPVTLWSILITWIYSHTFVIERNLFKDLKLQTWAIPKFRKQYFSQWQRKSINNLIKEAIMEADQKGVKVLSLGLLNQDEKLNNNGEICIRKHPELKVKLVDGSSLVVAVVLNSIPKGTSQVVLQAGRLSKVANAIALALCQRGIQVITLDEEEYKGLKARFTPEAAANLVLLKSTCVSKTWLVDDGLSEDEQLKAPKGTLFIPYSPFPPKKVREDCFYLSTPAMICPKHVQNVDSCENWLPRRVMSASRIAGILHAFEGWNEHECGDMMFDIDKVWKASLDHGFSPLDVEIM >Solyc08g077890.3.1 pep chromosome:SL3.0:8:61915059:61922484:1 gene:Solyc08g077890.3 transcript:Solyc08g077890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:K4CNE8] MEAVTIASHFSPATGIRLSSTASCRASAPKRTLRFSPSTKSSLSTTFISPFIGSSLFSDLSGQRIRPDSLYPSSSTGFIPKRAVVTMVIPFGGDPSQDHPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEDKPIYFYINSTGTTKGGEKLGYETEAFAVYDVMSYVKPPIFTLCVGNAWGEAALLLAAGSKGNRAALPSSTIMIKQPISQFQGQATDVEIMRKEVNNVKAELVKLYSENTGKSPEEIEEDIKRPKYFSPSEAVEYGIIDKVVYNERGNKDRGVVSDLKKAQLI >Solyc04g076210.2.1 pep chromosome:SL3.0:4:61229831:61238475:1 gene:Solyc04g076210.2 transcript:Solyc04g076210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGGDLGFLSSLVVAAFVEEDEVFVETPASSASPPPPPTISTSYSGSRQLQYQCAVCSSPTSTRCSQCKAVRYCSGKCQILHWRQGHKDECRPVSNLDHLNDAEAKSHLKAYKQEPDGSHLKSTEVEGRRSSESGIASPEEAALLRSKYFATSDGEHDTGGQSLTDSKCLNLNSSFVLHSSSCEHLDLSTSSGSSVDHSASDSNDSDASDSHRSAVDDTVKIQTNHSKVERFKPSYTEQPQLVQTADNDSTSGKYNHTKPSIHGDAQSKYWTSSSATDDSSESSLTAPSTPSSGFWEGPVPYTRSRIGSLDGIADPPSKDACDIKISDSQSTSCHPPEFAIPLLPKAGEQGSNSKKNLENPTPIIVEVPKPVNRVESRIEIKDQKESSRSSASRSVTLDQLDVHGSRDKCTLTSEEGRYSSSRASANIKKHDGLKVSSLRSSSPNESYRGVEGSASALQLPKERQKGSSPAKIADNISSNNVRHDIQNVKSPKIDGTQVASACLAESSAPLPNAKNGLKSSVLKVVDQLRSSKLTRLNSLGDECDVNGRHGNKALFPYESFVKLHNWKNELRPFGLVNCGNSCYANAVLQCLAFTPPLTSYFLQGLHSKTCEKKGWCFTCEFESLVLKAKDGNSPLSPSSIISHLESIGSNLGNGREEDAHEFLRYVIDTMQSICLKGAGVTAPGSFEEETSLIGLTFGGYLRSKIECMRCGGKSERQERIMDLTVEIDGDIGTLEEALKQFTHTETLDGENKYRCVRCKSYERAKKKLKVVEAPNVLTVALKRFQSGKFGKLNKTIKFPEFLNLAPYMSGTSDKSPVYQLYGVVVHLDVMNAAFSGHYVCYVRNFQNKWYKVDDSSVKSVELERVLSKGAYMLLYSRCSPRAPRIMRSLKSRSHTRSPWDSSHGDSTSKTCKGCSYPSHTSVRPIRSIFEEDSSSEQSSFFSELGSCSTDSTNRDSTSTDDLNIDIFGDSGVCWNSLWRSSSDSDTSSSSSSPSPLYSRHSPLADLDRYASAREETSCSVNPETAGDGQGFWTGLRDRNSYTGVPETSGRTPPLCPNPTKHCRKVVSSHSSSNTDSSRLGRVNPCDKSKSPVTCRDR >Solyc07g042610.2.1 pep chromosome:SL3.0:7:56199601:56200887:1 gene:Solyc07g042610.2 transcript:Solyc07g042610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTQRSESMNKYFKDYLNFSTPMSVFVTQYDKVVDARYDKVREKNYKTKHSKAILKTLYPVEDESAKIYTRKIFQKFQEELIQSQKFISEKIEVQDGIHIYKVHLFQRQTRHVLMIFIKKQIHSLSPCYLLDQWTRYVITEKTNDISSVGLLADNLKSSTIWFNNIITLSLGLSERATRSEKHYKFTYQKLLQLSKELDELPYEDVNDNICNGQVNELNNDSNSIEQREHFSLLDSPCVTTKGRPRSLRMKSGLESSQKVKKSSSLKSKRETKIRKKGKGIRNSQHIQNPLKGKVVVLIHIRPHLW >Solyc06g082590.1.1.1 pep chromosome:SL3.0:6:48408475:48409221:-1 gene:Solyc06g082590.1 transcript:Solyc06g082590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTI6 description:Pathogenesis-related genes transcriptional activator PTI6 [Source:UniProtKB/Swiss-Prot;Acc:O04682] MTENSVPVIKFTQHIVTTNKHVFSEHNEKSNSELQRVVRIILTDADATDSSDDEGRNTVRRVKRHVTEINLMPSTKSIGDRKRRSVSPDSDVTRRKKFRGVRQRPWGRWAAEIRDPTRGKRVWLGTYDTPEEAAVVYDKAAVKLKGPDAVTNFPVSTTAEVTVTVTETETESVADGGDKSENDVALSPTSVLCDNDFAPFDNLGFCEVDAFGFDVDSLFRLPDFAMTEKYYGDEFGEFDFDDFALEAR >Solyc12g062170.1.1.1 pep chromosome:SL3.0:12:30812062:30812382:-1 gene:Solyc12g062170.1 transcript:Solyc12g062170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVGRVEYLCLNFNNYGLVLGITAKISDEFQSEENHSLDCDEEDFEFSLASKNSDNSIEKFIYDTPTRFQQPIFPLFNRNLLLSDLDLNDKLIPLKKLDLEKNKS >Solyc10g078830.2.1 pep chromosome:SL3.0:10:60651862:60656084:1 gene:Solyc10g078830.2 transcript:Solyc10g078830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYHFVYKDVEGASTQWDDIQRKLGNLPPKAPVFKPDPFTPGEDEGSKSKDKDWIDEKTQDELDDLEDDPDLNDDRFLQEYRKKRMAEMREVAKVVRFGSVIPISGSDFVREVSQAPEDIWVVVLLYKDGYSGCQILLQCLDELATKYPVTKFVKIISTDCIPNYPDCNLPTVLVYHNGALKSNYVGLHSFGRRCTPEGVALTLCQSDPVLNDGRSKKEQSREAVLDGVRKRFLEKVVAQHEDDDGSSSD >Solyc08g083290.3.1 pep chromosome:SL3.0:8:65913092:65924033:1 gene:Solyc08g083290.3 transcript:Solyc08g083290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRSHRLPVANPQDDWIDGSWTVDCVCGVNFDDGEEMVNCDECSVWVHTRCVRYVKSEKLFACDKCKNKATTNNSEETEVAQLLVELPTKTLTMNSPYPNTLPIRSPFRLWTDLPVEERVHMQGVPGGDPALFSGLSSVFGRELWKCRGYVPKMFNFKYSEFPCWDNETRDAHDNTSDKGNEMINGNGAGALFSSSKENCLFAHVVNPVSEKHVLESQNAMDSDATTRSTNDMKDTGLLGSSMIQGNKGTKEDCGISNDQSGKKKSKILEKEDYLKKDAHASRPDRSPMSVKTDIQRTKFGNSGEVLAAVDHLEGPGVLDHDNTSYSDIPTSNERYSKAASYDVSKRCSTSEAHPREDKIRNHISARIEDSPMENDGATSNLERSDSASLPMTEEVVTNVTNNKEELAVLSLGTESQMVELMVENVACLVPNIKRQPHVESSSDNKIICSSELEVKLEAEVHADPAALENQRLLPGKGKLDITKSLAKPAGTSSGCLSEKTVVNITTIVSSENSDCKLQEGSRNAMIGNNTTNTDESPSALCQSNQEPKISEVTVGARKSSGHKESSKPPEEAPRSSLAVATLLSDPNHRKVVLSVGKSSSGTTKSSAPESRIFSKAHHHDSNGKLRGISGSNLSNKRESSSMDAGRDEERRERPKKMLKELPKSSVGSASKILQSTKLSHAPVKKTVSEAKDSVPNSSAKASTVRSNPASARSAESSSSLQSESAAHIQNKAAGTHLTQKCEKTNQPSCQPSSKLNAHLMHPPSSSSPAALSDEELALLLHQELNSSPRVPRVPRMRHAGSLPQLTSPTSTSMLMKRTSSGGGKDHGLTSRRKSKDIGKDGTNCSQEVVQETKKSERSTSLGCRREEDSIIRREGDAGSAKSVQSLKKSHTLASNTSASSSLCSPNETLPGLIAEIMSKGQRMTYEELCNAVLPHWPNLRKHNGERYAYASHSQAVLDCLRNRSEWSRLVDRGPKPKWWTGNVWFPETEGMGNCPSFLFYLRQILASEVIAKDTSKNIVKIASLEGLRLIYASPRFLSKIVKIYDLLVTLPSLPDYPIKEEKTSMKLTLQQERNYVDYCSLMSDTSTSRKRRKLDVDSQFTESEDNEDCMDRAAKDVRNKTFESKQEEFPKGKRKARKRRRLALQGRGIKDVRRRHRAEVFSDEEIGSSSESGRDSMFSEDEVQGGETSPAGNEASASSDERATMS >Solyc01g011175.1.1 pep chromosome:SL3.0:1:8009901:8010230:-1 gene:Solyc01g011175.1 transcript:Solyc01g011175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFALTRVCPSRYDPIKIAPLCIFYNEKVTVFDVQSDKVDDILKFVESSKQQLVVKTLSEG >Solyc11g061700.2.1 pep chromosome:SL3.0:11:48440471:48445880:-1 gene:Solyc11g061700.2 transcript:Solyc11g061700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLVLLGVLASFSMLYGGIHCKECTNIYTPLSSHTFRYELLSSKNETLKQEIFSHYHLTPTDDSAWFNLLPRKVLREEEEFDWAMTYRKIKNSDGHGEVYGLLNEVSLHDVRLESNSMYGVAQQTNLEYLLMLDVDRLVWSFRKTAGVETRGKPYGGWEKPEIEVRGHFVGHYLSATAQMWASTYNDSLGEKMYAVVSALNECQEKMGTGYLSAFPSEHFDHVEAIQPVWAPYYTIHKIMAGLLDQYTLAGNPKALNMTTWMVDYFYDRVQNVITKYTIQRHWDSLNEEFGGMNDVLYRLYRITGNSKHLKLAHLFDKPCFLGRLAVKADDLSNFHANTHIPIVIGSQLRYEVTGDPISKEIGMNFMDIVNSSHVYATGGTSADEFWSDPKRLASKLSTETGESCTTFNMLKVSRHLFRWTKQIAYADYYERALTNGVLSIQRGRDPGVMIYMLPLQPGASKAQTYHGWGSPFNDFWCCYGTGIESFSKLGDSIYFEEKGNSPGLYIIQYISSTLDWKSGQVVVSQSVDPVVSWDNRLLVTITVSSKGNETSAPSTLHLRIPSWTDSSSVKASLNGENSSLPPPGNFLSITKGWGSGEKIYMELPMNLRTEAIKDDRLEYASIQAILYGPYLLAGHSTGDWDIERKSTSLSDLITPVPREYNSYLISLTQESSNATFVITSTNRSIQMEKYPKTGTDSAVSATFRIISNDKQSVKLSKPKDFIGLLVMLEPFGFPGMFITRLGNGTSLGITQSSDGIGSLLRLVAGLDGKDGTVSLESDDKRGCFMYSGVDYKDVSVVKLNCNSKLSFDAEFKQAASFMLGNGITQYHPISFVAKGAKRNFLLAPLLSFKDESYTVYFNIQS >Solyc11g067050.2.1 pep chromosome:SL3.0:11:53097521:53101821:1 gene:Solyc11g067050.2 transcript:Solyc11g067050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHVNVLIKSRNYYNSCKAEGIINFRYLLTMGASEAALQLLSGELSCQVRTSSILAKSNSLLCYERCFKARNYGDWRYKQINSIKKLQDCSSLHAFHGLHSVFCGEKLLSQSNLLICNCQQPERVSETIIKGGNGKSMHTVSPKIPNLAPDEQNMKQENGARPFSEGFKTAASVNSRPRTNTESIEDEAWHFLRAAMVYYCGSPVGTIAANDPSEATMLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILHTLQLQSWEKTMDCYSPGQGLMPASFKVRTIPLDNDESATEDVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQERVDVQTGMKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALYYSALLGAREMLAPEEASTDLVRALNNRLLALSFHIREYYWIDVKKLNEIYRYKTEEYSYDAINKFNIYPDQIPPWLVEWMPSEGGYLIGNLQPAHMDFRFFSLGNVWSIVSSLANIDQSHAILDLIEAKWEDLVADMPLKICYPALEGQEWRIITGGDPKNTPWSYHNGGSWPTLLWQLTVACIKMKRPEIAEKAIKIAERRLSRDRWPEYYDTRRGGFIGKQARLFQTWTIAGYLVAKLLIANPEAAKMVINVEDTELLSAFSSILSSNPRRKRSRKGVKQSFII >Solyc05g041390.1.1.1 pep chromosome:SL3.0:5:52249859:52250275:-1 gene:Solyc05g041390.1 transcript:Solyc05g041390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRERGEWRGDAASLVHCLAPIHQNFEPLVGVTRSSKRKRKRRWGFSIDLAVCGDAMVMCFLVVLGGLKGHFRWLLSCKTMLKFMVIVFVIHWFEMWWQFGVILAEVLAWVLIGKEKKSPKGVWWYGCVDGEKNRQ >Solyc08g067795.1.1 pep chromosome:SL3.0:8:56882516:56884376:1 gene:Solyc08g067795.1 transcript:Solyc08g067795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNVLNVGKTATEDDLKKAYRKLAMKWHPDKNPNNKKEAEAQFKEISEAYERNVQNHDLLLSDPDKRQVFDQYGEEGLKEMPSPGCSNNPRNAEDIFAEFFGIGGSENIFRPASDGTGANMPKKPPPVESKLPCSLEELYSGSTRKMKISRTVVGTNGRLVTESEILTIDVKPGWRKGTKITFPDKGNEQLNQLPADLVFVIDEKCHDVYKRDGNDLMRDYKVTLAEALGGTTVKLTTLDGRALTVPVNEIVKPGYELVLAKEGMPITREPGNRGVLKIKFDVKFPNRLTTDQRTALKRALAAH >Solyc08g077487.1.1 pep chromosome:SL3.0:8:61565394:61568059:1 gene:Solyc08g077487.1 transcript:Solyc08g077487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEIGGVGESIRQGPTRLMDMEILNGQAYSLVEDDVGEVLRLLYSFLGMALFVKQFLSVLLYHIRGSDVELVNMQLKPEALNALKLPVKVKAGFLGSVRLKVPWSRLGQDPVLVHLDRIFLLAEPATQVEGSSEDAIQEAKKSRIREMETKLLESKRMLETEMIQTYGFNEIEVCLFLAHDESEQ >Solyc03g063480.2.1 pep chromosome:SL3.0:3:36815674:36817337:-1 gene:Solyc03g063480.2 transcript:Solyc03g063480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPSYSRKGCPPCQSMLFSLYFLLPVVERVRSSSFSDVSAWLLPNKPTSGGRGKKRNQNQNRNLVLRKSMLTASDILEEGGDDVKSALPLWAGTHTCYNGNYNGKQGCKAERIWKDCLSSDCSLQLGNMKVESIVIADQHAAVNMYPGPVHTACHTLGIGFARSIGPMITHDFCDTLVPQRPLVVLLVHTTVGSSTGMKL >Solyc04g009750.2.1.1 pep chromosome:SL3.0:4:3075107:3075181:1 gene:Solyc04g009750.2 transcript:Solyc04g009750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TNSTILIVIKGSKYMWYELLSICM >Solyc05g055810.3.1 pep chromosome:SL3.0:5:66151109:66153944:1 gene:Solyc05g055810.3 transcript:Solyc05g055810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLLSWRPSSAYNISFPFTMKNTSSSKSVKMGSFSTISCSITSSSASTMVAQRKLPILLFDVMDTIVRDPFYHDVPAFFRMSMKELLESKHPTSWIEFEKGLISEEELTRKFFKDGRSFDMEGLKNCMRRRYS >Solyc01g107540.3.1 pep chromosome:SL3.0:1:94945245:94946097:1 gene:Solyc01g107540.3 transcript:Solyc01g107540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMTSFLASLIIILAIFTQKINAVDYTVTNRAANTPGGARFNREIGAQYSKQTLAAATSFIWNILQQNSPADRKNVQKISMYVDDMGGVAYAVGNEIHVSARYIQSYSGNVKREITGVLYHENTHIWQWNGNGRAPGGLIEGIADYVRLKAGYAPSHWVKPGQGDRWDQGYDVTARFLDYCNSLKSGFVAQLNKKMRTGYSNQYFVDLMGKTVDQLWRDYKAKFPTMNELV >Solyc10g005150.2.1 pep chromosome:SL3.0:10:131583:132862:-1 gene:Solyc10g005150.2 transcript:Solyc10g005150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAFNSGSISSSLLSKFYFNHKGNSRWVSTWVQSAGFPLLLLPIYSPFYVFKSTHRKPFTTFTPKMLLLSIVIGFFLGLNNLLYSWGNSYLSVSTNSLLLSSQLVFTLFTSVIIVKQKVTYSNVTCVVLLTLSSVLLAVNSNHDKPKGITRKKYFIGFFSTLGAGLLFSLYLPLMEKIYKHVYCYSMVMEMQMVMELTATVFAMLGMIIDGGFTEMINESKQVFDMGEKAYWITVMLNVVTWQFCFMGTAGMVFITSSLTGGVCMTALMAVNVLAGVIVYGDNFGLIKIISTILCVWGFGSY >Solyc06g035770.1.1 pep chromosome:SL3.0:6:25030049:25030604:1 gene:Solyc06g035770.1 transcript:Solyc06g035770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDTAPLVSVNVMSNESIKEEENNGMIHAQSADAVEEENNGLSPQSSNELLKQNVASAEKIGLSDSNETGTVSSSNPNELLDVPQVTVPRETEDQVYFACPKHCNAECSFSQLLRDELFENVEELKVAILSLKEDIEKTLDKLESISY >Solyc01g060420.2.1.1 pep chromosome:SL3.0:1:70833461:70834023:-1 gene:Solyc01g060420.2 transcript:Solyc01g060420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIYVSIFSINTRPLHYDFIIFRSISFVTKNMGESSVQTNFSSSSPRQVKLFGFQVTECDQTTPPPLVPSEDKRFECQFCHREFANSQALGGHQNAHKKERQRTRRAKFIGYQQRFRPVVPLINAHAVRTGALINNNVRYYRSQILSGVPLRYQIHLRQQQHMMAVQNQDVDGTEVDLHLRLAPP >Solyc07g053350.3.1 pep chromosome:SL3.0:7:61933071:61938088:1 gene:Solyc07g053350.3 transcript:Solyc07g053350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLFLSLFLLFSVKTKANTEYYFSNTTITSTSTSNSINEIKSSCNIYRGKWVYDSSYPLYDFSNCPFIDNEFNCQKYKRPDNLYLKYRWQPFSCNLPRFNGLFFLEKYRGKNIMFVGDSLSLNMWESLACMIHSWVPNAKTAVIRKQGIAEIVFLDYEVRLLLYRTPYLVDMVRENVGTILKLDSIISGNAWRGMDVLIFNSWHWWTHTKSSQPWDYMQEGNKVFKDMNRLIAYYKGMYTWAKWIDRNIDSSKTKVFFQGISPTHYEGKDWNDPTKSCKNEKQPFFGIRYPGGTTQAAIVVNKVLSRIKKSVHLLDITTLSQYRKDAHPTSYSDHNTLDCSHWCLPGLPDTWNLLLYTSLIS >Solyc06g075150.3.1 pep chromosome:SL3.0:6:46772156:46775295:1 gene:Solyc06g075150.3 transcript:Solyc06g075150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4C9N1] MEVVEEKCVDSLFWHVCTGSMVQIPPVNSKVFYFPQGYAEHTFTNVDFTVLARIPAMILCRVDAVKFLADTETDEVYAKIRLIPVEDFEDDSVVEETEKPAFFAKTLTQSDANNGGGFSVPRYCAETIFPKLDFTADPPVQVVKAKDVHGVTWNFRHIYRGTPRRHLLTSGWSAFVNKKKLVAGDSVVFVKAENDELCVGIRRVKRGGIGGPETQSGWKSTACSYGGFVTEDENSSTNGNLISYGERFRDKGKVSPDEVVRASCLAANGQPFEIVYYPGASTPEYCVKASSVRAAMSVQWCSGMRFKMAFETEDFSQISWFMGSISSVQVVDPIRWPHSLWRLLQVTWDEPDLLQNVKSVNPWLVELVSNMPDINLSHNSPPRKRLCLPQEFPFDGQFPLPSFSGNPLTSSSYSRYPSDSITAGIQGARHVRFGVPLLDLHRSEKLQLGVLQPPVSQQADADSEIPIGTSKVQKESNENISCLLTMGTSSQMEKADNVKTPRFLLFGQPILTEQQMSSVLSTHAPPQVQTERNSDWAQLKTERITPDWKCLSESLSSTFLWNKGYHAAELGASTDHCKVFLDSEDVGRTLDLSVLGSYAELYKRLADMFEMERLDMVTRVLYLDATGASKQIGDEPFSDFIKTAKRLTILKKSGNSATRKWLTDLPILNVV >Solyc01g018041.1.1 pep chromosome:SL3.0:1:26778348:26780061:1 gene:Solyc01g018041.1 transcript:Solyc01g018041.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTLSSDQDSSITNAVTIVLPNSKHHFCMWHITKKIPEYLIHVCHQYDDFSRKFSWCIHAYVRTTFCAGMSTSQRNYLNSSTPMSVFVVQYDKAIDARYD >Solyc01g014534.1.1 pep chromosome:SL3.0:1:14020918:14021817:1 gene:Solyc01g014534.1 transcript:Solyc01g014534.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPRNPRHKKQNSTLVGTFETAEGVALSSAMTSKEELQVKDGAVKPSTIDVVEKSNNQVGKISQVFPRLVCIHMPDHDTRDSPSDEEEKDEEEKYGNHHREWKDQVQLKEEVQREERFKFDARRYGENEASGQQRFEIQERELGFEAALAYDKATIEIRGANGLTNILKPPLKKNNPIKMNFLLLIELMLLISLFYGSFGYLSVVTASRETVTLLL >Solyc11g072590.2.1 pep chromosome:SL3.0:11:56052314:56063760:1 gene:Solyc11g072590.2 transcript:Solyc11g072590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQGEKTCPLCAEEMDMTDQQLRPCKCGYQVCVWCWHHIMEMAEKDETEGRCPACRSPYNKEKIVGTAANCEKMVSSEKKLTSRKGKSKTADSRKQLSSVRVVQRNLVYIVGLPLSLADEDLLQRKEYFAQYGKVLKVSMSRTAAGAIQQFTNNTCSVYITYSKEEEAVRCIQAVHGFNLDGRPLKACFGTTKYCHAWLRSVPCTNPDCLYLHEIGSQEDSFTKDEVISAYTRYRDLFSWVQQITGAITSMQRRSGSVLPPPADDYCNNSSVSAGKPFSKTATNNSATNARGSPPNSSPGRSAALPAGALWGTRALNNQLPLASATSSNGLPPASAPSSNGLPPASAPSSNGLPPASAPCSNGLPAASAPSSSGPLKQKAEICSPLPCSTVVANNSQVLSLPAEAGKKAIHSKESGISQEKRKIDMLEPVKQSVGADDATYSSEKPDIAIRPASSFMSSQLDITPSLKDTDIHMITSSSATNTFDIPLMSNGPSLPKDPYDATDVEQNVFSDFSSFSIDKQQKSHATCEKSGELSPSQTNGKSVICADGVFISRQTSDLGLETQDQGIQDTTPEMEDDLLSFNAQRHRDPEVILEKSHSSSPSISLHSSGQLKGYPSQFANGVGPIRANMQTFDQRADSVLQPSSIGKLSNGYLENPFSCAGKYLGSTDDTYYLSSESKRMHMNRFEGETATADHSSAADRGENNIISNILSMDFDPWNESLASQNLVKLLGETAKQQGSRVSNSRKVQSSNQSRFSFAREEEPMNASADSRPSLSYIDRSYSHRPLDQDFQNSRSYQLDGFGTRNGFSLFNNQESNGFADNYSHLSSNKQSVSRSQMTAPPGFSAPNRAPPPGFAYEKMEHNFASLSGTHMLDTTSLLRNEYPSIGNVNNGDIEFMDPAILAVGKGRVQNGLNGSSLDMSPSFPPQPSGFENEARLQFLMQRSLSMHQNQRYTDNGDNFFNDAYGISSRVVEQTLANNLSPFSQFNLPQGRNSVMSNGQWDGWNGVPNGNDMGMAELLRNERLGYNKLFNGYEEPKFRMSNSGELYNRTFGI >Solyc03g083210.3.1 pep chromosome:SL3.0:3:54477703:54492714:1 gene:Solyc03g083210.3 transcript:Solyc03g083210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSPIFTFLSELLHSSPLNGDTLTLLGISGDPTMDGGQRRMSIDNEKLPISPKALGVHDPSLNQVGHSNSPTFAANRDPIQNGSAEAVICNSKKLEDAMQEFGLKIKHHEDNVKYLKAVKNELDDSILDIRVALGTTKSASETGSENKESSNGQNEEETIEQILSFDKSAAAICVQLQKRSGTQITQIPFMKDIIGIVALLGKVDDDNLSRSLSDYLGLETMLGVVFKTRDGVKALETYDREGRINKSSGFHGLGASIGRPLDDRYLVICLEDLRPYTGKFIADDPQRRLDIKKPRYLNEETPPGFLGFAVNMINIDTANLYCVTSTGYGLRETLFYRLFSRLQVYKTRADMLQALPLIADGAISLDGGIIKSSGISSLGEREVKIKFPMSSGRPNVPENYYETEIRLKELQWKRARFVDDLQREQTLLDHAKFNFEIKKQDFVKFLARSSSYASAPQFPAGGERSTPIS >Solyc12g098180.2.1 pep chromosome:SL3.0:12:66789245:66791487:1 gene:Solyc12g098180.2 transcript:Solyc12g098180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTIILVEYSEFTGNFSSIATQCLQKLPPSSNKFSYNCDDHTFNFLSDNGFTYCVVATESAGREIPLAFLDRVKNDFSKKYAGGKAATASAKSLNREFGSKLKEHMKYCCDHPEEISKLSKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTDNLRSQAQDFRQQGTKIRRKMWYENMKIKLVVFAIILVLILIIILSVCPGFKCTS >Solyc06g065120.1.1.1 pep chromosome:SL3.0:6:40740427:40741089:-1 gene:Solyc06g065120.1 transcript:Solyc06g065120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDCRNLKFFLSSIQMESLESLKLEALTEIQGNMELLSELLLGCTAIRELPSSIGRLFGISLLDLHSCKNLVGLPDSVSKMRKLKVLILKGCLNLATFPESLGDLEGLEELYAGNTAIRRLPDSMIKLNRLKILSLKRTRKINSEFARDRIFPCAFDGLKELKSLDLSGCILSGDKIFARINLSRNKFVSLPDSISQLYRLRYLNITHCHELPKLPQIYI >Solyc10g054760.2.1 pep chromosome:SL3.0:10:55852044:55860548:1 gene:Solyc10g054760.2 transcript:Solyc10g054760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRLLPMIVGAKQIHKRQSVLTRNHSDVPKGHFAVYVGETEKKRYVVPITYLNHPSFQKLLRKSEEEFGFHHPMGGLTIPCNEDDFFNITSRLNVPKGHMAVYVGENHNNKHRFVVPVSCLKHPSFQDLLRYAEEEYRFDYPMGALTIPCSETAFLCVTSHLNVITN >Solyc09g091800.3.1 pep chromosome:SL3.0:9:71493296:71495325:1 gene:Solyc09g091800.3 transcript:Solyc09g091800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding DIISIVFCFCYIGCCKSWESYRMGCLLFGSGVGVLVLLFVFVVESYVVFGDRGVEDEKFLFKHRHFGDRFGGGLGHGIFRKGFKHGGGLGFGGGVGVGGGIGGGAGGGLGGGGGGGGGLGGGAGGGLGGGGGLGGGAGGGLAEALVVVEVVALVVAFIDQQSFNHLAHNN >Solyc10g080370.1.1.1 pep chromosome:SL3.0:10:61782911:61783108:-1 gene:Solyc10g080370.1 transcript:Solyc10g080370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQISIIKSVLVALIVVVFSATSSAQEIGLAPAPAPDAGAGFSLAQSGALVASSLLVSAVALFRN >Solyc01g080770.3.1 pep chromosome:SL3.0:1:79850336:79854306:-1 gene:Solyc01g080770.3 transcript:Solyc01g080770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSNTSLLFFAYFLLVFLITPSQSRNLSLRRQAKTLVSLKYAFVQSSVPSTLSNWNMSNYMSICSWTGITCDDTKSVTSIDISNLNISGSLSPDIHELTRLRVLNISNNLFSGNLSWEYREFNVLQVLDAYNNNFSGPLPLGVTQLVQLKYLNFGGNYFSGKIPLSYGSFNQLEFLSLAGNDLHGPIPRELGNVTSLRWLQLGYYNQFDEGIPPELGKLVNLVHLDLSSCNLTGSIPPELGNLNMLDTLFLQKNQLTGVFPPQLGNLTRLKSLDISVNELTGEIPVDLSGLKELILLNLFINNLHGEIPGCIAELPKLEMLNLWRNNFTGSIPSKLGMNGKLIEIDLSSNRLTGLIPKSLCFGRNLKILILLDNFLFGPLPDDFGQCRTLSRVRMGQNYLSGSIPTGFLYLPELSLVELQNNYISGQLWNEKSSASSKLEGLNLSNNRLSGALPSAIGNYSGLKNLVLTGNGFSGDIPSDIGRLKSILKLDLSRNNFSGTIPPQIGNCLSLTYLDLSQNQLSGPIPVQIAQIHILNYINISWNHFNESLPAEIGLMKSLTSADFSHNNLSGSIPETGQYLYFNSTSFTGNPYLSGSDSTPSNITSNSPSELGDGSDSRTKVPTIYKFIFAFGLLFCSLIFVVLAIIKTRKGSKNSNLWKLTAFQKLEFGSEDVLQCLKDNNVIGRGGAGIVYKGTMPNGDHVAVKKLGISKGSHDNGLSAELKTLGKIRHRYIVRLLAFCSNKEINLLVYEYMLNGSLGEVLHGKNGGQLQWETRLKIAIEAAKGLSYLHHDCSPMIIHRDVKSNNILLNSELEAHVADFGLAKYFRNNGTSECMSAIAGSYGYIAPEYAYTLKIDEKSDVYSFGVVLLELITGRRPVGNFGEEGMDIVQWAKTETKWSKEGVVKILDERLKNVAIVEAMQVFFVAMLCVEEYSIERPTMREVVQMLSQAKQPNTFQIQ >Solyc11g061776.1.1 pep chromosome:SL3.0:11:48813426:48824394:1 gene:Solyc11g061776.1 transcript:Solyc11g061776.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIYLREETNIYGDDESKKMILQASISCIKRNTSEIELDSDFTAEDYCLQVVVYIEKILKTQRVPIIVGGCFIWIDVQQSVLNRRVDMRVDQVG >Solyc10g047900.2.1 pep chromosome:SL3.0:10:42871991:42872338:-1 gene:Solyc10g047900.2 transcript:Solyc10g047900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPISLKNHFHFFLFCSSSSLVAALIFRLVQVENKSLASKLCKFLVAEPEKMRWSFNHQFSLLDGRHHYTFGLLLSSFVVHVSLSVG >Solyc01g104940.2.1.1 pep chromosome:SL3.0:1:93156593:93157540:1 gene:Solyc01g104940.2 transcript:Solyc01g104940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EILVDILKRLPVKSLIRFNCVSKFWNTLISQPYFKKTHLNHSNSRLSSQKLLFVRWDPNCQFWSGSFTLTEEDIPIFDCTSDSNIKDGIKMYSSCDGLFLIGIWTDSYDEQPSILVLWNPSTRQSIRLPHSKFSFQMGDQYENADENMGNYSNSISDHDDGLANYDSKNHEQIEDYSSSSISDYGDGDKGTTYGLAFDSKSEDYKVFRIDMSGNNDNEIFALKNGSWKIIDRKTSGRTDSGLLCGGELLPFVDGAFHWLGFLSEVCVVSFNISDEIYGEISLPDIVSSELTPFKFDNVEVQVDVHVGLSVLRGRI >Solyc06g066140.2.1 pep chromosome:SL3.0:6:41579920:41583498:1 gene:Solyc06g066140.2 transcript:Solyc06g066140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHKRDVVLNKREKKVCEVCGDFGIQEAIITCYECFKRYCVVGYWEDAPADWRCETCNIRKGVMLSPHGVENELFKGSKSQASTKTCQSTVQSNKHSKFPRRQHINWEKEVRTGKTRYLPVEEALGLPSRIQKYGSTTINTVSSRVVSTKSRKFSKPKGPGASTILEHRTRDVVNESRIMNSPITHPCDPALAPSWKGSFDILGAPELAPGIFQAHPPCRVSRKVYDFSKLLPHTLKLELLPRGDIWPSLFDNHCPSKEDIGLYFFESEKKRFEGYIDLVEFMSNKDLMMRTLINDVELIDGTMSTFFGDYFTAKDRIQTNVLKGEAVIAEENEGMLNIVVSTTTR >Solyc02g087290.3.1 pep chromosome:SL3.0:2:50375343:50381432:-1 gene:Solyc02g087290.3 transcript:Solyc02g087290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-mannosidase [Source:UniProtKB/TrEMBL;Acc:K4BBN6] MAFSSRRGGTGWAHSLLPTSKSSSRQPRKSRRRTALRDFFLSNFFTIGLSFSLFIFILIVYSYGVPKPLLSSHFRAARTRFHRLRKPTYRKSPGSDAVSGAVVDITTKDLYDKIQFLDEDGGAWKQGWNVNYKGNEWDSEKLKIFVVPHSHNDPGWKLTVEEYYDRQSKHILDTLVETLPKDSRRKFIWEEMSYLERWWRDATNDKKEAFTNLVRNGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLNETIGVIPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELALNQNLEYVWRQSWDAEERTDIFVHMMPFYSYDVPHTCGPEPAICCQFDFARMYGFPYERCPWGEHPEETTQENVKERALKLLDQYRKKSTLYRTNTLLVPLGDDFRYVSVDEAEAQFRNYQMLFDYINSNPGLNAEANFGTLDDYFRTLRDEADRVNYSRPHEIGSGEIGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEHNLRSAEMLMAFLLGYCQRIQCEKLPIGFSYKLTAARRNLALFQHHDGVTGTAKDHVVQDYGTRMHLALQDLQIFMSKAIEVLLGIRHDRNDQPPSQFEPAQSRSKYDAQPVVKAISAREGTVQTVVLFNPSEQTRNEVVMVTVERPDVTILDSNWTCIRSQISPELSHDKRSTLSRRHRVYWKASVPAMGLQTYYVANGFAGCEKAVPAQLRISVSSGNISCPSPYACSKFESNEAAIQNEHLTLTFSTKFGLLQKVSHSDGRQNVIGEEIDMYSSTGGAYLFKPEGEAQPIIQGGGIMIISEGHLVQEVYSYPKTAWDKSPISHSTRIYNGHNTIQEHIIEKEYHVELLGHELNDRELIVRYKTDIENKRIFYSDLNGFQMSRRESYDKIPTQGNYYPIPSVAFMQGLHGERFSVHTRQSLGVASLKDGWLEIMLDRRLVRDDGRGLGQGVMDNRAMNVVLHILVESNVTEANQTTGPHPLNPSLLSHLVGAHLNYPLHVFIAKKSEEISVQPPPRSFSPLAASLPCDLHIVNFKVPRPLKYTQQQFEEPRFALVFQRRHWDSSYCRKARSECSSVADVPVNLFYMFKNLAVLNAKATSLNLLHDDIEILGYGDHFGDGAHDGHVLISPMEVQAYKLELRPH >Solyc02g062240.3.1 pep chromosome:SL3.0:2:34390618:34396889:-1 gene:Solyc02g062240.3 transcript:Solyc02g062240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein Sm D3 [Source:UniProtKB/TrEMBL;Acc:K4B6B3] MSRSLGIPVKLLHEATGHIVTVEMKSGELYRGSMVECEDNWNCQLETITYTAKDGRVSQLEHVFIRGSKVRFMIIPDMLKNAPMFKRLEARIKGKGSSLGVGRGRAMAMRAKAQAAGRGAAPGRGAVPPVRR >Solyc12g035460.2.1 pep chromosome:SL3.0:12:40480266:40488753:1 gene:Solyc12g035460.2 transcript:Solyc12g035460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILGEEPDGGEIMCVLEQGNGFLIMVVSLQFLLWGTWLSILGAFEWLGTNPMPPDKNVVLQSNGLHANVLSLPEEICWSNHTSHFTTEGREDLYYPHPWVQDLMWDSLYMCKEPLLTQWLFNKLRNKDLEVYMKHVHYEDGNSLYITVGCVNKGCIGISQKDHGLFRIKIMDVQVFDSTAEALKCCLLFSTMPSELISKAIKPARLYDSRKNGVLAAWEPAGASDFFPIAILHFRSLFTCQSRYVECTGSSIQTLVLFKKLYPGHRITEIDNFIHNAVKYFEYVQKLDNSWYMMYGCCGVCFTYASWFALGGIVVAGKSYQNSASVRKGVYREVETDHSNLVQTAWALIGLIHSRQVNRDPRPLHHAARLLINSQLEFGDFAQQEITGAFMKISCCITDNVLTDLTS >Solyc06g082630.3.1 pep chromosome:SL3.0:6:48427174:48432456:-1 gene:Solyc06g082630.3 transcript:Solyc06g082630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILDPKPSPELPATRPDLSSHDVPSENGEDDLYARLKSLQRQLEFIEIQEEYVKDELKNLRREHLRAQEEVKRIQSVPLVIGQFMEMIDQNNAIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVAHHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLGDEVDLEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >Solyc03g113215.1.1 pep chromosome:SL3.0:3:64916439:64917720:-1 gene:Solyc03g113215.1 transcript:Solyc03g113215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICGEGILLEIVNPMTIYVYNQFGKGLKIRASPSLAVFAASMAFISAPILRESAEKILFWTRAPKLPSLNRPVTVSSQGISIIAPANFTCIRTLSILNFCKSKMWHSKKKYRITLENIYRVSEAERTSVSKLKPPPHSASVFVAVSKAISAPILKNPIPVTPERLNSGVFSKLMPVATPK >Solyc04g049103.1.1 pep chromosome:SL3.0:4:39631922:39632575:1 gene:Solyc04g049103.1 transcript:Solyc04g049103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQETSKRTKKAKKKTFAKPGSLSFLANKKRWLLTTNEIIKEIECEKGHLLKLIGAEQSVAPQSEAKRQFVEELSFENQPVEELLVVQPVEELPLVQPVEELPLEQHGKDFPFEDQVQMNFVTPRTNDQPEEQAGDVSTSNKRGRTQMYDVHARKECKLIILNSQSKPVGRTDDVVIELSSFLGTLARNAAL >Solyc02g092370.1.1.1 pep chromosome:SL3.0:2:54094094:54095617:-1 gene:Solyc02g092370.1 transcript:Solyc02g092370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLFRLVSLQQQQQQQSDQYSFNSSRTSSSSRSSNKQNSTYNYHPHHNHQDEECFNFFMDEDDFSSSSSKHNNYPPPHYNQYQQISTPTTTSSTPTHQSQSQYDHQFSPARDLNLEFASSFSGKWATDILLETSRAIADKNSTRVQQLMWMLNELSSPYGDTEQKLASYFLQALFSRMTDSGERCYRTLLSASDKTCSFESTRKLVLKFQEVSPWTTFGHVASNGAIMEALEGESKLHIIDISNTYCTQWPTLLEALATRTDETPHLRLTTVVAAASGGAASVQKVMKEIGSRMEKFARLMGVPFKFNVIHHVGNLSELDMGALDIKEEEALAINCIGALHSVTPAGNRRDYLISLFRRLQPRIVTIVEEEADLDVGVDGFDFVNGFQECLKWIRVYFESLDESFSKTSNERLMLERQAGRSIVDLLACPPSESMERRETGAKWSHRMHAGGFSPVLYSDEVCDDVRALLRRYKDGWSMGQCGGDSAGIFLSWKEQPVVWASAWKP >Solyc06g082790.1.1.1 pep chromosome:SL3.0:6:48512342:48512587:-1 gene:Solyc06g082790.1 transcript:Solyc06g082790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDCQRTVYSFNVLLKSCIRSERDDEIGLLFRELREKLSIVPNLTSYNLAMKALCKAGFVYSAVLLMDKIEKHGGLIHC >Solyc10g081220.2.1 pep chromosome:SL3.0:10:62461500:62467232:1 gene:Solyc10g081220.2 transcript:Solyc10g081220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHYRVLGLTRSASKEEIKQAFRKLAMEFHPDKHAHSSHHLKENATLKFKEVSEAYEILIDDRKRADYNIRSNSYRNSANNYGGNSDYYNRSYQNGYRNSYNYGYGYSRPADAGGSASIVTKFEMVLRFMTTRAFLLNAALAGVLLGATYVVDAGGEALWKMRNSGV >Solyc10g007740.3.1 pep chromosome:SL3.0:10:1971452:1977683:-1 gene:Solyc10g007740.3 transcript:Solyc10g007740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVTHESAKMNNGTKNRENHKQQLSRDVMLGSELWTDGLLCAFEFVRGQRKTNGARATEHYAVRDPKKPPLYKYGASNFSPQDVDKDHFIEPHYPTESAYVDIENGDSQSYHQDYPYHSRETSPGNYWIPIGWSRISELLQTVQIDSGWASQPVDLSDEEDDVTVADVAAPYWQNPVGPTWWCHVAAGHPSINAWLSNAHWLHPAISIALRDESKLISERMKHLLYEVPVRVAGGLLFELLGQSVGDPYVEEDDIPAVIRSWQSQNFLLTALHVKGCASNINVIGIAEVQELLAAGSCNVPRTIHEVVALLACRLARWDDRLYRKYIFGAADEAELKFMNRRTHEDVQLFSVILNQEIRRLSTQVIRVKWSLHAREEIVFELLQHLRGNAARNLLEGILKSTRQMIGEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVQHNLGVFGGCGLVLSIITGLFGINVDGIPGNEGSPYAFAFFSLALVLLGAGLIAIGLIYLGLNKPFAEEKVEVRKLELQELVKMFQHEAESHVQVRKDDSEAKKSVHSAGIVTDGDKYVVIN >Solyc11g063500.1.1.1 pep chromosome:SL3.0:11:49914528:49914698:1 gene:Solyc11g063500.1 transcript:Solyc11g063500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVERCFRGAGQKEKRLLAKGSLSRPGGEKSREQSGERVRNAWESAEQFGPNPEES >Solyc12g010520.2.1 pep chromosome:SL3.0:12:3516669:3519500:1 gene:Solyc12g010520.2 transcript:Solyc12g010520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIVKSSVTMSDGQESDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTDDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFSVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILANVKFIQEKKLIGKYFEEISQDTGKYVFGVDDTLKVLEMGAIETLIVWENLDITRYVLKNSGSGETVVKHLNKGQEADQSNFRDPATNAELEVQEKLSLLEWFANEYRKFGCSLEFVTNKSQEGSQFCRGFGGIGGLLRYQLDVRAFDELSDDGEVYEDSD >Solyc06g064460.3.1 pep chromosome:SL3.0:6:40259389:40261635:-1 gene:Solyc06g064460.3 transcript:Solyc06g064460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVAVSAKKKPEKAKVLNPLFEKRPKQFGIGGALPPKKDVTRNVRWPRNVTLQRKKRILKMRLKVPPALNQFTKTLDKNLATNLFKMLLKYRPEDKAAKKERLVKRAQAEAEGKTPETKKPIIVKYGLKHITYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSVGNTMSVYLMFPNRLDTNIDDFAQIVHKKTASALCLTTVKNEDKMEFSRVLEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAKERVLAKEAAQRLN >Solyc09g010480.1.1.1 pep chromosome:SL3.0:9:3840149:3840541:1 gene:Solyc09g010480.1 transcript:Solyc09g010480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFTFLNSIVERVSLRLPLLFYAATWTTILTIIVALASFSPELAFVSAITPSSSFSQACHHDHNRNEKGYVRIPLDLPLEVFCFPSQDFKRSQMDLIVPPIFAATIVALSAYVVKALALWEVDDQHNNQF >Solyc02g037530.3.1 pep chromosome:SL3.0:2:31525940:31537730:1 gene:Solyc02g037530.3 transcript:Solyc02g037530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQAHEGGEKKCLNSELWHACAGPLVCLPTVGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPNLSPQLICQLHNVTMHADVETDEVYAQMTLQPLTPEEQKDTYLPVEFGIPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRAVRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFNVFFNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWANSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPFYQGTSSYQDSNNEAINRMSWLRGNAGELGHHSMNLQSFGMLPWMQQRVDSTILPNDINQHYQAMLATGLQSFGSGDLLKQQLMQFQQPVQYLQHASTENSILHQQQQQQQQIMQQAVHQHMLPAQTQMLSENLQRQSQHQSNNQSEEQAHQHTYQEAFQLPHDQLQQRQPSNVTSPFLKADFADLTSKFSASVAPSGVQNMLGSLCSEGSNNSLNINRTGQSVIIEQSPQQSWMSKFTESQLNTCSNSSSLPTYGKDTSNPRGNCSLDSQNQALFGANIDSSGHLLPTTVSNVTTTCADMSLMPLGASGYQNSLYGYVQDSSELLHNAGQIDPPNATHTFVKVYKSGCVGRSLDITQFHSYHELRRELGQMFGIEGFLEDPQRSGWQLVFVDRENDILLLGDDPWEAFVNNVWYIKILSPEDVQKLGKEEAESLNRGAVERMSSTNADDRDLISGMPSLGSLEY >Solyc09g074790.2.1 pep chromosome:SL3.0:9:67025135:67028084:-1 gene:Solyc09g074790.2 transcript:Solyc09g074790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLPPSWKALEWSVILKLAFQSIGVVYGDIGTSPLFVFATVFPNGVKLEDDILGALSLIFYTITLIPLIKYVFIVLQANDNGDGGTFALYSLICRYSKVGLIPSQQPEDKDVSTFKLDLPDRRTRRASKLKSKLENSNFAKFFMLIATMLVLSAVGGLKAAAPSVFTEGRLIWIAVAILILLFMFQRFGTENVGNTFASILSLWFIFIAGIGIYNMVKYDPTVIRALNPKYIIDYFKRNKKNAWISLGGVVMSITGGEALFADVGHFSVLSIQISMCCVTYPALILAYLGQAAFLMKNIDDVADTFYKSIPHSLYWPVFIVAVLAAIIASQALISGTFSIIQQSLALGCFPRVKVVHTSAKHHGQVYIPEINNLLMLSCVIVTLTFRTTEKISNAYGKNFKEFPLFSYIYINVKQMSNTRQNHLLTGIAVVLVMVLTSCFLVLVMIMIWKTNILFVIIYVLIIGTVELIYLSSVLYKFDQGGYLPLAFALFLMCIMYVWNYVYRKKYHFELEHKISPLKVKETVDETNYHRLPGLAIFYSELVHGIPPIFKHYVDNVPALHSVLVFFSVKSLPINKVPVEERFLFRSVKPCDLYVFRCVVRYGYNDVHNEEESFERLLAERLKEYIQRGSMLSMNAAKSNRVLTEQNSNIELEIDSDIQEDVTFSRERDIKVVERAYSVGVVHFVGEQDVIASKGSGIAKRVVINYVYNFLKRNVRQSSKVFDIPHKHMLKVGMIYEL >Solyc03g025935.1.1 pep chromosome:SL3.0:3:3393444:3394161:1 gene:Solyc03g025935.1 transcript:Solyc03g025935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLNFNLFQLISVIPQVCRAWQWACSDQLLWKMLDSVLQSNFIRFPRKVICLNFCRGNIQTLIFHHNMHVNDNQLSFTAESITQIKKPYVFLQKKKYRSIRRAIRIWEDLESLDA >Solyc12g049220.2.1 pep chromosome:SL3.0:12:61563923:61566311:-1 gene:Solyc12g049220.2 transcript:Solyc12g049220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYHAGDNDGDGQNRRFYNPYQDLQVPIQKLYKLPTSPEFLFKEESLAQRRSWGENLTFYTGIGYLSGSVVGAGKGFIQGVKASEPGDTLKLRINRILNGSGHNGRKFGNRFGVIGLMYAGLESGMVAIRDTDDVINSVVAGLGTGAFYRQNRR >Solyc11g007630.2.1 pep chromosome:SL3.0:11:1870906:1871477:1 gene:Solyc11g007630.2 transcript:Solyc11g007630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSAVVMTCLSNGYPVIAIRGLSDLAGTQKGDNTIRLFGSLAALNTAKVVIGFVKSLSINHISRF >Solyc07g007395.1.1 pep chromosome:SL3.0:7:2128688:2133025:1 gene:Solyc07g007395.1 transcript:Solyc07g007395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEESRKKLTITVVVALSLRYARWEGIVCASAVNTGFGIWTLWHYHTKDCITCFRSIAFYSITKELKKREVFISFPLYGDEEAETCFSLFLPCRCLAQCCAAGSSKPVANRFM >Solyc01g110465.1.1 pep chromosome:SL3.0:1:97073882:97078835:-1 gene:Solyc01g110465.1 transcript:Solyc01g110465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCIDYRALNKITIRNKYPIPLIADLFDRLGQAKYFTKMDLRKGYYQVRIADGDEPKTACVTRYGAFEWLVMPFGLTKAPATFCTLMNDILHPYLDQFVVVYLDDIVIYSDTLEEHVMHLKKVFTILRENQLYVKREKCEFAQPKIHFLGYVISQGELRMDEAKVKAIQDWEAPTKVTELRTFLGLANYYRRFISGYSAKAAPLTELLKKNRPWAFEGLKTAVTEEPVLMLPDFTKTFEIHTDASNFAIGGFQYIPRTTRYSVFFFTRKLRTNSPAHSQEYSRIGTGGEISVLEKSSGMLPGKNSVSISCTSQWFIFLESAPWQIVKSVNRSLEADTGFASPIQNWNELIKSPKSRN >Solyc07g053640.1.1.1 pep chromosome:SL3.0:7:62219894:62221357:1 gene:Solyc07g053640.1 transcript:Solyc07g053640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFIKQVVCILFLVISTPSVQIEARNSKFMIIPTSPSPAPTPISNEISFPPFSSLSPTPAPAPTPDFNDFGFPPLSFLSPTPSPIEGDQDPGLPTPAGAPEEGDIEAPAPLLSDTPYGLYGPHAQEVPSTTLTNFDDVEKELQGARFNTDESYNNNNNNNNNGYSDNYNYDSHSKNYNNNDGHSENYNNNNGYSQNYNNNDFSETYNNNDDAFSENHNNNNDAFSENHNNNNDGFSENYNNLNHNNNDFSEKYNHNNNDFSENYNNNNNVFSKNYNNNNDFSEKYNNNNNVFSENYNNNNNDFSEKYNNNNKLYSENNNNNNNDDNSYSKNYNSNNDFSENYNNNNNGFSENYNNNDFSGNYKTNNNNNVFSENYNNNGYSNSYNNNNNNNRYSENMSERQGLSDTRFLNNGKYYYDIKNDNPNNNNDHANSYNNNANMVETQGFSETRFSDNGNYFYGKNGEKMTMEEFKRQQDYPGTEDQYELP >Solyc05g056325.1.1 pep chromosome:SL3.0:5:66506603:66508181:-1 gene:Solyc05g056325.1 transcript:Solyc05g056325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCRSRSLSASPSAVSAAVNNKYPILQFSSQLSNPSYTPFTPWIRYLRELPKVVIEGHESFEQEYKIDCSSPKSELKEDMKISNRNIVNLNVGFSASECCNKFENVESSCFY >Solyc07g026710.2.1 pep chromosome:SL3.0:7:30489941:30492291:1 gene:Solyc07g026710.2 transcript:Solyc07g026710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVKRLVLEKLEGSYIDEFNKLEGYAQELRDSNPGTDVIINTSREALEQGKRRFLRMAYFDTVCKNHSRENNFTESFNKWIIDARAKPIIKMLENIRIKLQRSKQPAQDVLVSTPQAIQEESGIMSTPGFAASSSQPDGLSKSKEIEKNPAGPSKSKRKIIVEEFEDGQHPKAISEARTRLQAKKMQIQPTGTRRIDFKGDDNGVSIPTNLPYSPRKLAWKGKEATTSDHLTIEKEKRIGKLKAKRGGKK >Solyc08g076370.3.1 pep chromosome:SL3.0:8:60467877:60474042:1 gene:Solyc08g076370.3 transcript:Solyc08g076370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGDCQLFSSMGMGGNNNNNNNVSSDTLYSSSIQNPNFNFMTMGGNNLPFNIFPPNNIIPKEENGLFKNKEEMDSGSGSEHIEGMSGNELEPEQQQQQQQQGGKKKRYHRHTVRQIQEMEALFKECPHPDDKQRLKLSQDLGLKPRQVKFWFQNRRTQMKAQQDRSDNVILRAENDNLKNENYRLQAALRSIMCPTCGGPAMLGEMGYDEQQLRLENARLKEEFERVCCLVSQYNGRGPMQGLGPPNPLLPPSLELDMSINNFTSKFEDQPDCADMVPVPLLMPDQNNSQFSGGPMILEEEKSLAMELALSSMDELVKMCTSSDPLWIRASNDSGKEVLNVEEYSRMFPWPVGVKQNGNELKIEATRSSAVVIMNSITLVDAFLDTNKCIELFPSIISRAKTIQVATSGVSGHASGSLQLMFMEMQVLTPLVSTRECYFLRYCQQNVEEGSWAIVDFPLDSLHNNFPPPFPYFKRRPSGCIIQDMPNGYSRVTWVEHAEVEENPVNQIFNHFVTSGVAFGAQRWLSILQRQCERLASLMARNISDLGVIPSPEARKSLMNLAQRMIKTFCMNISTCCGQSWTALSDSPDDTVRITTRKVTEPGQPNGLILSAVSTSWLPYNHFQVFDLLRDERRRAQLDVLSNGNSLHEVAHIANGSHPGNCISLLRINVASNSSQSVELMLQESCTDDSGSLVVYTTVDVDAIQLAMNGEDPSCIPLLPLGFVITPINNGQVNMNNSDNNVSGTEANSSQSSEKRQNLSSIQEYSGGCLLTVGLQVLASTIPSAKLNLSSVTAINHHLCNTVQQINAALVAFYPDTEITAPSSPPPQQPKSSKQADENSNS >Solyc06g082720.2.1 pep chromosome:SL3.0:6:48487595:48488553:-1 gene:Solyc06g082720.2 transcript:Solyc06g082720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAKAVLVKLLFLKRHLNGEFDRNYEPTISVEVHPLDFFTNYGKNRFNCWDTVGQENLGCLRDSYYIDGQCAIIMFDFTVRLSYLNVPTWHRDLFKFCEIVPFIKAREINFYRRKKNWQYYEISAKSNYNLELPFLFLARKLVNDDNLHFEESPAIAPPEVKFDLDTEKWRETEFLNCIPKLPLPDEDDDLADDIRGFDERTD >Solyc07g047900.3.1 pep chromosome:SL3.0:7:59234171:59238085:-1 gene:Solyc07g047900.3 transcript:Solyc07g047900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVCRYTQRRSIRTLTSLFSQSSLQHESMRRYDSVNIMSSSGNMMSSPVKYVKVPICNVGVRWMSGKSMRSRVAARMQNESSKTLREIRRSKKLKLKLMTDEERLIYNLRRAKKKVALLLQKLKKYELPDLPSPRHDPELLTPEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHLHWKFHETVQVCCDNFPKEKIKEMASMLARLSGGIVINIHNVKTIIMFRGRNYRQPKNLIPVNTLTKRKALFKARFEQALESQKLNIKKIEQELRRKGINPDDPAARASIQRVASTFFNAIDKKEGSPYVFQEDYGTKLSHSSSVDEKHSTAEDSDQEELDDFIAQIEKAADDEWAAEEEAEKEESGRIRYWNKEDIGGRFRRSGMMGSDESDDETGGRSSGRSRTSGRKMGDDDEQDDVSEDDSELDDDDGRHTHKYNAPVRHPKYKTEKWQKGKSNRPMNDGGSRRNFDPYLKGTMASDRLSDLDEAMWSSDDEDRHNKTQPNEYRSSSDEGEDYDKIAIWESDVDDAPGSRASRRVGNSFRTSGQGQMKINEDTRESKRKVKSSKDVDETWDSD >Solyc10g006370.3.1 pep chromosome:SL3.0:10:986819:990098:1 gene:Solyc10g006370.3 transcript:Solyc10g006370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIPCTLHLTFSSSRPNFFSSCSVRLNSCFMGFSKLKSIGWCRPNGLGPNCGSRTTCWFNFRQNAETAGVYGSQSRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLSQNIHPVDILLLMASSEGDLPKIEELLRAGADYTVKDADGRTALDRAANDEVKDFIVNYKAQKA >Solyc10g081490.2.1 pep chromosome:SL3.0:10:62667382:62668888:1 gene:Solyc10g081490.2 transcript:Solyc10g081490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2R3 MYB transcription factor [Source:UniProtKB/TrEMBL;Acc:K4D336] MGRPPCCDKIGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLMRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQIELDSHNLTLPPPNLDNSNTNNYDHHHHQFTKLLNSPNSSSSSSLYASSTENISRLLEGWMRSSPNPSRRNNIDHDEMLHEAQKELQDQDGGVSNDDVVIIPNVNVDCESSEVVTHEKTSPPPFTYLEKWLLEENGGQVEELMELPMIFT >Solyc10g054300.1.1 pep chromosome:SL3.0:10:55174318:55175963:1 gene:Solyc10g054300.1 transcript:Solyc10g054300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSTKAILVEGTTVAIKHAQQDSLQGEKEFYTEIELLSRLHHRNQVSLVGYCNEGIEQILVYEFMLNGSLHDLLSARYKEHMSLGTGLYIALGAVTGILYHHTEVDPPMIHCDIKANNILLESKFTAKVFDFGISRFAPLPDVETSGNVSTVVKGIPVRLYSSC >Solyc01g110100.3.1 pep chromosome:SL3.0:1:96798518:96802097:1 gene:Solyc01g110100.3 transcript:Solyc01g110100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTQKKLAQNQSEQESPVAESPDESFTCEICIEPISLPNKKFKNQNLCVHPFCMDCMAKYIAVKLEDNVGNIPCPSLSCENFLDPISCRNLVGPQLFVRWSDVLCESSVLGLAHCYCPDRRCSSLILDECGGKAKRSKCPNCKKHFCFQCKLPWHSGFQCEESRELRDRNDVVFGVVAEANKWKRCPQCRHFVELIEGCKIVKCRGKAVVSPLPAIQESDVELELIRIESVVPVSATTAEKEFIDTGVVVILLPCAA >Solyc01g104446.1.1 pep chromosome:SL3.0:1:92794462:92799847:1 gene:Solyc01g104446.1 transcript:Solyc01g104446.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGGPCLHCGIKKTPHWRPGPPEKPVLCNACGTRWRVMGTLHNYIPRHANRETQNMQMEETNDKDPIWNPNSVPKRKRSELAQHILSRVERLRRQLYNILQEPEFGNIPDGGEDATIIYARNKYVPPNEIGLGGMLLVSPTTTTERCTSLSPMAEDNACCSMNVPVENPNL >Solyc05g053180.3.1 pep chromosome:SL3.0:5:64156710:64157772:1 gene:Solyc05g053180.3 transcript:Solyc05g053180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWVKTITTPFRKARTFFNNNQQSPRDSPREKKSQEEEQDKHVVDLQGEVMACGYEDVQVMWSILDKSKAIRT >Solyc11g062180.1.1 pep chromosome:SL3.0:11:49326066:49326641:1 gene:Solyc11g062180.1 transcript:Solyc11g062180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSLYLDGCRKLEKLPENIGDLQDLHMLDASEAAISQPPPSITKLGKLWSGLHEDLGSLHSLENLNVSGSNISCLPKNVKGLLHLQHLNVQFCQNLIELPRELPQNLRELFADYHLALNSSKNLVICYLKMCRLVISDHGTFSSEHINVFL >Solyc01g011480.1.1.1 pep chromosome:SL3.0:1:9329201:9329458:-1 gene:Solyc01g011480.1 transcript:Solyc01g011480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCSPTGEVIFEGETKRFWDLCSPWLEPLRGTNGLDLSRLKQDIQLWQERRSVEYMTHSPLGSLNFVGSVVTGSMQSIMSHLEVG >Solyc11g071850.2.1 pep chromosome:SL3.0:11:55485305:55495547:1 gene:Solyc11g071850.2 transcript:Solyc11g071850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGKWIRALVGLKKSEKSHSSEKEENVGNIFFLLLFLSWKSGGTGKFWHRRKHSVEIDSNLLQKELTYNDAGAGSVEDISSTSAPFASSSPSSSHQLHHAPLVKQNMREELAAIRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRMSLESQTEQQKVEQQLEHDARVREIEEGWCDSVGSVEQIQEKLLKRQEAAAKRERAMAYALAHQWQAGSRQQATLSGFEPDKSSWGWNWLERWMAVRPWENRFLDLNVRDGVMPNENESAEPVNGMKNQVKVAGKKPATTTLSNDRVGPSHSSSNSKSNEKAAASLSDGCSSSPNVSASTQETPAALVNKPKSKPNREDLVEEASSKPVLGSRSHSNPKERSTPSDKQGKQRLSLPGSGLAPQTARQPSRTIKRTSSTQKPLKEKSKLNETDTKSTATGTFLKGSDGPKILLPRQISISVPDELDDLSLFHKAIAAETTFPKHPSHKKLRLGSATVRPPKIAFMFLTNSDLKFSPIWEKFFNGTKPVDPHLYNIYIHADPSIKISPLMGVFKDRLIPAKRTQRSSPTLISAARRLLAHALLDDPSNSYFALISQHCIPLHSFNYFYNFLLDTQKLSRKMEFPSYIEILDESDSLLDRYNARGKNIMEPEVKFEEFKVGSQFFVLTRKHSLMVIKDRKLWRKFRKPCLNVESCYPEEHYFPTLLSMEDPNGCTKYTLTNVNWTDTVDGHPHTYHPSEVSSKLIYDLRESNSTYSYMFARKFSPDCLNPLMEMAESVIFKD >Solyc09g082860.3.1 pep chromosome:SL3.0:9:68969730:68975978:1 gene:Solyc09g082860.3 transcript:Solyc09g082860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMASLFLKTPGPSQYLPKTHKTHFVLPQHIPLSWRSRYRAGPLAAARIRCGLIEPDGGKLVELVVEETQRDLKRRQALSLPQIKLSKIDIQWVHVLSEGWASPLKGFMRESEFLQTLHFNSLRLGDGSVVNMSVPIVLAIDDSNKNNIGDSSSVALVDDKDNPIAILNDVEIYKHNKEERTARTWGTTAPGLPYAEQAITHAGNWLIGGDLEVIEPIKYHDGLDRFRLSPAELRDEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLEWRMKQHEMVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPLEKRDLYDADHGKKVLSMAPGLERLNILPFKVAAYDKTKNGMAFFDPSRPQDFLFISGTKMRALAKNKESPPDGFMCPGGWKVLVDYYDSLTPSENGSVPEPVPV >Solyc09g016950.3.1 pep chromosome:SL3.0:9:11864215:11869311:1 gene:Solyc09g016950.3 transcript:Solyc09g016950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYKNSSEVIAAMFSLAFYFLPCLKVYNSSTTYIRVPVEDSTG >Solyc09g060160.2.1 pep chromosome:SL3.0:9:58072617:58073954:-1 gene:Solyc09g060160.2 transcript:Solyc09g060160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSVEEPAGESSHSQKKSERHGQYHKHSMEQIQRLDAFFKKCPHPDEDQQKQLGSEAGLHHKQSSFGSKTEGLKQRYF >Solyc02g093270.3.1 pep chromosome:SL3.0:2:54815435:54817854:-1 gene:Solyc02g093270.3 transcript:Solyc02g093270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVYSSDDIVPPIVCISQEETSPTSCLFHPTTLLFSYKFSYFSSLTKAGWLLTIICFRVKKAMATNGENGRHQEVGHKSLLQSDALYQYILETSVYPREPEAMKELREITAKHPWNLMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATAMALPDDGKILAMDINRDNYEIGLPVIEKAGLAHKIEFREGPALPVLDQMIEDGQYHGSYDFIFVDADKDNYLNYHKRLIDLVKIGGLIGYDNTLWNGSVVAPPDAPLRKYVRYYRDFVLELNKALAADPRIEICQLPVGDGITLCRRIS >Solyc02g082900.3.1 pep chromosome:SL3.0:2:47086936:47089988:-1 gene:Solyc02g082900.3 transcript:Solyc02g082900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSIFLNPIFISLIIPVILGTVFYQLDSFDPVSYPTHVLSTDPPIVAPKRNNQVLRGSENIGVNQLLAPEDVAYDPESGVIYTACVDGWVKKVKVNESAADSTVEDWVFTGGRPLGLALGHHGEVIVADSEKGLLLNVTSEGEIKVLTEEAEGLKLKLADAVDVAEDGIIYFSDASYKYNIEQYIYDFLEGRPHGRLLSYDPSTKQTKTLLSNLHFANGVAVSPDQNYVIFCETPLRKCKKYHIKGEKKGSVDMFVDNLPGFPDNIRYDGEGHYWIAFASENTYSWDLTLKYPFIRKIMAIMVKYVGQPKTEKNGGVLSVDLQGNPLEHYYDEDLTMVSSGIKIGDHLYCGSVKSPYILRLNLKQYPAV >Solyc08g081900.3.1 pep chromosome:SL3.0:8:64935680:64948629:-1 gene:Solyc08g081900.3 transcript:Solyc08g081900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDADVSKQIQQMVRFIRQEAEEKANEISVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVDVRKKIEYSMQLNASRIKVLQAQDDLVCSMKEAASKELLNVSHHHNHHIYKKLLQALIVQSLLRLKEPSVLLRCREDDVPLVEDVLDAAKEEYAEKSQVHAPEVIVDQIYLPPAPSHHNAHGPSCSGGVVLASRDGKIVCENTLDARLEVVFRKKLPETTCPSPKPQIGNSACLRKKKPLDSVGCLQESAVLISTSVSRLFSRSIIRSGGCNTYEWRGGRQGKSYHPILSDLSLVSANAQICPPLSTYYVFYYAIVETPSLPSYCAFEVVLCRLLHGVFLQGTFTSLTKALAVRSRYTAASVSKSNLEDVQRKIPVLAGQIQGCFLIAAQWKSNCTNFLTYRTSIRKSLSDAEGNDLSTISFYNCWFLECFSSLCVVEKGEDYVRRNWCFHASPETLAWKKEPEALGLKIQKKGKFKKRTKDSSPPVEAPYVPPKLKKTASSSLSDRTVEIFEGMTIVELAKRCGVSIPVVQDILKNVGEKVDSEYDPLSIDISELVAMEIGVNVRRLHSNEGAEVLPRPPVVTVMGHVDHGKTSLLDALRLTSVAAKEAGGITQHLGAFVVGMSSGASITFLDTPGHAAFSAMRQRGAAVTDIVVLVVAADDGVMPQTLEAMSHAKAADVPIVVAVNKCDKPAANPEKVKIQLATEGLALEEMGGDIQVVEVSAVTKTGLDKLEEALLLQAEMMDLKSRVDGPAQAYVVEARVDRGRGPLATAIVKAGTLVCGQHVVVGAEWGKIRAIRDMLGKSTDRARPAMPVEIEGLKGLPMAGDDIIVVHTEERARMLSAGRKKKFEKDRLGRKMDAEKLGALVSESNLEDEEGEVEEKPKRVEMTIIVKADVQGTVQAVTDALKSLDSSQVFVNIVHGGVGPISESDVDLAQACGAFIVGFSIPTPPGSISQAANKAGIKIKIHRVIYHLLEDIGNSIVEKAPGTFETQVSGEAQILSIFELKGRSKAKGEDVKIAGCRVIDGRLIRSSTMRLLRSGEVVFEGCCASLKREKQDVEAVGKGNECGLVIQNWDDFKVGDVIQCLEQVNRKPKFISSQSGAVRIEC >Solyc06g059930.3.1 pep chromosome:SL3.0:6:37959767:37981083:1 gene:Solyc06g059930.3 transcript:Solyc06g059930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSTNKSRPLANFHPTVWGYHFLSYTPQFTEITNQEKVEVNEYKERIRKMLVKAPEGSLQKLVLIDAMQLSEALTQPIRKTLPRVGARKYISIYENNVAHNHVLLKFAKLDFNVLQKLHQRELNELTRWWKDLDFANKIPYARDRLVECYFWILGVYFEPKYSRARKMMTKVLKITSVIDDTFDAYATYDELVAFTDAIQRWDASAIDSISPYMRPLYQALLDIYSEMEQVLSNEGKLDRVYYGKHEIKKIVRAYFKEAQWLNDANYIPKYEEHMEISLVTAGYMMGATNCLVGVEEFISKDTFEWLKNEPLIVRAASLISRAMDDIVGHEDEQKRGHVASIIECYMKEYGASKQEAYAKFKKEVTNVWKDINKEFFRPTEVPMFVLERALNFARVIDTLYQEVDGYTNSKGLLKDLVNSLLIESEITNQEKVEVDEWDISVIDSLPPYMRPAYQSLIDIYNEMERLLAKEEPILRKLNGWMLAILQNLINMDEYISQETFEWMINEPLIEITNQEKVEVDEYKETIRKMLVEAPEGSEQKLAEVTEAFSQPIRMTLPRVGARKYISIYENNDAHNHLLLKFAKLDFNMLQKLHQRELSDLTRWWKDLDFANKYPYARDRLVECYFWILGVYFEPKYSRARKMMTKVIQMASFFDDTFDAYATFDELEPFNNAIQRWDINAIDSVPPYLRHAYQALLDIYSEMEQALAKEFKSDRVYYAKYEMKKLVRAYFKEAQWLNNDNHIPKYEEHMENAMVSAGYMMGATTCLVGVEEFISKETFEWMINEPLIVRASSLIARAMDDIVGHEVEQQREHGASLIECYMKDYGVSKQEAYVKFQKEVTNGWMDINREFFCPDVEVPKFVLERVLNFTRVINTLYKEKDEYTNSKGKFKNMIISLLVESVEI >Solyc07g032260.3.1 pep chromosome:SL3.0:7:36565499:36573126:1 gene:Solyc07g032260.3 transcript:Solyc07g032260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIDVVGQNQEIVLKNLIQAVLDSPGFKKLSSFRSNFEELLQRSVDPPFEAIWVHSALRYRGFSSTENDLFKRLAAVKETLLMMLLEPSFPKASLLNSDDEKCLRNILYDAVVLVEYSFLNPEQMDQLSAKHVKNIIMARLLITGEAIELNRKQDQKKAISYTNAFSGSSLPPQIITWIRSKIGAEDKASEPSSSSPATFLRWILNIENGGIRIFDHNISKIRAKSLLDSMTEGFEQPALKDAKKKSENDILFYIDNKGEEDEEEGDEKMNESDSAAFVSAAHTMESAEPERRKRKGKDSEQKSRVKFLKYSLHENSGSKGKPSIADNDDSSSEGEVENPLTDEE >Solyc02g087020.1.1.1 pep chromosome:SL3.0:2:50209173:50209412:-1 gene:Solyc02g087020.1 transcript:Solyc02g087020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGTTKSLAIFLTFFLLVTCIQCRPITNHPNESINNSNSHNQRMKVHQLGGSSVSLTEAKNSGPSPGEGHNVVHNEHN >Solyc01g091080.3.1 pep chromosome:SL3.0:1:84674135:84682265:-1 gene:Solyc01g091080.3 transcript:Solyc01g091080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMIQNLLMIHNLNRIDKALHYDIRRGPHSIGSHVRDAAAYVCWAFGRAYCHADMKSILQQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFALSSRTNSYLHVAVCIAQYDGYLYTFVDQLLNNKICHWDKSLRELAANALSSLAKYDLGHFSSTVVGKLLPCTLSSDLCMRHGATLAIGEVILALHEREYVLPPDLQNQLAGVVLAIEKARLYRGKGGEIMRSAVSRFIECISSAQVQLTDKIKRSLLDTLHENLRHPNSQIQGAAVAALKSFIPAYIVPLESKGFNAITLRYLEQLSDPNVAARRGSSLALGILPFKFLCVGWKDILRKLCAACEIEDNPEERDVESRVNAVKGLVSVCEILTNTQDHSHLLSAEECISLYVFIKNEVMQTLFKALDDYSKDNRGDVGSWVREAALDGLERCTYILCKRGLKGVSSKSEQMELGSVPQLDETDVTNQMNFLFDENMATHLVGNIVKQAVEKMDKLRELAAKVLQRILHNKSIYVPFIPHRERLEQIVPDDADLKWGVPTFSYPRFLQLLGISCYSKYVISGLVISVGGLQDSLRKPSLNALLEFLQSTDENGNDSKEYNLSNDILWVLQKYKRCDRVVEPTLKTIENLFSKRIFLIMEAQTVVFCVGVLEALNIELKGSKDFSKLYAGIAILGYISSVPEQINIQAFSQLLTFLTHRFPKVRKAAAEQVYLVLQQNDALVPEDKLEKALEIISETCWDGDVAEAKEKRLELCAACKLDVGTFSKADVGTSRRVVEQAPTGDENAAYSSLVGSAGF >Solyc04g050010.2.1 pep chromosome:SL3.0:4:45039422:45041321:1 gene:Solyc04g050010.2 transcript:Solyc04g050010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTSEYSCPHHPCAACKILRRRCVEKCVLAPYFPPTHPLKFTIAHRVFGASNIIKMLQELPEDQREDAVNSMVYEANARIRDPVYGCAAIICQLQKQISELQADLVKAQVEIMNVQIQNTNLVALICTEMTQNQENNDHQQAYGNSNTCLEDNNLYAPWKSLWT >Solyc11g044450.2.1 pep chromosome:SL3.0:11:32949551:32951060:-1 gene:Solyc11g044450.2 transcript:Solyc11g044450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFILPQKKKSPSMGESTKSPSSASKYYGILEIPKSASLKDICKAYKHLVKKWHPDRNKSNQAEAVDKFRSINEAYRVLSKKKREEADLFKSDTAKTPKKSSKDEEDELQISSPTLLSRTTSRISPTVDFYTSMPACFTMSGTNTPTTPVSDQPPNNLSKVASKPIIFSQSTSRRKTQPIEKKLECTLEELCNGCVKKVIITRDVVATTGLIVKEEEVVTIMVKPGWKRGTKITFEGKGDERTGDIIFSIDEKTHPLYKREGDDLLLGVEVPLVQALTGCTITIPLLGRDEAMTMSFDDEIIYPGFEKVIPGQGMPKSKQESTNRGNLVLQFLIQFPLELSEEQRSEVVSILENHNS >Solyc04g015170.3.1 pep chromosome:SL3.0:4:5348455:5354345:1 gene:Solyc04g015170.3 transcript:Solyc04g015170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAGLDRFKKAQSLEPFAVSANSAAKSALQPTKPAIHSSPAYAQSTTSHQHTQYVNPQPALQKSVVADATSSIGPTHHVTHGGGQSTWQPPDWAIEPRRGVYYLDVIKDGEVLDRINLDKRRHIFGRQFHTCDFVLDHQSVSRQHAAVVPHKNGSIYVIDLGSAHGTFVANERLTKDSPVELETGQSLKFAASTRTYILRKNNEALFPPARLPAEIDLPPVPDPSDEEAVLAYNTFLNRYGLTRPDSLSKSTVSTSEKDANHSSERPTKRIRRRSVSFKDQVGGELVEVVGISDGVDVETEPGPVGVKEGSLVGKYESLIEITVIPKGKEHSSVKDVNVSQTGVTDKLKQVLNKVKNPPKGGIYDDLYGESIPSKVGSWAYSGIGQSASTNDAEGHSPGSLGRVSGSISSNVDDDTDDLFG >Solyc02g086970.3.1 pep chromosome:SL3.0:2:50173132:50178076:-1 gene:Solyc02g086970.3 transcript:Solyc02g086970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSQSLHLLRLNIISFSLMDSLLMQPQTFPTFDPRTGEAITTVAEADTEDVNRAVFAARKAFDEGPWPKMTCAERSCIMLQFADLLERHSDELAALETWDKGKPYEQAANEEIPMLIRLFRYYAGWADKIHGLTAPADSLHHVQTLHEPIGVAGQIIPWNFPLLMFAWKVGPALACGNTVVLKPAEQTPLSALYVSKLFHEVGLPPGVLNVIPGSGSAGADLASHMDVDKIAFTGSTETGKAVVGAAAKSNLKPVTLELGGKSPFIICEDADVDKAVELAHSAVFFNQGQCCCAGSRTFVHERVYDEFVEKAKARALKRIVGDPFKKGVEQGPQIDTEQFEKILKYIKSGTESGATLESGGEKLGSKGFYVQPTVFSNVQDNMLIARDEIFGPVQSLLKFKDVEEVIRRANSSHYGLAAGVFTQNIDAANTISRALRVGTVWVNCFNIFDAAIPFGGYKMSGHGREKGVYSLSNYLQVKAIVTPLKNPAWL >Solyc01g006565.1.1 pep chromosome:SL3.0:1:1134913:1139192:-1 gene:Solyc01g006565.1 transcript:Solyc01g006565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPQLQQSSQSTKTLIPYWKTKPLCLASIPINTLNNKNLRVKKKKNFITKAVVSSTENSSYVQSSNIENSTSGKAIVIVQRTVGGTNLSLTRGLDDIGDLFGRSLFLSIVAAELDPKTGVEKPTIEGFARRGRDVDGNREYEVEFEIPEDFGDVGAILIENQQRKQMYVKNIVIDGFVHGKVEITCNSWVHSKYDNPDKRIFFTNK >Solyc10g018610.1.1 pep chromosome:SL3.0:10:8801985:8802566:1 gene:Solyc10g018610.1 transcript:Solyc10g018610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDAKLKNEGKLATLKLPSGEVLLISKSCSATFVQVRNVRVNQKSLGRVGSKRWLGKRPVVRGVVMNPVDYPHGGGEGRAPIGRKTSTTPWGYPTLGRRSRKRQHNLFQKGLKGKIHKKYIDLFLQQHYGSKYSCIYCYCTIYSSSYRFSTYHLCKNSQPKRLIIN >Solyc11g007080.1.1 pep chromosome:SL3.0:11:1546609:1548777:-1 gene:Solyc11g007080.1 transcript:Solyc11g007080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein YIPF [Source:UniProtKB/TrEMBL;Acc:K4D4W9] MAKEFNVPPVVFPSGGNPGPQQRRLPTAPFQPPKSSNPGIPFMSFDVGSAAASTSFSTPQFASTTIGGGGSTGFEDEPPLLEELGINTKQIYQKTISIMNPFRVKADLHEDADLSGPFIFLMAFGLFQLLAGKLHFGIILGWVIMASLFLYVVFNMLAGRNGNLDLYRCVSLIGYCMLPIVILSAISLFLPGGLVIKVVTGVFVLWSTRVCTRLVVELASCGDEHRGLIALLDKLFLPYRLMDSAQVQLSHL >Solyc03g031420.1.1.1 pep chromosome:SL3.0:3:4004006:4005781:1 gene:Solyc03g031420.1 transcript:Solyc03g031420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKVSGIIHGCCPNPLLILLEPQNQQPLPKPKSTTASCRHTFAATTTSSFFPNTHFTNHESLPSYQESFAHFLKAYPKYSESRQVDKIRNQEYYHLSVSNHVCMDYIGIGLFSYSQVVPVTSSSSSSSPSSHEYPFFDISCKSVDLKYELLHGGDGSQLESCIKKKIMNFLNMSTNEYSMVFTANRSSAFKLIAESYPFKTSRKLLTVYDHESEALESMVNTSEKRGANIMSAEFKWPRLRINSAKLRKLIIRKKKQKKSRGLFVFPLQSRVSGGSYSYQWMSLAQENGWHVLLDACALGPKDMDSFGLSLIHPDFLICSFYKVFGENPTGFGCLLVKKSVVSMLEGSVSTGIVSLVPPTQVLDSSGSGDKTNFVTKLDELHICRSNSAEKDKIKEESDESISRLGKVEEKGIECRCLDHVDSLGLIQIGNRRRYLVNWLISALLKLEHPNRLDHFPLVKIYGPKIKFDRGTAMAFNLFDWKGERVEPILIQKLADRNNISLSHGFLSHLWFPDKYEQEKQRTLQGKKCDAENKRCEFGISVVTVALNFLANFEDVYRLWTFIAQFLDADFVEKERWRYSSLYQKTIEV >Solyc01g107080.3.1.1 pep chromosome:SL3.0:1:94619460:94622992:-1 gene:Solyc01g107080.3 transcript:Solyc01g107080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSIISTWTVKAAGTSQHHTDNCEIIELTPWDILALQIDYAQSGLLYPMPTGEQVRDVSKSTNTTTLIDHLRVSLSRALDFYPPFCGRLEAITEQSGTTSFVINCNNAGVLFSHAIAGGVTIRDIIESNCVPHVVRDFFSLNGVQNQEATSQLPCLAVQVTELVDGIFIGCTNNHVVVDGTSFWNFYNSWAELSRGSKIISKIPFLKREFPFKIDGFYPNRISIPNERINSGDKFIPPALQMLREKVFHFTKENIYKLKAKANHEMNTTKISSLQAVLAHVWRSVIRCRRLDHNEETTFEVSINMREKVNPPLPEGYFGNAIYPVTVTIKTGQLLEHGFGWAALQINESIASHDHEKLKCIYENWMKDPEIQKLDELPSNYFMLHNSPRFNFFKYDFGWGKPIAHRSGEGNMLDGKITVSPGVEEGSMIVEICLSSETIQALEEDIIFGEFVNTTPMVAVEPTIRARI >Solyc05g052640.3.1 pep chromosome:SL3.0:5:63701871:63711964:-1 gene:Solyc05g052640.3 transcript:Solyc05g052640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDALICGIAGAGAGIIAQLITYPLDTVNTRQRTDRNSKKMKKTLGTIEHMCQVVKQEGWDRLYGGLAPSLVGTAASQGVYYYFYQILRNRAETDALVRKKNGIGDGSVGMFSSLLVAALSGCVNVLLTNPIWVVVTRMQTHSRKDKDNHPKHEASQDEISVVVEPPPYGTSHTIQEVYGEDGISGFWNGVFPTLFMVSNPSIQFMLYETLLKKIRKRRASSNKGANDVTALEIFLLGAVAKLGATVVTYPLLVIKSRLQAKQDVGADKRHQYKGTLDALMKIIRYEGFHGFYKGMGTKIVQSVLAAAILFMVKEELVRCARWLLTARDVANSIS >Solyc08g079660.3.1 pep chromosome:SL3.0:8:63269292:63272817:-1 gene:Solyc08g079660.3 transcript:Solyc08g079660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAISTVAATSKSINQLNCRGTDSFLWTKNPLELKNLQCKWLGTPIKFSLQSKNFKQFLSKQCTIRANVSFVLPRGKSEPTVPIEKIPKWSAKAVKSFTMAELEARKLKYDTTGTEALILGMLIEGTNFASKYLMANSITLLKFREEAIKILGKGDVFHCSPKEPPLTEDAQKALDWAFDEKLKSGNGGEITTTHVLLGVWAQQGSPGYKVLAALGFNDEKAKELENIITDPGFVDD >Solyc07g007125.1.1 pep chromosome:SL3.0:7:1890397:1891132:1 gene:Solyc07g007125.1 transcript:Solyc07g007125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEKEDLEIVTNYSPIVQFGIWFFSSKKFPQYNSKGEYVNLQTRPAKDKTAASIEKFWCHPGKSSSYTA >Solyc07g062490.1.1.1 pep chromosome:SL3.0:7:65339014:65339907:1 gene:Solyc07g062490.1 transcript:Solyc07g062490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSWPTTLLASLFLFSQIFSCIALVPLENTFEFVNEGELGPYIVEYQADYRVLSVFNNPFQLCFYNTTPNAWTLALRMGTVRSESLMRWVWEANRGNPVKENATFTFGTNGNLVLADADGRIAWQSNTANKGVTGFKLLPNGNMVLHDKKGKFVWQSFNYPTDTLLVGQTLRLSGPNKLVSRASVKKNANGPYSLVVQPRLFAVYKGNKVDVELAWFDYGNNTLESIKLNSGNQRLKLDYRFAKSTQRSSHVMAFTKYNTTLTYLRLEIDGNLKAYTFVRDEEADEFRWKVTYSRI >Solyc09g074270.3.1 pep chromosome:SL3.0:9:66469232:66484876:-1 gene:Solyc09g074270.3 transcript:Solyc09g074270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDTKEINTNESKRVVPLNTWILISNFKLAYNMLRRSDGTFNRDLAEFLERKVGANSIPVDGVYSFDVVDRCTSLLNRVYKPAPKNECDWGKIDLDTPLSTSEIVPVIIFFHGGSFTHSSANSAIYDTFCRRLVSICKAVVVSVNYRRSPENRYPCAYDDGWAALQWVKSRAWLQSGEDLKVHVYMSGDSSGGNIAHHVAVQAAESGVEVLGNILLHPMFGGQNRTESESRLDGKYFVTVQDRDWYWRAYLPVGEDRDHPACNIFGPRGKTLQGLKFPKSLVVVAGLDLVQDWQLNYVEGLKKSGHEVNLLYLKQATIGFYFLPNNDHFRCLMEEITSFIHPNHS >Solyc12g049443.1.1 pep chromosome:SL3.0:12:62005129:62013977:1 gene:Solyc12g049443.1 transcript:Solyc12g049443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLVGVNATEIGALKVMEFLKKQLEDHNIYYGRYFTDKALREEAMGSSTAANFLHSCKMSCYVAQDYEAELSSKDSKLILVLPDDSNITLSVECFRTGEVLFRPYLARIKHNDYGRYMSINDLNAGEDLYHHSRTRLKCWMRYGDDKQIRQARGWANKQKKSFGVNIYELYGNMFLFELSNKFMLEQTLQGQWKWENLVFNLEWWIPTLVFAEIGQLCGGWVAIEEDTKPYEWARILVANDGRNISKEVSIMRDEINGLEPASLVFFNNITISTQPIFREDWSLKDTESQFCMEHRTKQSNIQEMSIISSSDVLIDAVLQTTDPKSQILIRDGMEKACLEASGTRGGIVMLWDGRIWKGE >Solyc07g053670.3.1 pep chromosome:SL3.0:7:62244676:62247554:1 gene:Solyc07g053670.3 transcript:Solyc07g053670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAARSIFRSPSLRNAASRIASEAKAARSPLRTPSKSSLSHRIFRCPSEMSACLESLQPYHTVTASALMTSMLTDSLRSYSWLSEGI >Solyc11g039400.1.1.1 pep chromosome:SL3.0:11:45295470:45295772:1 gene:Solyc11g039400.1 transcript:Solyc11g039400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAHHLVFIKHRLLASPLYCTQRQTNVGHGLPECVVAYTLLNKIFLWTAYIDCAWENSKLSFAYGRQHCPPLAQIRLVMSANERLHQLRPIRVRPAVYT >Solyc11g072490.2.1 pep chromosome:SL3.0:11:55975376:55981331:1 gene:Solyc11g072490.2 transcript:Solyc11g072490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTPKARNSSSEVPQKKSPRGNSSEVPLKISPRAVRSREAPQKISPRGVPQDVPHKISPRVVRRLKTGASDSDSASSPHVNGRTPKERRAKVAEQKSPKSLESEKKGASKVTELESQITQLKNDLKDKLNSSEACKKQTEVEAKESKEQCAVLSSEPDQSPKQLVNQPSSECTCPTDHQKSSEDLTLRSELETIQKQHSADSASLASALNEIKELKTQLETVAISEATKIKDAEAAQAELQSLKQNLSDTLLLLEDMKKQLKDSKDSEAQAQALVTETLLQLESAKKIVESLRSDGNKVVEAYNALSSELDQSKARASSLEDLVCKLQSGTGNVGESEIVEIDESKETLEAELSSLKSEVQRLRADLKAADIKFNEEKNRSTLEMRSAYELVEQIKSMSKQREDELQGELQNFKTQIEELKANLMDKETELQGICEENENLIMKLENARSGKGENVLEKELQRSRLDIETLKANLMDKETELQNILEENEILKSETDKKESNRGKVKDEVAAELEAANTAEREALMKLGYMNEEVDKSNRRVARVTEQLDAAQASNAEMEAELRKLKIQSDQWRKAAEVATAMLSNGNNGKFMERTGSMDSPYSPCKISSPYFEDMDEDLMKRKNPNMLKRIGELWKKPLK >Solyc08g062657.1.1 pep chromosome:SL3.0:8:51724119:51727329:1 gene:Solyc08g062657.1 transcript:Solyc08g062657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVLFDYLDDFVVIYRDDILRKYTLYVKMEKCEFAQQEIKLLGHIVSKNQVQIDPKKVQAFVDWQALRNVKDLRLFLGLANYYRKFIVGYSKRAATLTDLLKKDAKWIWIVRYKEAFKNLKEAITSEPILKLPDFELPFEVHTNVSDKAIGGVTLIS >Solyc08g028740.1.1.1 pep chromosome:SL3.0:8:41231771:41231947:-1 gene:Solyc08g028740.1 transcript:Solyc08g028740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKLNVNKFRNNTFLLPLDILELTNHFIGLKFCIATLNDMNHLKNIYFRSVGDLLQE >Solyc08g066610.3.1 pep chromosome:SL3.0:8:55431397:55432638:1 gene:Solyc08g066610.3 transcript:Solyc08g066610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKIILFLFLFILVSSSLPLLRGSGIQALIPHSQRALHYEGGGKRWVKKNMRRLMIGSVAPTCTYNECRGCKYKCRAEQVPVEGNDPMNSPYHYKCVCHR >Solyc07g016060.2.1 pep chromosome:SL3.0:7:6215339:6219308:-1 gene:Solyc07g016060.2 transcript:Solyc07g016060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECLYVPLMYQQEMVHDINDKALLIFQENYTSNNISIIIDIAHCIPQTIANIYQHHDHEEANEDEIGLIKEQVAMDLMTLEETRVFAPVNPTSKDAIEGLEKVKIETLNGDKGFGET >Solyc05g021105.1.1 pep chromosome:SL3.0:5:26374261:26375908:-1 gene:Solyc05g021105.1 transcript:Solyc05g021105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNGAPQASGDAYTKTSLENIKRQLASGSGRNLLQGPLLKRSETWGRGVIGGSCGEGRAPCCQTLEIIFLLQFGQLKETIEFFMANTHFASVSKCAYCGLTFFPKCITF >Solyc09g011305.1.1 pep chromosome:SL3.0:9:4647381:4652815:-1 gene:Solyc09g011305.1 transcript:Solyc09g011305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRCKKQRKQKLSQRGADTIKQETDERKWRGNKHPFAEFWNLVNGKVSKFSFVLHSRERQKYIDVNCQRRKEEQQFWRLCYIMLSFNIVWMVQSIVFFDVAAFSSNNLRYLQISHSSKPRSQGDKTSGTGGCTCDGGYGGV >Solyc12g019540.2.1 pep chromosome:SL3.0:12:10619403:10623003:1 gene:Solyc12g019540.2 transcript:Solyc12g019540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSLQISLPFSSFSLLSTTFLSPLSRSNLHISSSSLLSTASPFTFLGFYMSLFPSQLLHLQYWKKILSSKVAQKLFIIVD >Solyc04g058130.3.1 pep chromosome:SL3.0:4:55177324:55179038:1 gene:Solyc04g058130.3 transcript:Solyc04g058130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEPKVRKIDGESPRSCFIRPPKRRATSAIAAQTSNISPKQLRDRNSAVAQAQQDGCTGNFRIFDSPFGNFLVPVIPTPAELAGSDNPSMLCKGD >Solyc11g020720.1.1.1 pep chromosome:SL3.0:11:11955965:11956489:-1 gene:Solyc11g020720.1 transcript:Solyc11g020720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDSDDGNFISALGAFKCRLLYANVSYDHMVGWRTSSIRRETELCKPPRRSLDGYKHVVDMEYCSAVPSEGPHFPPEAAKAKEAAQNAPSMQNTLEYHEIMEEEMIRGLQQVSWKKVDVSFHSAFWPFSAHNNIHVKNEWFHNAGAGVIAHVADHIKQQEKQQECSLFITASL >Solyc01g105190.3.1 pep chromosome:SL3.0:1:93350914:93357086:1 gene:Solyc01g105190.3 transcript:Solyc01g105190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLLMRVTTYQKMKMRIWSVLLNSLFKNLRQKKYTQAIQSIPRMMK >Solyc07g045420.3.1 pep chromosome:SL3.0:7:58633427:58645063:-1 gene:Solyc07g045420.3 transcript:Solyc07g045420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMLASQSCYCRRGELINHGSNANNLSFSGSISILFLSKFDRESGKSPRKSHRLKVQMQQTESPEKVGINGRPVKMVPTSEVTKRIAPSANGSTVVNGSTQRINGTNLAKRVATPLPAKKQKSKELLPAEDLKVLPSDEGFSWANENYNSIQRSIDVWSFVLSLRVRVFLDNAKWTYVGGFTEDKQKVRRRGTASWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPERAKEFIKKELGIPVDILYKEFEDQPIAAASLGQVHRAILHSGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQKSETLGGPTRDWIGIYEECAKILYEEIDYINEGKNADRFRRDFRNIKWVRVPLVYWDYTATKVLTLEYVPGIKINQLDMIDSRGYSRSRIASHAIEAYLIQILRTGFFHADPHPGNLAIDVDEALIYYDFGMMGDIKNFTRERLLGLFYSVYEKDAKKVMQGLIDLEALQPTGDMSAVRRSVQFFLDNLLNQRPDQQQTLAAIGERKTMQDLFAIATDQPFRFPSTFTFVLRAFSTLEGIGYILDPNFSFPKIAAPYAQELLDLRQQQRSGPQLVQEIRKQANDARTSTISMPYRVQQIEEIVKQLESGDLKLRVRVLESERAARKATILQMATMYTVIGGTLLNLGVTFTSQGSQMFANGSFIGAGVFLTLLIRSMQRVKKLDKFEKMI >Solyc06g054050.2.1 pep chromosome:SL3.0:6:36999948:37003900:-1 gene:Solyc06g054050.2 transcript:Solyc06g054050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIGYNSDDEYSTITDKGDIGFVDFDKYKSAYSYNPDEESDIVVISVPFPLIEGKPKSGFVGETVVDSITIENTTNETVELWSIKIYDSKPEDSFTLSLMKPPTACSDLQYVEEFMESFSLEDRMLQPGRPLTVWLTCKPKEIGLHTSAVHFNVGDDNIERLVFVLAEDNVSQSLASSRPFHRNRKKKAPAVDAGFVGGSRPTRDSCSIFRHKLPSYSIPRDVREMMEKRKFPDVIGEGLSRDNYVAYFRTLLSIEEIKMEEDMRDYDMQFVTMKHRGQHFLSLHVPGLAERRPSLVVGDHIFAKLATAYASEIITPYQVFSVAVLALLMGCEPGSLRHGYIHRVEAEDVYLKFDKEFHDNHVGRNLYNVQFAFNRVGVRRLHQAIEATESLDGEILFPSVISSTRNIQAAVLAPRNSSMLNKEQTSAVEKILGCEGGAPYIIHGPPGTGKTRTLIEAIIQLHIMRKDARVLVCAPSNSAADHILEKLVSQQNVEVQEHEIFRLNALMRPLDDVNPSCLRFCNVEDNGFKCPLLRELRRYRIIISTYASAFLLYAQGIKRGHLSHIFLDEAGQASEPDTMIPLSHLLSKETVVVLAGDPRQLGPVVFSKDAERYGLGRSYMERLFECELFGSLNENYATRLVRSYRCHPAILKLPSEMFYGGECMSIPCKEDDETWVDLLPNKEFPLLFIGIQGCDEREGNNPSWFNRIEASKVVEIIRDLIQNKGLKEEDIGVITPYRQQALKIRRALIQSFDWADIKVGSVEQFQGQERRVIIISTVRSTIQHNDFDRIHYLGFLNNPRRFNVAVTRARSLLVVIGNPHIICKDHYWNQLLWYCAENGSYKGCFLPEKVEIAQDDSEQANNWDQDQWGYVNNSDQEWGRVNNWDQDEWGQAKNWNDDGNEEKQILQPASVHYTSVPVMDEAEWSDGWK >Solyc11g008350.2.1 pep chromosome:SL3.0:11:2551388:2567663:-1 gene:Solyc11g008350.2 transcript:Solyc11g008350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSGATAGRPSSSHRSERLPPNHGSNNHGSGRNGVANFSVNNQQQQQPSQNLRSKNVSANSRRSVTPTSRNRSPPQENDPEPGRVRVAIRVRPRNAQELSDADYADCVELQPELKKLKLRKNNWNSEFYKFDEVFAESASQKRIYETVAKPVVESVLNGYNGTVMAYGQTGTGKTYTVGRLGKDDVSERGIMVRALEDIIVNTTPSSDSVEMSFLQLYMESIQDLLAPEKINIPIVEDAKTGEVSVPGATVVKIQDLDHFLQLLQIGEANRLAANTKLNTESSRSHAILMVNIRKSVKNDEETDSSFQEKDSKTDRHGNQMPIVRKSKLLIVDLAGSERIDKSGSEGRLLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSSRHYPETTSTIMFGQRAMKIVNTVKLREEFDYENLCRKLETQVEHLTVEVDRQQKFRANDRMAMEKKLRECQKSFTEAERSIVARSEVLEKENSRLVSDMEKLLEELNFQKQQINSMKNENLKLESDLKNNKLLEKENGRLKLELENVLKDIIRDKNHKKLLQDEVARLEMSLKHSKQQQSENSSYQKVLAENTQMHEKKITDLMKQLQDERTRSESAEQQLELTKEQLPGLQELIQHHQKEASMYQKELADTTLMYEEKIAQLEQQLKEEHARVENAKEQLHAIEEQFTDHEASTKIQREKESDALRSKLEEMHHLYERTVKELQALKTEYQALLSEKIELHDELHNVRQTLLMEEKQRKAAENELFNIKKFVPESEDGFEEKKSYVKQYTPSRSFNMHRSTESRERIFAHQNTMSKIIEEVGVQKIISLLSSVDLDVQIHAVKVVANLAAEDSNQEKIVQEGGLDALLMLLQSSQNATILRVASGAIANLAMNEMNQELISSKGGAQLLANTAVKTEDAQTLRMVAGAIANLCGNEKLHTKLREDGAVKALLEMARSGNIEVIAQVARGLANFAKCESRGTIQGHRKGRSTLMEDGVLKWLTTNSNNAASSTRRHIELALCHLAQNEGNARDFVSSGALDEIVRISNESSREDIRNLAKKTLKLSSTFKAQIKA >Solyc12g089270.1.1.1 pep chromosome:SL3.0:12:65365570:65366016:1 gene:Solyc12g089270.1 transcript:Solyc12g089270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSCNKIRRIVKFRQMLQRWRKKAATTSSSRRHVPKDVPTGHVAVLVGPNYKRFIVRTTYLNHSMFKKLLAQTEEEYGFTSSGPLVIPCDEYLFEELLRHVARFDYAKNNNNIMKHFEDFQRYCHVDIRSDIDFLGDSRPLLYNKSVC >Solyc09g083290.3.1 pep chromosome:SL3.0:9:69392019:69395016:1 gene:Solyc09g083290.3 transcript:Solyc09g083290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPW2] MDLKETELCLGLPGGGGGGGELIRDNNNNNNKVNGKRGFSETVDLKLNFHQASDDISCAMENNKMKSSVTTTKEVVCNKDPIKPPAKAQVVGWPPVRSFRKNVMAQKSNTEESEKTTAAFVKVCMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTNGNYGSQGMIDFMNESKLMDLLNSSEYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRI >Solyc05g041230.1.1.1 pep chromosome:SL3.0:5:51609127:51609423:-1 gene:Solyc05g041230.1 transcript:Solyc05g041230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTLNSMWRFYHVETLLNRNLALDGRDQEATASALWVGNDRLINLSGKLLGAHVAHAGLVVFWAGAMNLFEVAHFLPEKPMYVKGLILLPHLATLGWG >Solyc04g072170.1.1.1 pep chromosome:SL3.0:4:59271671:59273395:1 gene:Solyc04g072170.1 transcript:Solyc04g072170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVDSLLSKCTCFSKLKQLQAHLIITGNFQFYTCRAKFLDFCAVSSAGNLPYATHIFRHITSPFKNEWNAIIRGLAQSHKPIDALTFYVSMSRSLCKPDALTCSFTLKACARALARSETPQLHTHVIRFGFDADVLLRTTLLDAYSKSGDLDYAYKVFDEMGVRDIASWNALIAGLAQGNRPTEALLLFKKMREEDMEPNEVTVLGALSACSQLGANKEGELVHEYIKSKNLDCKVIVCNAVIDMYAKCGVVGRAYEVFSEMKCLRTRVTWNTMIMALAIYGDGEQALELFERMGQAGIDPDSVSYLAAICACNHAGMVEEGMKLFDDMDRCGVSKNVKHYGSMVDLLGRAGRLEAAYKIVQSMPTFPDVVLWQTLLGASKTYGNVEMAEIASKKLVEMGSNHCGDFVLLSNLYAAQGRWHDVRRVREAMKGQDVKKVPGFSYIEVGGTIYKFMNGDKNHPKWNEIYWKLDEVSLRIREYGYVAETNYVFHDIGPEEKENALFYHSEKLAVAFGLISTPDRTCISVNKNLRICGDCHVVIKLISKIYEREIIVRDRTRFHRFKDGACSCKEYW >Solyc08g005665.1.1 pep chromosome:SL3.0:8:519328:530845:-1 gene:Solyc08g005665.1 transcript:Solyc08g005665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKYVMLLTIVDDHFESFASKDECFNIIELVERWDDYASVGYKSEKVKVFFSVFYKSIEELATIAEIKQGRSVKNHLINLWLELMKLMLMERVEWCSGKTIPSIEEYLYVTSITFCAKLIPLSTQYFLGIKISKDLLESDEICGLWNCSGRVMRILNDLQDSKREQKEVSINLVTLLMKSMSEEEAIMKIKEILEMNRRELLKMVLVQKKGSQLPQLCKDIFWRTSKWAHFTYSQTDGYRIAEEMKNHIDEVFYKPLNH >Solyc09g055185.1.1 pep chromosome:SL3.0:9:37658107:37660232:1 gene:Solyc09g055185.1 transcript:Solyc09g055185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVERMKTQTSRHPNPYMIQWLNETGEMKVLKQSSIRISAGKYNEEFIFDVVSMLACNLLFVRPWKFDKDFVYQGRPNKYAFLIEGKKYVLVPLTQYQVSEYYRRYDELFPDEMPTGLPPSRGIKHQIDFISGSQIPKRPAYRSNPAETKELSWEVEEILEKGVVTKITVKYLHPIPRIDNMLGEICGSIVFSKIDLRSGYHQISMKPGDEWKTALKRIFGIYEWLMMPFVLTNAPKHFHKIDEPLCFDDILLYNKKMEDYVSHLKQAANWIEVDEGKVESINTWPTLMVEHVYPSAHGESYLSRGLIVVV >Solyc01g104345.1.1 pep chromosome:SL3.0:1:92632396:92635802:1 gene:Solyc01g104345.1 transcript:Solyc01g104345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPPHDFCKAIRMCGYTIKPFPLKFAMDHNVIVDINCILWGSSSSFMLPSGMLKQTGPEIHLEDSLSLATLDLTKVKDLINNKKGNSYTHSVPEDKQVITSSNHSYDLKQFSISFNPINLKGHSYQPKGKKGRLNAEILLLKLLR >Solyc04g082860.2.1 pep chromosome:SL3.0:4:66464006:66466706:-1 gene:Solyc04g082860.2 transcript:Solyc04g082860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCAPDILVFEGVPPYYLVQSAISLEVKSLWYRFTCHNFSYLLGLDTEVCLLNRCTLLISPGILHLNLIGTRMYQGRVEKSHHIVVLADQGQGHINPMLQFSKRLASKGIKITVATTLSNAKSMKATLSYSMITFESIYDDCSQGGVLGPGGFKGFLDRFQASGSTNLTRFILDHEHPVKCLVYDANIPWASKISTQLGIAGAAFFTQSCATAATYYPMYCEVYEKTPLSMLSFPVVTRLPKLRFPDLPSRTGRYPPIIIHILGQFDNFGKADWVLFNSFDKLEEEVGTPYLIFQWMKKVWNVVTIGPTLPSFYLDKRVENDNEYGFNIHKPNYSNCMEWLDSKKTGSVVYVSFGSAANLCAEQITELADALRQSNIMFLWVVKPDEQSKLPSDFSKETSGKGLVVTWCSQIEVLAHHAIGCFISHCGWNSTLEAISFGVPILAMPQILDQIINAHFLEKVWSVGLIAKANEDEKGVTASEEIYRCIREVLEGERGEEIRKNITSLKELAKEAIDTSGSSDKHIDDFISQLDPAYLRHRSNYN >Solyc10g078880.2.1 pep chromosome:SL3.0:10:60671567:60675600:-1 gene:Solyc10g078880.2 transcript:Solyc10g078880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit beta [Source:UniProtKB/TrEMBL;Acc:K4D2C9] MNMDCDATMSSPRNNLNNGIDKQYLASPIKNSVDKYQLIPEFLKVRGLVKQHLASFNYLVKTDIRKIVRANHEIRSTRDPNIFLRYRDVRIGEPSMTYDGVREKLSPQKCRLADLTYAAPIFVTVDYTMIRNGQISMATQKDVVIGRMPIMLRSCLCVLYEKDEEQLAKLGECPLDPGGYFVVNGREKVILIQEQLSKNRIIIDTDRKGCVQASVICSTEKTKSKTIIKMEKEKVYLELNMFKTKVPIMVVMKAMGMESDQEVVQMIGRDPRYSALLLPSIEECADLKLYTQHQALEFLENDKMFRMPSYSTGPVEKGARALSILRDMFLAHVPVNQHNFRKKCIYVAVMMRRMMEAILNKDAMDDKDYVGNKRLELSGQLLSLLFEDLFKSMNDEARREFDAYSKPSDLLYHVKRSNRITVELQRALSTGNWDIKRFRMHRKGMTEVLARLSYIGTLGYMTKIKPQFEKSRKVSGPRALQPSQFGMICPCDTPEGEACGLVKNLALMTHVTTDEDERPIMSLCYDLGVEDLEQLSAAELHTPNSYLIILNGLILGKHRSPQRFANGMRKLRRAGQIREFVSIFVNDKQCCVYIASDGGRVCRPLVIAEKGVSRIKELHMNELRDGVRDFNSFLRDGLIEYLDVNEENNSLIALYEKEATPDTTHIEIEPFTILGVCAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLNRMDGLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKSSLDRGFGRCIVMKKHAAMCQKYKNLTSDRLIKPQRQGYEAERILDDDGLASPGEIIRNGDIYINKESPTVTVATVTSPMDLPDSAYRLSRQTYKGTEGEPTVIDRVALHSDENNNLSIKFMIRQTRRPEIGDKFSSRHGQKGVCGIIVQQEDLPFSERGICPDLIMNPHGFPSRMTVGKMIELLGSKAGVSCGRFHYGSAFGEPSGHADTVDAISETLVKHGFCYNGKDFIYSGITGMPLQAYIFMGPIYYQKLKHMVVDKMHARGSGPRVMMTRQPTEGRSRNGGLRVGEMERDCLIAYGASMLIHERLMLSSDPFEAQVCRKCGLLGYYNYKLKIGICSMCKNGENMSTMKLPYACKLLFQELQSMNIVPRLKLTEAV >Solyc07g056020.3.1 pep chromosome:SL3.0:7:64046313:64055297:-1 gene:Solyc07g056020.3 transcript:Solyc07g056020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSMASLVSLGSVCGCSSGQFEGSFSLVRRVSFSKNFGSVNRIWGGKRWRYVSVCRYSVTTDFVADQGTSISLESSSSSNKDDDADLMLKPAPKPQLKPGPRPGPVLGNGPVLSSNSDGEKRNPIEEERSKVIESLGEALETAEKLETNRKTNVSVNKASASARTTQRNSKTVDSDDSSNRKSKTLKSVWKKGNPIAAVQKVVKPPPKQEPMTDGGRNSESQSVAPIKPPQPPQKVQPQLLARPSVAPPPPIIKKPVILKDVGAAAKSPPSDGVESVGKTKELEAAGKTKERKTILVDKFASKKSAVDPVIAQAVLAPPKFGKSAPPGKFREEFRKKSGVSGGQRRRMVDDGIPDEEASELDVSLPGRARKGRKWTKASRKAARLKAAQESAPVKVEILEVGEEGMPTEELAYNLATSEGEILGLLYSKGIKPDGVQTLSNDMVKMVCKEYEVEVIDAATVKVEEMAKKKEIFDEDDLDKLEDRPPVITIMGHVDHGKTTLLDHIRKTKVAASEAGGITQGIGAYKVQVPIDTKSQICVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKVDKDGANPDRVMQELSTIGLMPEDWGGDVPMVKISALKGENIDDLLEMVMLVAELQELKANPQRNAKGTVIEAGLDKSKGPVATFIVQNGTLKGGDVVVCGGAYGKVRALFDDKGKRVDEAGPSMPVQVIGLNNVPFAGDEFEVVESLDIAREKAEERAESLRSERLSEKAGDGKITLSSFASAVSGGTGLDLHQLNIILKVDLQGSIEAVRQALQVLPQDNVTLKFLLQATGDVSASDVDLAVASKAIIFGFNVRTPGAVKSYADNKGVEIRLYKVIYDLIDDVRKAMEGLLESVEEQVPIGSAEVRAVFSSGSGRVAGCMVTEGKVVEECGVRVTRKGKAVHVGVVESLRRVKETVKEVNAGLECGIGVEDFDDFEVGDILEAFNSVQKRRTLEEASASMAAALEEVGRGL >Solyc07g040667.1.1.1 pep chromosome:SL3.0:7:49460481:49460894:1 gene:Solyc07g040667.1 transcript:Solyc07g040667.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTFTAGFTLRAGVFESDKKGGMIILLTRRAFHTFVVSDIIALTFSVFVYFYIATITISELQVIVQLYNNATLLQLLLMSAGVVSLATGMYATLSHSLGLVVTVCVISVISYYLVCYMILLPKTRSTENHLNRSII >Solyc05g024377.1.1 pep chromosome:SL3.0:5:31376359:31376598:1 gene:Solyc05g024377.1 transcript:Solyc05g024377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKSIEESQVALAFSSKNYSMWYLDELVNIMDSKTKYGQTVIPTFYDVDPSEVRNKKESFEEAFAKHESNYKDAVD >Solyc12g009500.2.1 pep chromosome:SL3.0:12:2764715:2774336:1 gene:Solyc12g009500.2 transcript:Solyc12g009500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSRANLLEEAVGINKGGRVVAVEDCVETSGAFVLYHFLKRSLHPDSSDVVIFIAFSHPFSHYERILRKMGCNLTVHRKNHRFVFLDMLTLECPDRNGKERRQDGLLALYGEIEKAVEIYSSLEGSRTITIMIDDVSLIEVAANGSSNHVLDFLHYCYTLKAKYGCSFVTLNHEDIYSSANMLPLILQPEYFADVIIKAEPLATGLASDVHGQLTVLNKGSVCDLGGSSSKVRNFHFRVKENIVDYFYPGTQT >Solyc07g006290.2.1 pep chromosome:SL3.0:7:1074621:1083642:-1 gene:Solyc07g006290.2 transcript:Solyc07g006290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFETYKPTIVMIALQFMYAAITLCTRVTLVQGLSARVFVVYRQTIAFLLIAPIAFGPRRKTGNSICLGWKSFWLIFLASLVGVTINQNIYFSGLYYSSSSIASATGNLVPAFTFLMAWVMGLEKVQMKSLRSIAKVIGTILCVAGAVAMALIKGPKLLNSQFIPTNGLLLLILGKENSDNLDSNWMLGVILLIASAICWSFWLILQCRIFDRNSIDIGVTHVTLSSNCPDHLCLTAWLCLFAAIQSGFATIFIEPNINSWKINSSLELISCLYTGFSSAVSFFGQAWCISHRGPLFSAMFNPLCTVIVTIFASTFMKEELYTGSLVGSLAVIFGLYVVLWGKSKDKKEENIIVDKEPVKQHNIQETTIIIQNSNLDLITSCKIDLEEPLLTKISTNNEDDK >Solyc12g042140.2.1.1 pep chromosome:SL3.0:12:58084176:58084773:1 gene:Solyc12g042140.2 transcript:Solyc12g042140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNTSDDMPEKEVNRAAKILMQMAKTKTCTSFNLGERSKESRAVNSLEVIHPCNEEIKACFRKEEALRYTQPETTFSYTAVDGHKSIVALLKRWRQTIKKDSSS >Solyc11g061812.1.1 pep chromosome:SL3.0:11:48841994:48842869:1 gene:Solyc11g061812.1 transcript:Solyc11g061812.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKNKVIFIMGATGTGKSREIKQDSDFTAEDFCLQAIIYIEKLLKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLNCRIDIRVDKMVKAWLVDEITPKESDGPLGSLKWTDI >Solyc09g059630.2.1 pep chromosome:SL3.0:9:55177453:55185243:-1 gene:Solyc09g059630.2 transcript:Solyc09g059630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDASEINRSLVSELEAMGFSEAQATKALCSSGNSSLEAAVNWIVDHEDEANNDEMPMVSVDIIETPTPTFDSEQAKLKAQELRYDFISKFHEEERIRAGKERLATKRMAEENERKRFEAQRKTEKEEERRARERIRQKLQQDMADRRARLGSSFNSGTSSKFIKTSEPETKNPLKVDSAALSGNLITNKEVFMECLRSLRRQHKEEDVKVQRAFKTLLVYVRNIVSNPDEGKFRKIRLSNPAFQARVGIFKEGVQFLQLCGFERAEEGDLLVLRSNKVNMELLRSAGMVLHSAITNPFFGLLSK >Solyc01g087460.2.1 pep chromosome:SL3.0:1:82300980:82303599:1 gene:Solyc01g087460.2 transcript:Solyc01g087460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSDFVRAKRGKSGDSGIVKIKRTSTPDDVASVLLKEREGHGADKETDSTKKQKKQQRRVSHGFHLVEGKMKHGMEDYLVAENRKMDGHDLGLYAIFDGHSGRKVAEYLQSHLFENILSEPDFWRKPVTAFKKAYKSTNNYILENVVGARGGSTAVTAMLIDQKYLIVANVGDSRAVLIRKGKVKQITIDHEPQKKEEKEVVESKGGFVIKMPGNVPRVDGQLAMTRAFGDAKVKDHITVEPSVTIEKIDNDTNCIILASYGLWKVMSNEEVAKCIRGIEDGKKVAEELINEALAKKSRDDISCVVVMFHS >Solyc01g088760.3.1 pep chromosome:SL3.0:1:83390261:83395093:1 gene:Solyc01g088760.3 transcript:Solyc01g088760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQQLLIVLCGSVVLSFAYLTNFLLLHPRKLRSKLQSQGIKGPSSPSFFLHGNIKKQATPEENNNQELEQNKHTWPFRVFSHIKQWQIEYGSIFMYSSGTTQILCVTDADMVKEISLNQGKPSYLSKDHGPLLGQGIFSSNGPYWAHQRKIIAPEFYLNKVKEMVKLMVESTSKMMESWDERTRNSEGKSEVKVDDDLKSLTADIISRACFGSSYAEGEQIFLKLQTLQMVMSKVPIGVPGLRHIPSKHNREIWRLDKEIKAMILKIVRAQRSPTYEKDLLQVILDAAKSYEENGGDWLPADVAAEMFIVDNCKSIYFAGHENTGLTASWCLMLLAAYPEWQARARAEVLDVCGSKLPNDSMLRQMKVLTMIIHETLRLYPPVAFVVREALQDISFKRIEIPKGTNIEIPIPILHQQPELWGPDAYKFNPERFAKGIAKACKVPSAYIPFGIGSRTCVGQNFAMIELKVIVSMILSRFTFSLSPGYQHSPVSRLVLEPEHGIYLYLQRV >Solyc04g018063.1.1 pep chromosome:SL3.0:4:9361329:9363863:-1 gene:Solyc04g018063.1 transcript:Solyc04g018063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSAPTISRFLLCAVFFWQFVIFPTLINFSSSMSNGIFNVDGDIWKYQRQVASHEFNTKSLRKFVESVVDVEHGYLVSFSKLEMVVTL >Solyc03g114910.3.1 pep chromosome:SL3.0:3:66254682:66262435:-1 gene:Solyc03g114910.3 transcript:Solyc03g114910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein [Source:UniProtKB/TrEMBL;Acc:K4BKZ3] MEVCLCSISRSSINKFGSYAILIALLFSLMCYTSTDAYDTLDPNGNITVKWDIKSWTTDGYMAIVTIYNFQMYRHIEAPGWQLGWTWTKKEIIWSAVGGQATEEGDCSKFKENIPHCCKRDPSIVDLLPGTPYNQQISNCCRGGVISSWVQDPENAVSAFQLTVGLAGTSNKTVRLPKNFTLQTPGHGYTCGPAVKGKPTKFLTPDGRRFTQALMTWNVICTYSQFLAQRTPTCCVSLSSFYNNTIINCPTCTCGCQNNITQPGTCVEPDSPYLASTLLSSGKDDDSPVVRCTKHMCPIRVHWHIKSNYKAYWRVKITITNFNYKMNYSQWNLVVQHPNFENLTQIFSFNYEPLLPYGSINDTAMFWGIQYYNDQLMQAGPSGNVQSEIIFQKDKSKFTFEKGWAFPRKVYFNGDNCVMPPPDAYPYLPNASAYSRLTLLHSLAGFLASLVLLYSHNL >Solyc07g007990.3.1 pep chromosome:SL3.0:7:2685291:2690969:-1 gene:Solyc07g007990.3 transcript:Solyc07g007990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIESSSMNEPQNLPIFSKKNPNTAMTKKGVYVAISYMACAVLLVIFNKAALSSYKFPCANVITLFQMLSSTLILYVLRRWKVISFTVQDSHTVAMRTADLVPFKKVLHCTPVALSYLLYMLVSMESIRGINVPMYTTLRRTTVFFTMMAEYFLARKKYSSYVVTCVGIIILGAFVAGARDLSFDYYSYTVVLISNITTAVYLACISSLGIFIYPSLLDQMPLLEFCERVFLIYPDIFYLGESSGLNSFGLMWCNGIICTPILLLWTAYSGDLDATINFSYLYTIGFQAVIVLSCALAFLLNYSVFLNTTINSALTQTVCGNLKDLFTVGFGWLVFRGLPFDLLNIAGQCLSFLGSCLYAYCKLKGI >Solyc10g008080.2.1 pep chromosome:SL3.0:10:2215857:2216300:1 gene:Solyc10g008080.2 transcript:Solyc10g008080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTALISICIVIFFISRISDLTSVVSQVNPPKNGLDPTIIASLPLFLYKRNDHDNDIIECSICLSIIEDGELVRVLPNCKHNFHVECIDKWFNYHSTCPVCRTEAESRLLPEPREGVVNHTPP >Solyc01g100280.3.1 pep chromosome:SL3.0:1:90230068:90235935:1 gene:Solyc01g100280.3 transcript:Solyc01g100280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKGLGLGHSITDAPSPTQERRRLSKTSGIKLRNTIFVVRKEVLKLILKSQMKAREKQRRPPLRRPRKAEIEDAEEMQDEDLELEKVRLISLALECGFDEDSAKTCLNRLVELYGDDGRDFINVELCGDEFLALLAESMQDTEDWDDLQAIESEACGALADMLGKDTREDCEVDCDEDSGAYVHVIEDSPQQQRHAKTVLLDSSSESEEMGIRFASKEDLPSTSKIRRDRIHQGMTPQSGCRASTLMDYMSVFTEGSYSSVSPEMECPLESSHGDRTLSYEELQRLDDIELANVVVFGNRSFRPLQHQACQAFLQKRDCFVLMPTGGGKSLCYQLSAIVQPGVMIVISPLLSLIQDQIITLNLKFGIPATFLNSQQTQSQTAAVLRELRHGLPTALSAGLILFPVAPDKKNLLALNTCKKDVPSCKLLYVTPERIAGNLSFQETLECMHRKGQLAGFVIDEAHCVSQWGHDFRPDYRVLGCLKQNFPVVPVMALTATATHAVRQDILSALRIPRALVLETSFDRSNLKYEVTGKSKEPLKQLGNLVRDRFKNLSGIVYCLSKSECVDVSKFLNEKCKIKTAYYHAGLASRQRVAVQKRWRSGEVDIVCATIAFGMGIDKPDVRFVVHNTMSKSIESYYQEAGRAGRDGLPATCVILYQKKDFSRVVCMLRSGQGYKKESLKRAMEQARKMQKYCELKNECRRKLLLEHFGESFDQYSCKNGSNPCDNCLKSSS >Solyc08g074650.3.1 pep chromosome:SL3.0:8:58904994:58906690:-1 gene:Solyc08g074650.3 transcript:Solyc08g074650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIITHLNNVFFFLDNDNANQNNGDDHEKNVARRNVLVGLGGLYGASNLAPLAYAAPIPIPNLKSCGKALKEGSIEVDYSCCPPTPAEWNNIPYYKFPPMSKLRRRPRAQQEYIAKYQLATRRMMDLNEKDPRSFKQQANIHCAYCNGAYKFGDDVLQVHFNWLFFPFHRWYLYFYERILGKLIDDPTFALPYWNWDHPDGMRLPPMFDRRNTSLYDPRRNSHVRNGTIIDFRFGGDEEVSTDVEQTVTNNLTSVYRAMITNAACPLQFFGGRYLLGTNNTKDAGTIEKMPHTPVHMLSVARLWRMDMGNFYSAGLDPVFYSHHANVDRMWNIWKGLGGKKRDIKEEDWLNSEFFFYDEDEKPYRVRVGDCLDTRKMGYDYAPADIPWINCRPTRKGREGKVDSTKFDPENNVFPITNLHKPISFCIKRPKTSRSQEDKIEKEEVLIFKGLKYDSSKYVRFDVFLNEDDNVNADELDKVEFAGTYVNLPHNHAHNNNKKMDNGETFQLDITESLEDC >Solyc04g007360.1.1.1 pep chromosome:SL3.0:4:1064296:1064793:1 gene:Solyc04g007360.1 transcript:Solyc04g007360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKISPVFQKVTNLLNMSIFLAKMRKPLKKVKKFSILKHYSYGYIQEYQFSPSNTSLIHFYNRKKSFRKQRSYRDICSVFFISRCLGMAKCEGDEKKRRYPVLELERLGSMEEFADFHGDDGDDNDDDDSVDERAEKFIERFYQEIKLQRQESFLEKFNAMVEN >Solyc02g088110.3.1 pep chromosome:SL3.0:2:50932725:50936152:-1 gene:Solyc02g088110.3 transcript:Solyc02g088110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIKSSLMVVAIGLVLRNICEGSKELYLNNWLASENDSINIPIYKYTANLHRPAWIFTADVTIAAAAKDALEGHCIYDGGYCKLHLSYSRHTDLNVQAYSDKSRDYTVPESSLLAMQQASAVHAAPAVWHNPQSGPVQSSAGYAATGAVPGQAPPPAWNPNLQGGGSTFPSAPTGYPGHSYAPPAYATGVHPIGSSQQTNHIATGSQPFTVSQPFHPSTMPPGGVPPPGHAPYHG >Solyc03g043570.2.1 pep chromosome:SL3.0:3:7001084:7005018:1 gene:Solyc03g043570.2 transcript:Solyc03g043570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTYNPRSHFVVAIYDQSTTEIEYPIDQDIESDEQEEDILDEKEDIPVNCPQTNANVFEQRYPVEIYPKNRHNPHEEYENLNSISTFT >Solyc02g005340.3.1 pep chromosome:SL3.0:2:8235497:8237948:-1 gene:Solyc02g005340.3 transcript:Solyc02g005340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEEEEEWESTEKAFKDEFIPAWQKQITLRAMVTGLILSVVFNFIVCKLNLTTGVIPSLNVAAGLLGFAGIRTWTAVIDKFGMLKQPFTRQENTVIQTCIVASSGIAFSSGTASYMLGMSPYIASQADAGNTPNNTKKLSITWILPYLFVVSFAGLFSIVALRKMMIMKYKLTYPSGTATAYLINCFHTPKGAKLAKKQVRSLFQSFGFSFIFGAVQWIVARDEGCGFGSLHTFGSEAYAKKFYFDFSSTYVGVGMLCPYMVNISLLIGAIVSWAIMWPMIEEKKGDWYSAKLSATSLHGIQGYRVFIAIAMMLGDGLFHFAYMLVVTISSFTKRDPQNDYSGEEDEHDLDKKIRNDYFLKDQIPNWAVIGGYAGIALISVIVVPIIFHSLKWYHILVAYLVAPILAFCNSYGAGLTDWSLASNYGKIAILTFSYWVGLENGGVVAGLASCGLMMSILDTASGLMGDFKTGYLTLTSPRSMFFSQLIGTAMGCVITPLVFWIFNSAYKLGDPEGSYPAPYGLMYRGIALLGVEGFGSLPKHCVRLSIWFFLAAILINLMTQLLQKYETKYEIYRFIPSPMCMAIPFYLGGYFAIDMCLGSLILFGWQMYNKQKAKDFGPAVASGLICGESLWGIPASVLALAGVKAPICMKA >Solyc04g025825.1.1 pep chromosome:SL3.0:4:20832159:20834880:1 gene:Solyc04g025825.1 transcript:Solyc04g025825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYGDLAVLYYRLQHTELTLNQTFNMDRAEELVRDMEKEGIDALIDIYHTMMDGYTMIGNEEKCLIVFDR >Solyc01g014225.1.1 pep chromosome:SL3.0:1:11799368:11801082:1 gene:Solyc01g014225.1 transcript:Solyc01g014225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVHCGFVRGVKPGHQTGFDIDDSDLIEIESCQGVVVASAIFVAFDLIRQPKNTSEYAKKNACFYMFMDEQIEAFLRNSSELNNSMRIGVWRIGVVHNLPYGDPRRNGKVDVHNVTFSALGITFSA >Solyc09g061860.3.1 pep chromosome:SL3.0:9:60773134:60786716:1 gene:Solyc09g061860.3 transcript:Solyc09g061860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEKTVVDDYGDYYAITELDSREIVGGSVSNVVSHSSGHLKLGRSKTEPPTHSSQFAEEAANISDDSDSEQQKLKLLKRIATVKDNGTVEFEIPGDEESRVLGVESQSVSNEVEDEPLDEIELHYIPPMQIVMLIVGTRGDVQPFIAIGKRLQEYGHRVRLATHANFKEFVLTAGLEFYPLGGDPVVLAGYMVKNKGFLPSAPSEIPVQRNQLKEIISSLLPACEEPDMDTGVPFKADAIIANPPAYGHTHVAEALKIPIHIFFTMPWTPTSEFPHPLSRIKQPAGYRLSYQIVDSLIWLGIRDMINDTRKRKLKLRPVTYLSSSQVSVLDIPHGYIWSPHLVPKPKDWGPKVDVVGFCFLDLASGYEPPESLVNWLKDGQKPIYIGFGSLPVQEPEKMTQIIVEALERTGQRGIINKGWGGLGNLAEPKDFVYLLDNCPHDWLFLQCSAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPIDEFSLPKLVEAIEFMLDPKVKENAVELAKAMENEDGVTGAVKAFFKHLLRNNEPDLDETPAPRSLFSLRRCLGFC >Solyc02g069430.3.1 pep chromosome:SL3.0:2:39890307:39894261:1 gene:Solyc02g069430.3 transcript:Solyc02g069430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMETSFSFAKGLTISPLFRSVILDRLADNGRTYQQATTAHLSNYAEDGLRTMLFAYKEIKPDEYEKWNSLFTQAKATIGPEREDLLENVSEMIEKDLILLGAVAIEDKLQKGVSFLLFYQSSTCSIVLPPTRVPNLGQRASYQSYIGKREDEKASVTRRGARRRMETILPDFFQVPECIDKLAQAGLKIWLLTGDKTETAVNIGYACSLLRQDMKQVHLTLSKEAESKNLIKVMREDILGQIERYSHMVIKEDTKDRPFALIVDGKALEIALNNDIKDQLLRLAVRCDSVICCRVSPKQKALITRLVKQHTGKTTLAVGDGANDVGMIQEADIGVGISGMEGMQAVMASDFSMPQFRFLERLLIVHGHWCYKRISKLILYFVYKNVAFGLTLFFYDILTTSSGQVLFDDWYIVIFNVFLTSLPVISLGVLEQDVSYEVCLKFPTLYQQGPKNICFSWKRIIGWILNASLTSLVIFTISISALSPAAFTQGGEVADIGHIGAIIYTCIIWTLNCQIALIINHFTWISHLLIWGSIIFWYIFLFLYGMIPPDYSKTGFHLLTEAMGPAAIFWIVTLLAVVASLLPYFIHIVIQRSFLPMDDHLIQEMEHFRMDIVDGPMWLKEQQKSNEKTKVGFSARVDTKIRQLKEQLNRKKKINV >Solyc01g007050.2.1 pep chromosome:SL3.0:1:1589580:1591140:-1 gene:Solyc01g007050.2 transcript:Solyc01g007050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4ASS1] MENVEIPEYFICPISLQIMKDPVTVVTGITYDRDSIECWLSKNPNSNALCPVTKLHVSRDSDLTPNHTLRHLIQSWCVSNGFNVFDQVSTPAKPPLSKCYVLNLVLDLSVPGTENIKTLEKLEMLAKENNERNKTYMVEVGVHEALVNFLIRCYMKCDTNGLEKALSTLSLIWDYFPSCHENNEVENREEILIDSITWALGLDRNMQNHATIKNHAMSLLRSIIGKANSSTLDRLKPQLLEKIIRVLRESGSNTTTIAQKVVDNALHVILNTSHSGKNRNIMVQSRAIFELIELEINFSSNKKTKELIFEILFNLCSCADGRAQLLSHAAGIAMITKRILKVSPIVDEKAIMILYLITKYSATSGVLQEMLKVKSVSKICTVIQANCASHVKDKAREILRNHFDFWKNSPCVEVATLTRLH >Solyc04g074050.3.1 pep chromosome:SL3.0:4:60156451:60159891:1 gene:Solyc04g074050.3 transcript:Solyc04g074050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRIFYLLQFFTLFTVTFASTEEATALLKWKANINNSLLASWTLSSDACKGWYGVICFNGRINRLNISNAGVIGTLHDFPFSSLPFLEYVDLSMNQLSGIIPHAIGNLTNLVYLDLSSNQFSGKIPPQIGSLSKVENLYISDNHLNGFIPAEIGNLTELKTLHLYSNQLFGPIPSELGNLKNLNDLELSRNKLTGSIPITLGDLTELKILYLHSNQLSGLIPTELGNLKNLNDLELCNNQLSGSIPITLGDLTQLKNLFLYSNQLSGLIPRELGNLKNLNDLELQENQLTGSVPFTLAYLTQLEFLYLYSNQLSGPIPSELGNLNSLTELDLSDNKLSGSIPITLGNLTELNSLYLSDNQLSGSIPKEFAYLDNLVLLSISNNHFSGHLPERLCNGGKLEILTVNRNKLTGTIPRSLSNCSSFKRVRFNNNSFTGNLSEAFGIHPELKFIDLSDNDFHGELSSNWGKYKNLTTFWIARNNISGSIPPEIGNIKGLLGLDLSANHLVGQIPEEFGKLTSLVELSLKNNQISGNIPQELGSLTNLDSLDLSDNRLNGSIPTFLGDYQHLFHLNLSCNKFGQKIPKEIGGITHLNVLDLSHNLLVGEIPPQLTNLKYLVNLNLSHNSLSGHIPEEFDSLTGLQDVVLSYNELEGPIPNNNAFMNASLEGNKGLCGNVTGFQPCERPSSMVKKHSMAKGHKLILITVLPILGALVLLCAFAGSLFMCDQRRRVGDVERRDGDGWLSISMLDGKALYRDILNATEEFDAKFCIGQGGQGSVYKVNLPLLGDIAVKRLHSSFQNTHPKSFINEVRALTGIKHRNIVSLYGYCSKAQHSLLVYEYVERGSLSSVLSNEVESKKLDWLKRVNIIKGVAFALSYMHQDCSPPIVHRDIRSSNVLLDSEYEARVADFGIAKILNPDSSNCTALAGTYGYVAPELAYTMKVTQMCDVYSFGVLSLEIIKGKHVGEYITVLANSSTIDPEQLSNLLDERLPYPEDRVKDVLVFIINLACSCLLQTPNSRPTMHFISHKLSSMDARPPVHQRNHHAREAI >Solyc11g006360.1.1.1 pep chromosome:SL3.0:11:1074455:1074793:-1 gene:Solyc11g006360.1 transcript:Solyc11g006360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARELYWEGVCQGSKRGLDWAKEKLVMSIDKNPFVGEPHVVLGQIYLSKGEFEEAEKEAEKGLRLILEWGSPWDKRMSWEGWVAWTRVLLMKAKEKTWPQNSWGILNLGLVR >Solyc03g111010.3.1 pep chromosome:SL3.0:3:63185750:63196281:1 gene:Solyc03g111010.3 transcript:Solyc03g111010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLFTANNKVKIGINAMAKVKIGINGFGRIGRLVARVALQRNDVELVAVNDPFISVDYMTYMFKYDSVHGQWKHHELKVKDEKTLLFGEKAVTVFGFRNPEEIPWAQTGADYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVVGVNEKEYKPELNIVSNASCTTNCLAPLAKVINDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKEATYDEIKAAIKEESEGKLKGILGYTEDDVVSTDFVGDNRSSIFDAKAGIALSKNFVKLVAWYDNEWGYSTRVVDLIIHMSSVQ >Solyc01g034085.1.1 pep chromosome:SL3.0:1:36121519:36122752:-1 gene:Solyc01g034085.1 transcript:Solyc01g034085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKNREDGQQPKDMGGKSTPQVEVSDEEGNDGHQATSPIQMEFDVDNQIDTLKNHQVMKDFSELQYPNSNSHHTDETCEHSKDAPSAQTPLHLFEGTMNEDTSCKPYRILHHLVQYLKIHEKP >Solyc02g014033.1.1 pep chromosome:SL3.0:2:15305514:15307982:1 gene:Solyc02g014033.1 transcript:Solyc02g014033.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFIQGLHLFDRQPLLKTSPPPQLQVLPIGIDVSATHISTTTNSGSTSHKVATNNRSSSDEPENSITSFQVSAILSLAATFDWPLPRLDVNNTFLHSTLAEEIYMSQLPGKDLGDLNFFLGVQVIHSSSRIFLSQQKYIYEILDRANMVEVNLMRTPMASGSFLMSSDGYLLDDPNEYQSIVGSLQYLHLTKSDITFVVRKLSQFTSAPTTTHWAMVKRVLRYLASTSYNSLFP >Solyc05g021570.2.1 pep chromosome:SL3.0:5:28076725:28077627:-1 gene:Solyc05g021570.2 transcript:Solyc05g021570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFKPTKDSSVVVAVLIVMYPIRKLCSRHTFELFNESTIKVTFFGNWTPRSDIPSFVEKYMNKELELEKFITHTLPIL >Solyc05g010427.1.1 pep chromosome:SL3.0:5:4671429:4677077:1 gene:Solyc05g010427.1 transcript:Solyc05g010427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGDNFYFTNTLVLPVVNGPTEGLMLIYLSHFFTALVGKLGFSLFPPHFEIHVKLPNRTSLLMSSLIPGYPGSEWWAQPFGKSMPLVSWVPFLNEIPTNKAVLFLMVVFAVIPTVYCKLNHPFSVFEIPKLLSPSLTCKTKETRKKSDLVSLQSFTFCTQLSTPNSMPPITLTQLRKSFIPICRND >Solyc10g009280.3.1 pep chromosome:SL3.0:10:3256165:3260099:-1 gene:Solyc10g009280.3 transcript:Solyc10g009280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQPPSMMPSFPSANITTEQIQKYLDENKTLILAILDHQNLGKLAECAQYQAKLQKNLMYLAAIADAQPQSPAIPTQMAPHPAMQQGGFYMQHPQAAAMTQQQGMFTSKMPLQFNNPQQLHDQQQLQHQQLQRQQQGMQLGGANSGMHSILGVTSNVSQLTTSGAGDARGGNKQDNSETDPSGADGQASSVTAQVSEERK >Solyc05g016037.1.1 pep chromosome:SL3.0:5:13784997:13790819:1 gene:Solyc05g016037.1 transcript:Solyc05g016037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTDIMDFIIQILPKYVRMKHFLKILSNGIKVANVTTKKVQQQINGMVQLTPTMFHPLFMLHKCNNDSIPNHRHTNNTCNTYEKSVVCGVIVWYEERTNKRTEFGQLGVHFRKNILVYNYMFTYTSMGSRVDRSINRTKCPYGKTPQLRHVRGIYEMLDKHNVLVKSFCIYIYRERERVNNHKLSFSYLSYHKGTPMNTAKNGLQRITNLHLSFISMTYPLIQPYEEDGCSLGIRLVCIQQRLNEGEEERFRYIRNNQPKHSADSFWGLMDSIVRGDFDCSQVGKTIILPSSHIGSREDGYPNLFLTFILNPNDIICRVFQIKLAQLMHQIKKDEPFGTIMIHHKIYIAKNKGKIHNPIRKEIRANNCDPVENIYTIDFKKRGLPHAHILLFLHESLKSPAPEHIDILISAVKNFTIRRPSGQLNPRFPLNTGIEVKKNGASLDNRYVVQYNRNFTNYLFKYVHKGSDRCRYISYRKPTVERLPFHLEGQNTIIFEGSSYRMSDFPTRWVWNKKNTHRKNGQVVGRIYLFVKGCTSFESIRRINGDDKNWNDCFLFVTVNYEILLKDITSMNRKRFKLKHIQLTQKQIESYSRLDIENILLKRDRCFRDIEGMQFSDTSLMCNENSKMCTQNHFPFIFIVLLVATSGIESFFLPNGRTTHSLFHIPLDVTAIKNCFEVLDKTLRDILRDRYENILDKLPVVQKGTTSNIMDELKENMRLTTGKVIGSKAVNIESFDKWFLEVGDGSIYVDNKKELIKFLHDVCIPMSHNHYNNPTYLKERNQTHKEDIFYPTKILNKLLKCNGTRLIITHLGKWVTITRIVLTPSESKWTFKMNRHELPSVPSYSMTINKIKNGQLYVEDTKDHTYIKIFPTKKFSKYMSNTCGNTRGID >Solyc03g111500.3.1 pep chromosome:SL3.0:3:63586603:63593639:-1 gene:Solyc03g111500.3 transcript:Solyc03g111500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENEACGGCKKDCMLIHGKGVLSFFKVMIDKSFLQVLFFPPKFARSVPHLTDQETYLEDSSGHRWRVTVCNHKGSLSIRQGWPKFSSDHGLDVGDFLVFHYVPGQHFIVKIFGTSGCEKKSCSDIGNGRKRARTYLEATAQAELFQTTDINSVKKKSKTSAESESETVTHKTNTANFKTSAESESEKVRYKANAANFKTSAESESEKVTYKPSTANFTTNINADIGKGQSVHSAIDVDESCCVIDRNAQYDQEDDRLCLHLSSFEMPASKPLTVGTSSPFKGDIGKDNQVEANFRSQTESNLNVEIDNLNSKAPWSKLEAGIRATNMVSSPAKDIPLRYPKYKKSNEASQFAREAMLVKKEFEEITTEAFSPARAIHGGEYANGNEKVIKSEPADSGDARSLNAGSYSCLVEIDGRDFLELPESWRKYLPQKAKLGRMIIYLRGQDKRIWPTLYNSRSGFNVLTCGWKQVTATYGLNPGDECLFQLVNQRGCIFDIRKI >Solyc02g089545.1.1 pep chromosome:SL3.0:2:51963580:51964758:1 gene:Solyc02g089545.1 transcript:Solyc02g089545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHPLQKSLKLKAGFLISLFLLKPSDLRKGKVQFCLITMINPKMYFVSRDLVAAAQSDINTTSKAESDVSCVRISPNGLLPIKIHP >Solyc02g078980.3.1 pep chromosome:SL3.0:2:44144667:44148229:-1 gene:Solyc02g078980.3 transcript:Solyc02g078980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFDSHCHLQDPRIFNMVPKIIKTTTETGVVHFAVNGVSEKDWRLVKEMSERYPSIVPNFGLHPWFITERTPDWLKTLRGFLESTPAAAVGEIGLDKGSFGRKIDFADQVDVCRQQLQLAKELERPASIHCVRAFGDLLELLKSAGPVPAGFILHSYLGSAEMVPEFAKLGAYFSFSGFLMSMKESKAKKMLKSIPKDRILLETDAPDALPKLSNPDSLYLIEKEASSADGTSSGGINDGNPSEEDKGNERQAEEIYNHPANIHHVLSYVASLLELTKEELAEISFANASRLFSYEGSKVLQEVLK >Solyc06g053145.1.1 pep chromosome:SL3.0:6:35964144:35966453:-1 gene:Solyc06g053145.1 transcript:Solyc06g053145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQVAKTVEGSLKCPHPPLIWYYHGLVAAHLILRVVEELLLKENDLLLPVEAKLHVTDANSMGVE >Solyc03g034060.3.1 pep chromosome:SL3.0:3:5797174:5801905:-1 gene:Solyc03g034060.3 transcript:Solyc03g034060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPQEGGRGWTPPPPPPGANTSSEFSSGYSSHVPGGGPATIPSPNYGGLSKIQFTYADLATATGGFSDANVLGQGGFGFVHKGVLTDGNVVAVKSLKSGSGQGEREFQAEVEIISRVHHRHLVSLVGYCIADGQRMLVYEFVPNGTLEYHLHGKGRPVMDWGLRLKIALGSAKGLAYLHEDCHPRIIHRDIKGANILLDNNYEAMVADFGLARLTEDNNTHVSTRVMGTFGYLAPEYASSGKLSEKSDVFSFGVMLLELITGRRPLDTTNKLMDDSLVDWARPFLTKALEENNYDELVDPRLEGNYDPDELQRMVACAAASIVRALDGDSSLEDLNEKAGKNNTANFGGASGPASDIYDTRAYNADMVKFRQMVMTNQDMNSSEYGNTSDYGLHPSDTSSDFSSDNNHSGPNKQSK >Solyc01g107780.3.1 pep chromosome:SL3.0:1:95097166:95099678:-1 gene:Solyc01g107780.3 transcript:Solyc01g107780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRADQLHVYFLPMMAPGHMIPLVDMARQFARHGVKVTIVTTPLNATKFTKTIQKDREVGSDICIRTTEFPCKEAGLPEGCENLASTTTSEMTMKFIKALYLFEQPVEKFMEEDHPDCLVAGTFFAWAVDVAAKLGIPRLAFNGTGLLPMCAYNCLMEHKPHLKVESETEEFVIPGLPDTIKMSRSKLSQHWVDEKETPMTPIIKDFMRAEATSYGAIVNSFYELEPNYVQHFREVVGRKVWHVGPVSLCNKDNEEKSQRGQDSSLSEQKCLDWLNTKEPKSVIYICFGSMSIFSSDQLLEIATALEASDQQFIWVVRQNTTNEEQEKWMPEGFEEKVNGRGLIIKGWAPQVLILDHEATGGFVTHCGWNSLLEGVSAGVPMVTWPLSAEQFFNEKLLVEILKIGVPVGVQAWSQRTDSRVPINRENILRAVTKLMVGQEAEEMQGRAAALGKSAKMAVEKDIARQFGRHGVKAPIITTLLNASKFSKTIQRDREMGSDISIHTVKFPCKEAGLPEGCENIASTTRPIAVSKPIERFLEEDHPDCLTAAPQFSWAVELAAKLGIPRLAFNGTGFFPFCALHGLMEHKPHLKVESEMEEFVIPGLPDKIKMSRQKLSEHLKDEKETPMTALVKDMMRA >Solyc08g067120.3.1 pep chromosome:SL3.0:8:56101834:56102808:-1 gene:Solyc08g067120.3 transcript:Solyc08g067120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLMKIWSFDLVAWRGFLFSALILNFIFTCQLFFLQPLVSALDAKPGDAAALFERVTQNVKVKK >Solyc10g061830.2.1.1 pep chromosome:SL3.0:10:22438359:22438547:1 gene:Solyc10g061830.2 transcript:Solyc10g061830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AYLSYSLGALAVFGFIACCFVWFNNTAYPSEFYGPTGPEASQPQEFTFLVRDQRLLYIYLIP >Solyc01g104620.3.1.1 pep chromosome:SL3.0:1:92952457:92953286:1 gene:Solyc01g104620.3 transcript:Solyc01g104620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFILKDQRFCNLIKCSDGSELPFLRRRSFVENLFHIHGC >Solyc05g055150.1.1 pep chromosome:SL3.0:5:65768933:65770367:-1 gene:Solyc05g055150.1 transcript:Solyc05g055150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4C2J2] MATNSFDTFDIYGFPVKVDSTDIHVYRYMISVDVIGMAYTLLLFVLTFFQVKSGNPIDGGLAYFEFYGDKIILFLLATGAAAGLGLTVEYNRLKDNDETTQNIQNFINIANASASVLLLGSISSTISSIISSLNLPKRSSS >Solyc02g077615.1.1 pep chromosome:SL3.0:2:43070265:43072114:1 gene:Solyc02g077615.1 transcript:Solyc02g077615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTRYSSNESRSFELGRQLQGNQSPFLEDNFLHNKVFLVSREILFTLLPILSVKTNRPSFRSRSRQQQQKKVMRLRDIDIRDKFLSILINEKDLNMILPISGLTLPEICAVIYLQRGNWPILPILNHLQIAQPRESLHEMPKRNESVLGFPAQVNHLCTVQGLNRFG >Solyc03g006630.3.1 pep chromosome:SL3.0:3:1199090:1206241:-1 gene:Solyc03g006630.3 transcript:Solyc03g006630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTKPVITAAPILSDRVRVNGVETPVTFTAEEGILRWSENRLSIAKEVLGITVEDSKIKIRAIKEKDDGGIICCGGNTKGTVRRSYNLEMLTEDSLRIWSQKLQEFIDSLGRPKRLFVLVNPYGGKRSASKIFIDSVKPLLDDANIDYTVQETKYQLHAKEVAKSLDILRFDGVVCVSGDGILVEVVNGLLEREDWDSAIKMPLGVVPAGTGNGMAKSLLDAVGLSCTASNATLAIIRGHKQSLDVATISQGLNRFFSVLMLAWGLIADIDIESEKYRWMGSARIDYYAIQRIFRLRRYNGCIKFVAAPGYETFGEPADPEGETISEVKSSLVQHKAYCGPALQMKDFNRKIEGPFVSVWLHNVPWGGEDALAAPDAKFSDGYLDLVVIKDCPKLTLLSLMTELNKGSHVKSPHVLYFKVKAFVLEPGTQLDDGSKEGIIDVDGEVLARGKGTYKSNYKTLMTYDKLYIKVDQGLATVFSPSTIS >Solyc02g076770.1.1.1 pep chromosome:SL3.0:2:42438645:42439301:-1 gene:Solyc02g076770.1 transcript:Solyc02g076770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQIDIYSNPKCIRPIMLFTNQPKNSKALEEMIKLHHTHELSPKQCSSFLVKVIDAPLPLVWSLVRKFDKPQCYKNFISSCTLISGEGGVGSIREVNLVSGFPGKRSIERLDILDDDMHVSVFSVVDGDHSFSNFKSIMTLHEDKVEEDHDIIGNYYKTVVIHSYVVDIPEISCRDDTCEVTDNILRWNLRSLAWVAENMDTNDQVSSLDLNEKEITC >Solyc05g010260.3.1 pep chromosome:SL3.0:5:4426228:4430152:1 gene:Solyc05g010260.3 transcript:Solyc05g010260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVINILCDFYEFKVYNMATPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVERAKNEGNLPLYGFHDPESFVLSIQKPRVIIILVKAGAPVDQTIKTLSSYMEKGDCIIDGGNEWYENTERREKEMAELGLRYLGMGVSGGEEGARNGPSLMPGGSFEAYKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELHQAFSEWNNGELQSFLIEITADIFGIKDDKADGHLVDKVLDKTGMKGTGKWTVQQAAELSIAAPTIASSLDSRFLSGLKDERVQAAKVFKSCGVSDILVEQSVDKKQLIDDVRKALYASKICSYAQGMNLIRAKSAEKGWDLKLGELARIWKGGCIIRAIFLDRIKQAYDRNADLANLLVDEEFAKEVVERQSAWRRVVCLAITSGISTPGMSSSLAYFDSYRRERLPANLVQAQRDYFGAHTYERTDMPGSFHTEWFKIAKQSKI >Solyc04g009435.1.1 pep chromosome:SL3.0:4:2854903:2862162:1 gene:Solyc04g009435.1 transcript:Solyc04g009435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKWSPASDESSDKIICTRGRIFTGAGAATNREKKTEEDKRKIYRIRIERKGEVKLRRVRISKEMNKGANGNQQLELPAGFRFHPTDDELVQHYLCRKCAGQSIAVSIIAEIDLYKFDPWQLPEKALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPVGKPKTLGIKKALVFYAGKAPRGIKTNWIMHEYRLANVDRSAGKNNNLRKGTLEKHYNVDNKETTSFGEFDEEIKPKILPTQLAPMPPRPRSTPANDYFYFESSESMTRMHTTNSSSGSEHVLSPCDKETLILSSFRYILVSADSSVTVNAPPNGLQASMYLEFLQDVLLYNWGSGALGFSYGPL >Solyc09g031880.2.1 pep chromosome:SL3.0:9:27826489:27830072:1 gene:Solyc09g031880.2 transcript:Solyc09g031880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEQENAQVRLRVIPQFLKREDRRLMEAAGLGLRVKPQNLKSPNAYQIRVLPRIRLIENECLGLKNWILDQSAILLSSYGCLTFMNCKILLAFSGLKLALTTNPGYNRRVAECQEAAKILLHFNDDVDNKSIVYAVKPEVFEAHKSKLEANLAKRAEHYFSENERELRLGLPETLKNTTSGLSSIQDYECGCEPLIQLYQILLKAHGVLGTRFSGAGFRGCCIAFVEEDKAEKTATFVVDEYSMLQPELASHLNQGPAVLICDASDSARVISNTFS >Solyc05g018210.3.1 pep chromosome:SL3.0:5:20217292:20223858:-1 gene:Solyc05g018210.3 transcript:Solyc05g018210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDPFLHLASLSSYIAQQFVQFIEDLICRDLLGWSEYLVDFGGLLVNFTTVPEACSFPSRVSDTGTSFRFHGRRSSYVNDNLPVNSFLEIVRSNQSYGGFRLIFEGLMLPVYGLRFTWKLTLASWRCFVSHIRCALVQVQSVISRVRTTLRGSSDDIGWLQQAPEMAPVVDGSARFRELLQGIRNGQHTLPDSFVYLLIPGFFSNHGPLYFVSTKKFFSKMGLTCHIAKIHSEASVEQNAWELKQCIEELHWGSGKHVMLLGHSKGGVDAAAALSKYWHDLKDKVAGLAFVQSPYGGIPVASDILRDGQIADKETRRIMEFLLCKLIKGDIRALEDLTYEKRKEFLKNHKLPDDIPLISFHSEASIALNVIATMSHIAHAELPWLPLPGFGDDESENVIQAGCKVPVIVPVSAALALCALHLQLRYGEKSDGLVTCRDAEVPGSVVVKPDIKLDHAWMVYSSWRKDPNEPDASEMCEALLTMLVELGMKRKEVLC >Solyc05g021400.1.1 pep chromosome:SL3.0:5:27312385:27313366:-1 gene:Solyc05g021400.1 transcript:Solyc05g021400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEEEERWIEPRAQEAYDRFIRISQKHHNILPLESRDKSFTQEESENLWKQNASELIRGSVYADIDKKRIERERNREEEIAAAKEVENKRWATKIAAQCSQFCSRNSDLQRSESQKKI >Solyc03g059370.1.1.1 pep chromosome:SL3.0:3:31188334:31188660:1 gene:Solyc03g059370.1 transcript:Solyc03g059370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNKMVGKPCNKYSKNNSFPEIYGVNKRNVDFLDLSLKYDQNDDFFILERRSEKQEEKNSIEKILWIHKNPNSEHLENKNSTYCRPINKQLIFLTMLDHIRNWIF >Solyc01g056890.1.1 pep chromosome:SL3.0:1:57391084:57391599:1 gene:Solyc01g056890.1 transcript:Solyc01g056890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAATSTSMDSIAVFTTHLSLCSTAKAASFCWSALPYLPPRGDIWRLTAQQSVGFKKQFVIPRSNQRLEKCVGLWSMAGIVAKRPSKIGGKLLTRWFPLSLFSRPMLAKHLTLSLLVHVMLRASSIFSMMHI >Solyc01g094940.3.1 pep chromosome:SL3.0:1:86218131:86221600:1 gene:Solyc01g094940.3 transcript:Solyc01g094940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVYDAAFVNSELSKNTSIFGLKLWVVIGIFVGAVFVLIVFLLSLCITASRRRTTTQKGKLHRPINSELTPVVSKEIQEIVHHDTAQDHRPIVLQAVPEIQINMGKVEHRVVFSDKMPGASSGESRATSGAETGSLGNSGQLPEVSHLGWGRWYTLRELEAATNCLSDENVIGEGGYGIVYQGVLTDGTRVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGTLEQWLHGDVGDVSPLTWDIRMTIIVGTAKALAYLHEGLEPKVVHRDVKSSNVLLDRQWHPKLSDFGLAKLLNAERSYVTTRVMGTFGSVNSAFEINFSLYVAPEYACTGMLNEKSDIYSFGILIMEIITGRTPVDYGRPKGETNLVEWLKMMVGNRQSEEVVDPKLPEMPSSKGLKRALLVALRCVDPDAQKRPKMGHIIHMLEADDLLVRDERRIGRERESSNSHRDYKQDNQAGPKLARKQYGDGAPETSEGNSSRNHNLPSSWR >Solyc02g077080.3.1 pep chromosome:SL3.0:2:42702965:42707474:1 gene:Solyc02g077080.3 transcript:Solyc02g077080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLWSQLVIKSVLLVCSIISLCLYSGRAEVKVENFSLENKGVVSNSKCNLFQGRWAIDPSFPLYDSSKCPFIDPEFDCLKYGRPDKQYLKYAWKPDSCNLPRFNGKDLLQRWSGKKIMFIGDSLSLNMWNSLACMLHASVPNAKTTISRKETLSSVTFQDYGVTLFLYRTTYLVDIVREKIGRVLKLNAIQQGDAWKGMDVLIFNSWHWWTHKGNSQPWDYVQDGMKVSKDMDRLMAYYKGLTTWARWVDGNVDSSKTKVFFQGISPTHYMGQEWGAATKNCNSEQIPLAGSTYPAGTPASAIVVNKVLNRMKTPVHLLDITFLSQLRKDAHPSMYSGGHPGVDCSHWCLPGLPDTWNQLLYASLIM >Solyc03g042524.1.1 pep chromosome:SL3.0:3:6885230:6886184:1 gene:Solyc03g042524.1 transcript:Solyc03g042524.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANEFHGSSLRSSSPNNPKFIQIITSLDELSRLRIPVVFAKKNCEKMLNPVFLEAPHGKAWEVEVENYQGQIWLAKGWNEQEEDILVAQANANVIQKRVADKEVGEAHSISEKVGPNNYSSRYSLVDLTGYPVEIRPTNRHIKHEEYK >Solyc12g056610.2.1 pep chromosome:SL3.0:12:63535978:63536930:1 gene:Solyc12g056610.2 transcript:Solyc12g056610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSFQSPIAKKLEGKVAIITGGASGIGAATARLFVQHGAKVIIADIQDDLGKSLVKDIGTEQTVIYAHCNVSIESDVQNVVDATVAKFGKLDIMCSNAGVSGKPITSILEVDHDIIKNVFDVNVVGAFFCAKHAARVMTPNKKGVILFTTSASTVVFGTGVPHTYVSSKCAVLGLSKNVGVELGKYGIRVNCVSPYCVSTPLVVDGFGIEEQKADKWFEEAGNLKGALLDEQDVANGVLYLTSDDSKYVSGHNLILDGGFSTTNVALTEAYKKLFPSND >Solyc06g083200.2.1 pep chromosome:SL3.0:6:48763604:48767240:-1 gene:Solyc06g083200.2 transcript:Solyc06g083200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIAVSSKRYRVAETLVEEVLAGACPPSLPLYNSMIKFCCGRKFLFNRAFDIYKKMTKCEDAKPSLETYNLLLNALLSKFNKLHVCYVYLHSVRSLAKQMKSFGVIPDTFALNMIIKAYSKCLEVDEAIRVYREMGLYGCEPDAFTYGYIAKGLCEKGRVNQGLEFFKEMRNKGFIPKGSTYMILVCSLALERRFEDASEVVFDMLDNSLSPDQLTYRTVMEELSREGRGDYAFELLEEFKKRDNGSFSLRSAGKSGVADSVDYKDNNLRKWISGRILTLRHAKALSKTLEHSRT >Solyc01g056885.1.1 pep chromosome:SL3.0:1:57386471:57389304:-1 gene:Solyc01g056885.1 transcript:Solyc01g056885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIDIIRMINLQGIRWQKGIGSMITENEKKNFPEKLLQVRFSVVIVNRNLDLIFHVVSTDESYVQAQLCGKYYWKLLQQYDAI >Solyc01g066865.1.1 pep chromosome:SL3.0:1:74878316:74878785:1 gene:Solyc01g066865.1 transcript:Solyc01g066865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVIAIVLVRNSTPRDKETLKIEGNTQNSTKLCFLVMASFSPGETPSIPAFSSISPRMLAPTLVPARVMILVP >Solyc08g076190.3.1 pep chromosome:SL3.0:8:60327701:60338294:1 gene:Solyc08g076190.3 transcript:Solyc08g076190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEGFDEEWDADFVDQLVQAEEQALSIATQKSLLPPPLPPPPLQQSYPAVSYVEVSYSPPRDLSQRVPEVPKGFNQLPDVDIFSAAAAAARSYLPAGGSHNAKEEEIDSLKRELGRISEERNRLEQECIKLRKERDKKEKRLKVAPPKIVNLSVDSSFNIQGDQIKSLEFQNTRSMNEHIGSSTKIGTISSKDVGIQTERPDRSTSLSAENNLSDTFCLRNKLLDAWSSSCGQRLGRLLISKLYATCEVDFRVLSGYLNTSLPLRTIVESKISLKDNLQYTHSGESINDEMVRFEDLLEALVGLCNLKNVSIIHRALHILQEVLSFTFNMERKIGKRDNIVVDGPVCWNNASELYEYGYPGNRGLPHVHAEKIFDQDHTDGLRVNTLETCTRIGFINYESSCLISSSFNYVPLFELMCEIVMIHDVEHIRLEAVSVMNLIVARSNAYLERDKYGTDILFQSIVKLLKKGARLHVKKKTVHLLHMLLNCPRVMASFCSCFMEGDGSGMVDINSNESSTFSVISVIFESLAECITCTGSNAEELQLRKHTIVLLAFFASSGKCGVEILLNYGLPRGKDFPAIILQSLVCDLDLEESDTAQQPEVFKERTLLIREVLILLNRLVSHPKYSSHALRALTNSREKATLTVDVTNRLSSKRTFFWQDVSMSRQIRESEIVDLAQVLRRRVFTFLGGSNQ >Solyc11g071970.2.1 pep chromosome:SL3.0:11:55573202:55581148:-1 gene:Solyc11g071970.2 transcript:Solyc11g071970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRMEHYEIMEQIGRGAFGAAILVNHKQERKKYVLKKIRLARQTERCRRSAHQEMALIARLQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKANGQYFPEEKLLKWFTQILLAVEYLHSNFVLHRDLKCSNIFLTKEHNVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLTDIPYGFKSDIWSLGCCMYEMAAHRPAFKAFDMAGLISKINRSCIGPLPPCYSPSLKTVIRGMLRKSPEHRPTASELLKHPYLQPYVDQYRPSFSPPPLSSPEKPLTAGHDSGKNMTESQSSTSSCSDKDSLKSSENLQEMTCNDDHEGTNTNVAFVNHKDFEQHYSGEEHHGADAEDYDSRNILHDEKKCDAEAKQPTTIKNIMLALKEGKTREHSSPMRGGKTKVSGTGASKANIEASPKVLKPGSPTPTSKSNAHTPPTLNNQLPTTESTPKNKPRNGGISPSGTIRQVVHDRSPTRPRQRTPPKFTKQPSFTGRLQPVCHDALNSANDDVECGPNDKSHDPERIPNSFPDGYHIHATKKIPERIPSSSPDSCHIHTTKNSMLHSRKVSSGASKGMQTESSNSASSLVSIQGFELCDDTTNHFVNLTEQMVGSHEQSSETETIGSRPSCSVTTSSHSDNAYSSMGNHEDNQKSEAGFVEIFEENHQSLDVGTCNERSNLYVAKDTASPHLIEIALCDGDSTSSKPDSTTDILHLTNLSLVSCGKIKSKTKLSSSTTSVSPTTPLVSSSQNNLLHSNLTSNANGDDKFLTKELFPSTTERASPSTPPITASQNIFLADKVSVPQNVILEKAASSQLKPAFDDVIHVIRHSSFRVGIEQPVIDSVERNVDVGKRMNVVRDELDRNLASPIAPKSSSTSGSVSVKSNISDCVHNKEMDVRNQGSPTSSRPRLDSSEPSKANATLVEDERPVKEILDVKSFRQRADALEGLLELSADLLENNRLEELSVVLKPFGKDKVSPRETAIWLAKSLKGMMLDDSARNS >Solyc06g072273.1.1 pep chromosome:SL3.0:6:44694869:44697618:-1 gene:Solyc06g072273.1 transcript:Solyc06g072273.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDVADSAIEGNCGADNCTDRNEEAYVHEAFLADWRPSVSSIQVNHSMSNLAEKIPPLQLLGVESSQVAEKMNNSGSRNWQSHISNEFPVSRRSSETESFSRGNGTRKFNNGQLVKLAPGLPPVNLPPSVRVMSQSAFKSYHVGTCPRAFGGDASTGDGVRDNAVPKTANAAKPCTNYFVKDGPLSSSAGRNNISNQNLQETRLSKDNKNVTEEKDESGLRMHPLLFRAPEDGPFPHYQSNSSFSTSSSFNFFSGCQPNLSLFHHPHQSAHTVNFLDKSSNPGDKTSMSSGFDFHPLLQRIDDANCDLEVASTVTRPSCTSETSRGWCTQVQNAVDSSSNVACAIPSSPMGKSNELDLEMHLSFTCSKQKAIGSRGVADRFMERSPTSASRDQNPLNNGTPNRTTQHSDSGATARILSSDEETGNGVDDLEDQSLIEIVMEQEELSDSEEEIGESVEFECEEMEDSEGEEIFESEEITNDENEEMDKVALEDSYVQHVPYTHGNSKGNSCSITESHATRFDKATDDQPSSLYLNSNPPRTVSSQVKSKSRHSSNSAGKPQDPTCSKRSRKKTKRDRDHPTVPKCASDMPEQANQSSVASSPRNSRKRARGTDSRKTDTSVIADTNEESPNSTKKDEVG >Solyc06g082870.3.1 pep chromosome:SL3.0:6:48559880:48563905:1 gene:Solyc06g082870.3 transcript:Solyc06g082870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIKVQKTRAYFKRFQVKFKRRREGKTDYRARNRLINQDKNKYNTPKYRLVVRFTNKDIIAQIVSASIAGDMILASAYANELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNLEVNGEDYSVEPAESRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFSKDSKQLDADVHRKYIYGGHVATYMQTLIEDEPEKYQTHFSQYIKKGFEADGLEEMYKKVHAAIRADPSPKKSEKQPPKQHKRYNLKKLTYEERKAKLIERLNALNSAAGNDDDDEDDE >Solyc06g036720.3.1 pep chromosome:SL3.0:6:27351292:27371323:1 gene:Solyc06g036720.3 transcript:Solyc06g036720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIIALNKGGWLGPRHWLTQSLRMGHDHAAVIGHLAYRDLHARLHNTEGYHSLQLCRVAVIVVHKLTTIYESAVFVPMVRKPHPSSLGLKPNHPNKSQMSGVERNLEKMVSNGAEDVQICGSGEAECPETTVEIKIKMLDSQTYTLRVDKCVPVPALKEQIATVTGVLTEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPSSDSTPDPQATASASNAGYSQGNRVSPDMVVGTYSSSDHGDGIFPDLNRIVTAVLGSFGIASAGGGNEGIDLHGFGPASLGNIRDSGRSQTEQADTRDQSNVTNSASARSTDVPPEALQAPVIPDSLTTLTQYLSHLTVEFRANARGQSETTQSAGVHLADRTALEATAHSIGERGFPTPASLAEVIILTRQLFMEQVVECLSQFSTLLENQANVTNPGERMRIQSYALRTGGLFRNIGAMLLELGRTAMTLRMGETPADAVVNAGPAVFVSTAGPNPIMVQPLPFQPNTSFGAVSVGTVQNNTGFSGGSVSSGFIPRNIDIRIRTGSFMASNPNRREPAGGPQQPGQAGQAASIGGSSDQQNTGGSRSSSARESAVRVVPIRTVVTAVPASIGRSTSDSVRNPMGIFYPVLARVQHVSSGNSNSSGASQESDQNNLHGIDTRDPANPDSAGQQQNAGLPSVEGNFSSFSEASNGDEFSAQYQSSVDQLLRSLFSGESIHPENVNDRGVSMNSSSGDGVEAENNSNSQGTEAARDDGVFLSNILRHIMPIISETNGTSSANLPSERSNMAEDGSDGRQTQAQENTENASSSHERRDPPLPPSSKRQKGE >Solyc06g071925.1.1 pep chromosome:SL3.0:6:44460565:44462295:-1 gene:Solyc06g071925.1 transcript:Solyc06g071925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKGGVPTRVQAVSSLIWSRILALYRSKPKYAKICVAVHAVNIRPRMQPPVPSHTFGNYWTVAIAPAVVMGSGTSNTSKQKNSRRSTIFFNIRTTPLELICFSFVALHQLLLYLTFSWEFNTDVPCCYIGFKSKSSH >Solyc09g082270.3.1 pep chromosome:SL3.0:9:68481348:68482825:-1 gene:Solyc09g082270.3 transcript:Solyc09g082270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFAIMFAMICSGVVAQSSNDCTNVLISMSPCLNYITGNSSVPTSGCCTQLATVVNNNPSCLCQVLNGGASNLGLNINQTQALALPTACNVQTPPLSQCNADSPIGSPTGTPTSDGSGRGSNRVPSPQDGSNEATSTKMAAPLFFFLLFVASTFTSA >Solyc07g014700.3.1 pep chromosome:SL3.0:7:5106982:5113243:-1 gene:Solyc07g014700.3 transcript:Solyc07g014700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRNMDGAYYFDEKLVDAHGHQSPLSASSAVVRGITAFATASDEDLNLPGDKILGLARFFLSVGIPANAEDLFYQLDALASLENNRVSIPLILSLPTAVLSLTRKDQLKVNVNTVLGSAAPSLSVKLKQIFSSGSKDASIIDQYLKFDPENAVHFLDALPENIDVGSYIFSLEIVLDNPEDKKIYATGGRTKVPIYVTGFIKVDHPDVAVLDSDLGNVETQKRFDLAGKNTLSLSANHLQKLRLSFQLTSPLGNVFKPHQAFLKLRHESKVEHIFVVENSGKNFEIILDFLGLVEKFFYLSGRYDIQLTVGDAVMENSFFLLLGSIELDLPEPPEKATRPPPQPIDSTSRFGPKAEISHIFRAPEKRPPKGLSLIFLALVLLPFIGFLVGLLRLQVNLKNFPKASALATFAILFHLGIAAVLTLYLLFWLKLNLFTTLQALGFLGIFLMFVGHRTLSYLASSSAKLKSA >Solyc08g082490.2.1 pep chromosome:SL3.0:8:65390761:65392566:-1 gene:Solyc08g082490.2 transcript:Solyc08g082490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSVIKILFIFSFFVYPEAKALIYPQDLITHDSVFDFPSLNQSFPTSSSPIFRPPTAPLVPALFIIGDSSVDCGTNNFLGTFARADRLPYGRDFDTHQPTGRFCNGRIPVDYLAVRLGLPFVPSYLGQAGSIKEMVLGVNYASAGAGIIFSSGSELGQHISLAQQIEQVTDTVQQFIVNIGEDATTDLISNSLFYISIGSNDFIHYYLLNASNVQNVYLPWSFNQFLAQTIKQEIKNLYNDQVRKVVVMGLAPIGCAPYYLWLYSSENGECVKNINDMILELXX >Solyc02g062400.3.1 pep chromosome:SL3.0:2:34543435:34546824:1 gene:Solyc02g062400.3 transcript:Solyc02g062400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expression of terpenoids 1 [Source:UniProtKB/TrEMBL;Acc:K4B6C9] MANFFSLGGNQEQQHQEISSSQALVPTESNNWFLYRNEHHHHHHNQEIPNTYKGFELWQSGNTPQHQHQHHQQQQQFRHPIYPLQDLYSTDVGLGVGPSRSGFDISAGDHEASRSGFVMMRSGGGGISCQDCGNQAKKDCQHMRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQQQQGHNNNNNNHKNKRQREDPSASSLVSTRLPSNTNGLEVGKFPSKVRTSAVFQCIQMSSIEDDEDQLAYQAAVSIGGHVFKGILYDQGHESQYNNMVAAGGDTSSGGSAGGVQHHHHNSAAVATATTTSGGDATAAGPSNFLDPSLFPAPLSTFMVAGTQFFPPSRSP >Solyc11g013393.1.1 pep chromosome:SL3.0:11:6378096:6380124:-1 gene:Solyc11g013393.1 transcript:Solyc11g013393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASAPLSHTFCTLRFPSSSSKAARTSIPQCSQCTHHNFSLNKQCETTKFALSRWNPILVNYTRRSSAKTCLSFQDSASEASDDEDNLSELEMSKDVAEEKYPSRLKPLMQVCKEAILVGDVKLISEIEAVISSVDKERDDMTQKVSALSADINSGKEKYIHLQADFDNYRKRSENEKLRIRANAQGGNN >Solyc02g068500.3.1 pep chromosome:SL3.0:2:39012909:39020790:1 gene:Solyc02g068500.3 transcript:Solyc02g068500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RQNFSHNPWKRAKMRGILRRLIGGRQPFTSSRRSSELGQTLMVSSAATVSYSTVTFMEKPNLLYGFPIAANLLSDFQSLHVLNQYRNFSSPSSSGPSNIVSIESEEQFNTSLRKVQDESLPAIFYFTAVWCGPCRLLSPVIGQLSEKYPHVTTYKVDIDKEGLGNALSKLNITSVPTLHFFQNGKKTSEVIGADVQLLKETMEELYK >Solyc01g099140.3.1 pep chromosome:SL3.0:1:89323695:89334484:-1 gene:Solyc01g099140.3 transcript:Solyc01g099140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVEQEQLLDSPLHVDNSASGYPISIHSTKISNGLLDRHNRELEHLSLTNQPLKTVKFFCLAVLKCLHQFSVNTIKCSCLVVILLATAGGSLLFTRGRAYEETLQPVQELLKYLRFGLWWLVLGVASSIGLGEWTYAICIMLYNLKKPVQCILINNYLEVTLVVVTVAVYLTLAYIFVIPHSSLSLFVLKGSGLHTFVLYLGPHIALFTIKSVSCGRVDIKSAPYDTIQLRNGPSWLDKDCSEFGPPLFSLPGARVPLTSILNQIQLEAILWGIGTALGELPPYFISRAASISGREGELMEDLDSASKEDTGIISNHLKQIKKWLISNRQYLNFFTILVLASVPNPLFDLAGIMCGQFGIPFWKFFTATLIGKAIIKTHIQTAFIISVCNNQLLDLIENQLVRVLGLIPGVASFLPNIISKLHIAREKYMAASAPVSSVKAKKWDLSLGSVWNTVVWLMLLNFSAKIVNTTAKGYLREQQEKELAALENNRARE >Solyc01g005180.1.1.1 pep chromosome:SL3.0:1:147450:147725:-1 gene:Solyc01g005180.1 transcript:Solyc01g005180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPDSGQLKQVMEKQNLDLYWRNIQIMQENDRLRKTAQRLRQENEALYSELKQRLAAARNNQIPELELRLGPSPSADQMKPKNPQPPPCS >Solyc01g103840.1.1.1 pep chromosome:SL3.0:1:92239388:92239642:-1 gene:Solyc01g103840.1 transcript:Solyc01g103840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNNNKHFLVKYLECRHNYAARSNGYVLDGYGEFCPTGAPETLEFFICAAWHCHQNFHKKVEVEVENKVESPVISINPSHGTC >Solyc05g045750.2.1 pep chromosome:SL3.0:5:58419880:58424736:-1 gene:Solyc05g045750.2 transcript:Solyc05g045750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAWNSGYKQMGRGGREKHGLKNESIRARTWGYSKFFKERFCDMDAHPNLVCRLSHLTDDDILDRFDRTSFFLTIQNS >Solyc08g077110.3.1 pep chromosome:SL3.0:8:61155305:61157144:-1 gene:Solyc08g077110.3 transcript:Solyc08g077110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTSLPPGFRFHPTDEELVGYYLKRKTDGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDLEWFFFCPRDKKYPNGSRTNRATKSGYWKATGKDRKVVCHPAVVGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDVNRIYLISKKGSSGLLVNNKMNHISLGPFALCRVIKRNDVSLKTKDVGGVKQVGSSTSTTSGAFTLVNEPPNALSDETPTQSAYMSNDSNYSTPIASPYQTTQFGDYESAIGANSANLWMSSAMILDSSKECPQGQNVSNYCPQYDFSSMTPWQPSDQSEFTSNSTFPSFRGEVELSGDLSSFGCMSPYSIHGSYVGLYGNEDMTYEVFNQNDSNRNPNLF >Solyc07g032630.1.1 pep chromosome:SL3.0:7:40724618:40725661:1 gene:Solyc07g032630.1 transcript:Solyc07g032630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKVMRKAIEVLSSNLLERLFADSEVEDEEPIIMDDEVKIVRTYRKRAKKNTKGDDMNDSNPQLSLIAEEIHQVEDEAPIILDAELKSVATYRKRAKKNTKVDAQIKQKKQKPNRK >Solyc08g075580.2.1.1 pep chromosome:SL3.0:8:59858376:59860117:-1 gene:Solyc08g075580.2 transcript:Solyc08g075580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSSEAAALKRKRPDSSTQGLVDAELVVLNVVKSKKNQGVWVADVKKEANIAPALVDKCLTSLVKKNLIKKVPSIQNKAKKYYMAVEYEPSEELTGGAWYSEGKLDKEFITVLRETCLKVIGMMKVATVEGIHDFLKKRKVVECCTSQQVGEILNSMVLDDSIIEVKSTGLGEYHSIPIGSVCYRIAVGVTSGPKTIGPMASIPCGACPRISVCTPDGIISPKTCVYFTKWLDIEF >Solyc06g066180.3.1 pep chromosome:SL3.0:6:41603985:41606782:-1 gene:Solyc06g066180.3 transcript:Solyc06g066180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNAIDRMGSNLYENDGVVMTRDPKPRLRWTAELHQQFVDAVTKLGGPDNLGFTEATPKLVLRLMGLKGLTLYHLKSHLQKYRLGQLAKKQNAAEANKENSGDSSGQFGLHSSGPSTSSLSMNFMQGEVPTTEAVMSQIQVQKILQEQLQVQQKLQMRIEAQGKYLQAILDKAKKSLPDNMNSPNAQEATTSHLPAFDSPLSNLMDYGENRDYNIELPTSSYIVEKEQKKDMNLYKLKEDSINFDLNSRSSYI >Solyc03g120880.3.1 pep chromosome:SL3.0:3:70592587:70595923:-1 gene:Solyc03g120880.3 transcript:Solyc03g120880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAVRTPKTGRQSLFFQDLATPISSRKSGSKFNTPGQAAAVSALWRENFASSDLPPPPVFTLEDRSDVSPESGIPEYITSPEIKSDPRTPVLNSGREFSTPKSKSEASTSYALMGKQQQQSKQSPLPSLTWWSPAKGSGSAEQDDKDKGSPVEGVVHPGALITLPPPREVARPEIRKNSIPVGNLNEEEWVTVYGFSPIDTNSVLREFEKCGVILKHILGPRDANWMHILYQNRADAQKALNKNGMQINGVLMIGVKPVDPTQRQALDDRLNKQGFIPLPHAPTSKSNDPTPFRTSSQPCYLQNGSNSAKQSSGSVATPARSVVSKIVDLMFGV >Solyc02g065675.1.1 pep chromosome:SL3.0:2:37404819:37405180:-1 gene:Solyc02g065675.1 transcript:Solyc02g065675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIIVGVLIFPYLAYDHITPFFELAKKLSDKGFSIDLCSTPTNPSFINIFF >Solyc05g012880.1.1.1 pep chromosome:SL3.0:5:6021917:6022402:1 gene:Solyc05g012880.1 transcript:Solyc05g012880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQNKRKRIGTSSSNSAAGENVESYTAAKKRGIATLITSKNSDNLLVEEKSDENSGNQSSEEEKGDESENNVNNTNSSEKETDDESDGDKSGGEEKGDESESESEQSVEKEEEDLESSPDHVKIISNGTYKFETHLIVSGSYDSRINARVGFGVQFVEFRK >Solyc04g018080.3.1 pep chromosome:SL3.0:4:9492271:9493445:1 gene:Solyc04g018080.3 transcript:Solyc04g018080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDWKTKLLRDLRQRIGNIIMETLKRHVLSLGEKEYMSLKEIVVTFEEKIYYAAKSQVYSLNLFLFVHIVK >Solyc01g007130.3.1 pep chromosome:SL3.0:1:1675643:1679446:1 gene:Solyc01g007130.3 transcript:Solyc01g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLCGSSMELYFHHIWFVVALFLAFASLVFTVTDPNDLSIINEFRKGLENPEVLKWPENGGDPCGSPVWPHIVCSGSRIQQIQVMGLGLKGPLPQNLNKLSRLTHLGLQKNQFSGKLPSFSGLSELSFAYLDFNQFDTIPLDFFDGLVNLQVLALDENPLNATSGWSLPNGLQDSAQLINLTMINCNLAGPLPEFLGTMSSLEVLLLSTNRLSGPIPGTFKDAVLKMLWLNDQSGDGMSGSIDVVATMVSLTHLWLHGNQFSGKIPVEIGNLTNLKDLSVNTNNLVGLIPESLANMPLDNLDLNNNHFMGPVPKFKATNVSFMSNSFCQTKQGAVCAPEVMALLEFLDGVNYPSRLVESWSGNNPCDGRWWGISCDDNQKVSVINLPKSNLSGTLSPSIANLETVTHIYLESNNLSGFVPSSWTSLKSLSILDLSNNNISPPLPKFTTPLKLVLNGNPKLTSNPPGANPSPNNSTTPADSPTSSVPSSRPNSSSSVIFKPSEQSPEKKDSKSKIAIVVVPIAGFLLLVCLAIPLYIYVCKKSKDKHQAPTALVVHPRDPSDSDNVVKIAIANQTNGSLSTVNASGSASIHSGESHLIEAGNLLISVQVLRNVTKNFSPENELGRGGFGVVYKGELDDGTQIAVKRMEAGIVSNKALDEFRSEIDVLSKVRHRHLVSLLGYSVEGSERILVYEYMPQGALSRHLFRWKNFKLEPLSWKKRLNIALDVARGVEYLHTLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDKEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGMMALDEDRPEESQYLVSWFWNAKSSKEKLMTVIDPTLDVKDEITESISTLAELAGHCTAREPGQRPDMGHAVNVLSPLVEKWKPLEDDPEDYCGIDYSLPLNQMVKGWQESEGKDLSYVDLEDSKGSIPARPTGFADSFTSADGR >Solyc10g084640.1.1.1 pep chromosome:SL3.0:10:64206578:64207276:1 gene:Solyc10g084640.1 transcript:Solyc10g084640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAPPPPPSPTPDVISEDLLPTGYNVFSRIRLATIVDVPHIHKLIHQMAVFERLTHLFSATESSLSSTLFPENSPPPFTSFTVFLLEVSQTPFPLIDQNYPNFTPIHKTVNLDLPITDPQAESFRSCGNDVVVAGFVLFFPNYSTFLAKPGFYIEDIFVRECYRRKGFGKMLLSAVAAQAAKMGYGRVEWVVLDWNVNAIKFYEEMGAQVMQEWRVCRLTGDALQAFANV >Solyc09g064910.1.1.1 pep chromosome:SL3.0:9:62629533:62630477:-1 gene:Solyc09g064910.1 transcript:Solyc09g064910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCKQVRWQNLLQLQNFLQKDKVVVVMGVTGAGKSKLSIDLATQFDGEIINSDKIQVYKGLDIATNKITEEERCGVPHHCLGVIDPYKEFTTKNFCNMASFTVNSITNRGKLPIIVGGSNSFIEAFVHNSNSYNFSTRYDFCFLWVDASMNVLNSFLYERVDKMVDQGMVDEVRQIFNPKNMDYTKGIRKAIGVPEFDSYFRAELSNSVDRQTLERMLEEAITEIKINNCILASKQLEKIKRLISVKGWKIHRLDASEVFKKQRIAEEKEAEEIWKNMVMGQSRKIVHKFLYENYRNSMVYKTDGTAIMAAASHY >Solyc02g021020.3.1 pep chromosome:SL3.0:2:21792894:21798284:-1 gene:Solyc02g021020.3 transcript:Solyc02g021020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRDSKVVAWQLLRRTLSTPNPPLHSILPLTRILLRYHMLPQIHSLHSFLLSLSPHLTCQYTIVKLLASHGHIHDAIFLFRSTRSHHPPPRLSLYNFLIYKSFKFNYSNFISWLYQDMISASVSPVTYTFNLLIHGLCNSDRLRDARHLFDLMPHKGCHPNHFTFGILIRAYCKFGLSLQGLKLLDTMKMMNVCPNIIIYNTLVASFCRKGDVDEAERLVQRMRDDGLLPDVVTFNSRISALCNSGKILEASRIFRDMQIDEVFGLPRPNIVTFNLMLQGFCQKGMLEEARTLTESMKKDDIFFNVQSYNIWLCGLVRNGKLLEAQTVLKEMPQNGVDPTIYSYNILIHGLCKHGMLGDAKMLMSLMINDGIFPDTVTYSTLLHGYCTKSEVTEAKNILREMMKRGCIPNKYTCNTLLHSMWKEGKVSEAQQLLQKMNERGYGLDTVSCNIVIHGLCQIGEVDKAVEIVSEMWSHGSIALGDFGNSLMSLVNEDDHGRKCLPDLITYSIIINSLFREGKLDEAKKKFVEMMRKKLYPDSVIYNTILHHLCKRGKISSAFQVLKDMETKDCKKSLRTYNSLILGLGDKNQIFEMCGLMDEMREKGISPSVYTYNIMIGCLCKSGRTEKAIPLLNEMLQKGIIPNTNTFELLIKSYCRTGEFRPAQEVFDIASTICGHTEALYALMFNEFLAGDEIVEAKQFLETAIDKHFDLGSFLYKDLIDKLCKVENLEGAHDILIKMMHIGYGFDPASFMPVIDGLIKLGQKHVADELTERMLEMVSEGKVGNKTYQNYRELNHMKRSKYGGDGWQAIVHRDDGSAAALKNLKRVQKGWGQGSI >Solyc07g024070.2.1 pep chromosome:SL3.0:7:24136494:24140469:1 gene:Solyc07g024070.2 transcript:Solyc07g024070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEARLNLRMQRELKLLLTDPPPGASFPSLTSSSSLSSIHALIGGPEGTVYAKGHFKLKIQIPERYPFQPPIVTFLTPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSICLLLSEPNPDDGLMHDASTEYKYNRQAFDHKARSMTQKYAMSGARDFAGHDQEIRTLTNAREGIVEVEELNIPKSEVPDHFVNRKGLCGLSRKLSLDSAGRAKRHNGETASEVPIDHILNKQTEVSKQGMEEFPIECDLNQDEAQQRTKKLSSDIVGTYKVRNGEKNSMAKTNCSASLEPQSIFLNYADIQALPQAISNSGKTTNPHDSEMRNVSINKSPSKLLLQSTDFTQKHDNLEKLQVKPQLSVQLQSTASCQTLSLSGAPGHNNKQPHRKASDQNGSGFFTRHKKLGLTGRRHPFDTSISSQRHQKCDKENLAPSQNLPASESDACTDSASSLLFTSQTGDTCDAWTRKSSDHCGSLKNLPLQAIEHSGEGKGNSFQLTSQSGQHSTALSCENLCDHKQPNQDENIYYNKNMKQQEKESPQCESVIVLDSEDSEDERSVHKRSKLSLARRRVPGKRKA >Solyc04g071070.2.1 pep chromosome:SL3.0:4:58068230:58069737:1 gene:Solyc04g071070.2 transcript:Solyc04g071070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMASLVATLLVVLVSLSLASESSANYQYSSPPPPVHVYPSPPHYPVYKSPPPHHHHPIYKSPPPSEKPHYPPHTPVYKSPPPHHHHPVYKSPPPPTPVYKSPPPPKTPHYPPHTPVYKSPPPRHHHPVYKSPPPPTPVYKSPPPPKDPHYPPHTPIYKSPPPPKEPHYPPHTPVYKSPPPPKSVYKSPPPPVKPYHPTPVYKSPPPPTPVYKSPPPPVKPYHPTPVYKSPPPPTPVYKSPPPPVKPYHPSPTPYHPTPAYKSPPPPTPVYKSPPPTHYVYSSPPPPYHY >Solyc11g017250.2.1 pep chromosome:SL3.0:11:8086887:8093759:1 gene:Solyc11g017250.2 transcript:Solyc11g017250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoamide acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:K4D6M8] MSHLLQSTFIPTTPTTLRRRSVFPTTHLRKTHVVESKIREIFMPALSSTMTEGKIISWMKTEGDKLAKGESVVVVESDKADMDVESFYDGYLASIIVPEGSSAPVGSPIALLAESEDEISLAKSKTPTSTSTSSPAATASVTEEVSTVAAAVAVPVTATSSSDAAPVKMASSIHPASEGGKRVVASPYAKKLAKELGLDLRGVVGSGPNGRIVAKDVEAAAGSAPIGVAAAAKPSGGAPAAPAVELGTTVPFTTMQSAVSRNMVESLAVPTFRVGYTITTNALDALYKKVKSKGVTMTALLAKATALALVQHPVVNSSCRDGKSFTYNSSINIAVAVAIDGGLITPVLQDADKVDLYSLSRKWKELVDKARAKQLQPHEYTTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTLVGTKDGRIGMKNQMQVNVTADHRVIYGADLAAFLQTLAQIIEDPKDLTL >Solyc03g113390.3.1 pep chromosome:SL3.0:3:65024154:65029744:1 gene:Solyc03g113390.3 transcript:Solyc03g113390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCNACIRPEEASKTDPQTKPKKPRERRPNPYSGSPAPIRVLKDFIPKTRISDKYILGRELGRGEFGVTYLCTDRETREALACKSISKKKLRTAVDIEDVRREVAIMSSLPDHPNIVKLRATYEDNEAVHLVMELCEGGELFDRIVARGHYSERAAAGVARTVAEVVRMCHANGVMHRDLKPENFLFANKKEHSALKAIDFGLSVFFKPGERFSEIVGSPYYMAPEVLKRNYGPEVDIWSAGVILYILLCGVPPFWAETEQGVALSILRGVIDFKREPWPQVSEKAKSLVRQMLEPDPKKRLTAQQVLDHPWIQNAKKASNVPLGDIVRTRLKQFSIMNRFKKKALRVIAEHLKLEEIEVIREMFALMDSDGDGKITYDELKTGLRKVGSQLAEAEMKLLMDVADVDGNGVLDYGEFVAVIIHLQRMENDEHFRRAFMFFDKDGSGYIELDELREALADESGACDTDVVNEIMREVDTDKDGQISFEEFVGMMKAGTDWRKASRQYSRERFKSLSVNLMKDGSLQLQDVLSGQTVIV >Solyc05g054420.3.1 pep chromosome:SL3.0:5:65197647:65207599:-1 gene:Solyc05g054420.3 transcript:Solyc05g054420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHCSGENADITEWEIDDYKEKSYVELKCGNQSFKISNVAYTCPYCPEKRGRHLFYEDLLQHASGIGKSTIRTARDRAKHLALAKYLESDVAGAVGPSNSSKELKSGTQSFRISDVAFPCPYCPETTKRDFSYKDLLQHANGIGSSNSLKRTARDKADHLALFKRLESDVAASTSSAKSYEEPMSRNEPKISNRTFACPYCSETRERKFSYKDLLQHANGIGSSKSIKRSAKDKANHLALVKHLENDVAGSTSSSKSYEEWSGSLKISNMAFACPYCPETRNRHFSYIDLFQHVNSVGTCNSITRTASDRANHLALAKSVEDDVAAGKLKSYEGLKSGNNSLKISDVTSVCAYPETRNRNSPYKDLLQHASGVGSSNSKKQTARDKGDHFAYAKYLESDVASASKSYEESASGKHSFNMSDRTYACTYCPETRNRDFSYNDLWQHAHGVGSCDSKKRTATDKANHLSLSKHLQNFAKYLEDDVSSAANASMPYERLKSGNHSFNNPDVAFACPYCPDTRNKDFSYKDLLQHAGGVGSCNSKKRTARDKANHLALAKYLSSDVAGGPGKSISYEELKSGNNSFSIPNGAYTFAYCPKKRKQDCLYKDLMLHVSEAGNCSSNKRTAKDITFAKFFRNDVAGAASPSKPDTLGNPQVDSLADHDLLEMFVWPWIGVVVNLPTEFKDGRYVGESGSNLKDQLIRKGFNPTRVRTLWNDLGHSGIALVEFKKNWFGFSNAISFEKAYVADDHGKKNWEANNDKKSDLYAWIARADDYQATNVVGEKLRKVGDLRTVSSIMEEESRKNCTLVSSLTSAIEVKKLHIKVLEDKLRETVQSVEQLIVVNAKLRQANNEEIKKIQSSARDHFQQTFINHEKLKLQMETQKKELDRRHEELQKREAQNEIDRKKLSEENEKNAILKTSLSAAVEEQKNVDAKVLKLAEELKKQNEELHKRIVKLEKQLNAKQASETELEQLRVIIKHIEEEGDQEVLENVDTHLKCLREKEEKYEELVSLNQTLIVKERKSNDELQEALKELVNGLKELPRRGPIGVKRMGELNSRPFFEAVKRRYNEVDAEQIASELCSLWEEYLRDPEWHPIKVVAVNGKHKAVIDDEDEKLKELKRTYGEDVYKAVTTALTEINVYNPSGGYIISKLWNYETGEKATLQDGVTVLLNLWKKKMTLN >Solyc12g021317.1.1 pep chromosome:SL3.0:12:15391539:15399716:-1 gene:Solyc12g021317.1 transcript:Solyc12g021317.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKYRRHSLGQFTVYSTYTRLNHPDQQAHLQSWKSVWKVKHQSKISSERNMVSRLKGDIKQLNFKLAQLQVSLEEKETQLHQLRSSSLLATEVIVGTDSSSNLLAGSGEPTVFVPASSHVNCVSASAQAGEREPKHSEDIKPDDTQVSTSKISNHSDTENDAQREEEFPEVRVDFQETFLGHTSPISRCRFSATGDNVASASVDGTVRIWTYDSSAPTSRNATIYCGAEIMSLEWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLSCTEAFPSVLDLKCSPVEPIFVSAAASRWLQFSCCEKRASKRGDPYALFYLEARDLKKALASDEEARSDSKAKAQ >Solyc12g049310.2.1 pep chromosome:SL3.0:12:61731817:61738967:1 gene:Solyc12g049310.2 transcript:Solyc12g049310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-like protein ATG12 [Source:UniProtKB/TrEMBL;Acc:K4DFL1] MAADSRKVIVHLRATGDAPILKQAKFKIAGTDKFIKVIDFLRRQLHRETLFVYVNSAFSPNPDELVIDLYNNFGFDGKLVVNYACSMAWG >Solyc09g015610.1.1 pep chromosome:SL3.0:9:10288609:10289226:1 gene:Solyc09g015610.1 transcript:Solyc09g015610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFTKIKKIKFSCERCKTLGPFEKQLLICHSPNVVVLHSKRFKYNGLVIQKVELHSGLSVSSRHYYNFIRCAPNEWYKFDDEKIKHTFYFIRRGVLHCFQTISKATYPSYVWLTLQLPMVLMSQL >Solyc03g123830.3.1 pep chromosome:SL3.0:3:72043255:72046344:1 gene:Solyc03g123830.3 transcript:Solyc03g123830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:D-3-phosphoglycerate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BN81] MASIPSPHTARLNSLLSSSSVNHNKPSNLSFLHASSNPSSIKLLHSVSPSISSSSTTVICNVLKTVESADISLSRDLHGVVSTSKPTILVSEKLGEAGLDLLKSFGNVDCSYDLSPQDLCAKISLCDALIVRSGTKVTRDVFEAAQGRLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTIAAAEHGIALLTSMARNVAQSDASMKAGKWLRSKYVGVSLVGKTLAIMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVSFEQAISTADFISLHMPLTPATNKVFNDDTFAKMKNGVRLINVARGGVIDEDALVRALDSGIVAQAALDVFTVEPPPKDSKLVQHENVTVTPHLGASTKEAQEGVAIEIAEAVVGALNGELSATAVNAPMVPPEVLSELAPYVVLAEKVGRLAVQLVTGGSGIQSVKVVYKSARDPDSLDTRLLRAMVTKGIIEPISDTIINLVNADFSAKQKGLRISEERIIVDSSPEYPVESIQVQISNVQSRFASALSENGNISIEGRVKYGVPHLTRVGPFSVDVSLEGNLILCKQVDQPGMIGKVGNILGESNVNVSFMSVGRTVKGKQAIMAIGVDEEPDKDTQKKIGEVSAVEEFVFLKL >Solyc02g081340.3.1 pep chromosome:SL3.0:2:45895912:45900117:1 gene:Solyc02g081340.3 transcript:Solyc02g081340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVYGSAMAACPQRVMVCLIELGVDYELIHVDLDSLQQKKPDFLLLQPFGQVPVIEEGDFRLFESRAIIRYYAAKYEDKGKKLTGTTLEEKALVDQWLEVESNNYNDLVYNMVLQLLVFPKMGHKSDLIVVQKCANNLEKVFDIYEQRLSKSKYLAGDFFSLADLSHLPSLRFLMNEGGFAHLVTQRKYLHDWYLDISSRPSWSKVLDFMNLKKLEMLPGPPKEEVKV >Solyc05g006970.3.1 pep chromosome:SL3.0:5:1552688:1555154:1 gene:Solyc05g006970.3 transcript:Solyc05g006970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLSHSPQLWTFSYRNTNPSFSFPKIHSFLHQNPKIQLKTQSFPILQTFSHLGRVQRLIRASSSDSFLEVIEEEEGLLANDEKPLKFLFWVLLWASVSVGLFAVSGDAKAAAADSIRASGFGVKVANALRSSGWPDEAVVFALATLPVIELRGAIPVGYWLQLKPSVLTVLSVLGNMVPVPFIVLYLKKLAIFLAGTNKSASKLLDLLFERAKDKAGPVKEFQWLGLMLFVAVPFPGTGAWTGAIIASVLDMPFWSAVSANFVGVVLAGLLVNLLVNLGLKYAIITGIILFIISTFMWSILRSLKNSMSSSS >Solyc05g014540.3.1 pep chromosome:SL3.0:5:8393104:8399907:1 gene:Solyc05g014540.3 transcript:Solyc05g014540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase alpha subunit B [Source:UniProtKB/TrEMBL;Acc:K4BYH3] MEEEIKAEFLKNGFSFDDETEILNKCLTFCIQYSLSPSDLVSSWDVYSLNRGLQLTVQSSHMGAFLQQLQNERREDIVKKEPGLHFYSSDISMMLNDEYENTKDIILGTPTDKRKTSQTETIVSARKTNGSISTSRKHLETITPFGQRKNKFVVQFTLNENANGESMKIENDEENPSDDIIKRVQPIKRCSLQIISSKPEPGCRFMYDRTENKFNFLESRIKDHTTALVASGLHEEPTDPTVASQRSVFAVGMICCEEEGRLKEKPILLQSSVEYSGGQRVRLDLQNLDHFSVFPGQVVGVEGHNPSGHCLIASKIVDHIPLSASSTENLPPTKKQAMDQYLQSANAVAAMPELSLIIAAGPFTTVDNLFFEPLAELLSYARRKQPQLIILLGPFIDSDHPEIKKGTVDRTFDEIFQDEILARLRDYVEYMGSAARVVLVPSVRDASHDFVYPQPAFDIQLPDLEHQINSITNPGIFCANEVKVACCTVDVLKQLSAEEISRNPQGGSKQRMTTLANHILNQRSFYPLYPPAEGVPIDFSLAPEALQMSTDPDILILPSDLAHFVRVVSLGERSEGEEVKCICVNPGRLSRGEGGGFFVELNYHGSCDSSSASVLRI >Solyc03g095550.2.1 pep chromosome:SL3.0:3:58184406:58187294:-1 gene:Solyc03g095550.2 transcript:Solyc03g095550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEECVDMKMEGLEKCKRSERGHLVLIHGIGGGGWCWYKIRSLIENCGYKVTSLDLKGAGIDPSDPNTILSFHEYNKPLLDLLSSLPHNEQVILVGHSAGGMSVTDAIHKFPKKIKFAVYIAATMLRTGFMSQQDVKDGVPDLSEFGDVYDMEFGLGPEKPPTSVVVKKNLQREIIYQMSPLEDSTLASMLLRPGPIQALQSAQFKEEEGVERVDRIYIRTTHDRVLKLEQQDAMIRRWKPQHVYTLESDHSPFFSAPLALFGLLVKVAASFD >Solyc09g092460.3.1 pep chromosome:SL3.0:9:72026043:72029433:-1 gene:Solyc09g092460.3 transcript:Solyc09g092460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLLAALSPTPSGWSTSKPFCSWTNVICDKSSATVTSINLDSQSVSGSLPSEITQLSNLKTLSLQKNKLSGPLPSFANMSKLADLFLDNNQFTSVPQDFLLGVPSLVTLSISENAGLSPWQIPMYLTESTNLGSLYASNASIVGVIPDFFDVFPNLQNLRLSYNNLTGSLPASFGGSEIMNLWLNNQVKGLSGSIDVIGSMTQLSQVWLHANSFTGSIPDLSKCENIFDLQLRDNQFTGIVPESVMSLPKLLNITLQNNRLQGPMPQFKDGVEVKLGTTNSFCKDTPGPCDPQVTTLLDVAGGFGYPLSLAESWKGNDACNSWSFISCDTTGKNVAVVTLGKRGFSGTISPAFANLTSLRSLFLNDNNLTGTIPERLTTLPNLQVLDVSNNNLSGPIPLFPPRVKFNHNGNLFLGTNITTGDDGGGNGSGSNSSGQGGSSSGGSKGPSRRHKRFGRVETPKKSNEMVKPSLPSVVGGSNRYTGGTSELQSQSSGDHSEIPVFENGNVAISIQVLRQVTNNFSEENILGRGGFGVVYKGELHDGTKIAVKRMESGAMGTKGMNEFQAEIAVLTKVRHRHLVALLGSCVNGNERLLVYEYMPQGTLSQHLFEWQELGYKPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVRNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKALDETMPDERSHLVTWFRRVLINKESLRKAIDSTLDPDDETYESISKVAELAGHCTAREPFQRPDMGHAVNVLGPLVEQWKPTTSEDDDGYGIIDLDMSLPQALQRWQADEGTSRMFDDFSISHSQSSIPSKPSGFADTFSSTDCR >Solyc02g030125.1.1 pep chromosome:SL3.0:2:25654843:25656103:1 gene:Solyc02g030125.1 transcript:Solyc02g030125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKMLKKGQAMVAHLFMMTMVTSNEEEEVDGRLQEVLVKYSNIFAELKSLPPTRAFDHAIPLKPGAKPINLRPYRYNFHQKNELEKQVKEMLRNGTWRFCVDYRDLNDLTIKGKYPIPIVDDLLDELHGVAIFSKVDLRDGYHQIRMRVEDVHKTVFRTQMGYYEFRVMPFELTNATATCQVLTIRFFNRFSENLFVFFDDILIYSRSRDEHLRHLQIVFETLRANKEAFKWSVDAETAFENLKVPMTTTPVLALPDYTQEFVVETDASHGGIGVVLMQQGRPIAFFSKVLATKHRGKSIYEKEYMALFNAVDKWRHYL >Solyc04g049224.1.1 pep chromosome:SL3.0:4:40955093:40957020:1 gene:Solyc04g049224.1 transcript:Solyc04g049224.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFRSDHIRHYALTKRFEIYEKMVSDQVQMNEATLKSVTRLTMKTRWSNPKLRSYGPALSVFCNKGDADKAFIFNNTCWRMVVYYLLHKFGEGVRQVVVSRVEKIKWDVRSIRKAIKKGGCACNGQSWLVDGKWTVSSAIVGLDGCCKCFAEKFVTIDLNPEEPEIFPKSVASITVQRVKEIQASKNLKDA >Solyc02g083060.1.1 pep chromosome:SL3.0:2:47172475:47173468:-1 gene:Solyc02g083060.1 transcript:Solyc02g083060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLKSALSHVALDLCLQFLSFSGKNLKNRDQVIEGMDLVVMNQGVDGRIFGIW >Solyc01g056887.1.1 pep chromosome:SL3.0:1:57389467:57391115:-1 gene:Solyc01g056887.1 transcript:Solyc01g056887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVAAIDAIIGWDIVVAECQFELTIKDDTGSTTTMISDKIGEELLSLTVAEIHDILCIKCNTFLQYGWQHHFVLMAITFGVYSTDFAAYLQEIDRYLNLAINDKFLNKPRCILNDAE >Solyc06g082480.3.1 pep chromosome:SL3.0:6:48308783:48326129:-1 gene:Solyc06g082480.3 transcript:Solyc06g082480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCGIGNRVEMEPRWVEMLGPDPSAVTEDCWAVAEEAVQEVVNCVHPTLDTEEKRKDVVDHVQRLIRCSLGCEVFSYGSVPLKTYLPDGDIDLTVFGSPVVEETLARDVLAVLQEEELKGNTEYDVKDPQFIDAEVKLVKCIVRNTVIDISFNQLGGLSTLCFLEQVDRLVGKNHLFKRSIILIKAWCYYESRVLGAHHGLISTYALETLVLFIFQLFHSSLNGPLAVLYRFLDYYSKFDWDNYCISLNGPVCKSSLPELFVEMPDYISNELLLSEEFLRNSAEMFSVPSRGLESDTRPFQQKYLNIIDPLKENNNLGRSVSKGNLYRIQRAFKYGARKLGDILLSPYDKVADETKKFFANTIERHRLNLVAELQYSNLIFGDEDTCSSLSPAEFYANARMLLKSSDGDFENDSLKKAYTSISNELLSSLMNGASSEMVSETGSFSDDALVSGFCQYRYANDPLASVPLNLGVSNGSYDCSSNGNSMSSLSWKHYYAPPFYFNKSSVENGNRGPELCQSDLSGSCLGVETPECPQESSSIYKAGTDCSEDFWSGGSEISSPRTSVLESVTLDIGERDLASTAGDIEAINPLVDLSGDYDSHIRSLLYGQCCYGCYLSAPVLNSPSSPSPSQNKNFWDTVRQSIPLGKNSFWQTNGNGMLVVEPAARPSGNALSSDATLRSGKKEMAQGTGIYFPKTEYQQERRKGRTKSKALGSHGQFHLHSGTHSYECVAFSDANHSEEISAVKSSVGGREKLASSSQSGGLLEESHANAFSNSSCRIEFGSLGNLSEDVLSHTSRDVILIPSAPQKVQLSEPACSKQGRDAEHSLRLKNEDEFPPLPLWKIQLVPNFSRIIFDMYSGGFSDNCKESEEEDLGYVETDPTGRYGRFEEVLGKGAMKTVYRAIDELLGMEVAWSQVRLNDLLQSPEDIERLYSEVHLLSTLNHPSIMKFYTSWIDIEKRTFNFITELFTSGTLRGYRNKYHRVNIRAVKIWARQILEGLIYLHEHDPPVIHRDLKCDNIFANGHLGQVKIGDLGLAAILRGSQRAHSVIGTPEFMAPELYDENYDELVDVYSFGMCMLEMLTGEYPYSECVNPAQIYKKVISGKRPRAFYKVQDLDAQRFIRKCLEPASNRSSAKELMLDPFLVIDDADSESVTMMRLQKPIYNDKIAIEDLHLNEDVPRTNMTITGKLHPEDDTIFLKVQIADKEGGVRHVYFPFDTVTDTPTEVANEMVKELEITDWKPCEIANMIDGEISGLVPQWKKWNQFESSDYHVLSYKDDDNDRHNPFQGFSSSSSSQVSLSGLLSSQVIDTNTNDRRWLHGDMFDDTSSQCSSHSANYSNFNYFSDDENDPATTTSTRQSQPATAISHHTSRFCPEENSNTGQSLARTCYKQCKAMLESKGTSSNSKGKGKDDARRLTRNKSLVDMRSQLLHKSLVEEVHKRRLFKTVGAVENIGFQQPYEDLKRSPRSMNCTNSMRLSCDVKGQGHKPRRH >Solyc02g092260.3.1 pep chromosome:SL3.0:2:54034243:54040744:1 gene:Solyc02g092260.3 transcript:Solyc02g092260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFCGNSIWTPQARNCFQRGITCSNSLKFLPFQFSCCKRLWGRSLKCRVLGETDDVGFEVSSAIKDDLFVGFFREAWPYFLAHRGSTFVVLISAEIVDSPHLDHLLMDISLLHGLGIKFVLVPGTHVQIDRLLTERGSEAKYVGRYRITDPDSLEAAMDTSGRIRLMIEAKLSPGPSLTGVRRHGDNSRWHDSVGVASGNFLSAKKRGVVEGIDYSSTGEVKKIDVSRIRERLDQDSIVLLSNLGYSSSGEVLNCNTYEVATACALALGAEKLICIIDGPILDESSRLIRFLTLQDADMLVRRRAEQSETAANYVKAVSQEDFNRLGYHGSNGSLPSQNGDVYSQRYNPTFQNGIGFDNGNGLWSSEQGFAIGGQEKLSRSNGYLSELAAAAFVCRGGVQRVHLLDGTIGGVLLKELFQRDGVGTMVASDLYEGARMARVSDVPGIKQLLQPLEESGTLIRRTEEELVKALHSFIIVEREGHIIACAALFPYFEEKCGEVAAIAVSPDCRGQGQGDKLLDYIEKKASSLGLQMLFLLTTRTADWFVRRGFSECSIDHIPEQRRKKINLARRSKYYMKKLLPDKSGIRIDCTFA >Solyc06g068870.3.1 pep chromosome:SL3.0:6:42810645:42814066:-1 gene:Solyc06g068870.3 transcript:Solyc06g068870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inducer of CBF expression 1 [Source:UniProtKB/TrEMBL;Acc:K4C861] MLSRVNSMQVWDMEGKQEEEKEENFSNKENTTNVELENKQDMELGALSTFKSMLDGTDVDWYHNNMQNHTENICFTQNFTELAENSMFLQPVVPVDSSSSCSPSSVSVFNNLDPSQVHYLLAQKAINNNPLDYSFNLGCENGFLEAQGMGGLNKGGFLLAGGGFHDLSSQNQMGNPNLNSFTQYPSSHLPQNTTTTGFSPLGFVDGSANENSLFLNRSKLLKPLDNFASNGAQPTLFQKRAALRKNLANTTGGSLGDFGGEIGQNSMNGENERKRKWGSGEELDDVSFDGCTLSYDSDDLTENVTNKVDDTVKNGGNSSNATSTVTCGNQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPRISKMDRASILGDAIEYLKELLQKINDLHNELESTPPSSSLTQTTSFYPLTPTGPALPGRIKEELYPSSFASPLSSPTGQPARVEVKAREGRAVNIHMFCSRRPGLLLSTMRALDNLGLDIQQAVISCFNGFALDIFRAEQCKEGQDFHPDQIKAVLLDSAGCHGMI >Solyc07g043330.2.1.1 pep chromosome:SL3.0:7:57159770:57160825:-1 gene:Solyc07g043330.2 transcript:Solyc07g043330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLDEAADLLPEIAELSSPFGSSAERVAAYFAESLSARIISSHLRFYSPLNLKSLTLTHSQKLFTALQSYNTISPLIKFSHYTANQAIYQALEGEDHVHVIDLDIMQGLQWPGLFQILSSRSRKLRSIRITGVGSSMELLESTGRRLTEFANSFGLPFEFQPFEGKIGHITDLNQLGVKIGETTVVNWMHHCLYNITGSDLGTFRLLTLLRPKLITLVEQDLSHGGNFLSRFVEALHYYSALFDALGDGLSEESAERHRVEQQLFGSEIRNIVAVGGPKRTGEVPVERWGDELKRIGFLPVSLSGTPAAQASLLLGMFPRGYTLVEENGCLKLGWKDLSLLTASAWQPCD >Solyc09g065870.3.1 pep chromosome:SL3.0:9:64373326:64379746:1 gene:Solyc09g065870.3 transcript:Solyc09g065870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNQESALNDAHSDHYHHSPERVRNHIGSNPQYHPTTYAESSMDSNYQAQVTSYTGGSDRANYQRNQQASYIADNFKSLDEVVTALREAGLESSNLILGIDFTKSNEWTGKSSFNRRSLHSVGHTANPYEQAISIIGRTLSPFDEDNLIPCFGFGDATTHDQHVFSFYPDHRPCDGFEEALTRYKEIVPYLKLSGPTSFAPIVNAAIDIVEANNGQYHVLVIIADGQVTRSLDTPHGSLSPQEQATVNSIVAASEYPLSIILVGVGDGPWDEMKRFDDNIPQRAFDNFQA >Solyc09g014770.3.1 pep chromosome:SL3.0:9:6868796:6881705:1 gene:Solyc09g014770.3 transcript:Solyc09g014770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHTVHLAMAALVGASIVAVSAYYMHRKTLNQLLELAKTIEKGKDLDGVETEEDGGGYSRNYAVRRRNRSRSNGYYRGSSASFPDVTMANSGEVEERRNGPIHVESIPAGLPRLHTLPEGKSRSTHSLRPTSPKSPVASASAFESIEGSDEEDNITDTTKLDTAYLQTNGNAGPDADGEQIALAAAASMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFVRLKISPGETPSADEAEVYRNLQVCLEMRQSYVFKEAVAPWVKEVISDPCTPKPNPNPFEFTPEGKSDHYFQMEDGVVHVYANEDSTEKLFPVADATTFFTDFHHILKVIAAGNIRTLCHHRLVLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYMTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAELTKQVFSDLSASKYQMAEYRISIYGRKMSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFLPLFEVTVDPDSHPHLHIFLKQVVGLDLVDDESKPERRPTKHMPTPAQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHAGEAGDIDHLAATFLTSHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPLPMFFLRGLNVSLSTDDPLQIHLTKEALVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRLEFRDMIWREEMQQVYLGKAVFPSFVDP >Solyc05g014210.3.1 pep chromosome:SL3.0:5:7979579:7984984:1 gene:Solyc05g014210.3 transcript:Solyc05g014210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMFFPHFPLTFFFCFCLFFSFSYAHLKSPETPHCDCSSAHDNSHENIPTKPANPTPANNNNQQEVPAKTANPTPANNNNQQEVPAKPANPTPANNNNQQEVPAKPANPTPANNNNQQEVPANPANPSPTQNNNQQEVPAKTANPSPAQNNNQQEVPGKPGNPSPAQNNNQEVPAKPGNPSPAQNNSQEVPAKPGNSSPAQNNSQEVPAKPGNSSPANNNNQDTSTRHNNFVGCFHKVYAFGDSYTDTGNANLLAGHTSSSSANSNNNLCDGHLMVDFLCDAFNLPHLPPFQNTSANFQAGANFAIAGSTILSQDDFSTKKLTNPFWKGLPMNFQTQIDWFSKFKQQIGCTDKNGKNCQAEMENALFWIGSVGVSDYARIQGSSLTSHWLTQQSVFQVSRLIEATVQSGAKYIVVQGLPPLGCLPLHISLCPLKAALDHMGCAAAVNAAVMLHNQILQKKLEMFRSLYPNCHILYADYWNAYLTIKMNLKKYQFEEAFKPCCGAVGGPLNFNLHSPCGSPGTVKCNDPSKFISWDGIHLTEAMNRKVTDLFLNQGFCQPSFSELVKGKSGM >Solyc09g005138.1.1 pep chromosome:SL3.0:9:117825:122951:-1 gene:Solyc09g005138.1 transcript:Solyc09g005138.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDLAFVYGWPSRLVRPIWKVKRAPKRADPSLRRFSCAIAHDFLGNSDSDVKNAKFFHGRPSRPCLCIRLAITACSTQLEAHDFLGDPDSDVKNANFFRERLSRPCLCIRLAITACPTHLEAHDFLGDPDSDVKNAKFFRGLPSRPCLWVRLAVTACPTHLEGQTNPEASIPLISTILMCYSTRFFGPCLCKRLAITACPTHLEAHDFLGDPDSDVKNAKFFRGRPSRPCLCIHLTITDCPTHLHTIFWVIRIPTSKISNFFVDVRQDLFYEYGWTSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHDFLGDPDSDVKNAKFFRGRPSRPCLCIRLAMTACPTHLEGQTSPEASIPLISTIFVCYSTRFFG >Solyc07g007440.1.1.1 pep chromosome:SL3.0:7:2158367:2159629:1 gene:Solyc07g007440.1 transcript:Solyc07g007440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIYNVYFLSLSLWLLMITVILNAQEIAESPSPSPPPYYRSRGSPFRPSIVIVVAILTTIFSIVFMLLLYAKHCKREGEFGMTGGGGGLTNAGSSSFRKNSGIDRTVIESLPVFRFGSLRGQKAEGLECAVCLNKFESTEILRLLPKCKHAFHIECVDTWLDAHSTCPLCRYQVDPEDILLISHENERKSDSTACSASPAKEKRMYSSSSGRHSSAGERGTSSSSLQIIVETPKQETPSFLNKRMSLDSWNFYRKKSKSTNSTSLSRKDGMLLSKKKAPAPATEPEVEDRRLEHRIIISGDEPELDTASGSRQRWSDVEACDRLYLRSEMLLSESRRYSGSRKRTAEMESGRRVINERSVSELTGMSRFKSNNNNNEEERERKGAVKRWLDWISQSQNKSMSDSGASVGAGATTSSFSAS >Solyc02g068210.1.1.1 pep chromosome:SL3.0:2:38787617:38787772:1 gene:Solyc02g068210.1 transcript:Solyc02g068210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTNVDQALINCHILAIPYPGRGHINPLMNLCKLIATTSTSNPLKHWNLK >Solyc03g044795.1.1 pep chromosome:SL3.0:3:10558872:10559689:1 gene:Solyc03g044795.1 transcript:Solyc03g044795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVEDVHKTAFWTYMGHYEFRVMPFGLTNVTATFQALMNQYFQSFLQKFVFIFFDDIFIYSRSRDEHSRHLQIVFETLRANVLFAKKSKCSFGEAHVEYLGHIITDEGVSTNPNKIKAMNYGTISRPLIDLLGKEAFKWSVDVETAFENLKVAMNTTPVLTLPDYTHEFVVETDAIHGGIGVVIMQQGRLIAFFSNFLATKHRGKSIYEKEYMALLNAVDKLRHYLQFKHFVVKTDHHSLKYFLE >Solyc01g057477.1.1 pep chromosome:SL3.0:1:61046363:61053045:1 gene:Solyc01g057477.1 transcript:Solyc01g057477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARYFDSITAGQFPYVLWKLYQTLKQHLPISGQEEVHELKKIAMSFEEKTRCIRNGKRNGYSTVAGKISIHLRRF >Solyc07g006590.1.1.1 pep chromosome:SL3.0:7:1425532:1425798:1 gene:Solyc07g006590.1 transcript:Solyc07g006590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSPRVADENMTNICRGGTTSRSTRQHNWNGFSIICGVFRAPLALFSCLNHPRINGTDGVWVSGEFSQISEMNHLMVSDSMRYAILM >Solyc01g096197.1.1 pep chromosome:SL3.0:1:87166707:87167525:1 gene:Solyc01g096197.1 transcript:Solyc01g096197.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLCSINLRSSQPFATMPPCVHAKIAPTNVTSSVQEITFLEGITENNLKASTTFPFLAYPAIKEFQMGTSFISISSKSFRAEGNKLILAYMSTSAVNV >Solyc09g061960.3.1 pep chromosome:SL3.0:9:61061071:61062389:-1 gene:Solyc09g061960.3 transcript:Solyc09g061960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMMNLAPTLTKLQNARAIIGHNPLRTRVFLGVTPKPFQVGKRHLGMAFKDEARNAAEKGADAAKQGAETAKKAAQEVTNETASVADEAVRKTKVMGEKVADAAQDMAGKAKETAQEAWGSVKDTTQKVKDSVLGKAEESKDAIKNNVNRTMNKN >Solyc04g077970.3.1 pep chromosome:SL3.0:4:62922295:62927968:-1 gene:Solyc04g077970.3 transcript:Solyc04g077970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMAQKVALKFTRVNFVPEKVTNRYYHRGNSVQIKSPTTTLTTLPSRNTRLFSPISVSKGAAGAGAGEEPELKTIPIGDLVSSEVMAPHDLKDERIAGIASAIRVIPDFPKPGIMFQDITTLLLDPKAFKDTIDLFVERYKDKNISVVAGIEARGFIFGPPIALAIGAKFVPMRKPKKLPGEVISEEYSLEYGTDKIEMHVGAVQAGERALVVDDLIATGGTLSAAIRLLERVGVEVVECACVIELPELKGRERLGDKPLFVLVS >Solyc08g078680.3.1 pep chromosome:SL3.0:8:62580607:62583818:1 gene:Solyc08g078680.3 transcript:Solyc08g078680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSKGTFKEILISAGIKGSSHKEGESTKQKSKILHPPIETFWQLPPKKDQKKKSIKSRTAKTIMSLALKKKSKSLQVILGGSHDPKDEQIVDSFRQLVFLEGQLIDKNNDYHTLLRFLRMRDYDLQKAKNMYLNFLKWREEFHVDEISKYFLIENLPYVRQLFDSLSIMMLQEFMFEEFNEVKQCYPHGFHGVDRYGRPIYIERIGMVNLTRLLEVTTIERFVKYHVSEQEKTLNWRFPSCSLAAKKHIASTVSIVDVKDVGISNFSKPARLLFLEIQKIDSHYYPETLHRLFIINAGSGFRVLWKAIKAFLDQRTLAKIQVLGSNYTKTLLEVIDPSNLPTFLGGNCKCSEYGGCLFSDKGPWNDPSVTTLLQVLTCRDVDSNLMIFVEEENIDDEEQNCSASKDSFDGLTPDNVSIKDVYDVTPSRDDTFGQPVLQKILTLQSAVNDTKSKIQALEAALTDMTSVIEGLGQPIEELKKQILVSKLRA >Solyc01g012540.1.1.1 pep chromosome:SL3.0:1:9576996:9577178:-1 gene:Solyc01g012540.1 transcript:Solyc01g012540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECLGDVVLDYVVTTHLYIKYSRLILRLITYLRSTFVNNECSAQSEVKASMLEHIVHASR >Solyc06g072720.3.1 pep chromosome:SL3.0:6:44990542:44994502:-1 gene:Solyc06g072720.3 transcript:Solyc06g072720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFTGEAGFAGGNVIPDSTAGVGGYDSWGPNFSDQAVWATEDDYKAWNSGPYCETPSNSSQDGRHSQNRSGSEPPNKKSRNVQDSDARIIVTSSSKAIGKMFFKTKLCCKFRAGVCPYVTNCNFAHGIEELRKPPPNWQEIVAAHENERGVMVELREEHQIPILSSPDLRGESQRSAKGRHCKKFYTEEGCPYGDSCTFLHDEQSRSRESVAISVTPTVGGFGNNATGATQKPSNWKTRICNKWETTGYCPFGSKCHFAHGVAELNKFGGGPAETDGKDYVSVPPELKQGGVPSRATESTVPSTIPALHTDVYHLGQGVQVQRPTGIADRPGQRFFQKWKGPDKISKIYGDWIDDIE >Solyc07g066330.3.1 pep chromosome:SL3.0:7:67926553:67931631:-1 gene:Solyc07g066330.3 transcript:Solyc07g066330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNSLSMVESKLPPGFRFHPRDEELICDYLMKKVDQQSEYQQQYPLLIEVDLNKSEPWEIPEVACVGGKEWYFYSQRDRKYATGLRTNRATVSGYWKATGKDRAIIRKGSLVGMRKTLVFYQGRAPKGRKSDWVMHEFRLELPIRPQISSIKEDWVLCRVFHKKKELLATKQEIGSNNIYYDNDTISCSSLPPLMDPYITFDQTNPNNNNMNMNELYYEQVPCFSIFTPNQTFTSHSHHLPSATTAIGSTAYGGFPADIGNYLNATATSSTCDNNKVIRAVLSHLSTKNNIIMEGNNSNNNNIINPAQNIKGGNSPSFGEGSSETSFLSEVGYPTMWNNY >Solyc04g039930.3.1 pep chromosome:SL3.0:4:12380306:12380931:1 gene:Solyc04g039930.3 transcript:Solyc04g039930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHMYRNSSLFSKVYVRKDSNPEALSTYLASELTKLGVLYLHVFEPRDEPCCLKSIRNAFEGTLISNLVSLSRLFLANHPDLPNRFEVNSPLNKHDKSTFYRTDPVLGYTDYPLLEIKGARSSVLLS >Solyc01g066575.1.1 pep chromosome:SL3.0:1:74459715:74465023:-1 gene:Solyc01g066575.1 transcript:Solyc01g066575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRSAQPHNFNNQVNNNQMNLHTKKTQSQNSLGRRMGLVCDHCGYKGHTRESCYRIVGFPPDFKSKRKGSGSMNEAYANNFTSESPVPGSGSASSFYFPGGYFTKENYEQVTKMLSPTSPTGNCRAGANAAGPCPLLAPFLDNIDDANNDVNDVNLDSCHDHIEESSNNTERLQESSHSPHNEPASKVGCRRTTRTSKPPVWIKDYVVPHKSSPHSITNHEGTEMIVIFVYVDDLLISGNSSRMVQEAKDTLHKNFKMKDLGSLRYFLGIEILKSKEGLLLNQRKYALQLISEAGLSGSKTVSTPLEFNQKLTSVEFDQHTGGSDDAELEDVTAYQRLIGKLLYLTITRPDICFSVQVLSQFMQHPKVSHWEAALRVVRYIKRSPGLGVMLKRGTVVTKLTGYCDSDWASCPNTRRSITGYMVKLGDSLVSWKSKKQQTVSRSSAEAEYRSLAALVAELIWLAGLLNELNFPAATPISVTMASSSNLYLAIIPFDNQERLVILPAVLYIDSYGITRHSLMEDASVQGEGKDQRLKKRNINARSKGEHILSSQDRGNSSGDGNIPLVEMLSQRRSRFKHSSHFCQPLAMILPEALEGPSSTLSAKVPVRVTWSLQLTRDAALRKLAHDTVNSRNRKRNSSKPKCFDLEEFDDDYTARTLAVRNRSVIKGKIISGFGGGKMDELVVLFQAQGWTELLLQGPHGRKMGRSVFEHFGVPVKKWQIQTISDVLGKVEYMNMLGTQKMFDLKAQRLRASLAAKEEEMKALRMAHLVEIEQICFAQLEREKLIAENCRIKDKLAQTQAALHSRKRCEFGAYTKYHWFAN >Solyc02g020970.1.1.1 pep chromosome:SL3.0:2:21302246:21302683:1 gene:Solyc02g020970.1 transcript:Solyc02g020970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEFFTKFVPKKLTKDDKIEKLEMDWNGVLAIKRDINYDELNLDVGGGEASSPNVERVFSSVGDGGGGEFTPNVDRCTDGVDFERRGDFSDISGGFGVETSSTIDENIPCLQETPSTKETIYLLNVRVESLEKTIVTMNVRLSL >Solyc12g017830.2.1 pep chromosome:SL3.0:12:7453579:7453891:1 gene:Solyc12g017830.2 transcript:Solyc12g017830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIKFLVKKLTKEERKDQSINQRESGGGSTLKSEPTFPSSFFHFQISEFSQQDLNNSSFYSVTGIALMLSNRPF >Solyc01g103453.1.1 pep chromosome:SL3.0:1:91943995:91945406:1 gene:Solyc01g103453.1 transcript:Solyc01g103453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYAKKIIHTGELEVRSVEVLVALCGDPPQQALLGTEMHVVSGSLHSHNDHIHPTYGVDPPLF >Solyc11g073180.2.1 pep chromosome:SL3.0:11:56525130:56527172:-1 gene:Solyc11g073180.2 transcript:Solyc11g073180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEMASLWSYQETIDEMRHKLVYTSLELEKMSEEMMKNKEYVKQLIQLLKMVCEERDELHKLVDSMKSTKANSSITDQSNSNNYYSSPELEYSNINSNPVEVDNVIESFVKGKTLPQQGKLLQSVVEAGPLLQTLLVSGQLPQWRNPPLPFKHLNYPASTSTINFANSYSASSLENHSFNPNRNTTFVKRQRLH >Solyc10g052643.1.1 pep chromosome:SL3.0:10:53096123:53096859:-1 gene:Solyc10g052643.1 transcript:Solyc10g052643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSYIVNQKDHGCNWLLSVLVEIFGRRKALTIITQYFELSNNETTMSIVLQAANEVFSLLLANLIIRGDAYAYSNLEDKVLIGVGSIVMNGPRQVLAKRPNTELSVDVWDPG >Solyc10g006640.3.1 pep chromosome:SL3.0:10:1138998:1143083:-1 gene:Solyc10g006640.3 transcript:Solyc10g006640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQLGGGNWWENNTSTSSRNRFDSSGSSITPTTSTSATTTDYSSNWPIQTNHVDIKPRTFLDSVSVSQSSDHDDKGEGGGGGGGGVLSSHDSNFQIMGLGLTSQPHDWNHQSLLNYEDSSSQMNIRGFSLDQNNSIFSHSSNYEVTDNHQVLHSSTTNSWSKFPQFLRASPSPEQPPLPPPARPLPPPHSQLHFSNNTPFWNASAASMNDVPSSLFPSNLHNIPNNTTIDEKAKNMGDVRDINRIISKKTTTIETSNKRPRNETSTPSPIIKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHDQIGALSAPYMKSGASMQHLQSDNKSEDIGEGRNKDLRSRGLCLVPISSTFPVTHETNVDLWTPTFGSTFR >Solyc04g074310.3.1 pep chromosome:SL3.0:4:60403088:60409496:1 gene:Solyc04g074310.3 transcript:Solyc04g074310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQHYRSPFGDTTYTKVFVGGLAWETPTDVMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTYRDPESARRACDNPNPVIDGRRANCNIASLGRPRPSPPRGGGIPQGASSSSYSGVAGGAAAPLPLHPPPATPMIYSPYGYATYPPDYYHQQMYGSSSSPSPAYYYGYSMQGSRGTFSAATQRFQSPTASYLYYPTTTAHHLPADAASFTPPPPPLLQHPPHAATTRLQFPSPTTESQNPQQTSEDTTEVGAVTTESPNT >Solyc01g016640.2.1 pep chromosome:SL3.0:1:20779609:20780575:-1 gene:Solyc01g016640.2 transcript:Solyc01g016640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRWIQSSGFFQIVNHDIPIFVLDEMLQGDRKFYKKDINFKKQYYIRDIMKKYCSYNKAFPHPKLTIGTSKHYDYEFLTHPLKDHIIGLQVLHQNQWVDVPPRRGALGVKIGYLLRVNISMYIRGEHTVLANKVGTTVLVLCSFMFTEGNPQKYHATIVKNYRWCYH >Solyc01g010060.2.1.1 pep chromosome:SL3.0:1:4623523:4624224:-1 gene:Solyc01g010060.2 transcript:Solyc01g010060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDSDSESTKKRLTLFYSIYLFYTFFALKPSFPLYITCLRGIFLNNIHSNINSSIIFHKIFNRMDVTSDDYSTKQSLNNKDDLFLPTGTTSTQDALYRIAFLTAIAIFQLPFERIKEDLVPTVIFTRKPLLFQGFVVSLMFAFSGSMASESMNEKQPKMALLCHKLAMFAVAVAGGVFALSSLLSYYNIEMVPDVVQTPSLYD >Solyc08g036500.1.1.1 pep chromosome:SL3.0:8:11161865:11162308:1 gene:Solyc08g036500.1 transcript:Solyc08g036500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFGKSKSILGHQTLQLMRRPYGVKGSVYVVTLPAFQRYLEDGPDAAERRPGSGFPTGRGTGDGHLKAHHDLQATPARPGKATRLGVRGSIVPAASRTSYSSFSKARGRDLFSATEKKGSRFDSAQPNDTSNTNDLCLECVARSLL >Solyc08g048430.3.1 pep chromosome:SL3.0:8:13019530:13020684:1 gene:Solyc08g048430.3 transcript:Solyc08g048430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKSDLRSTIYPEPVDHFNQLPDPVLLLIFNKIGDVKTLGRCCGVCRRFHSLVPQVDNVVVRLISISSRRCTASSSLVDDDFEKYSVTHHSPTQVLKNFDEIKLLRIELPSGELGIDEGALLKWRADFGSTLDNCVILGASSVIQPVSIDDLVEEQCGNGSNSLGDGNGETDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKKLASLVLTDVDGQGVLCMNREQLEELRVKPLSASSASKRTMVPALNMRLWYAPHLELPDGTVLKGATLVAIRPSEQPKREVGPDGNWVAAAFEEPYGTAARMLVKRRTYCLEMNSF >Solyc01g080880.3.1 pep chromosome:SL3.0:1:80048585:80055841:-1 gene:Solyc01g080880.3 transcript:Solyc01g080880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLQSKTVNVQSPDKEPSQDDSKTDLANGDQVDQDQVPVFKEFSLAELRAATNGFSSELIVSESGEKAPNVVYRGKLRSNRLAAIKRFSRQSWPDAQQFVAEAAGVGKVRHKRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRVRVAYYIAQALDHCNTENRKIYHDLNAYRILFDEEGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIAESVMYSYGTVLLDLLSGKHIPPSHALDLIRGKNVLLLMDSSLEGQYANEDATALVELASKCLQYEARDRPEIKFILNAVEPLQKQKEAASHVLMGLTKTPVVLPTMLSPLGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAVDYYSKLVSMMSVPSGTVFVRRALSYMMNGQPELALRDATQAQVCLPEWPTAFYMQALALSKLGMETDAQDMLNDGASFEAKKLNSWRN >Solyc10g086450.1.1.1 pep chromosome:SL3.0:10:65390847:65391344:1 gene:Solyc10g086450.1 transcript:Solyc10g086450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPDLFQVKQEEDDEKKIITYKIPDRGIEDIAPSQHDTVDQNQDENNPDHDDKKEILFQEEDLDKGSPCSGQFGTIQRRSTQTLCEDDDTYKSRNDDSDDDDGGFTTPTSSDHKIPVMTTCPPAPKKSIKRKVSDSPNIHPTLHVDFVDLEENIKKLRRNDHQE >Solyc05g053420.1.1.1 pep chromosome:SL3.0:5:64360390:64361808:1 gene:Solyc05g053420.1 transcript:Solyc05g053420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDHSHQQSPLPSSFKILKDYDTTRFMKRSKTEQNIIVSAECIKENNGSMLSTDEILRLGAERFIQSFTLRGDQEPYMFNHPFASSLLDLHEEDTRNVSLVENLLASAEKVGQEQFDRARRILNEFCDKLCSNTGNAVQRLVYYFSQALHERIDRETGRDTSKGNEMKRLVQHIEHSLMNLNLTMIAAHQNIPLSQVSQFAAVQAIIDHVGESKKVHIIDLKIGSGLQWTILMQALVSHQIKHLKITALCTNLKHKIEEARERLMDFAKSLNLPFSFNIVMVKDMTELKKEDFEIDDDESIAIFAQYILMWMLARPDKLDSLMRVIKGINPRALIVVEVEANHNSPVFVDRFVEALFFYGAFFDSLEDCMKNDERNRTATELEHLSQGIRSIVATEGEERTIRHVKVDVWRAFFARYRMEEMELSMSSLYQANLVLKNFACGSCCTLEMNKNCLLIGWKGTPLSSLSAWKFS >Solyc03g078510.1.1.1 pep chromosome:SL3.0:3:52468327:52468476:-1 gene:Solyc03g078510.1 transcript:Solyc03g078510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIERCHISSSMILIYIYIDFKYIRGYQSLNHLSERLFYFIPPNRTWL >Solyc02g070450.2.1 pep chromosome:SL3.0:2:40752374:40754842:-1 gene:Solyc02g070450.2 transcript:Solyc02g070450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAPLRSTTSLLSFFLLFFLGLNMFKQVESLGINYGQVANNLPPPEKVLQLLHALKITKARIYDTNPQVLTTFANTNIELIVTVENQMLATLCDQQQAVQWVTSHIRPYFPATNITGIAVGNEIFTDENMSLMTYLVPAMVSIHAALVKTGLSQYIQVSSPNSLAVLANSYPPSAGSFRSDLSEIMQQFLQFLATTKSPFWINAYPYFAYKDNPNKISLDYVLFNSNEGMIDPYTKLHYDNMLYAQVDAAIFAIARMGFNGLEVKISETGWPSKGDTNEIGATLQNAAIYNRNILRRQLLNEGTPLRPTVRLDIYLFALFNEDMKPGPTSERNYGLFQPDGTMAYNVGLLSTTSSNTEPASASISLASSAPPKVKRVGYQSLINWMMMMFVYFWWLCKC >Solyc05g008790.3.1 pep chromosome:SL3.0:5:3023391:3033211:1 gene:Solyc05g008790.3 transcript:Solyc05g008790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMERRTQNENNPPENIPFNVEDSIFSSNLFLDGDGEVIFTQTSDRLSWKSVESVLNGQDPSSCFGIELVSKSETTLRFSDIYSAEFIDWGLVHQAAFLGQSFEMYRFTIRGVQKSKTQPSVLVPSFYTFGHKDSQTCQMWVNQINDLLNRDAERPKNLLVFVNPKSGKGLGCKVWETVAPTFSQAKVKMKVTVTERAGQAIDMMSSITSRELSSYDGVVAVGGDGFFNEILNGLLLSRHKCSYPPSPTELNHPVENNGDGPVLDTNVDIRDPSDSGEDESPLLKQSTDLMYPELQEPEMILAKQKKKMMNFLSQMKSSDLDLFQQDQQMPFTTGARDAMTSALQIILGKAVCLDIAQVVRWKKTNTSKDEPCVRYAASFAGYGFYGDVITESEKYRWMGPKRYDYAGTKVFLRHRSYEAEVAYLEVESEKKNIGPEKESTGSWTKGLWSLLKKSERVACRANCNICKTKAGHTSAKCPSLQPYSKDSRWLKSRGRFLSVGAAVISCRNEKAPDGLLAKKDGNPLDFEFVEHHKTPAFTFTSFGKESIWNVDGELFQAHQLSAQVFRGLINLFASGPEA >Solyc02g063390.3.1 pep chromosome:SL3.0:2:36030614:36032741:1 gene:Solyc02g063390.3 transcript:Solyc02g063390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATHSNLKNALIAFLAPLPSLLFYLSFLRHYHEDHPIYNWCYHHPLLFANVLFFFNVNILFYAISYIQSSHWMIDLFWTVIPVMLVHFYANHPLAQYNVWRSKVVILLTWIWSIRLTHNYFRRENWQWGHRQDWRFTDMSHQYGKNWWWISFFFVYFSQQIFLIGICLPMYVVHSEDKPWNIWDFIAVFICLSAIIIAYYADTELYNFVSRNQKLKELGKPMVPNLDEGLWRYSRHPNYFGEQLWWWGLVLFAWNLGQTWTFVGALANSLCLAHVTVLVENRMLKQAYRAEAYKLYQRTTSVWIPWFKSSAKGKDKET >Solyc05g032750.3.1 pep chromosome:SL3.0:5:45134327:45138930:-1 gene:Solyc05g032750.3 transcript:Solyc05g032750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSRKKIPGNGKLLNDIETISKALYLDKTQPRLLMSTASSRSKSIGKARLPEPKSKNKDSARDLLDKDSNNKSMWSWKSLKSLTHVKNQRFNCSFSLQVHCIEGIPAFFNDLSLVVHWRRRHAELMTCPVLVSQGVAHFEEDLSYTCSIYGSRNGPHHSAKYEPKHCLLYASVYATPELDLGKHRVDLTRLLPLTLEELEDERSSGRWTTSFKLSGKAKGATMNVSFGYHIVGNGNTSGTLPSNRNVLGGQNSGAAKLLAQSERSDELSIIRRAGSLPAWSSYSPQSAEDVKDLHEILPLPSSDLYKSVEVLYQKFEEAKLEAPFEFKPEIDVFSHTVDNLKPELALLLDPVKGNVENECEIGDFSVIEQGIEHSLKELEGKEDDFVESVDDAVTETLVPDSTLKMPIAEAAQPVLLAEVLDSENEDLAVSANNFETDESAKELIMRELESALNSFSDLENEGLYSREHENEVIKNDGYLDAKENYKELKKGKSLSMDYITESVASDFLDMLGIEHSQFGPSSESEPDSPRERLLRQFEKDILAGGCSLFNLDMDIEEFAIDAPSVSQWRNISENFGYSSSAQLYEEKPKIAIEETSNKTRASMLEDLETEALMREWGLNEKSFECSPPKSSCGFGSPIDMPLEDPYQLPPLGEGLGNLLQTKNGGFLRSMNPAIFNDAKSGGSLIMQVSSPLVVPAEMGSGIMDILQHLASIGIEKLSMQASKLMPLEDITGKTVEQIAWENAPSLEGPERQNLFEHEFEFGQNLESVQSKKAKSHGPTSSKLETSSTTHMGTEYVSLEDLAPLAMDKIEALSIEGLRIQTGMSDEDAPSNISAQSIGNFSAFEGQKVNLGGAVGLEGAGGLKLLDIKDNGDDVDGLMGLSLTLDEWMRLDSGEIDDEDEISERTSKLLAAHHAISTDLFQDRSKGEKRRGKGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALVQVERVFVPPKPKIYSTVSEVRNNNEDDDDESAPPKNDSNVDIKEEKIPQDEPIAQYKITEVHVAGLKSEQGKKKLWGSTTQEQSGSRWLVANGMGKKNKHPFMKSKAANKSSKEAASSATTTVQPGDTLWSISSRVHGTGTKWKDIAALNPHIRNPNVILPNETIRLR >Solyc03g120340.3.1 pep chromosome:SL3.0:3:70244271:70251175:-1 gene:Solyc03g120340.3 transcript:Solyc03g120340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNEALISDPVDPLHSLAGLSLLPTTVRVSTGASVSVDSKDLESIHNFMKSMETKGPGLLEEAREIVDNGAELLNTKFTSFIRSKGIDGDLAIKGKEKVQERRPGLGRKRARFSLKPSTSQPTVSIAPRLDIDQLSDPVEFFSVAEKLEVAEKEIERQKGGSIHDPDVNNPPANARRRRPGILGKSVKYKHRFSSSQPENDDAFISSQETLEDDILVEHGSQLPEELHGLNVELQEAELTGPIKKSENRINKILDELLSGSGEDLDRDMAVSKLQEQLKIKPIELGTLCIPEFPVTGKFDGKALGERIQKPSKFFLEIAELVKSATEGTPSSHKQHEESPASKLASPTPPKSPFGSLSLLKKKLMQSNPLRDPFSPLNIDLQSEHPDWSAKKKSQCVNNNVGPIESRGCENTNIMVPLRGSDLVHEQPIEKNPGRDSVKTGPNGSRSGMEQHNGYDDIDANTNDNLNMRNVDSHHESDGLDKVKDDSVIKNVLKALQGLETKSYIDCQKLQDSEVLAETLPSLQAQGKAVDTANYTIETAVEDFGSTEIDPLVDNMLPETAPSAEQDHYFEDSVKDLNSDQLNSVGVEVPSRDVRPKFPEMSPQHHKQAKDKQQKAKELAVGRRERKHLSSRPSLADAGTSFESGVRRSKRMKTRPLEYWKGERLLYGRVDEGLKLVGLKYISPGKGSFKVKSYIPDDYKDLVDLAARY >Solyc07g065980.3.1 pep chromosome:SL3.0:7:67674027:67678597:1 gene:Solyc07g065980.3 transcript:Solyc07g065980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVGAGICVAERKLNVLGQSILSDVDENIIVTQPNGKAFTNGAFLGVNSDRIGSHRVFPIGKLQGLRFMCGFRFKLWWMTQRMGTSGQDIPFETQFLIVEGNDGSNFDQDNQQNSALYVVFLPILEGDFRAVLQGNSNDELEICLESGDPAVQDFEGSHLVYVAAGPDPFDVITNAVKTVERHLQTFCHRDRKKMPDMLNWFGWCTWDAFYTTVTSEGVKQGLESLEKGGIPPKFVLIDDGWQSVSMDPDGIESIADNHANFANRLTHIKENHKFQKNGKEGHRVNDPAMGLRHVVTNIKDQHNLKYVYMWHALAGYWGGVRPGVPGMEHYESKLSFPVSSPGTESQEPDDALSSLIKNGLGLVNPEKVLYFYNELHSYLASAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASIARNFPDNGIISCMSHSNDSLFSAKRSAVIRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSVHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGISLLKIWNLNDFNGVVGVFNCQGAGWCKVGKKNLIHDCQPGTITGIVRANDVNYLPRIAHDGWTGDAILYSHLHRELINLPKNTSIPITLNAREYEVFTVVPINEMSTGSRFAPIGLVNMFNSGGAIKEVKYETEGKCGLVSMKVRGCGTFGAYSSGKPKRIHVDNEEVQFDYDESSGLFTINITVPDQELYLWDVKVET >Solyc07g044710.2.1 pep chromosome:SL3.0:7:57854264:57857809:1 gene:Solyc07g044710.2 transcript:Solyc07g044710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISIPSSTTMFPMPQQVLRRNKEVVMAEEMGCARMLTLNRPDNLNYISAKVALALGQNFEKYENDDNADFVIIKGAGRTFSAGGDLHMFYDGRNTRDSGIECIYRMYWLCYHIHTYKKPHIALVHGMSVGGGASLMTPMKFSVVTEKAFSSTPEINIGFHPDCGFSYMLPRLPGRLGEYVGLTGEKLRGKEVVAAGLATHFVPSQKLFQLEKRLMTLKNGDEDTIRSVINEFSSNIHIDERSILNKLSIINECFSKNSVEEIIESFEAEGNRKGNDWIMPVLKRLKRASPTSLKITLRSIREGRTQTISECLRREFRISINIQRGIISGDFYEGIRAQVIDKDKSPKWNPSTLDKVHDDQLDLIFKPFEDHDLELQIPVDEEELYRWRGKYE >Solyc01g107650.3.1 pep chromosome:SL3.0:1:95021970:95025225:1 gene:Solyc01g107650.3 transcript:Solyc01g107650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTISEHYYTFWSFGVFFFLLLCPSFGLNIDGTLLLSFKYSILDDPLSVLDNWDYNDATPCLWNGVTCAPDMFRVISLVLPNSKLIGSVPQELGFIQHLHTIDLSNNFLNGTLPLSLLNASELQVVSLSNNDISGELTESIGGLKSLKVLNLSVNAFVGSIPQKLSSLKNLKAVSLSKNLFSGAIPSGFQFVEVLDLSSNLLNGTLPDDFGGDSLKYLNFSSNKLSGLVSPQFAKKIPTNATIDLSFNSFTGEIPESLALSNQKTEFFAGNTDLCGKPLKKLCTIPSTLSSPPNITTNPPAIAAIPKEINSTPLQDSDGTTQTAAQNQQQHGLKPGTIMGIIVGDLAGVGVLAVVFLYVYKLKKKNKASEATIESSIDKDQKYNRTPEPSILVVKEKDTIFPSWPCLTINQESSDTDDSKNQESEDQTDYETEQKNEKNKKTERSFVMVDGETELELETLLKASAYILGSSGASIVYKAVLEDGSAFAVRRIGESGVDKLKDFEQQIKGISKLRHPNLVRVRGFYWGDDEKLVIYDYVTNGSLANIGYRKVGSSPYHLSYEVRLKIAKGIARGLTYIHEKRQVHGNIKPSNILLTPDMEPIISDIGLHGLMHGKNTSKPDNSGRHFGSKRSTSASRDGLNDQPVHGSPYIAPAGFVVGCTSPYHAPESLESLKPSPKWDVYSFGIVLLELLTGKVFSDRELSQWTTSSVSDDMDRVLRMADVAIRADVESREETTVSLFKLGFSCASLNPQKRPTMKDALHVLDKVPGYSHY >Solyc05g052200.3.1 pep chromosome:SL3.0:5:63321721:63338279:1 gene:Solyc05g052200.3 transcript:Solyc05g052200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQYDWLIGAQYKSKELLKSDWTTIRKSPPWTIDSWGLGCLIYELFSGTKLSNTEDLCNTASIPKSLLPDYQRLLSSMPPRRLNSSKLLENSEYFQNKLVETIQFMEILNLKDSVEKDTFFRKLPNLAEQLPREIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSTDEFSVKVLPTIIKLFASNDRSIRVGLLQHIDQYGESLSAKIVEEQVYAHVATGFSDTSAFLRELTLKSMLVLAPKLSHRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIAGYLNEGTRKRVLINALTVRALRDTFAPARAAGIMALSATSSYYDVTEIATRILPNIVVFTIDPDSDVQSKAFEAVDQFLQLVKQHHEKTNTGDTSTTSMGTSSIPGNASLLGWAMSSLTLKGGKSSEQGSYAPASSSMPPSAVPNSSSIADSSSITPIHVSSSSDMTDQHVSVSPSLNDGWGELENGLEGLDGDKDGWDDIEPQEEPKPSPFLANIQAAQKRPVSQPKPQVASLRGSIKNDDEDPWGSVPATAPAPRTSSQPSSTRSSRTVDDDEDPWGAISAPAPSAKSSLNVKKGGSLDANDPWAAIAAPVPTSKARSSIGRGRGNKPTVPKLGAQRINRTSSGM >Solyc09g064748.1.1 pep chromosome:SL3.0:9:62336628:62337135:1 gene:Solyc09g064748.1 transcript:Solyc09g064748.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVSTPLAPHFKLSDAMSPNNETEQEYMSRVPYTNAIGSLMYAMVCTRPDISHAVGVVSRRKIDSQYLVGYCDSDYAGDLDKRRSTSGYVFTIANAPVSWKSTLQSTVALSTTEAEYMAITEASKEAIWLQGLLREL >Solyc05g008190.3.1 pep chromosome:SL3.0:5:2575124:2581364:-1 gene:Solyc05g008190.3 transcript:Solyc05g008190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVKVDNTESIPATDEVISEEMDMKKKKYLRGEAANLKDLKDKKLQGQLAVQEELFGKSAAAAAKAEKWLMPSEGGYLEGDGIEKTWRIKQDAIASEVDILSSRKQFDIVLPDFGPYTLEFTPSGRYMAAAGRKGHIAIVDMKNMSAIKELQVRETVRDVVFLHNELFFAAAQKKYPYIYNREGTELHCMKEHGAVLKLQFLRNHFLLASINKFGQLHYQDVTTGQMVANVRTGLGRTDVMQVNPFNGVIAVGHSGGTVSMWKPTSAAPLVKMLCHPGPVTALAFHPNGHLMATAGMERKIKIWDLRKFEVLQTLTGHCKSLDFSQKGLLATGTGSFVQVYGDLSGSQNYSRYMTHSVAKGYQVKKVTFRPYEDVLGIGHSMGWSSILIPGSGEPNFDSWVANPFETSKQRREKEIRSLLDKLPPETIMLDPTKIGSVRPERKKEKPSKEDREAEMEAAIEEAKNIHMKKKTKGRSKPSKIAKKKQEAVEKAKKPFLEQHANKKRKPTEETQLPKSLERFVRKKVAA >Solyc02g094770.2.1.1 pep chromosome:SL3.0:6:49777177:49777695:-1 gene:Solyc02g094770.2 transcript:Solyc02g094770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDGSSSSSSRLREEAIQVATIEAEMDEFRYNRERDRNLSLQVGDIRRSDNLQELVRGESQRIGEENIQAIEAEKLRLMEAAKSQEIESAKSSSMEAAQSSSGVNRMDESRQTLVTGESTQEFNTQIHQEKANRGSRNIDHRHETIMVESSLGLNLNIQQRGEDRGGRYIDSR >Solyc12g096430.1.1 pep chromosome:SL3.0:12:66337002:66344617:-1 gene:Solyc12g096430.1 transcript:Solyc12g096430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPSACAVCGNATSKRCSRCKMVNYCSDACQRSDWNSGHKYGCRDFQSSVKGNSEQSASTLQRRYMFGTSFVPFGGKNNQELFPYEEFLNYFYWGGSGYPPRRLINCGNSCFANVVLQCLTQTRPLLAYLLERGHRRECRSNGWCFLCEFQLHVEQCTWCHDPFSPIDVLSGLPNIGGNLSCGKQEDAHEFMRFAIDTMQSAVLAEFDGDKAEPLSAQETTIIQHIFGGRLQSQVACTACGNHSNQFENMMDLTVGINGEADSLEKCLDQFTAEECMDGEDMYKCDRCNDYVKAWKRLTILEAPNILTIALKRFKSGDTPGKLNNRVTFPESLDLNPNMSETGDGNDHFNLYAVIVHEDMSNALHCGHYICYIKDLTENWYRADDTEVIDVGIDDVLVQQAYMLFYSRHLARPTSLYPLESLNKPDKAEGKQHSTVAPLECHKTTVSPAICANPGSLPTDKKSVIFREEEELTSVTNFEGDTVDSGASRKVLQELQDVRESSADRETYLRKLSATYSFIDSCSEAVMQRAEGRYPVSDNEEKSEMWRSVTYDSLFGSSILERILVKCRMILLPMPLTEAHLKQMHPRSLAYLVKVHNLVYSVNEHTCSHLTRLYTLIWESK >Solyc01g106905.1.1 pep chromosome:SL3.0:1:94511440:94512479:1 gene:Solyc01g106905.1 transcript:Solyc01g106905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYILAQPCFDEWFQVMEFILAVILIQFDKIQHFVMSVFLVLNPLDFFGEDLTLSTDHWSQICIKEEDSEWYVLVNFTERKMEMKVPFWRKTVWATLRKTVKYLQGDRSMPCYIQVKLPAFCIFVVRVVM >Solyc07g055210.3.1 pep chromosome:SL3.0:7:63447429:63451944:-1 gene:Solyc07g055210.3 transcript:Solyc07g055210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aspartate aminotransferase [Source:UniProtKB/TrEMBL;Acc:K4CG60] MAMRAAISGRQLKLSSSSFGARSLSSWWRNVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLECVREAERRIAGGFNMEYLPMGGSVNMIQESLKLAYGENSDLIKDKRIAAIQALSGTGACRIFADFQKRFCPDSQIYIPVPTWSNHHNIWRDAHVPEKTYHYYHAESKGLDFAAMMDDIKNAPKGSFFLLHACAHNPTGVDPTEEQWREISHQFKVKGHFALFDMAYQGFASGYPEKDAKAIRIFLEDGHPIACAQSYAKNMGLYGQRVGCLSVVCEDEKQAVAVKSQLQQLARPMYSNPPLHGALVVSTILGDPNLKTLWLGEVKGMADRIIGMRTALRGNLEKLGSPLSWEHITNQIGMFCYSGMTPEQVDRLTKEYHIYMTRNGRISMAGVTTGNVGYLANAIHEVTKSA >Solyc03g019660.3.1 pep chromosome:SL3.0:3:62936965:62939628:1 gene:Solyc03g019660.3 transcript:Solyc03g019660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGISATLLPQFTVKQQNSPCYSTPNFAAEQQQCVPSIWSKVLPLAVAVSLVAPLSSSAIPSLNSKSTPVAPMTPFSQSKNLPTGLENGKIRPCPSVNPGCVSTNPQSSSSAFPLIISQNSSGNAIMQLQDAILKTQKNAKIQVVEDIPDGKYLQAEVDGGFGRDVLEFLVKGDSVAYRAMATKVTYIYPFTTAFGDSKGQEERMEMIAEELGWYAPSLDSMD >Solyc08g006010.3.1 pep chromosome:SL3.0:8:774222:780206:1 gene:Solyc08g006010.3 transcript:Solyc08g006010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVSPLPPPVQHPNTSSSPMLQAFLEQLDEQHKKQLQTQEAAVVQKDNDPKQSHLNPCPTHGFINRSISTLYRVSFNQNGSCFAIGTDCGISVYSCDPYCEMFRRYFDNGGGIGIVEMLFRSNILVFVGNGDNPQYHRNKVIIWDDHQNRCIGELRFRSAVRGVRLRRDCIVVILEQKIFIYNFADLKLVHQIETMSNPKGLCEISQTAVSPVLVCPGLQNGQVRVDQFTSKRTKFIFAHDSRIASFALSHEGNVLATASTKGTLIRIFSTQDGTLLQEVRRGADRAEIHSVSFDPAAQWLAVSSDKGTVHVFRLKVNLGNQDKTRTTPNFRGTLAAASSPLSFIKGVLPKYFSSEWSVAQFRLPGDSEFIVTFGHEKNTLLILGLDGSFIRCKFDPSSGKEMTQMEIHNFLKSEKAL >Solyc04g016370.3.1 pep chromosome:SL3.0:4:7168522:7185205:-1 gene:Solyc04g016370.3 transcript:Solyc04g016370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKQYSYSNLFNLESLINFQLPQLDDDFDYHGNSSQDESRGSPGGTAGNQINGTMSGRELKKKRRTSYSSDEDGDRDRAHTTYISEEKYRTMLGEHVQKYKRRLGNSSASPAAIRNGVPVMRGGGGSRDQKSANDHRGGAVRLASTSEFFNNSTQSLGNHIQSDFLGPYGGDRSIYEPAFLDLGEDITYKIPPPYEKLALSLNLPTMSDIQVNEIYLKGTLDLETLAAMMASDKKLGTKRQAGMGDPKPQFESLQARLRAQPTNNAGQRFSLLVSEAALEASSMPEGAAGGIRRCILSDGGVLQVYYVKVLEKGDTYEIIERSLPKKPKLEKDPFAIEKEEMERIGKCWINLARKEIPKHHKIFINFHRRQLTDAKRIAEMCQREVKMKVSRSLKVMRGAAIRTRKLARDMLVFWKRVDKEMAEVRKREEKEAAEALKREQELREAKRQQQRLNFLLSQTELYSHFMQNKSTLPSEAVTLGDEMINDPEILLASTEVRPGEEEDPEEAELRKEALKAAQDAVSKQKMMTSAFDSECLKLRQAAEIEPSQQDVAAADIDLLHPSTMPVASTVQAPELFKGTLKDYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLVVAPASVLNNWADEIGRFCPDLKTLPYWGGLQERVVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPVQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGSLNEHQLSRLHAILKPFMLRRVKKDVVSELTGKTEITVHCKLSSRQQAFYQAIKNKISLAELIDSSRGHLNEKKILNLMNIVIQLRKVCNHPELFERNEGSSYFYFGDVPYSLLPAPFGELEDVFFSGGRSPVTYQMPKLVYRGANRSSMLHSTTGQGVNKELFEKYFNIYSPENIHRSILQEIHESDVGYIRSGTFGFTRLVDMSPMEVAFSATGSLLEKLLFSIVRANRQFLDEILDLMESGDDDLCCSHLGRDKVRAVTRMLLLPSKSEANFLRTRLATGPGDAPFEALAMEHQDRLLANVNLLNSIYSFIPRTRAPPINAHCSDRNFAYEMLEELHHPWIKRLLVGFARTSEYNGPRKPGAAHHLIQEIDSELPITQPALQLTYQIFGSCPPMQPFDPAKMLTDSGKLQTLDILLKRLRAGNHRVLIFAQMTKMLDILEDYMHYRKYRYLRLDGSSTIMDRRDMVKDFQHRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQGDLLAPEDVVSLLIDDAQLEQKMKEIPLQAKERQKRKGGTKGIRIGADGDASLEDLTNSEFVGDDALEPEKAKLSNKKRKGSTDKQTPRSRPQKNPKNLQSASPNSLLEDDIDGFPQNIGMQQQRPKRQKRPTKSVNESLEPAFTATIPMNREGNHNHPLSDISSGGGRGGAEEEGLRHNNPYAG >Solyc02g070215.1.1 pep chromosome:SL3.0:2:40544802:40545559:-1 gene:Solyc02g070215.1 transcript:Solyc02g070215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKTRKICCITGLENESPNGSNMPCVLRCGVTLNMKFDRFGTHTQNITVIKMPFSFLNLKHNNFTQAKQPHSTPKDVLVDCVVVSEGTDSGTQNFVLLDFQLFRGGGDF >Solyc07g045170.3.1 pep chromosome:SL3.0:7:58390425:58408409:1 gene:Solyc07g045170.3 transcript:Solyc07g045170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAPTTDAGGGTSEDRRQIHNNNNAENSDGGTTMAMQRKRARRVSFAEMTSVHFFDRDEEYETPPNLSGKAENNSESEEVNLGFDQLVDDPKESWLLNEDREDGDEGNNEDEDEDGDDEMPLPRSFLRPEESPSPGSNFGSATSNDEDNFFGPVSPNFIRPGRLSDSAASDENHDITMDSTAFSMHFRRFVRSDSGIDLKTPTEVSFEEKTPTQTSQRSSMELTIANKPISQSCMPVANFSGVSDSSDMSLIGENSRRYDYGILSPDLEALLAEGQERLHAAVSGDTSVPKSPTSKEMEVGSTMMDLSGNGEQQANAIGSLKMPLEPLCQKVDADDGYKFLSRVVDGESSLRSTVPAPDNNDDRVNQSLKQLSNDFGENNMSVKDASVVENSEALCSNNGERSEFCGLPCDRVSPVVDSVSSSPATQRLIVMGSPSPVKQNSTAVSSPKDLISFLSNEKRGPWTSSASLQKSISKLPFLEDPISFLSNGKRGPWTSSASLQKSISKLERLKASAFSSFGGDKIPHMGVRALEFPKTPPLDSILKKRNLDMGVKRLDAAMTCSEEQISGSTMKEGERKTFTPGGSWSKALSSSEDVIQCEQSFGPEKPEKSLNQLEAGILPMDQLLKPADPSSSSRFSLSGKKNDMVTPNDLRQKISLISRTDSPLVDYSGREEVIAIAQKLVFTPEKSLDSKCTEHQSSPFKESKLDDEHLKSFGPVKKASSISNVTDGPSVTATAGNWYSASTLTEEQSGSPVVEGSKVLRQPERTHSIEAKLPEQTNELGNNEVLRISRDGSSHLSSAILDGNIQCATGFPELEIDPREKNKSSSACAASSSIQNLDSLVVEKTPVKWSSQSPSAKGHHSLAQSNSICFSIDKVMQSPRSNQSIGRPRNSSAHKRSSEELTFGDMEHTNEIIMSHRSPKLQRGVGNYPGTSGNPDDSGKEMHRAHDELRQWKDINSKFMDDADEWISLPKERLTMPAIEMVEDIVTRMQKAKTYDILHSQILTQKASVSNFQEKRAVEAIMLLCQLVHEKAKFHLRRVKKEKLLEKCQLLNSAIQKSQMSKINHSLHNSVTVSRGIQGDIISSERSSAYEKAPQEVPHNKGTTIKEALKISERKVATLTRSLHSSLKLKGEPKCADTIISVKEHLMRRSCCRFLHQDMQMFVIQNVRKGNGHYDIILNYLDLLVQSLKVTVGPNPSIIISNNLNDLLITKNFPNINACAALRFVLKAEISKKFGARTPAQETQVTSSLLGNSLDVVTEVQKAQTQFRNLADITFSTPTVEKLELQLHFMSFTTGKKVKLTLDVSCLNRGVYPSEVVPSQFAALAVPAKHSDDPLLGEIRDAVKSLRAGYMRIMRLCGCISQVVQA >Solyc10g008600.3.1 pep chromosome:SL3.0:10:2679395:2682756:1 gene:Solyc10g008600.3 transcript:Solyc10g008600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITLSFFSSSIPTIKKTHKISSNFPSNAFSHNQILDFPSSVARIKPLKLELKHKCCGIFTSDCGFQLRRKNGFIVEAESSGVDYGNSVDKAEIDVRGESTMPDRFRYLTKEAPDKPVRWPWFIALAFLLYAWRTVLWELGNWKKAVDAVFRFLGYISKLAFAVVYYFIGDQITAVIRFIESSIYSIRAFYSSVIAYAPVQELTTIIILASCVLAIGEAAAPDSVNSQPYLLTAAGIMGFAAVRGYISELFFWFILLGLFFFARFIKKRDYVSSAMPAAAALAAVGEPWVRLVVMVSYAALAILQYSKTPFNKSEGETIGAVRKVPVPLICAALAIGVRLAAKWAGYRHLTWMIV >Solyc10g084790.1.1 pep chromosome:SL3.0:10:64321171:64321652:1 gene:Solyc10g084790.1 transcript:Solyc10g084790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLPGLHHFSSVHFISKQAPQLSPLLKSTVLSAALFTPYPVLYKFPYPQIRTHGARGIRATIESGMSALPRARGVNAADL >Solyc01g098760.3.1 pep chromosome:SL3.0:1:89059098:89080069:1 gene:Solyc01g098760.3 transcript:Solyc01g098760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMVHVDEFNKDFHLVSFTFDALVDGSITIFYFAKEGPNCKFNPVYPEIKPVQIPFVKGLGQKFSQPSGTGIDLGFFDVNDLSKPLQGEEIFPLVISAESSLSSTPLDEKYVGQSLENSAHSQITEAVLVKNNEDHFQVKVIKQILWIEGVRYELREIYGINNSEETNVNDEESGKECVICMTEPKDTAVLPCRHMCLCGECAKELRHQSNKCPICRQPIEELLEIKRHHKLGYGDSRSLMVIENLIQKDWREVGTGNSEADRESASADRMNKQEMLKSQTCVLKVNIHCDGCKQKVKKKLQKIEGVYTVKIDSDQSKVTVTGNVDPATLIKKLVKSGKHAELWGGGQKGGSNFNMMNNQFMNMQMDNFKGGKDNKSQKGGGGGGNKEPPKGNAQQFLQMMQNLKGSKDNFKMPNIPNPKDQKSVKFNLPEDEFDDESDDFDDESDDYDDESDEEFGAPPPSKMMGGGSHGLNAMMMKGGPMGNNGGNGKKGGVEIPVQMKGMAGNHHGGGKNNNNGGGKQGKGGNQNQNVGKNGGKGNNNGSFGGAAVPQKGGGGGKMDGGLMMNSLQQGGPHGMMSMGQKSGGGPMTMGPMGHMSAAQGLPAGGPGGYPGMGQGGNNPYSQQQQQYMAQMMMNQQRPAGYDMYGAHPNMYGAHPMMYARQHPSVSYGPPPPMGAPVHDNFTHMFSDENTGSCSIM >Solyc09g064660.3.1 pep chromosome:SL3.0:9:62206838:62214579:1 gene:Solyc09g064660.3 transcript:Solyc09g064660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDVSMNTHLKAVKITLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGIVKDYLIFHLLDVFVCNFGP >Solyc03g096610.2.1.1 pep chromosome:SL3.0:3:60257329:60258756:1 gene:Solyc03g096610.2 transcript:Solyc03g096610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVGPNLHQDILFILEKCRSLTQLKQLQGHLITIGHGQTQLYAFKLVRLCTIYLSNLNYGRLIFNYITVPNVYLYTAMITAYTSLPNYKSSILLYREMVRSGLSKPNQFVFPIILKSFPEVTKPYGVGMAHTHIEKMGFGKYPVVQTALLDTYSRFSSDIRVARQLFDEISEKNVFSWTAMIAGYTRVGRMGDAILLFEEVPQHIRDTPSWNSIIAGCTQNGLFSEAISLLGRMIVEEGMIQGIKPNEVTFACVLAACGHTGMLQLGKCIHGYIYRNNLHLNSLTVNALIDMYGKCGSLKDARNLFDKANRGSLTCWNSMINCLALQGHWEGAIAVFKDMLRYGDDVKPDTVTFIGLLSACTHGGLVEEGLSYYDLMTRVYGINPEIEHYGCLIDLLGRAGRFEEIMKIVSEMHITPDAVIWGSLLNGCKIHGRIDLAEFALEKLISIDPNNGSYYSMLANLYGELGKWDEARKVR >Solyc01g091780.3.1 pep chromosome:SL3.0:1:85228439:85232271:1 gene:Solyc01g091780.3 transcript:Solyc01g091780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDPVREWILSEGKATKIKGISPIGGGCINRATRYDTDAGSFFVKTNRSIGPSMFEGEALSLNAMYETGSIRVPKPYKVGSLPTGGSYIIMEFIEFGASRGNQSALGRKLAEMHKAAKSEKGFGFHVDNTIGSTPQINTWMSDWVEFFAEHRLGYQLKMAREQYGDPIIYERGQRLARNLGPLFKNVVIEPCLLHGDLWSGNMTYDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGDFYKAYFEVMPEQPGFEERRDLYMLYHYLNHYNLFGSGYRSSAMSIIDDYLRMLNV >Solyc01g104580.3.1 pep chromosome:SL3.0:1:92931638:92935016:1 gene:Solyc01g104580.3 transcript:Solyc01g104580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRLTQNGINGTHHHPQYYPHPTSSSSSKASFKGCCCCLFLLFSFLLLLILAVILVIVLAVKPKKPQFDLQQVGVQYVGITPNPAAIATSSASVSLNIRMVFTAFNDNKVGIKYGESRFTIMYRGIPLGRGSVPAFYQPAHSVKRVETTIVVDRVNLLQADAADLIRDAALNDRVELRVLGDVGAKIRILGFTSPGVEVSVDCAIVISPRKQALTYKQCGFDGLSV >Solyc07g053570.3.1 pep chromosome:SL3.0:7:62111340:62113441:-1 gene:Solyc07g053570.3 transcript:Solyc07g053570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEVISNGFTHDNSIEGSNPPPLKKKRNLPGNPAPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKRVYVCPEKVCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCDKCSKRYAVQSDWKAHSKTCGTREYRCDCGTIFSRRDSFVTHRAFCDALAEETARVTAASNMHNPLAMANNINYHFIGAPLGPNMAQHFSSLFKPVSNNNNNNNNNENSDPMRRNQLSLWNNIGVAQEQDQIGININNLREIHQINPLLHHTSAPAPAPVYDANTLLASSRSNAPPVSYNNNNHINWDQFIGSKTSPINNAEQLSNTSSANISVPSLFSCQQQIALSSPSANMSATALLQKAAQIGATTTTDPSIFLGNFSMNNCSNNNNNKNNDNNKFCGFYVSTPTTNNSISNSLGSDVDQSSSVNDFSATIHPIQMYPPPKRRHIQIEDSVKGVEVGAGGGAGGQTRDFLGVGVQSICHPSSINGLI >Solyc01g090350.3.1 pep chromosome:SL3.0:1:83930827:83931556:-1 gene:Solyc01g090350.3 transcript:Solyc01g090350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:K4AYX6] MKNFALVLVLVVLAVVQLAIAGRLEQQGGVSCGQVDANMAPCISYLTQGGEPSASCCSGVKTVSGMAQSTDERRTACNCLKAAANRYANLKDDAAQALPSKCGVSLNIPISRTINCDTIS >Solyc11g065250.1.1.1 pep chromosome:SL3.0:11:50921240:50923360:1 gene:Solyc11g065250.1 transcript:Solyc11g065250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTSNVHCAPLHVSSLSQLNSQSVFSVKYAVKLLKKLADDGNFKLGKVVHALLIVSNHASENHVIQNNCLINLYSRCGQLSIARHIFNRLRQRNIVSWSTLMTGYLHNGFTWEVPKLLKDMVSVDNLFPNEYVLSTVLSSCSNGGLLHEGRQCHALVLKSGLVFHQYVKNALLSLYTMFSDVEGVLEILKSVPGSNNITDNVVLKGFLDHGYTNEALDVFSRMLSEGSVRDKISYVNIFGLCARLKDLKLGKQVHCRMLKSGLQLDVFLSSAVMDMYGKCGEILGARCIFYSYPDHNVVSWTTILAANFQNECFEEALKMFLQMELQDVVPNEYTFAVLLHSCAGLSALGCGKTLHARVEKTGNGTFVVVGNALINMYVRSGHIEAARALFSNMICRDTVTWNLIISGFSHHGLGEDALYMFQDMLAAKEQPNYVTFIGVLLACGHLGRIEEGLYYLQHLMRDFGLEPGLEHYTCVVGLLGKAGKLDEAEKFMRSTPITWDVIAWRTLLNACNVHRNYGLGQKVADHLLRLNPNDVGTYILLSNMHAKVKRWDGVAKMRKLLRERNIKKEPGLSWTEIRNETHMFVSDDTQHPETAQIHEKVRKLLAEIKPLGYVPDTNSVLHDVEQEQQEGYLSYHSEKLAVAYALMKTPSQAPIHIIKNLRICDDCHSALKLISKVTMRMIVVRDVNRFHSFQNGSCSCADYW >Solyc05g046285.1.1 pep chromosome:SL3.0:5:59323335:59324262:1 gene:Solyc05g046285.1 transcript:Solyc05g046285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIGQGHPTFGYKVLVKRKFSVFTKQESKYSCKTICIRVMLEEAFIFPVEFSTSTFLVLSFLNEETASSLSLSDVRQEATRDRKNGK >Solyc04g071010.3.1 pep chromosome:SL3.0:4:57961338:57962681:-1 gene:Solyc04g071010.3 transcript:Solyc04g071010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYRSPSKGASKNLTSRTHQQVLSFHFLHHIQNYAPKPKISEKIAMETRIGNSLSLLLRDPNDLKTDFNSLFNNLNTSLFSTPILHHKNPKSTNHHFSPNFKFCSTSVSIEAQIKNPTSNFLKPATRDSSKVQVLFKNLSVVERALIGAAGGGIAGAFTYVCLLPLDTIKTKLQTKGASEIYSGAIDAFVKTFQSKGILGFYSGISAVIVGSTASSIVSSAIMVPKELITQRMQAGAKGRSWQVLMRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLSNVKKERLEPFQSVCCGALAGAISASLTTPLDVVKTRLMTQVHSEAANKVGAVMVTGVSATVRQILTEEGWVGFTRGMGPRVLHSACFSALGYFAFETARLTILDQYLKHKELETLVPEEDATQAN >Solyc09g065015.1.1 pep chromosome:SL3.0:9:62919925:62932506:-1 gene:Solyc09g065015.1 transcript:Solyc09g065015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEGEELKKRKQVGEDTVHVSSSPGDFWRSRNGPRKFFDRYSLPKGWLYCPPYGDNIGLIIPSKVPLSESFNKNIPPGESYTPKEVIDQQRSLGREIGLVVDLTNTDRYYPESDWTSHGIRHVKIRCPGQDSVPDAESVDRFISEALATFAQARPPGIYRQMYVEALYDFYFQSKPELLVCPETPEWKRDSDQDNEVVAPSVPVIKKFIF >Solyc10g083580.2.1 pep chromosome:SL3.0:10:63490602:63492575:1 gene:Solyc10g083580.2 transcript:Solyc10g083580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPIINSSLISSFIILLLISQIVSVRLPPTTIQDNNKIEANGIIHSIPTQEDDFTNLMGMEKCEDRDEVCLNRRMVAEAHLDYIYTQNKPKP >Solyc01g005660.2.1 pep chromosome:SL3.0:1:460108:461408:1 gene:Solyc01g005660.2 transcript:Solyc01g005660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYVSKEGEGRWRTLPKKAGLFRCGKSCRLRWMNYLRPSVKRGHILPEEEDLILRLHRLLGNRCSTDRSPFNRLLELKHNVVIDSRENSRKNR >Solyc02g083650.3.1 pep chromosome:SL3.0:2:47528737:47533169:-1 gene:Solyc02g083650.3 transcript:Solyc02g083650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDDYEIEEKKQAAADVLFQYSNFVMACIGNQVRPCDLRLHLMKEVSGLPTSLKREHHPTSSPDVMGESSSSGISRLDKADSFRGP >Solyc03g007300.2.1.1 pep chromosome:SL3.0:3:1863005:1863277:-1 gene:Solyc03g007300.2 transcript:Solyc03g007300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FYKVSKCGFHIVKKRGDVLYPKRTKYSKYRKGRCSRGCKPDGTQLDFERYGTKSCRAGRLSCRTIETARRAIIGHFHRAMSGQFQKNGKI >Solyc04g026290.1.1.1 pep chromosome:SL3.0:4:17327465:17327626:-1 gene:Solyc04g026290.1 transcript:Solyc04g026290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLHLRLHVLLTRKSAASISACHLQSGLSRLRSRRLHLRRVVQQQHWSAFPTL >Solyc08g080410.3.1 pep chromosome:SL3.0:8:63816537:63823679:-1 gene:Solyc08g080410.3 transcript:Solyc08g080410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPNQEEIETFMSITGVSEATAIQKLEEHGGSLNEAVNAHFNQGDINRVHPAPVSAAPHEDDMEIDDPITEEFHRPPFSVFSSSRTPSAFSLLDPSFTRSMFDTDLTSRAPFVSHPRQVREIPIEVKDGDGQSGHSRNAPVIEDVTDADQTQGPETRGTVIIEEDDDDDEVIPGPLATRAVRRDRTNDDIFGGDSTAVFSGPSAPGGDVLPDYANDIEEEMVRAAIEASRRDAEMSTQQFDVNSDLSDSRPQPRESHLEDAELARAVSLSLKAAEQEKAMHEGSKAIPESEGYKPAEENEHGKSTISNGRQFRSEVGSSSIQDEAEDLEEEPLVWRRRKPISSGSETAQDVEERVVSPLSSPRQNLNHSPRNGADFQSDEWGGMSSLEHDEAVMLEAAIFGGIPEGTGYRLPSAPRQPIQNGADGPMGPYQWRMPRAPSPSLVAQRLLREQQDDEYHAALQADREKELKAKQEAEAALEEKRLEEEELRRKAEEEKEMERQLAAKEASLPQEPTADNENAVNLVVRMPDGSRRGRRFLKSDRLQSLFDYIDIGRVIKPGTYRLVRPYPRRAFSDGESTVSLDELGLTSKQEALFLESI >Solyc09g072885.1.1 pep chromosome:SL3.0:9:65986956:65987203:1 gene:Solyc09g072885.1 transcript:Solyc09g072885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIFLLRKFLHVHAFQVKCLEVSFVCYIFGVSRVNSSPIAGIQNCQLNLHVKVGFGETNDV >Solyc07g021497.1.1 pep chromosome:SL3.0:7:18797693:18798298:-1 gene:Solyc07g021497.1 transcript:Solyc07g021497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIGAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKRFFSQRSYIQKVLTRFGMSSSKTIDTPNAANIPLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVIGYSDSDYTEDIDTRRSMTGYVFTLGGSVVSWKATL >Solyc12g014185.1.1 pep chromosome:SL3.0:12:5043037:5043631:1 gene:Solyc12g014185.1 transcript:Solyc12g014185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSNVYVHPDLVEHDVLKTQVHHCSEGIWNGSIKEERSCLYTHRGGVQKHILFMRTGEATITLQDVEILFGMVVDGSPIILNGADSLEIIGRQEMIFELTGWLPDTSCFTGVSRLLTYKLIDYIEGLDGINDHSTEHEVQQRFTNIIN >Solyc05g015613.1.1 pep chromosome:SL3.0:5:11527410:11528451:1 gene:Solyc05g015613.1 transcript:Solyc05g015613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSYDQPRVEMPSTSWCLKVVHTFFFSNCEFSMSQARLLLQGLTYSKLEERRRSWASLIKKEILQSFRCFRLVRKDVCLESEIFEFEILNVKVTMKYKKKVVLHPSTKGVYRWSKDYLLFIS >Solyc12g005090.2.1 pep chromosome:SL3.0:12:39882:71553:-1 gene:Solyc12g005090.2 transcript:Solyc12g005090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGDMVWERIRQKAEYTEYGTLVHLPDNFQATHICAEAKETCYNWFCKVGSIRELLPRIYLELAIYHCWRFLSEQPANNLPRLVMMARGIADPLASFYCRLYLAHCAQKLPQRDIGLLIISMNDMKILLMNGAHVLSTKKPSGALSGTRSSKLGLMEPAIEYVMKCLFKESCELLQIGDILMGLGLARNQSELFGNSSCVSLVLHHLLRELPIRIVCSNALDILHLIECSNDYSFDQCLNYKLLGLRLCENISHVNEVNLVMKKVIQVVSQFNSLDEYLNVVDAHVDIALQKHMNSYLDSILDGIFERTLDDEIGENELSSLQSILLKILNHFDNLENILRLNHFNQILSVMQGSSRTIVNTQILSIATRNSCIRDPTTIQFLFEVSRSLHDSINLSTIKEKENNHSAHLVSRFIHMVDYDSEVELHLDFLVQCRGAFGSMSEVKEMIVHSSNLLVVKATRNDISDVIFVKSCIACSEVTISSIPSHLKQLNLYLETAEVALMAGLVSNSDGLVDSALRCLHNVDLFEGSRMPKDIDGFQSTLCKFCSLIVMIPGNIERGVTSIPRNMFSILSSLSWMLPSMKAKMLCALILTVAALSQNNLLYHATHDEVMGNDSLFYCDQQYLQELSSFSAVLLQSLIDTVVQEPIQAARGNLALDACNAIASSFEVCQGASDFSSKLVETAKLSLSSNNKYLQSTIEFLNNRGLVQRGET >Solyc10g085980.2.1 pep chromosome:SL3.0:10:65093109:65101519:1 gene:Solyc10g085980.2 transcript:Solyc10g085980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLASIIHNNTTQSPPKFHKISPISSPSSSPKWVHFQLAHLRFPPPISVGCKCRGRRYSAVVSCLRKSEQGEELSNAEERTQGSGTYVSSVKTVALCVFSAVAFGVGVGLTDGVSKSSEFFAGYLLEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIIFRLSIILLGTATLQRFEAVNLLLAGILLYSSFKLFTGEEEDADLSDNFIVKTCQKFIPITSEYDGDRFITLQDGVWKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFTSNLFAILGLRSLYTLISESMGELEYLQPSIGVVLGFIGIKMILDFFGYHVSTEASLGVVATCLSAGVLLSLVKKSDQ >Solyc01g068240.3.1 pep chromosome:SL3.0:1:77164157:77174204:1 gene:Solyc01g068240.3 transcript:Solyc01g068240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSKIFLFGSFTEDETKSLLNQPSGCDGNKHVEEKVPVNSSESEPGFSFGSFGAVSNSQPASSKERVGSDSSTTLKEEKAGADNLLREINQNGDVHNDYYINGHEESAMAHNIDLSNLCVLEDEAKAINKLSRTTGRDDDALLSKGKLNGTTSNSAKILHSEEALPEVSSGPLDVAGLLPRGLINPGNLCFLNATLQALLSCSPFVELLLKLRILSISKAEYPTLAAFVGFLSQFVVPSPTSSMKKDATSVEVGTPFSPAMFDAVLKKFTPDVPKSISGRPRQEDAQEFLSFVMDQMHGELLKLEGQSCSSGKNSSVVSSEDDEEWETVGPKNKSAVTRTQDFVPSNLSAIFGGQLKSLVKARGNKASATVQPFLVVHLDISHEAVHNIQDALRLFSAPETLEGYRTAAGKVGVSTARKSISIQTLPKMMILHLKRFGYGSYGSTKLLKPVHFPLELVISRDLLASSTTEGRQYQLVSTITHHGREASKGHYTADCRHQSGKWLRFDDASVTAVTTNRVLHDQAYVLFYKQV >Solyc05g016130.2.1.1 pep chromosome:SL3.0:5:14614005:14614250:1 gene:Solyc05g016130.2 transcript:Solyc05g016130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAQSEGNYVKALQNYYEAMRLEIDPYDRSYILYNIGIIHRSNGEHTKALKYYFRALEQNPFLYIYIYIYIYILVSIYIIVI >Solyc03g115847.1.1 pep chromosome:SL3.0:3:66921371:66923549:-1 gene:Solyc03g115847.1 transcript:Solyc03g115847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYQRLWMDLKTGQLIMKGLEKVDVGYVRVHITILVDMNEGKTLENQINHPNIDRFFIFSMTNFVSQARYAFELLTNKIWKRDYDLYSIDEQFVSFLFFQHVVEKAKEQYVGRSISEITFPLLETISIDPEDHAVDVINSENFLSKLGTDKALLIQIFSPGSARCAQFSNKWKRIVTLLDGVADTGVIDVADVQLATYLAEKRPGGLPYFRHGKYFN >Solyc08g082610.3.1 pep chromosome:SL3.0:8:65449378:65452994:-1 gene:Solyc08g082610.3 transcript:Solyc08g082610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINNNNNQSSFWQFSDQLRLQNNNLANLSLNDSIWSSNYGSKRPEERRNFDIRVGGDLNSIANTSSNKSNYNLFSNDGWKIADPSALTAANGGAAAGNGVLGVGLNGGFNKGVYSNQALNFSYNKGTNNVAVGTKGINKKFGKGFFEDEQKSVKKNNKSVKESNKDVNSEKQNGVDKRFKTLPPAESLPRNETVGGYIFVCNNDTMAENLKRELFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGVFELMHKPLQAASFGGSNIDPSAWEDKKNPGESRFPAQVRVVTRKVCEPLEEDSFRPVLHHYDGPKFRLELNVPEAISLLDIFEENKN >Solyc04g056590.3.1 pep chromosome:SL3.0:4:54485346:54495509:-1 gene:Solyc04g056590.3 transcript:Solyc04g056590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRRKGYLIFLLILVLAVAVKGENVVFNVKHKYGGRGGSILKELRAHDSRRHGRMLAAVDFELGGNGQPTDAALYYTKLTIGTPSKDYHVQVDTGSDILWVNCAGCMRCPSKSSLGIELKLYDLKASSTGKSVSCDQDFCTDMFNAPYSDCRVGTPCEYQVTYGDGSSTSGYFVRDFIHFDQVSGDLQTTSMNGSIAFGCSSRQSGELGSSTQAVDGIIGFGQANSSLISQLAASGKVNKVFSHCLDSSDGGGIFAIGQVVQPKVKSTPLVPNEPHYNVVMKGIEVNGEALNIPTTIFDAGSNRGTIIDSGTTLAYLPNKVYDALMSKLMVRQPDLTTHLVEGTFHCFFYSKKIDDGFPVVTFQFANSLYLKVYPHDYLFAVSENEWCIGWQDSGMQTKDGKEITLLGDLVLSNKLVLYDLENQSIGWTDYNCSSSIKVRDGTSGKVYTVGAHNISSASTLNSRMVFTFFILAISLLCNLLK >Solyc03g113880.3.1 pep chromosome:SL3.0:3:65416252:65419023:1 gene:Solyc03g113880.3 transcript:Solyc03g113880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLTKTRKIPKRKKPDGRCSPFPCPPSKSSRKANVTAGSSNDSEPFPDYSQPTPEECRAVRDDLLALHGFPKEFIKYRKQRSLDHIKYEEDDISGAEPCTESVLDGLINTILSQNTTEANSQKAFASLKSSFPTWECVLAADAKLVEDTIRCGGLAPTKTSCIKGILSSLLQKKGNLCLEYLRELSIEEIKRELSCFRGIGPKTVACVLMFQLQRDDFPVDTHIFQIAKTLHWVPAAADVKKTYIHLNRRIPDELKFDLNCLIYTHGKVCRECSGKGSNKPKKEQFDKLCPLLGQSSDAI >Solyc09g082310.2.1 pep chromosome:SL3.0:9:68497892:68505962:1 gene:Solyc09g082310.2 transcript:Solyc09g082310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVLILFSVLLLAAGTLPEPPELPPTSPESLSTTISCADELVAFSPCLPYISDPPNNISDSPPFQCCDNFSAAFVDNTAICLCYLVSNPQLLGFPISSMKLLSLNSVCPVEDKEGAENLSLESLCSGSTTLPPFRSIITDHRGSSPRPVRRPTPPSSPRPPSSPDPGDHDNPSPQAPPVSVSLPPPSSNVGDDPSPGAQATTECSSAIELMCNYRLWVISAMSILLYLCCKHQLS >Solyc11g011150.2.1 pep chromosome:SL3.0:11:4223794:4234117:1 gene:Solyc11g011150.2 transcript:Solyc11g011150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTRNQAKRQNQSTASEDSLKHYGEKESQSGCKDEASGNETLANISRGAVGKLLKRVNKSRGSRGLKTDDSYLRKQDTIVEPENGSSEAEKQLTGTTVVRTTLDAKCCTTDVLQNVPSEVEHGSTDVQCQSIEREDELDGIDWEDGPVDTLKSESNVKEDTINGVTVEFDAPPDPSKQKTVRRATAQEKELAELVHKVNLLCLLARGRFVDSACNDPLIQASLLSLLPAHLLKLTDAPKLTAKALAPLVNWIHSHFRVRGANDMEKPFHSALASTLESQEGTPEEVAALSVALFRALNLTTRFVSILDVASLKPEIEKSYPSGKGPSKAGSGIFSSSTLMVAGPKCSPLSPAKSMAYGKHNVSDKTSTSAGQATNDKSRETITDKSNKRMSASTSDAQGDSNDACIKKKEQPKRKGDLEFEMQLEMALSTTAVEIARNTMISDVKDVGSTSSNVSPFKKKKIKAEECSTSSHGISTAVGSKKVGAPLYWAEVYCSGENLTGKWVHVDVVNAITDGEQNVEAAAAACKLPLRYVVAFAGNGAKDVTRRYCTKWYKIASERVNSIWWDAVLAPLKELESVATSDVVHFAQGATRSSLEDMELETRELTEPLPTNQQAYRSHHLYIIERWLNKNQILYPKGPVLGFCSGHPVYPRSCVRTLQRKERWLREGLQVKANEIPAKVLKRSGKQNKGHDVEDDDYGEGDCEGTVALYGQWQTEPLFLPPAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRLVPIAKRLQIDFSPAMVGFEFRNGRSLPVYEGIVVCTEFKDAILEAYAEEEVRREAKERRRTEAEALSRWYQLLSSLITRQRLHNCYVDGASSQSAVNIATSNDKSSLLAGGSENTRSARQEKSEIAKSNSPPFVLAENHEHVFFVEDQTVDEESSTRTKRCRCGFSVQYEEL >Solyc03g119370.2.1 pep chromosome:SL3.0:3:69483518:69484869:-1 gene:Solyc03g119370.2 transcript:Solyc03g119370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEMSFLSKSSSSSSSDDDIELRRGPWTIEEDKLLVHYITNHGEGRWNMLAKHAGLKRTGKSCRLRWLNYLKPDVKRGNLTPQEQLLILELHSKLGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQARNLKIDSNSAAFQEMIRSLWIPRLLQKIQSSSVSPSIQSSQSSTPPGFSTSSNSSSHSSSIYNSPNNISECPQIPTREIDDSDLDSFVKAHFPFDNSYDMDNFGQLTTGNFNDVMDYDQLSGDHIKMTGDVIADSFWSNMDEFFYQKTGYEQQ >Solyc07g005030.3.1 pep chromosome:SL3.0:7:46626:86591:-1 gene:Solyc07g005030.3 transcript:Solyc07g005030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGGYENGATSSPSAEPSLITNQLSVLSINDDEHSSVSVEKDPRKIARKYQMDLCKKALEENVVVYLGTGSGKTHIAVLLIYEMGHLIKKPQKSICVFLAPTVALVQQGGEFKCICNFIIYWPKCYLLFHVLLELEKQAKVIEESIDFKVGTYCGKSKHLKSHQDWEKEMEQYEVLVMTPQILLHNLSHCYIRIEFIALLIFDECHYAQVESDHPYAEIMKIFYKPDVVKQPRIFGMTASPISGKGVNFFDNFLHSKQKSATVEGLETLLRSKVYSVEDKDELEQFVASPKVNVYQYGPGSSCHTKAYSQKLEEIKHQCVKELHKKAVDSTLRNTKKMLKRLHGHLIFSLENLGVLGALQGDHHERHQMVEAEVNASDDSLCDRYLSQVDTVFTSGCAKDGMNPDLALMEVLKEPYFSKKLLRLIGILSNFGFFEAMERFQGLEQVHDSFQFPIMVQPDMKCIVFVNRIVTARSLSYILQHLKILSSWKCGFLVGVHSGLKSMSRKNTNIILDKFRSGELNLLIATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPKSEYAFLVDRGNQRELDLIEHFTRSEAQMDDEISSRKSRTMVADFQENIYKVDMTGATVSSALSISLLHHYCSKLPHDEYFCPKPQFYYFDDVDGTICKLILPSNAAMHSIDSAPQSSIEAAKKDACLRACKSLHELGALTDYLLPDQADEDKDLVPDFSDLECCEGEDAREELHEMIVPASLKEPWTETDNPVCLNSYYISFFPFPNDRVYKKFGLFLKAPLPQEAERMKLDLNLARGRSVKTELIPSGTTSFENNEIQLAEKFQRMFFKIILDRSEFISEFVSLEKKDFVDSGSKFYLLLPVNLFGHDKISVDWELVRRCLSSPVFGTSVCTSNNMSKFEEQLQLANGSKSVHDVVNSLVYVPCKDAFFFISDVVKDKNAYSMYKDSKNHVEHYYDTFSVHLLYPDQPLIKAKQLFCLENLLRKKGYSELRDKEEHFVELPPEICQLKIIGFSKDIGSSLSLLPSIMHRLESLLVAIELKGCLSASFPEGRELAIDHVLEALTTENCHESFSLERLEVLGDAFLKFAVGRHLFLLHDAFDEGQLTRKRSNAVNNSNLYMVAIKKNLQAYIRDQSFEPDHFYVVGRPCPVTCNKQTEKNIHGLCGSGTDGIKTEVRCSKYHHWLRKKTIADIVEALVGAFVVDSGFKAAIAFLKWIGIHTDFKEPQLKSICSASKVFMPLADEIDVLGIERLLGYSFIHKGLLIQAFIHPSYNRHGGGCYQRLEFLGDAVLDYLITSYLYSVYPKLKPGQLTDLRSISVNNNTFAVVAVRQSFHSHILCDSSDLRESITRYVNFIGRPDSTRRLGEEPSCPKALGDLVESCMGAILLDTGFDLNRAWQIILSFLKPVMSFTRLQLNPTRELYELCQSFGWNLKFLPSKKDGNFLVEARVNGENVSAAASALNINKKSAQRMAAQIVCSSLKAQGYRPKSKSLEQVLKAAIKMEAKLIGYDETPCVLTTICDDLDKHETSESDCHLKVFPVNEELARSCNFKSKSTRKLLSTEASVQCNSDQTIMSNGSKEDAKATGINKQGYLYLLFYPCHHFLLQNTSGGSKTESAKSRLHEICAANCWKPPLFECCKETGPSHLKEFTFRVLVEIEETSRVIESYGEAQAKKKDAAEHAAEGALWFLKQEG >Solyc04g008140.2.1 pep chromosome:SL3.0:4:1811258:1827806:-1 gene:Solyc04g008140.2 transcript:Solyc04g008140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKEEEFIASRLDGIEKLRMDLRFLRTFVLFGNSTNLNGFYFRMYIHINKFERLTQIFICKDDLILEKYHMECLAPLLLKEIRNYLSLNNNYVATTTEIKLYEYLIRHLHDLPKYHSNLLLPQTTEYKILQQVCRHLIDFYPILAANKTSTEYLYPRFQFTTHRVTQFCFDLWTGQHDVYECSSKITSLLINLIPLELEVLYISTSKLIKESRSKELEGFVKQILKTSPRILQNYLIHLQGRMAGVVAVNYAPTRSISVMMEFLLIFLTDMPKRFIHREKLNNMLAHAGMLTRKIFFLVRKLLKEISEDNINEPDFSALDFLQEIEQMKGDIRHIFLKAPESSQLRFPMDDGFLFMNLLLRHLNDLLTSNAYSVALIKKEIGMVKESLEFLKSSFWNVRQTLNGTSGVVKDCWVRALDVKALSHLLFSLPSVTDKIKLIVEQVTRLQLEDKNGDGPLDAKSSSQPTQSTSSPFVEVTVGHEKEEAWIIDQLLDEHESELDVISIVGMPGLGKTTLANKVYKNTLVNKSKVLREILKQVTGSGGKESEDDLAEKLRRALLDKRYLIVLDDVWDIETGEMLIACFPKVKRGNRIILTSRSSKVGLQVKCHSDPLRLQLLTPEKSWDLFVKKVFGDKGSCPAELSKVGHQIVEKCKGLPLAIVLIAGVIVRGKKKEKDFWLKILHNLDSFISTNINLVMQLSYDHLPCHLKPLLLYFATTQKSQRTPVSTLMQLWMAEGFVDHDSLEEVTQSYLDALISSSLIMVDHIPSESIWWTPLMIKVCYVHDVVHDFCSEKAKKEKLLKLINSGDTFHASDFLHHRLTIHTDNYQLHKKCVLFNSNKCLAVSKHLISLKVSRTSVNKHVISLKVSGPLDEFRYICHTRHFGLVRVLQLDDIILEDSLIEEIGSLFHLRFLSIQTADVIAIPVSWLNLQNLETLFINRNSSPMVLLPRIFSLSKLKHVKINVCSFFEEKEDIQNPK >Solyc07g005110.3.1 pep chromosome:SL3.0:7:127549:133427:1 gene:Solyc07g005110.3 transcript:Solyc07g005110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKHIAIFLLFHTIIQFQLHKSIGLDETWIKAGYWQYDTTNPSTIQSINSSLYTHIIYGYAEVSFNSSIDDIIVTTSNDERLRNFNKIVKMKNPSIKTILSIGGSDQSLYGSGLNLLMTSTYFTTRKYFIDSSIEIARFYGFDGLDFCWIWPTSSLAMSNVATLLDDWRVAIDLESKKSKKTRLILTMASQHTPFIQDLSFPIESMRKNLDWIHVLAFDYYDPGTTNYTSPAAALYSDHSTNDNLNTDYGINVWIKSGFPSEKIVLGLPFFGYVWTLVSPKNNKIGAEGTGPGPKDPTYGTEGEKEPFASTNGLLEFNSIKRDMIKYRAKNSTYNSTYVMNYVSIGTTWVGFDDVESIKTKVSYAKEKNLKGYVAWQVSYDYTSILSQAAALEVKDIQEVGAIDQSASMQKKKKLNRMILILIPMISILMLLLLIFTLWCLRRRKILVPRNKIGSNNNQEKGDEETRTLQIFTFDEMKEATNSFSVENELGKGGYGPVYKGKLRNGREIAVKRLSETSSQGFEEFENEVILTAKLQHINLVKVVGFCIENGEKMLIYEYMPNKSLDYYIYNQVRRLILNWEKRVQIIEGIIQGLLYLQEYSRLTIIHRDIKASNILLDLQMKPKISDFGMARIFKKDAIEANTKKIVGTNFGVLLLQIISGKKNTCYYGPDDNLDLLDYAFEMFKDGDGMEFMDQSLDDTTHSCKLLKCMQIALLCVQKNPLDRPTMLEISSMFKNIENLVMNTPKRPAFSTRQDEDQVGNSIPNGEFDIDNATITQLVAR >Solyc06g067880.3.1 pep chromosome:SL3.0:6:42185510:42187695:1 gene:Solyc06g067880.3 transcript:Solyc06g067880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPSSSWSRALVQISPYTFAAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQASWPSKGA >Solyc03g007830.3.1 pep chromosome:SL3.0:3:2380102:2382869:-1 gene:Solyc03g007830.3 transcript:Solyc03g007830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSSMERIRSLPHSSMTMRLCHSNVPSLLRLSPQAARSSFSSSFRSPTGFRRCIGSKRSPIFKIRASFSEEETSEPADRIRKVFSNVKDTWDGLEKKPTIFLYGGSAILGLWFSSIIADALDSIPLLPKFLELVGLGYFGWFVYRYLLFKSGRDELRSDIQALKKKITGDEEE >Solyc11g013500.1.1.1 pep chromosome:SL3.0:11:6575596:6576147:-1 gene:Solyc11g013500.1 transcript:Solyc11g013500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGYSQHHHQQLYHHIRHGSTAFFLPMLCKLSIKDVKLQNSKPPSSANSSTHTEPTSPKVSCIGQVKRNNRVTGFPTPYKSTSSTAITRPVNSKVKYMKLRKLFSGKNFTPGDRKSDRSKVIVEVNVNELDPPLPVVKKVNPVPATDGGLWKRRSGGSALKSLQIQQIQLPNHNTLLQPTTV >Solyc11g050990.1.1 pep chromosome:SL3.0:11:17140863:17141322:-1 gene:Solyc11g050990.1 transcript:Solyc11g050990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4D8R1] MAPDPSVKPFFIKIKKDTYEEYIRVDKKKTNIFLIGEGMDITIITGNRCFVNDNKTYDTTIVVQDFTFKNNVVTVKHQAMALRFEVDSVSYYRYCSTGIKTLRMLKNNISSFEIVKSMER >Solyc03g043770.3.1 pep chromosome:SL3.0:3:7351813:7355664:1 gene:Solyc03g043770.3 transcript:Solyc03g043770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLLLFFLTLILHFHLLHFTTAKPPYVPEYRALLSLKTAITDDPQSALLSWNISTSHCTWRGVTCDRYRHVTSLDISGFNLTGTLTPEVGHLRFLLNLSVAVNQFSGPIPVELSFIPNLRYLNLSNNIFNLSFPPQLTHLRYLNVLDIYNNNMTGELPVGFYNLTNLRHLHLGGNFFSGSIPPEYGRFPFLEYLAVSGNALVGRIPPEIGNITTLRELYIGYYNTFSGGLPAEIGYLSELIRLDAANCGLSGEIPPEIGKLQKLDTLFLQVNGLSGSVTPELGNLKSLKSLDLSNNMLSGEIPLTFTELKNLTLLNLFRNKLYGSMPEFIEDMPKLEVLQLWENNFTGSIPQGLGKNSKLTNVDISTNKLTGNLPPNMCSGNKLQTLITLGNFLFGPIPESLGECQSLNRIRMGENFLNGSIPKGLLSLPKLSQVELQDNLLTGTFPVTDSVSASLGQICLSNNRFTGPLPSSIGNFTAVQKLLLDGNKFSGQIPGELGKLQQLSKMDFSGNSFSGLIPPEISRCKALTYVDLSRNKLSGEVPTEITGMRILNYLNVSRNQLVGSIPAPIAAMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFIGNPDLCGPYLGPCKEGIVDGVSRPHERGAFSPSMKLLLVIGLLVCSIVFAIAAIIKARSLKKASQARAWKLTAFQRLDFTCDDVLECLKEDNIIGKGGAGIVYKGVMPNGELVAVKRLPAMSRGSSHDHGFNAEIQTLGSIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEMLHGKKGGHLHWDTRYKIALEAAKGLCYLHHDCSPLILHRDVKSNNILLDSSFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVSGKKPVGEFGDGVDIVQWVRRMTDGKKEGVLKILDARLSTVPLHEVMHVFYVAMLCVEEQAVERPKMREVVQMLTELPKPSGPKSGDSTITESPPSSGPASESPTSTPRDTKDQYHHQPPPQSPPPDLLSI >Solyc01g091020.3.1 pep chromosome:SL3.0:1:84600400:84609114:-1 gene:Solyc01g091020.3 transcript:Solyc01g091020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDLSMEILQESGWEELRKEARKIEGDLDVKLSSYAKLGARVTQGGYVEAGSPTLGSSRSWKSTEMEIQSLLEKLLDVNDSMSRCAASAASTTSVTQKLARHRDILHEFTQEFRRIKGNISSMREHAELLSSVRDDISEYKASGSMSPKMHILRERAAIHGSISHIDDVINQAQTTRAALGSQRALFGDVQGKVKQLGDKFPVIRGLIGSIRRKKSRDTLILSAVIAACTLFLIIYWLSK >Solyc06g072200.1.1.1 pep chromosome:SL3.0:6:44640962:44641240:1 gene:Solyc06g072200.1 transcript:Solyc06g072200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSAAIRPVPPHRDETMSENGFRLYDMVDVFANDGWWFGFISGKIGEEHYVYFPTTADNIAYPRDVLRFHQEWSNGKWIFLPRQGKIFDLH >Solyc11g063640.1.1 pep chromosome:SL3.0:11:49943273:49944718:1 gene:Solyc11g063640.1 transcript:Solyc11g063640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGHTEGMGDSSSWNSRHYGETNSSQYPSGMWHRFVSWEHLHPQRRASTLLLLMYATQFTGPRSPPSLLEAQVFFLHPLMKLKHVVSPLLAMLPSLALPYTPYLSCLPPLETAFLSFLPQTGNRVLKFEAPYSCENRLHCLNHPPFPSFVCSGPENARSLVIGDPNLTCLWFINVGVVFTYLSVYERNEEKRNCTKRKFSAQISFPEMMKGKKEAPSPSPPFCPRLLNQSRLTFPPLCLGERESRLWSTQRLKRQIVGQINPFRSSGKIYGIGKPKRIYQNRSILSKYLVDTRLFLSSVGFESSLQRIKQVQ >Solyc11g018810.2.1 pep chromosome:SL3.0:11:9643443:9660277:-1 gene:Solyc11g018810.2 transcript:Solyc11g018810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHPVDVVSSTSFSNENFELDKSSGLFKTVENAVRTLGLEKVWEMKPLVNGKEIMNILEIKSGGPVVREWQQKLLEWQLAHPSGSAEECIDWMKQAQSKRARTE >Solyc01g014550.2.1 pep chromosome:SL3.0:1:14268562:14269922:1 gene:Solyc01g014550.2 transcript:Solyc01g014550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWSSLSIRQGLGGGACGFNSLCSVGTDQRPRCDCPLGYILDDPNDKLGSCGQNFPEQNCNLEAREVESFTFHEMLDTDWPDSDYESPRDVSEDCCRENCLSDCFCAVAIYSDDNVCWKKRYPLSNGRVGPTIGGKTLIKIRKENSTVWESPNKNQSTLIISGSVMLAIFMNLILFLSALVYLFMLKGKKRKRIAPYSAVPGVNLRSFSYKELEQATNGFREELRTGTYSTVYKAVHHNMVTEGEGEVFEAEVNSISTTNHKNLVQLLGFCNEGQHRLLVYEHMKTGSIAHLLFKDSRLSWSKRVQVAIDTAKGLCYLHEEYSTQIIHCDIKPQNMLLDENLTAKIADFGMAKLLKDIRLKQQLGYVEPKDTLVQKQILVWPVTVKVDVYSFGVLLLELICCRKNYEQDVANENDMILLEWAYDCCKRDKLHYLYALMKRH >Solyc02g021220.1.1.1 pep chromosome:SL3.0:2:22701367:22703751:-1 gene:Solyc02g021220.1 transcript:Solyc02g021220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPPSHTLQLFFTFSFFLTLTLTLAQKQSYIIRVQNDFKPSVFSDVEHWYSSTLSTLRYDSLKSSDHEDHKEDFIHVYKTVFHGFSAKLTAQEAQQLVNYDGVLSVLPDRLRQLHTTRSPHFMGLDSPFTMSNLVTESDSGSNVVIGVLDTGIWPERSSFHDQGMGPIPSFWKGECTEGENFTKANCNKKIIGARYFTSGYLAKIGSMNSSADIKSARDTEGHGTHTASTAAGRAVGDASFLGFAKGVAVGIAPKARIAAYKVCWKRGCMDSDILAGFDKAVEDGVNIISISIGGSAVPYNLDPIAIGSFGAMEKGVFVSASAGNEGPRSMSVTNVAPWITTVGASTIDRRFPADLVLGNGKKITGSSIYRGDRLHDINHFQHLPLIYGGNASVGLRNGARHSSSFSSAACMPDSLDKELVRGKIVVCDRGGTPRVSKGEIVKDAGGVGVVVANVFPMGEGLVADAHLIPGLGVTESSGNLIRDYINSNANPTATMTFYETQVGIKPAPVVASFSSRGPSAESTFVLKPDVIAPGVNILAAWPDGVAPTELSSDQRHTQFNIASGTSMSCPHVSGLAALLKGAHPYWSPAMIRSALMTTAYTQDQQGNALLDETSYNISTTTDMGAGHVDPEKAVDPGLVYDITTDDYLNFLCASNYSGRDIKQITKRSAKCRGKHDHKPWNMNYPAISVVIYTTQLQEPAIVQVTRTVTHVGEAPSTYTLSVTNPKGVNITVTPTSMNFREKGEKQSYVVRIKAEKRTVTSLNSVIEVGKLDWSNGKQHVVSPLVVVWKQVL >Solyc02g093550.3.1 pep chromosome:SL3.0:2:55019902:55022265:1 gene:Solyc02g093550.3 transcript:Solyc02g093550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLTIVTHSHPKTWKSPNLHLFPLPSKTKTQPSIIITPKAYNNEDNNSTAGKIKRMVLTQQGRTKLNILPDKEFYAYPRFVTHVDDRFISNLTDLYRKCLKPEFEILDLMSSWVSHLPQEVKYKKVVGHGLNAQELAKNPKLDYFFVRDLNEDEKFEFENCSFDAVLCTVSVQYLQQPEKVFAEVFRILRPGGVFIVSFSNRLFYDKAISAWRDSTGYGRVQLVVQYFQCIKGFTEPQVIRKLPTNDDQQNKSPLSKIMQLIGLLSASSDPFYAVIAYRNFKPVHE >Solyc12g017750.2.1.1 pep chromosome:SL3.0:12:7358875:7359731:1 gene:Solyc12g017750.2 transcript:Solyc12g017750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYFISYFFRALTCHMSMNFIFPYVKRSINIFIYQTLANKYCIFRIVTLPRHISY >Solyc02g089470.1.1.1 pep chromosome:SL3.0:2:51924008:51924313:-1 gene:Solyc02g089470.1 transcript:Solyc02g089470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRETPTNSTIYLDQNNPNSFWQWKSPLPYLFGGLALTMALIIVAIILLVFSFYQPCSDDDEEDKSASRGSNSSSTAEMSPRIIVIMAGERKPTHIGVPIPD >Solyc05g046330.3.1 pep chromosome:SL3.0:5:59411796:59425313:-1 gene:Solyc05g046330.3 transcript:Solyc05g046330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVLGFLVLALSVLICEAAIFKPISESHRSAALELFTPAHGSLSSLEETYEALRTFEVLGIKKQPDQRVATCVTVGDTLSSPTSALKDLFQALRVNGLLNCELNKKTLAGIAPRLKDAVKSASSLLDYYYSVGSLVLIKGLNSDVDVHLESADSVVRSIKALSQSDGRWRYSSNNPEFSTYAAGIALESLAGVISLASSEIDQSLISMVKSDISKLLDGLEKYDDGAYYFDEKLADAHGHQGPLSASSAVVRGITAFAAVSTENLNLPGDKILGLARFLLGAGVPGNAKDLYYQIEALTSLENNRVSIPLILSLPTSVLSLTRKDQLKVKVNTVLGATAPSLTVKLKQIFSSGSKDASVIDQDLKFDHKNGVHYLDALRKDIDVGSYIFSFEIVLHDSEHKMIYATGGRTKVPIYVTGVIKVDNADISVLDSDLGNIETRKKLDLAGKNSISLSANHLQKLRLSFQLTSPLGNALKPHQAFLKLSHESKVEHIFVVGNSGKQFEIILDFLGLVEKFFYLSGRYDIQLTVGDAVMENSFLQPLGSVELDLPEAPEKAARPPPQAVDPSSRFGPKAEIAHIFRAPEKRPPSNLSYAFLALVLLPFVGFLVGLLRLRVNLKNFPTASAPAAFAILFHLAIVAVLSLYSLFWLKLNLFTTLKALGFLGIFLLFVGHRTLSHLASTSAKLKSA >Solyc02g078670.3.1 pep chromosome:SL3.0:2:43898345:43903546:-1 gene:Solyc02g078670.3 transcript:Solyc02g078670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTLLDYALFQLTPTRTRCDLVVFSGGKNEKLASGLVEPFISHLKFAKDQIPKGGYSITMRPPSTHAYWFTKATFLRFVRFVSTPEILERFMRLEREISQIESSIQSNECSNGNSEEGSSPANSESTRKSNDSFKAKSEVEEANNAAPKENSKIHLQRHLDTRKALLRKEQAMAYARATVAGFEIDQLDDLIQFANSFGAVRLRDACVDFKELYKQKHTDGQWMDEVAAMKACTPMDLSYLGNQGVILAYDNNGSLDSSDSKDSTNSNGVKDENLPASDPSAKVQMQMPWQNHIPPYMYNFHGPAQQMPFAGMHPLQYYPAHMQWPQNVNGSTNGSVRDSHKRSKKKEKSKEHNSSEDDEQTESSASDSGTDSDEVRKHEKKHSSRENSHAKKHKKKSSKRVVIRNINYITSNRKNEENDGSSYDSSSAESHLLDEDSIKEQVDDAVAILEKRRNSKGHRNKNRGHQNLDVENESNGYSNTDLNEGVSPKLSEKAKGNKAWDAFQNILMSREEPSMNGTSDQLPLDFQDEGYGIKNSGEKVRRDHLTPDDSLMSKNHEDNGTKVNMVDFANGEDMRPSLKKGVSEDVHLLFSHKEPSGGNTLGTPSDFGSESSAIRNSNGEDWFVVNHSGSSETQETRRMIFDNDSSMSTQKSSSQVESERAAPIDDSFMVQSRPAFDDQYGSQWKTDIGMDADLVAAANAENSDPVASKTKLSTYGTSQPDDLCVVLARETSLDPLEASWQPELDFQIEASFIQVDKKSSAVEAKPPPTEETVPVKGKSTTKKDSLAKTGKDARSKVSPGSLSRSRIDALAKSKKMSPPTNKLTTQKSKLDRDEEMRKRMEELVIERQKRIAERSAAKGSSPAASKKGPAGSKTASKISPSSKLHTFPVQVKH >Solyc11g067320.1.1.1 pep chromosome:SL3.0:11:53356945:53357913:-1 gene:Solyc11g067320.1 transcript:Solyc11g067320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4D9Y9] MSINMKYKEIISSLPRREVSQNFTSFDYYQYKGFWFPLAFLESILFMEENFKAQPCNIFLCGSLKTGTTWLKSLVFSIMTRHHFDDSTNPILTKLPHDCIPTLEIDCDINFTSLDDTKFPLLGTHLPYTCLPQSIIDSKCKIIYICREPKDTFVSTWHYTKKLEETIPEFKDNPITLEQEIEWFLEGKSLYGPYWDHVSEYLKASKDRHGKNEIFFLKFEDLRNDTLSYVKKLAEFMGKPFSQEEEDQFVPEKIVATCEFGNLSNLEVNKSGSHNPKPGIKVNNNAFFRKGEIGDWKNLLSEDVAKSIDNITKEKLQSLIWD >Solyc02g091240.1.1.1 pep chromosome:SL3.0:2:53236827:53238185:-1 gene:Solyc02g091240.1 transcript:Solyc02g091240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPPFSETDVNLYYGGHYLVYAVLPLLKLLCLTVIGLILAHPRTQLVPKATFKLLSKLVFALFLPCTIFIHLGETITVKNFMRWWFIPANVLLSTAIGCLLGYLVAKICKPPPQYFRFTIIATAFGNTGNLPLAIVGSVCHSNDNPFGPDCYTTGVSYVSFAQWVAVLLVYTLVYHMMEPPIEYFDVVDGGGEIQEQLPSNDLSRPLLVEAEWPGMEDRETVHCKTPFIARVFTSVSTLSCTSIPDPDSLEEAPAPTSPKSIRCLAEPRVVRKLRIVAEQTPVRHVLQPPMFAILLAFIVGMVPPIKSVVYGTEAPLEFLTDSLNILAQAMVPSVMLILGGMLAEGPNESELGVRTTVGISVARLFVLPLLGTGVVYLADELNFLIPDDKMYQFVLLLQYTTPSAILLGAVASLRGYAVREASALLFWQHVFALFSISMYIIVYFKLLLSYV >Solyc02g090560.3.1 pep chromosome:SL3.0:2:52734175:52736988:-1 gene:Solyc02g090560.3 transcript:Solyc02g090560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETVADVYTVQSNNEIELQPHDLSTHQQCLQISEENVKLESLHNFEGVKGSTVEKGIQVGEISSCDLYHHMLIFAGYGKFMIQHLVFKESTIFLLAIAAILSFVFGIKEEGVQNGWFEGALLVVIIFVIVLFRFARIWYKRYLWKKQKDQKARMNLPPLISENDSSFCAYFDKLNNYIHISGLLIGILITVVLFIRFKLGHKDDENGYRLETKEEPAEIAQIMNALKKVLTESKGTVRVLITSLGLALVGMTEGLPFLISVVMAYWYPNFDVNMESVSTFCIENVGWSKEQKLKVTKFLLDRKDVTRIPPHVCSVLSDGIGVSTMTSQTTNQRMEEPILCWAEKNMGMQRDILHQQVIIVKDNDSEKNPFEGPCGVVIEKNGDNGKEYYSHFKGQADSILSMCSSYYDMQGEVKDLDDETKSEFAQANNNNVNVVAFACKHTQIVEFDENGLTFIGMFILEDNFNLDNMRQGIEILKEGGVKMIFTSEEDVEVLRTIGDETGLLNSHDALVLKGEDATKENVDKVCIMGNSSPAHKLLLIEQLKKRGEVVAVVGEQASESNMVLEAAHFGLPVVTNWPETITYVINSIKGGRIVCENLRQFIQVEVILAISSLSINFILVIVDGDAPLTIFQLVWVNLLVTFIGGPALLITLLRDELSIRPRKPPITKAMWRNILFQASYQTGIFVFLQLKGSAILGITPKVNRSIVFNGFALCQLFNIFSARDLEQKNFFKGFGQNYLFWALSVLYLVLQFGFIEVEAVFVFTNTARLNWKQWVESILIGAATWLVDVIVKWASQYIKIDKYCDCGGLYLARNWLQYWFIRINCCYCGQRSTPNPTLASLDYSRSTSGKGWITNITRRVKPTKHRANTCCSRFNF >Solyc03g096900.3.1 pep chromosome:SL3.0:3:60698558:60708101:-1 gene:Solyc03g096900.3 transcript:Solyc03g096900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QYRKRPLTLYHTLPTVKSHKSHVQLLQFSWSFDSFTCFDLIPFFDQSKDMCSCQNSTMFCHRSALILRKNPLLLFFPCQGLRRNVKFRCVLDQIVPKFTVSSSLSSVLTSGNAIAAAAAVSGGSVHGAVTSAITQVAVTAVAIASGACLSTKVDFLWPKVDEQPGSLLLDGVDVTGYPIFNDDKVQKAIAFARKAHNGQLRRTGEPYLTHCIHTGKIVAVLVPSTGKRAIDTVVAGILHDVVDDTGESLDTIEREFDSDVANLVAGVSRLSFINQLLRRHRRLNVNQAALSHDEANNLRVMLLGMVDDPRVVLIKLADRLHNMRTIYALPPAKAQAVAQETLAIWCSLASRLGLWALKAELEDLCFAVLQPQIFLRMRADLASMWSHPNRTGNARKIYGKFSSLLHQRMKRVTTEHEEPSETDEENICMKVLLQAVLPFDLLQDRKKRTDFFNKLVANSNLETTPKVVRDAAFALGTLVVCEEALERELFISTSYVPGMEVTLSGRLKSLFSIYSKMKRKEIGINKVYDARALRVIVGDKNGALHSQAVQSCYNLLNIVHRLWSPIDGEFDDYIVNPKSSGYQSLHTAVQGPDNSPLEIQIRTQRMHECAEHGLAAHWLYKETKDKLPLVTSVTGSGTTTPSFFSTDIDDQGSIEDDGSHKYSSLKVGDPVLRVEAGHLLAAVIVRVDKGARELLVAVSFGLAASEAVADRRSSSQMKRWEAFARLYKKVSDEWWCEPGHGDWCTCLEKYTLCQDGMYHKQDQFERLLPTFIQIIELTEEEENVYWAIMSAIFEGKPVASVASNPSFENKQGYNSSNPTLRDSGINNKVYLLRTMLQWEKQLRSEASQRVELATKPYEASSGLLGEVVIVCWPHGEIMRLSTGSTAADAARRAGLEGKLVSVNGQLVVPNTKLKDGDVVEIRM >Solyc01g008370.3.1 pep chromosome:SL3.0:1:2412063:2417918:-1 gene:Solyc01g008370.3 transcript:Solyc01g008370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFDSLFYLEEQVFFFFFSRFRREEEAIMDVIKSQQISSRPIEKVIVHPLVLLSIVDHYNRVARDTRKRVVGVLLGTSFKGTVDVTNSYAVPFEEEERDPSIWFLDHNYHESMFSMFRRINAKEHVVGWYSTGPKLRENDLNIHGLFNDYVPTPVLVIIDVQPKELGIPTKAYYAVEEVKENATQKSQNVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLQEIRSYLDLVIDGKLPLNHEILYHLQDVFNLLPNLNVAELVKSFSVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPRAVPTTAGS >Solyc04g005790.3.1 pep chromosome:SL3.0:4:500295:507025:-1 gene:Solyc04g005790.3 transcript:Solyc04g005790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVESQSKLISTNWEGYVDWRNRPALINRHGGLVASSFVLVVEVLENLAYLANASNLVMYLSEYMHYSPTNSANCVTNFMGTAFLLALLGGFLSDAFFTTYHIYLISAFIEFLGLVILTIQARSDSLKPSKCKPEMACEQVRGAQAAMLFIGLYLVALGVGGIKGSLPPHGAEQFDGETPQGRKQRSTFFNYFVFCLSCGGLIAVTFVVWVEDNKGWQWGFGISTLAILLSIPIFLAGSPFYRNKIPRGSPLTTISKVLIAAMVNSCAWTNSSSVIASLGSSPSTIPVLSNEDEEISRNNKHIVESKSLRFLNRAAVKKPAAASGVLQCSVQEVEEVKIVVKILPIFGCTIMLNCCLAQLSTFSVQQAATMNTKFGKLKVPPASLPIFPVLFIMILAPIFDYFIIPFARKVTKTEMGITHLQRIGIGLFLSVIAMAIAALVEIKRKRVATDSSLLDSSKPLPITFFWIAIQYLFLGSADLFTLAGLLEFCFSEAAFSMRSLATSLSWASLAIGYYLSTVIVSIVNNVTGDSKHQPWLTGKNLNHFHLERFYWLMCILSALNFVHYLYWARKYKYTSVSSQG >Solyc01g090940.3.1 pep chromosome:SL3.0:1:84505314:84516166:1 gene:Solyc01g090940.3 transcript:Solyc01g090940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTHYPISSMASSLDFDRFKVSLTSICSCHSHHLPSTSSQFHHSSTRTLNLSRPRCSISRIGLFLDGSRVTEVSGIPRSIFLKHPRIITAVARAEPGHLFDDESKEEVNKCNSTPAGEISTSELEEKNSQLKKRVVFGLGIGILVGGVVLTGGWVFTVALAAAVFVGAREYFELVRSRGIADGMTPPPRYVSRVCSVICALMPVVTLYLGHIDVSVTSAAFVVAMALLMQRRIPRFAQLTSTMFGLFYCGYLPCFWVKLRCGLAVPALNTRLGASWPIILGGPTQWTVGLVATLISISSIIAADTFAFVGGKAFGRTPLTNISPKKTWEGALAGLGGCIATSVVLSRTFCWPISTLSAVAFGFLNFFGSLFGDLTESMIKRDAGVKDSGSLIPGHGGILDRVDSYIFTGALAYSFVKMLLPLYGV >Solyc06g084390.3.1 pep chromosome:SL3.0:6:49566838:49570743:-1 gene:Solyc06g084390.3 transcript:Solyc06g084390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSSSGSFWTFSRNDGTVMKSGVSSFQTDATIMIRHGVVILVALLWFSTAEASQCSINGLPLVKNISEFPLHNYGRSGLSHTTIAGSVLHGMKEIEVWLQTFAPGCRTPIHRHSCEEVFIVLKGQGTLYLAPSSHSKYPGNPQEFHIFPNSTFHIPVNDVHQIWNTGEHEDLQALVVISRPPVKVFMYDDWSMPHTAAKLKFPYYWDEKCYQTTTRKDEL >Solyc06g068100.1.1 pep chromosome:SL3.0:6:42323800:42324689:1 gene:Solyc06g068100.1 transcript:Solyc06g068100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQVVVLAMATAAVVVSGGSILLFDLLRQKYFSVAEVKLTNNKSQKSPRQKPILKSCIYSVEKKMNSKKKRVQFAADVKDSNSNGEEYRREYRNTRNCSILSIWF >Solyc12g098350.1.1.1 pep chromosome:SL3.0:12:66867245:66867715:-1 gene:Solyc12g098350.1 transcript:Solyc12g098350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:infA description:Translation initiation factor IF-1, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q94KR9] MASLSWWNPAPATAAMAACSPTPTSCKTSNSLALPRSVFVSKQEELMKQAKSLLVKTQQQSKKKKNNSTNSRRTTSIQCLSQEQKWTHEGSITESLPNGMFRVKLDNADVVLGYISGKIRKNFIRLLPGDRVKIEVSRYDSSKGRIIYRLRGGREG >Solyc01g100350.3.1 pep chromosome:SL3.0:1:90289061:90293328:-1 gene:Solyc01g100350.3 transcript:Solyc01g100350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLVDWFYGILATLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDSYDKERFAESKKELDALLSDESLATVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGKVNLAESNVRPLEVFMCSIVRKMGYGEGFRWMSQYIK >Solyc02g021190.2.1 pep chromosome:SL3.0:2:22365117:22373748:-1 gene:Solyc02g021190.2 transcript:Solyc02g021190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCYIEIRIKWLELMVALKDGQEKAVKRLSRYSAQGTDEFKNEVIFIAKLHQRNLVKLLGCCIQAEEKMLVYEYMPNNSSYWFLFDTDRRSLLDGPKCFHIINGIARGLLYIHQDSRLRIIHRDLKPINYLLDINMNPKISDFGMARSFGGNEIGAMTTRVVWIHFKEGKVMDVINTHLKELCNNQREVQRSIHKGLLCVQQCLENRPSMSSVGVLKDGQEIALKRLSRYSAEGTNEFKNEVIFIAKLQASESSEASWLLYPSRRKDVVIVQSAADEFSNHFSELLIFEHTDRRSLLDWPKCFHIINGIAQRLLYFHLDSILRIIHRDLKPSNVLVEVDMNPKISDFGMARSFGGKETGAITTRVVRTY >Solyc05g006160.3.1 pep chromosome:SL3.0:5:846033:848414:-1 gene:Solyc05g006160.3 transcript:Solyc05g006160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRLLLIFTFFLFCLLQVSSDIDIEDHQNQVVKGGNRRLLPYVDCGGLCKVRCSKHSRPNLCSRACGTCCMRCKCVPPGTFGNREICGKCYTDMTTHGNKTKCP >Solyc05g005840.3.1 pep chromosome:SL3.0:5:657707:661099:1 gene:Solyc05g005840.3 transcript:Solyc05g005840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVINWKSWSSYAKAFGTFVSIGGAFVLTFYKGPPIINQHLTSTFSSQQNWILGGVILACESMSTSLWYIIQGIIGIAFRSCMTTWCLKKTDPLFVSMFKPFAIVFAILIGTFCFRDAFYLGSLVGSVTTVIGFYAVMWGKTQEKYYEGNNLETLAENNVPLLQNEA >Solyc02g070670.3.1 pep chromosome:SL3.0:2:40912329:40916090:1 gene:Solyc02g070670.3 transcript:Solyc02g070670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALCKILREKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLYKADILLCESGGDNLAANFSRELADYIIYIIDVSAGDKIPRKGGPGITQADLLVINKTDLAPAVGADLSVMERDALQMRDGGPFVFAQVKHGVGVEDIVNHILQSWEVATGNKKR >Solyc10g086260.2.1 pep chromosome:SL3.0:10:65260150:65261729:-1 gene:Solyc10g086260.2 transcript:Solyc10g086260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLGVRKGSWTDEEDFLLRKCIDKYGEGKWHLVPIRAGLNRCRKSCRLRWLNYLRPHIKRGDFEQDEVDLILRLHKLLGNRHASLCFDKI >Solyc08g045740.2.1 pep chromosome:SL3.0:8:17025211:17026401:1 gene:Solyc08g045740.2 transcript:Solyc08g045740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVYENYLDKKSKNVKGRRNYSRRDTEMKASEGVNVLLLVWDDITSDEVLKRDGIMSTHDQETKNYVNNTYVHYCLCPRNADSEKTVIQEFQVGVMFTHHQMTIVVDTEIPGGMSDKRMIKSFLGDGRCDTRDHSLFRTLETIHRQDFYQHIFQDTSIAKGGPREPWHHIHCRLEGTIAWDVLSNFEQTWRKQIGNRFIYSMNEFDKFIIHPMERETWNVQIFRFIDGGVVTNFPVNPEEAYDVGLFTGIENVIDHSIPDAYISAIRRAKIFIYIANQYFIEKHLSFASYPKGDLTQDSEQDSTRREICSLHCYSNVAKRFAKMESRNNVYRHMQFLEVEGNTIVGPREYLTFFYLGNHE >Solyc08g068210.3.1 pep chromosome:SL3.0:8:57376588:57378783:1 gene:Solyc08g068210.3 transcript:Solyc08g068210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPAQTHRSPSPSQPSGKGEVSDLKMQLRQLAGSRAPGTDDAKRELFKKVISCMTIGIDVSSVFSEMVMCSATSDIVLKKMCYLYVGNYAKHNPDLALLTINFLQRDCKDEDPMIRGLALRSLCSLRVTNLVEYLVDPLGAGLKDSNSYVRTVAAMGVLKLYHISESTCMDADFPATLKHLMLNDREAQVVANCLCALQEIWGLEATKSEEASTERESLLSKPLIYYLLNR >Solyc01g104795.1.1 pep chromosome:SL3.0:1:93052070:93053478:1 gene:Solyc01g104795.1 transcript:Solyc01g104795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFILINLYVLVLICRVLKLIFPNPLFSLTWKSASKDWDPAFFWKTNFDHSISFESRLLAHPESKLEDEPAFLC >Solyc02g083860.3.1 pep chromosome:SL3.0:2:47677185:47679181:-1 gene:Solyc02g083860.3 transcript:Solyc02g083860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSTLTALANEKTLETSFIRDEEERPKVAYNKFSDEIPVISLQGIDDVNGRRSEICERIVNACEDWGVFQVIDHGVDAQLISQMTKLAKEFFELPPEEKLRFDMSGGKKGGFIVSSHLQGEVVQDWREIVTYFSYPIRARDYSRWPDKPQGWIGVTEQYSEKLMDLACKLLEVLSEAMGLEKEALTKACVDMDQKVVVNFYPKCPEPDLTLGLKRHTDPGTITLLLQDQVGGLQATKDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFKNADHQAVVNSNSSRLSIATFQNPAPDAKVYPLKIREGEKSIMDEPITFAEMYRRKMSKDLELARLKKLAKEEKIQTEEAKLESKPIEEILA >Solyc07g064770.2.1 pep chromosome:SL3.0:7:66905697:66906983:1 gene:Solyc07g064770.2 transcript:Solyc07g064770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSKFFVLIVLYSLFCFLSVTKFVYSKVDSNVVKSTAKPWTDSKNYMLGEEKSFHHLVAYAEGPSGGIGVEMSRGGSSSDSGSGYDEGGRGGGVGGGGSGEGRGKGSGYGYGYGEGYGEGSGGGGYGGGGGEGSREGTGDGYGYGSGEGYGEGASGGGYGGGEGSGEGSGDGYGSGSGEGYGEGASGGGYGGGEGSGEGSGDGGSGSGSGYGYGYGNGTRSGAGGRGGGEGEGEGEGKGKDYGGGGIP >Solyc01g080260.3.1 pep chromosome:SL3.0:1:79347352:79350639:1 gene:Solyc01g080260.3 transcript:Solyc01g080260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSSIESEASVHLEINGMLSLTESGVPTVFEPHSTIETKNSSTSNSVSPKRGVVRAPEKKITLFALRLAVLEKAATGLGTLGFIWATVVLLGGFAITLDTTDFWVITTILLIEGTRIFSRSHELEWQHQATWSIADVGISSFRAIKSSTRSIVKAAKAVFKPFSDVTKANSREVGRNSQQTARGKWDKRRVSTRMWTSSEVPLLPYARWMFIARNVSKMLYWLQILSATACLVLSLMKLVLRNFGEVAKGDTDKRNRKSALLIFYSLAFTEALLFLLEKAYWEWKINFCRLLEEVNKECELGPSGMTCVRRFFYDAYSRCVNGSIFDGLKMDMVSFAMELLASSSPDEQLIGAQILRKFATSPRYCYDTLQKIGTDIVVMERLVEMLNWKDIQEEELRLSAAEIISKITGKKQNSLRVAGIPGAMESISSLLQISRMPTGASDEICEKRIIFDNENYGFWTFNHLGLLILKKLARDHDNCGKIGNTRGLLPKIIEFTQAGERLLTEESATPTQILTLKRSLQVVKMLASTAGATGKELRKEISEIVFTISNIRDLLRYGERHPTLQHLGIEILKSLGLEEDATERIGGTGGILKELCNIFLKEAMSNNHGHVRTAAGEALAMLALESKNNCHRILKLKVTGKLVEALEVPLLRINAARILRNLCVYSGEGYIEELRELASAGPTVLKAIMTEEHKLQEVMMGLGAHIFKFITPEESIIMFQSAKIQEAELAAKLVEILKKHQHPSIKVPRIRRFVIELSIWMMRDKRTNIQVLGNLGMETELEYIIETTSELESFNVFSGTVGMNRHGVTIHSLVDTAMKLLAGEKEL >Solyc04g007720.3.1 pep chromosome:SL3.0:4:1401633:1404792:-1 gene:Solyc04g007720.3 transcript:Solyc04g007720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KILIFLINSVNRISENFSKQGIPAVMNPSTHKNGGQNPNVVQQKEKMKQEKEKMKQKEEEQMSMKKLREAVFNLANGEPNARLSETQRVALQQRISQIFSGLTTPDHPPYAWMIEKALKELKEKGGSSEESISEFIIKEHASLPYAHTTMLKHHLQSMTEKGEIRMIGGRFLLPGDCESVVPKKKRKRKKRRNLVIKKRQSGRKEKEEEKQVQHDDVEVVGEQKNLDEQQNDVSVDENRGQQNERHNAPSTGKEDGQLNDQQNSVTGNEVVCGRVLRSTVHKQKGKVQLDATGGSLQSSIAAETAIGCNSELQLSQLSLSTVEETADISNFYPQEILENEQPGDDLPLLLSPEAPPGFELVVVEDVTENKAHTPVSVDLDLPKEHISSSIGLDLPKENHVMPLSSDKPSEEEAVAEDLLKTKKQKKKHHGWQQTNRPMTRALAKGTVTPNEQPRSGRNRKQLQLAMESSSDRAQRQLKRWSKSPSEPKSVTTSKLKQLALCDSADQQLVIMEEPLSISKPLCVTADQHVVQMEEPLALAISEEALNLTDPQHEVQLKQPKAKRCGRSLKGKEDGADPDTTLLKDLGSSKKLTKKQTHRGVGRRRNTK >Solyc04g082610.3.1 pep chromosome:SL3.0:4:66321148:66334779:1 gene:Solyc04g082610.3 transcript:Solyc04g082610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKHIKILLCHSSSSRMNVVWIIVSCIVCFGVCSDGLSRNGTSRPAVVSVGAIFTFDSTIGRAAKIAIQEAVKDVNSNSSILQGTKLVVQLQNSNCSGFLGMVGALKFMETDVVAVIGPQSSVVAHTISHVANELQVPFLSFAATDPTLSSLQFPYFLRTTQSDLYQMTAIAEIIEFYAWKEVIAIFIDDDYGRNGVSALDDALATRRCRISYKVGISPGATVTRGDVMDVMVKVALMESRVIVLHAYRKLGLMVLSVAHYLGMMGDGYVWISTDWLTTVLDSSPPLPQDTMDTMQGVLVLRQHTPESKNKRAFSSRWNKLTGGLLGLNSYALHAYDTVWLVAHAIDSFFNQGGTISFSNDTKLQTVEGSNLHLEAMSIFDGGPLLLKNLLESDFVGLTGPFKFSPDKSLIRPAYDIINVIGTGFRRVGYWSNYSGLSILPPETYYSRPPNRSSTNQKLYSVVWPGNNVQKPRGWVFPNNGKQLKIGVPIRVSYREFVSQIPGTNNFKGFCIDVFTAAVNLLPYAVPHKFVPYGNGHENPSYTDMVRLITIGKFDGVVGDIAIVTNRTRVVDFTQPYAASGLVVVAPFEKLNSGGWAFLRPFSAQMWGVITIFFLFVGMVVWILEHRINDEFRGPPKQQLITILWFSLSTLFFAHRENTVSTLGRMVLIIWLFVVLIINSSYTASLTSILTVQQLYSPIKGIESLKETDEPIGYQVGSFAERYLEEIGIPKSRLVPLGSPEEYATALQRGPANGGVAAVVDERPYVELFLSNQCKFRIVGQEFTKSGWGFAFPRDSPLAVDLSTAILTLSENGDLQRIHDKWLARSACSLDNAELESDRLHLRSFSGLFLICGIACFIALLIYFIQILRKFCRTSNAAVDSDGQNTTSRSKRLQTLLSIIDEKSNRGSKRRKIDRSVSDDNIENDLGRDSRWIETQVPSQNEIH >Solyc01g011337.1.1 pep chromosome:SL3.0:1:8619276:8620776:-1 gene:Solyc01g011337.1 transcript:Solyc01g011337.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLNDTKGDKVRYMNNLFYKIWRFTCRVGQVTIPSKSGKSLLRVLATNTTSCSNSDNAAKSGTAEGPEARNNALF >Solyc01g096670.3.1 pep chromosome:SL3.0:1:87576615:87579622:1 gene:Solyc01g096670.3 transcript:Solyc01g096670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPIPLPVAIPLAFIFTYVLYHLYYRLRFKLPPGPTPWPVVGNLYQIKPVRFRCFYEWAETYGPVISVWFGSTLNVVVSSSELAKEVLKEKDQQLADRHRSRSAAKFSRDGQDLIWADYGPHYVKVRKVCTIELFTAKRLESLRPIREDEVTAMVESIYRDCSSPDNLGKSLLVKKYLGAVAFNNITRLAFGKRFENFEGVIDEQGNEFKAIVANGLKLGASLAMAEHIPWLRWMFPLDEDAFSKHGERRDRLTRAIMEEHTLARQKSGGAAKQHFFDALLTLQQKYDLSEDTLIGLLWDMITAGMDTTAISVEWAMAEVIKNPRVQQKAQEELDQVIGYERVMNETDFPNLPYLQCVAKEALRLHPPTPLMLPHRANANVKIGGYDIPKGSNVHVNVWAVARDLKVWNNPLEFRPERFLEEDVDMKGHDYRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFRWTPSNGLSPEEIDMGENPGLVTYMRTPLQAVATPRLPAELYKRIAVDM >Solyc04g025510.3.1 pep chromosome:SL3.0:4:22368693:22371690:1 gene:Solyc04g025510.3 transcript:Solyc04g025510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPRSITHRGSVRHQFILRYDYNDWKLMQTLVPEGEELTPNRE >Solyc10g012133.1.1 pep chromosome:SL3.0:10:4463408:4466961:1 gene:Solyc10g012133.1 transcript:Solyc10g012133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSDFDQSQTGRIMNHKDQGFTAEQFQKLLNLIDKQETPENVANMSDDLLITGNDIVLIKESQNTLQQNFKIKDLGELKYFLGIEFLRSDKGILMTQRKYTLELILEWGLAGLKPAITPLEQHMKYTTTKYDKHLKQENDDPQLVDKCAYQRLVGRLLYLAMTRLNISYAVQTLSQYMHDPKQSHFEGALHVVRYLKGNPGLGILLSSDKNDKINAFCDSDWASCAITRKSVTGYCVKLGKSLVSWKSKKQETVSRSTAEAEYRSMASTVSEIIWLVGLLKEMNMEAKLPEVSNVLWGVNE >Solyc04g082745.1.1 pep chromosome:SL3.0:4:66395431:66396830:-1 gene:Solyc04g082745.1 transcript:Solyc04g082745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGSEKKREFHIYTYDKNRRELRKTTIFCKLTDYSVCQVTELCSELTNSNDELVDRFEHEVAHACSYSMFLMKDMVNMESQ >Solyc08g013970.2.1 pep chromosome:SL3.0:8:3462774:3469403:1 gene:Solyc08g013970.2 transcript:Solyc08g013970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILVNVVGGFVVEVGKFVSKCIYPKIENVVRFSSKVENLREEMEKITKFRDDIKGKVEGAEGEGYKPKLDVVKWIEDVHELQYEWETMQESIENAKKLAYKCCPKSSLRSQVSNQARNIRDKLCRLIETGEKFGSNLVVENFRMKKVEFIPGPSIEGQSIARRNLNKILRLLEDDKVCIIGVWGTGGVGKTTLVKNLNNELLKIKVSNSKLSFGVVIWVTVPKPPIDIRKVQEQIARRLNLKVDNEGNLITIACEIYERLKQEKCFLLILDDVWEAIDLDDVGVPQPEDPSRSKIIVTSRFLDVCRQMNTRADTEVNVSTLEEDESWRLFVKNAGDVANLEGIQPLAKEIARECGGLPLAITVIGTSMRGKTRVELWKDALKSLRMSEPHNKDVEKKVYMVIKSSFDSLESQDIELSSGQRSKHGNKKRGDIKSCFLYCSLYPLDIPTDDLINCWLAEGFLGEHDTYEEAYNRGITTIRSLVDACLLETHEMDFVKMHDVVRDVAKWIANTFGDDYTCVFQAGIGLTEISHIKVSASVNRISFVSNKIQCLPDCFTECPEATSLLLQDNEPLVKIPNEFFWSFPALRVVNLSATGIRALPCSINSLRQLRALILQNCKGLKELPPIGNLCNLQLLDCDNTRLRCLPQGMDKLTNLRLLNMPESDLESSISQGFFLKLSSVEIINMTGSCLGSTSFDEISSLHNLTSLYIRSDSSSIFNRDHTWMTRLKRFRIEVGKTSMYVPFNKSRREIIVSKCEIFSSRVVSGMFQFASHLYFEEFIGFRKLFAYNSFDGLKSLHVESCSCDIGSAEDPLPNLEHLSLVYVDNLKSVSDFGHLRFSKLRRLDINICDSLTCLFNVSVGFSVPLEEITISYCEELVELFDRCSASQIPRVRKLVLRYLPKLGTLGEPWEHLEELKVISCNEIRKLPLSIQTSNNIKVIRGTPEWWSHLEWDDEKFKSNVDHCFTESYW >Solyc05g050360.3.1 pep chromosome:SL3.0:5:61319590:61326281:1 gene:Solyc05g050360.3 transcript:Solyc05g050360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPKQNKYVRFEDWKSEQSSFSNDHKSSSNIRPFHVRKPSVSSLMRSTNRRIERGSERISSRRKPDDFHNVTNKLRKDQSVASKKKVLDPHEGQFLQKWNKICILVCVFAVSLDPLFFYIPVIDNKNKCLHFDNMLKISACVLRSFTDLFYIFHIILKFRTGFITPSSRVFGRGELIEDSSAIAKRYLSSYFIIDVVAVLPLPQIVILIIAPNTNGPIILATNEMLMVVVFFQYAPRLFRIIPLYKEVERTTGFFSGSTWGGAIFYLFLFMWCSNVTGAFWYLFSIERQEACWRSACDKIPNCSSDYLHCGGNMNGNTLLLNSSCPLLQQEDIKDPNDFDFGIALDALQFQVVEKRKFLTKLLYCFWWGLRNLSSLGQNLKTSTFDGDIIFAICISITGLILFSLIIGNMQKLLQFDLVRVEEMRARRWDVEQWMSNRMLPDSLRLQIRRHEEYKWQQTKGIEEDSFIQNLPRDLRRNLKRHLCWSLLYRVPVFEKMDEQSLDVLCDRLKPARFTEMSYIIREGDPVEEMHFLMRGAVSSMTTNGEETGFFNSVHLKAGDYCGNELLAWVISPHSSSSSLPVSTRTVKAVTDIETFALTADDLKFVVSQYRRLHSKRQLQRTFKYYSQQWRIWAACFIQVAWRRHCRNKLEKSLREEEDKLQVALAKESTNAPSLGAAIYASRFAANMLCALRRNNATGTKSSPTFSLLLHKPDEPDF >Solyc09g072560.3.1 pep chromosome:SL3.0:9:65520769:65522935:-1 gene:Solyc09g072560.3 transcript:Solyc09g072560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTRVLLALLFSAFLLSAANAYRQYPFQQGQHGQRSIPLTQAQQCRLQRLTGSQPSQRIESEGGYTEVWDENEEQFQCVGVAPMRNVIRQNSLSLPNFHPMPRLVYIEQGRGLISVINPGCAETFQSQTFQAGRMAGEERGERRGNDQHQKVHRIRQGDVVAIPAGAAHWCYNDGEEELVAVSINDLNHRSNQLDQNFRAFYLAGGVQETSGRQIGVGKQSTQKFQNIFRAFNTELMAEAFNIPVEIVRRMQEEQNERGLIVNVREGMSMIRADEEEEEFEGRSQRGQRWWAEVTGNGLEENICTMKIRTNMDTQRRADIYSRQAGKIKHVNSQNFPILRDMDMSASKGTLYPNALMSPHWSVNGHSVIYVQRGDAQVQVVDHTGQQVMNDRVNQGEMFVVPQYYASTVKAGQNGFEFAVFRTSSQPMNSQLAGYTSVIRAMPIEVLTNAYQISPNEAQRLKMNRGGESFLLSPQRRSF >Solyc05g014300.1.1.1 pep chromosome:SL3.0:5:8101967:8102161:1 gene:Solyc05g014300.1 transcript:Solyc05g014300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSKKKNSDFSSYLKSFFVCFYIKDDDTSKVVKDSSSKGSYGPEKTMISAAKHFSSPHKVRLI >Solyc06g069880.1.1.1 pep chromosome:SL3.0:6:43624650:43626062:1 gene:Solyc06g069880.1 transcript:Solyc06g069880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANNNVTSLRVASFSCYLSNPDDQSFLHKLGGSYEEPYSAVVLPPENPFSVRATKAPTSKPSSNNPRDSLANLRVESFSSYLKTDEDNFAFKTSGASPVQDPTIAFVFSQQTLVSTQKHQERTKLKDGELSIFGADKYFNKKLEYGAAATSVVKYGGQMNNGMVDLPHPKPSSQTGTPSIHSEASSFNSQSALLQNLPRNRYQTNQKKSTGRRFFATFGCPGPCSGKKAVRVDQSSEPGLPQPGSKHSTGHFALSSGTASVDEKLRVVKKHLDDQDQTIEEQRKSIEVFGSGKMRQGDIAVNLERKLSMLTWDAIPKAQNLPRATIGSSTVCDDIASDASSDLFEIENISSSGYGLMHSQTSDYVPGCMSPTTQYAPSEASIEWSVITDSAAGYSSVISDYDSKRISISGNAIPRNAACTNTKNNKNAVSKEDQKARQGGLLGCKSHKAVSVVETVYKTGENTKHHQRG >Solyc11g010930.2.1 pep chromosome:SL3.0:11:3979166:3981274:1 gene:Solyc11g010930.2 transcript:Solyc11g010930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHFWTLICYLHTLAGPVTMLLYPLYASVVAIETSDKLDDEQWLAYWIFYSFLTLMEMVLQHVLEWIPIWYDVKLIFVAWLVFPHFRGAAFIYDKFVREKIIKRYRESSSSSPQHINKSPKAKSKTKFVDFITPKKGEHEAY >Solyc12g088470.2.1 pep chromosome:SL3.0:12:64811192:64813412:1 gene:Solyc12g088470.2 transcript:Solyc12g088470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPYIYIYIYIDTHRKMEQQTMADQENFVRVTRLAKKRAAEAMVQQLQQPNKKRVVLTEIQDLCNVGINQIEDKVFVSEPLRPKCKHIIKRKLKISDDDPQMCTAYVSDIYDYLHQMEIEKKRRPLPDYLENVQKDVSANMRGVLVDWLVEVTDEYKLLSDTLYLAVSYIDRFLSVNVIPRKKLQLLGVSSMLIAAKYEEINPPDVGEFCYITDNSYSKKEMVKMEADVLKCLKFEMGNPTIKTFLGQFNKIAQEDYKKNNLQVEFLGYYLAELSLLDYNCVKFLPSLVAAAVIFLSRFTLQPMSHPWSMALQRCSGYRPVDLKECVLIIHDLQLSRRGSTLEAVRDKYKLHKFKCVSTLSSPLEIPDSFFEDTRQ >Solyc02g085400.3.1 pep chromosome:SL3.0:2:48956870:48960012:-1 gene:Solyc02g085400.3 transcript:Solyc02g085400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMANEEIKKRMKAFFVSAVWILCWGLFIISEAGINAERLTLKDKLEPAATYNISYVENNEMRPQTFAVRLVHFLWSGKSSYEHVWPEMEFGWRVIVGSIVGFFGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGSTVYYNMRLRHPTLDMPIIDYDLALLLQPMLMLGISIGVAFNVIFADWMVTVLLIILFIGTSTKALFKGIETWKKETLMKKEATKIQLESEYKPESEGEYKLLPSGPLASVDDTVPMLRNVYWKELGLLMFVWVAFLAIQVVKTYTVTCSIGYWILNLLQVPVAVSVSLYEAVCLYKGTRVIASKGKEITNWKPHLLFFYCCCGIVAGIVGGLLGLGGGFILGPLFLELGIPPQVASATSTFSMTFSSSMSVVQYYLLKRFPVPYATYFVSIATIAAVVGQHVIRRVIALLGRASIIIFILAMTIFTSAISLGGVGIANMIEKLKNNDYMGFDNLCYHS >Solyc07g053140.3.1 pep chromosome:SL3.0:7:61719979:61721279:1 gene:Solyc07g053140.3 transcript:Solyc07g053140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNCELCTGLARMYCESDNASLCWDCDAKVHSANFLAARHSRSLLCQVCQSPTAWSAAGAKLGKTVSVCDKCVDGYYHRDGVEEMEESESVNDEESDTEDEETDYEEDEDEIDSGDIQVVPWSNTTPPPPASSSSSEDSSNGCRNVSSKRMREADPDLQSDDDSGSSSCQRKVHISPAMDADGGDDAAASVDSYSSKMRTPVKIQRTDRLTAPRCTPVIEFIRRNNRQRMNSGAAIAELCRLNHESRTTDLKSSETS >Solyc09g082770.3.1 pep chromosome:SL3.0:9:68871261:68875873:1 gene:Solyc09g082770.3 transcript:Solyc09g082770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRTGDGIRRSKVFALVAILLFSFVNDISALSVTVNDEECVYEYVLYENDTISGNFVVVDHDIFWSSDHPGIDFTVTAPGENVVHTMKGTSGNKFEFKAPRSGMYKFCFKNPYSTPETVSFYIHIGHIPNEHDLAKDEHLDPINVKIAELREALESVTSEQKYLRARDARHRHTNESTRNRVIFYTIGEYMLLILASGLQVVYIRRLFSKSVGYNRV >Solyc04g076220.3.1 pep chromosome:SL3.0:4:61249700:61251192:1 gene:Solyc04g076220.3 transcript:Solyc04g076220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4BU60] MKREYVLEEKNKNKDSNTNTMFGKIHHHHQNQSPQSFQHHHHLHQQQQQQHHHNFQHPPFQLTRECQTSEEADSTANRNDTLNPQPVNAVAPPSQQQQPAGNDGATIEVVRRPRGRPPGSKNKPKPPVIITRDAEPSMSPYILEIPTGVDIINSVTKFCRKRNMGLCVLNGSGTVTNVTLRQPSTTPVSTVTFHGRFDILSISATVVQPNANIPSNNGIANGFTISLAGPQGQVVGGGVVGPLVTAGTVYLIAATFNGPSFHRLPAEEELARNNSGGGNEDGSSPQQHAEVSGGGDGGHPPSTTAPESCGMSMYSCHLPSDVIWAPTARQPQPPPY >Solyc06g064810.3.1 pep chromosome:SL3.0:6:40498195:40503173:1 gene:Solyc06g064810.3 transcript:Solyc06g064810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGKKELLASAPWRVDQEQDEKFKDARLKVTSQPGKTSTMHVPGKKTVNSKDNEADDSLEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPTMAYNVSRNLTFFTSIFTQFFDPQGIANAQKSLGLGQEEKVRKVR >Solyc09g090730.2.1.1 pep chromosome:SL3.0:9:70641030:70642502:-1 gene:Solyc09g090730.2 transcript:Solyc09g090730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:K4CW99] MACSVDTLAPFLGPNTTNAVAAASYICNQFSGVSDRFVDTGYAIDSTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAWGGPSNGFIGRHFFGLKEIPSNSFDYSNFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWTPDGWASPTNSNLLFGSGVIDFAGSGVVHMVGGIAGFYGALIEGPRIGRYDHTGRSVALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILVTYGASGGYYGQWSAVGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIICGFVAALVLIGFNMLAEKFKYDDPLEAAQLHGGCGAWGIIFTGLFAKGEFVDQVYPGKPGRPHGLFMGGGGKLLGAHIIQILVIIGWVSATMGPLFYILHKFKLLRISSEDEMAGMDLTRHGGFAYYHEEDPKLGMQMRRIEPTTST >Solyc08g063090.2.1 pep chromosome:SL3.0:8:52734453:52736460:1 gene:Solyc08g063090.2 transcript:Solyc08g063090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRKYISSEELKNHNKPGDLWISIQGKVYDVSDWVKEHPGGDFPLLNLAGQDVTDAFVAFHPATAWKYLDKFFKGFYLKDYSVSEVSTDYRRLVSEFTKMGLFEKKGHSGWIGHDSGHYQVMSTRGFNRFAQVLTGNCLAGISIAWWKWNHNAHHIACNSLEYDPDLQHMPFFVVSSKFFDSLTSYFYDRKMNFDSFTRFLVSHQHWTFYPVMCFARINLFAQSFILLLSNKNVPHRVQELLGVVSFWIWYPLLVSFLPNWGERIIFVLASFTVTGIQHVQFCLNHFSSEIYVAPPKGNDWFEKQTNGSLDISCPSWMDWFHGGLQFQIEHHLFPRLPRCQLRKVSPFVKDLCKKHGLPYSCVSFWKSNVLTISTLRAAALQARDLTKPVPKNLVWEAVNTHG >Solyc10g076990.2.1 pep chromosome:SL3.0:10:60008765:60025575:1 gene:Solyc10g076990.2 transcript:Solyc10g076990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIIVFCTVNSNETCHVQAQLFRCIMLRELEGSSVNAILFYINGTTLRFTIRKFAIISGLNCSDNGVDFYFDTDQPNRIIDEYFPGNSPVTKARLSKAFKAKVWGDNQEYAYKFGILYYIHKFIMSAEPTTTTIDRLDFDLVETGRFMDYPWGRKNFNELAKSINNKLKPCGQYYRIQGFPLPMQVWFYECCSYVDDKIAVKVSSHIPRIINWVTKNDHPRFDYFMKTIFNDVDNPIKFTNIEPTAMEIKILQLPPSTEQSISQACRLITIKKKKKQSITVISINKSSTKAIKTYTRRSMAHKATRSQSININSVEKHSDAGTSNNNKHGEQKSVQDRTQMGQMKNSTNITISLDEFEAFKKSVKDEFADLRKMLEDKFKTVLEAMNSKVNVVDDDQESPIGDVHHQPTYTPHEPQSQSANLTEQEATFEDVMQETHITRVHQSNTKSSQLGAQKKPIGHPSALKDHELGDNLQELNWNSQLLDQVVLADNLNDVSGTASQDQLVFKNAQRKTESSSNSRVIYNIYNAASHERIAEAEESIIVAAPIQMVYMPDSNQETVVTESQDELPDHLLPSVKTLQNIALQKQVEVTPMSAVRHRCPGPFNISPYMTSFGSDAGDLSKEVLDEMIIDYINGYKMLAYAPWHTVDDVFIPGPQYVCTNFWTFVCMTCSGIHREFTHRVKSVSMSKFTSQEVEALQQGGNQRAREIYLKSWDPQSQWLPNNSNVDKVREFIKTVYVDKKYAGAQSSDRPPRDIQNLRNHDDDMRRASSYHSYSQSPPYDFQYEERRYGKNAPALTRKPGSDRGLYEGKVSSFLSPSRLSDNMYDDRFGNEGSNPRASDYSVSSGGDPFRSVAQSPNFQRGIGSPLCDTSRDISYEDVRHVKKDAGRILRAQRTASSGSFESFDSNSLSFKSVNSVCLADAVSESNQTIETHSSKLSTFPSLQRSSGPGNPDGPDLFNAPSVPQNAPPSVSTKSRLPVSSFQPSISAGPIGNSHQPSLTLPPSSLDFFSEVPNLPSAVSADEKPSCEVTSKNEGWATFDMQRHAATTGIEQFTLAPTPAYGHNSFDILPEKTQQQLVTEKSLDVDDGWATFDVPQHVTLTGSNNFTSNTIQLKGDAQSNSDPTFSVMQWPSPMESAPHGPAVRDSTACALDLSMPAPFHGGVLNVEVTPSARSTDLWSAFDVSNDHLALKSLPNSKEQVVMNHDLVDDQYMGLRGVENAVTGQSQRAVLDSGYPITSFPSYVSASSSGLSTLPVATGVHSHANEQKSNNPFDLPYDADMECSNMPQYWDMSSLQAALPSDGMSSSFVGGVTESWFPQNPATAYGPAGQHGTLFVSSQPAGNQISFRKLFQSSRGVEQRVPLYPLFFRISCWINGQHRPMDRLMDGEFEGLEIDGDGRGNTTSSSLFVMFSIFQYGLRFEAVSGLHMIGSHLMGVLNSSCTPSRQHFWNRGDFLKRRIFMEDALDIMWVSATRVQFYRGVYFGGTSLENKYLQVEDHPALVFSLGERGVEFIWREWGWYLSNKIVLHGVGRTGNKKEGSSGVVPKQSPMNQYTTSPHQRDPLYEQSTNASSHKYACRIVNVPTHGQVAAIGGNPFA >Solyc01g021600.3.1 pep chromosome:SL3.0:1:31992639:31998048:1 gene:Solyc01g021600.3 transcript:Solyc01g021600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLILQIFTISLFLSLVAFRATGEEDNYIFGKSINKKPTRLRKEKISHFRFFWHDILSGSKPTSMMIIPPPKNTTTGFGQMNMIDNALTLGPKLSSKIVGRAQGFYGAASLNDVGLMMVMNFAFIEGKYNGSTFTILGRNPVFEKVREMAVIGGSGLFRFARGYVQASTHSWDFKTGDATVQYDAYVFALLRGREKLGTATINQDKNVTTGYVVHNELRDFWRKLEVARRPFRKLD >Solyc05g055310.3.1 pep chromosome:SL3.0:5:65845448:65848429:1 gene:Solyc05g055310.3 transcript:Solyc05g055310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copper chaperone [Source:UniProtKB/TrEMBL;Acc:Q84KJ2] MSQTVVLKVGMSCEGCVGAVKRVLGKMEGVETFDIDLKEQKVTVKGNVQPDAVLKTVSKTGKPTSFWEAGESAQTEAVSTA >Solyc07g009040.3.1 pep chromosome:SL3.0:7:4018687:4020126:-1 gene:Solyc07g009040.3 transcript:Solyc07g009040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTTFLALLFCLFLVAATEIQMAEGKYCWKKSDKWNGPCQYSYKCSHHCKYYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc02g038640.2.1 pep chromosome:SL3.0:2:31698201:31699339:1 gene:Solyc02g038640.2 transcript:Solyc02g038640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFYVEAEMAISDELCIFQIDLTDGTATVTASISVELGEKLLSMTAEDIFDITCAKLQKQSLYINHVHEMLSNKLFQIQLRKSSWGTSNNTQATYSIISYMEKQHTPPTTIDRNSKKVRPLEISEMEVTETTTAAGSSNATLKFEPPTPTKKL >Solyc09g065350.1.1.1 pep chromosome:SL3.0:9:63665506:63665994:-1 gene:Solyc09g065350.1 transcript:Solyc09g065350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRTTLGTNFNLCFTKLKRSLPLRSIDDNDNDNDNERHQQQHHSMHFCNSVKNFNSLYDLSSSECNIPTSSSTDESDYNYELENNTPDLATIYASQRFFFSSPGHSNSIIDSSSSISSSIASTSSSVGSDAPLEGASRFQRIHPTRIWIFDDRCKKWWRHVD >Solyc02g070560.3.1 pep chromosome:SL3.0:2:40866407:40874705:1 gene:Solyc02g070560.3 transcript:Solyc02g070560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMRSQSCGSNLDLNSDFYRLGVSQFRKGSLNLKFQRLLINFHHLRLSSHFPVKIRRSSARRYGFCLPPRLFQVRAMDDDVGVSSFHDWGDNNGAVEYRFSSSEGEDSDGDILLQPITDVDLPTSKEQLYSADDTVRTHQLTMLGRAYKRKRIKYGILNNIGLIMFSTVLLSLVDCCAWKIVRLPLAPLYLMRPFLISAVAVSCVGYVCVPLFRSLKLHSVIRKEGPARHSSKKGTATMGGLYFIPIGVIVAEIIVGFSSLEVLGASAATLTFAAIGLLDDLISMRNNNVGLSARFRIMLEVAAGTFFSFWLYASDISSPYSMKTVVPLPAPLGLICLGRLYPFLTSFCFASMANGINLTDGLDGLAGGTATLAFIAMAIAVLPICSELSIFGASMAGACAGFLLHNRYKASIFMGDTGALALGGALASMAACTGMFFPLFISSGVFVLEALSVILQVSFFKTTKHFLGTGHRLFRMAPLHHHLELCGVKEPVIVAGAYVFSCILALTAGYVGLASSKRRTREPKEETVTLGPSVREGELVFGVAHIFASFNDTFIHVTDLSGRETMVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGINALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Solyc01g090100.2.1 pep chromosome:SL3.0:1:83623924:83627161:-1 gene:Solyc01g090100.2 transcript:Solyc01g090100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFSDMKLQAMNAVRAYFVRSWTVEDLMNNGEMTQHAYASLKTVYLTLSFAMWSFTSGSFSHWIWEAGGRFTVLCSVASLLCLYLISPLRVRTRVLLLMIAAFSIGASIGIFTKYFFEIDQVLVVCLLAPPILGIGFIWSESLLARDRSEIYLACMFYSWAVCIVFALFMGYVVVYSQEILYDARFGEINFVNRTLTVFFRLPGIVVYAARLCLTA >Solyc11g012230.2.1.1 pep chromosome:SL3.0:11:5118876:5126725:-1 gene:Solyc11g012230.2 transcript:Solyc11g012230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YRSGQVILVGLINLPYLINSKKAINSVHALSSVLPLKKGGKNNQKLVKIIKLSANGPEIILGTKNFPFKIFLITISTLKATP >Solyc08g006500.3.1 pep chromosome:SL3.0:8:1096629:1107065:1 gene:Solyc08g006500.3 transcript:Solyc08g006500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKTRKSNIFSSISFSFFLLCLIIFFSMEMTMAQNKSSIVSINVGVVLDMDEWFGKMGLSCISMALSDFYTFDGSNYNTRLVLHTRDSKKDVVGAAAAALDLLKNVEVEAIIGPFSSMQADFIINLGQKSQVPIISFSATSPSISSARNQYFVRTTHNDSSQVKPISSIIQSFGWRQIVPIYIENQFGEGIISFLADALEEINTRIPYRSVISEFATSDQIRSELLKLMNMQTRVFIVHMPISLGSKLFATAKEIGMMSEGFVWIVTDAMANQLNSMNVSVIESMEGVIGVKPYAPKSKKVEDFTQRWKMKFRKENPTMVDVELDIYGLWAYDSATALAMAVEKSRINGAFFRKPNVSGNATDLEAFGVSRDGPKLLKAILNTTFKGLSGDFQLVDGQLQSPPYQIINLIGNGVKEIGFWTREHGIVRKLNSRRGYSVSKDNFRSIIWPGDTTSVPKGWVIPTNGKKLKIGVPVKDGFTEFVKVTRDVTTNTTIVTGYCIDVFDAVMEALPYYVPYEYVPFAAPNGKSAGDYNELVYQVFLGYDSYMLQRLTDEQNFDVVVGDTTIVANRSQFVDFTLPYTESGVTMMVPIKDDNRDNTWVFLKPLTWELWLTSFCSFVFIGFVIWLLEHRVNEDFRGPFWHQVGMIFWFSFSTMVFAQKERIVSNLARFVLIIWFLVLLILTSSYTASLTSMLTVEKLQPTVKDVKELLNSKDYVGYQPGSFVVGLLRKMNFDEDRLKAYNTPEECVELLAKGSSNGGIAAVFDEIPYVKLFLANYCLKFTTIGPTYKTDGFGFAFPIGSPLVPDVSRAVLNVTEGEKMVQIERAWFGESTCSDLSSSLSSNSLGLDSFWGLFVVAVVAAVLALVIFLTKFIHEHWHIIGRSDLSLRERSRILARKFDTKDYSCHTFKKSELRDVLAHSTHDLDCSRSPQGNLSLLPSPRTTGPPSPSNSSHTEQMIHFPGEERASPSRGENEAVNGQVEMV >Solyc10g080840.1.1.1 pep chromosome:SL3.0:10:62149835:62151364:-1 gene:Solyc10g080840.1 transcript:Solyc10g080840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLEYSLLFLLIFCSTYSIWFIIYRRTKTSAPTNWPILRELPGVIGNLHRGHAYMTEVLIEYGGTYDFKGPIFTNVDMFFTCDPANIHYIFSKNFSNYPKGPEFRKIFDMLGNGIFNVDHELWELHRRTTMSIMSHAKFQMLLEKTMWDIIEKGLKPILDAFAKQGKTLDLQDVLQRFTFDSITRLLLDHDPKSLSMDLPYLPYEKAFGDALDALLHRHITPQRLWKLQQWLRIGKEKKLMHACEAFDQFIYPCIARKQEELMHKSRIKEEEFAFLNAYIKVYNQWNGGDLGTLQTFLRDTFLNLMLAGKDTTSAALTWFFVLLAKNPLVEKKIREEIQQQLHVKEDENLKFFTKEESRKLIYLHGALCETLRLYPSVSLEHKVPLDHDILPTGHRVSPKTRMILPFYVMGRMETLWGKDCLEFKPERWISERGGIKHEPSFKFPAFNAGPRTCLGKEMAFIQMKIVAATIIHNYNIQVVEPQNVYPTTSIIMQVKNGLMVKVVKRYN >Solyc03g059300.1.1.1 pep chromosome:SL3.0:3:30733741:30734424:-1 gene:Solyc03g059300.1 transcript:Solyc03g059300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRFHPTDTKLINYLKRFFKGELSLNQECPILFADIYGDQPPWEIFGANFEVKYRYFITPLKKRRIKDTRFSRTCAKGMWKGQTGEELIRRNSLGPVVGFKRKCRFETSDQCGHNNIWLIIEYQVADSFFKKNNHIIKEDFVVCRIKKKKIMDKEKNVDHAIEAQDGDVAGIINPMLIEPNHNNVYSTWKDLVGVFDEVEATTTKFESKYNGEERDSVASTRRQRS >Solyc04g077150.3.1 pep chromosome:SL3.0:4:62172112:62175679:1 gene:Solyc04g077150.3 transcript:Solyc04g077150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGWWLMLVGTLRLASVWFGFVDIWALRLAVFSKTTMTEVHGRTFGVWTLLTCTLCYLCAFNLHDRPLYLATILSFVYAFGHFLTEFLIYQTMEIKNLVTVGIFAGTSIVWMLLQWNAHQQVKTKSP >Solyc08g008455.1.1 pep chromosome:SL3.0:8:2844150:2846427:-1 gene:Solyc08g008455.1 transcript:Solyc08g008455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALGDTDPRVAETCRYLAEAHVQAMQFDEAENLCKKTLEIHRAHSPPASLEEAADRRLMALICEAKCDYESALEHLVLANMAMIANGQETEVAAIDVGIGNIYLSLSRFDEAVFSYQKALTVFKSSKGDNHPSVASVYVRLADLYYKTGKLRESRSYCENALRIYAKPVPGTTPEDIACGLTEISAVYELFNEPEEALKLLLKAMKLLEDKPGQQSTIAGIEARMGVMFYMVGRYEEARSSLENAVIKLRASGERKSAFFGVVLNQMGLSSVQLFKIDEAAELFEEAKEILEQECGHCHQDTLGVYSNLAATYDAIGRVDDAIEILEYVLKLREEKLGTANPDFNDEKKRLAELLKEAGRSRNKNPKSLENLIDPNSKRTTKKETSSKKWSAFGFRS >Solyc06g018004.1.1 pep chromosome:SL3.0:6:16096480:16150943:-1 gene:Solyc06g018004.1 transcript:Solyc06g018004.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSAMLEIRSQRQHRVLHALRSSGCYNCDNLTKGWYPAGESPTTNGGATASKGGASKEIQFVDEGVLDC >Solyc03g078090.3.1 pep chromosome:SL3.0:3:51474536:51478028:1 gene:Solyc03g078090.3 transcript:Solyc03g078090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFGNFSLLILFLLFSLSYAIDDHHHHLPPEIREACKVSHDPSKCEASFHYHFPSKFSTLQIIYSALARSMHKLTKAQALVKDIQKSAAGNVNVTIATVNCAEGLAFSEYRFKQTANDALARGEIKDARAWMSAALGYQHGCSAGLQKVNDTSRVIQTIVLMESLIGFTSNTLGMIINFDIHGNQIGLWSPPKTERQGFWEGVTGTRMDVKGGVPSNLKPNVTVCKTGNCEYRTVQDAVNAAPNNLVSERFVIWIKTGLYDEIVRVPMAKRNLVFLGDGMGQTVITGSLNVGNMAKSGVTTFESATVGVLGDGFMARDLTIQNTAGAGAQQAVAFRSSSDRSVLENCEFLGNQDTLYVNSLRQYYKSCRIQGNVDFIFGNAAAFFQDCDILVSPRMVNPENGETNAVTAHGRIEPGQSTGFVFHNCSINGTPEYMKLYKSNPSVHKTYLGRPWKEYSRTVYIQCQFGDLINPDGWMPWSGKYALDTLYYGEFGNTGAGANGKERVPWSSQIPAQHVYSYSLQNFIQGHRWIPSCL >Solyc02g062220.2.1 pep chromosome:SL3.0:2:34346750:34348359:-1 gene:Solyc02g062220.2 transcript:Solyc02g062220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRVHDPAKDVWSSPNWPPRIEKMFVGSLVEEMKYHLDVMPSNFHDQAWENVVKDLNRCSGWDFDKAELKKHLTILRKRYRIVRPLYNHGGFGWNSRKKMVVVDDSVWAEYIEVHPEIAPYRKYGCPIYKELCHIFTRPKATGELSVSSMYPRSNPSERNKRKLTEPPKSGSNKRSSVGPNNPKRSKSSDMDQNQNQKDDPYSIASCVVALNDTPGVDRRLYNAAMDLFEHKNWRETFVTMKMDKRLSWLKAMIPRKT >Solyc08g014315.1.1 pep chromosome:SL3.0:8:4127686:4140456:-1 gene:Solyc08g014315.1 transcript:Solyc08g014315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKYEVAKFNDDSGFSTWQRRMKDLLIQQESTIDEVAEHEEQPDEIVEQGEQLGQDKELIAKLKKDLSKSFDMKDLGPAQQILGMKIVRERTKRKLWLSQEKYIERVLERFNMKNAKTVSTPLASHLKLSEQMCPTTKEEKEGMTKVSYSSALGSLMYAMMCTRPDIAHAVGVVSRFLENPRKEHWEAVKWILRYLRGTTRNCLCFKGSDPILKGYTDANMAGDLDNRKFTIGMAETISSRTWIASEESKYTAELLRKTEMTFAKAVATHLAQKQGLREVVGNLGGFTTTRRSTTSYNIYLGANCISWTSKKQSKVARSSAEAEYSALASTASKMVAS >Solyc09g090650.3.1 pep chromosome:SL3.0:9:70577778:70583284:-1 gene:Solyc09g090650.3 transcript:Solyc09g090650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDINFQDFEKLNQETTMMQDMMLHPQEHHQLFNVQEEMPSPINDQILNFCESELFSEIQNSDVASTSNNSSYSTSTTTNFDLNRIEKNDETITKTAMSNVNNNRSMIFDTQDEIDNDISASIDFTPSANFTVPDHHFLQQQEEPFDVNCSVIPLSHHHLMGPSLYEDESLTSLPPYIRGVTSTPSCAFLDHNMVNYLQGNINNTIFDAAANSHALFFGSQLPNQEMDYQGENGRIFCHDSLSRIYNNDIQALSNESQHLVSGGGCSNTLATEITSFEDPNFKSGRCSVEERREKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVKGRFARNDEFGEASKANSCGTIEEDTISHEDVGLNNIMYHPNMVTSSTHHDNNATIFTSNCHVPTTNGPYDMCTPLYCTDGQMH >Solyc00g007100.3.1 pep chromosome:SL3.0:2:32678802:32684824:1 gene:Solyc00g007100.3 transcript:Solyc00g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSPSSSSASEDEDEGIDSYRKGGYHAVRIGDSFSGGRYIAQRKLGWGEFSTVWLAYDTRSSEFVALKIQKSAPQFAQAALHEIEVLSAIADGDTSNSKYVVRLIDHFKHTGPNGQHSCMVLEFLGDSLLRLVKYNRYKGLELDKVREICKCILIGLDYLHRELGIIHTDLKLENVLLLSTINATKDPIRSGTPPILERPEGIPKGGATMNIIEKKLKQRARRAAARISGRRSSMGGVGGGAKTNRSLDGIDLKCKVVDFGSACWADKQFAQEIQTRQYRSPEVILQSGYSFSADMWSFACIAFELATGEMMFTPKGGQGFSEDEDHLAMMMELLGKIPRKIANGGARSKDYFDRYGDLKRIRRLKYGSLEKLLIDKFRFSEIDALEFAKFLCPLLDFEPENRPTAQQCLQHPWLNIKGQNQTEVKSESGMEKVNVGMRNLQVKAGK >Solyc07g007180.3.1 pep chromosome:SL3.0:7:1931330:1934973:1 gene:Solyc07g007180.3 transcript:Solyc07g007180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEKTREAKQRLDHKLRRTRSKDERFKDVEDGQVESSTLQKKEMSGVKQNGIKTFSRVIKQRNDIENDECTICLGKFKVGDNLMHLLCDHKFHSCCLVPWLENYVCCPCCRIEILT >Solyc08g022080.3.1 pep chromosome:SL3.0:8:32499609:32521862:1 gene:Solyc08g022080.3 transcript:Solyc08g022080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVFSVDEIADQLWSSPPPMRLSHDLDSSSATSSSKMMNRSSSEWAFQRFLQEAAAAETTSSSSSQQIKLNHNLIPDQSKPVSSFVSEPPIDSDEHQAFLKRRLDLACAAFALNRASYIKSQDSASLPPEKGSLAANASLCGSQLPPKGSGQELAKVQDKDAGEPIGIPPLPALQKKPGIQVRSTTSGSSGEQSEDDEAEGEAETTQSTDPTDVKRVRRMLSNRESARRSRRRKQAHLTELETQVSQVRVENSSLLKRLTDISQKYNEAAVDNRVLKADVETLRAKVKMAEETVKRVTGLNPLFQAMSEISTVMMPSFTSSPFDSSADAAVPEHDDLNYPAPENGHMPNHDCRMQNGMLDIPPIGNVHQSSATEAIEANTIDRPSSMQREARLEHLQKRICGEASTCGNQGKGEK >Solyc07g042180.3.1 pep chromosome:SL3.0:7:55313426:55320477:-1 gene:Solyc07g042180.3 transcript:Solyc07g042180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQQRLKQQQALMQQSLYHPALLAPPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTEPLLQEVFSSTGPLEGCKLIKKEKSSYGFVDYFDRRSAALAIVTLNGRNLFGQPIKVNWAYTSAQREDTSSHFNIFVGDLSPEVTDATLYACFSVYPSCSDAKVMWDQKSGRSRGFGFVSFRNQQEAQSAINELTGKWLGSRQIRCNWATKGAGGIDEKQNSDAKSVVELTSGTSDDGHDKANEDAPENSPQYTTVYVGNLSPEVTLVDLHRHFHALGAGVIEDVRIQRDKGFGFVRYSTNAEAAQAIQLGNAQFFFGKPIKCSWGSKPTLPGASSTPLPPPAVGHIPGISVTDIAAYERQLALARMGGSQALMHSQALMHSQGQRIGVASQAIYDGGYGSIAATTQPPMYY >Solyc01g067107.1.1 pep chromosome:SL3.0:1:75286563:75287906:-1 gene:Solyc01g067107.1 transcript:Solyc01g067107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVRSMRGFRGKKKKHIKGKIVIHISPILFFSSWLLAAPSCALVGSRFSITILENSFALRYSGGLVVVTCRREPEMAQGTCAILSMHRSFTAPRFEALKPKYSGPQVLRICVGLHSVKV >Solyc11g072890.1.1.1 pep chromosome:SL3.0:11:56357467:56357928:1 gene:Solyc11g072890.1 transcript:Solyc11g072890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACISNCINDARAPVRATYVNLYKWPESDAEFIRSVSSKNHDHDRGRDRNTGPKVVDSISCRQLYLRSYTFSREDQVNLSDEKKSHVKCYGKKKRKLPRRIDGGDGGGGGRARRTRRKCKGFSKAKEFSCAALASIFRRLLSCTTKVDVVG >Solyc04g078780.3.1 pep chromosome:SL3.0:4:63534403:63539131:1 gene:Solyc04g078780.3 transcript:Solyc04g078780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPELVIVSSPTDAGIGCWDLHTGAEHLRYRTCSSPSHGLTCVGGRFLASSQLRETKSSSGSILYWSWNKPQVEVKSFPAEPINPLVSNSEGTYIAGGGASGEIYLWQVATGKLLKKWHAHYRAVTCLLFNDDQSLLISGSEDGSVRVWSLIMVFDDLLRGKARQPYEFSFSEHSLKVTDVVIGYGGANAIIVSASEDRTCKVWSLSRGKLLRSIVFPSIIDAIAIDPGEDVFYAGGRDGKIYIAALNAVADPNNNYGLHILGFLSEQSKAITCLALSTDGSLLISGSEDGMVRVWNTKNHNITRIFRHAKGPINNVVVVRQSSLMSNRGTMNSQMPSVKRHGVSLPPPLEKCANSADENDYKAVIGPRIDPDRCVEASYISIQSLSNQILELQRQGSSAAAEMEIERLKLDRSRSMQMIQQWETKFQSLHQFCVTELLDGEKAGNA >Solyc02g086517.1.1 pep chromosome:SL3.0:2:49823817:49826255:-1 gene:Solyc02g086517.1 transcript:Solyc02g086517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYWTEFGNEESILLCKNPLSGFLYTKTRRGDQEKRETILTSFGHFSGDVVGIPTGESKPKRPIYREIGIELLGFEMNPVSSKPAITTMTQSQTPQRPRIIEGQGRRYRGVGDLGNKTRRKESSVGKDELGQPGKTKTCHMISVNVISSLYSLKKLKSHIIWDCLDEVGRKIYRFRVIQIQVVVSSPPSWNYETQLLLSLSSSNLIGDVGN >Solyc10g084500.1.1 pep chromosome:SL3.0:10:64130635:64132449:-1 gene:Solyc10g084500.1 transcript:Solyc10g084500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTMHRIPIVLIFCASLFLRLLPGLVRAAIVTLDSIEIYKKHEMFGSKPTVFFRCKGENWTILPDVKKKHVLYIFKGEESWQPLTELKHKKCKRCGIYEKDTIKPDDTFDEWELCPDDFTSPHGKYSHYTKKEFKATFLCPECVHHRDASHHSSGSQKGGKRSMHWAFMLLIGASVLILLVVGAVITYKCWKQKKRQNEQARFLKLFEEDDDIDDELGIGPLSHVI >Solyc06g064840.3.1 pep chromosome:SL3.0:6:40536392:40546064:1 gene:Solyc06g064840.3 transcript:Solyc06g064840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILCMGRGKIEIKRIENNTNRQVTFCKRRNGLLKKAYELSVLCEAEIALIVFSTRGRVYEYSNNNIKATIERYKKATAETSNACTTQELNAQFYQQESKKLRQQIQMMQNSNRHLVGEGLSCLNVRELKQLENRLERGISRIRSKKHEMILAETENLQKREILLEQENAFLRSKIAENERLQELSMMPAAGGQDYSAIQQYLARNMLQLNMMEGQGVSSYDPLPPPHHDKKSLELQ >Solyc11g056633.1.1 pep chromosome:SL3.0:11:47393333:47393955:-1 gene:Solyc11g056633.1 transcript:Solyc11g056633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGAARKILGMEIIRDRERRKLFLSQRSYIKKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMYAMVCTRPDLAHAVSVVSRFMGQPGKEHWQCLVTGYSDSDYAGDVDTRRSMTSYVFTLGGSVVSWKATLQPTVTLSTTEGVHGLDRGCKRRGLVERAG >Solyc07g008130.3.1 pep chromosome:SL3.0:7:2838582:2841238:-1 gene:Solyc07g008130.3 transcript:Solyc07g008130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIILATKFGSSCSKLLLLVCLLFGCVVDVSLGRTYIVGDNLGWQTPPNGVVTYSNWANQHTFVVGDILEFNFNSGVHTATRVNKNAFDSCNAANPIDNETNGPAKFTLNTTGDYYFICTIHCNQGQKLTVNVTLTGSPSGSPTPGSSPSSPGGETSSPPSPSGSASTRVVASCVMLVPIVLALTLLA >Solyc07g026607.1.1.1 pep chromosome:SL3.0:7:29722594:29722960:1 gene:Solyc07g026607.1 transcript:Solyc07g026607.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGTTRVLTKEHAEKEEWCFLSIHCHLRFQVVSTYHIDKSLTNTMFVFSECCRSSENKRGI >Solyc05g008815.1.1 pep chromosome:SL3.0:5:3043296:3049357:-1 gene:Solyc05g008815.1 transcript:Solyc05g008815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDWLILLLLVVIEVVLYVIGPFHRYVGKDMMTDLKYPMKDNTVPVWSVPLYAVVLPIIIFIFVYLRRRDIYDLHHSILGLLFAVLITAVITDAIKNGVGRPRPDFFWRCFPDGKDEYDQWGDVKCHGKASDIKEGHKSFPSGHTSWSFAGLGFLSLYLAGKIKAFDRRGHVAKLCIVLLPLLMASLVGVSRVDDYWHHWQDVFTGGMIGLFVATFCYLQFFPAPYHTEGWGPYAYFRAVEEVRSSRPHVHPTNGGLETEHPEVQLNQRTGTTPNPFEDVEYGRIYRELFDY >Solyc05g056070.3.1 pep chromosome:SL3.0:5:66309084:66310200:1 gene:Solyc05g056070.3 transcript:Solyc05g056070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:A0A0J9YZP9] MASNTLMSCGIPAVCPSFLSSTKSKFAAAMPVSVGATNSMSRFSMSADWMPGQPRPSYLDGSAPGDFGFDPLGLGEVPANLERYKESELIHCRWAMLAVPGIIVPEALGLGNWVKAQEWAAIPGGQATYLGQPVPWGTLPTILAIEFLAIAFVEHQRSMEKDSEKKKYPGGAFDPLGYSKDPAKFEELKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDVIIPKGIFPN >Solyc04g064530.1.1.1 pep chromosome:SL3.0:4:55697323:55698345:-1 gene:Solyc04g064530.1 transcript:Solyc04g064530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREQEEMQFLGLFDIYIEAYKVIYQWKKIFSQITLSLILPMSFIFLAHMEVYHLFFSDLKHSESQLRHTHTGTAKYNRISDHIDSEITSLWLFIIVYFTILIIFSLLSTSAVVYTIASIYTSREVGYKKVMNVVPKVWKRVMVTFLCAFLCFFIYNLVSFFLLALWFVWAFSMRGENHGLANFIFVIMAIVYVVGSVYLSVIWHLATVVTVLEDSYGVKAMIKSKDLLKGKMRIALVFFFKFNLSLGILNFVFKKFVVHGSHHMHLGMLYRVGVGLFCLLLLFALILFGLVIQTIIYFVCKSYHHENIDKSALSDHLEVYLGEYEPLKSQDVQMESYQV >Solyc03g083380.3.1 pep chromosome:SL3.0:3:54658526:54659616:1 gene:Solyc03g083380.3 transcript:Solyc03g083380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTTKINPDVIKKGLESTLLNHPRFSSIPVVDEKKGVRNWKKTKVNVEEHIVCPDLDPDMDSPDEYLENYTSNLTTIPLDMTKPLWEVHILNIKTSEANAIGILKLHHSIGDGMSIVSLILACTRKASDPEALPTLPSSTKKEKNDVGLLRRFCYYVWFLCMVFWYTIVDVVLFLATILFLKDTETPMKGGVGVEHSPKRLVHTTASLDDMKIVKNALNLVRALLLHFT >Solyc07g006755.1.1 pep chromosome:SL3.0:7:1586383:1586781:-1 gene:Solyc07g006755.1 transcript:Solyc07g006755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGLDNTRKCCPSDIQIYQGPSGFNGLHIPQYTVQIVNEASTTDGVYDVQIRCGEFASTDFIEPSIFRRIGDCGICLLKNGSRILPGEVISFVYSNILPYDLSVIQVKC >Solyc02g068295.1.1 pep chromosome:SL3.0:2:38840570:38842184:-1 gene:Solyc02g068295.1 transcript:Solyc02g068295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKDVPLFNHQHITTDSQSVEDVITIQEPAVNDDTLEFTETKNPNNVEDVELEDNMVPNIPVVAPISTRHSEVSSNPPVWKYALELIFEAGLAGAQPVFTPLECNIKLTSVTYNTSSDDPIFLDISRYQRMIGKLLYLTNTRPDIAFAVQNLSQFMQQPKHSHWNAALRVIKYIKGSPGLGLLMSSRKDTKLTGFRDADWAACLSTRRSVTGYLLKFGDSLISWKSKKQNTVSRSSAEAEYRSLAILTAKVVW >Solyc03g033850.3.1 pep chromosome:SL3.0:3:5475299:5484694:1 gene:Solyc03g033850.3 transcript:Solyc03g033850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKPRQRLGFTRAAIKTLESIGTLEQFLMKHKKDYVDLHRTTEQERDSIEHEVTIFVKSCKEQIDVLRNSINEEDANSKGWLGLKGDNLNADTIAHKHGVVLILSEKLHSVTSQFDQLRAIRFQDAINRVTPRRNRKSTTKSNAAEASASISLDPDMKRDSEVRDNDVSQAAPMRVQEQLLDDETRALQVELNSLLDSVQETETNMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATQNVELGNKELSQAIQRNSSSRTFLLLFLVVLTFSILFLDWYS >Solyc04g054225.1.1 pep chromosome:SL3.0:4:52061217:52062938:1 gene:Solyc04g054225.1 transcript:Solyc04g054225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFSTLSRGLLIRLGDFELRGFSDSDWANDKNDRKSTSGFLGLTRKLKDLSKVFDEFLERIINEHVEYHDRDQTKDFVDTMMDIMQSGEAEFQFDHRHIKAILLDMLLAAMDTTASTAEWILTELLRHP >Solyc01g109980.3.1 pep chromosome:SL3.0:1:96704545:96716610:-1 gene:Solyc01g109980.3 transcript:Solyc01g109980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYFPSPSNQRDADQTFQYFRQSLPESYSEASNAPENMMVFMNYSSSGTYSDMLTGTSQQQHSCIDIPSIGATSSNTSQQEVLSNLGESRMGIQDFSSWRDSRNEMLADNFFQVAQNVQGQGLSLSLGSNIPSGIGISHVQSQNPNQGGGFNMSFGDGDNSQPKEQRNADYFPPDHPGRDLDAMKGYNSPYGTSSIARTIPSSKYLKAAQYLLDEVVSVRKAIKEQNSKKELTKDSREPDLDSKNISSDTPANGGSNPHESKNNQSELSATEKQEVQNKLTKLLSMLDEWKLNYGVLSQIDRRYRQYYHQMQIVVSSFDVVAGDGAAKPYTALALQTISRHFRCLRDAICDQIRASRRSLGEQDASENSKAIGISRLRFVDQHIRQQRALQQLGMMQQHAWRPQRGLPESSVSVLRAWLFEHFLHPYPKDSEKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEEAGDAKIDSNSSSEVAPRLASKDSKVEERGELHQNTASEFEQYNSGQILESKSYHEADAEMEGASNAETQSQSGMENQTEEPLPAMDNCTLFQDAFVQSNDRFSEFGSFGSGNVLPNGVSLTLGLQQGEGSNLPMSIETHVSYVPLRADDMYSTAPTTMVPETAEFNCLDSGNRQQPFWLLPSAT >Solyc10g026520.2.1 pep chromosome:SL3.0:10:15030765:15032079:-1 gene:Solyc10g026520.2 transcript:Solyc10g026520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHSSHTLAFTFIIMISKILSNSEKVFDFVVNEGHGVKGLCDIGIQALPKQYIQPLEERITTSIVRTDNSIPLIDASNWDDPKVADQICKAPQSWGFFQVINHGVPIEILDNIKETIHRFFNLPTNEKKKYINSLSSNVRYATSFNPEAEKTLSWRDYYSLVHVSDDEATSFWPTSCRKEALEYLKSCDTVIRKILKLLMGGLNVKEIDKETEELLMGLKRINFNYYPKCPNPELSIGVGRHSDISTITLLLQDDIGGLYVKKHETNVWIHIPPVKGALVINIGDALQIMSNDKYKSVEHCMIANGSHNRVSVPIFLHPKATSVIGPLKEVLQNGENQLQENSLWRLHQHLLQQRS >Solyc06g082940.3.1 pep chromosome:SL3.0:6:48605344:48606576:1 gene:Solyc06g082940.3 transcript:Solyc06g082940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASPMASQLKSSFTSSLTRGHGLVTPKGISGAPFKIFPSTRKSCFTIKAVQADKPTFQVIQPLNGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVNPLLRGVEVGLAHGFLLVGPFVKAGPLRNTAYAGGAGSLAAAGLVVILSMCLTIYGISSFKEGDPSTAPALTLTGRKKVPDQLQTADGWAKFTGGFFFGGISGVTWAYFLLYVLDLPYFVK >Solyc02g094000.1.1.1 pep chromosome:SL3.0:2:55302693:55303118:1 gene:Solyc02g094000.1 transcript:Solyc02g094000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEYKQHQRLFDRFDENRDGKISAEELQQCVHLIGKDMSYEEAKAAVEHNDSDNDGLLDFEDFVRLIEGGSEEEKAHELKEAFKMYEMEGCGCITPESLNRMLSRLGESTTIDECRGMICRYDIDGDGLLNFHEFEIMMRC >Solyc05g010050.3.1 pep chromosome:SL3.0:5:4244798:4254494:-1 gene:Solyc05g010050.3 transcript:Solyc05g010050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPSNLLPISNPNSPPSMLIPTSSVTADTMLVRSEPHIVHSSSSSTILVVQDDDDSKIETKPLLTRAFSYSSSSSSSSNNLVMQQQRRRRIASANSLLSGAVEGCRREMGRAASDTYVVTRLSFRLLRYLGVGYRWIVRFLALGCYAMLLIPGFIQVGYYYFYSSQVRRGIVYGDQPRNRLDLYLPKNMNGPKPVVAFITGGAWIIGYKAWGSLLGQQLSERDIIVACIDYRNFPQGTISDMVKDASQGISFVCNKIAEYGGDPNRIYLMGQSAGAHIATCALLEQAIKETGAEPRASWSVSQIKTYFGLSGGYNILKLVDHFHRRGLYRSIFLSIMEGEQGLRQYSPELMAQDPNIKDAVSLLPPMVLFHGTADYSIPCDSSKSFADTLKALGVKAECILYEGKTHTDLFLQDPMRGGIDDMLDDLITLIHGDSSETIKAKSTPRKRLVPEFMLQMARSVSPF >Solyc04g039820.2.1 pep chromosome:SL3.0:4:12538491:12539275:1 gene:Solyc04g039820.2 transcript:Solyc04g039820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSDRIEFSNDPGTWDPMDEVMAVQIGISNLNGIPVTIEVIDFQFMGGSMGSIVGEKITRLIEHAANQNNGFSVYGVWIHSQREKSPCVLLLGTRMQKGSLSLMQMAKISSALYDYQFNKKLFYVSILTSLTTGGVTTNFVMLGDIIVAEPNAYIAFAGKRYPKVQAAEYLFHKILFDLIVPRNLLKSVLSELFKLHAFFPLNQKSSKIK >Solyc03g080030.3.1 pep chromosome:SL3.0:3:53347448:53348725:-1 gene:Solyc03g080030.3 transcript:Solyc03g080030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACASRPKDLDKEFTPIPAEEVATPEKTEQETPAPEEKKDGEETKKEEPSPQAPKIEKVTAAAVTEEVKPAKEEEKAEEVKVEAVKEKPEEEVEETPKAVA >Solyc07g045613.1.1 pep chromosome:SL3.0:7:58899867:58909928:1 gene:Solyc07g045613.1 transcript:Solyc07g045613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEQDSNVKNAKNVFGRPSRPCLCIRLSLMANPTHFQGQTSPKAHTPILMIFVSYSKTIIWVIYILTSKMPKFFVEVRQDLGYASANHFLRDPDSDIKNAKIFCGLPLRPCLYSRLSLTASPTHFQGNYFLGDSDSDVKNAKNFVDVLQHLGNAFGWTSRPVRSIFKDLDYEDGFLSQAVRPIFKVKGAPKRAYLPIRRFSCAIANHFKASPTHFQGQTSPEASISPIYTIFMCYSKPFLGDPDYDVKNSKFFLLTKPFLGDLDSDIQNAKYFCGGPSRPYLHILLDLMASRTHFSSQIPMSKMQKKFVDILKDIVYVSGCPSRKVRPIFKTLAMLLVGPHGLYDPFSMSTSPEVRIPPFRLFSCAIANYFFGCSGFRLKNAKCFCGRPSRASLCIWLAHTDSLTHFKANYFLGDQDSYVKNAKKFFVDIRQDLCYAAGLLSVPVLPNFKVKRAPKPNHFLGDPDSNVKNAKKFCVRSLRPWLCSRLTLTGSTQIIFWVIRTAILKLQKTLIDVCQDLGYAVSWPSRPVRSIFNVKRGPKRIPPFRLFSCAIANLFLGAPDVDIKNAKCFCGRPSRAWICIWLALRASLTHFNGQTSPKANTFRRFSCAIENLFFANHFLSKPNSDVKNTKIFGDICQDLIYVVDWTSRPVRPIFIVKRAPKRAYPHLENVHNHFSGDPDSDIKKWKNLCGRPSKPWLCIRLALTASSTYFQGKRTPKRAYPSFRRFLCSIANHFLGDPDSDLKNAKIFCGHGSRPWLCSRLDLTATNHFLGDPNSDVKNAKNFCGRSSRPPNHFLGDPNSDVKNAKKILDVRKDLGYAASYLSRPVLPIFKVKKSPKRAYPQFKRFSCAIANHYLGDPDSDVKNSKKICRRLWLAITDIPTHFQGQMSPEARIPPHLDNFYLGNAAGFPSWEVRPIFNVKRDPKHADPPLRRFLCAIANNFSVYPDSDVKSSKRFCGCPSRPWIYNRFALTTSPTHFQANHFLGDPDFRRQKFQIFVDVCQYIGYAASWISLPVKRAPKRAYPPYRRVSCAITYHFLGDPDSDVKNAKFFCGRPLRPCICIRLAMTVFQTHLEGQTSPEASIPLISTVKRAPKRAYPSF >Solyc12g088030.2.1 pep chromosome:SL3.0:12:64510856:64516395:-1 gene:Solyc12g088030.2 transcript:Solyc12g088030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription initiation factor IIA subunit 2 [Source:UniProtKB/TrEMBL;Acc:K4DGM4] MATFELYRRSTIGMCLTETLDEMVSNGILSPEHAIQVLVQFDKSMTEALETQVKSKVTIKGHLHTYRFCDNVWTFILQDAVFKSEECQETVNRVKIVACDSKLLTQ >Solyc07g007310.3.1 pep chromosome:SL3.0:7:2029364:2034974:1 gene:Solyc07g007310.3 transcript:Solyc07g007310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATASSSLSLNHHRYLVQIQGGVSLKSRIRVPTRFSSNGFTSRIRATSAVAVEPELRTPAQDVAEAELFACPICYEPLIRKGPSGFNVPAVYRSGFKCRKCNKSYSSKNIYLDLTVTSGTKEYNESKPTGTELFRSPVVSFLYERGWRQSFNRGGFPGPDEEFNMAQDYFKVAEGGVLVDVSCGSGLFSRKFAKSGVYSKVIALDFSENMLRQCYDFIKTDESILSSNLALVRADVSRLPFFSGSVDAVHAGAALHCWPSPSNAIAEINRILRSGGVFVGTTFLRVNPSTPPIFRALQQSATRTYSFFTREEIEDLVTTCGLINYTSKVQGGFIIFSAQKR >Solyc09g065640.3.1 pep chromosome:SL3.0:9:64044725:64053092:1 gene:Solyc09g065640.3 transcript:Solyc09g065640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTFFPSESCKETHLKSINPQSWLQVERGKLAKISSESASSIDSLIKVPEPPILPFFKPVDYVQVLAKIHEELESCSPQERSNLYLLQFQVFKGLGEVKLMRRSLRAAWSKASTVYEKLVFGAWLKYEKQDEELISDLLSSCGKCAKEFGAIDIASEMPAYKKLSSHGVITTNEDSCPRTVSFRIADEKIACDRQKIASLSAPFHTMLNGCFTESFCEEIDLSENNISPLAMRLINEFSSTGLLNEVSPDLLLEILVFANKFCCESLKDACDRKLASLISCRQDALELLECALEENSPVLAASCLQVFLRELPDSLKDSQVVELLSNTTRQQRSIMIGPASFSLYCLLSEVSMNLDPRSDESVRFLRTLVDSAETSQQKMVAYHRLGCVKFLREELDEAEQLFEAAFNLGHTYSVIGLARLGQIRGHKRWAYEKLGSVISSSIPLGWMYQESSLYCEGEKRWDDLEKATELDPTLTYPYMYRAASLMRKQNAQAALSEINRILGFKLALECLELRFCFYLALEDYQLAICDIQAILTLCPDYRVFEGRVAASQLRTLLREHVENWTEADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPDAAMRSLQLARQHSSSEHERLVYEGWILYDTGHCEEGLQKAEESISIKRSFEAFFLKAYALADSSLDASCSSTVISLLEDALRCPSDRLRKGQALNNLGSVYVDCGKLDAAADCYINALKIRHTRAHQGLARVHFLRNDKVAAYDEMTKLIEKAKNNASAYEKRSEYCDRDRTKADLEMVTRLDPLRVYPYRYRAAVLMDNHKDKEAIEELSRAIAFKADLHLLHLRAAFHEHIGDVMGALRDCRAALSVDPKHQEMLELHSRVNSQEP >Solyc08g066330.2.1 pep chromosome:SL3.0:8:54931414:54948605:1 gene:Solyc08g066330.2 transcript:Solyc08g066330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHANIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSCPEFSKDPRLVKMFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQRPLFPGDSEIDELFKIFRVMGTPNEDTWPGVTSLPDYKSAFPKWPPKDLAIIVPNVDGAGLDLLGMEGLHWIQSTRIITFGAICSQLSYLGMKTNNCNCKKIQQPAVIETSKCKMLSLDPSKRITARNALEHEYFKDIGYVP >Solyc07g054580.3.1 pep chromosome:SL3.0:7:62981724:62985590:-1 gene:Solyc07g054580.3 transcript:Solyc07g054580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNINCKDKIETDIIGWFDEVADDSAVVQRRTLRRILEMNHGVEYLKKWIGDIKIEEIDENLLESTYASLVPLASHADIEPFTKRIADGDTTPLLTQQPITNLSLSSGTTDGRQKFVPFTHHSSQTTLQIFKLAAAYRSRIYPIRRGGRILEFIYSSKQCKTKGGIIVGTATTHYYASDEFKIKQQQTKSFTCSPQEVISCGDYKQSTYCHLLLGLYFSHEVEFVTSAFAYSIVEAFRSFEEMWKELCHDIREGSLSSIINIDKVRKSVSGITQPNPELASRIESICVELERADWFSIIPKLWPNAKYVYSIMTGSMMPYLTKLRHYAGELPLVSADYGSTESWIGVNLDPSNPPEKVTFAVVPTFSYFEFIPLYKHKSNYNYQNVNLNSTNDDYIEGNSMPLCQVKSGQQYEIVITTFTGLYRYRLGDVVEVDGFYKKTPKLNFICRRNLILAINIDKNTEKDLQLVVERGARILSKGTRRAELVDFTSHANVTKQPGHYVIYWEIKGEIDEKVLDECCRDMDASFVDHGYVVSRRTKSIGPLELCIVERGTFKKILESYIGNGAALSQFKTPRCTSNKELLNILNVCTIKRFYSTAYG >Solyc04g009070.1.1.1 pep chromosome:SL3.0:4:2629446:2629718:1 gene:Solyc04g009070.1 transcript:Solyc04g009070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRKRETYRITNINSGDQGPSNQVTILRRTTSYVDDRGYIFVGFQDVVQTLLVEILKPEPCRSVLCIYGMGGVGKTTLARNLYRSPSI >Solyc05g007910.3.1 pep chromosome:SL3.0:5:2347153:2349344:1 gene:Solyc05g007910.3 transcript:Solyc05g007910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMALGNVCSLKIKNCELFGICINHSYTTHSIQIKSLNMKPLVIQATAKANSRTESAKLRNRRIRKKFNGTPEKPRLSVFCSGKQLYATLIDDQNKKCLFYGSTLQKSIRGDPPCSTIEAAERVGEKLVQACVDLNIDEISCYDRNGFARGDRMQAFEIAISRHGFMFK >Solyc03g063164.1.1 pep chromosome:SL3.0:3:35694611:35694949:-1 gene:Solyc03g063164.1 transcript:Solyc03g063164.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVIDFKSNLLIAPNSIKKIMKTEKDVRMIADESPENHQYTLKKDDVTDVIRQTDPLDYLLDNDAKVIGGSTPSVVSFYAAGGSNGST >Solyc04g078240.2.1 pep chromosome:SL3.0:4:63108510:63109394:-1 gene:Solyc04g078240.2 transcript:Solyc04g078240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSDDLNSYEVKVPPTRTTMVNSPSSEHDGVVEINKHVRESNRLLSEEDGDDKNEIMAIEIERDEAFDVVPHFSWKKLWEFIDVLP >Solyc04g011940.2.1.1 pep chromosome:SL3.0:4:4311935:4313287:-1 gene:Solyc04g011940.2 transcript:Solyc04g011940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASGGTFLFKGLWFANMDTLTTVDPANVHYIMSANFMNFPKGPKFKEMFDVLGNGIFNADLDMWKVQRKMTRSLITHHEFYKFLVKTSCDKVEKGLIPVIDHVCNKGCVVDLQDLFQRFTFDTTCILVTGYDPGCVSIDFPDVPFSKAMDDAEEAILFRHALPEIVWKLQRWLRIGEEKKMINAQEVLDYTIDKYISMKREDLKEVDHDLKESEEGFDLLTFYLKDEKELGVKCDDKFLRDNILNLMIAGRDTTSSALTWFIWLVSTNPQVEKKIRDEINFVIPNEEGEKFRLFNVQELNKLVYLHGALCDSLRLYPPVPFQHKEPLEEDILPSGHKVHPKLKIMFSLYAMGRMESIWGKDCLEFKPERWISERGTIKHEPSYKFLAFNAGPRTCLGKEVAFTQMKAVAAAIIHNYQVELVKGHIVEPNASIILYMRHGFKVKINKRWT >Solyc07g017737.1.1 pep chromosome:SL3.0:7:7914721:7916661:1 gene:Solyc07g017737.1 transcript:Solyc07g017737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWDSNSDLSGEEEDGVIEEEEVEDELEELGFMLSGGGSTLPFPIDSLLQPAPCGFVVSDVFEPDHPIIYVNSVFEMVTGYRAEEVLGQN >Solyc01g005590.2.1.1 pep chromosome:SL3.0:1:416887:417304:1 gene:Solyc01g005590.2 transcript:Solyc01g005590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAINMKIFVVAVMMMTIMAISAVKGVAAADAPAPAPASDATVFVPAVLSSFVALAFALFF >Solyc02g062815.1.1 pep chromosome:SL3.0:2:35175343:35185109:-1 gene:Solyc02g062815.1 transcript:Solyc02g062815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KAGLEKCTSQPTPMAVSSSTNGFAVNRVAQRMHQPSEHDYHCLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISWCSKKNPRSLGPRLKLNTAPLLFLLLRPSNFAKEQMFMEKHMDESDDSEEGTDSI >Solyc12g098370.2.1 pep chromosome:SL3.0:12:66880207:66884419:1 gene:Solyc12g098370.2 transcript:Solyc12g098370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMYSSLGIDGNGGVNEYHHHHHHLPSLQSSLSGEMTNLAGDACLVLTADHRPRLRWTAELHERFVDAVSQLGGPDKATPKTIMKAMGVKGLTLYHLKSHLQKYRLGKQSKEAAESYKDESCVAESQDTSPSASGSSKVVAQDINDCGYQVTEAFRVQMEVQRRLQEQLEVQRHVQLRIEAQGKYLQTILEKACKVLNYTSVESPDLDTAREQLSELAIKGATNNCDGIVPVSSLPEVVTSFENKNASDMPASIGECLSSTTTTASPTSISALKKRPRALANGDVLPVENNMTQVQWMMTSS >Solyc09g083380.3.1 pep chromosome:SL3.0:9:69452199:69452974:-1 gene:Solyc09g083380.3 transcript:Solyc09g083380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSATASAKKTSAPKKPRSHPPYVEMISEAITTLKERTGSSQVAIAKFIEEKQKGLPPNFRKLLLVQLKKLVASGKLTKIKSSFKVSPVVKPAAVVKPKKAPATKKKSTSSTATAAAKPKVTAPKKKVVVKKAKPAAAAAPAKKAKKPATAPAKAKKTPVKKAAVAKVKKTPAKKVVKKPKTIKSPAKKAKK >Solyc02g014190.3.1 pep chromosome:SL3.0:2:15756445:15771243:-1 gene:Solyc02g014190.3 transcript:Solyc02g014190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTSPESILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATKVCLCLDRRNHGTESLLSDKLAQWQGPALLAYNDAVFSEEDFISISRIGGSGKHGQAWKTGRNGVFSFRVGFNSVYHLTDLPSFVSGKYVVLFDPQGVYLPNVSASNPGKRIEYVSSSAISLYKDQFSPYCAFGCDMRSPLHGTLFRFPLRNADQASRSKLSKQGYLEDDISSMLGQLYEEGVFSLLFLKSVLSIEIYEWDVGLAEPQKTYSCSVNSDNSDTIWHRQALLRQSKLTDSNDSFVDTFSLEFLSEAVNGSHPRKRTDRFYIVQRLSSPSSRIGAFAAKASKDFDIHLLPWASVAACVSDNSTKDDALKQGQAFCFLPLPVKTGLSAQINGFFEVSSNRRGIWYGSDMDRSGRIRSLWNRLLLEDVVAPSYAQLLLGVKRMLGPTETYYSLWPTGSFEEPWNILVEQIYQNIIDFPVFYSNVNSGNWVSAREAFLHDSKLSKSKEFGDALVQLGMPVVCLPNGLFNMLVTCVSGIKWKIVTPDSVRHYLRQSKFASAIDRSYRLMLLEYCLEDLVDTDVGKHTFGLPLLPLANGDFGLLSEPTNGISYFICSDLEYALLHNLSDRVIDKKIPCNILDRLTAVAKASGANLSFFSVPKLLQVMPKFFPAAWKYKTKVLWDPGSCSTPTVSWFALFWRYLRDKCAELSFFGDWPILPSTSGHLYRPSRHLKLLNAENLSDKMQHVLINIGCKILDRCHDIQHPDLPNYVCDADGAGVLQSIFDVVSSSERTEDFLEHLVVEERDELRGFLLDPRWYIGNCMDVSNLCNCKRLPVYRVYGVEHSGSVKFSDLVNPQKYLPPSDCSASLLSAEFIISYSNTEEEVLSRYLGIERMRKADFYKKHVLNRVNLLDPDLRDNIMIMILRELPHLCVEDAHFKENLRNLDFIPTSNGSMRSPLVLYDPRNEELYALLEDCDSFPYGAFQEFGILDILQGLGLRTTVSTETVIQSARRVEKLMHTDPETAHSRGEVLLSYLEVNASKWLPDPTKDDHGTMNRMFSRATNAFKPRHVKSDLEKFWSDLRLVCWCPVLVSSPYQSLPWPAVSSMVAPPKLVRLYSDLWLVSASMRILDGQCSSSALSNQLGWSSPPAGSVIAAQLLELGKNSEIVTDPMLRKELALAMPRIYSILMNMLASDEIDIVKAVLEGCRWIWVGDGFATADEVVLNGPLHLAPYIRVIPVDLAVFKELFVELGIRQFLCPNDYANILSRMAIKKGSLPLDTQEIRAAILIAQHLSEVQFSENPVKIYLPDVSCRLLFATDLVFNDAPWLLDSEDPSSSFGSSSNMAFNASQTVHRFVHGNISNDVAEKLGVRSLRRMLLAESSDSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGTLFELVQNAEDANASKVFFLLDKTQYGTSSVLSPEMADWQGPALYCFNDSVFTPQDLYAISRIGQETKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENIVMFDPHACNLPGISPSHPGLRIKFAGRRILEQFPDQFSPFLHFGCDLQHSFPGTLFRFPLRSANVASRSQIKKDGYTPDDVLALFHSFSEVVSETLLFLRNVKSISIFVKEGANSEMQVLHCVDKQNVGDPEDESNPNHQVFSLMYGKQHDKTNKVQFLNQLCKSVNVDLPWKCHKIMLSEKSTSGGRAHLWLTSECLGFFRGKNNHDNLDNKYHKAIPWACVATCLHTMKIESNLDDGFVKSDLIAPKLLDFPAASAGSIENFEGRAFCFLPLPVITGLPVHVNAYFELSSNRRDIWFGNDMAGGGKKRSEWNMYLLEDVVAPAYGYLLEKVASEIGPCDSFFSFWPIKMGYEPWASVVRKLYNFISDSGLRVLYTKARGGQWISTKQAIFPDFAFDKAQELVDALSDAGLPLATIPEALVEKFKDICPGVHFLTPQLLRTLLIRRNREFRDRNAMILTLEYCLLDLRTPFQSSTYFGLSLIPLSNGLFTKFQKRGEGDRIYIVQGDGYGLLKDSLPHQLVDSGISAFLYDKLCEVAQSEDFNITFLTCPLLERLFVQLLPADWQLAKQVNWVPGCQGHPDLEWMRLLWSYLKSSCDDLSLFSKWPILPVLNNRLLQLVENSSVIKDGGWSENMSSLLLRVGCLTLTRDVPIDHPQLMRYVQPPTASGILSALLAAAVKIEKIEGLFSNALEGEMHELRSYILQSKWFCEDALNSSQMIIIKEIPMFESFKSRKMVSLSRSAKWLKPNGVHEELLNDDFLRIESDKERIILNKYLEVAEPTKADFIKHYVITHMPEFISQDGLLSSIFQDIKYLMEEDDSFKEAISNASFVSTRDGSWKEPIRLYDPRIPELNILLHGGAFFPCEKFSSPELLEILVNLGLRQSLSFTGLLDCATSVELLHNSEELEVVKNGSRLLHLLDTVASKLSALDGDSSTGYETSEGSGLSVCIEGAVDVTDNLSGIISFLSNWIDDMTGEEFWSALRSISWCPVLVEPPIRGLPWLASGRKIAMPINVRPRSQMWMISSKMHILDGECSEHLQHKLGWMDRASIATLSEQLLGLPKFYAEANESPDVAPNLDSVLQEQVLLIYSQLQEFIGMDDFEVLKSTLDGARWVWIGDDFVSPAVLAFDSPVKFSPYLYVVPSELTDFRDLLVELGVRLSFDVFDYFHVLQRLQNDVKGFPLSADQLSFVNHLLEAIADCNMDSLIFESSGTPLLLPDSSGVLTSAGNLVYNDAPWMESNTVGGKRLVHPSISQNL >Solyc05g015415.1.1 pep chromosome:SL3.0:5:10474768:10476898:1 gene:Solyc05g015415.1 transcript:Solyc05g015415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVMRNSLKKMKKLMRKNLINDKFILSVGMTVTHICLVPCILRDRTRIRKKRNKKKYPRLASSYFSTVELHFLGGKLLEQTSPCGCFTIESCVINAICIGSIIRRKFEINTKAWGIVVKCYVRRKQHDSQSTSLAPTSESTQENPLPPTFPPQLLLTIAPINDWIPQDSILLTSIS >Solyc10g050778.1.1 pep chromosome:SL3.0:10:50811985:50816285:-1 gene:Solyc10g050778.1 transcript:Solyc10g050778.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYFPEKITVPKSHLRALFLAKKFIDDDSAVSLVVLYFINDFLFSYEDNEYQISNRDFYLVESGKFNSYSWGLDVYKKLSDSVRHELKSTHKYYRIGGLPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLKYIEKCLFMPTKNKFENIVASEDEVSKLRLPETRDYHVEILKLEPKGLNHGLDILTNEVIELRKELVNENNKALEEKIDLGFNQIKEFVVNSIKQLLEDISLLFAKSGGSSSVIREVKEPSKKHAGETFSGGLDFNGDEDVAEIAIEKVLSEVVADINVQEAADVNTVGAKPDDATEDCQKSLHTFDDFILLDKDLSQINRTEESYLKKRAQVDQTKRNGGDDESDLIDSFTKWLYTGTKKRGKKPYTDALNVINPAFELGVCTVDERLWFFKLAHSGQQWCDEHIDVIFYYLINKGKYETNSNVRFTTTDCVFKTKITNSFFKLCDAHEDKKNFKVLDSDDITRYISGRRLLASTSWDKVDFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARGGVNLEN >Solyc08g005400.3.1 pep chromosome:SL3.0:8:292565:299922:1 gene:Solyc08g005400.3 transcript:Solyc08g005400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQNDSSRFSTNLTPSPQKDKPISSSSELALFYPQNEEPVPLIVFYPSSVRRRSSRFTTNKFSTSATEFMTKNRDTISPEKTVFLLPSPPTLAGKKTPAEVTRRSPRLVSLSARTTTAKEKGKKVNSRKSEGGIKQVELSRKRKPASCKMDEETRKSPRFNSDSSNGVQLALPEMSACGALSAGGRTGTKRELLALMTTTPANSSASRKRAARGSDSVNVGNNSRGSRRKDPVFAESPGTSVKITAESNSAGEKNLRSRKAQGSVNYNESKGSETKRIKSSAEKSVRKQKSNACFIGEPIDTEEAQQQWQWRYELKNRKTQRQGWKLNSGEEDEIILNVECHYAQAKVAGFIFNIGDCAFVKGEGKKKHIGRILEFFKTTEGEDYFRVQWFFRAEDTVLQGAASFHDPKRVFYSTLENDNLLDCIVSKVNVVELPTRHDLNKKDVPPAHFYYDMEYCVDYSTFRTLHNVKSSVSPSLVDVSYKPITTYPLEVSPSCEPMKVELSLLDLYAGCGGMSMGLCLGTKLSGLNLVTKWAVDFNKAACDSLKLNHPQTHVRNEGVENFLELLKRWEKLIKSYGCSDIKTSSNIELDDRDEGENNDDSQSGSNASSGEYEVLRFVDICYGDPNNDGKSGLHFKVEAFLSYYVGCPSLMHL >Solyc06g060820.2.1.1 pep chromosome:SL3.0:6:38964857:38968364:1 gene:Solyc06g060820.2 transcript:Solyc06g060820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQDSAPYISKKQKTNHANYPCKFYNIFPFKTMLLIIFLVALPLFPLQPPEIITQTGYWELVQLILVGIAVSYGLFSRKTDNDNDDDVDDTENEYLFSSKIDNVQSRLLEVSSFFENHQVSDENIVNNYQYCSGKPVVVVAKENDAIISTSFVEKPLLLPIRSLKSPVLDPITTTNSPKSMVSSPRKIDQFDHKQSFNKSSVSPPPPPPPLPSPSSIVKKSTLLRSSSIVMDDHKGSFGKELRRSTRSVPFELSSYKGKSVRTIRPFIGAARARLYAKDFVNGITEDERNKEVDTQMQVLEPALMEFSEDEKKTSKSDEDSDDCIEESSENVDEERKCGVDGSPDNVDKKADEFIAKFREQIRLQRIKSIRTSATQPAKMLIQ >Solyc06g075080.3.1 pep chromosome:SL3.0:6:46720208:46725036:-1 gene:Solyc06g075080.3 transcript:Solyc06g075080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFRLSFTNSAATRLVSLSKFPILRTPQFSTMSTNFFKSHAFAGNPIKLRTPKSTDPFSPPSALKTLKNLLLGNTHEPHSPNFKILPFRKGRPLAGSVRESNEPNWHLGWLSFEDCKAFLENSEVNLSEDTLVYLGSDSEGDGVYVVYWGIDVTEAGNGLVKELGGKQFCFVELRTLMVATDWENASSMGQLAIAGHARSLLEWHTASRFCGFCGGKNILIDAGRRKQCSNELCKKKIYPRVDPVVIMLVIDKENDRALLSRQSRFVPRMWSCLAGFMEPGESLEEAVRRETWEETGIEVGQVVYHSSQPWPVGPSSMPCQLMVGFFAYAKSLDINVDKEELEDAKWHSREVVKKALTIAEYKKAQKTACGKVDQMCKGVERGQSLSSDFNVESGELAPMFIPGPFAIANHLISSWVNGVESQLASSFSNL >Solyc10g044450.2.1 pep chromosome:SL3.0:10:26372158:26396448:1 gene:Solyc10g044450.2 transcript:Solyc10g044450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRRTKVVLRHLPPTLSQSMLLEHVDSRFAGRYNWFNFRPAKTSLKHQSYSKAYIDFRNMEDVTEFAEFFDGHMFVNEKGTQFKTIVEYAPSQRVPKHWLKKDAREGTILKDPAYMEFLEFLAKPVENLPSAEIQLERKEAERAGSAKDAPIVTPLMDYVRQKRAVTSGARKSISNGKSSKSVGGTSSRSPSSTASRRGSEKRTSTTMYVQRDSSKVGNSKDKSYILASKCGYQQLSDKSSASAPGSWIDVVEGEIGRSVTSDSGKKKILLLKGKEKESPNVSGGSLAQQNVSSALKNSPSLSALKLNQHQEVGGRIIRSILLKDARQNQSAFQSDQIQDKDMRPPRPPSMQLFQKDTSGANEDKVVGNEKHVVHIEKQERRSRNRDRPDRGVWAPLRRADSSQASNGSLSSGNPQSSQVREFVEGGQGETKNDLPIARGSEFRPIGIGRHTNSSTDNGNYKHGGRHGLRDVDDTSIGEGKPVRRGGTSAYNSLEKQVWVQKSSSGS >Solyc09g065240.3.1 pep chromosome:SL3.0:9:63530911:63532874:-1 gene:Solyc09g065240.3 transcript:Solyc09g065240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:K4CUF1] MDFSKISLEIFSKLEQKWLYHYEGKKTRVLSIDGGGTTGIVSGAALIHLENQICAKTGDPHVRISDFFDIVVGTGIGAIYAAMLVVDGGDGRPLFTAKDAVKFVKENQSRLFKAKKVGVLRRKKRFSGNSMEKVLKEVFRREDGKALTLRDTCKPLLVPCFDLNSAAPFVFSRADAIESFSYDFDLWKVCRATSANPSMFKPFNLKSVDGKTSCLAVDGGLVMNNPAAAAVTHVLHNKRDFPSVTGVDDLLVLSLGNGPLNSTANLKMRNDGYCSPLSVVGIVFDGVSETVDQMLGNAFCWNPNDYVRVQATGYASGGVGPGVEEALEERGVESLPFGGKRLLTETNGQRIGGLVQRLVATGRSSVPPSPCKDVAVSPLRNGR >Solyc12g098510.1.1.1 pep chromosome:SL3.0:12:66975138:66975596:-1 gene:Solyc12g098510.1 transcript:Solyc12g098510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPGEKLQELQPQTHKMQKSQLYFNPLKTLFFLLLFSHAQMRFCSGVSVLDLKSVKDSNFNLMGKRGCSEKLQECSEMVDEEDMMDSESNRRVLLMQKKYISYGTLKRDLVPCNTPGASYYNCKAPGAANNYNRGCEIITRCAREVSDIKS >Solyc06g034370.1.1.1 pep chromosome:SL3.0:6:24270678:24271256:-1 gene:Solyc06g034370.1 transcript:Solyc06g034370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKLILLAAFLFLFNFTANSTALPTSFIKTSCKITTYPQVCVTSLSVYAPTIKRSPQQLAQTALSVSLDRAQSAHTFITKLNKFKGLKSREYAALKDCLEEMSETVDRINKSVKELTRMGSSRGKDVQWHMSNIQTWMSAAITNENSCADGFAGRALNGRIKSSIRARVTHVTQVTSNALALINQFPAKH >Solyc03g083640.1.1.1 pep chromosome:SL3.0:3:55020282:55020545:1 gene:Solyc03g083640.1 transcript:Solyc03g083640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAARPPPAEARLQMARRDGAGGEERRWRMEKTTEEMENGEDDGGDEDEEDDGGERRWRMLVERNDERERDDDRERGTMRKRKGRRR >Solyc06g069100.2.1 pep chromosome:SL3.0:6:43004923:43008456:-1 gene:Solyc06g069100.2 transcript:Solyc06g069100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIEQYNIMDEKNLPPGFRFHPSDEELITYYLSNKVSDFSFTTRAIADVDLNKSEPWDLPAKSSMGEKEWYFFSQKDRKYPTGLRSNRATEAGYWKTTGKDKEIFRGGVELVGMKKTLVFYKGRAPKGEKTNWVMHEYRLQSNLGFKPPKEEWVVCRLFHKNSTVKKSNATSSQPSDQVESISDAYTLPNFNIPAPHEGQIVNDISLHNYYTSQENMNIVNLDPLPSLLLYKAIQCRGNYNHQPMNIDPSINTVSYPYGIPSQDTIYPFGIDFNPTSNIWD >Solyc07g052400.3.1 pep chromosome:SL3.0:7:61046405:61052391:1 gene:Solyc07g052400.3 transcript:Solyc07g052400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFFFSLPLLVFYRHPCLVALQLMEKEVIAAIGPQSSGIAHVISHVMNELQVPLLSFATDPTLSSLQYSYFLRTVPNDHFQMHAIADVVDYFGWKEVIAIFVDDDNGRNGISVLGDALAKKRAKLTYKAAFSPEANSSEIDDLLVSVNLMEARVFVVHVNPDTGLSIFSKAKNLGMMVGGYVWITTDWLPSFLDSSDSVNPETMDLIQGVVALRHHTADSDQKKKFASRWKNFKNVETSSFNSYALYAYDTIWLLARALDLYFKNGGKITFSDDPRLRDTNGSALHLSSMQVFDQGQKLFQTLIGMNFTGLSGQIQFDSEKNLGRPAYDVLNIGGTGSRTVGYWSNYSSLSVVPPEILYSKPPNTSTSTQHLYNVIWPGEMVTQPRGWVFPHNGKPLRIVVPYRVTFKEFVHKDKGPSGVKGYCIDVFEAAIDLLPYAVPHVYILYGDGQRNPSFKNLVNDVVANKYDAAVGDVTITTNRTRIVDFTQPYMESGLVVVAPIKELKSSAWAFLQPFTLQMWCVTGVFFLFVGTVVWILEHRHNPEFRGSPRQQLVTVFWFSFSTMFFAHRENTMSTLGRLVLIFWLFVVLIINSSYTASLTSILTVRQLSSGIQGIDSLIASSDPIGVQDGSFAYSYLIEELGVLESRLRILKTEDEYTSALEKGPQGGGVAGIVDELPYVELFLSNSNCVFRTVGQEFTKGGWGFAFQRDSPLAVDLSTAILQLSENGELQRIHDKWLSKKVCSSQSNQADDSQLSLKSFWGLFLICAVACFLALVAFFYRVYCQFRRYDPEPEDQEISEPESVRPSRRTLRSVSFRDLMTFVDRRESEIKDILKRKSIDSKKHQGQSSDAQPSSPV >Solyc04g051650.3.1 pep chromosome:SL3.0:4:50879601:50885257:1 gene:Solyc04g051650.3 transcript:Solyc04g051650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKICTTLWLQFFVVIIFAKRIPTTLDGPFEPVTHRFDPLLHKGSDDLPMDHPRLKRNVTSFFPEQIALALSTNSSSMWISWITGEAQIGLNVTPHDPETVASEVWYGKESGKYTMKQNGVSVVYSQLYPFEGLWNYSSGIIHHVKIDGLEPETKYYYKCGDSSLAAMSDELEFETFPLPAPNKYPRRIAVVGDLGLTSNTTTTIDHLIMNDPSMILMVGDLTYANQYLTTGGKGASCYSCQFPDAPIRETFQPRWDGWGGVVIKRNLLLNIILHMFMELLISRVPMMVIEGNHEIEPQAGGLTFQSYLTRYSVPSKESGSNSNLYYSFNAGGIHFIMLGAYIDYNQTSELIYSWLQHDLEKVDRGVTPWLVAAWHSPWYSSYSSHYQEFECMRQEMEEILYTYGVDIVFSGHVHAYERMNRVYNYTLDPCGPVYITVGDGGNIEKVDADHADDPGKCPSPGDNIPEFGGVCHVNFSSGPAKGKFCWDRQPEWSAYRESSFGHGILEMVNSTHALWTWHRNQDIYHENSHGDQIYIVRQPQSCYVDSKG >Solyc02g082240.1.1.1 pep chromosome:SL3.0:2:46494870:46495307:1 gene:Solyc02g082240.1 transcript:Solyc02g082240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMSYKRVGRRCCGITRGFKLNSRRFSVQRLRAKFLYLCRLFSRSWRSSYRHALRLIKKNFNDDTKDRRDQYYGRSCNRNLVSEHADCAMYGNYNKSNCDYRLKSYGRSNSFYAEAIADCLDFIKRNSLSIEEKPVLITHEINC >Solyc10g050753.1.1 pep chromosome:SL3.0:10:50374824:50375668:-1 gene:Solyc10g050753.1 transcript:Solyc10g050753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQLTSLQTLPFFYLGVKKGHQIEELGRLENLRGELTIKHLQLVEKPNIYKLAYLLSHDESQDCEINDEHVLDGLQPHPNLKTLAVVNYLGTKFPSWSREGLLPNLRCKDIPSLGHLKFLRHLELIGFLELESIGLKFYGVDVNDNGSSNNNRNIQVFPSLKELVLRNMRNLIEWKGDEVEDYKVSIVWGHLHWDSLPSYQFMQLFDLIVIRIHGFGIEALPRTSQPYIS >Solyc08g081610.3.1 pep chromosome:SL3.0:8:64728677:64730786:1 gene:Solyc08g081610.3 transcript:Solyc08g081610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMDWGLQAVVIGSSSSYSANNIDFSPKLDFQESDHQYLSFSHEMKKEFFISDELEELYKPFYHVDGGQNMLMGSSISLIPKEIIKEEKREEEQQQVVAPTSTYVPKYKKRKNEQKRVVLQLKADDLSSDKWAWRKYGQKPIKGSPYPRSYYRCSSSKGCLARKQVEQSCTENGTFIVTYTAEHNHSQPTRRNSLAGTIKSKFPNSKNTNIKKNIVKDEKISSPHGSTSNNNLGFSPETLMIDEFQEIEMNDHEEIKNMFEGSDENECVSIQEMFDGDFFAGLEDIHDGFTSSFGCNNSTFPFSF >Solyc07g015800.1.1.1 pep chromosome:SL3.0:7:5405151:5406470:-1 gene:Solyc07g015800.1 transcript:Solyc07g015800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVTFLQELPGDQPADSPAYLQGVSGNQPAVLPSQGVAGDEPAVFPASLQGVAGNADLLSEILLWLPPKSLLRFQAVCKDWFSIISSRTFRQLHCRRKLTSGKVDGLFFCWWVYGNNYVDFIPLNGIPKNQKGMIPSTLKNIAKSTSSKIEQLHSCNGLFCISFNLGIENFDYYVYNPSTNQHRLIPLPYLGIKAYEIVVMNLAFDPMVSDCYKLLCVMKLNGVYEISVYSSETEVWKNCMDTEMKILDANQHFLGQGVFLNGCMHWVSEMSSFLRFDLDSMCFRDMPSTDLPIGVLKRSIRYFGESVGHLHLIEIHEFRSMSFEVLEMEIDYSKWFVKYRVDLSYMHTTHPLMLSEEVDLLDVNGGTCNVVCVVVNEKEDTTRLLVTTPDVIVEYDAHRMTIKEVADIEIAKIPVIWEDVSVFEWYDTHQYVETMASV >Solyc06g076510.3.1 pep chromosome:SL3.0:6:47684731:47689037:1 gene:Solyc06g076510.3 transcript:Solyc06g076510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLMSFQANSVHAVSRRQSSVLVPTFSRKISNTRVSVQPIGMVRNSKLFNSVVASVQPIGASAVTPFDNTLPSKEVLDVWHNANAVCFDVDSTVCIDEGIDEFAEFCGAGKAVAEWTARAMNGSVPFEEALAVRLSLINPSLSQLQDFLKRPPRLSPGIDLLVKKLKDNNKDVYLVSGGFRQMINPVASILGIPLENIFANQILFGSNGEYVGFDKNEPTSRRGGKATAVQQIRKAHGYKSLVMIGDGATDLEARMPGGADLFICYGGIQLRESVAAKADWLVFNFKDLINSLV >Solyc07g066380.3.1 pep chromosome:SL3.0:7:67963535:67964953:1 gene:Solyc07g066380.3 transcript:Solyc07g066380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAKWKKKRMRRLKRKRRKMRQRSK >Solyc09g008220.3.1.1 pep chromosome:SL3.0:9:1677260:1678180:1 gene:Solyc09g008220.3 transcript:Solyc09g008220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDINGVVLKTINCFYTIKVCGGMNMISPLKI >Solyc01g006210.3.1 pep chromosome:SL3.0:1:833133:836543:-1 gene:Solyc01g006210.3 transcript:Solyc01g006210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSGNHQSLFNEYLRKINGELAYVQLELRACRNQYDGHVHYGVVNNVSDESSKLGTKYSVPQIAFYKGIIEAIVQDAAAQGFISTIDALNIRLENQFLAGTESQSQRGHIPAAFRNFSMSQKERTLEELARDRWLSLTDGKIGLGVRSFLDLRSWFRSNEVPACEVCNEAAVKAELCKNEGCNVRMHMYCLRMKFSKSKAEKVCPGCGTRWHYNIAKVEAVDEEEDASLPPESQQPREPSTRKRPRTRAAIDSDTVEPESSQSTRLTRRSVRLKSSG >Solyc02g036250.1.1 pep chromosome:SL3.0:2:30874240:30877253:-1 gene:Solyc02g036250.1 transcript:Solyc02g036250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFFHDRQLKNSIKEILVGWGEASMIGAERLLLNEALKDSANTRFVLLSESCIPLYNFSYLYSYLTASPRSFVDSFLDKKEERYSPNMTPYVPMSKWRKGSQWITLIGKHAEVVADDDVVFPVFKMFCKMHDSDGELERRKITYTEWNRKKMVGIL >Solyc06g050600.3.1 pep chromosome:SL3.0:6:33398824:33401489:-1 gene:Solyc06g050600.3 transcript:Solyc06g050600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLNLGHWISAQSMMEKRFAPAATDINGAIYVAGGYDGKAYTKSVERFDPREHTWTTVECMKMRRGCHSLVAYNEKLYSLGGYDGEKMVSSVEILDPQFGSWVMGEQMNRPRGYSGAVVIGGKIFVIGGVNDQEEILNSVECYEDGHGWQMTNSRTLGKRCFFSAVVL >Solyc09g031521.1.1 pep chromosome:SL3.0:9:30791156:30793179:1 gene:Solyc09g031521.1 transcript:Solyc09g031521.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNLLRKTWATRAILGIAVTRHAGGLFLSQNKYATEIIERAGRPSCKESPIPVATKLKLSTTTSKPFENPSLYRSLAREFSTLLSLDPILRMQYNRNAYSCVTQRRNMHALKRIFTTYRVILILVFAYFLPPHQPLFCILMLIGVDTRTHYVQRRITVSFRVTLAYCDDVSFIYLASNRVHHQLTKKIELDIHFVREMVDHGEVRVLHVPLRYQIAHIFTKYLPLVLFEYFRDILSIWRPLASTEGGF >Solyc01g009260.1.1.1 pep chromosome:SL3.0:1:3222497:3223912:1 gene:Solyc01g009260.1 transcript:Solyc01g009260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cell size regulator-like 2 protein [Source:UniProtKB/TrEMBL;Acc:K4ATC2] MATPHLCKPPTPLLPKTKGIVSILGSDTQRGKSNVTSIRRAFSADMSSKQWLTQNGFFSPIKKIASSKDLALSTSSEEEEEEEKLERRKTSFGVWSSILSQKKNDDFQTPYIHPLVKRSTSSLSEKSLEICTENLGSENGSDGFSSYASSEYEDVDEEKHDHHHYHHHHQYCSQFIEELRVVKHNNSKRPSSSFPPPITSLARGDNKPSIQMQSRRQDGKLILEVVSIPPRNRFHAHRLDGRLLLTLVDNSTSVSSLEKEMEDYGEDFDQLFDVIDDHTPQNDYISDDCLDKEEEEKGIIVMEQNPRLSSGVKTIMRLLDSPIEIRKKSMQFPIETKKFKFSLELKDHLRLGNKIRVTWSNKFSKVVNLTGSVDSTELTDQEVSQIISVPQSTTASFNAYEYFWRKNPTIAEKCNNYTTKQVVVASNSTTPNAKSTTKVATYMNYLVPLQRGCKEQKRSLLIWEPYCIATS >Solyc02g063210.1.1 pep chromosome:SL3.0:2:35811615:35813730:-1 gene:Solyc02g063210.1 transcript:Solyc02g063210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLIEFLSLLFFFYLLCLAKHTNFISDYAALMAFKSSLRLEPHNILSTNWSSDTHYYSWYVVSCLHQRVVALQLPNLDIQGRVSSEIANLTQLALLDLSSNDLNGNLPSELGFLQKLKLLNVTGNSLDGTIPLNISRCRLLQQLHLSDNMIKGSIPQELGLLSQRRILRLNDNNLTGKIPSLLGNLSKPGIFLSGNFQVIWVIIFLSLNISFWTATGSGGMHRRSLISSSLKMICKEIFQVSLVSCMNWPGLSFEYNQISGAIPSSLFNISSLQILKARHNYLNGHLPYDLGTWLPNLQEIFLSHNQFSGDLPSAICNASKLENLEVANDSFTGLIPMMLGNLVDLITLNLQNNLLENKPGVTQLDFLNSLKGVFANVSYRFLMGNPRLCGAPDLYIPLYPAEGRKTTRKKSIILRTTVPVAVTFLILVALFFTWMIWSRKKPVTGNNESDYPPRIAHQRITNYELLQATGNFSQSKLVGSGSSCTVYQVSTAIVLEYMPNGSLDKRLYSDENCLSLVERLNNIMIDVALAMEHLHHDYTVPIVHCDTIDENQSQIKGFDNKCCIPVFERVGRGKDKHERGCGAAKQDQS >Solyc11g013467.1.1 pep chromosome:SL3.0:11:6488803:6496256:-1 gene:Solyc11g013467.1 transcript:Solyc11g013467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCEAGGSGSLIATLAIIFVLIIKIKENFQYSILKSRRDQQFWYILVTVQRIASNSILKIEIVRFPRKELIPAVDLHHTYQLAPKLVAYLCSYEVGGVKDVRRTFLDHLYKALCDVGINVFKDDELPRGEDISRNPPIEKNYLIALYLKNSFLHVESRASSGDSGLVALQEKLLRKRVEVSSVDHGIQLMSSILDGVVDLKQIYSLVGGKNWFGPGSRIIITTRGQTLADSFSLGKLSIIPSLPPEDFVELSESVVTHAQGLPLGKLSLPLPQEQSDLRPKSIILEITSTNT >Solyc01g068210.3.1 pep chromosome:SL3.0:1:77115619:77129225:1 gene:Solyc01g068210.3 transcript:Solyc01g068210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPTGGGGMNSTMDDMNLIHQAQRHHLVVREIGEEIDLEIGPGDDDPSFSNNTLINVPPQESTAEDHDESKQMMIHQASGGNQDLLKTQPAKKKKKVVKRWREEWADTYKWAYVDVKDGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKPLYVKALMSKTAGSIIEAALKRDPHELEFIQYVQEAVHALERVISKNSSYVSTMERLLEPERTIVFRVPWVDDRGETHVNRGFRVHFNQTLGPCRGGLRFHPSMNLSIAKFLSFGQTLKNALSPYRLGGSSGGSDFDPKSKSDGEVMRFCQSFMNELYRYLGPEKDLPSEEMGVGTREMGFLHGQYRRLAGHSQGTFTGPRVNWSGSSLRTEATGYGLVFFAQLMLADMNKELKGLRCAVSGSGKIAMHVLEKLIAYGAVPITISDAKGYLVDDDGFDFLKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCASQNEINQSDAINLVNSGCRILVEGSNMPCTAEAVDVLRKANVLVAPSIAAGVGGVVAGELELKECNLNWSPEDFESKLQEAMKQTYQRALKAAADFGYQKESPEALVHGAVISAFITIANGMVDQGCV >Solyc12g009130.2.1 pep chromosome:SL3.0:12:2447876:2450740:1 gene:Solyc12g009130.2 transcript:Solyc12g009130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVSKVFCSNYEVVLVVRSRPHVVNGGGFIVTNNCTQNVVFKVDGCGILGKKDEVILRDSDGHTLLLIRKKGGVIEALSMQKKWKGYSKDFEGSEKLVFCLKEPNNSCFFKKMPIKISIESNHDYKNHKNFQISGHFPDRACSIIDSNGNVVAKVKYEVYFCFLRRFFSRTSKVLSKITFHIMSQ >Solyc11g032078.1.1.1 pep chromosome:SL3.0:11:24787370:24787405:-1 gene:Solyc11g032078.1 transcript:Solyc11g032078.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLVKVSWLKML >Solyc04g049130.3.1 pep chromosome:SL3.0:4:39690168:39722600:1 gene:Solyc04g049130.3 transcript:Solyc04g049130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAISTCFFAVTPTRIQSILFSQKPTSLILKPSRIHSFNSWNLSKNGGLRARRSPVHLVRASLDVEVKTGGIVESDKLPSDVRKRAMDAIDALGKRVTVGDVASKAGLQLSEAQKALQALAADTNGFLEVSDEGDVLYVFPKDYRSNLTAKSFRMKIEPLLEKAKLAGEYLVRVSFGTTLIASIVIVYTTIIAILSSRSEEDNRGRRGRSYDSGFSFYFSPTDLFWYWDPYYYRRRRVRKESGGMNFIESVFSFVFGDGDPNQEIEEERWKLVGQYISSNGGVVAAEELAPFLDVETPNKTDDESYILPVLLRFDGQPEVDEEGNILYRFPSLQRTATPQRSGRKEYVGKRWTDWVGQVERFLQEKKWQFSKTSSSERALVIGLGGLNLFGVIVLGTMLKEEHDSQSK >Solyc06g065735.1.1 pep chromosome:SL3.0:6:41294396:41296027:-1 gene:Solyc06g065735.1 transcript:Solyc06g065735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRRTVAFPTLSKMICDVLVIQASSVALDAAFSAASVHSINVRGEYDSSSRMTSQKCERQMMSDNSEKYKTSNSTRQHLYKMQITDKPEEEFQSKGHDVY >Solyc02g030365.1.1 pep chromosome:SL3.0:2:26026732:26040506:-1 gene:Solyc02g030365.1 transcript:Solyc02g030365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSTCCVPLLVYVDDIVIIGTDSSLITSLKQHLKDSFHMKDLGTLYNVASGVLLNQHRYAQDLISLAGLQDSSSVDTPLELNVKYHNEEGNILPDPTILNYLTITQLDISFVVQQVSQFMQAPPHLHLVVVHRIIQCLLGTSTRGLFYPSGSPIRLNSLSDFDWEGCPDTFCSITGWCMFLGESLISSKGKKQDLVSKSSIMDEILIHVYYFI >Solyc07g007980.3.1 pep chromosome:SL3.0:7:2672410:2676010:1 gene:Solyc07g007980.3 transcript:Solyc07g007980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKKKEKNCGCWAVLRLSNVIGGSSDSKHSVNSIPRTSLVYDAATETRYLNASNREMCVPDEARVSSDTPTDPPTQLPPGAENKVQRQLLQFTFHELKSATGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGVTVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRLKIALGAAKGLAFLHGGSEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTAKSDVFSFGVVLLEILTGRRSMDKKRPSGEQNLVAWAKPYLADKRKFYQLVDPRLELNYSVKGVQKISQLAYICLSRDSKSRPSMDEIVKALTPLQDLNDLAILSNHSRLTQSGRRKKKLDGMQQLSFNHSRSIRGSPLHSGRQHCK >Solyc03g006730.2.1 pep chromosome:SL3.0:3:1281000:1289589:1 gene:Solyc03g006730.2 transcript:Solyc03g006730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLGMIRKGKKMVWPRWDFFLFLMLGRMLLAQLSAASDTLTQSQQLSLNQTLVSAGNIFELGFFSPRSSRSLYIGIWFKNISRRRVVWVANREDPLQASDSDTILKIGGDGNLIIMDGNQNIIWSTNISIQSNKTSAVLTDKGEFILKDDVTGSSLWDSFNYPCDTLLSGMNIGYNTSAGVRLVLSSWQAENDPSPGKFTSGLSVEMPLQGFTWTNYSRPYWRGGPWDGANFIGIPDVDKGYASSINVIVNKQQESGFLSLNNFNDSDVIIMVLKPSGLLQTILWVEELNAWQVTWEAPGNPCDVYGTCGPNSVCDKNKSPVCDCLKGFVPKSTDEWIRGNWTGGCVRRTKLLCEISTSENTTNGYGSDNFLQLREMKLPDHYTYFYAYDYQSCKEWCLNNCSCAAYAYPDRIDCMVWTSELMDVQQFPSDGVDLFLRLAYSELDHSLDEDKRKKKLIIGLTTLSSILILGILGYIFCRWKVNQRGNRRNRVEHHIPADKCQISSEMSTDNLWEEQELPKDSSELPLLDFAKLATATDNFSEINKIGAGGFGPVYKGKLEDRQMIAVKRLSSQSGQGIEEFKNEVLLISKLQHRNLVRILAYCVHGKEKLLVYEYMANKSLDTLLFVCDSLRQNYGNGNYDMFQNYKLLYSKKSHQLPWPKRFDMIQGIARGLLYLHRDSCLRVIHRDLKASNILLDDDMNPKISDFGLARIFQVTQELANTNRIAGTFGYMSPEYAMGGLFSEKSDVYSFGVLLLEIVSGKRNSGYYDHERHHNLLSYAWQLWTESNGLDLMDKSILDSDSSATVLRCIHIGLLCVQDHATDRPSMPSIVLMLSSEMDLPQPKQPTFIFQRWLNSDTQSQISKTQSVNDITVSVALNQKGKKTAWPRWDFFLFLMLGRMLLAQVSAESDTLTQSQQLSLNQTLVSAGKIFELGFFSPSNSRSLYLGIWFKNIPRQRIVWVANRENPLPASDSAAFLKIGGDGNLRIMDGNQNIIWSTNISVQSNKTTVVLTDEGEFILKDSVSGTSLWDSFNYPCDTLLLGMNIGYNTRSGVKLVLSSWQAENDPSPGKFTIGLSVEMPPQIFTWNNYSRPYWRGVPWDGGNFLGVPDDEKGYASDIKVIVNKQQESAFFSFNNFNVSDVIILVLKPSGLLNMMEWLEDLNAWHVFWEAPANPCDVYGTCGPYSVCDMGKSPVCDCLRGFAPKSTDEWIRGNWTGGCVRRTKLLCEISASGNTIKGSESDNFLQLREMKLPDHYTYFYDYEAQICKEWCLNNCSCAAYAYPDGVKCMVWTSELIDVQQFPYNGVDLFLRVASSELALDEVTTKAKLIIIFTTVSSVLILAIFGCIFYRWKAKQRANRRNRVNDLTPAVSSQNSENASTDNLLEEQPLLTLLDFAKLGIATDNFSETNKIGAGGFGPVYKGKLEDGQLVAVKRLSSHSGQGIEEFKNEILLISKLQHRNLVRVLAYCVHGQEKLLVYEYMANRSLDTLLFDSKKSYQLPWTKRFNMIQGIARGLLYLHRDSCLRVIHRDLKASNVLLDDEMNPKISDFGLARTFQVTQELANTNRIAGTFGYMSPEYAMGGLFSEKSDVYSFGVLLLEIVSGKKNSGFYDHENHLNLLSYAWKLQTESKELDLMDKSILDSSSSATVLRCIHIGLLCVQDHAVDRPSMPSVVLMLNSETDLPLPKEPTFIFQRWLNSDTQSQISKAQSVNDITVSVAEGR >Solyc05g007675.1.1 pep chromosome:SL3.0:5:2166390:2171651:-1 gene:Solyc05g007675.1 transcript:Solyc05g007675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSNARKINRVDNKSKTMESANLQYRPSRSSHRSPNRNSSKSKSRIFCYTSIMFVIAFLCYVFVFSSHVRFSVKRKYGIVIDGGSTGTRIHVFEYEVRNGVPVYDFGDKGLVSMRVNPGLSAYAEQPEMASESVGKLVEFGKQNVPQEYWSSTEIRLMATAGMRLLDSGVQEKILEVCRWVLRDSGLKFRDDWASVISGSDEGLYAWVIANYALGTLGSDPLQTTGIIELGGASAQVTFVSDEPMPPEYSRTIKFRNFTYRIYSHSLLQFGQNVAFDLLQESLVARGHHQAPESVKLMDPCSPRGYPQNLMSLKLSPSSFLDRTRHLSSLYPSGNFSECRSASLSLLQKGKESCPYKSCYIGSTFMPKLQGNFLATENFFYTSRFFGLPPKAFLSDLMAAGKSFCEEDWSSLKSKYPSLQEEDLHRYCFSSAYILALLHDSLGIALDDDRIGYANQVENIPLDWALGAFILQSTAELDKEHSGWFANMFSEDSLILLLFFAFFILVMFTAWYVSKWRKPQLKTVYDLEKGKYIVTRVGRCS >Solyc12g005460.1.1.1 pep chromosome:SL3.0:12:272391:272783:1 gene:Solyc12g005460.1 transcript:Solyc12g005460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFRLCIIIILSIFIIMIDPSSSLYNNFNIQHKNMDQLSSMMHVDISQRKCNGGVVGNCIDEEEEMMMESDISRRVLGGRNGYVSYGAMSRNNVPCNVRGASYYNCHANQQVNPYRRGCTQITRCARTNS >Solyc06g043038.1.1 pep chromosome:SL3.0:6:30374424:30376317:1 gene:Solyc06g043038.1 transcript:Solyc06g043038.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLTAHLHRPRTTRSPLSLWGDGGSVPFEPFFSLLEIKMGAGLQKDLRVSRVGPGWSLNAFFFLLIGVIVTNERSSSHLCFIDIGAKVSTPAHHPLMELAEPREKIPRYNKESKKGSKPLKQKALKNAMTWDRASYLVDSPFPPPRALAFRVDTQEFHYEDMTQSLKSMMCPTDRRIPSILTKMLLGEKNLLTQEHLNLFFLCPTSAYVKASAHHSVKGNATQGSKKKAGASLERLGKSRERVVAILASLLRRSSTTLLKNLPSRVGLSHAFTKEFSPSQRKSDKADSKLSFIPRHNLYPCASYSPGVRSQKYSHPCPLTSIPRASYPFSLNDGRAANPTRKTHIGLRDNQARTDDFHHVKVTLYH >Solyc12g010730.2.1 pep chromosome:SL3.0:12:3645006:3649193:-1 gene:Solyc12g010730.2 transcript:Solyc12g010730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGSMQSNLESFLGSTTPLVASQFLAKSEIRNLNKLWHPKEREEIEYFTLSDLWNCFDEWSAYGAGVPIRLDSGETLVQYYVPYLSAIQIFTSSSSVSILREETESAWEMRDSCSDSFSEESESEKLSRSDGGSSEEGLFEQDNQLQMNNRLGYLYYQYFERSSPYGRVPLVDKISSLAERHPGLMSLRSVDLSPASWMSVAWYPIYHIPMGRTIKDLSTCFLTFHTLSSSFQDMDLEDNTEKGIRKRNEGEAIPLPPFGLGTYKMQGNVWISDRSGRDQERMVSLLSVADSWLKQLGVQHHDFNYFLGIRHG >Solyc04g076307.1.1 pep chromosome:SL3.0:4:61307877:61308859:-1 gene:Solyc04g076307.1 transcript:Solyc04g076307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGASHPSVFSVLPSIFLRHSIDKRRSTTIFSSEVASLISSIVLVRNYLDSRINGSEDIKVLTICNLTL >Solyc11g039573.1.1 pep chromosome:SL3.0:11:43851529:43851910:1 gene:Solyc11g039573.1 transcript:Solyc11g039573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSPTNGANNPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQCMHQPSLLFGTLGRGLLIRPGDLELRGFSDSNWANDKNDRKSTSGFLIFLGPNRISWCTKKQPKVSRS >Solyc12g055680.1.1 pep chromosome:SL3.0:12:62574298:62575215:-1 gene:Solyc12g055680.1 transcript:Solyc12g055680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVNSLSTSFLVTKSSFTTRTVRLPVHRRVVFASSSSSSLSSTTPCQIKLTSKNGLKVFEDKSTGVVFYRDEYGEITCEGYDEGPRFCHQTPKSPSKSRDEEIVELLQRNWFHIADVAVE >Solyc01g106850.1.1 pep chromosome:SL3.0:1:94482180:94482398:-1 gene:Solyc01g106850.1 transcript:Solyc01g106850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTGKCGHLRNSIEIEKTPIYKWVMNKEQPKHIFKE >Solyc08g054575.1.1 pep chromosome:SL3.0:8:10439159:10440144:1 gene:Solyc08g054575.1 transcript:Solyc08g054575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPPFDSTHGRTTSGMTCHHRLWKAHTFTQRRAWIEITTLRLHARLEDVGHGMTSPPLGSTHVERRRAWHSIIAFGQHTRSNDVGRGTTSPPLNSTHGRTMSGVTCHHRLYTAHSVERRQVWHDIIAIGQHTRSNDIEHGMTSPPSDCTHGRTTSGVV >Solyc10g079200.2.1 pep chromosome:SL3.0:10:60915341:60919133:-1 gene:Solyc10g079200.2 transcript:Solyc10g079200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial carrier protein [Source:UniProtKB/TrEMBL;Acc:B1N662] MGDIAKDLTAGTVGGVAQLVVGHPFDTIKVKLQSQPTPLPGQLPKYSGAIDAVRQTLAAEGAGGLFKGMGAPLATVAAFNALLFTVRGQTEAFLRSEPGVPLTVSQQVVCGAVAGTAVSFLACPTELIKCRLQAQGALASVGSAAVAVKYAGPMDVARHVLRSEGGMMGLFKGLFPTMAREIPGNAAMFGMYEALKQYFAGGTDTSGLGRGSLIVAGGLAGGSFWISVYPTDVIKSVIQIDDYKNPKFSGFFDAFKKILASEGVKGLYKGFGPAMGRSVPANAACFLAYEMAKSSLG >Solyc01g088160.3.1 pep chromosome:SL3.0:1:82885341:82888151:-1 gene:Solyc01g088160.3 transcript:Solyc01g088160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCQSLVLTRKTNVLLVRSFTILVLICIVMKPEVCFSSVLSSLKALHLQGYITFENNEFASRDFGNQIHSHPLAVVHPKSVTDISEIVTHVWQMGPASELTVAARGHGHSLQGQAQTRGGVIINMESLQQDQEMQVYYNGVKFPYVDVSAGELWINILHETLRYGLAPKSWTDYLHLTVGGTLSNAGISGQAFRHGPQISNVHQLEVVTGFIGFIAGKGEVLICSQEQNADLFHAVLGGLGQFGIITKARISLERAPKMVNYKYHKSLKDKSVVL >Solyc02g068170.1.1.1 pep chromosome:SL3.0:2:38763697:38764197:-1 gene:Solyc02g068170.1 transcript:Solyc02g068170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSRKRLGLGKLVKSLYRAAAVASKPIQHDVFIANQVMDRPSTIHHLHQNQNHQPIKQKLVPYNPNSTTFSSSSTTSAAVDFILNQEQVTVPHKPKISFYVPPDPSTHDREYSNSSSSTGTATTYYAKTTLDNESVDLKAASYISSVQERFRLERVNSERKAQCF >Solyc05g008710.1.1.1 pep chromosome:SL3.0:5:2981091:2981360:1 gene:Solyc05g008710.1 transcript:Solyc05g008710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYDVSHGEFVLDYDVSQGEFVMDYDVSQGEFVVDYDVSQGEFVMDYDVSHVEFVVDYDVSQGEFVMDYTARRVYYGLYMMSRKKKRRY >Solyc06g072740.1.1.1 pep chromosome:SL3.0:6:45003652:45004605:1 gene:Solyc06g072740.1 transcript:Solyc06g072740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:K4C8Z4] MSERRFRFGYVLASKKVSSFIQDSLINHAQENGIDLVPIDLDKPLIEQGPFDCIFHKLYGPEWRKQLEEFALQNPTAIIVDPIDAIEKLHNRLTMLDVVNELKIAGDSETIGIPIQIFVGENSESLLDAITSQGLHFPVIAKPLIANGSADSHQMSLVLKPEGLQGLKPPIVLQEFVNHGGVIFKVYVAGEHVKCVKRRSLPDIPEEKLGMLENLISFSQISNLTAQDQNDDTFAELIEKAVMPPSRFVTDVANQLRDALKLHLFNFDMIRDEKVGNRYLVIDINYFPGYAKIPNYETMMTAFFLDIAREKLNNESR >Solyc01g108620.3.1 pep chromosome:SL3.0:1:95767857:95772797:1 gene:Solyc01g108620.3 transcript:Solyc01g108620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIDGGRFYWERNSNEKVKGIVIIFAWVSIQETELKSYVDLYASLGWSSLVCLADFATLYITEKATSLAYSLLRELVEELRCRPCPVVVAALSGGSKACMYKFFQIVKGRSEAQVNLEDSQLVINCISGQIYDSCPVDFTADFGAQFAVPPTILKFPGSTQLLSMVAKGFTSGLDALFITRFGSQRSEYWRTLYSSVSFGAPFLVLCSENDDIAPYQSVCKFAHSLQDMGADIKMIMWKSSCHVGMYKSDPIQYSIAIDQLLAQATSVFSSRIRKLGERNGFDDMHDEISHMICDLQNAAADSNGSFRRVAGGPKDHFFLPSSSDRHNVSDSGSSSSERKNLPIWPNPSLSAHTVLGQILFDACVPKNVEGWDVKCTSSLKGQPFSSVRKDSPLNAIKIFRRSRL >Solyc08g006030.3.1 pep chromosome:SL3.0:8:789562:797916:-1 gene:Solyc08g006030.3 transcript:Solyc08g006030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMRLIFFTLLVFIALIFTQIKAEAEVKSVDDEVEVVESHVSTSAELDNLKSKIQSLESNVKDTATELKKKDEVIANKEEMIKEKSESIASLLAQISSLQEKGSLDAEEKVKKANARVDQLEKLVETLKKEVDMKNKEKKELGTQINEAEKRLTELNSKVEKLQKTVDEQEAKLRKTERALQIAEACS >Solyc01g112090.3.1 pep chromosome:SL3.0:1:98106678:98117419:1 gene:Solyc01g112090.3 transcript:Solyc01g112090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4B470] MAAAQLTSKALYNGFTELEVKEEISKCCSLRFSHRHFSSFSRISQNSLKNVYGSNSCSLSIGKSKRFSYAPVLFPRKQKYLRFRNKFSSESGAETSDLQYTTAVKGMDDLSSSRGEVDELNETVPIITNVESESIEEAPTSSSQSEDVRRELVMLSLPAIAGQAIDPLAQLMETAYIGRLGSVELASAGVSISIFNIISKLFNIPLLSVATSFVAEDIAKNATKVNMSEGAKGTDGRFPTGVAERHQFSSVSTALFLAVGIGIIEALALALGSELLLGLMGISSTSPMRVPTKQFLAVRALGAPAFVVSLALQGIFRGFKDTKTPVFCLGIGNFAAIFLFPLLMYYFGLGVSGAAISTVISQYLVAFSMMWYLNQRVMILPPRFEELQFGGYLKSGGFLIGRTLSVLFTMTLATSMAARQGAVAMAGHQICLQVWLAVSLLTDALAASAQALIASYLSKGDYVVATEITHYVLKIGLVAGVFLAAALGVSFGSLSTLFTKDTEVLAVVSTGLLFVSASQPINALAFIFDGLHYGVSDFAYAAHSMMLVGAISSGFLLCAPRLLGLPGVWLGLTLFMGLRMMAGFIRLLSKRSPWWFLHCDANEAKVIS >Solyc03g093180.1.1.1 pep chromosome:SL3.0:3:55811497:55812171:1 gene:Solyc03g093180.1 transcript:Solyc03g093180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVNWHVETTYPDLATRAKQWEVASGLSRKAFRTGRSLTGFNALRRSPGTTPGFRLLAVLANSGEMVYFFFDHFLWLARIGVIDGKYAKRMSFISAFGESVGYVFFVISDFILITRGLKAERKLLIEEKDSKEEIKKIRMDRIMRLMGVAANIADLIIALAEIEPNPFCNHTVTLGISGLVSAWAGWYRNWPSKT >Solyc04g080340.3.1 pep chromosome:SL3.0:4:64640512:64646130:-1 gene:Solyc04g080340.3 transcript:Solyc04g080340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSLSSYPTRFAILTLLSATTVFFFYKSRRHLSKRLKSLKPISHNPISKTPRGKIFFISQTGTSKTLAKSLHSLLNLNGFEFDLVDPKEYEPEDLHKESFVVIVASTWEDGKPPPNAGFFVDWLTESADDFRVGSLLLSKCKFAIFGVGSGSYGETFNAVGRDFSKKLKKLGGVEVLEVCEGDVDEGSLNEVFGKWSKRIVGILSNLGENGGDMRNGVGGGSEDEAVSEGDDDGEYDDEFEENDEESGIVDLEDIAGKGPSRKKGVNDKFVNGKSNGGTVNGEKAMVTPVIRASLEKQGYKIIGTHSGVKLCRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWTWKMDDPIEIVNTAIDLHTKMIKQMKGVPGVKAERLSEGLSPRHCALSLVGEPIMYPEINSLVDELHRRRISTFLVTNAQFPDKIKLLKPITQLYVSVDAGTKDSLKAIDRPLFGDFWERFLDSLRALKEKEQRTVYRLTLVKGWNTEDVDAYSSLFSIGKPDFIEIKGVTYCGTSATSKLTMENVPWHSDVKEFSEALAKKSNGEYEVACEHVHSCCVLLAKVAKFKIDGQWFTWIDYEKFHDLVASGKPFTSKDYMTPTPSWAVYGAEEGGFDPEQLRFKKERHHRSSRQENGS >Solyc03g112790.3.1 pep chromosome:SL3.0:3:64605359:64610077:-1 gene:Solyc03g112790.3 transcript:Solyc03g112790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANILTAFFLISTCQTLTVLRGVNSHGDAHKCRSYCGNLTVDYPFAVQSGCGHSGYRDLLFCINDVLMLHISSGSYRVLDIDYAYESLTLDDPHMSTCSSIVFGHRGNGFVVERWREPYLNPTADNVFMLLGCTAESPLFQGFPGKHLPCRNVSGMGCEEYYGCPGWDIIGPKKVGVVYGSGPPDCCAVSFEAIKAINLTKLSCQGYSSAYSLAPLRVDGPHGWSYGIRVKYSVEGDDSFCKACEATGGSCGYDVNDFSSLCMCGSWNSTSNCDSVHSASHRRTWSLMDALTGLVGCISIWKLSATLGL >Solyc12g017845.1.1 pep chromosome:SL3.0:12:7559261:7562388:-1 gene:Solyc12g017845.1 transcript:Solyc12g017845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVILSLYIDDILISATDIDFAKEINEFLSFSVEMKDMCDSTYILGVNISRDRSKRLLSLSQELYFKKVLERFNMKNCKCIKVKDKKFVKRMKNWLFSQLLKKINTKCGWLELARDLVLKSDCQTGEPSIDFNNGFHRFLVVDDKDNWGLKWLFFGGRLLR >Solyc01g086740.3.1 pep chromosome:SL3.0:1:81563225:81572530:1 gene:Solyc01g086740.3 transcript:Solyc01g086740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSNGLRLAHRLARCTFSSTTGSLLKEGCRGYNTAVCNQTRGLFYFNSKNGGSERDWLRLGQFKSNFGATRSIHGTGMSMKDFYEVLGVNRNATASEIKKAYYGLAKQLHPDMNKDDPDAEKKFQEVSKAYEVLKDDSTREQYDQLGHDAFNNMNSGGGGGPGFDPFGGFKSPFEDMFKNADIFGNIFNRDMGGEDVKVPIELSFMEAVQGCSKTITFQTDLPCTACGGSGVPPGTKPETCRRCKGSGVSISQTGPFTLQTTCPSCKGTGKIVSSFCKSCKGNRVLRGPKTVKVDIMPGVDTDETLKVYGSGGADPEGNRPGDLYVVLKVREDPVFRREGSDIHVDAVLSITQAILGGTIQVPTLTGDVVVKVRSGTQPGQKVVLKKKGIKGRNSYSFGDQFVHFNVSIPTNLTPRQRQLIEEFAKEEQGEYDKGAAAGASR >Solyc12g016010.2.1 pep chromosome:SL3.0:12:6071973:6072385:-1 gene:Solyc12g016010.2 transcript:Solyc12g016010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAVQAAFAVVNKGARPVIPNDCLPVISNIMTCCWDFDPDKQPTFSQVVKMLEAAEIEIMTNVRRAHSD >Solyc11g020690.1.1.1 pep chromosome:SL3.0:11:11873334:11873624:-1 gene:Solyc11g020690.1 transcript:Solyc11g020690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSAAAGWRREKTEANRGVASAVHCRCCCFSKLLLSLGAADLTPVEPFGIVCCCSCCLVSRAFVFCWQLHHSPLPAGTKRKKRRRRGLEGRRGNM >Solyc11g005780.2.1.1 pep chromosome:SL3.0:11:637809:638270:1 gene:Solyc11g005780.2 transcript:Solyc11g005780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEMMFKIASMQPVDIDPSTIRKPKRRNVRISNDPQSVAARLRRERISEKIRILQRLVPGGTKMDTASMLDEAIRYVKFLKRQIRQLQSSNHNLPPAQIPVSSCPNNENWANNIVTPSTKGLILGSSSSTTTNNVTTFVGNTTLDPPYEVIGN >Solyc10g085170.2.1 pep chromosome:SL3.0:10:64570274:64572820:1 gene:Solyc10g085170.2 transcript:Solyc10g085170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESKVGPNRPLFVLFGSSIVQLSYFFDGWGAYLTGRYARKADISLRGYGGWTSRNALQILSKVFPPNDKIQPSLVILYFGGNDSTDPDFPNSPNVPIDEYVENMRKIILHIKGLSKNTRVIMLTAPAVNEEQIIKYYGDGMHLTKRGSEIVSEKITKVIKEANWEPTLDWEEMPDEFDGIQPHDFYYEKVMEGINRITGISDSCQVKLE >Solyc09g065170.2.1 pep chromosome:SL3.0:9:63318886:63323102:1 gene:Solyc09g065170.2 transcript:Solyc09g065170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASKLSIAAKERWRKRKADMEVSHNEEDQNIQKASLASQNEGPSHSLVTTDNVQSKGPQFVAFTSKREVSKGNNMIVRRSNRLRSLGFFGKRQGKEPVQHIDITDSDGDDELHVEPISLKPIRGVSSTEIEVDFPVHTADGPTNSAFTNAQSKVSRAFSSKRKTLKANNMIVRQPGQRESLGSFGKRQGEDLHHVDPTDCDRDTELHVEPICPEPILNVSSSEMIVDHLVQTRCKRPFPSEAMPTNLNYEILYTNSVKKIEALTEENYQQAQKLHYMFGKVKIYENVLAKDMVAFSNGWRSTGAVTNLSDGTVEHHPEAAPALNSAHAPKPPPVKRKHSVKRMKKNQ >Solyc11g008120.2.1 pep chromosome:SL3.0:11:2308961:2326855:1 gene:Solyc11g008120.2 transcript:Solyc11g008120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDRFSVFVLFSDGSVYILCPVVPFGSVYKWESVLELYSDAQMFGLKSANSKAVKNSNLAISWLGATFPELNREEVHAENAAVLRAQPYALFDSSISLQGPLRKVSHGVEDDSVHPPVHEGRAVSFLYDLVSKDSILVTAWSGGQLQIDALADEVQPVWKVGSPPRVCLDSTDSIVGLAMICESLSSDTSILKLDVPPDHTLWLGHPPPLLRLAIVDLALPRRSGSVLSMFVDPIISERIYCLHERGIDSV >Solyc11g045245.1.1 pep chromosome:SL3.0:11:31600255:31607409:1 gene:Solyc11g045245.1 transcript:Solyc11g045245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSKNQERPVEGMEDRFLQIQELLQKLMEGMSSIHGRNTQTEKELGEIKLCIALNEIFGEGFEDSTEALKNLHQSGSVTEYQAEFDRLLTGIKLSESGYHEKKPLELLIDTGSTHNFIDQGVAKSLDCKSSPIIEQSISVVDDFLLLPLGNIYCIGGAVAEYLRQKYHLISDTVEEATEGGRYNTIQATQVSRHSGQIIGCKRGYHTVVQVPQEGAQQRMRDLSDKHRSDSDFAVGDYVHLKLQLYRHFSVAVKPFNKLPVKYFGPYPIDAVAYRLMPADKLKVDKVTDERTALKRRILNDRLMFAERGFLDAEGLQGRPWFKHMVYGPRNDGESELYFFPGIANAISKSSGGEEQNAAIQHEIWRSARAIERAAHALKGELIA >Solyc02g086330.3.1 pep chromosome:SL3.0:2:49646192:49654774:-1 gene:Solyc02g086330.3 transcript:Solyc02g086330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEKSSLCNCVVNFLLEENYLLSAFELLHELLDDGRDDQAIRLKQFFSDPSQFPPDQISRFNSIRVADPQSLLEEKEALEEKLALCEYEFRLSQEDIVQLKSELQKKSQTSPCPISDLKIDPSENHGTDSQQQKREGSFSDLGPLKDNERKDLNYAVKEYLLLAGYRLTAMTLLEEVTDQDLDVQQNSSACIPDALRHYYYQYLSSTSEAAEEKIAMLRENESLVKENDKLKHEKQSLLKSKDMADAQVTVLAKSLEALQKEMKDKEILVQSLKQSLESQRQELNECRAEITSLKMHIEGARSARNFIASDFEGVDLPSTDSYKEEIKVLQNEIRRLKLARNSLNSESLENINEETRNTCPENEVEKSSDHNVFDDSAGVSSGDLGAAGSQLSMTQTSDSQLLMSQTSADTITEPERVVEVSHDNCVGDKVENVLKHNGELPSEAKGLILKPDNLLVESNAQKISLGTIQILSDALPKIVPYVLINHREELLPLIMCAIERHPDSSTRDSLTHTLFNLIKRPDEEQRRIIMDACVTLARNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDSATVVREASAHNLALLLPLFPSRDKYFKVEEMMFQLVCDPSGVVVETTIKELVPALVNWGKELDHLLQVLLSHALGSAQRCQPLSGVEGSIESHLRALGERERWNIDVLMRLLSELFPFVRKKAIDTCPFPLVSDDERLVFSTSVLEQYAGGKMDWPSFEWLHIDCFSALIELASLLPQKEDNLRNRITRNCLLSGFVFNFAMQFLLAVSDLLGEPYLTHIMLPVFLVAVGDDGDLSYFPATCQSRIRGLKPKTAVAERLATIGVLPLLLAGVLGSPRKHELLTEYLRNLLIQTSGQESQTVKREIFFSVRFLWFVPF >Solyc10g080690.2.1 pep chromosome:SL3.0:10:62035062:62037307:1 gene:Solyc10g080690.2 transcript:Solyc10g080690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Patatin [Source:UniProtKB/TrEMBL;Acc:K4D2V9] MAAAAISTISMIDSNMEVDKLTYEIFSILENKFLFGYDSDPKLSPACRENRNFSTPFAGNKNVPAGKIRILSIDGGGSTNGILAAKSLTHLETTLRRKTGKKNTHIADFFDVVAGSGTGGILATLLFTRGKDGVPLFTAEEALKFLIENNRKISRSSSNGVFRHVFRPPVKVFGKVFGDLTLKETVKAVLIPCYDLTTRSPFLFSRADALEMDGCDFKLADVCGATIADRTVEVKSVDGKRKITAVGGGVTMNNPTAAAITHVLNNKQEFPFANSVEDLLVISLGNGESDSGTGNMTSSPAALVKIAGDGAADMVDQAVSMAFGEFRNNNYVRVQGNEIIVGKKHMIKDEKKRKSIAIAEEMLKQKNVESILFQGKKLMEKTNLEKLEIFAGELIKEEEMRKNSILSPVVLKQSSSSPRTSSATSLSTISSC >Solyc02g090380.3.1 pep chromosome:SL3.0:2:52570426:52578827:1 gene:Solyc02g090380.3 transcript:Solyc02g090380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYIGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLCYVAMAAALFGGAALGPVFDQLLKAVIDFGVNIATFRSKFLSLKQTLTDIKPVFHDIERLNEALDGRDEEIDMFKKQLMKGEELVRKCSKIKRCDPLKKWSYSRKLTKLDNSLVKFCQIHGLIQVVRDSKQILVKVNENGKKLEEIHSMMRDVSLTRSGSSIGFTNSSASSGWMNGSSFGFSGSSDVPQVSDSMVGFDVPLHELKQKLKVLQEKDQVLVLSAPPGCGKTTLAARLCQEDDIKAIYRDNIFFVTVTKTPNIKRIVGEIFEKKGFRVPEFANEHDAICKLNSLLGGITPQPILLVLDDVWSESEFIIDHLKLQISDFKILVTSRYVFPKFDTYKLKLLSEEDAKDLFCISAFKDGSPDVRLNLVHKVVRSCGGFPLALRVVGRSLCGQSEVIWFNRVMMQSKRQILFPTENDLLRSLQASIDALDEKVLYSRETTTLRDCYLDLGSFPEDQRIHPAALLDMWVERYNLDEDGITAMAIFLELSSQNLVNLALARQDARAVLGLHNLHYIQQHDMLRELVIHQCDEKPVEERMRIYINIKGNEFPRWWFEQRLQPLQAEVLSIVTDEDFSCNWHGVQFPKVEVLVLNFETRTYKLPPFIEQMSQLKTLVLTNNGFFPAKLNNFQLCSLLNLKRINLERISVTSIMSANLQLPNLRKISLNMCEIREAFENSAAKMSYMWPKLVEINIEYCSDLTKVPAEICDLVDLKRLSICYCHELVSLPEELGKLTNLEVLRLHSCTNLAELPKSIVKLIKLKFLDVYDCVEMDDLPLEMDQLCSLQTLCMGSSLGVDKLPDSLQKLVKLEDIVCDEETAYLWEPVKEHLKNLRITVMEEHINLNLLHRS >Solyc07g045100.1.1.1 pep chromosome:SL3.0:7:58345159:58346808:1 gene:Solyc07g045100.1 transcript:Solyc07g045100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTKFHFFNLLFLFLFSSGCAVASGGFYSFRNMNSSVSGIVLPEHPSFNGVSSSANSDCNYGVSEKSTTHSIAQELDGSEDVSDVSNQQKEESVKFHLRHRSEKIEAEDSVFRDLSRIQTLHTRIVEKKNQNTNSRLAKSSEKHEIASAHAPVAAASPPLESLSYELSGKLMATLESGVSLGSGEYFMDVFVGTPPKHFSLILDTGSDLNWLQCVPCYDCFEQNGPHYDPKDSTSFRNISCHEPRCQFVTSPDPPQPCKSVNQTCPYYYWYGDSSNTTGDFALETFTVNLTTPSGSEFRKVEDVMFGCGHWNRGLFHGAAGLLGLGRGPLSFASQLQSLYGHSFSYCLVDRNSNSSVSSKLIFGEDKDLLKHPQLNFTSLVGGKDNPAETFYYVQIKSVIVGGEVLNIPKETWNLSPEGVGGTIIDSGTTLSYFAEPAYEIVKEAFVKKVKSYPIVQHFPILNPCYNVSGVKNVEFPSFGIVFGDGAVWNFPVENYFIKLEPDIICLAILGTPRSALSIIGNYQQQNFHILYDTKRSRLGYAPTRCADV >Solyc06g075700.2.1 pep chromosome:SL3.0:6:47185050:47186041:-1 gene:Solyc06g075700.2 transcript:Solyc06g075700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMGQNLPQSNHVPSDTYFYCCGCGAHVVIISYIPATRVISQMYLCRFGVIEAVNEPQHRVIHGMNLTVAESFCFQCRNLLGWKILAVLQPSTVYRVGGSILRMNAVVSWNNETLFDFLYGGNNEQAPNDQDGGAVEEQVGNANEQNADLGGNGGVNEQVPNEAVDIIEGLGNIDLNANI >Solyc02g005280.1.1.1 pep chromosome:SL3.0:2:7827354:7829171:-1 gene:Solyc02g005280.1 transcript:Solyc02g005280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNEELKSLFLLKGWKLYHLKQMNCVLFTCGLSHHTFFFSKLLRLCLLLPSFPSSYASSLFNHITRPAIHTWNIMFKGFSNNTSHPQNSSISLYIQMRQHGVFPNKHTFPLLLRAKNENPHLIFPQILKFGYISDQFVQNSLVSCFASSGYVDLARQVFDDITHKDVLSYTALIDGYARNALPVRALKLFLEMRQAQVKVDEVAVVAALSPAGTLRCVWFGKCLHAFYIEPGRVSRDVYIGSALVDMYSKCGFWDDAMKVFEDMPYKNLISWTAMIAGSLHCNRCREALSVFEEMLSRKVVPNQVTLTSVLSASTKLGALEQGRWIANYIKAHNVKFNTALATALIDMYAKCGCIEEALLVFDNLPNKDVYLWTAMIHGLATHGDAAKSMTFFLEMLRHGIRPNEVTFIGILSACSHGGLVDEGRQLFSLMDQVYGLKPNLDHYGCMVDLLGRAGYLEEACKLIQDMPMKPTPSIWGALFGSCMIHKAYELGEWIGRYLIDIQPYHSGRYTLLANLYSTCKNWEGVAHVRKMMKEMGVEKTRGCSWIELNGEVHEFIAFDGSHAKSEHIYTILDNLVSHLQHITISPCADSLLLESNGTMQHC >Solyc08g075790.3.1 pep chromosome:SL3.0:8:60017784:60019815:-1 gene:Solyc08g075790.3 transcript:Solyc08g075790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCIPFLNKETKSLPIDTIFKLPSPLPNWPSGTGEFGSGYIDLGGLKVYQIVTFNKVWAIDKGGPENLGATFYEPSPIPDGFSMFGCYCQPNNKPLFGWVLAGKDNVGEILKNPIDYTLVWSSESSKFKNKNINDHGYIWLPIPPEGYKALGHVVTTSPEKPALDKIQCVRSDLTDELEVESWVWGQGTTSKVNGINVHSVRPRERGVKAQGVSVGTFLARITSDKDDESNKTLSLACLKNTKFSTFSSMPNLSQIQALFDQYSPIIHFHPKEKYLPSSVNWYFANGALLYQKGQESNPSTIEPNGSNLPQDGPNDGAYWLDLPIDEKGREKAIKGDLLNSEVYLHIKPMLGATFTDIAVWIFYPFNGPGTAKLGLVDVPFGKIGEHVGDWEHVTLRISNFNGVLYKVYVSQHSGGTWLDAPQVEFQNGSNKVVVYSSLNGHAIYHKPGLVLQGGGDIGIRNDTAKSNLVLDCRKNYSIVAAENLEIIAPAWLNYTRQWGPKLSYRLGDEVKKIESSSKGNSKGAFEKLVKVLPNEVYGEEGPTGPKLKGNWNGDEV >Solyc04g018170.1.1.1 pep chromosome:SL3.0:4:9785597:9785809:-1 gene:Solyc04g018170.1 transcript:Solyc04g018170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKEALKPFLYGFTFKERSGSWGFSSAPPYWSSFLFYGKYLQYHYLNNFFFALMCVFTNIYSVLRYSCCF >Solyc02g080640.3.1 pep chromosome:SL3.0:2:45383315:45386773:1 gene:Solyc02g080640.3 transcript:Solyc02g080640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNPKPLDRPQLLSSTVLNSRRRSAVKPLYAEPKRNDSIVPSAATIVAPEVGESVEAEDFEKLAKELQNASPLEVMDKALEKFGDDIAIAFSGAEDVALIEYAHLTGRPYRVFSLDTGRLNPETYQLFDTVEKHYGIRIEYMFPDSVEVQALVRTKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPIVQVDPSFEGLDGGAGSLVKWNPVANVDGKDIWNFLRAMNVPVNSLHSQGYVSIGCEPCTRPVLPGQHEREGRWWWEDAKAKECGLHKGNIKDETVNGAAQTNGTATVADIFDTKDIVTLSKPGVENLVKLEDRREPWLVVLYAPWCQFCQAMEGSYVELAEKLAGSGVKVGKFRADGDQKAFAQEELQLGSFPTILFFPKHSSKAIKYPSEKRDVDSLLAFVNALR >Solyc12g009580.2.1 pep chromosome:SL3.0:12:2837439:2843961:-1 gene:Solyc12g009580.2 transcript:Solyc12g009580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSYIDSTNFEKLCYIPCNFCNIVLVVSVPCSNLLDIVTVRCGHCTNLWSVNMAAAFHTNSWQNHLHHQVGNYTNSPHDQYKVDFASSSITNNSTLEERNVNRPPEKRQRGPSAYNQFIKEEIQRIKANNPDITHREAFSTAAKNWAHFPHIQFGLMLETDNQAKLGASENKEKLIMHRAALPKIKTFTF >Solyc09g083000.3.1 pep chromosome:SL3.0:9:69120268:69125196:1 gene:Solyc09g083000.3 transcript:Solyc09g083000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKREQSKLSGSSGGSVGPPAKRGRPFGSGNSNAASAAAAAAADSAAPSTLLGPSLQVHSAFAEQNNKRIVLALQSGLKRELTWALNTLTLLSFKEKDEVRRDATPLAKIPGLLDALLQIIDDWRDIALPRVLVKAPRVRLLGANSVVTGFGLEYEALNSNESVLNPRESSVQKSSTKSRPTGLCFEEDGLFNLDEEGRIEKQQFAVAASNVVRNFSFMPDNEVIMGQHRHCLETLFQCLADYVTEDEELVTNALETIVNIGPLIDLRIFSSSKPSYIKMTEKRAVQALMGVLGSAVKAWHCAAAELTGRLLINPDNEPFLLASATQINKRLVDIMSQPAIDAQAAAIGALYNLSEINMDCRLKLASERWAIDRLLKVIKMPHPVPEVCRKAATILENLVSEPQNKPFLLMHENAFAEMLFGDPRYSDIFSRILYELTSRPSNKMASARGIWGM >Solyc02g069390.2.1 pep chromosome:SL3.0:2:39867362:39870209:-1 gene:Solyc02g069390.2 transcript:Solyc02g069390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLVGDQGGSSSNMFRDYRKGNWTVKETMILIEAKKMDDERRMTRQEGKPTELRWKWVEDYCWRNGCLRSQNQCNDKWDNLMRDFKKVREYERRVVESGGEEIIRSYWKIEKNERKEKNLPTNMLPEIYEALVEVMDKKSQRMLLPSLPPTLQQQSTPLPIPPITTTVTQTDYTTNVPFTTMCDSSDPDHSSERSDSPAKKRRMRGGGEGTSGTSKRNINNSSQEVGSAISKSAAIIAEAIQSCEERGDRRHKELLSLHQRRLQIEESKVEINKEGINGLVDSINKLANSILALAGNKNQSTDPK >Solyc05g056420.3.1 pep chromosome:SL3.0:5:66564382:66575987:-1 gene:Solyc05g056420.3 transcript:Solyc05g056420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Diacylglycerol kinase [Source:UniProtKB/TrEMBL;Acc:K4C2W6] MVDISVSLLRLLNSSDFFSSYIFAWLVTGSLGLLVVIYVLLKWQRKTSLNWVKAAAVAKKQVWEKLKVPLSHHTWVEDFAYGVQPSTCSVCFSSLVSPHNLSTKAASHSPVHRCSICGVAAHFCCSQFAAKDCKCVAQAGLSHVRHHWSERWTNLDENPEMSAFCFYCDEPCGVPFLDASPTWYCLWCQRLIHVKCHAKMSEESGDICDLGPLRRLILSPLYVKDVEAETKGGTMLSSLAEKMNGNGHIRRKRHRNKHGNDLINGKVQGSSAAKLALEYVIRSLASLTLSNSERNNGYSVKCNKLGGRKGSQNRLAWNNQENRILGRSKKYALVDLPQDARPLLVFINTKSGAQNGPALRRRLNMLLNPVQVFELGQSQGPEAGLELFSNLHYFRVLVCGGDGTVAWVLDAIERLNFESPPPVAVLPLGTGNDLSRVLQWGGGFAMVEGQGGLRPFLHDLNHAAVTMLDRWKVNIIEEKSACDTPKVQSKFMLNYLGIGCDAKVAYQFHMNREENPEKFNSQFVNKLRYAREGARDIMDRTCADLPWQVWLEVDGKDIEIPKDTEGLIVLNIGSYMGGVDLWQNEFEHDDDFNHQSMHDKILEVVCVSGAWHLGKLQVGLSQARRLAQGGTVRIHASSPFPVQIDGEPFIQQPGCLEITHHGQMFMLKKASGSNEPRGHAAAIMTEVLVDAECKGLITAAQKKVLLQQIALQLS >Solyc03g096525.1.1 pep chromosome:SL3.0:3:60096402:60097699:-1 gene:Solyc03g096525.1 transcript:Solyc03g096525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTHFCLLEDHQGSESLIQTPADSIWLVKVVGNKPAIVLVYVDDLILTRDFEEEILLTKNNLSVRFQIKELEQLNHFLGLEVDYNEEGICLQQKKYSKDLLKKFGLLNCKLISTPMKHNAKMCAHEGKYLENVMMCRQLTQPDISFVVNVMSQYMHNPKKHYMEGIMIPDVQHLAMCLSLKLEQFLGAAKGNRLCQSEQ >Solyc09g091790.3.1 pep chromosome:SL3.0:9:71482551:71489370:-1 gene:Solyc09g091790.3 transcript:Solyc09g091790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHEDHDDTQIEEIPDEFQCCVCLELLYKPVVLGCGHIACFWCIFKAMNTWRESNCPICRHPYHHFPSLCGLMHSLLLKLYPLASARRVKQVAEEEKEVGSCSPEFDDDLSESGCKTDHVPDTASPHSVSLQKCGSVGEGDHSSVDVSLDTVVPATSSSEISKDAISKNLNFADEHTSASEKQVLMTDLLCGICELLLCKPVVLNCGHVYCENCVINPSDKLCRCPVCQLEHPNGFPNVCLILEHYLEEQFPKLYGERIRASVERSDCQIPSKRNRDEAAGCKSVPGFDLSSWLTGGGPQVHFGVGCDYCGMCPIVGERFKCKDCTEKIGFDLCEGCYKSSSKLPGRFNQQHTPEHQFELIQALQVRNVVLRVQPERLEGDNSDFQLEYGEPRPLELLPLEEDSSETNSPRDNLRDGQGGNISSNGTGDNDGGRESGDTD >Solyc01g007850.2.1.1 pep chromosome:SL3.0:1:1946754:1949891:1 gene:Solyc01g007850.2 transcript:Solyc01g007850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDFLSVHMRNPPFIRGIFSSFYHMPRTRLIICPNEPQLGKVPFFTSIRSCFSFTAVVQVVETPTVEKETIFQDSDGGRRLSTKQLKYGAKKRLVEKKTIFQDSDGGRRLSAKELKYGVEKGLVEKGDGGFLIKEKKRGIKWYSEMFKDYAGKLCLKEGKALHGEMIRSGVEPDSYLWVSLINFYSKCGDLVFAENVFDLIPSRDVVSWTALIAGFIAQGYGSKGICLFCDMKGEDIRPNEFTLATVLKGCSMCLDLEFGKQLHAVVVKGAAFSDVYVGSALVDLYAKCCELESAVKVFFSMPEQNSVSWNVLLNGYVQAGQGEEALKLFLKMSDSEMRFSNYTLSTILKGCANSVNLKAGQVIHSMLVKIGSEIDDFTSCSLLDMYNKCGLQDDALKVFLRTKNHDIVAWTAMISGLDQQGQKREAIHLFCLMMHSGLRPNQFTLASVVSAAADSVDIRCCKSIHACVYKFGFDSEECVCNALIAMYMKFGSVLDGYRIFSSLSNRDIISWNSLLSGFHDNETSYEGPKIFRQLLVEGLKPNIYTLISNLRSCASLLDASLGKQVHAHVVKADLGGNIYVGTALVDMYAKCGQLDDAELIFYRLSEKDVFTWTVVISGYAQSDQGEKAFRCFNQMQREAIKPNEFTLASCLKGCSRIASLDNGRQLHSVVMKSGQFSDMYVASALIDMYAKSGCIKDAESLFQSMESSDTVLWNTIIYAYSQHGLDEEALKTFRTMLSEGIPPDGITFIAVLSACSHLGLVKEGRRHFDSIKNGFGITPSIEHYACMVDILGRAGKFTEMEHFIEGMALAPDALIWETVLGVCKAHGNVELAEKAANTLFEIDPKAESSYILLSNIYASKGRWADVSTVRALMSRQGVKKEPGCSWIEIDNQVHVFLSQDASHPRLKDIHKKLEELTSRITAAGYIPNTNYVLHNVSDKEKIDNLSHHSERLALAFALMSSSRNSTIRIFKNLCICGDCHEFMKLASIVTNREIVIRDINRFHHFSHGTCSCKDYW >Solyc05g013773.1.1 pep chromosome:SL3.0:5:7078435:7080307:-1 gene:Solyc05g013773.1 transcript:Solyc05g013773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYYSPGTFGQVLECWDREQKEFVAIKIIRSIKKYREAAMVEIDVLQLLGRYDRGGSRCVQLRNWFDYRNHICLVFEKLGPSLFDFLRKNSYRAFPVDLVREIGRQLLECVAFMHDMRLIHTDLKPENILFVSADYIKVPDYKGTPWSHRDRSFYKRLPKSSAIKVIDFGSTAYERPDHNYIVSTRHYRAPEVILGLGWSYPCDLWSVGCILVELCSGEALFQTHENLEHLAMMERVLGTLPSQMLNKVDRHAEKYVRRGRLDWPEGATSRESIKSVIKLPRLQNLVMQHVDHSAGDLIDLLQGLLRFDPSIRMTARDALRHPFFTRDQFRRL >Solyc07g053700.3.1 pep chromosome:SL3.0:7:62259138:62262684:-1 gene:Solyc07g053700.3 transcript:Solyc07g053700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTPPSHRSETRSRTKSASRLSRLRRYDEESESPTPRVSLEIVPSPRIEVSPYGNALPLHELLLLSPSPLRRSKTRLADKLELVDDGVEPNGVRRRRRSRNSAIGASPRNNRRSRRRLEQEMREERDLGLVEEVVKPRKKRNNGKSKKDKLRLVVSNPTIIESKEGEGCDLINRIEILVSDLVMWRDVARSSLWFGLGSLCFLSSCFAKGVTFSIFSIVSQLGLLFLVVSFFSHSIRQREGREMKREFQLTEDDILRMGRLILPAANLAISKTRDLFSGEPAMTLKLVPVLIIGAEYGHLITVWRLCALGFFISFIAPKLYSSYSHQIYSKAKYMKYRLLETWGNCSHKKMIAASVLTAFWNLTTVRTRIFTAFICLVIFRYCKQHEEVKVDEEMAEEEEEQQQALVVLEPVKKEA >Solyc07g020715.1.1 pep chromosome:SL3.0:7:13292940:13296249:-1 gene:Solyc07g020715.1 transcript:Solyc07g020715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLDPTSSTVCQICGIPGHNALQCNNHFNHGFIANDLPKSFVAMSVGESKMLPALDQILHQTSSKGDVYPLSPWSISSSPQANVALHQPGDIWHRQLDHSGAPSYIVYTINRLPAPILHGISPYEKLFHRSLDCNFLRVFGCASFSNLSAQASYKIAPRSVSYFFLGYASEYKGHRCLNPIYGRVYVSRHATLHEYLLGTLTYGLSLRTSSSASMVIAYSDADCDGCPDNRRSTTGSAIFLGHNLIAWRAKKQPTGLLHTLLAETCWIHHILCELGVFIRDPIRVLCDNVSSKYMTRNPVHDHSKHIDVNFHFVRDKLSVTAARPN >Solyc10g054271.1.1 pep chromosome:SL3.0:10:55050875:55054672:-1 gene:Solyc10g054271.1 transcript:Solyc10g054271.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIVEGACHEATDSESLGSELKVKDTQHNQQIKEDEPGSSGSPLLSGKAIQLKQHVLN >Solyc10g081060.2.1 pep chromosome:SL3.0:10:62364481:62370132:1 gene:Solyc10g081060.2 transcript:Solyc10g081060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSADSWMREFNEASKLADEVSSMISAKSSLPSSGPESQRHLSAARRKITILRTRLDTLQSLLQTLPSKQPLSKKEMKRRHDMLDNMITKANQMASTLNMNNLANRDSLLGPETKRPDVISRATGLDNQDLVGFQRQVIKEQDEDLDKLEETVVSTKHVALAINEELNLHTALLDNLDYHVDTTNSRLQRVQRKLAFLNKRTKGGCTWLCLLIIFIVILAVAIFLLVKYL >Solyc11g008430.2.1 pep chromosome:SL3.0:11:2614126:2621236:-1 gene:Solyc11g008430.2 transcript:Solyc11g008430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSAADRNSGRAAGLNPDNGGAYDPKNLKVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTVGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVVVYDITSPESFAKAQYWVKELQKHGSPDIVMALVGNKADLDEKREVTTQDGIDCAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPTVA >Solyc02g082750.3.1 pep chromosome:SL3.0:2:46947167:46954927:-1 gene:Solyc02g082750.3 transcript:Solyc02g082750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDPSQKLYTRMRLWEFPDQYVVEPTDGSCGSCLEISRVDGSVKLIDEVPECSSVRVPKIQKIFGVIGILKLLAGSYVLVITDHEFIGSYFGHPIFKVSSMKFYPCDQSLKNSTAEKKNMEAQFSALLNVIERTPGLYFSYDVNITLSAQRLHDLGDESKMLPLWRQADPRFVWNNYMMEQLIDNKLDQFLLPVVQGSFHNFQAAIGKDIIDVTLIARRCNRRAGTRMWRRGADSDGFVANFVESEQIIQLKGYTASFVQVRGSIPLLWNQIVDLTYKPKFEIPRVVERHYLDLRKKYGNVLSIDLVNTHGGEGRLSEKFANAMQHVAADDVKYLHFDFHHICGHVHFELLSILYDQIEDFFIKNRYFLLNEKDEKVELQLGVLRTNCIDCLDRTNVTQSMLGRKMLEFQLRRLGAFDAEETISSHSNLDESFKLLWANHGDDISIQYSGTPALKGDFVRCGHRTAQGVIADGCNALTRYYLNNFVDGTKQDAVDLLQGHYIPAVSRDMKPSSQKGSLETKAVIRHDLRSLIYAVVWASISMGIAAFVKANGRMFCNRPSLHQSR >Solyc11g063660.1.1.1 pep chromosome:SL3.0:11:49994009:49994302:1 gene:Solyc11g063660.1 transcript:Solyc11g063660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:50S ribosomal protein L20 [Source:UniProtKB/TrEMBL;Acc:K4D978] MTRVKRGYIGQRRRTKILLFASRFRGAHSRLTKTITQQKIRALVSAHRDRDRKKRDFHRLWITRINAVIRERGVSYSYNRLIHDLYSCFSTVKYLHK >Solyc01g087775.1.1 pep chromosome:SL3.0:1:82556706:82557412:-1 gene:Solyc01g087775.1 transcript:Solyc01g087775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRMDFSVMRVRAWLDPVFSRVGGENLKVNHSVVGCYYMASVPFSSQNKLEALKKSPGFVSAHKDGTIELHTTHTPEFLNLNPSSGLWPASNFGQDVIIGIVDTGIWPESPSFRDDAENTHVNLTTFSARDSWGHGTHIASIASGNYVQGDSYFGYGKGTAKGGCSPC >Solyc11g064890.2.1 pep chromosome:SL3.0:11:50467026:50481114:1 gene:Solyc11g064890.2 transcript:Solyc11g064890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCESSKLASCCWSGQNGPVHEAQNPGDEERAEVSDLPTFREFTVEQLRISTSGFAVENIVSEHGEKAPNVVYKGKLENQRRVAVKRFNRSAWPDSRQFLEEARSVGQLRNNRLANLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPMKWAMRLRVALYLAQALEYCTIRGRALYHDLNAYRILFDEEGDPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRITPESVMYSFGTLLLDLLSGKHIPPSHALDLIKDRNLQMLTDSCLEGQFSSDDGTELVRIASRCLQYEPRERPNPKSLVAALFPLQKETEVPSHALMGISRDGGTMPLSPLGEACLRMDLTAIHEILEQLGYKDDEGAATELSFQMWTNQMQETLNSKKKGDSAFRHKDAKAAIECYTLFIDVGTMVSPTVYARRSLSYLMNDMPLEALNDAVQAQVISPVWHVASYLQAASLFALGRENEAQIALKEGSVLEEKKNTNS >Solyc01g088390.3.1 pep chromosome:SL3.0:1:83036714:83040326:-1 gene:Solyc01g088390.3 transcript:Solyc01g088390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEKSLPYGEGGADAILNVQPNTSISIAYHQLFGPHDDLMLLELDEKLLPDILNQRVTIRGQPDEDAVLCSQTKTYAIKFVGTSNSLFLIPPSNLSIALGASPNSSEKDHDNAMVASVIKVVPGSMELVEVAPRLDKLKLLLSENPYSFDEVSQMNTELTHKNKGLYSWSDLVEKVQASDEELCTGLRALAAVEIDGFWRSLDENFVDAILNMLLHNAVLNDWLLSALNEDEVLPVLEADGFPREIVKHCLGVYGSKVDDEIRGGCTWRLEERPVCVHFARVILRGEKMKLERFMEEWRKKVPEGMNASFDVLEGEVLTEKIGIETRIYAFSVSSLSSVPAERFSKLFQEKPKWEWKELQPFVRDLKVPGLSSEGLLLKYTRRSQPSADAEPIFSAR >Solyc08g068980.1.1.1 pep chromosome:SL3.0:8:58134486:58134902:1 gene:Solyc08g068980.1 transcript:Solyc08g068980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKPNTLRRFTRGTNYTGYFMVEIPSFDLWITQIQSKISGYTAAMELEKKSNDELVRSSPSKRKVVSTGKTIGKKYYKRRKVKNSVSDMIGEPIVNEEQDEVSEESHSSSISSSSSSSWCVKPRDDLDLLQNPAVEIL >Solyc11g069170.2.1 pep chromosome:SL3.0:11:54089597:54095072:-1 gene:Solyc11g069170.2 transcript:Solyc11g069170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGAGGGGERSSPAPKPSKFAVYQNPAFSAALTTSSLRPSKSTFVSIFIISIASVSTLLRSFSRESGIADSLKFRYVSQETACLIVRLIQTFAAIVLFGTFLALVKAIYLCRTKTADVSITSPTKGTKENTRLTNRQLGLLGIKTNVEQTAMESSTRPPKSRVVSASPSNVLVPIHQPISSSKPSTRLSSDKVRTGSGTKIPSFGTPSKSPASPSLYLVSASPSQSPSIQSSPGGELVATPWSNKRATFQKEIATEEQLERFLADVDERITESASKLATPPPTISGFGVVSPSNLPSSTNTSGTPRSTPLRPVRMSPGSQKFSTPPKRGEGDLPPPMSMEESTEAFGNLGIYPQIEQWRDRLRQWFSSMLLKPLLNKIDTSHTKVMQAAGKLGITITVSQVGNGTPDTGTAAISATERTNEWKPSFSVDEDGLLHQLRITLVQALDSCMSKSASGGLQPSLPENSLIPILQECIDAITEHQRLQSLMKGEWGKGLLPQSSVRAEYTVQRIRELSEGTCLRNYDYLGSVEVYGKGNKKWNPELPTDSHLLLYLFCAFLEHPKWMLHVDPTAYAGIQSSKNPLFLGVLPPKERFPEKYVAVVSGVPSVLHPGACILAVGKQNPPVFALYWDKMPQFSLQGRTALWDSILLLCYKIKTGYGGLVRGMHLSSSALGILPVLDSEKDGC >Solyc01g110780.1.1.1 pep chromosome:SL3.0:1:97259001:97259261:-1 gene:Solyc01g110780.1 transcript:Solyc01g110780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSTVADVPKGHFAVYVGEKQKKRFVIPISFLSQPLFQDLLSQAEEEFGFDHPMGGVTIPCSEDVFVDLTSRLNRI >Solyc01g100890.3.1 pep chromosome:SL3.0:1:90661789:90665614:1 gene:Solyc01g100890.3 transcript:Solyc01g100890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNLFPFFLMVLVQLGSAGTAIISKIVMDDGMNPYVHLSYRQIIATISIAPFAYFFERVTGMQTTYLIGLKNSTATITTALANLVPAITFLLAVLSG >Solyc12g026370.2.1 pep chromosome:SL3.0:12:22752200:22753101:-1 gene:Solyc12g026370.2 transcript:Solyc12g026370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHAEPLPTMFAAKGPCGHPTPYVAQLCVKSMGNGIMLRQRLLIVSMVDDRIAMLYVTRPYVLEKVHDVIPRPIFSDHVFFSKADDGMPRPTSSHHVCYLSAKIERYSQLHPTACTAQWPCRHVMPDVLRSCLLSKGDDNMPCPMSSDYVCFPMEMMAFHAQYCLIICAFQGR >Solyc10g047340.2.1 pep chromosome:SL3.0:10:40522538:40526903:1 gene:Solyc10g047340.2 transcript:Solyc10g047340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKCLSFTASRDRFYRYFFSFSGLRSVTTDLEDGTTMHCWIPKVDEPTKPNLFLVHGFGANAMWQYSDLLRHFTPHFNVYLPDLLFFGASSTKRPERTESFQATCVKKLMEVHGVVRTSLVGISYGGFVGYSLAAQYPEAVERLVLCCAGVCLEEKDMKDGLFQVSDLDEAASILLPQTPEKLRELMRFSFFKPVKVMPSYFLSDFIDVMCNDYVNEKRELIQNLLRDRQLSNLPKISQSTLIIWGEQDRIFPLELGYRLQRHIEGNAELVVIRNAGHAVNLEKPKEFTKQLKAFLVDN >Solyc01g008790.3.1 pep chromosome:SL3.0:1:2760221:2768519:1 gene:Solyc01g008790.3 transcript:Solyc01g008790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPKSKSPATNTVAVGLLILVILLSNHHRNCTAAGSSSSSPIKTVVVLVMENRSFDHMLGWMKKMNPEINGVDGSESNPISTSDPNSRRIFFGDQSHYVDPDPGHSFQAIREQIFGSNQSSKKLAPMNGFVQQALSMDTNMPEQVMNGFQPQMVSVYKTLVSEFAVFDRWFASVPASTQPNRLYVHSGTSHGATSNIAELLAKGYPQRTIFENLDDAGVNFGIYYQNIPATLFYLNLRKLKYIGKFHPYDLTFKSDAKSGKLPGYVVVEQRYMDSKLIPANDDHPSHDVYQGQMFVKEVYETLRASPQWNQTLFIITYDEHGGFFDHVPTPVTGVPSPDGIIGPEPFNFEFDRLGVRVPTIMISPWIEKGTVVHGPNGSPFPTSEYEHSSIPATVKKIFDLPSPFLTKRDAWAGTFEHILQIRKEPRTDCPEKLPTPTKIRKGEANEDKNISEFQEELVQLAAVLKGDHLLTSYPEKIGKGMTVREGKAYMEDAVKRFFEAGLAAKKIGVDEEQIVQMRPSLTTRSSSTNLQP >Solyc03g112970.1.1.1 pep chromosome:SL3.0:3:64775225:64776058:1 gene:Solyc03g112970.1 transcript:Solyc03g112970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESDSTKYIESLLQNENSFSRTKRKLRLIICLVTLFSLIVAAIISASIILKQETESKSLLFNPTDAIRNVCYLISDDPYSCYDSIAALSDTLISSRDKINLSRIFILSLYASRIELENVASSLEKAIHMIDTKRVGVLRNCQGMVKLSLKQLNESEMSLGIDPDEKILAINKVVWDLRRWMGEAMDQVQRCTDLLEEIPLTVMVEIKEKSYAAQQNMMNSIEILHKKEDNFDLFYPRIGSALGSLFWEFEYGLNVWLLCFGYLLLIFLFCLLLRIY >Solyc09g010870.3.1 pep chromosome:SL3.0:9:4199994:4209774:-1 gene:Solyc09g010870.3 transcript:Solyc09g010870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRAMNSCVIFRSAATPPLAVSRRCCCVRQLTAFSRHRNRSNSHSFLRCVPYPLSHVTVRNYSVQNLVEMVMEELASIHKRGRVRATSESGYCGIFYRLESVSTGELLEDKLKKGTLQKGLLLEFKKDSERLLLAVALKPDGKKNWMVSDQNGITTSIKPQQVTFIVPGAENFEPTEISEFVQKAHDNLDPALLEFAWNELLEKNESVTVQELAEMIFGSAEPLETYCAHLLLSRDEVYFAVLESKGLSVYGPRTANQVDELLRRKLAKEASEKEFEELIQFLRSAKQMPHYDKPPRSSWKAEEKTWHKIESLEAFAIDSCKNDDQKKTAGMILKAMGQAKTSSAAVNLLIDIGYFPVHVNLDLLKLNLPTDHRDEIISAAESLLSTSIDLDEADRIDLTSLKVYAIDVDEADELDDALSATRLQDGRIKLWIHIADPTSLVQPGSIIDKDARRRGTSVFLPTATYPMFPERLAMEGMSLQQGKLCNAVSVSVVLRSDGSIAEYSVENSIIKPTYMLTYESATELLHLNLEEEIELKILSEAAALRLRWRREQGAIDTATIETRIKVTNPDHPEPSIKLYVENQADAAMRLVSEMMILCGEVIATFGSHNNIPLPYRGQPQSNIDASAFAHLPEGPVRSAAIVRTMRAAEMDFRNPIRHGVLGLPGYVQFTSPIRRYMDLAAHYQVKAFLCGEPLPLSAGELEGIASSVNMTTRVVRRLSSSSLRYWILEYLRRQPKGKRYRALVLRFIKDRIAAILLTEIGIQASSWVSIGVQIGDEVDVQVEEAHPRDDILSLKEVEAV >Solyc02g021240.3.1 pep chromosome:SL3.0:2:22784787:22799274:1 gene:Solyc02g021240.3 transcript:Solyc02g021240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQTDEIPLLEDGGLLEDENSGLYTRDGSVDIKGNPVLKSETGNWRACPFILGNECCERLAYYGIAANLVTYLTKKLHEGNVSAARNVTTWQGTCYITPLIGAVLADAYWGRYWTIATFSTIYFIGMCTLTLSASVPAFKPPQCVGSVCPSASPAQYAIFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSLIVWIQENAGWGLGFGIPAVFMGIAIASFFFGTPLYRFQKPGGSPLTRMCQVLVAVFHKWNLSVPDDSTLLYETPDKSSAIEGSRKLLHTDELRCLDKAAVVSDNELTTGDYSNAWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMVMDTAVGSFKIPAASLSTFDTISVIVWVPVYDKILVPIARRFTGIERGFSELQRMGIGLFLSMLCMSAAAIVEIRRLQLARDLGLVDEAVSVPLSIFWQIPQYFILGAAEIFTFIGQLEFFYDQSPDAMRSLCSALSLLTTALGNYLSSFILTVVTSITTRGGKPGWIPNNLNGGHLDYFFWLLAALSFFNLVIYVFCAKMYKSKKAS >Solyc11g013375.1.1 pep chromosome:SL3.0:11:6355922:6357417:1 gene:Solyc11g013375.1 transcript:Solyc11g013375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNRIYLNQGFKSYDNTRWTSNNVAWYDWVERSRKMRRVTLSEKVLEWICFILREASSDQKNQVRRWKLKDQVAEFFGTRKHNSHGRYMSILSLNGEDRTVIIVPESDINAGWRSVAFKIQSFIKCSPQKEKTQSRTHDSKMTYAKAVKHSKWQSNSPDMVTTKGKETGTICEAKINDQGKVENPTLSEVRRWSSTVWKKAFGINIYEMLDNCYLFEFPNKYMAEQVLQGEWMWNRSILKLEWWNPTAGCVPISYKPKSTWIRDIGIPKHLWTEETFHEIGELCGWWLATEKETKLRNHLKWARIETQGDDRSMPTEVTITEKESTSSSRYGKTRFELSPERDGTVAGEDEGAQRKIQQIIEPSTSISENPEHDGDGTGEKHMGTAGEFFKLTEKARNGHVHNLKRRMGLKPNGLDHANIIANNFNQQPKDLFQEVIVDETTVTYSSNHHMQRIQEIQEAAPFTIEEELEIEGEKKLRNCA >Solyc11g072430.2.1 pep chromosome:SL3.0:11:55878693:55907364:-1 gene:Solyc11g072430.2 transcript:Solyc11g072430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVHSFTAKLHLPHNLSSSSSSYFPSRIFFRFQSRSLTHSTSLFSSLMPNNQRKGGYKEKKWQVRSSNRVPGSSSNVEPASPATTGAIADRLSSLNITESGAQSSVPVASLQFGSVGLAPQSPVQHQKVIWKPKSYGTVSGAPKIEAVKTPNEQKSALLSKLFKGSLLENFTVDNSTFSKAQIRATFYPKFENEKSDQEIRTRMIEMVSKGLATVEVSLKHSGSLFMYAGHKGGAYAKNSFGNIYTAVGVFVLGRMFRETWGTQASKKQAEFNEFLERNRMCISMELVTAVLGDHGQRPRDDYAVVTAVTELGSGKPNFYSTPDVIAFCREWRLPTNHIWLFSTRYAFNVKEISYFLLCCV >Solyc10g047230.1.1 pep chromosome:SL3.0:10:40158034:40158296:-1 gene:Solyc10g047230.1 transcript:Solyc10g047230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKALRLNGVYTNHHVTRKTIMYALSVLSALLS >Solyc06g048810.3.1 pep chromosome:SL3.0:6:31834910:31837505:1 gene:Solyc06g048810.3 transcript:Solyc06g048810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPQRLYHFVLLMRWRPEDISRLRKVYCKNGTYKPHEWTHMMVVVALLNLNCFAQYALCGLNLGYKRSVRPAIGVGICISVAIGAPAIAGIYCMLSPLGKDYDTELDEEAQLRTTAESSSASQLRRKALEKRFSFASDEGRLVETRPQWSGGILDFWDDISLAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCMAPFWIFNLAAVNIDSDTVRGILGVTGIFLCLFGLLYGGFWRIQMRKRYNLPPYNTCCGKPSVADCALWLFCCWCSLAQEVRTGNSYDIVEDKFCRKLDESMSPLPREDGLYNTSGPPLANNSSSLPIIKSRTPNPSRFADEVHNHDRQQPFVEDESHTRGQNAITVPPTPSVIQREDA >Solyc01g108810.3.1 pep chromosome:SL3.0:1:95903605:95906602:-1 gene:Solyc01g108810.3 transcript:Solyc01g108810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylketone synthase Ib [Source:UniProtKB/TrEMBL;Acc:E0YCS5] MEHANAIVLEPKAKKHFVLVHSACHGAWCWYKIVSLMTSSGHNVTALDLGASGINPKQALEIPHFSDYLSPLMEFMTSLPADEKVVVVGHSLGGLAISKAMETFPEKISVAVFLSGLMPGPSINASNVYTEALNAIIPQLDNRVTYDNGPTNPPTTLILGPKFLAASVYHLSSIKDLALATTLVRPFYLYRVEDVTKEIVLSRERYGSVRRVFIVTAENKSLKKDFQQLLIEKNPPDEVEEIDGSDHMPMMSKPQQLFTILLGIANKYT >Solyc01g015020.3.1 pep chromosome:SL3.0:1:16536416:16540986:1 gene:Solyc01g015020.3 transcript:Solyc01g015020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEQVKMMNQQQRMSMGQNQMISMSQPQILNPQLQQQPQQQQPQMMNRSYRMWPQPPMPPPSMVDQLKFQNPNNHNLKQFVPGKHPKPLGPRNNWKGKKVNKKDKRMDGVRRNEIGTSGSGSSIAGGIVGNQGGYKPPTLNDLQQQNRLKARRFFPKKKFYHNNNNNNNNNRTAPYAPRNTSSYIIRAKKSGGIASLVSPCPVTPAVLPTPMFSPSREVLIDMAKEEWGVDGYGSMNGLIRLRSFEMIYPNYSGTEYNNVLGNRVDDQDTHIAQLEEENLILKDRLFLMERELGDLRRRLLSLERQGRGYDEMNEEVVENETESETESHGDGHSLEDNNAELIERPMEGVGNVKGNEENRIEDDTEFAENEERVGKEREQVDGDDVTVEKESNEVGKEDEVDGGDGMFGLLKKEIIDNPESKSEFKDDGAVKTGDEVEFSQLNKNNENEVEASDNAIDEDVTMEEASRVDVDEDSQTKNEDELTGDK >Solyc02g062430.3.1 pep chromosome:SL3.0:2:34571090:34581960:1 gene:Solyc02g062430.3 transcript:Solyc02g062430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDWKSTYRLLTYCKHFFHRRLNPNFHNSKPFCTSGSRYHCSQPSQLVKPYICNYQQEFQTFKHLPVSLGTNPWNRCRSQYRCFASQASIIQRRPDFSTISSEDLSYFKNILGERGVVQDEETLDAVNTDWMRKYKGTSKLMLQPRTAEEVSQILKYCNSRCLAVVPQGGNTGLVGGSVPAFDEVIVSISHMNKFISFDKVSGILVCEAGCILENLIAFLDNQGFVMPLDLGAKGSCQIGGNVSTNAGGLRLLRYGSLHGSVLGLEVVLANGTVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNVCFLACKDYASCLKLLLEAKRKLGEILSAFEFLDHGAMDLVLKHLEGVRNPFPSSMHNFYVLIETTGSSESYDREKLDAFLLHSMENDLISDGVVAQDITQASSCWHIREGIPEALMKAGGVYKYDLSIPVEKMYDLVEEMGVRLGASAKVVAYGHLGDGNLHLNISTPQYDDNILSQIEPFVYEWTSKHKGSISAEHGLGLMKANKIHYTKSPETVQLMANIKKLLDPNGILNPYKVLPPSLFTESDLKEFTAKGRVEQTGSTLYIVLQ >Solyc06g074150.3.1 pep chromosome:SL3.0:6:46001498:46003040:1 gene:Solyc06g074150.3 transcript:Solyc06g074150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHFSVHSSCSNTLFYPIIFFILFNAASTTNQISNYIDHIEISNSRKLLSYNGDVLAINPSFSIENFRLKNAYIALQAWKEVILSDPHNITQNWVGSNVCSYTGVFCSPSLDQPSELTVSGIDINHGDIAGKLPHELGLLFDIGLIHINSNRFCGTIPESFLNLKLLFELDISNNRFVGKFPDVVVQMPNLKFLDLRFNEFEGALPKQLFERDLDALFINNNRFSFELPDNFGNSPVSVMVLANNNFVGCVPVSIGKMVRLNEFLPDSIGEMVSLEQLNLGHNMFSGMVSSNICTLPNLENFVYEHNYFSEESPACLNLNSFADQQNCLRDRPMQRPALDCQRFLSNEVDCTTFKCALPSSSPLPTTPPENNCCICLPPPSPSPLPSIELPSSPLPLPAPSGSPSPPSISPSPSPSSPQPPDLPSPSPSPSFVEPQLPSPLPCENQRTPSSQDMSSPSP >Solyc12g062670.2.1 pep chromosome:SL3.0:12:34002329:34002833:1 gene:Solyc12g062670.2 transcript:Solyc12g062670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DGEIITEELGLFEISPKTNPRSFPYSVKQQCWDKAEKVKGRDLDRWRRDPLGNTIFRKLVGCPGCLCHDYDHIIPYSKYSRFNPSKMRGNSTDNLMICTNTGHSYWLIMQHMH >Solyc10g085985.1.1 pep chromosome:SL3.0:10:65093664:65098879:-1 gene:Solyc10g085985.1 transcript:Solyc10g085985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLEKQYRSEKWGAGGLHMSNYLKWVVESEDELIESEPIWGMKKAKRLGKSCGTLEGIE >Solyc11g018535.1.1 pep chromosome:SL3.0:11:8649125:8650450:-1 gene:Solyc11g018535.1 transcript:Solyc11g018535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQQQNQVIGGSKRIVALNESPLPSNNDHHIEHQKHGWKNFFAYVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVSTELCRAEYPVFVKYCLWLLAEVAVIAADIPEGKILSNYSIKTSILPFN >Solyc02g085245.1.1 pep chromosome:SL3.0:2:48847658:48849141:1 gene:Solyc02g085245.1 transcript:Solyc02g085245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILFQFGMLTDFSICNHSWIHPSLSYQIFFIGSFTASCKSQAECVQVLYSYKKPALITQEKLHDFLKSSMTTCAALRPGAPITPPPGCVPLPHKYRPSIGVR >Solyc01g056400.1.1 pep chromosome:SL3.0:1:54081770:54082196:1 gene:Solyc01g056400.1 transcript:Solyc01g056400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHASFPFLKEASYLASIYPQIYLDFGLRIPKPNFHGLMSSVKEILDLAPINEVMINSSGITFAE >Solyc02g038670.2.1 pep chromosome:SL3.0:2:31750956:31758755:1 gene:Solyc02g038670.2 transcript:Solyc02g038670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIPIVAVSREKEEVSTDLKSTIEDVSTRLQDLKSTIEAVSTDQKDLKSTAGEVSTHMEDLISVIEEVSTHQKDLKSTIEQVSTDQKDLKSSAEDVSAHLKDLKSAIEQVSAHLKELNSPLKMTTPTEEVSREKEGVCTHPKSTIEEVSREKGEASSDLKSAIEEVSTRLKDLKSTIEQFSTDQKDLKSTAEEVSTHIEDLKSAIEEVSTHQNDLKSAIQEVFIHQKDLKSTTEEVSAHLKDLKSAIEEVSTHLKESNPPLKMSTPTEEVSREKEEVSTDLNSSIKEVSTHLKKSNEDWISIMSKLVECLDEFCDHHFPSTTEQKISDIKLQIEKKELSHERAMFEYIKGRIFNAIPDVYKEEAEYHLVNATQLNPLLLEAWDCLGCCFSKKGEYQRAKKCYKFVLHMAAENSIILRRIAYLELKFAQGWYYNTLLLALEALEEAKKIDAMKSNPYFDYDYSRVNRFVENYKGSLIGFSDAALKNPASDASHQVKLTLELLDKLERLLKGKGKSTETSLPDRIQSLANIDLNPSYKRATMDLLTEGLNEQIEVVAVVRCLVEYGYIGPLYYMLCDSDEKSFVLTMFGIQKEAIKQGDQVTLLEPICKFIDFEWEGKHYQFKSVRVNFLKQVLVNGNALSPNFAMPESM >Solyc01g057760.3.1 pep chromosome:SL3.0:1:63610762:63619277:1 gene:Solyc01g057760.3 transcript:Solyc01g057760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPEAATASLGPRYAPDDPNLPQPWKGLIDGSTGLLYFWNPETNVTQYERPSALPPPLPPGPPPEASAPKLAPIPGASTVQQYDSQGQQNQQAFAQQGQMTHMSQHPQVAQQVPHGSQGVSAGQQQGSPAGPAMQQVSFMPQLRSQMIQQPVHQMPSQMGQTPNQPGPHVSQPAAQQMMPQQLGSQAQAFPSVQMGQPHGYQFSHQQAQHVAYPHNLPPQGQLIPQQQNQHVPQNQQFSHQQEHKVGFQQREDVDFPQGKQVRFSPQQVQQTGASSAQNPQVGTGSVIRPQMSAQPSQALQFGGSSVNVQQPSSLGQWQQNTNDSGQRPPGPRFPGQMGSSTAHGHELDIPPVGSKGYEENTPGRGGNDYYYNSNMDSRIRPPPQQPKLAAIPVARNQHEMRMGDPPLQNPVPTLPSGFNSMGGPPMQNIYGQAAGGPPFSNSSLMRPPGALTGPPGSMHPSSVEVYLQKHEVTATGGDVPAPFMTFEDTGFPPEILREIQFAGFTSPTPIQAQTWPIALQNRDIVAIAKTGSGKTLGYLIPAFVHLKRRRNNPQNGPTVVVLSPTRELATQIQDEALKFGRSARVSCTCLYGGAPKVHQLKELERGTDIVVATPGRLNDILEMKRIDFRQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPQRQTLMYTATWPKEVRKIAGDLLRNPVQVNIGNVDQLAANKSITQYIEVVPQMEKQRRLEQILRSQERGSKAIIFCSTKKLCDQLARSIGRNFGAAAIHGDKSQGERDWVLNQFRAGKTPILVATDVAARGLDIPDIRVVINYDFPTGIEDYVHRIGRTGRAGATGVSYTFLSDQDWKYAPDLVKVLEGANQQVPPDVREMALRAGGRDRGGMNRSDLVDGDGGRTRWDSGGRGGMRDGAFGGRGGMRDGGFGGRGGMRDGGFGGPGGMREGGFGGPGGMRDGGFGGPGGMRDGGFGGRGGRESNFGGRGGRDGHFGGRVGMRDNNFGGRGGRGGAQSAPVDGFSGAAPVDSKPNMEIAPESGMSPMSPGTRGNGLPGSEPYACARDLV >Solyc05g053640.1.1.1 pep chromosome:SL3.0:5:64551711:64551959:1 gene:Solyc05g053640.1 transcript:Solyc05g053640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSTLIPLIFLGLLLNYPWMISTTRSNEFSDQNTLSSSNKKSTIIVNHPNKISSDQQYTVDFHDVPSGPNSPIHYCGDDIC >Solyc01g107100.3.1.1 pep chromosome:SL3.0:1:94627063:94627719:1 gene:Solyc01g107100.3 transcript:Solyc01g107100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TSKLKTQKKIQSPTILMEGLIPFLLHAMKKHKPHQNTFRCLSDTSNRSYHMLIRAESMEGSSHRRTRSEFQPPVTTVDFLDLPQNRTFNNRASSLFSNQNDAATLQRTTNAVDNLRHRK >Solyc05g006440.3.1 pep chromosome:SL3.0:5:1069430:1072248:-1 gene:Solyc05g006440.3 transcript:Solyc05g006440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGSLLCKCRVSSLMSISSFPLMQPITRPEITYSQRHVRVGTSSVLRNVSHNMSMKKVPRMITRASSEPSGSSDGALGQNKACFAIAFSIMNYANDSVFSYSGIISLEKFHSVILIRSMSSFVLGHLNDLMLMQTPFGYTRKDVILIGVGVTLLGVGLKSGLEFLGFDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYESKVMEKRLESLTEAELQVLLEQVEEEKTRLTSGEEVK >Solyc11g067255.1.1 pep chromosome:SL3.0:11:53290587:53292597:1 gene:Solyc11g067255.1 transcript:Solyc11g067255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSGKKITVATARPHTRKSKNNICSSREQVESSQVRRFAAQQRVRVKRGKDVSKALDSRHIQGSYLLEHIEIKNLTEDP >Solyc08g083070.3.1 pep chromosome:SL3.0:8:65761675:65777895:-1 gene:Solyc08g083070.3 transcript:Solyc08g083070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI002 [Source:UniProtKB/TrEMBL;Acc:G8Z246] MSSIVDQTELQKEVEAPATVTDPGDTAILSGEAPADGAGDPKHEESKEFATQSGDVQSNDADASKPDDKLTKSNEASDADPKANEGDTSSESGDVQLNNAEASELIRSNNSDDSDPKVDEPKGDTVESNETGSNSKQLKKDEGSRTFTMRELLDELKNGDANEDSEAERRESDTPHSQQSSQQHTESNAALDLINSVTGADEEGRSRQRILTYAARRYATALERNQEDYDALYNWALVLQESADNVSPDSTSPSKDSLLEQACKKYEEATRLCPTLNDAYYNWAIAISDRAKIRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKLTIVKTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDMSRTGGAVTAKGISPNELYSQSAIYIAAAHALKPNYSVYTSALKLVRSMLPLPYLKVGYLTSPPAGNPLAPHSDWKRSQFVLNQEGLQQISKVDQRHMSSSLSSNSADMSPSRQAIKVDVPDIISVSACADLTLPPGAGLRIDTIHGPVYMIADSWESLDWWLDAIRLVYTIGARGKSDVLAGIITS >Solyc11g017335.1.1.1 pep chromosome:SL3.0:11:8202465:8203313:-1 gene:Solyc11g017335.1 transcript:Solyc11g017335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIKGRRRCRSLPPDILSNLPDNIIDVILICLPCKEAVRTSILSKKWRYHWRRLTELTIDQSHWSTEEDLLDPTVKFSKIVYQLLTLHKGPITKFTLDITGLRSCPEIDFFIYFLSMNGIQHLVFHLPWNEDEAYKLSSLFTCSQLRHLTLENCFIRPPSSFQGFDKLITLELCNVTISFELLGSLISHCSLLEKLVLEFSEVPISDIIEINASKLKSFDFSGCISFISLTNVPLLTKVSLNLYEGSSLEAQNLYFVKFFESCFALEHLHVHFCFELVDVAL >Solyc07g049325.1.1 pep chromosome:SL3.0:7:59710263:59710770:-1 gene:Solyc07g049325.1 transcript:Solyc07g049325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRRVWSGKGEDQEEAKELIEIFKTLEGELGDKTYFGGDEKLGFVDVALVPFTKAECPKLVAWAKRCMEIENVSNSLTHPHKIYGYVLELKHKVGLVV >Solyc04g080150.2.1 pep chromosome:SL3.0:4:64502434:64503645:-1 gene:Solyc04g080150.2 transcript:Solyc04g080150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAETGDRLTAATKVLEQLSGQSPVFSKARYTLRSFRTRRNEKIACYVIVRRKKAMQLLLK >Solyc10g018200.2.1 pep chromosome:SL3.0:10:7215030:7217656:-1 gene:Solyc10g018200.2 transcript:Solyc10g018200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPETASKAYLETIQTCGISTKSSVAEFLSAMAAGYNARLTVEAWKNDSNINGESIATSIGLAIATRHNRGRYICVVPDEKSRLEYVGAMKNSGVAVPEVMVGVAKEVMERLNGVDFLVVDERRSDFISIFNSAKLSNNGAILVCKNGSKIRNIDSNKFSWDSVLDGKVCVVRSVTLPFGSGLEIAYIASKDGSQKVRKYAKRWIRHIDRNSGEEHVIRR >Solyc04g077020.3.1 pep chromosome:SL3.0:4:62076365:62079179:1 gene:Solyc04g077020.3 transcript:Solyc04g077020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:K4BUD9] MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRRSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMVKCDPRHGKYMACCLMFRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAELEEGEDDDHEEY >Solyc11g011190.1.1.1 pep chromosome:SL3.0:11:4252289:4253335:-1 gene:Solyc11g011190.1 transcript:Solyc11g011190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFTFSLCFILFLFVLVHPSSSSSSFSPYLYPSTFSMNYDKMLTSFKVFIYPTTQRIIFFSPSASNFYESLINSAFITQEPEEADLFFVVFSPEISSRSQARLVRELRTKYPYWNRTLGADHFFISPEGIDFSSDRNALELKKNSVQISVFPTVSGKFIPHKDISLSPVSKSSLVLSHAPVNMDRSCLGYLKWDGKTEAELVEELRLDSEFVVESEPLDQLGRVKSSKFCLFFYEAESTLDLTEAMAAGCVPVVIVDRPVQDFPLMDVLRWSEMALLIGNRRGGQGLKAVLSGVPEDRYQRMRGLCVAAAHHMVWNAEPQAYDAFHMVMYQLWMRRHTIRYTRREEF >Solyc01g112170.3.1 pep chromosome:SL3.0:1:98149922:98154497:-1 gene:Solyc01g112170.3 transcript:Solyc01g112170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSAILREWFERVDTDKIGSITAVQLKSAFAIGNLQFPITVVQQMIRMCDFDQNGTMSFQEFVELNKFLLKVQHVFSDLERGRGFLVPDDVYEALIKIGFRLDSPALYTVCESFDRNKNGKFRLDDFISLCIFVQSSRNLFDSFDTTKQGRVTLDINQFIYCTANCRI >Solyc06g066490.1.1.1 pep chromosome:SL3.0:6:41855260:41855544:1 gene:Solyc06g066490.1 transcript:Solyc06g066490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKRINKHNHNAVPDDVSMMNAAVLKALEMLQSETASPALPGFGRTPTVRQLRITDSPFPLTSDADNISHIDEKADEFISRFYRDLRREASAFA >Solyc08g080370.3.1 pep chromosome:SL3.0:8:63794773:63799024:1 gene:Solyc08g080370.3 transcript:Solyc08g080370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSTFFLTNSFSSVSGSTHLRRLFNNVRYGSGGGGGGVRIAASLNVEVQAPDLSKEVMDESNKVFVGTYARAPLVLSSGKGCKLYDIEGREYLDLTSGIAVNALGHGDSDWIRAVTEQANVLTHVSNLYYTLPQLELAKRLVANSFADRVFLSNSGTEANEAAIKFARKFQRFSHPDEKQPPVEFIAFSNCFHGRTMGAVALTSKEYYRSPFEPIMPGVTFLEYGNVQAAKELIQSGKIAAVFVEPIQGEGGIYSATQEFLQALRTACDSAGSLLVFDEVQCGLGRTGHLWAHEAYGIYPDIMTLAKPLAGGLPIGAVLVTERVAAAINYGDHGSTFAGGPLVCNAAVAVLDKISGPGFLASVAKKGQDFKELLVKKLGGNSHVRDVRGVGLIIGIDLDVPASPLVEACQQSGLLVLTAGKGNVVRLVPPLTITEQELDHAAEILFNCLPVLDKTANN >Solyc02g066940.3.1 pep chromosome:SL3.0:2:37752080:37762236:-1 gene:Solyc02g066940.3 transcript:Solyc02g066940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEDNCPRVSSSETVDSNSSSTKQRKRRKWDQPAETFVPEGVAVSGIFPLANTGSLAGITLPGVIPVLGAAFTNPLTTIGATTVQQLPVIIAQKSVQPKIQDELIAREIVINDADPSVRYRLTKRQTQEEIQKSTGAVVITRGKYKPPSAPSDGEKPLYLHISAGAHLETTLERIRAVDRAAAVVEEMLKQGPVNNGLKVNHLLSTCVYLGFEADPSANITVRIRGPNDQYINHIMNETGATVLLRGRGSGYSDEGQGEDVHQPLHLLISSNNSASLERAKLLAENLLDTICAECGASRVSSCKVYGAVPPPLQPLASVQVSGSESEVNNTPTANVAAQILSSSTAAAVPVTAAAGGTGVVSQGTVPQSLGSLDPVPSQPPTSCYPHQLVTSRTSYIGYDGIYPQATALQQVALALRQSTSPVTSTVPPATTGPSITSQTSTGSEKDKRPAQKRKFQELPAGGKGQATVNQNPLQATELLMLQERISEKGDTDKIGIPTPRKLVQPLSSSMLPPPPPRMMPPPPPPPKFQSSSQKVHDNNMVNKAPSKIVPDTLVQLMEYGDDDDDDDNDEAIDGPLKSSSSAVATPKPFWAV >Solyc07g065595.1.1.1 pep chromosome:SL3.0:7:67418926:67422968:-1 gene:Solyc07g065595.1 transcript:Solyc07g065595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LPEFGRKSNYS >Solyc06g054320.1.1.1 pep chromosome:SL3.0:6:37253024:37253578:1 gene:Solyc06g054320.1 transcript:Solyc06g054320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4C6B8] MAYFHVYILSIIFSLILLSNGVFHEEISDIQAMNLRSQKTSHLHFYFHDIQGGKHPSAIKIVSPGGGGIYGFGKTFMCDDALTIGPNASSEVIGRAQGIYAMASQSEPVLLMTMIFEFTQGKYNGSSISILGRNPFMRDVREMPIVGGTGLFRFARGYALAHTFWFDVNTGDAIVEYNVFVQHY >Solyc12g038340.2.1 pep chromosome:SL3.0:12:50617787:50624486:1 gene:Solyc12g038340.2 transcript:Solyc12g038340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTRRPSRLIDPKVRRVGFFAPDPDNHPRLASSPSGYSSSPAMISHAFDSVSQAVPVPNSTLSRPRRTSFDESEQFVVGSYNPMQSVLGTSPASSGIGVDGEFSEDSSQWVRLTDGFGLTSPAKSMTELKDDQDTSSMERVSALVAETPTEVERNDKSLKEKTTKAERRALQETQRAAKASGKAGGKSVVKLHGQSGKPAKQPPQKKDGGPMMSSAALSETKGGDGPPEKDRKKDVPAPRMQFDDKNRVEKAKKRALVKKIEARNRVELFRHLPQYEQGTQLPDLESRFFHLDTMHPAVYKVGLQYLAGDVVGANARCVAMLQAFQQAIKDYSTPREKALGRDLTARISGYVSFLNECRPLSISMGNAIRFLKARIAKLSLTLSESEAKAALYSDIERFMNEKIALAGRVITRQAVMKIRDGDVLLTYGSSSVVEMILLHAYELGKQFRVIVVDSRPKFEGRALLRRLVRKGLSCTYTHLNAVSYIMHEVSRVLMGAESVLSNGTVYSIIGTACVAMVAHAFRVPLLICCEAYKFHERVQLDSVSSNELGNPDVISKIPGRLDVNYLDNWRSNDNIQLLNLMYDATPSDYISMIITDYGMIPTTSVPVIVHEYGSEHLLI >Solyc08g078830.1.1.1 pep chromosome:SL3.0:8:62660692:62660982:-1 gene:Solyc08g078830.1 transcript:Solyc08g078830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLAFVIYYFCFASFFFPKIKLYNFCHLANLVLSRAFLKGFSDVGFIRCSVQPSSLRGLLSVIGVTHPLGVRVLAKVFSTIVLSESHALIPFVMS >Solyc10g055540.2.1 pep chromosome:SL3.0:10:56847853:56856774:1 gene:Solyc10g055540.2 transcript:Solyc10g055540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVKFHIQRYFKNSNEMKQASLEYGFFCSGNGYFSEPYVIDAMMYEDSLSWWANHGVSAPLLQQLAYKLLTQPASSSCCERNWSTFSLIHNIKRNKLATSRAEDLVFVHYNLRLLSRKKEKYINGPSKYWDVGGDRFDIDETTNDLTELSIDDPQIEGLIFEEEFEDLEDVEEDVEEIANLTK >Solyc07g056390.3.1 pep chromosome:SL3.0:7:64379473:64385002:-1 gene:Solyc07g056390.3 transcript:Solyc07g056390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEENEVNELKKTENEKRRSGWRLGRSAIAAILVLMIAFGVTFLYTEEGKSCPCFQDSRKYTGIVEDCCCDYETVDAINGAVLHPLLQGLVTTPFFRYFKVKLWCDCPFWPDDGMCKLRDCSVCECPETEFPESFRRPPLGLAADDLKCQEGKPEAAVDRTLDSKVFRGWIEVDNPWTVDDETDNGEMTYVNLLLNPERYTGYTGPSARRIWDAIYSENCPKYASGEICQEKKVLYKLISGLHSSISIHIAADYLLDETKNQWGTNPDLMYDRVLQYPERVRNLYFTFLFVLRAVTKAKDYLEQAEYDTGNPEEDLKAQSLIRQLLYNPKLQAACPVPFDEAKLWKGQSGPELKQQIQKQFRNIRFQLNCSQFNFVNSEKKGLKDVMLLIHAGTPFGLYFELTASVHLYFSLCIQMYANPIFFKHIETYDSNLHMLLLCALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGEYRQDQHLQLQRNEVIALVNLLNRLSESIKLVQEMSPTYEKTMKGLSLQPAAKFISSWNRLLKTVLGDRLRKLT >Solyc10g080440.2.1 pep chromosome:SL3.0:10:61839524:61849647:1 gene:Solyc10g080440.2 transcript:Solyc10g080440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPESDEQPPPSTPSTASTSPVYIHPRREPFEHGLLPIPKLIFTDGAQTLTQIRDKLLSLSSGSTHRVNFEAIAEALQISHDHARLVIETIASVLHSDSDPSVTAKPSEIGSVGVNVFDLMMFLYIQSYKRLLPKGHKDSAAVADVWPSTSAFDGFLSALSPLQLVRSNSRRSMPSQADEEAHQLSYLQKHLGNILSLLAESGEGDGDESLVLSMEKLEHLGFLIYFGEKGSERIPLSQNAPFFANSDPEMPAVPVPAAQVHDWLIENIASALTRITERASAKENGPTSASDQDVPMADVSASSVKSSPGPRGPSSIEGISKSSYVRLPNDIKGSSVKVINCHESVIYILAPLKYATVYGCSDATIVLGAVGKAVRIEHCERVQVIAAAKRICIANCRECVFFLGVNQTPLLVGDNHKLQVAPYNTFYSLLEEHLKQVGIDPTINRWDAPVALGVIDPHDSLSHPAGVSEVQTESASPVDPDQFTNFLIPNWFEGQQSGSTKDNPFPLPEAYMASQQRNLKNLEETKSSLKDIDLDESRKREVAAALHVCFKDWLYASGNIRQLYCLQGE >Solyc12g010410.2.1 pep chromosome:SL3.0:12:3455764:3459648:1 gene:Solyc12g010410.2 transcript:Solyc12g010410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFHDHFSQELGLQQQQQQHNVDDDVSDQSMNFSVKLSQQYRDEVNNNEHNNNNNNSNVWDQSEKYKADILNHHLSEQLLSAHVACLRIATPVDQLPRIDEQLTESQNLVAKYYVVGQSQRSLDDKELDQFMANYVLLLSSFKEQLQQHVRVHAMEAVMACWDLDQSLQTLTGVASGEGTGATMSDDDEDNQVESETYCHYNGILDGQDSNGFGPLVPTENERFLMERVRQELKQEFKQDYKGKIVDIREEILRKRRAGKLPGDTTSALKAWWKSHSKWPYPTEEDKAKLVKDTGLQLKQVNNWFINQRKRNWHSTPSSSSAQKSKRKSAGEKSRNDHFT >Solyc05g050255.1.1 pep chromosome:SL3.0:5:61186510:61188146:1 gene:Solyc05g050255.1 transcript:Solyc05g050255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIVLDDAIQVSIPVLNVKADDHVCMNDHTDSTTRHFVDHILEEPNMIPSSVVQEPTMSSTIGKRQSTRTSRPPLWQKDFVTSAKSISKPHCLYSLGDNSLSDSLLSDIGAYQRLFRRLIYLTITRFDFSCVVQSLSQFMNAPKRSHMDAVVSVVRYIKQNRGSGIYLAAQSSDSLQAYCDADWGSCLDTRKSITSQKSNPLSPGVLQKQNIEAWLQLLLKLHG >Solyc01g090630.3.1 pep chromosome:SL3.0:1:84158429:84161142:1 gene:Solyc01g090630.3 transcript:Solyc01g090630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKNTLIMSDSKIPIIDLFKLDEYSNSWVPLCNNVRHALEEYGYFIALYDDDKKNKISTEIFDVMEELFDLPIETKKKNTSDFYFYQWNGLLKKAPLHESFGIPHPTDVEALQSFTTLMWPQGNRRFCETVTSYVKVAAEVEQLVDKMVFESYGVAERHYESHVAATTYLLRPIKYRPPLQGAEDGSGNIGCNAHTDKSFSTLLFQNQINALQVETKSGEWIDVDVPPSAFVFLAGDAYEAWSNGRIYAARHQVLMKEDKERYTLALFTFNKGITEIPEELVDETHPIQYKPFDNFGLAWYYLSGASSMAHGTAKPYCGINAT >Solyc03g110860.3.1 pep chromosome:SL3.0:3:63078932:63083869:1 gene:Solyc03g110860.3 transcript:Solyc03g110860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSPQQSAANAAAAAAAAQSAGYPTQPPYHHLLQQQQQQLQVFWTYQRKEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKEEGAGLGPGIVGSTASGVPYYYPPMGQPAPPGVMMGRPAMPGVDPSMYVQPPPPPSQAWQSVWQAAEDNSYAGGGSNGQGNLDGQS >Solyc11g027640.1.1.1 pep chromosome:SL3.0:11:18749208:18749432:1 gene:Solyc11g027640.1 transcript:Solyc11g027640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSIGQVFDRRITPSSTLSGRLVNFTCHVTSDTYISTFSYFFPFQGCKQHANLSFEKLEMIGFDFGGGGVWGG >Solyc04g077830.2.1 pep chromosome:SL3.0:4:62797162:62800047:-1 gene:Solyc04g077830.2 transcript:Solyc04g077830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCACSGEQFKFDEPQPESPESLATRDFSASGISSRNGTVDWDSKLDDAQVDEVESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDIRTLSSRMSKAISERTRPLKPRSKGDILPAGVMSLHSVSLLLEAILLKAKSLEELSRIKDAANECKMILDVVDSALPNGIPDGISEDCKLLEMFHKALELLPKLWIQAGYLDEAVLAYRRALIKPWNLDSQRLACIQKDLATNLLFGGVEVEVPAQFQVWGSTAPKSNLEEAILLLFVLMSKMLNGQIIWDSEVMSHLTFALTISNNFESVADHIEQVIPGVYTRAERWYLLALCYSAAGQNDTALNLVKKISGRSEANQEPYVPSLLLGAKLCSQDLQQAHEGINFAREVINLAKNQDDHFLVQAHNLLGVCYGNAARISISDFERNFCQREALAALNSASVCKEDPEFMFSLGLENAIQRNLTPAFNNVMRYSEMSAGSTAKAWKLLALVVSAEQRFKDAEAIVDLALDETGQIDQMEHLRLKAVLQISQQQPKQAIETYRILLALIQAQKVSATNDEVTSQRRLEVEAWLDLAGLYTDLESWRDAEICINRAKTIQFFCPRNWHATGALFQAKERYKEALVAFSVSLSIEPDYIPSIVSTAKVLMKMSNDVVPIARSFLMNALRLDPTNHEAWFNLGMLAKMEGSVHQAADFFQAAHELKLSAPVQSFI >Solyc12g011290.2.1 pep chromosome:SL3.0:12:4145404:4151336:1 gene:Solyc12g011290.2 transcript:Solyc12g011290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVGDWHDPLLITDASSQQQQQISLSFNKYYPFSLSCDLGNTVMDDSDCCTPIKIEEGCNAGNFKDPVAESVINGRDLLGFSLTSPDLVICTGSPDIPARNYGDSPEFLKGCSISLENGIKGSEEVQAATKLFTDWQGSKDDDLCAPADFELPSPPVEENSSELSVPIVSINVGSTDCISSESGIQFSEDKYFCGGNVLSTDTRIEESICASVYQTARVGNFSYHFNNLSAGFYLVDLHFVEVVLTDGSTGDRVFDVYIQQHKAISSLDILAHVGANKPLLISDLEAHVDGEEGISIRFEGVIGMPIVCGISIRKHSSRCTGECELFEFSENSPQRNSLEVNGDIKAAGKLQLANVSREKELEEVKRQVEELKRENELKCKECAEACRSLKELQNELMRKSMHVGSLAFAIEGQVKEKSKWFSSLRDLTRNLKILKMDQIKVSEEASTYKQQFLADFADMSSTIQSKLKEQVELHEDLKIKFIKGAKEQRELYNKVLDLKGNIRVFCRCRPLNTEETAAGASMTIDFEAAKDGELTVKSNGTSKKTFKFDAIFSPQANQAEVFEDTAPLATSVLDGYNACIFAYGQTGTGKTFTMEGTEESRGVNYRTLEELFRIIEERKNAVRYEISVSVLEVYNEQIRDLLVSGSQQGVKRLEIKQDGEGMHHVPGLVEAHVNNMNEVWEALRTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTRSKLWLIDLAGSERIAKTEVQGERLKETQNINRSLSALGDVISSLATKSAHIPFRNSKLTHLLQDSLGGDSKTLMFVQISPNENDLSETLCSLNFASRVRGIELGPAKKQVDSVELLKYKQMVEKGKQDMKNKDVQMKKMEDTVHGLDIKLKEKDMKNKSLQDKIKELEAQLLVERKLARQHVDSKIAEQFQQQHIRQQNEEEDAAPPTRLPLASKISALKTYDENKFPLNITRPLTENNSYKLSVPSANVDCALKHYDLTEKENKENNPDIDEQPVVLKRSGRASMCPIAHRILPTPAPRRNSLIPIRTLSAVPKLPPPLFPLRSIQSEGMEDADGADSKCLPEPTPQDSPKELKTASKKLNSVLRRSLQKKMQFKSPMQQNIRRVGVNVGMEKVRISIGSRGRMAQRVMLGNARRVPKENQQKQRWNIGTANKAVL >Solyc10g009440.3.1.1 pep chromosome:SL3.0:10:3523624:3526227:-1 gene:Solyc10g009440.3 transcript:Solyc10g009440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSVELPSHLNQEIAANEGDSECTIREQDRLMPIANVVRNMRKVLPPNAKIADESKLVIQECVSEFIGFVTGEANDRCKLEKRKTITAEDLLWSMNSLGFDDYVEPLTLYLQRYREFDGGDRGSLRGDPFPLKRPTVNPASGYSLIPNHLPPNFPMAHHNGYYVYPPPVDNSYRQGDASSGSTSHEFAVAAVDRDVESPAEKSDD >Solyc06g076970.3.1 pep chromosome:SL3.0:6:47973431:47978867:-1 gene:Solyc06g076970.3 transcript:Solyc06g076970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATAIDLLFNSVFTINCSSTLNIPSSLQLSLTTLTTILSPEIRAIMAATRFQPSIFLLCSLVVIGTLALAQAKSQENLKEVTHKVYFDVEIDGKPAGRIVMGLFGKTVPKTAENFRALCTGEKGVGKSGKPLHFKGSKFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKIKHTGPGLLSMANAGSDTNGSQFFITTVTTTWLDGRHVVFGKVLSGMDVVYKMEAEGRQSGTPKSKVTIADSGELPL >Solyc06g066630.1.1.1 pep chromosome:SL3.0:6:41991439:41992500:1 gene:Solyc06g066630.1 transcript:Solyc06g066630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNVELQNFIRVWFAAIGALCYCYYCVTRIPSGVLRLLFVLPIVYFFLILPLDLSSFHLGAPTIFYLVWLANFKLLLFCFDRGPLSSYSSLPLLHFLSIALLPVKPTYIIRDGFKISSTQSVQSTERAPGLFWGKIILLAAIIRVYNYRELLHSNVILVLYCLHIYLAVELILAITVIPVRALLGLEIEPQFNDPYIATSLQDFWGRRWNLMVPGILRPAVYFPVRGILTSSLGKELASLPAIFATFLVSGLMHELIYYYLSRVRPTWEVTWFFVLHGICVCIEVTVKKFFHGGWQMNRVVSGVVTLAFVAWTGDWLFFPQIIRNGLDQKAINEYYVMVDLVKDRLLPVLGI >Solyc06g054370.2.1 pep chromosome:SL3.0:6:37278085:37283620:-1 gene:Solyc06g054370.2 transcript:Solyc06g054370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSILPPPKELVAAGSQTPSPKMTGNALVNWFVKIESSVVSVQINDLVQLAYTHNNESALLPRDEIFCLFEGCVDNLGSLRQEYGLAKSANEVVLMIESYKALRDRAPYPPSHVVSHLQGNFAFIVFDKSTSTLFVAIDKVGKIPLYWGITADGYVAFADDANLLKGACGKSLASFPKGCFFSTAVGELRSYENSQKKD >Solyc04g045580.3.1 pep chromosome:SL3.0:4:34000351:34017170:-1 gene:Solyc04g045580.3 transcript:Solyc04g045580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACRSVLRTVLSKEPWLSLPRNPTSFPLPLFYNHKSSLSIFPCRCKCKSPNYRRTQFLNCSNNGNPDEQGPPQEVVLKAISEVSKTEGRVGQTTNVVIGGTVHDDSTNEWLALDKKVNSYPTERGFTAIGTGGDDFVHSMIVAVESVIQQPVPQGQVKQKLSSGGKYVSVNIGPIQVVSSEQVQAVYEAMKRDVRMKYFL >Solyc02g032950.3.1 pep chromosome:SL3.0:2:29971480:29973794:-1 gene:Solyc02g032950.3 transcript:Solyc02g032950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINWSLMDKGWGLTLENKPFFGFNHMMNRREEQLVADHDKKEVDFFSHHNRKAIHHDFIKKEDLNSTTITTPSKFIVNTGLQLVTASDQSTVDDGELILEEERAKNELAELQVELRRMNAENQRLRGMLTQVTNNYTALQMHLATLMQQQRQNISITQNTHDHNFQIVEAKLNQEKIVPRRFLELGRSGNDLSHNSNSSSEERTVSKSPRNDTQVTIMHNKGREESPESDSWVPNKVPKLNSSMPIDPTTDATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILNTTYEGTHNHPLPPAAMAMASTTSAAANMLLSGSMSSADGVLMNPNFLARTMLPCSSSMATISASAPFPTVTLDLTQNQNSLTYNQRSTQISQFQVPFQSSPQHPNFITSMPPPPMPQVLHNQSKFSGLQISQDIHHPQQLQHVQNHQSFSDTLTAATAAITADPNFTAALAAAISSIITGPGKEQ >Solyc11g069850.2.1 pep chromosome:SL3.0:11:54772756:54776817:1 gene:Solyc11g069850.2 transcript:Solyc11g069850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFTYNWKTPNECAKSSQQEPEQVVSAASIYFASEEEAIGVEQLFAEPEYGDIVDTLLDFNTCACSLPESYTKEFSASDSVVTNSDRAFPLDAVQRGLSEVDKTREKFLDGLSNGYNENHCSISCEDYLLDIELEEEIPTLDDVTRDVSCIENVNLENKLADSEQRNCDVHVLKLSDASTSFDHDALDKFEDMSTDKLLEVFRNMFGHQTSVADKQWLESHMIFGLENQEMSDKNYCFPKTSLDSSENQGVKVLPACQNLLKVSTAFASIFNFRTKPRAQHVKRREHIQWNSFKCLSSAAGEIQLDFPDKWDSKELAKENLKCDGTKLGISEQHLKCKPSRGGFGRRYYHRGAKVSSQGLGKRNFQVGCIQIPPGLPIEERLIKREGHLSKDCRANRAYNSNIHSVEAQDDPSGTLSDQSTDSSSEDDWTIGSETRGTNQDRKHNKYWSTTEVLKLVEGVSKYGVGRWSDIKKMFFQSSVHRSPADLKDKWRNLLRASCQRLQSRRGVDAKKKHGKSRIPHEVLNRVRELAVIYPYSRRHRTRISPTASLASSSNVESDSQLSINEEQNTLNLTY >Solyc02g071740.3.1 pep chromosome:SL3.0:2:41676645:41683774:-1 gene:Solyc02g071740.3 transcript:Solyc02g071740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPVRFLLGKQSSLAPERVIAAVEIESEDGEEGDVIDIDPRIRLMYSANEGDIEGIKELLDSGTDVNFRDDDERTALHVAACQGYSDVVQLLIDNGAEIDSEDRWGSTPLGDAIHYKNHHVIKLLEEHGAKPPMAPMHVNNSREVPEYEIDAKELDFTNSIELAKGTFHIASWRGIKVAVKKFGDDEIADEDKVTAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLHAYLKNEESLRPTKAIRFAIDIARGLNYLHEIKPEAIIHRDLEPSNILRDDTGHLKVADFGVSKLLKVTNRVKEDKPLTCDNTSCRYVAPEVFKNEEYDTKVDVFSFALILQEMIEGCPPFKAKNENEVPKHYAAKERPPFKAPAKCYAHGLKELIEECWNENPAMRPTFKKIIPRLEAIYNKFGQKRRWKVRPLKCFQNFEAMWKKENSSSRRNGSSRSNSSI >Solyc07g053080.3.1 pep chromosome:SL3.0:7:61644960:61648634:-1 gene:Solyc07g053080.3 transcript:Solyc07g053080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMWYSGYMSPEYVVYGQFSEKSDVFSFGVLLLEILSGERNSDFLMTEISASLLGWAWNKWKEGKILELIDPSIRETCDNNKATRCILVALLCVQEIPIDRPTMSDVSFMLSNETTPIHEPKEPAFRSSWQSQQLSDVSINEMTITLPKPR >Solyc02g065700.3.1 pep chromosome:SL3.0:2:37420875:37424335:-1 gene:Solyc02g065700.3 transcript:Solyc02g065700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVTSSMAAKFAFFPPNPPSYGVVVEESTGKLKMTEVVQGEDVDILKLETKKGTEIIAMYVRNPAAKLTVLYSHGNAADLGQMYDLFTELSLHLQVNLMGYDYSGYGQSTGKPSEQNTYADIEAAYKCLEETYGVKEEDIILYGQSVGSGPTVDMGSRLSRLRGLVLHSPILSGVRVLHPVKRTYWFDIFKNIDKIPLVECPVLVIHGTADDVVDCSHGKQLFDLCKQKYDPLWVEGGNHCDLELYPEYIKHLKKFISAIEKSTVSTNGNAQSMDQIEKP >Solyc04g009100.1.1 pep chromosome:SL3.0:4:2632985:2633807:1 gene:Solyc04g009100.1 transcript:Solyc04g009100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKTIIKSIQGYNTKTLDLSEKMAERDLENHLRKLITKHKYLVVIDDMWQREAWKSLKRAFSDSNNASRVIITTRKVGVAERADNRGFVHELCFLRQEESWELFCRKLVDVRAMIPAMLQRFLSATLKRYFLYFGIFPEDQVVEANNIIRMWMAEGFTIPRGEERMENVAEGLLNELIRRSLVQVAKTFWKKVTENVGFMIYSVILRYKRHPT >Solyc09g055750.1.1 pep chromosome:SL3.0:9:44291416:44292751:-1 gene:Solyc09g055750.1 transcript:Solyc09g055750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKVFCSEQMLFMGSTDFLDENEVCRSLLDSGYNVVYFSDYFSTSLEKIIICRKFFVFKLSVSKKTSGSQFDAFLCKYDSYLSRHGGCSKAYIFYFESEFINIRISQFFSSPLVKDESM >Solyc02g063470.1.1 pep chromosome:SL3.0:2:36105448:36106542:1 gene:Solyc02g063470.1 transcript:Solyc02g063470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSNNLLLFCFFFSLCFLSSYARTNCSDFHFHGKSYNSCSHLHTLNSFIHWNYDMTSRTVDIAFSKSESQHGRWLAWAINPTSTGMTGSQYIAFTVHNVSAQYINGQVIIFARFEMSSPNVKLNSRSKIKIVHGVINAVSWGMMMPLGVVLARLRYLPLQEYYSALWFNLHIYCQSIAYFLGIAGGGLGFYLGRQSSSVKQHSCHRYIGAALLMLATFQVLAHRLRPSKEHKYRVYWNIYHWCTGYGTIIMGILNCFKGFQMTDVGIWKNAYIAFLASLSFVAVVLEVCRCYLTANKGTATPEGVSANSIEDKA >Solyc02g094390.3.1 pep chromosome:SL3.0:2:55563049:55566311:1 gene:Solyc02g094390.3 transcript:Solyc02g094390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BDN4] MYVVPPPKRPDPLSGSEDLRIYQTWKGSNIFFFQGRFIFGPDARSLALTIFLIVAPVSVFCVFVARKLMDDFSNHLGILIMVVVIVFTFYVLVLLLLTSGRDPGIIPRNAHPPEPEGYDGTVEGGGQTPQLRLPRIKEVEVNGITVKIKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFGFCWVYIKRIMVLDDTTIWKAMIKTPASIVLIAYTFISVWFVGGLTAFHLYLISTNQTTYENFRYRYDWRANPYNRGVMQNFKEIFCTSIPPSKNNFRAKVPREPKVATRSAGGGFVSPNMGKAVEDIEMGRKAVWSEVGDNEGQLSDNDGLNIKDGMLGQMSPEIRSTVDESDRAGIHPRGSSWGRKSGSWEMSPEVLALASRVGEANRTGGSSRPTDQKKL >Solyc05g052100.3.1 pep chromosome:SL3.0:5:63269517:63277665:-1 gene:Solyc05g052100.3 transcript:Solyc05g052100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGIRYHPEVDLDEVNALAQLMTWKTAVADIPYGGAKGGIGCIPKELSLSELERLTRVFTQKIHDLIGINTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPVDLGGSLGREAATGRGVVYAAEALLNEQGKQIKDLTFAIQGFGNVGSWVAKLIHEIGGKVVAVSDITGAIKNQNGLDIPALLSHKEATGNLIDFGGGDVMNTDELLTHDCDVLIPCALGGVLNRENADHVNAKFIVEAANHPTDPDADEILSKKGVLILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNRELKKYMTKAFGNLKSMCQSHSCNLRMGAFTLGVNRVARATQLRGWEA >Solyc10g054170.2.1 pep chromosome:SL3.0:10:54660960:54664437:-1 gene:Solyc10g054170.2 transcript:Solyc10g054170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTLISYLDTSRDNWLIKVRVCRMWEFKNYKRSNEMISLDMILIDEKGTLVHAVIWRNQVNRFRANLCEGSVIIIRNFKEHVAGGSHNNDSEEYLDEVEDTSTGNTIVFARNQNNKPNCSQTFSTTNIKKRRNIIVQNNKHIAGLTKIESQLDIPYEEISEPYNIQPKSKGQGEMKDINAPNEWKSDGSKTSDFTGRRSG >Solyc08g036540.1.1.1 pep chromosome:SL3.0:8:11151990:11152343:1 gene:Solyc08g036540.1 transcript:Solyc08g036540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEAGAKKKIAPRSIPRLMGLGNTKVTSKMDGAEGNLTIVPIEKGGRQEYSTGIRDPPRVEEVKDGSLLGGRLGQQAICCPDPKSKSSSSQRRQRGVESKPFFSTLSSITKWRVLL >Solyc03g031470.3.1 pep chromosome:SL3.0:3:4028504:4033165:-1 gene:Solyc03g031470.3 transcript:Solyc03g031470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRLKSACVIGGTGFMASLLVKLLLEKGYTVNTTVRDLGNHKKISHLLALHELGKLRIFQADLTDETSFDIPINGCDIVFHVATPINFASQDPENDMINPAIQGVVNVLKACVKSKTVKKVVLTSSAAAVTINQLSGTGLVMDESNWTDVEFLTSTKPPTWGYLVSKTLAEKEAWKFAEENNIKLITIIPSLLAGPYFTPETPSSVDLAMSLITGDEFLISNLKGMQMLSGSISITHVEDVCRAHIFVAEKESASGRYICSAVNTSVIELASFLKKRYPTLYVPTDFREFPPKAKLIISSEKLIKEGFSFKYGIEEIYDQCVACFKHKGLLKI >Solyc11g072380.2.1 pep chromosome:SL3.0:11:55848993:55859136:-1 gene:Solyc11g072380.2 transcript:Solyc11g072380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGSYREFLLILLLFVVVSVGTSYEVEEEEKWGEGLFLLHDSKEIVRTDAGVMRVVSKGGFGGGGVSIFQSPMHIGFITMEPNTLFIPQYMNAQLTLFVRRGETRIGHIYKDDFTERRLKEGDIYSIRAGSAFYLVNPAEGQRLHIICSISNSNNLGLYGFQSFFIGGGIYPTSILSGFDTLTLSTAFNVSSEEVSEILTRQLSGAIVPLNTTQSPTPSIWANFLNLEQHQRHDHLKRVVHLEEEASSEEEDEGREQQPTWSLRKFMINLFGHEGNKRKKGDEGRRGSSKGPDSYNLFDRKPDYKNDYGWSLALDRTEYSPLKHSDIGVYLVNLSAGAMMAPHINPTATEYGIVLRGSGSIQIVYPNGTLAMNAVVNEGDVFWVPRYFPFCQIASRTGPFEFFGFTTTGRKNMPQFLVGQNSILQSMRGPQFAAAFGVSEERLRRILDSQREAVILPSASIAPSEPMDPRGEEEEGERGEKGKEKKKMKDVMKMPEVIKSLGDDIMMGLA >Solyc03g043600.2.1 pep chromosome:SL3.0:3:7114989:7120335:1 gene:Solyc03g043600.2 transcript:Solyc03g043600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEVEASTPDLNDKASSPHHTMTMVPTEGEFSIGKRKDQGISEPEGSRKKKKKQVATPRPACSWVHFSRDFIKEYSATHPESSGLKVATKAASDAWKLMGPEEKAKYTTRAREVWDKYLSSAPARAPKPRRQTKLVTRCSPGRLLNVLQRLTPDQKEAVKSMGFGSILGLRCRTLRRSLCLWILERFNTVRRSLEICGERIPLTPRDVELVMGLPASGKDVVNSGSDELILQLRKRYNATNRGISVRLLEERLAAPEAGEDFKRSFVLYVMGTLLCPTARLDVSPSFLHFLTNMDVLHQYNWGKFLLDRLVREISRFRQGKQRAVGGCLLFLQVTLFYYESVAVGAAYESAPVAFPCLFSWGEEEISEREKQEKELGGYGSGEVVCMDRGLGMGSLGYKAQTDSMPLRAVEPMQEISHAQDMEDEEYEDTFTEQEHPPTDSIEGNVVCAEIEVVAGSGPVPCGNIKYGCTEIVDYSKKRDHEDACPYAPCPCPLQNCKFVNSSKQLSSHFSSKHCDSGRHFQYDCPLPISLSKKETFLVLQAEKDGVLFLLSKGTQSIGHTIVITCIGPSSSKECFLYDVVAEKGSSSLKFKSSAHSFPGRFQGLPPVDFLLVPFAHLDSSGQLDLEICIWSPTEPGSE >Solyc03g036470.2.1 pep chromosome:SL3.0:3:6475098:6477157:1 gene:Solyc03g036470.2 transcript:Solyc03g036470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIAQNGHINGEVAMDLCKKSINDPLNWEMAADSLRGSHLDEVKKMVDEFRKPIVKLGGETLSVAQVASIANVDDKSNGVKVELSESARAGVKASSDWVMDSMSKGTDSYGVTAGFGATSHRRTKNGGALQKELIRFLNAGVFGNGIESFHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLINSNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEKLNAEEAFCVAGISGGFFELQPKEGLALVNGTAVGSAMASIVLFESNIFAVMSEVLSAIFTEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKVAQKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFSELVNDYYNNGLPSNLTAGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHV >Solyc04g079305.1.1 pep chromosome:SL3.0:4:63895981:63897527:-1 gene:Solyc04g079305.1 transcript:Solyc04g079305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQRITRKFSILASVSVPKSVKDRTFEGQGLWGFGFFGFLIKEYAQIPYKKNVLEDNNEVDVDIGSCELELFIGKNFYPAKWWESSTAC >Solyc11g008130.2.1 pep chromosome:SL3.0:11:2328145:2330495:1 gene:Solyc11g008130.2 transcript:Solyc11g008130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRYFVNGDGVVSPLLSEDVFQISTVHCFARKRNLILWFCQVYFEIRHHAPHVKKIIDDQCSRLCKAQQKILEVERKQEKIEDRVEHAVRFHSELEERLQSLRHLPAAHKTSLSKAEREFKSELDRFRGVELDALRSSIEAVNARLKRYTHSLQPSQSNEERQVSVRRKVRVQENEMSLLKASLEKLSVMNSENAKKVKVVESALKGREIGT >Solyc01g110610.3.1 pep chromosome:SL3.0:1:97173845:97180533:1 gene:Solyc01g110610.3 transcript:Solyc01g110610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKQSHSAILKQIIIRCSSLGMKQGYDDEDYQFPIDVPKGHFAVYVGENRSRYIVPISFLTHPEFQCLLRQSEEEFGFDHDMGITIPCEEVCLLQCAEEEFGFDHNMGITIPCEEVVFLALTSMLR >Solyc10g062220.2.1 pep chromosome:SL3.0:10:25307623:25327833:-1 gene:Solyc10g062220.2 transcript:Solyc10g062220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDRGESFVAVRRISQGFERGNSTCHSSSEVMAGSTAWLGRGLSCVCAQGTDIDTRRSFDVTPAQEECLQKLQNRIDVAYDGSIPEHQEALRALWKAAFPVEELHGLISEQWKDMGWQGKDPSTDFRGAGFISLENLLYFARNFQKSFQDLLQKQEGDRALWEYPFAVAGVNITFMLIQILDIEAIKPRNLVGATFLKFLAENESAFDLLYCITFKLMDYQWLAMHASYMDFNTVMKSTRRQIERELLEENIKRLEDLPSYRLLN >Solyc05g011880.2.1 pep chromosome:SL3.0:5:5094698:5098563:1 gene:Solyc05g011880.2 transcript:Solyc05g011880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGHAQRSKYECLLFDLDDTLYPLSIGLAVDVTKNIEDFMVEKLGIEQSKIVELGNLLYKNYGTTMAGLRAIGYKFDYDEYHSFVHGELPYEKLRPDPILRSLLLSLSIRKVIFTNADEVHALKVLNKLGLEDCFERIICFETLNPIDKSNATTTYGSNEIFDIIGHFSQPKANSMLPKTPTLCKPSQVAIERALELANIINPHTTLFFEDSVCNVQAGKRVGLDTVLVGKSQKVVGADYAVESIHNIKEILPQLWEVEKVAE >Solyc01g057410.1.1.1 pep chromosome:SL3.0:1:60857352:60857504:-1 gene:Solyc01g057410.1 transcript:Solyc01g057410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISFFWLVLTKANLMVGGEHENMEKRIFVTVFSMLLTKKEHRFRYPFSL >Solyc04g071430.2.1 pep chromosome:SL3.0:4:58491080:58495658:1 gene:Solyc04g071430.2 transcript:Solyc04g071430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4BTD5] MVLKKYQPYLAMLFVQILYAGMALFSKASISNGMNPYIFVVYRQAFATLSLAPFAIFLESSKTTLSFTLLVKIFFTSLFGITLSLNLCYISLSYISATFASASTNTIPVITFILALCFGMESICYKQRHGIAKIMGAIVCVGGASMYAFVNGPPIYLESHKKVDYQTSIGTSKGEWIKGSLIMFLANTMWSLWLILQGPIMKEYPAKLRLTTLQCFFSCIQSAIWAISVKRDIAAWKLGFNFNLLSVAYCGVMVTGVSYWLIAWVIDIKGPVFIAIFTPVSLIFTAFFSSIFWKETPHVGSVCGAILLVIGLYGVLWGKQKEAKCKETSQYNGEITNI >Solyc12g013520.2.1 pep chromosome:SL3.0:12:4380572:4381503:1 gene:Solyc12g013520.2 transcript:Solyc12g013520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGKQESVKLLNSIELVNCSMRCGEMEIWNLMNFGKETMKKVCEISCSYSMEAVRKMDEIVRVKGLEKLGAYMADDEGRAKMVYFSAKFVKNASLYAFKEAANILIPGGRAFAKIFAETVREIESESKNDATGNVAADMLKLGSETGVHVDSFTHQTPEDVLRFFMMMEFMGTRYLDSLLVSDHV >Solyc03g083480.3.1 pep chromosome:SL3.0:3:54789573:54790840:1 gene:Solyc03g083480.3 transcript:Solyc03g083480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTNSFFATVFLFVTFITSCIAQTTSQCVPSSCGDSGELKFPFRLRSDPQHCGKTGYELDCQNNETIFHYKSRKFYVQEINYTNFSIRLLDPSLKNQTENCSVFPQHRASYDAMTSLIFGWLRVDNDINYVNCRGQINSSVYIPTSFCSTATNSSFSYLVVGEILQASDLAVGCRVETVAWSAAPGISPNVSSSLSSIHQALGYGFDLSWRRDFLCRECGRDDECVFKDNSDVATCIHYCKEDTPVSERSFGCKVEYYSVFVLFYGGIAIGALVVVGITILIAVVVWQCKRRNLNTSNHDVLGNKASSSEENC >Solyc02g093360.3.1 pep chromosome:SL3.0:2:54890623:54904006:1 gene:Solyc02g093360.3 transcript:Solyc02g093360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLVDGISSLPNVHNSFAAVECNTSLASKISHPPLMKQSENKEASISYPIAMDLGSTVAHTQTGSVTGSKHFKANEDTVNQSAEGCSGEQVLALETESSIKDLHHVSKNGTSNDDLNATAKDSGITYCPSPQNSFYSATQYTEAKQSFSNTEVSECASSTVDKSGESGDVSNSCDLVESRKTSFYRGSTGSDVSDESSSSSFNSTVYKPHKANDTRWDAIQVIRAREGTLGFNHFRLLKRLGCGDIGSVFLAELIGTRCFFAMKVMDKAALESRKKLVRAQTEREILQSLDHPFLPTLYSHFETDKFSCLVMEFCPGGDLHALRQKQPGKFFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVSPTLVRSSNSSLECKSSSYCVQPACIEPSCVVQPACIQPSCFTPRFLSKNKKEKKSKQKTETYNQVNRPLPELLAEPTSARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGQTPFKGAGNRATLFNVVGQPLKFPETPSVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQNVNWALIRCTSPPDVPKPSSMTYDMPRTPPAGKAPGLDVKPSGNYFEIDFF >Solyc03g119190.3.1 pep chromosome:SL3.0:3:69342373:69344658:-1 gene:Solyc03g119190.3 transcript:Solyc03g119190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4BM68] MATYSSDSDNDFEPTSKLLGRQRPIHSVLGGGRVADILLWRDKRLSAAILIAVAVMWFLFEVVDYTFVTLLCHVSITTMLIVFIWSAGADMFGWTPPNIPKDILVDTSFVDVASIIHNKFNNFLSICHFVACGNDAKSFFLAIISLYILSVIGNYISTLNLLFFGLLCVETLPFFYERYEEEVDYVAYKIKRQMRKTYRKFNAEFLGKIPRGPAKEKKG >Solyc04g082500.3.1 pep chromosome:SL3.0:4:66238341:66253598:-1 gene:Solyc04g082500.3 transcript:Solyc04g082500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFSCMRFNRKDVRDFDEDMGSRSIKSSGKGIKGRSFGGKGGDSNNHKGNVARSFTFKELALATQNFREANLIGEGGFGSVVAIKQLNLDGLQGHQEFIVEVLMLSLLHHKNLVNLTGYCTDGDQRLLIYEFMPMGSLENHLFDVEPGKKPLSWSTRLKIASGAAHGLEYLHCKANPPVIYRDLKSSNILLDNDFNPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSLGVVLLELITGRKAYDNSKEAGEQNLVVWSRPFLKDRRKFVHMVDPLLNGQFSVRSLHHAVAITAMCIQEQASFRPIISDIVVALDYLVSQAESSDSQGGGSQTPSGDGGDSRKMEVPASGKIITPNLKMFTLAELKSATRNFRPDTVLGEGGFGTVFKGWVDDKTFAPSRVGVGMPVAVKKSNADSEQGLKEWQAEVKFLGKLSHPNLVKLIGYCWEDKTFLLVYEHMQKGSLESHLFRKGAEALSWGTRLKIAIGAAKGLDFLHTIEKQVIYRDFKTANILLDSDYNAKLSDFGLAKMGPVNGDSHVTTKIVGTYGYAAPEYMATGHVYVKSDVYGFGVVLLEILSGRRVLDLNRPNGEHNLVDWAKPMLPDKKKLRKLMDPRLEAQYPSKAAFQIAEIILRCLEPDPKNRPSMEEILECLEQCNGIQKKPRAKNTTRNHNHRGPRSPLHVKKTGSGNVIGNQGYNAPKNRSY >Solyc03g078437.1.1 pep chromosome:SL3.0:3:52348349:52356052:1 gene:Solyc03g078437.1 transcript:Solyc03g078437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLVKSDVKYRFVYTHGKGDEEKGSCRKLTLVTNTIATIAFYVRWQLLWVLATENDLTRRHKLPQ >Solyc06g063140.3.1 pep chromosome:SL3.0:6:39992233:40005361:1 gene:Solyc06g063140.3 transcript:Solyc06g063140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARLVVFPIRGRNWCFSRSIEASQSEAQSSSAPSTLKDLWKRISSKSSRDSPGANIEMNNAWTSLEKAPAGSIKNRIHGLGLTLLSRVKPSEIFLKSISKEVTRVDITYPSSLNGQLVRRRLRHIASRGAIIHKKYLYGSAALLPLSSFFMVLPLPNIPFFWVLFRTYSHWRALQGSENLLRLLTNSSHQQQSDKGTTDKSTNVKDDTQRTNNCSSPPWVLLPSEDLQKLIQSGESNDGLSESTISDICQRFNLNTMDMLGSCPGSLHLFPCELYHILESARQILGSASTSLAASFSRNFSKYRSFFAFRFARRTNASEESMAPAATDIEDEIKDEKNPPPLDEDDIALLKTYLGDNDLPLYSSPMKTPGLIDKAQGSNLLPKSQVPQSLLFELRYEGNKLEEGLGPYSTSIKKAEKEIKDMAKKINDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNSLITEFFKLGVIHGCCIRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Solyc12g056800.2.1 pep chromosome:SL3.0:12:63829319:63832317:1 gene:Solyc12g056800.2 transcript:Solyc12g056800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSTIRIGIVGCAEIARKVSRAILLSQTATLYAVGSRTIEKAQKFAADNGFPATAKVYGSYEEVVDDPNVDAVYLPLPTSLHMKWAVLAAQKKKHLLLEKPVGLNVEEVDVILAACEANGVQFMDGTMWMHHPRTAKMREFLSDAKLFGELKSVNTCFTFTADQYFLENDIRVKPDLDGLGALGDVGWYCIRGILWAADYELPKSVIATRNPVFNKAGVIISCGASFLWEDGKVGTFHCSFLSNLTMDITAVGTKGTLHLHDFIIPFEEKKASFTSAVESGFKELVTGWEPKPSEHTVTTDIPQEALMVREFCKLVRSIKNEGAKPEKKWPTLSRKTTLVIDAVKASIEKGFEAVEIVS >Solyc03g114160.1.1.1 pep chromosome:SL3.0:3:65660932:65662980:1 gene:Solyc03g114160.1 transcript:Solyc03g114160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BKR8] MIQRFDRNDRRILTFPAVHPCEGISPATLLNSLIILSRDICNFKSNFFATQRRNVREMIRQVGILLIFFEEILDHLPSDRDSIVLCFAELHTTFQKINFLLDDCTREGAKTWMLMKSHSVASQFQVLVRTVATALDVLPLNSLNVSREIKELVVMVANQAQRAKMELDPEDEEAVKRVILLLNQFENKFQPDPCVIKKFLDYLDITTWAECHKEIKFLEDAINIECSENYEREVPMLSSLVGFLSYCRGIVFEDSVYGNTDQSDGSSNLETLSCLDPEDFRCPISLELMTDPVTVSTGQTYDRASIQKWLKSGNLLCPKTGETLQSTELVPNSSLRNLIQQFCADNGISIAKSRKKNHDISRTILPGNPAAAEAIKFLSEFLACRLYFGSDQQKTKAAYEIRLLAKSNIFNRSVLIEAATIPALLQMLCTNDTSMQEHSISALLKLSKHSNGKKVIMENRGLNSILGVLKNGLKLESKQIAAAVIFYISSPREYRKLISENPEVFPALVDLINYGTSCGKKNAIVAMFGLLLSYRNHERALGAGTVPALVNLLTSSDKVELNTDALAVLATLAERTEGSFAILEASALRVILDQLQNTTSRAGREYCVSILLSLCVNSGAEVIASLVREKALMPLLYSLLTEGTSQAKKRTRSLIKTLQRYCETSTSRLVSEVPQEQFIDVR >Solyc01g110920.3.1.1 pep chromosome:SL3.0:1:97313378:97313638:1 gene:Solyc01g110920.3 transcript:Solyc01g110920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRMPRIIKKSSTSGDVPKGHFAVYVGEKQKKRFVIPISFLSQPLFQDLLSQAEEEFGFDHPMGGVTIPCSEDVFIDLTSRLNRI >Solyc07g014745.1.1 pep chromosome:SL3.0:7:5207865:5212191:1 gene:Solyc07g014745.1 transcript:Solyc07g014745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFDQQPEKKSAKNINLCMSHELEALDRENSLGFVKTKSDASLLVRHGAGDTLFVLVYVDDIIITGSNTLSVNQVITSLASKFSIKDLGNLHYFLGVEVIRSSNSLILTQTNYVNEILNDELMTDCKSVNTPMSASELLTLSDGIHLTDATRYCRVLGRLQNLSFTRPDIAYAVNKLSQFMQALSDLHWKAVKRVLRYLRDWSRDIVDRVSTSGCILFLGHNPISWSSKKQNTVSRSSTESEYRAVANALSETLWVTNLLMSYAFQYISYPKFIVTILEPHS >Solyc12g040530.1.1 pep chromosome:SL3.0:12:55123815:55125238:-1 gene:Solyc12g040530.1 transcript:Solyc12g040530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHLKSTFRVLNLIHSNYLNLIGGMPQSPIHYPSSSSRKRRPNEMNNLDYNEDSDLEFPSKSSIGGIPQSHISSRLQRPDEMNHIENSEVIITNKLSPDIFITGGLSLDQEKEEI >Solyc06g005720.3.1 pep chromosome:SL3.0:6:766822:773869:1 gene:Solyc06g005720.3 transcript:Solyc06g005720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESVLVLQVPSPSVKFKFQIPKPRNWSWMNKYSSIRCAGKVNRWSLDGMNALVTGGTRGIGHAIVEELCGLGATVHTCARNEDELEICLRSWKDEGFTVSGSLCDVSSQVDRQKLMEVVSSTFNGKLDILINNVGTNIRKAMVDFTAEEFSSVMATNFESVFHLCQLAYPLLKASGAGSVVFTSSVSGFVSLKSMSLQGATKGAINQLTKYLACEWAKDNIRSNAVAPWYIKTSMVEQVLSNKEYLQEVYDRTPLGRLGEPSEVSSLVGFLCLPASSYITGQIICVDGGMSVNGFYPHHD >Solyc01g028830.3.1 pep chromosome:SL3.0:1:41110724:41115365:1 gene:Solyc01g028830.3 transcript:Solyc01g028830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCCRGQPSIYRVSSNAKSESPKDKSPSQNARMDHTKMPSNPEEVEDLRRSSATNPLIAFSFDELKIITCNFRQDYMLGGGGFGNVYKGYITEDLREGLQPITVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEAEHRVLIYEYMARGSVENNLFSRVLLPLPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDLEYNTKLSDFGLAKDGPVGDKSHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRRSLDKSKPAREQNLTDWAVPLLREKKKLLNIIDPRLDGDYPIKSVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQIPGEVPTSEKPTLTVITDTPNGVIKEKVQT >Solyc02g080800.3.1 pep chromosome:SL3.0:2:45486790:45491229:-1 gene:Solyc02g080800.3 transcript:Solyc02g080800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEALKYSIMDSVRSVVFKESENLEGSCTKIEGYDFNKGVNYAELIKSMVSTGFQASNLGDAIAIVNQMLDWRLSHELPTEDCSEEERDVAYRESVTCKIFLGFTSNLVSSGVRDTVRYLVQHRMVDVVVTTAGGIEEDLIKCLAPTYKGDFSLPGASLRSKGLNRIGNLLVPNDNYCKFENWIIPVFDQMYEEQINEKVLWTPSKVIARLGKEINDETSYLYWAYKNRIPVFCPGLTDGSLGDMLYFHSFKKGDPDNPDLNPGLVIDIVGD >Solyc11g006300.2.1 pep chromosome:SL3.0:11:1024634:1026908:-1 gene:Solyc11g006300.2 transcript:Solyc11g006300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMSTLWKFLYPPPPSLFVTTMSIISVVSLANAGFSEIKGKHMQYSKFFGTIKDENDEKKKKKKKAKIESKLGMILLYGPSFLGGISSFAIFPNGDLRFVLVCSALSIHFFKRLFEVLFVHKYSGSMDVEDAIAISLSYFLSTITMIYCQHLSQDLLEPSIDLKYGGYIIFLVGIIGNFYHHILLSKLRTKGEKEYKIPQGGLFDFAICPHYLFEILIFVGISCTSQTLYAISFTLGTTFYLMGRSTATRRWYQSKFDDFPKDIKALIPYIF >Solyc12g038940.1.1.1 pep chromosome:SL3.0:12:52440319:52440636:-1 gene:Solyc12g038940.1 transcript:Solyc12g038940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPLSSVMQLKVQLGTSEGSNHMKEMDLSSPVGPSTDLVIGEQTHIQPHSMDLANEMETGEPRATWVSLFKDNPSAQNGMKLTYIHPQIVNGKIVVQLDKNEVE >Solyc12g100290.2.1 pep chromosome:SL3.0:12:68031573:68047401:1 gene:Solyc12g100290.2 transcript:Solyc12g100290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAMKTAIHGGIGHVFSKLIKEIGDPVDFELPDWLNKWQSMPYTFIKRNIYLTKKVKRRLEDDGIFCSCSSTAETSVVCGKDCLCGIMLSSCSSGCKCGSSCLNKPFHQRPVKKMKLVKTEKCGSGIVADEDIKRGDFVIEYVGEVIDDKTCEERLWKMKHSGETNFYLCEINRDMVIDATYKGNKSRYINHSCCPNTEMQKWMIDGETRIGIFATRDIKRGEHLTYDYHRFVQFGADQDCHCGAVRCRRKLGVKPNKPKLPASDTALKIVACQVAATSPKLKALLSTRHVYQTGVPRIGSSVYDSDIKIRRPRSCIGQVIRIIRSSKTRSFGIVKRFDAITKKHFIMFEDGCVQYLDLSKEDWEFCNFLE >Solyc10g054240.1.1 pep chromosome:SL3.0:10:54933445:54933882:-1 gene:Solyc10g054240.1 transcript:Solyc10g054240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTNLQSPIIDHISLYSQKECKTSFSHITVMAYTLISDLDTSRDNWLIRVRVCRMCAFKNYKRSNEMISLGMILIDEKGTLVHAVIWRNQVSRFRANLCEGSVIIIRNFKVSESIGE >Solyc12g100230.2.1 pep chromosome:SL3.0:12:68002737:68006105:1 gene:Solyc12g100230.2 transcript:Solyc12g100230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWKDLTGKVVMVTGASSGIGLEFCLDLAKAGCRIIASARRVDRLKTLCNKINNSDGLARRAIAVQLDITADSATIQAAVQIAWDAFGRIDALINNAGLRGNVYDSLDLPEEEWEHTYKTNLRGAWLVSKYVCRHMRDSKQGGGSVINISSIAGLNRVLIPGGLAYASSKMALDMVTKMMALELGVDNIRVNSISPGIFKSEITKSLMEKEWFNNVTVRTIPLRTLGTTDPALTSTVRSNGLFTYDVMKKFSVDVYSNYTIASCQAGRSSFMKAFQFYCVHDIHKRINIGLRLVHTASEPDPPIPVAVFRNVGTPILCNFVKKVCRKFLFGVTIVAHFRIEKQEKDMLSDNKKNGVSFAKPPFTIGDIKKAIPPHCFQRSLVRSFSYLVQDLVLVSVFYYIATTYFHPLFCYLAWPVYWIAQGCVLTGIWMIGHELGHHAFSDYPWINDTIGFILHSSLLTPYFSWKYTHRRHHSNTSSLEHDEVYVPRLKSQVTWLTKYLNKNPLGRVFGLATTLNLGWPLYLAFNASGRPYHGFASHYHPHGPSYYDRERLQIYFSDAGVIATTYVLYRIALAQGLTWLVCIYGVPLQIMNIFIVLITLLNHTHSSVPHYDSSEWDWLRGALATVDRDFGVLNKVFHHIPDAHVLHHLFSTIPHYHALEATRAIKPLLGEYYQFDSTPFYKALWRDYKECIYVEKDERSKDSGIYWYKSEMN >Solyc01g056485.1.1 pep chromosome:SL3.0:1:54498165:54502154:1 gene:Solyc01g056485.1 transcript:Solyc01g056485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYTQINTLNELQNKLPPKQYNRICASSCFAQLTAMRQCHVQAQLLRCIMLRELEGNSPVTKARWVEAFKAKVWGDNQEDAYKFEILYYIHEFIMSAEPTTTTIDRLDFDLVETGRFMDYPWGRKAFNELAKSKNNKIKPCGQYYRIQGFPLPMQVWFYECCSYVDDKIVVKVSSHIPRIINWVTKNDHPRFDYFMKTIFNDADNPIKFRNIEPTAMEIKILKLPQLIEQSMSQGLQTDHNKVTDPDDDFQNPPSITSRKGKEKVIECSSPIRKKKKQSVTVISSTKAVKTYTRRSMARKATRSQSININSVAKHSDAGTSHNNENVEQKSVQDRTQMGQIKKSTSITISRDECDAFKKSVKDEFADLRKMLEDKFKTVLEAMNSKGNVVDDDQESPIGDVHHKPTYIPHEPQSQFANVTEQEAKFEDVMQETHITRVHQLNTKSSQLGAQKNPIGHQSALKDRELGDNLQELNQNSPLLDHVVLGDNLNDVSGTASQDQLVLYPNVDAQKNAQRETESSSNSRVIYNIYNAASHERIAEAEESILVAAPIQMVYMPDSNQETVVTESQDELPDHLLPSVNTLQNIVLQKQVEADVTPMPAVRHRRPGPFNISPYMTLFGSDAGSSSRQPVVFYMKHPFVSLSDKEESDLFSNFWIWLKEDLLVKHYKKNYAEDRYKKGKAILPQLFNFGVATIDNKNWFYNIGFERQLIDNSHIDVLFYYIRKKAKYSNSSTYKFTNLCCNFNTIFLNAWNAYYGIKGDLSKEVLDEMIIDYINGYKMLAYAPWHTVDDVFIPVNLEGRLHWILIVISFNDRCIKVYDSIWNSLHHSFVVNHIKMYPQLIPMHLVKSDFYQKKGLDIASHHRYQGHIVYDSFKIVYVEDLPQQPAASLDCGVYVASYAEFLSERKDIPVVLDPEEILVRYGALLWNYGNQKIQAGAVSDIEAPLKPVRNRTQNNSSERITIQ >Solyc12g009420.2.1 pep chromosome:SL3.0:12:2697238:2699531:-1 gene:Solyc12g009420.2 transcript:Solyc12g009420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFANSAQTHILIERCNGFNVNNVMIQSPGNSPNTDGIHIQASQNVAITNSKISSGDDCISIGDYSSNVQIYNIQCGPGHGISIGSLGKGGHSAQVSNIHVNNVFFYGTTNGARIKTWQVGRGFVRDVTFENLQFNSVKNPIIIDQHYCDVRGACKETGTGVQISNVVYQNIYGTSITDVAINLNCSMSVPCTDITMQLIQLTPATPGKQVKAYCKNASGQEYGIEPGPCLSGI >Solyc03g096430.1.1.1 pep chromosome:SL3.0:3:59959994:59960191:1 gene:Solyc03g096430.1 transcript:Solyc03g096430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNNNMLIVILMMMLVFIFMTVCANAESCSEYCSESCSYCDVRPLYEDCCINRCCPTFAQVSP >Solyc06g082450.1.1 pep chromosome:SL3.0:6:48300199:48301211:-1 gene:Solyc06g082450.1 transcript:Solyc06g082450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSCCLKFNNPCKKIVKLFKFKLRKPLFIRRLRIFRPSTRCESNTSTRRKQASQVLSVFRFIRRSKPREEDQVMALKSFSGHIKAPVPSPITPAYARLSGATKKEVVIFQDDVEDACRSFENYLAEMIVEEGKMRDIMDVEELLYCWKNLKSPVFIDLVCRFYGELCKDLFSHTYKDDINSPQKIMQ >Solyc08g083100.2.1 pep chromosome:SL3.0:8:65781676:65785599:1 gene:Solyc08g083100.2 transcript:Solyc08g083100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFYSVSFLRDMYEVVAHVSNRYPAANLYAIGWSLGANILVRCLGHLSLESLYNQYLRGESAESHSCLLSGAVFLSNPFNLIIADEDFHKGFNNVYDKALANFFRKIFLKHALLFEDVQGEFNISLAVNTKTVDDELRFHYKCMYTSSLYPSKSFQPFTLHCSFLFVVIYRTSDSIYRSTVHGQDS >Solyc06g065190.1.1.1 pep chromosome:SL3.0:6:40763928:40764905:-1 gene:Solyc06g065190.1 transcript:Solyc06g065190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 13 [Source:UniProtKB/TrEMBL;Acc:G3BGV3] MEFRESNSKNNQDGGSNSNNNSNENNSNVISTKIVKKPSKDRHTKVDGRGRRIRMPALCAARVFQLTKELGHKSDGETIEWLLQQAEPSIIAATGTGTIPANFSTLNVSLRSSGTTISAPPSKSAPLFIHGGAATMLGFHHQIPGNSFGQDPDENFMKKRYREDTTAASTSPSSSSAKPERTGVQGHEPDQESKPGSSNPSSYIPTPAMWAVGPAAGNVGNTFWMLPGTSSTMQRIGGFELPGGGRFSPVQLGSMFLQQPQPVQQLGLGVTETNMGMLASMNAYNSSSSRGSGIDLGMNLEQHHHHQNQPQGSDSGDENHKDSQS >Solyc01g005860.2.1 pep chromosome:SL3.0:1:577114:580028:-1 gene:Solyc01g005860.2 transcript:Solyc01g005860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSGTLARNRWEFANNRLVMVEVKKIVLHKVELSRDYIKKNLDTMNQLKEILKISNNAYYKLLQERNTQNKQNLLEEYIKFWLRK >Solyc02g050207.1.1 pep chromosome:SL3.0:2:4569237:4578937:1 gene:Solyc02g050207.1 transcript:Solyc02g050207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAAEKQQEKAATQTGSSAGEQRGQNSGTDKGKNVAVGMFNYMALINHISIAALAAKPASIKPRESLFVDAKLNGKNVRIMVDTGATHNFVTEQKAKELGLNYVASNTKLKTVNATPTSVYGFALKVPIDLGEWTGQADFTIAPMNVIKLQDIQLFTNHLAVHERENGLPRITVYRLPSVGEPLKRLQGGFAVDFIDPVYSVDPLESEFESGVLKFSYSSMRTPPSVYDYDMDTGISVLKKIETVSHMRCYLRS >Solyc10g019227.1.1 pep chromosome:SL3.0:10:12557701:12558805:-1 gene:Solyc10g019227.1 transcript:Solyc10g019227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTQEVDGSEEQNNEHQLGRGHRIKQHFYNQNFLVAITTDHEPSSFSEVVKHERWRQEMQIQTEPLEQNKTWVIEKLPHHATQKFKIYLSDCFHIKYLGALKYFLGIEVVKNSEGLLICQRKYEVKPQVIVGCLIYLCFIRLELSYFVHVLSQFTNCPQAEHWEASLRLVQLLKGKHGQSIYLRSASLTLWFVLLDKSSIAWKTRKQKLVSRSS >Solyc07g016200.3.1 pep chromosome:SL3.0:7:6438876:6447830:-1 gene:Solyc07g016200.3 transcript:Solyc07g016200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit beta [Source:UniProtKB/TrEMBL;Acc:K4CCD7] MDKSSLDVEQPHSMGTTIIGVTYNGGVVLGADSRTSTGMYVANRASDKITQLTDNVYVCRSGSAADSQVVSDYVRYFLHQHTIQLGQPATVKVAASLIRQISYNNKAMLQTGMIVGGWDKYEGGKIYGVPLGGTLLEQPFAIGGSGSSYLYGFFDQAWREGMSHEEAEKLVVTAVSLAIARDGASGGVVRTITINKDGVKRKFYPGDTLPLWHEEIESVNSLLDIVPAASPDPMVS >Solyc12g098610.2.1 pep chromosome:SL3.0:12:67032453:67034388:-1 gene:Solyc12g098610.2 transcript:Solyc12g098610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4DHN4] MNSCMFSCGGNFIQEFDFNWGGNRVKIFNGGQILSLSMDKFSGSGFQSKKDYLFGRIDMQLKLVTGNSAGTVTSYYLSSKGPTHDEIDFEFLGNVSGEPYILHTNVFSQGKGDKEQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNTPIRVFKNAESLGVPFPKNQPMKIYSSLWNADDWATRGGLVKTDWSKAPFTAYYRNFYAQKFNKSQFLDAKWQNQELDANGRRRLRWVQRNYMIYNYCTDYKRFPQGFPLECRKF >Solyc09g057865.1.1 pep chromosome:SL3.0:9:51448508:51456125:-1 gene:Solyc09g057865.1 transcript:Solyc09g057865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKGILKITKKATVVEEMFLLCLKNDVRNRHYLEGAITEGCWIDELMTFCSWYLDDVKTKSNSPLINYVLSYETTNQEVHRSSTEKGSKLDDITRAKAHKYVLFNSTSTTSYCE >Solyc08g007380.3.1 pep chromosome:SL3.0:8:1954880:1964196:1 gene:Solyc08g007380.3 transcript:Solyc08g007380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPLGHEPNRPLPPRVSATVTASTGAHMRLSRWAGSSLVYSEPGRWILGAPLPKFSTEYVNGDYSKSEEQINNGDMIRTVTEVGRMTGGALSECIFCQIATSSTSTTLLHSDDKVVAFQDINPSAFRHYLVIPKQHIPTVKNLQRSSDDFSLGKGQSTEASMEEGLRFTILAASCKYCFEARDRENSCNDIWFPSASIQQCRSPPPPLLRASLYSKTLNSWRFMKYLSLGPLGGFIEVEKLLERIKPPIIHPSSM >Solyc11g011350.2.1 pep chromosome:SL3.0:11:4390278:4397575:-1 gene:Solyc11g011350.2 transcript:Solyc11g011350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFASNSQYCPRWKYDVFLSFRGEDTRKTFTGHLYEGLKNRGIFTFQDDKRLEHGDSIPKELLKAIKDSQVALVVFSRNYATSRWCLNELEKIMECKEEKNGQIVVPIFYDVDPSHVRYQSESFAEAFVKHEVRYKGDGDEGMQKVQGWRNALTAAADLKGYDIRDGIEAEYIQQIVDHISSKLCKSAYSLSSLQDVVGINAHLEKLESLLQIEVNDVRIVGIWGIGGVGKTTIAKAIFDTLSCQFKASCFLADVKENANYNQLHSLQNSLLSELLRKKDDYVNNKYDGKYMIQSRLCSMKVLIVLDDIDHGDHLEYLAGDVGWFGNGSRIVVTTRNKHLIEKDDPIYEVSTLCDQEAIQLFNRHAFRKEIPDERFMKFSLEVVNHAKGLPLALKVWGSLLHNKGLTQWTRTVDQIKKNSSLEIVKKLKVSYDGLELEEQKIFLDIACLLRGKRKKLVMQILESCGFGAEHGLDVLIDKSLVFISKNNEIEMHDLIQDMGRYVVKMQKDSGEQSRLWDVEDFEEVMVNNTGTKAMEAIWTYHVKKLCFTKKAMKNMKRLRLLSIFGFQACADSIEYLPNNLRWFVCRCYPWESLLENFEPKKLVYLDLQSSSLRQLWTGAKHLPSLRELDLSYSKSLIGTPDFTGMPNLEYLYLLKCKNLEEVHHSVGSCRKLIHLCLTCCKRLKRFPCVNVESIERLYLDECYSLEKFPEILGRMKSELEIKINWSGLREIPSSIIQQYSCRLTKLTLSSMQNLVALPSSICKLKGLVKLIVSCSKLESLPEEIGDLENLEELDASYTLISRPPSSIICLNKLKLLTFSKKNLQYGVSFVFPEVNEGLHSLEDLDLRYCNLIDGGLPEDIGCLSSLKKLYLNGNNFEYLPHSIAQLSALQSLDLSDCYRLKEFPGFMGMLNLNTLKLNGCNLIDGGLPEDIGCLSSLKELNLSGNSFEYLPRSIAQLGALRSLDLSDCKRLTQLPEFPQQLHTVDADWSNDWICNSLFQTISLLQHDISASDSLSLRVFMSWGKNIPRWFHHQENGFSISLKLPEDWYVSNNFLGFAVCYSGILVNAEAHLICYDGRLVTRIIQKIALFNHSECPAGSAIHFFLVPLAGLWDTSKANGRTPNDYGRIRLYSPGERKMFGLRLLYKDEPKIEASCSSSQKNGEPTPR >Solyc07g007320.2.1 pep chromosome:SL3.0:7:2041746:2044192:1 gene:Solyc07g007320.2 transcript:Solyc07g007320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPTWLEALYREKFFAPCSIHESAKKNEKNICCLDCCTSICPHCVMAHRFHRLLQIRRYVYHDVVRLEDLEKLIDCSNVQAYTINSAKVIFIKKRPQNRQFKGSGNYCTSCDRSLQEPFIHCSLGCKVDFVLHHYNDISPFLRRCTTLQLGPDFFIPHDMADYDTANETAQSTIVDNDEPWGSSLTSGSSSGSENMMLMSTTNNFPCVRKKRSGLYVCGRITINSYNKNISDEDMATSMSRRKGIPHRSPLC >Solyc08g045755.1.1 pep chromosome:SL3.0:8:17023675:17025206:1 gene:Solyc08g045755.1 transcript:Solyc08g045755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPIVSSCSKQKKESKREKGVKLHFTPMQTFQKMISQIISNHKDFSNIRDIGKIFLMQSTMKTISFI >Solyc09g074540.3.1.1 pep chromosome:SL3.0:9:66794730:66794856:1 gene:Solyc09g074540.3 transcript:Solyc09g074540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSPILFSPFMLTITSYFGFLLAALTITSALFIGLSKIRLI >Solyc04g049245.1.1 pep chromosome:SL3.0:4:41029528:41031763:1 gene:Solyc04g049245.1 transcript:Solyc04g049245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAAQKILGMEIIRERERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAIYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVSLSTTEAEYMALIEAAKEGIWLKGLIVCWDKTSRYLNSLLITYATTNN >Solyc06g024410.2.1.1 pep chromosome:SL3.0:6:11179501:11179620:-1 gene:Solyc06g024410.2 transcript:Solyc06g024410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DVGHGMPSSPLCSTTAQTMSGVACHHYLWAAQTVERLQA >Solyc09g074670.3.1 pep chromosome:SL3.0:9:66916644:66926848:1 gene:Solyc09g074670.3 transcript:Solyc09g074670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGWSRDGRKGIGLGFDGGVEMMEETELEEGEAYSYDNYKKNDSTIDPDVSLSYLDEKLYNVLGHFQSDFEGEVSAENLGSRFGGYGSFLPTYQISPSWSHPRTPQEANKNSRQVSPNNLLPEGGRQTTLGSSSTSLSGRFAASSARSAAVSALKAPQFKGGTNSAQPTTRAEDFNFKGQKVKKQRNASDPKSLKLRIKVGPQNLSTQKNAEIYSGLGLDVSPSSSLDGSPIDSEGVSRDLQVSPDESPTSILQIMTSHPMSDTLLLSPLSDELISLTENEKHWGKCGYEGNKKAILESLPLANGTHYANGEASEARKLVTSDKKSLAKGKGCANENDSALLSKKDIDSLACEELVSKALKLPLLSNPYPNAADPPKDTEKTVDSSKTATKGKRKEASSERTSKKFLLPVTAIDKNSVEGSGGKVSSSRRTMEIKGTDCNDHSSGYLKKEGQNQEEKADASSNNGQSKDMNVRNVDAVSPLKQSSRQKSSSNNEDGMKLAPEKEVFASRDTMKPKGNQCHHAQSTEVIKEGSVPDSFIASKGKKTSSSNMHLSKSEPEDMKKNLARDKYKQFFGDVEHELEDAETGLEQIHSKEMLKGSDVISKKRLERDSSMKEKVNGRKTEKPFASDEYPGLASDGAPHTVIESNPAAPPGVGAPVVKEDWVCCDKCQTWRILPLGTDPDSLPKKWVCKLQTWLPGLNRCGVSEEETTMVLRALYQAPMSGITAPAADKQYSEHEYPGGALSGPTSIDTSHASLEPQKAGIQTVDAGGKKIYGLKGVSSAIKQEGLLSSNGVKRNHQGTPNSRSSNGTTNSPSDENGHQLVGLPSSSIVEKQRPKQKEKRRSLENHPNGGIKSSKMRNTSETDLDGSTAKKFRRDDVHNDYNLIEAKPGQSSSTGLSGSEKIRDKYKYKQPKVDSLKNLAVAKNPESRSLDGSIQKCDIKDSLKRKRSDCQNPETQPPPDIIEETCDNDRKKEKKAKVSKSVGKDSSRSGASEETDVKGRNNKGNRVGQDLYSTVSQRSADAEDSPKRDLSALQPSVATTSSSSKVSGSHKNRTSLQEPKSSPVESVSSSPLRISKKDLCSATKRNPKRKDEHKSANSIPSSTPRWSSYGENDRCSNRSGMMKKEESSNGKHHGMESAELDYLEKDVHDVSGGTIKEKMKGSDFATHRHTDVIADPLGQANQYAFRTENSDQSLNNERRNNSQFHNNGSISKDEKVLFSQHKEKNRTIRSDSGKCKTKDRDSNESSDQRIDEGKLTSGRNKAEDKSGASSDRLQQGYKRDSFGELLNENVKGVIQSKFVDGAEVKLDVISGLDKRRAALTDRDDGRSSRKLASEKTQQIEVLEKGKSHLTSPSIRGQNETVQSSQPVPAFKREGVANLLAVDAFEGEMLNASRQGKKSESHPGNKPNSLRQSTPPANKTRAPGARSPIRKDSASQAAANAIKEATNLKHLADRLKNSVPSESTSLYFQATLKFLHGASLLESCNDSAKHSEMNQSRQIYSSTAKLCEFVAHEYERLKDMAAVSLSYKCMEVAYLRVIYSSNFNANRYRNELQTALQIFPPGESPSSSASDVDNLNNPTIVDKVTMAKGVASPQVTGTHAVSARNRASFTRLFNFAQEVYLAMDASRKSRVAFAAAYPGHSDTQCKVPALSVKKALDFSFHDVDDFLRLVRIAMEAISR >Solyc04g007700.3.1 pep chromosome:SL3.0:4:1385606:1388877:1 gene:Solyc04g007700.3 transcript:Solyc04g007700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRLYEGWNDILKIQKFRRIVSYTGFYCFVTVITYAYTNNTTRAGYSRADQFYASYPAGTEILTDTAKLYKAALGNVFEVEEWGPVEWSVLAKHFERQGKSPYAYHALYMAHLASHGQLDGSG >Solyc01g058730.3.1 pep chromosome:SL3.0:1:67940226:67948782:-1 gene:Solyc01g058730.3 transcript:Solyc01g058730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIYFFKFSPYKSFVPMPNRVSIVQVPFQVPLEVNIVLVGFSGDGGYRYALDSPKLEEFLKVSFPSHRPSCLETGQPLDIEHHLVYNTFPAGQPELIALEKALKAAMVPAGNARETDFGREVPLFEVEATAVEPEFQKLYSYLFDLESWGQSAEEMDRPWPTVIFIVNFDKVRLDPRNTDIDLDSLMYGRITQLNEEEMKKQEGDYIYRYRYNGGGASQVWLGSGRFVVVDLSAGPCTYGKIETEEGSISSRSLPRLRNVVLHKGSGVVTEHAADDIFVGQLASLVATTIEHVIAPDVRFETVDMTTRLLIPIIVLQNHNRFNIMTKGYNYSLDVGAIEAEVKKMLHKEQEVVMIGGSHALHRHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTYLDGAILREEMERSADVLAAGLLEVSDPSLSSKFFLRQHWMDENDGTSDSVLKHKPIWSTYNQNRKKEKKRAVKKKQGDLHRTYGTRVIPVFVLSLADVDEHLMMEEESLVWTSKDVVIVLQHQNDKITLSYVSEIERRHAIPMLAQQHILAGLASVVGGLSAPYEKASHVHERPVVNWLWATGCHPFGPFSNTSQVSQLLKDVALRNTIYARVDSALHRIRETSEAVQVFAAEHLKTPLGEPVKGKKNKTSTDLWLEKFYKKTTNLPEPFPHELVDRLEKYLDNLEEQLVELSSLLYDHRLQEAHSNSSDILQSSIFTQQYVEHILASEREKMKCCSIEYKLPVQSSQNLVYAGILLAGFFVYFVVIFFSSPVR >Solyc03g121800.3.1 pep chromosome:SL3.0:3:71331348:71334787:1 gene:Solyc03g121800.3 transcript:Solyc03g121800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYMAVSVEFFKKVPLLGFPGVNHHMLVISCPVVKNILLLDSEGNFTKTQKTNSEIQNKLLVRDPGQRKNSGRVVQIIGPVLDVSVGQPINVACEVQRLLGNNRVRAVAMSATDGLTRGITVIDNRRTEVVDLLAPYPEITMFENNIIVYKFVQDLHFFVTGGDDENELVLATVLQGFYDAVTLLLRNNVDQREALENLDLILLCLDEIVDGGMVLETDGNTIAGKVSSHNMDDGAPLSEQTITQALATAREHLTRSLLR >Solyc06g009570.1.1.1 pep chromosome:SL3.0:6:3548324:3548626:1 gene:Solyc06g009570.1 transcript:Solyc06g009570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHTPMQFMTEHFFYMMQAWLPSETPVKLHWYRKEELLNLRGNGIGKLEEWDRFYDYAYYNDLGESEKGSTYVRPILGGFTKYPYPRDIVGYAKLKVIK >Solyc08g007220.3.1 pep chromosome:SL3.0:8:1786907:1789878:-1 gene:Solyc08g007220.3 transcript:Solyc08g007220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding REARSDGQRGGGRGGPRGGGRGRGRGRGFNQDFAADENAFGSNNGFSGRYSAPENGESGKVSERRGGYGGSRGGFYGGRRGGFNNGDAADGEIERPRKVFDRRSGTGRGNEYIKREGSGRGNWGTTADDIAPETEVPVVDGEKIVEAEKQTGQEDAGDNNKDSTAAEPEEKEPEEKEMTLEEYEKVMEEKRKALVALKQEERKVNLDKELQSMQLLSNKKNDDEIFIKLGAEKDKKKEAVEKAKKTQTINEFLKPAEGENYYRSGGRGRGRGRGRGYGGGYVGNNSVSAPSIEDVGQFPSLAAK >Solyc03g111200.3.1 pep chromosome:SL3.0:3:63322693:63326107:-1 gene:Solyc03g111200.3 transcript:Solyc03g111200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSSVNLEDVPSESLMSELLRRMRCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEALKKPSCQKGFILDGFPRTVVQAEKLDVMLQNRGTKVDKVLNFAIDDAILEERITGRWIHPASGRSYHTKFAPPKVPGIDDVTGEPLIQRKDDTAAVLKSRLEAFHRQTEPVIDYYAKKGNVVNLPAEKPPQAVTAEVKKVLS >Solyc06g084626.1.1 pep chromosome:SL3.0:6:49741369:49742613:-1 gene:Solyc06g084626.1 transcript:Solyc06g084626.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFMDVCQDFVYAYGWPSRLVRPIWKIKRAPKRAYPSFRRFSCAIAHYFLGDPDSDVKNGKFFLRRPSTPCLCIRLAITACTTHLEAYHFLGDPDSDVKMQNFFMDVRQDLFYAYGWPSRLVRPIWKHTIFWVIRLPTSKMPNFFVDIRQDLVYAYGWPSRLVRPIWKISTSKMPNVFVDVRQDVVYAYDWPSGLFRHIWKVKRASKRAYASFRRFSCAIAHHFLGDRDSDVKNAKFVRGRPSRRCLCIRLAFRAFPTHLEGQTSLEASIRLISTIFVCYCTPFLGDRNSDIKNAKFVRGRPSRRCLCIWLAITVCPTHFEGQTSPEASIPLISTIFVCYSTPFFG >Solyc02g093470.3.1 pep chromosome:SL3.0:2:54966321:54970520:-1 gene:Solyc02g093470.3 transcript:Solyc02g093470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPMKRSSNSRRRNEKMRLFVTTFIGVVFGFFLGVTFPTISLSKLPLPTNLIPSIDLPDMEKSGLSTQALLNVLNSLKDRVGSSHKNKAAKIWVPSNPRGAERLPPGIVASESDLYTRRLWGLPSEDLIIKPRYLVTFTVGLKQKSNIDAAVKKFSENFTIMLFHYDGRTTEWDDLEWSARAIHVSTPKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGLENFNAEEETEERPGWCADPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDAQWIVHQTVPSLGSQGKSENGKAPWEGVRERCRREWTMFQDRMATAEMAYYKAMGMDPPNSTTN >Solyc01g079180.3.1 pep chromosome:SL3.0:1:78137369:78139943:1 gene:Solyc01g079180.3 transcript:Solyc01g079180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4AXG4] MALASTLTLLLFFLSMLLMPLTSQEKDNENFDEWISLNVKHYNSRNRETSLKWTQESIKHKKQHHVPPPPVSSSFDPKLIYAEMNKMIITVSQDGSSNFNTIKEALATIPLYNKRRVILDIKPGVYREKINIPRSSPFVTFRGDSSNPPRITGNDTASATRTGGTPLKTFQSATVSVDADYFIAINVIFENTAPHVVGTAGEQAVALRISGNKAAFYNCSFYGSQDTLYDHKGLHYFNNCFIQGSVDFIFGYGRSLYENCQLNSVAKKVASLTAQKRTNSSILSGFSFKNSTITGTGSVYLGRAWGDYSRVIFSYTYMDNIVLPLGWNDWGKTTRDSRVYYGEYRCSGPGANITGRVPWARILNDEEAMPFIGTYYVDGDSWLIHPY >Solyc04g010280.2.1 pep chromosome:SL3.0:4:3595864:3596871:1 gene:Solyc04g010280.2 transcript:Solyc04g010280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLIFHRYKLVFSLNIFLILFWQCSKFSVSSLCHRHTKREVVSASSS >Solyc05g018330.1.1.1 pep chromosome:SL3.0:5:20579368:20579625:1 gene:Solyc05g018330.1 transcript:Solyc05g018330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKDQPTPHLSSLVVRPIDSGGENGGGGAGSDYEPGEVRRDAPPYSRSDRFSDTHGSSLYKFIKLYQTNLENQKRTFINTII >Solyc10g081020.2.1 pep chromosome:SL3.0:10:62324464:62334532:-1 gene:Solyc10g081020.2 transcript:Solyc10g081020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transcription elongation factor spt6 [Source:UniProtKB/TrEMBL;Acc:K4D2Y9] MAGKNVISDDEDEVGMEEEERDDEPVDGDGVDEREDEDEDEEEEEGQDEYEKDGFIVDDVEEEEDEDEDDRADSDDERQKKKKRKKRESERNYVLDEDDYELLQESNIAVPRPKLESKKFKRLKKAQRDMEDEGSVFYEEEEFGETGRRGRTAEQKLERSLFGDDEGQPLEDIAEEEERLEEEEDADIGEEDEMADFIVDEEEVDEHGAPIRRKKVNKKKSRQAAGVSSSALQEAHDIFGDVDELLMRRKQDRAKSSMHVESGEWSERRLEDEFDPTILAEKYMTEKDEHIRKIDVPERMQISEESTGPVTPETISMEESIWIYNQLVAGVVPLFKKKDGGTSDEEKELPIDKDDIMRFLDLMHAQKFDVPFIAMYRKEECMSLFKDPEEDGTSDDGPKNSDKKPSVRWHKVLWAIQDLDRKWRLLQKRKSALELYYKKRFQEESRRVYDETRLKLNQQLFESITNSLQASESEREVDDVDSKFNLHFPPGEVGVDEGQYKRPKRKSQYSICSKSGLWEVASKLGYSAEQFGRHMSLEKMGDELEDAREPPEEMASNFTCAMFETPQAVLKGARHMAAVEISCEPSVRKHVRTTYMNHAVVSTSPTPEGNTVIDSFHQFAGVKWLRDKPLSEFVDAQWLLIQKAEEEKLLQVTIKLPEVHLNQLTTDSKDHYLSDGVSKSAQLWNEQRKLILEDAIFNFLLPSMEKEARSLLTSKAKSCLLMEYGNVLWNKVSVGPYQRRENDISSDEEPAPRVMACCWGHGKPATTFVMLDSSGEVLDILYAGSLSLRGQNVNDEQRKKNDQQRLLKFMMDHQPHVVVLGAVNLSCTRLKEDIYEIIFKMVEDNPRDVGHEMDNLNIIYGDESLPHLYENSRISADQLPTQSGIVRRAVALGRYLQNPLAMVATLCGPGREILSWKLNTLESFLTPDEKYEVVEQVMVDVTNQVGVDLNLAISHEWLFAPLQFISGLGPRKAASLQRSMVRQQTIFTRKDLLTEHHLGKKVFINAVGFLRVRRSGYTANSNTYIDLLDDTRIHPESYTLAQELAKDIYLKDMGEEANDDDEVLEMAIEHVKEKPHLLRLVNAYEYANEHNRFDKRETLNGIKLELMQGFQDWRRQYVEPSQDEEFYMISGESEDTLSEGRIVQATVRRVQPQKAICSLECGLTGILSKEDSSDDWRDVNDLTEKMREGDILTCRIKSIQKNRYQVFLSCKENDMRNNRYQNNQNLDPYYHEDRTSLQTEKEKARKEKELAKKHFKPRMIVHPRFKNITADEAMEFLSDKEPGESIVRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDTFEDLDEVMDRYVDPLVAHLKAMLNYRKFKTGTKAEVDELLKIEKSEYPMRIVYSFGISHEHPGTFILTYIRSSNPHHEYVGLYPKGFKFRKRMFEEIDRLVAYFQRHIDDPHDSGPSIRSVAAMVPMRSPASGGSSGFGSGWGGSSNDSGRRGGQSGDRDRSYGSGSRAGRNDYRNRNNQDDQSGLPPRPYGGGGRGRGRGRGRGRGNNDNNDGQDSDYGSQKWSSKEGGGGGWGENQNSPARESWGGGAGGGSGDGGSWGASPSGGWGASPSGGDSWGKDTGGEAEDSGWGGSKKSGGSGGW >Solyc01g066510.2.1 pep chromosome:SL3.0:1:74305989:74311537:-1 gene:Solyc01g066510.2 transcript:Solyc01g066510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSRLSTADQLPRYNFYAQPNRGSILQYGHLFRYTPFKGFNGRDSFSYTICDVNGNIASGGVDIFVLSTPPQFVSVPSQLLATEDVISPRFGGFSGLEIIYSDSTENISITFNAQSGIVFLSPMIMQFWQPAWSISSTFREVGKSTELTLTGCVEEINFAIQSLQYFGNENFYGTDTIHVSTMNTNGKNSLDIPILVEPINDPPLINLPPFVIMDQGSEEVSIFSRVRNKSAVFVGDPDLLHYPGNVSRFLVMFSMEVSSGFLSTKLPANLISTTELKSKTSYQWQPLQTFVTISEHFMVKAKGIRFRGTLEDCNSVLEQLLYHVCSRDSKQLLSFSAGSFPYIFLCYIVDVLFPDSMGNEHRASLIVTVNDMGNYGCYPGCTDLMSMPHFVEVEVSLMREKPLSSLFAHGKAVTENGAFFYLPQISVFGSAIIVEFILVLSFGVILLFFICKCAFVLINEKRRQGSQDFELSNVQNSQEGTLTKDLSDKMTQVRGCCSNFFMSSLQLSKFHLRSCLQLGVGGFPKATNTSSSDQLEMTSPSGLSAATSENDEQLEEPPSSLLR >Solyc01g018010.2.1 pep chromosome:SL3.0:1:26663505:26664206:-1 gene:Solyc01g018010.2 transcript:Solyc01g018010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDVLRSLQNSNDSHNIAFLFEIGGS >Solyc04g008625.1.1 pep chromosome:SL3.0:4:2248208:2250235:-1 gene:Solyc04g008625.1 transcript:Solyc04g008625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGYFVECHEEYEDNPEWWFQFMEVVIIWYLAGALWDSHIILCWYFQSEVCYILITWSMGSCQGVFAYRQRT >Solyc04g051150.3.1 pep chromosome:SL3.0:4:49668565:49685640:1 gene:Solyc04g051150.3 transcript:Solyc04g051150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:sterol glucosyltransferase SGT4 [Source:UniProtKB/TrEMBL;Acc:K4BS77] MDDSLEKNNGLKDSGEVPVDFELVIQGDNGNQTNKESTVDNSINVQGSVSGDETGHSATNVEKPVKKSEPGTSQPDKAGRHIQNKNKGLGVLAAKLFDDRVPLRKKLKLFNRLATIQDDGTVQFEVPGDIKPGKLDFGTGVVYNGASDEAANDVADIPVLPPLQIVMLIVGTRGDVQPFVAIGKKLQENGHRVRLATHANFKEFVLGAGLEFYPLGGDPKVLAAYMVKNKGFLPSGPSEILIQRNQIKDIVFSLLPACVDPDPESNVPFRVNAIIANPPAYGHMHVAEALQVPLHLFFTMPWTATSEFPHPLSRVKQSVANRLSYQVVDGLIWLGIRDVVNDFRKKKLKLRRLTYLSNSNSFHSDVPFGYIWSPHLVPKPKDWGQKIDVVGFCFLDLASNYEPPESLVKWLEDGENPVYIGFGSLPVQEPEKMTEIIVQALEITGQRGIINKGWGGLGSLKEPKDFVYLLDNCPHDWLFLHCAAVVHHGGAGTTAAGLKAACPTTVVPFFGDQQFWGERVHARGVGPAPIPVDEFSLEKLVAAIRFMLDPEVKERAVELAKAMEHEDGVTGAVKAFYKHFPLESLEPKPEVSPRPPRFFSLRRCIGHS >Solyc01g091600.3.1 pep chromosome:SL3.0:1:85058373:85064242:-1 gene:Solyc01g091600.3 transcript:Solyc01g091600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTARAGNDDSSNGVDTTPLLTDQVFRSRRLARRAPTLRGAARFLRRASNHRLMREPSRRVREAAAEHIEERQSDWAYSKPIVILDLIWNLAFVIVSVSVLILSHDELPSMPLRLWIVGYALQCLLHMVCVCVEYRRRIQLSDSSPILNSEQRNAAGGWNSSSSGSDSGETGDYQSEGRQNEDEISVAKHLESANTMFSFIWWIIGFYWISAGGDSLARDAPQLYWLCLTFLAFDVFFVVICVAVACLIGIAVCCCLPCIIAILYAVADQEGATKEDVERLTKYKFRRLGKFEKQNGEIQESFGGVMTECDTDTPIEHVLPLEDAECCICLCPYEDGIELRELPCRHHFHSVCIDKWLYMNATCPLCKFNILKNGNQSSSEEA >Solyc01g020400.1.1.1 pep chromosome:SL3.0:1:29898468:29898812:1 gene:Solyc01g020400.1 transcript:Solyc01g020400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCWKNVYDCPSEGLSHVSGKFVNGKLYWAVTTAGVNAYEGGYIISFDLCDEKRGKVENPCYEEGGRIPSVGVLGSDIIAFSDLESHAHFGLRKSTGLGQTYITTMLVLDLFSL >Solyc06g036220.2.1 pep chromosome:SL3.0:6:25780042:25782158:-1 gene:Solyc06g036220.2 transcript:Solyc06g036220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEQIMEWNKVGISIVTGEVSLFAGIAMWMTSFLRVGFIYSCITPPGFYLFLIDWYLRFLQSLQCVSLVSARVLPCQAVELNFSKTIVLTVYFSILISGIRYNPTSSVFINVPSILKLQWHPFTVTSNSNMEPEKLSIVIKSEGKWSQKLFEKLSSTTPGHHLQVSIEGPYGPSSTQFLSACRHDMLIMVSGGSGITPFISIIRELIYIAGSTSCKIPKVLLVAAFKKSTNLAMLELLLPLSGTNYNILRLQIQTEAYVTRETEPLKDNQKFLKTLWLKPNASERPVSAVLGQNNWLCLVAIIISSSLMFLLCFAEIVTECEESIFVSGPRRMKQEIAIICSASVATNLHFESISFNS >Solyc09g015310.2.1 pep chromosome:SL3.0:9:8521039:8529911:1 gene:Solyc09g015310.2 transcript:Solyc09g015310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTGQVLLQLLEMHIPSYRCKPRDIITAKDEQKSRSLTQISLDLSPHEELPNHLTLHSIQYKGLVNQIIDSKWVGLKINE >Solyc11g017300.2.1 pep chromosome:SL3.0:11:8166407:8171722:-1 gene:Solyc11g017300.2 transcript:Solyc11g017300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALNSYASSAAMAQQTWELENNIVTTDAPSGSAPENSASDAIFHYDDAAQTKFQREKPWTSDPHYFKRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDAIIVMDAFALPVEGTETRVNAQADAYEYMVEYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVTTQMLNQQYQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPISEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEQAENQLSHSRFGHLVAAPQRKKEEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVCKSGKSQTEPSDPEPMVET >Solyc03g083070.2.1 pep chromosome:SL3.0:3:54321018:54332459:-1 gene:Solyc03g083070.2 transcript:Solyc03g083070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADPNLVYYSCVAKGTTILAEINSKDADLGSLALKCLEKTPPLHTFFSHTIRNRTYTFLIENPYVFFAIFDEKIDKSDGLAFLKGVEEAFRGVIERSSGKKRLDKLNSHCFQGELNPVFHQLLDSNFGVDEGSNSPRSELDHGRSTSLDSVKGKKIGSMPLLADAASSLKLKKKRLFGQFKKRNDEMCEKRVDVSDDGIRLSRDFSVTMQKNGLIHGEGGHQKAKKVWKKQVWVVLSLDLIVCTILFIVWLYSIVAIGRDCNVMVLFEASKYDDLQLFWSLEQRAHDDGPCNSATHGRRLRA >Solyc01g105030.3.1 pep chromosome:SL3.0:1:93221206:93222276:1 gene:Solyc01g105030.3 transcript:Solyc01g105030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAP10A description:Chlorophyll a-b binding protein CP24 10A, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P27524] MATTSAAVLNGLSSSFLTGGNKSQALLAAPLAARVGGAATPKRFTVLAAAAKKSWIPAVRGGGNLVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGTLLGTQLILMGWVESKRWVDFFDPDSQSVEWATPWSKTAENFANFTGEQGYPGGKFFDPLALAGTLNNGVYVPDTEKLERLKVAEIKHARLAMLAMLIFYFEAGQGKTPLGALGL >Solyc09g075370.3.1 pep chromosome:SL3.0:9:67516698:67520776:1 gene:Solyc09g075370.3 transcript:Solyc09g075370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRCCSSAGRSVTSKRWFSSSSTDKIVASVLFERLPVIVPKIDPTVYAFQEFSFRWRQQYRREYPESFLKKSDTRGKGDYQIDYKPAPRISEADKTNDQRSLQRALDRRLYLLVHGTTHGSGKPVWHFPEKVYESEENLRKCAESALESFIGDLSHTYFVGNAPMGHMVIQPTEDKKILSIKRFFFKSQVIAVNKFDIRKCDDFVWVTKDELLEYFPEQAEFLNKMIIS >Solyc07g007660.1.1.1 pep chromosome:SL3.0:7:2310085:2311305:-1 gene:Solyc07g007660.1 transcript:Solyc07g007660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEEETQQILKVLEALKQASQQLQSNPESDTSESDSSSSSSAIKALLELDTYSDPNLLNLSNHLSDLKTLISSLHKSKHKHGRIKSFLTRRVKTHEITKVAESIESEIQAWIDRESITNLTNQLQQIRLQSNSSQYEEDEDEIVEKLTIFHDRLSQGFNINLQELLLKSKIFSELEFLICCDGNIPEKIREKAGYALKEVVLFNKDVFVGQILMGQTIKALISMDSLCSLEVLSSLIKAIRSPFVDVLESVGGISKSISYLNSDDLPFKVTAMDFVLEIGYFGRKEAVEAMLNCDLIKKLVGLQRSDLGGDLIDLGKVHDEEIDEDEHEEKTKKKRGNKEKRFLEKHPFASCVARFTVQLEVGEGLRQREKRGFKQEILKKIRDACDTDAEAATIVAEVLWGSSP >Solyc03g118320.1.1.1 pep chromosome:SL3.0:3:68719726:68721477:1 gene:Solyc03g118320.1 transcript:Solyc03g118320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQQLVSMGFSEDIAVEALTATGGDIIKATEWILSHGHNHKGSQDSCNFNPISSSSSGSPTTAAHDYHPFQPKIDRFFQFQSKPLTPTSVSVVKPIKGVTTHEEEEGKIDEEPPLLRLTKRPKVVESEQGKKRPPHEPLSERMRPRTLDDVVGQDHLLAPKSLLRSAIDCGRLPSILLWGPPGTGKTSIARAIVNTCSASEAGGSTYRFVSLSAVTSGVKDVREAVDEARRMKKKSNKRTILFIDEVHRFNKAQQDSFLPVIEDGSVIFMGATTENPSFHLITPLLSRCRVLTLNPLKPHHIAMLLRRAAADSDKGLSCCMGESMKIEVNDECIEFLSTNCDGDARVALNALEISATTAAARMGMARGSNKESGDKQGNADESPLPAVVSLDDVKEAMQCKHLAYDRAGDEHYNLISALHKSMRGSDANASIYWLARMLEGGEEPLYIARRLVRFASEDVGLADPSALGQAVACYQACHFIGMPECNVILAQCVAYLALAPKSIAVYRAMRAAQKVVRESVGQNEGVPLHLRNAPTKLMKDLNYGRDYIYPPDNPNSSQTYLPLSLQGYKFLDWPNIAANDQ >Solyc12g009530.1.1.1 pep chromosome:SL3.0:12:2780224:2781690:-1 gene:Solyc12g009530.1 transcript:Solyc12g009530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPTLRELHLSNNQLSGIFPQSLANLTNLNILDLSSNNITGDAVPNITFPSLRILGLSSCELKDFPLFLRNVNTLTVLDISNNKIRGQFPNWFSGKRWESLLHLNLSHNSLTGHLPQFHNYHSLEYLDVKFNSLKGLLPSSICNMNKLEFLDLSHNNFSNSIPSCLRSMASLTVLDLRRNNFTGSIPPLCAHNTSLRTIVLNGNRFEGTVPMSLLKCDGLEVLDVGNNVINDTFPAWLGTLEQLQVLILKSNVFHGPISTCQTKFCFPKLRIFDLSSNEFSGSLPAKVFGHFKAMIKLDGEDTGEIKYMKQFTKSSYKSYEDSVSLVIKGQNIELQRISTIMTTIDLSSNHFEGVIPKTLKDLSSLWLLNLSHNNLRGDIPMELGGLNMLEALDLSWNQLTGMIPQQLTRLTFLAFLNLSQNHLVGRIPQGSQFNTFENRSYEGNIDLCGPPLSRQCGTGDPSHIPQPLGSEEDEDESYFLVDLRGNP >Solyc01g013915.1.1 pep chromosome:SL3.0:1:10358481:10366997:1 gene:Solyc01g013915.1 transcript:Solyc01g013915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGFTSEDLSKIGAKINKDRTNKGPNNIPNHNLESSFTLSSRHRQNIQFLKILNCKTYLPMQIWRGMKNVLMQASLNCRLSIAFII >Solyc06g072014.1.1 pep chromosome:SL3.0:6:44528665:44528972:1 gene:Solyc06g072014.1 transcript:Solyc06g072014.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAENHTLGWNTSGLLNSGDQIVFLSICELCLDAPAVDYPDKLAVFNESQNLLILFVKD >Solyc02g063541.1.1 pep chromosome:SL3.0:2:36184689:36186291:1 gene:Solyc02g063541.1 transcript:Solyc02g063541.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:1-aminocyclopropane-1-carboxylate synthase 7 [Source:UniProtKB/TrEMBL;Acc:O81143] MKLLSKKAMCNSHGQDSSYFLGWEEYQKNPYDEIRNPKGIIQMGLAENQLSFDLLESWLTLNPDASAFKRNGHSIFRELSLFQDYHGLPAFKDALVQFMSEIRGNKVSFDSNKLVLTAGATSANETLMFCLADPGHAFLLPTPYYPGFDRDLKWRTGAEIVPIQCTSSNGFRITESALEEAYTEAERRNLRVKGVLVTNPSNPLGTTLTKKELQLLLTFVSTKQIHLISDEIYSGTVFNSPKFVSVMEVLIENNYMYTDVWDRVHIVYSLSKDLGLPGFRVGAIYSNDDRVVSAATKMSSFGLISSQTQYLLSALLSDKKFTKNYVSENQKRLKKRHEMLVGGLKQIGIRCLESNAGLFCWVDMRHLLSSNTFDGEMELWKKIVYEVGLNISAGSSCHCTEPGWFRACFANMSEDTLNIAIQRLKAFVDSRVNNKDDIQNQQQCSNKKKSFSKWVFRLSFNERQRER >Solyc10g024325.1.1 pep chromosome:SL3.0:10:13459988:13460616:-1 gene:Solyc10g024325.1 transcript:Solyc10g024325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSAVFEMKDLGPAKQILGMKISRDRSVCTLNLSQELYIEKMLRRFRINNSKPRTTPLANHFKLSKEYTSLCFVKVKVTLQGFVDTDLGGDVDSSKSTFGYIYTIGGTTVSWMSRLQKCVSLSST >Solyc05g009180.1.1.1 pep chromosome:SL3.0:5:3315755:3316402:-1 gene:Solyc05g009180.1 transcript:Solyc05g009180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEFQGKHNNNRTSNGRLKLFGFNVTEDQEQEVESTKTSSGSPESGDFLASDGRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQASRNAYMRNPIISAFAPPSHLLAPSGSVMVPTTSPSWVYVPRPAPPFHVSHGCVFPNSSGARGVGNLQYTGSVAESSLTSVGPQQVKAHSAKVDGPSLSRFSSMDAGPNFDDAFGLDLHLSL >Solyc07g040700.2.1.1 pep chromosome:SL3.0:7:49532437:49532998:1 gene:Solyc07g040700.2 transcript:Solyc07g040700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTLVFARKWRYCWKRFKKLEELNF >Solyc02g071860.3.1 pep chromosome:SL3.0:2:41774159:41784057:-1 gene:Solyc02g071860.3 transcript:Solyc02g071860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRIFNFFLIFFTIVICFTADNIEAQTGQLPRDEVEALREIADQLGKKDWDFKLNPCDGNSNWSTPKRKDMPWYTNMLECNCTFLDNLCHVENISLIGQDLAGVLPASLAKLPYLRKIDLNRNYLNGTIPPEWASTKLEIMAISNNRLSGHVPEYIGNMTSLVRLSLETNLFNGSLPAEVGNLVNLEMLNLKANNFTGEWPVELNNLTKLDELRITSNSFVGKLPNFESWKNLRKLEIEGSGFEGPLPPSFSVLSSLEELRISDLNGGASEFPSLTNLTSMTKLVLRSCNIHGNIHDSVAEMVNLRFLDLSFNNLEGGIANLEHVTQMEATYLTGNAFVGQIPNWLTSRDTRNVIDLSYNKFDESSEPGSCRDNLNLFRSFKVENFVERGKCFSASPCSEDKYSLHINCGGGNVTVGNTTYIADEDSAGAAKFVYWKGNWGTSSTGHFWDTDVSLDDHKAKNVSAIKGDESQLYMTAHLSPLSMTYFGRCLANGNYTLTLHFAEIVYRDNQSFRSLGKRIFDIYIQDKLKFKDFDIKRLAGGVDKALKEKFNVTVKDKSVQVRFQYAGKGTTSIPSRGHYGPLVSAISLEANFKPPPPQETSSNQKKKILIVAGAVTSSLALVLMIFFVAWKKRRNRKLMEQELRGLDLQTGIFTFRQIKAATSNFDSANKLGEGGFGSVYKGTLADGTIIAVKQLSSKSRQGNREFVNEIGMMSGLHHPNLVRLYGCCVERNQLLLVYEYMENNNLSHVLFGPEDCQPKLDWPTRQKICVGIAKGLAYLHEESPLKMIHRDIKGTNVLLDKDLNPKISDFGLAKLHDEEKTHVTTRVAGTIGYMAPEYALWGYLTHKADLYSFGVVVLELVAGKNNMKYHPDENYVCLLDWALVLQKKGKFLELVDPRLGSYYDKEEALRMIKVALRCTNPSPALRPNMSAVVNMLEGRLNVDESNIDSSGYDDEFNFQGLRDKYDEMQVTSSENQSVLFSTGTKGTDHSSSTFPSTSTSK >Solyc01g017333.1.1 pep chromosome:SL3.0:1:23856294:23856565:1 gene:Solyc01g017333.1 transcript:Solyc01g017333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYMSIIALGSRYLRTILDVQFNFDIGKCLITFVMAIGIILTPIYSLSMPRQLFYGYKLFNAQRTLFLIQDRESYFFGSPSFYP >Solyc04g008455.1.1 pep chromosome:SL3.0:4:2072311:2076811:-1 gene:Solyc04g008455.1 transcript:Solyc04g008455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQTGRPDLTAAAAAQSDAAVTVAVHDGETINNDQKDHSRWETLVLAYKTLGVVFGGLVTSPLYVYPSMPLKSPTEDDYLGIYSIMFWTLSLIGVVKYATIALQADDQGEGGTFALYSLLCRNINIGILSSKSASLNSSHSYVNQSKKPSRLGKFCERSLIARRVLLFIAMLGMCMLIGDGILTPAISVLSAMGGLRARFSSVSKSLVEGLSAIILIVLFLLQKFGTSRVSFLLSPIMGAWTLTTPLIGIYSIIKHYPSIFKAISPHYIALFFLRNGKQGWIYLGGTVLCITGSEAMFADLGHFNRSSIRIAFLYTIYPSLVLTYAGQTAYLIRNPDDHFDGFYKFIPSAVYWPIFVIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSSKEGEVYSPEVNYILMILCVAVILVFGDGQDIGNAFGKMP >Solyc12g005270.2.1 pep chromosome:SL3.0:12:167635:168278:-1 gene:Solyc12g005270.2 transcript:Solyc12g005270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4DB65] MAGRGKTLGSGAAKKAQSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKAGSSKPSADED >Solyc05g015640.3.1 pep chromosome:SL3.0:5:11597941:11599794:-1 gene:Solyc05g015640.3 transcript:Solyc05g015640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKERERVKKVELIQKAIDQLIKHEQLQSKTCHLLDDSFVAVDNDDDEEADHRHQLLSQLVTQLDSLKEESQLNESTNLQEAPVEIQSEEEDKVVKELRKIQKQNFITQCLLSAMILLTLTWQLSEVSIILKMKDGLNHPFRSITSMLTGWMKRPPPLLNGPDDHLNNSEKHQVEAMSLPKLKVPELPHMELPSFDFINEED >Solyc01g097420.2.1 pep chromosome:SL3.0:1:88151264:88155303:-1 gene:Solyc01g097420.2 transcript:Solyc01g097420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLNHTMNATQQISIFTSSKKIILLLFLSKEIQMAIHTSKHSDTIMSLSNERDSYLGKPHKRLQLVFRAIYFVAVLNKKRTDRNTLVLSQLVCDPSYIIDIESINNHEKQLFNGINKEALAKLVREKDFNGLLQFGGVLQVVVLLGSDQKEGIRMHENEVEQRKVTFGSNIYEKPPAKSFLSFVVEGFNDTTIIILLVCAVLSLGFGIKQHGPKEGWYDGGSIIVAVILVLAVSSISNFKQSRQFLKLAEESKDIKVELMRDGRRKEVSIFDIVVGDVVCLKIGDNIPADGLFLDGHSLQVDESSMTGESDHVQINETQNPFLVCGTKVMDGYGHMLVTSVGANNAWGQMMCTITDHKNEQTPLQNRLNKLTKYIGNVGLLVAFLVLVTLMIRYFMGHTENESGQKEFVGSKTKADDIMNSLIRIIAAAVTIIVVAIPEGLPLAVTLTLAYSMRRMMLDHAMVRKLSACETMGSATTICTDKTGTLTLNQMQVTEFFLGTEMITRTSQLATDVVQLLQEAAFLNTTGDVYTTPSGPPEICGGPTEKAILSWALTSLLVNFNELKQKYQILHVEVFNSQKKRSGVLVTKNSTGKAHTHWKGAAEMILAMCSTYYVKSGIIAPIDHEERKELELKIEYMASKSLRCIAFAYKERNAENQALEETELTLLGLVGLKDPCRPGVKESVESCMDAGVSIKMITGDNVFTAKSIAFECGILQPGEDLNIAVIEGPTFRNYSQEERMETVEKIRVMARSSPLDKLLMVECLKQKGHVVAVTGDGTNDAPALKAADIGLSMGIQGTEVAKESSDIVILDDNFNTVVTVLKWGRCVYNNIQKFIQFQLTVNVAALVINFVAAASSGEVPLTAVQLLWVNLIMDTLGALALATERPSSDLMNKKPVGRIKPLITGVMWRNLLAQALYQVTLLLILQFKGSAIFHVNKKVKDTLIFNTFVLCQVFNEFNARNLEKKNIFHGILKNRLFVGIVGVTIVLQIIMVEFLRRFADTERLNWTQWAACIGISSLSWPIGWIVKCIPVSNK >Solyc04g025735.1.1 pep chromosome:SL3.0:4:21251756:21251995:-1 gene:Solyc04g025735.1 transcript:Solyc04g025735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRRLNELLGITSHSSAKKQENPPIKDVFTDVYDVFPANLQEQEISIRETIRRHPLIILLMFPSS >Solyc06g036600.2.1 pep chromosome:SL3.0:6:26850300:26854531:1 gene:Solyc06g036600.2 transcript:Solyc06g036600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPGEAPLKSLWVGNLAPDMTDEDLEQGHFPNRSIGHPNPLIMPQDFVRNYSHSRNVGFTRQHEKEPEVKDGHYPNRSIDHSHDLPSKVLCISYPPTVHVDNNMIHNAMILLGEINRIKTFNDKNFSLVEFRSVEEAQRAREGLQGKLFNDPRITIEYYYPFPPAQTMAQNPPILAPSASITISPGKHYIWNGNIARKGTSVCRAVCVPTGESVICVLPDIVNCTAKTGLEKLKKHYSDAAIGFNIFFFLPDTDHKEYASYAEFLRYLSAKDRVEVAKLSDGTHMFLVPPSDFISKVLKVDGPACIYGVVLKYSPHTTSATVLPK >Solyc12g035225.1.1 pep chromosome:SL3.0:12:39430379:39433164:1 gene:Solyc12g035225.1 transcript:Solyc12g035225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLSRVIEYEGEIVEYADRYIDLALYLKFVDINSTGTLLSSDWEGVKRITKFLEIFFNLTLKISGSRYVTSNLYFLEICQVGVYLNQLISNEDQVLAKMAENMKEKFDKYWGDASKMFKMVFIPCVLDPRHKFSTLGFALKKMFGEKGAAIENGVQTYMEALFNEYTHPISNDKSGQFSSTGVDTSISSFVGEFGNFFEELHKHKSEKGGASSKSELVKYLDEETEIEKSDFDVLIWWIVNSPIFSFLSEMARYVLAIPVSSVASECAFSTEGHILDLFRSSLTPRFVQALVCLQDWLRSEPLSISIEEDLDFLEQLEEDFAKLGKELCIDDM >Solyc05g054580.3.1 pep chromosome:SL3.0:5:65313433:65316355:-1 gene:Solyc05g054580.3 transcript:Solyc05g054580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:60S acidic ribosomal protein P0 [Source:UniProtKB/TrEMBL;Acc:K4C2D6] MAPKATKAEKKIAYDTKMCQLLDDFTQVLVAAADNVGSNQLQSIRKGLRGDSVVLMGKNTMMKRTIRVHAEKTGNETILNLIPLLVGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPVDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPEVLDLTEDDLIEKFAMGVSMVTSLALAISYPTLAAAPHMFTNAYKNVLAIAIETDYSFPLADKVKEYLADPSKFAAVAAAPAAAAGSGAAPAAAKEEEKKEEPAEESDDDMGFSLFD >Solyc05g010000.1.1.1 pep chromosome:SL3.0:5:4200134:4200439:1 gene:Solyc05g010000.1 transcript:Solyc05g010000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSFSSSKTLYLSSKLTCLILVISLLFNYGHIVEASRFGRIMMVEENSRIFSSQHMKVYKKENAYKVDNLLFTMLPKGIPIPPSAPSKRHNAIEDSTPQN >Solyc06g051750.3.1 pep chromosome:SL3.0:6:35394405:35401414:-1 gene:Solyc06g051750.3 transcript:Solyc06g051750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP90A1-like protein [Source:UniProtKB/TrEMBL;Acc:K4C5W4] MDTIDLFLYLFLSVFTFYLLRCMAAAHLRGRKTRLPPGTLGLPFIGETLQLISAYKTENPEPFIDDRVSKYGSIFTTHVFGEPTVFSADPETNRFILQNEGRLFESSYPGSIQNLLGRYSLLLMRGSLHKRMHSLTMSFANSSILKDHLLGDIDRLVRLNLDSWTGRVFLMDEAKKITFNLTVKQLMSFDPCEWTENLMKEYMLVIEGFFCIPLPIFSSTYRKAIQARTKVAEALGLVVRDRRKERDGGERKNDMLEALFEGDGVEGVGFSDEEIVDFILALLVAGYETTSTIMTLAVKFLTETPRALSLLKEEHEEIRLRKGEVKSLQWEDYKSMPFTQCVVNETLRIANIISGVFRRAMTDINIKGYTIPKGWKVFASLRAVHLDHEHFKDARTFDPWRWQSSAGPTSSPNVFTPFGGGPRRCPGYELARVELSVFLHHLVTRFSWVPAEADKLVFFPTTRMLKRYPINVQHRSLFEQKEEEKGS >Solyc08g076010.3.1 pep chromosome:SL3.0:8:60184335:60190794:-1 gene:Solyc08g076010.3 transcript:Solyc08g076010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRMYNGGGDMGYGYENGVVMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQTKKQNAAEQNRENIAGESFRQFSLHSSGPSITSSSMDGMQGEAPISEALRRQIDVQKRLHEQLEVQQKLQMRIEAQGKYLQAILDKAQKSLSTDMNSASAVDETRAQLTDFNIALSNLMDYVHGHNEDETSAGKRIQDDTNKDLQRSTYLTEGEQKKIMNIKLEESSVSFDLNSRSSYDFIGMNSAALEAKQFSNGRLEI >Solyc07g047990.1.1 pep chromosome:SL3.0:7:59325229:59326702:1 gene:Solyc07g047990.1 transcript:Solyc07g047990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFASVDSMEEEKEQFAEVDPSGRFGRYAELLGHGAVKKVYRAFDIQEGRDVAWNQIQLSKFIDMPYVVNKIHSEIELLKNLKNDNIIVLYHFWRDKEHNTLNFITEECVSGNLRDYRKKHRRVSMKALKNWSRQILQGLDYLHTHDPCVIHRDLNCSNIFINGNVGKVKIGDLGLATIVGKSHAAHSMLGTPGYMAPELYEEDYTELVDIYSFGMCLLEMATMEIPYSECESLAKLYRKVTSGVKPQAFNKVSDKELKDFIEKCIGQPRARPSAADLLMDPFLSDVDNC >Solyc11g062280.2.1 pep chromosome:SL3.0:11:49492289:49497381:1 gene:Solyc11g062280.2 transcript:Solyc11g062280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASFRWVLQLHKDVPKAARFYSEGLDFTINVCTHRWAELQSGPLKLALMHSSTDIVVQKGYSSLLSFTVGDINNSVTKLMALGAELDGPIKYEIHGKVAALRCVDGHMLGLYEPS >Solyc06g072730.3.1 pep chromosome:SL3.0:6:44998006:45001858:1 gene:Solyc06g072730.3 transcript:Solyc06g072730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKSTSNGTPSNSTPVTPRISRVSRGIDKSDADSPSSLQNLHRSVDRTVRSVNTSKPSVERQTSKISALPNVSSSKSILCYVCKKKPTRILKPSELQAELNVAREDLKKAKEMLVLAEKEKEQALEEVKEAQKLSEEANKKLREALVARKQAEENSEIEMFRAVEMEQVGIEAAQKKEEEWMNEVEAVRNQYAVDVASLLSATQELQRVKRELAMACEVKNKALSNAEDAAKIAETHAEKVEILSAELVRLKSLLDSRISWNETEVNEKNKLVEDLTLEIETLKEELEKAKSYEAQLVEKEAIVKQLNANLEAAKMAESSACYVLEEWKKKVEELDAQAEEAHHLERSASTTLESVMKQLEASNDLVHDAESEIAYLKEKVRILEMSMARQKGDLEDSEHYTQMAKEEASELRKKLDSLVCDLETVKEEKIQAMENERLAATSAQTLLEEKNRLVNDLESSKEEEEKSKKAMESLASALHEVSSEAREAKERLLSNQDDQHERYETQLEDLKLELKAKEEKYESMLDEAKEKLDVLTNSIEQSKEGLEKWKAEWEEKELHLISCMNKTEEENSSMRKEINRLVNLLKDAEDQASAKKDEEAYLKNSLRKSESEVTFLKEVLGEAKDESMRLKERLADKENEVQNIVCENEELRSREAASLKKVEDLSMLLEESLTKNEPEVNVELSDSQKNYNMLPKVVKFSDQIEKPMMEFSTYQSEQPVDKKPDQVKITSHDEYDLKASKVIDNSNEKLNKLESKAKEDDVLTIDEHKMWEREAEQEEKSELSENGGTSPTKKQNQKKKKPLFHKFGSLLKKKNTSSQK >Solyc09g061840.3.1 pep chromosome:SL3.0:9:60689221:60695244:-1 gene:Solyc09g061840.3 transcript:Solyc09g061840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDIPLNFIGSFFGPLKIHYLIIRCVYKYEGTRSVVFNNPIFICAGLKGKKEELIMEKAIERQRVLLEHLQPIRSSSITPSVCLAGDSSAYHRTSAFGDDVVIVAAYRTAICKAKRGGFKDTLSDDLLAPVLKAVVEKTNLNPSEVGDIVVGTVLAPGSIRAMECRMAAFYAGFPDTVPIRTVNRQCSSGLQAVADVAAAIKAGFYDIGIGAGLEFMTVDNIGLVVKANPKVDAFAQARDCLLPMGITSENVAQRFGVTRLEQDQAAVISHQRAAAATASGKFKDEIIPVLTKIVDPQTGNEKPVVISVDDGIRPTTNLTGLAKLKPAFKRDGATTAGNSSQVSDGAAAVLLMKRSVAMQKGLPILGVFRSFAAVGVDPAVMGIGPAVAIPAAVKSAGLELNNIDLFEINEAFASQYVYCQKKLNLDPENVNVNGGALALGHPLGATGARCVATLLHEMKRRGKDCRFGVISMCIGSGMGAAAVFERGDAVDDLCNARANNNNNFLSKDAK >Solyc06g005800.3.1 pep chromosome:SL3.0:6:827281:835436:-1 gene:Solyc06g005800.3 transcript:Solyc06g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:K4C362] MAQNEHQQQQQQQQGLIEPAVLDDIINRLLEFRNARTVRQVQISEAEIRSLCSASREIFLQQPNLLELEAPIKICGDIHGQYGDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVKLWKCFTECFNCLPVAALIDEKILCMHGGLSPDLTNLDQIRNLPRPTDVPDSGLLCDLLWSDPSREVKGWGMNDRGVSYTFGPDKVAEFLMQHDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDESLMCSFQILKPTDRKPRFL >Solyc02g083520.2.1 pep chromosome:SL3.0:2:47443140:47444064:1 gene:Solyc02g083520.2 transcript:Solyc02g083520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FPSLFFSFFKNPIMWSSSSDNRGLSASSSSSSSSSHSPFSPRLKTMEEVWKDINLSSLQDHTTNYSRDHHHLHDHNHQAANFGGMILQDFLARPFANESSPAAAAAAASPVSATTMLNLNSVPELHFFDNPLRQNSILHQPNASGRKRVVPETEDNSTGDRRNQRMIKNRESAARSRARKQAYMNELESEVAHLVEENARLKKQQQQLRVDAANQVPKKNTLYRTSTAPF >Solyc03g007800.3.1 pep chromosome:SL3.0:3:2346788:2362462:-1 gene:Solyc03g007800.3 transcript:Solyc03g007800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLSIICAGLGIIEEDDDGNRIGYTKGDYCLDNLKDLLRFLRRDDPQTREVFKQVCKWNIVGKDLIPIIEYCQDDRNLLLNAVKVMVFLTMPIEPTSNEIPQQIELLWGIKSSITFSEAVPVIMSLLESPLENLACEAFTEDDWKMVQLVLTLFRNVLAIQDISTQQKSGGSMIEFVFLRDRFLELLFKENIMEVILVLSQQVGGSCSYLRHDNLLLLETFYYIFMGQLPELIAKAHFKDPKVDEDNDTSINSLKDIMEEERQKRKVIRQRNLGCYTQFSGTFTRFSLDGSKTLIKGNPCSVSNDPLIIAHTKHRGPAKRTVWDQGRVPATNNKILNLLYDFINQFLGGGYNVLMQSVRDDIEKEHHAIQNSDIVIFFQVAQFVTSFQYNKFLNQPHEEVDAQEPMDSRAGSTLYRGCICGPIAESLNISMFQLILSRWCFSLETLKETNDCKFLYVAGSLIKTMLLMLELVLKQSPEDSKEHRTSRILLYKLFYDQTEEGMTQFLLTQIKSFDTHKQAKSYLADLVEIINTVIKLMENLQARGSLRISKKLRKKRPKTAVKDDKKENDDEMIRDSASVGLPVGGSSHEFRDTGLAHNGEDAIDSNKIDEHIGCTKVNEDQMVVESTDTTHNNAAGSGSEKSNNLHVVGKGEEDITMLDQVNQHVPLEAQSGRHQNTQPETQQKLSDDVNDDYSSGDENVLTTEDDLKISALVSTLANNATIHNLCWLLKYYKSNSIITNNSVIHILQKICDDLELSPMLYQLSLLTIFYDILEEQKSRPCREYESIVFFLTNLVRRMLAKMKSNSLLFIEVLFWKSRRECHYLTCDSMLKDLSQFKNGKNSSGVKMTGEIGSSEANGRTRRSLADALGDDEADFPLDFSDTVRNKADVTNRSSQSLGEGAESPASISNDENDAMNEKQHLKKQKQSVEQESQREPKRRKLQALNDESRQKAEQLFERYKDSQNCCDLIAEALDPDGKISPLQVTRALKQLGYKIPRKKNTLNASAPDKHRSEVKVLESDLRLQNSDILEEGTSQRRHLHTRKRVQAFSQEQEQKIKDLFEQFKDHKRCSHMIANALDSEGTLSAAKISRKLKQLGLYVPKKRSLETNLQLMDEAGDASTKGSDNSDDETLLSMRRSKHQGKGSTSGGRENQNTRKKLSKDVSDDELLTSLLVKTQKAVPESENGKLNINSIKTSSESDIEDKDAYDSERGELDQATAMEVTGFNNIASDVDAGNLATDLSSEQDVPPGNQQLQDKFHSELSDFEDDDASLEAPIITVSRRRLRMVIDVEEDD >Solyc12g088070.2.1 pep chromosome:SL3.0:12:64536410:64541322:-1 gene:Solyc12g088070.2 transcript:Solyc12g088070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASDQATSICSYCDRVIPCSNLDLHVAHCSRKLEKCKICGDVVPKKHAEEHFLSTHAPVACSLCSETMEREVLGVHKGENCPQRIVTCEYCEFPLPAIDLFKHQEVCGNRTELCHMCSRYIRLHERDVHESRCNGGTNVIAESSSNTSLAERDRHGAPRRQPHEASRKRLLFTIAITGVAVLFGSLLYQKKTEDSQMP >Solyc01g005825.1.1 pep chromosome:SL3.0:1:558799:559367:1 gene:Solyc01g005825.1 transcript:Solyc01g005825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYRPSVKKVKRAPKRAYPSFRRFSSHHFLGDPDFDVKNVKFFRGRPSRHCLCIRLAITACLTHLEANHFLGDPDSDVKNAKLFRGRPARPCLCIRLDITACTTHLEGLTSPEASIPLISTIFVCYSTPFFG >Solyc12g099620.1.1.1 pep chromosome:SL3.0:12:67678660:67680945:-1 gene:Solyc12g099620.1 transcript:Solyc12g099620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTRRLTAQNLCSFHYAHQLFDTITKPALISIHHSMLNYIQQNRKIEAFKLFKNQLQMGISEIDEVVVALALKGCREDVNLGTQVHSLAIRSGFIEHVTVPNSLMSMYSKTGNFNNAMCVFDGLKFPDRVSYNTLLSGFENSKEALCFVHWMHSVGVVFDAVSYTTAISHCTDEEDILVGSQLHSLVMRFGVDNDVFVGNALVTMYSKCGYIVEGERVFLEMSCKDLVSWNALLSGYAQEGGYSWEAASGFREMMREGVKPDHVSFTSAVSACGQEMCLELAKQIHGLVIKMAYGTHVSVCNVLISLYYKCDVTEDADKVFQSMNERNVVSWTTMLSMNNENVISIFNGMRRDGVYPNHVTFVGLVHSITVKNSLTEGKMVHGFCLKTNFFSELNVANSFVTMYAKFELMEDVLKVFEELDQRDLISWNALISGYAQNGMSREALQTFLSASMELPPNEYTFGSVLSAIASSECISLKHGQRCHACLIKRGLNSNPIVSGALLDMYAKRGSISESQGVFNEVSDRSQVSWTAIISAHSRHGDYESVMALFEEMKKKGVSPDSITFLSILTACGRKGMVDTGIDIFNSMVRDYSIEPSSEHYACMVDMFGRAGRLQEAEFFLAQIPGGPGLSVLQSLLGACRIYGNVDMATRVANTLIALEPEQSGSYVLMSNLFAEKGQWDEVANIRKGMRDKGVKKEIGFSWVDVGSIEHSLNLHGFSSDDKSHPRTEEIYWMAECIGSELKHLEHDKEESEFVTLAYII >Solyc07g049210.3.1 pep chromosome:SL3.0:7:59606873:59630055:-1 gene:Solyc07g049210.3 transcript:Solyc07g049210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTKPGKKDLDSYSIKGTNKVVRPGDCVLMRPSDSDKPPYVAKVEKLEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHFDVQSAHTIEGKCIVHSFKNYTKLENVAPEDYFSRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMSIDEAKTLEHFLCSDCSSEDETKRPLNSFHVSPPDEAKVESKRRKR >Solyc03g096097.1.1 pep chromosome:SL3.0:3:59392929:59395579:1 gene:Solyc03g096097.1 transcript:Solyc03g096097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFVSFDMVNVTSGEIENRMMMTGMHTVADIFCVCCGSNVGWKYEAAHEMSQKYKEGKSVLERFKICGVDGSHYSSSHDIHVAGSDADDV >Solyc03g044817.1.1 pep chromosome:SL3.0:3:10591131:10592361:-1 gene:Solyc03g044817.1 transcript:Solyc03g044817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTMKRTRTFQFLMKLRPEFEHLRANDHLGMLPSRTTIWVLNRLVVRNVWRMQCMKN >Solyc06g065160.1.1.1 pep chromosome:SL3.0:6:40747526:40747744:-1 gene:Solyc06g065160.1 transcript:Solyc06g065160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKESAQVMVVTIEEEVVVMVTEEESSSSFKHPHMVGLSRYLGSETCEYKRNFWRKRFTPFGNSIQISQTND >Solyc05g012050.3.1 pep chromosome:SL3.0:5:5275531:5277832:-1 gene:Solyc05g012050.3 transcript:Solyc05g012050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYVQSSEHLCYVRCNFCNTVLAVGIPYKRLMDTVTVKCGHCSNLSFLTTRPPIQGQCFDHQPNIQGYCSELKNKGQASSSTSSTSSEPLSPKAPFVVKPPEKKHRLPSAYNRFMKEEIQRIKSENPEIPHREAFSAAAKNWARYLPNPPNSGNTNNV >Solyc09g014628.1.1 pep chromosome:SL3.0:9:6570463:6571168:1 gene:Solyc09g014628.1 transcript:Solyc09g014628.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCCPSYKFASCIKGDHCELCHGVFEYWLHPAKYRTILCQAGTSRNRPICFFAHTLKELRPETKYNWCYVYRYPLYIQSYPYIMIENGSNGNCMIIPCNPHLQPPPPYQCYGTTTFGLLNCSNPQQIPLKKYSKFDYRLHNESDFSLFSSNHTKLIEEMKNLELGSTSHANMNKIDDDNGKRIVE >Solyc10g048015.1.1 pep chromosome:SL3.0:10:43466607:43467032:-1 gene:Solyc10g048015.1 transcript:Solyc10g048015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGAATPFADITHFRSLIGDLQYLAITRPVIQYIFGTLGRGLLIRPGDLELRGFSDSDWANDNNDRKSTSGFLIFLGPNLICWCTKKQPKVSRSSTEAEYRALSLLAAET >Solyc05g014230.3.1 pep chromosome:SL3.0:5:7983707:7985890:-1 gene:Solyc05g014230.3 transcript:Solyc05g014230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMMNYQVGRSSYQDSLKVLEADIQYANTLAAAIPRERSGARLQMKLTYNGLAPVFLFLLRWIDSSCTCLLPGYMNFFHVLIYKVRTDGRPRISRHGRRATINDFYGVILPSLQQLHSNLVELDDCKVVNHGIGSSQEKVKGDSKFSNFEAEREDECGICLEPCNKMVLPNCCHAMCINCYRDWNTRSESCPFCRGNLKRVKSRDLWVLTCNDEVVDPETVSKEDLLHFYLYVNSLPKDSPDALFLMYYEYLI >Solyc11g062440.2.1 pep chromosome:SL3.0:11:49795914:49802478:-1 gene:Solyc11g062440.2 transcript:Solyc11g062440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRLLLLFLVTLMLVGHSLAKTRHFKWEVGYIHWSTDGEESVVMGINGAFPGPTIRGRAGDIIVVELTNKLHTEGVVIHWHGIRQFGTPWADGTAAISQCAINAGETFVYRFKVDKAGTYFYHGHYGMQRSAGLYGSLIVDVAQGEREPFHYDGEFNLLLSDWWHKGSHEQEVDLSSNPMRWIGEPQTLLINGRGQYNCSMAAQFSNPRLPQCKLRGGEQYAPQILRVRPNKTYRLRLASTTALASLNLAIGGHKMVVVEADGNYVQPFSVQDIDIYSGESYSILFTTNQDPSKNYWISTSVRGREPNTPQALTLLNYLPNSPSKFPTLPPPIAPLWNDYNHSKSFSNKILALMGSPKPPIKNNRRIVLLNTQNKIDGYTKWAINNVSLVLPTTPYLGSIRYGINNAFDTRPPPDNFPRDYDVMKQAPNSNATYGNGVYMLKMNNTIDIILQNANALGKGASEIHPWHLHGHDFWVLGYGEGKFSDKDVKKFNLKNPPLRNTVVIYPYGWTALRFVTDNPGVWAFHCHIEPHLHMGMGVILAEGVHLVKNIPREALACGLTGKMLMTNKHN >Solyc02g032140.2.1 pep chromosome:SL3.0:2:28114451:28124244:1 gene:Solyc02g032140.2 transcript:Solyc02g032140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPKGKLSTYRSAKQEMDLNLSPGGSYNCEKPKEISMPQPFSQIMASAASNPAFQRAIEVIRSDLHNKLEETSKIRVKKESATKSNTEKGKSIVFPESNGEKPLKKVKNSHYAVTSMDTSELLRIMPSVTTFGGIINGELTEGFLYKNGKGKKTSIVCICHGLFFSPVEFVKHGSGKEVDNPMEFINIVDDASMGNA >Solyc11g045527.1.1 pep chromosome:SL3.0:11:30606559:30617180:-1 gene:Solyc11g045527.1 transcript:Solyc11g045527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAQSNIVSKEIHSIHINPSCQNQLAFHLDDGWDGFNDLANLRCLRKPSWIPAYSIYVVGSLSSNGLYLLDFYPDRSSPCHVDFKIIQSQKVRSDLESRIDLLKNQ >Solyc02g030137.1.1 pep chromosome:SL3.0:2:25682368:25687601:-1 gene:Solyc02g030137.1 transcript:Solyc02g030137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGLRKSPRLVTASNPKVYRRNRKKLQVSSSNSMDEIPSFSLGISQISGEKNNEEENKKQKKGKKRVKEVKTMKRSKKICVTLASTSKKIVDSDDDFEDLPPQFQSKSLKNKDGLEKKRPVNDGKTRNRLPKSVILPESRYPLKHTPDDVFAIEINNKKLFFGLREFGIVTGLNCVGDGTSINVPNSRCSLMSSYFPEKITVPKSHLRALFLAKKFIDDDSAISLVVLYFINDFLFSYEDNEYQISNRDFYLVESEKFNSYPWGLDVYKKLSDSVRHELKSTHKYYRIGGLPLALQIWIFECCSKVDEDIAIRVADSIPRILNWKTIAESPWLNILRNVSSCLQKTRYLYKFENIVASEDEVSKFRLPETRDYHAEILKLEPKESNHGLDILTNEVIELRKELVNENNKALEEKIDLGFNQIKEFVVNSNKQLLEDISLLFAKSGGSNSVIREVKEPSKKHAGETFSGGLDFNGAFSPRVNASVNESRGNDAHVMGSNQNEESQVLKATFRFADVENLERVSNEDVAGIAIEKVLSEVVADINVQEAADVNTNATEDYQKPQHTLDDFILLDKELSQINRTEESYLKKRAQVDQNKRKVSPKKRGRKKNPGKLITSPFTQHFKSGGTLCVTRQVFETKHPFLYASGGDDESDLIDSFTKWLYMGTKKRGKKPYTDALNVINPAFELGVYTHIDVIFYYLRKKGKYETNNSDDIARYISGRRLLASTSWDKVDFVLIPLNIKENRHWIFVVFDIGQRSLEVYDSFPARGGVNLEVKNIVEMLSVVLPYYLSVVKFYDKRPELKSTPKYIGMLHMLVCLGATSCCPYLSSLLEVCMIEIKEVNAPHTSV >Solyc10g050774.1.1 pep chromosome:SL3.0:10:50573765:50576416:-1 gene:Solyc10g050774.1 transcript:Solyc10g050774.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYKKRCNNMANTYKSDNIIVKGSNPPHVSELEGVSYERSWPLHYFLRIEVNYFEGGINLNRSKYVVELLSKIEMTLAKVVSTSLDAFFYRMIVVRLQYLILTRLDITHHFIKSPNIQHLQGVKRILRYIKCTIHFRLRIISQSPCRLYGYSDADLGGCTTTRRSTTSYSINLGANFNSWTSKKQNTVTRSSAETEYRELASTATALTWTLYLLYDLGMFF >Solyc11g030880.1.1 pep chromosome:SL3.0:11:23579236:23580011:1 gene:Solyc11g030880.1 transcript:Solyc11g030880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAIKIVLKSIYNLEFQDRSHFCPGRGFHSFLRRNKEEWGTSYRFLKFDRGEKGPYSALHSVLLSALPGHIYLHKPNHEIGRIRQKYKIPIVQRIRSILLRIGHIDDQESLPKKKGETPFVFHPSSALTAFVNKPSSLICASFFIEAVGFTHKFEFYGRERCNNNWAMRDSIKY >Solyc03g110960.3.1 pep chromosome:SL3.0:3:63161549:63166294:1 gene:Solyc03g110960.3 transcript:Solyc03g110960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nucleoside diphosphate kinase [Source:UniProtKB/TrEMBL;Acc:K4BJV9] MESLCIVRASPCVSSSSSLSSKTSSLSCAPSCRVILNPIKKHHNLAAFQPAFHLFASTQSGPHGSKRNHTARIFLPHLVASMEEVEETYIMIKPDGVQRGLVGEIISRFEKKGFKLTGLKLFQCPKELAEEHYKDLQSKSFFPKLIDYITSGPVVCMAWEGIGVVASARKLIGATNPLNAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIALWFKEGELSSWTPAQQPWLTE >Solyc01g005440.3.1 pep chromosome:SL3.0:1:309090:312465:1 gene:Solyc01g005440.3 transcript:Solyc01g005440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFMGLNIKDSLLVVKDEPVESSKDSGFRWPMSSKVGVPHFMSLNSAQDENTFKALSATDGVDAGLKRQPGELQNVHAMHLPYDVKMLPFNMNNPSYKTHFGGIGQMKQVLGGIPVTAPHSMLPSRGSVAGTTEPWFNSKGSAAPAQLTIFYGGMVNVFEDISPEKAQAIMFLAGHGCAPPNVVQPRFQLQASASKPAAADGVCVNQTPNMLPASGLSSPMSVSSHPIGQSDGSSGNKDDMKMSKTANISVTPHVKLDTSKIVTSLGPVGATTIMTAAVPQARKASLARFLEKRKERVMNLAPYGLSKKSPECSTPESNGVGFSATSTPLLAGKET >Solyc11g042970.1.1.1 pep chromosome:SL3.0:11:34133609:34133797:-1 gene:Solyc11g042970.1 transcript:Solyc11g042970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREIHAHVIRFIYDSEIDVVNALISMYVKCGDVCSARVLFDGMSKRDRISWNAMISATCQE >Solyc03g046365.1.1 pep chromosome:SL3.0:3:12347645:12348592:1 gene:Solyc03g046365.1 transcript:Solyc03g046365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLHAIDDAVPVTPGIHLVEVTAQTSSTNYDEVVASVSSFCEYLAPLLHLSKPGVSTRVVPTAAAAAASLMSDG >Solyc11g020640.2.1 pep chromosome:SL3.0:11:11784753:11786862:-1 gene:Solyc11g020640.2 transcript:Solyc11g020640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIPRPLPISYSRRKPELIVPKNKIPKEILYLSDIDDQEGLHFQMPILMFYKYNSSMKGKDHAKIIKDGLSKALVFYYPLAGRIIEGPNRKLMVNCNSEGIMFIEADANVELEKLGDSILPPCPYLEELLYNEPGSVGIIGCPLMLVQVTHVTCGGFVVGFKVNHTMMDAYGFKMFLNALSEIIQGASAPSILPVWQRDLLSARSSPCITCTHNEFDEQVESKLAWIAMEDKLIQHSFFFGNKEIKAIKDQLLQPGYGSIGRFELLVAFLWKYRTIALDINPEESVRLSYVVNDQKKMELPLGYYGNAFATPAAVSKAGLLCSNPLTYAIELIKQAKNQVNEEYIKSLADYMVINRRRPWTKSWNFLITNDAILGLDEVDFGWGKPILGGVDRSPFSFASFFWSFNNNIGEKSIVIAINLPKEAMEKFQHLIHDFTSKNVEKIQLASKI >Solyc06g075830.2.1 pep chromosome:SL3.0:6:47256351:47262980:-1 gene:Solyc06g075830.2 transcript:Solyc06g075830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc03g033560.3.1 pep chromosome:SL3.0:3:5129804:5137219:-1 gene:Solyc03g033560.3 transcript:Solyc03g033560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGREGDWECSSCGNKNYAFRCFCNRCKQPRLLVDNKTPHDSKWFPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAIPGASLPSHPNYFARTQGGMEQRLKIGFLGHGALQQLPLSSNWSLGEAGQYQSQPADRYRLLQTPGVPYASQTNQLLPVPNGWRNGDWLCSCGFHNYSSRAQCKKCNASAPPASSSSLATTPITALGTKRLASEELVHDWDNKRLNAGHTFGYQQGYPGAEWMGDSGGNQLTAIPTIFLNENSMVLPLQANMQIPRVPAAPTLLGKGAKQWRDGDWMCTNCSNHNYASRSNCNRCKSERDVPTQPVTVA >Solyc06g043352.1.1 pep chromosome:SL3.0:6:28752883:28753570:1 gene:Solyc06g043352.1 transcript:Solyc06g043352.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHESNPLSKMIILRSFDGETFEVDDEEYANTVIPLHNVTSKILNKVIEYYKLHVEVPKAKDKTAKEDLKSFGAEFVKLD >Solyc05g054270.2.1 pep chromosome:SL3.0:5:65073603:65075152:1 gene:Solyc05g054270.2 transcript:Solyc05g054270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKQVMIFALDDSDHSYYALEWILDHFFPSPSVSNFKLMIIHAKPTPTSVVAIAGPGTSDMFTLVESDIKRASQKTIDKSKELCKTKGVSNVACEIIEGDARNVICEAVEKYHASILAMGSHGYGAFKRAVLGSVSDYCSHHAHCSVMIVKKPKPKV >Solyc05g005760.3.1 pep chromosome:SL3.0:5:582282:585468:1 gene:Solyc05g005760.3 transcript:Solyc05g005760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKFATLILIFVFLSAAFCSVSATPSPAKIVNGVVSNAVTKLMKWVWSLKANTKTVISGRPMMKFESGYSVETVFDGSKLGIEPYSVEIMSSGELLILDSANSNLYKISSSLSQYTRPRLVAGSADGYSGHVDGKLREARMNHPKGLTVDDRGNIYVADTDNMVIRKISDAGTVTTIAGGKWSRGGGHVDGPSEDAKFSNDFDVVFMGSSCSLLVIDRGNKAIREIQLHFEDCAYQYDSGFPLGIAVLLAAGFFGYMLALLQRRVGTMVSPEEDQNAFIFTDNYEKPVKSSVRPPLIPTEGEVEKQEESMFGSLGNLITRTGASVSEILGGVFPMFRSVVVPKEDEPPSIEPRTPTPRKTYAFMSKDSEKMQQLKQSRAFYGGGWSSDFQQHQQQTQKHLQHHQYHSSGPQTFYEQSADNTKEVVFGAIQEQGQREAMIIKPLDHANSVYDRHNARSRFNSAGYSQGY >Solyc12g098200.2.1 pep chromosome:SL3.0:12:66795691:66798142:-1 gene:Solyc12g098200.2 transcript:Solyc12g098200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFLLLPEGCVCDILSFTSPKDVVIASAISRGFNSVAEFDVIWENFLPDDYEDINSRYVSPRISPSKRQLYFSLCDFPVLMDGGKLSFSLDKKTGKKCFMISARELAISWGVDTPWYWEWISHPDSRFSEVAHLKGVSWLDIRGTIGTQILSKRTKYVVYLVFKLAKDHDGLEIANACIRFVNRVSDKEAEERASVVSLVGKRVRRRKCNVKRPRKRVDGWMEIELGNFINDTGDDGDVEARLMEITRLHGKGGLIVQGIEFKPE >Solyc08g077050.3.1 pep chromosome:SL3.0:8:61109187:61116011:1 gene:Solyc08g077050.3 transcript:Solyc08g077050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLQSPCSACTSLHRKPPLGRRLFSLNSVNFPLNSVKCRRNTTPKVQTPVAVAVSGQNGSSYSPSVPTHKVTVLDRQRGVVHEFLVPEDQYILHTAEAQNITLPFACRHGCCTSCAVRVKSGELRQPEALGISAELKSKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMGDE >Solyc02g079120.1.1 pep chromosome:SL3.0:2:44287083:44288651:1 gene:Solyc02g079120.1 transcript:Solyc02g079120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKASGSKRKRQSNHSVYLPNHIIDRIFTFLPIKDVVSYSAVAIPFLNTWMYARNLRFDSLFKSNCVTKDISIINKILHSHLGKKIYNFHLYIPSPKDYSFFLKDWIQILASKGLEELEIDLWFTSNDKNTYYMDSDFIDHIETLRRVKLTNCELRISPNLKSLRFLKSLSLTKAPITPHFIQELFRNCVVLESLSLVFCSSTTNVIIKGSKQLRTILIRACGKICLIIIDDPNIHTFHYEGEIDKIKLIGPTKLEDVIFNFNTITWVQHISGMGNLIDILRNVQTLTINNIFLEGISPRYEDFEYKDMELYLPNLKELQIVLHGSTYVNPWDIISFVKNCPQIERLFINLGDYYAMEGGSYWNFVAKEKFENCQVGFSKLKLLKVKGYKKGELEEKLVNFIMMRAKMMESLILVSKNKHLEIRSKDIVTVSKLVTISTYYNNRDKSSVFPKHTILSMQN >Solyc03g122080.3.1 pep chromosome:SL3.0:3:71534638:71537962:1 gene:Solyc03g122080.3 transcript:Solyc03g122080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALVPGVLIKLLQNMHSNKKVRGEHRSVLLQVISIVPALNGSELWPNHGFFIKVSDSSHSTYVTLSKEENEFILNNKLQLGQFFYVERMEPGTPVPVLVGVRRLPGRHPFVGNPKDLMQMLEPSEVTVRNAQEDVNGSKLNESPELNKEDSKNKFVIKEQKTVVASRYMRGVLTSNTKIGGLDQGTGVKGIENENRGAAKKAVPLKEKQCELKGQTRSSTPFRSQSDAFVINSGINKHMKTPRFSTLKLTTSKQESIRENSQSSEAYMPWSSLPTNLAKPGKGILRRGLLASLVAAEAQEEAKEAAKLLHCLRMFAELCTSASPECPHISLSKFFALNNLIELPSTVKTKELTPDDFATKLSVHEKVKEGKMTCFMNGKATSKSLKPSVELSGSEKLEWAKGDGSKDIVELRELLLNEIQYWFLKFLEGALEVGLWQNKQEQKTKVSVPRQTESKNQIAFTLSQLKHANEWLDKVRSTLCSDKNNVADRVDRLKQKLYTCLLLYMDSAASALGKPAS >Solyc03g124010.3.1 pep chromosome:SL3.0:3:72197716:72227467:1 gene:Solyc03g124010.3 transcript:Solyc03g124010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCSTEEEEEGMSKKMVTRRELLDRWRGIEEEDEDDDIASVDPLKRHRFRQLKEEWFSDAFNHLIFMPHENHIWCGSWELMGPLLETFYNYNKDDRTDSPLKLLHDRTSREMRLCTQCICQYHQAQELYSTEYDPTSIGPLLEVLRTLDEERITQHLKDINNRIRCGDYDIVHGSGEIVGIMFEVLMFPILLDDSYLASEFETFIDTIDKTHELTLGGHQQYPGVYALLFHKGRRARSIGLRLAGRMSKLRQSAELDALQHLLKKYISYLAADFSPLSTPNLRPRVELDRVTVWLGIKALLGFLEPPAFEEGILDRYPIFLSVVLNHISDDSPEFSYAVNCLRLLFEMLGYKLWLKTSLSPSVMRNTLLGQCFHTRNEKIHKEIFDLFLPFLQSLEALQDGEHEKQRRNLLYFLLHQATVSSNFSLLMRKKACQIALLIVHRGYTMNPPSPPYECAHMWGPSLLSSLKDSSLHSSLRQPAFDAIQAIIVSDASALVTSILKYQLATSGERCLPLQLDEEEDRGNLFGCDFEENDVSCWNEFSSQADITSALCGDWMCIPMLWFEVLVETDPLILPVSFAKSVFWALSRLPFLESDNEFGMTPSASHWLRNCGSDVSHVFSWKVPSGSNDGGEGVESKNSIRVSTKCMPLIRLFKRSTAHFIIRMEQGELRKQWTWEPMMSDSLILLLVDPNDNARHVGRCILEQVSNTRGLTSGLQFLCSMPSSLSATTTGLRHALKLVQLDCVLSEFQTLHHFFFVLCKLLKEGNSCSQPLVRKSSEDSSISKFSSQGGFLKQPVLQAQSEHMDAHKSVVSSILWEKFCCLLSEMAWICVQKCLAAGKVFIGQKPSQMTCIRLLETLPVVFRRLCRVPTTVLNNAVTQCLRDLIDWGYSPLAVVVRYWKDALISLLILIKASCSGVPASLAADIEKLILCDNIPMNELTKQVARLSVSLVDERYIDLKKTSIDSKCLPGEEFVHTKNSLAEAAAPFSRLGKEMHIPDLKTFVGEERSNAIVHSGDERETDTSAGPIDLCLDLDIPRLQLNALHARKDSPLVKSKAMEPKNKETDIKCHLNDTNLNSKENSHVTSGLHSALGSSSYGGVCMKENEGEAVQHDMKPNDTVLKELVSETRSDRESVFLTSVRRQQSFSLKTSFSGPKRKVIQLGLPVENRSNALRLDDGVKRFKAVRLDDWYRPILECNYFLTVGLTTAGEGKNDTLSKLKEVPVCFQSVDEYVEIFRPLILEEFKAQLQSSFQEITSLEEMSCGSLSVMSVERIDDFHFIRCVHEDVDSSGSKSCSDNDLILLTRQPLRDSCPDIHMVGKVEKRERDCKRRSSILLIRLYLQNRPHLMRAQKFLVARSKWCISRLMTITSQLREFQALSAIRGIPLLPVILNPTSYNHCKHYGESFNKLSRPLQQVLKSAYNDSQLQAISAAIGPFDPKKDFQLSLIQGPPGTGKTRVIVAIVSSLLSFSQVDTKRSSNGGLKSTGMSCTASRQRICQAAAVARAWQVAALARQLNGDLENDKPVGNCSKRRILICAQSNAAVDELVSRISSEGLYSSDGTMYKPYIVRVGNTKTVHPNSLPFFIDTLVDHRIAEEKINATDSKNDASEDTLTFLRSNLEKLVDTIKCYEAKRASLRDGDSDSNSLLEGGTDKADNAKEMSDAEVEAKLRILYERKKSIYMDLAAAQARERKANEETKALRHKLRKAILKEAEIVATTLSGCGGDLHGVCAASVSGQRFSSSSEGVLFDAVVIDEAAQALEPASLIPLQLLKSTGTRCVMVGDPKQLPATVLSNIASKFSFQCSMFERLQRAGYPVNMLTQQYRMHPEICRFPSFHFYDGKLVDGDQLSSKVASFHGTKGLGPYVFFDIVDGKELHDKKSGTLSLYNECEADAAVEVLRFFKRRFPSEFAGGRIGIITPYRCQLSLLRSRFSSAFGSSITADMEFNTVDGFQGREVDIVILSTVRAFEACSNATQVNSSRIGFVADVRRMNVALTRAKLSLWIMGNARTLRTNQNWEALVKDAKERELVMSLKRPYNATFKSSDREKLLTSEKPENCSRTLKHVSGVETTCQHADSQKNNVKHVTERKRKDTSLGAPIDIPIRAELYGKNVEGEQRSKDESSLLLKKDLNNDHCRNTKGAHILLRQNQSESSESCEKISKKHRKERKAHGHHGKHCDSLESNLGNSKKSRSDNHKHSISVASERFQLPLEHDDKLRNTRGWKNPAKTSLMQKDVEDGIGACNQVKQPDHIISERKQQRDAVDALLSSALISSNKSRSSLKSLPAKRMSSPNAGCPPIRPSKQNKS >Solyc09g055343.1.1 pep chromosome:SL3.0:9:39992018:40005637:-1 gene:Solyc09g055343.1 transcript:Solyc09g055343.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVDLQHSAIWIASIYLMLLMHFLENKVAVDLTRSEFVEAQEALVQMRSWYFRFPTILQACECVIEMLRGQYAHCVGCYDEANYHFLEASRLSENKSMQAMCFVYAAISYICMGDAESSAKALDLIGPVLGVMDSFTGVREKTSVLLAHGFLLMRQQNLQEASFFEGVNQPFHRLLANDHPVHHLWEDRNRQIGKEILIAYVESQERATERKSGDLWGD >Solyc12g014450.2.1 pep chromosome:SL3.0:12:5420370:5425099:1 gene:Solyc12g014450.2 transcript:Solyc12g014450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEDEALEDKTVLVRSGSHMYDELRSFRTWFKWMCVDQSNPSSACLSWFVFSLLTFVVPWLSHYLLACSECDADHSRTYDCVTQLSMSSVAGLSFICLSRFNRKYGLRRFLFLDKLCDESETVRNCYTQQLNRSTKILFIFVLPCFVAESTYKIWWYSSGGSGIPFLGNVIACILELSSWFYRTVVFFLVCVLFRLICYLQILRLQDFAQVLFHVDSDVESVLREHLRIRRHLRIISHRFRVFILWALIFITASLFASLLLTTRPNADLRVYKSGELALCSISLLAGLMILLRSATRITHKAQSVTCLAAKWHACATIDSYYWAETESPPAAHQVDCNNGYNGSSDAEDVGDEEDELDNTKFVPSYAYSTISFQKRQALVTYFENNRAGVTIYGFMLDRTSLHTIFGIELTLMLWLLGKTVGVS >Solyc04g079930.3.1 pep chromosome:SL3.0:4:64335955:64341162:-1 gene:Solyc04g079930.3 transcript:Solyc04g079930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEAMESSVHGNGFAQLQSCGDSSEEELSVLPRHTKVIVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFENVQWNGSDMASDDTQKSHRSRHRALKPYGSSHKAMSRSLSCDSQSKGSIPAHRGSMKVDLSKLEMAALWRYWRHFNLREAIPNPSKEQLIDVVQRHFTSQQLDELQVIVGFVQAAKRLKTVCK >Solyc04g007740.1.1.1 pep chromosome:SL3.0:4:1424151:1424486:1 gene:Solyc04g007740.1 transcript:Solyc04g007740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQQRRNVGPSNGPRRGPNENEVRRSNSPAKRGPVDACRNVRNRSPAVREAEKPGNQSPARNAENEGSSSKTEKPKEEVSPVTGESLENPLVLMECFIFRFDDKNILSHQT >Solyc10g046810.1.1.1 pep chromosome:SL3.0:10:37403353:37403859:1 gene:Solyc10g046810.1 transcript:Solyc10g046810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFGCSFVVLNQMPRHDWRRWVFCSPVDNNSVTLPRGSMFFWIQFYYLSKILEFIDTLLIILRRSRSQSLSFLHVYHHTMVPLLCYLGNYTRQSLIHIIVIINASVHVVMYAYYFLCAVGKKPWWKKLVTDCQIIQFILGLMFTYYVVLSLYYRRLLWIWTMMCRYCF >Solyc03g061570.1.1.1 pep chromosome:SL3.0:3:32596958:32597185:1 gene:Solyc03g061570.1 transcript:Solyc03g061570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLGISWVKLGYLVINKHPHCTLSIYLSPSNQRHPCHRESSSAKMRLGTMLPAFFLWLRLEHKPRNSKPQHKNP >Solyc03g115940.3.1 pep chromosome:SL3.0:3:66996434:67000627:-1 gene:Solyc03g115940.3 transcript:Solyc03g115940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREVKEPEIKLFGKKIVLPENGMILPVIVTGEDSDVGKSMSASEVVTADESSTGSDRDPCLVDKEGNSSQQDESDDGSEYEKDEADKDRMTRELSEAKLEEKDQNLMMEESENLKSPSENKTKTHTIDDDSPTVKSSKTEDDQNDASNSQQKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKSCQRYWTAGGTMRNVPVGAGRRKNKNSASHCRHIMISEALEAARIDPPNGFSHPVFKPNGTVLSFGPDLPLCDSMASVLNLAENKTPNGIRNGLYRPENPSGIGGENGDDCSSGSSVTTSNSMAEGVKNCPPEAVMQTINAFPSPVPCLPGVPWPIPYAAVPFPAISPAGYPMPFCPPPYWNCNVPGPWSLPWLTPPSPTANQKGSVSAPNSPLGKHSRDGELLKPNNPEGQKNSEGSVLVPKTLRIDDPDEAAKSSIWSTLGIKYDSVSRGGLFKSLQPKNSEKDHPTTTSPSLQANPAAFSRSLSFQERV >Solyc04g008260.3.1 pep chromosome:SL3.0:4:1940027:1945313:-1 gene:Solyc04g008260.3 transcript:Solyc04g008260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4BNY2] MATMKKLNNFISFILLLLFPVAMAELHRLEHPVNIDGSISLLVVGDWGRRGTFNQSQVAQQMGIIGEKLNIDFVVSTGDNFYDDGLTGVDDPAFEESFTNVYTAQSLQKNWYNVLGNHDYRGDALAQLSPILKQKDNRWICMRSYIVDTDVAEFFFVDTTPFQDMYFTTPKDHTYDWRNVMPRKDYLSQVLKDLDSALRESSAKWKIVVGHHTIKSAGHHGSSEELGVHLLPILQANNVDFYLNGHDHCLEHISSSDSPLQFLTSGGGSKSWRGDMNWWNPKEMKFYYDGQGFMAMQITQTQVWIQFFDIFGNILHKWSASKDLVSNI >Solyc01g055160.1.1.1 pep chromosome:SL3.0:1:50875178:50876347:1 gene:Solyc01g055160.1 transcript:Solyc01g055160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-locus F-box protein type-1 [Source:UniProtKB/TrEMBL;Acc:K4AVI6] MENVEEKRMELLDELMIDILKRLPAKSLIRLKCVSKSLYSLINNPDFIFIHYNYDSFSNQFIFLKRYIEIEESTSEYSIYYNGKNMLALHSNDESFKCIAENIEYEDNYIGVNVAGVCNGILCICSYRGIVLYNPTLREFWKLLPSNLPLLDDLCPSKKINCCVDLATGIGFDTNTNDYKVVRILDPSNEFEDFDINSKFISKVEIYNLSTNCWRRLKDLECIIDSLHCSRVLFNRAYYWHGYLNIGHNCIVSFDFSTESFQKHAYPEDFDGNRSESLSVLNQNIALIYYSEFYSPDLLVEQSIDIWIMKRESWVMEFTVGPMLIKKLLLVWKNGTELMIESKEGKLVSCNFFFQSTKELHMSGVQNTLEAFVCKQSLISIKKESAKWS >Solyc08g081750.3.1 pep chromosome:SL3.0:8:64838998:64843140:-1 gene:Solyc08g081750.3 transcript:Solyc08g081750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSISPFPHKIRAFCDNKRQISLMLSSNCTHSFPLLSNSSPRFRVSTFCPKSLPTLSHRIRISEPHHRISTKRWKISCFRNEESSSGSSNPESIDDFLHKELKNPEIDKPSVEKRNWISRFREAADLVFKVTGKPWTVPWTAETILQVMLLWIVSFWFIGSWMIPFGAYMVGISKESLTFRGQALFSLLTDVTEGLAGILILQRCLTRFRPLPSDWFKFSLKGNWLFDVLVGCLMFPLVNRLSQFNLDLLPVLPSTPLTLSSVEQSILARDPVAMALYALVLVVCAPLWEEIVFRGFLLPSLTKYMPVWCSILMSSIAFALAHFNVQRMLPLIFLGVVMGVIYGRSRNLLPSILLHSLWNGFVFLDLMK >Solyc06g060925.1.1 pep chromosome:SL3.0:6:39038092:39039188:-1 gene:Solyc06g060925.1 transcript:Solyc06g060925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYIYWGNLVGNFGQFLAEVFIRQGHSLGVSFSKIHVIFVSNTLMFSFLCISTESVHRSLRIQSFLQVLAGHFDILCAHLMFGPESGKDSWKDLAFVFELVNGDQEQQGLTSFFIYIFEKEGCMISLLSTKGYETLKEELFGHLHDLTADVD >Solyc10g054380.2.1 pep chromosome:SL3.0:10:55205042:55218149:1 gene:Solyc10g054380.2 transcript:Solyc10g054380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTVDTNKKDQKMASASLLKSSVVVDKSEFVKGQWRSQPSVRADVLGHKMPLLNLCSNLTSLITLGVYSVKELTYVPDEMLCNNVSLQHLWISDCREFQELPQSLYNLHSLKKNYLTSLQSFRLWNCDGLTSLPSGMLEHCRSLKSLKVFNCNNLISFPLHIGKMPSLSYLNISQCPKLIRVLAGGLHHLIGLLNLEIGPFSEMVDFEAFQYIFNGIQQLLSLRRLCVFGHLHWDSLPYQLMQLSDPRHIHIYDFGIQALPHRLENLTSLETLELTRCKRLQHLDFSDAMPKLQHLHIQDCPLLEALGNLVSLQELVLWTCEKLEHLPSKDKVAQILPPISALSLTIRATSSYADEIVKTTKTNATPGCGILAIDESNLTCGKRLASIGLENTEANRQAYRTLLVTAPETLYQSTTDGQKLVDVLVKQNIVPGIKVDKGLVPLAGSNDESWCQGLDGITSCTAAYYQQGARFAKWRTVVTIPNEASALAVKEAALG >Solyc06g065220.1.1.1 pep chromosome:SL3.0:6:40791461:40791754:-1 gene:Solyc06g065220.1 transcript:Solyc06g065220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKNTTKLEDHEGRIRSRTPKGHFVVYVGSEMKRFVLPTSYLKNPLLQNLLDKAAEEYGFDNGNIILLPCDESSFRRLIAMLPRSSSFNSTRINPS >Solyc08g078620.1.1.1 pep chromosome:SL3.0:8:62508212:62508835:1 gene:Solyc08g078620.1 transcript:Solyc08g078620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRICRAHTLFYSIPFYRNQIEVTVTKKGSEVDRWIQQTVHIHRRRLHELLVGLDIEWRAIRDPAEENPRVALLQLCVGHRCLIFQLIHADYIPDSLISFLGDTNFSFLGVNVNGDCEKLHEDYALLVANPLDLNKMAMDVYKIKEYGRIGLKRMAHEILGKVMQKPLGVTMSDWDAEELEYEQVEYACIDAFMSFKLGLKLFYKMQ >Solyc03g071590.3.1 pep chromosome:SL3.0:3:20381643:20386512:-1 gene:Solyc03g071590.3 transcript:Solyc03g071590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAEFIPSSSLTKTTSLYPSQFLHVSTHKPRLSLTPLRRSKICCSVISNAPVPVAKEPTKKTECFGVFCLTYDLKAEEETSSWKKLINVSVSGAAGMIANHFLFKLASGEVFGPDQPVALKLLGSERSIQALEGVAMELEDSLFPLLREVSIGIDPYEVFQDAEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPDIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNAKINGFPVKDVIRDSKWLEEEFTEKIQKRGGVLIQKWGRSSAASTAVSVVDAMRSLVTPTPEGDWFSTGVYTNGNPYGIAKDIVFSMPCRSKGDGDYELVKDVLMDDYLRSRIKKSEDELLAEKRCVAHLTGEGIGICDLPGDTMLPGEM >Solyc01g079560.3.1 pep chromosome:SL3.0:1:78491456:78493899:1 gene:Solyc01g079560.3 transcript:Solyc01g079560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFGGNPNDELSFYKIVLDPRMEELRVPSTLVNRMREETMGEVLLKCGDGDKYWNITTREDGNSMYFHGGWKEFALENHIQMTQVLFFNYDGAKTFTVRIFDKNGLEK >Solyc05g056240.3.1 pep chromosome:SL3.0:5:66447676:66454571:1 gene:Solyc05g056240.3 transcript:Solyc05g056240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:K4C2U8] MAAKNALLKYLRVESRLPQQLQLQNHRFIGTPFAQLFKRHFSEEVRGSFLDKSEVTDRVICCVKNFPKLDPSKVTPNAHFQNDLGLDSLDTVEIVMALEEEFAFEIPDNEADKISSIDLAVAFIASHPQAK >Solyc10g037880.2.1 pep chromosome:SL3.0:10:17981774:17983572:1 gene:Solyc10g037880.2 transcript:Solyc10g037880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAAIFLFVLLASPIMCYGKDHIVGGSDGWSQSGDYSTWASAQTFNVGDNLVTRYVWALAVFNYGGSHGVNIVSKDDYDNCNTGNALLSYTGGQNSIPLSSAGDMYFVCPTLNHCDTGMKLAIKVEGTSSATTPATPYGTKSMPHNAASGTFGTMNKME >Solyc09g007070.2.1 pep chromosome:SL3.0:9:712288:718050:1 gene:Solyc09g007070.2 transcript:Solyc09g007070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDTIMPPPSTTSMKHRDLDAVLLLLLLCELRQHDPEALDLPPSRWRVVICQYIAKDALKFSSISCSNREIIMKFIDLLAKCKNFVKAMIHAGDGIGGKDRKEVATSIATIVALPSVEASNDDNDGRKECVICKEEMKEGRDVCKLPCHHTFHWICILPWLKKRNTCPCCRYQLPSDDVYAEIQRLWEVVAKMSDSANFVGISNFRPEKEFLPQRRRNTQRNPQVFNRVSVPARPEDAMGASSGPKRLSGMQKQALALYRGFLRAARSKPAEERRQIESVVSTEFRKNSKQVDRKNFIYIEYLLRRGNKQLDQLKSPDTVGLSSLSVDSSQTTGSSSS >Solyc01g016727.1.1 pep chromosome:SL3.0:1:21901816:21912536:-1 gene:Solyc01g016727.1 transcript:Solyc01g016727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFRERSRVISRNGGFGTTCQFLSIPLIVDLFDRLGQAKVFTKMDLRKGYYPVRIAEGDEPKATCVTRYGAFEWLVIPLSLTNTSATFCTLMKKFFHPYLDHFVAISWTTFVYSNNMEDHVDHLFKVFKVLRENDLCVKREMCGFTQSTIQILGHTISHGEIMMDANKNYEWEWTDSCQVAFKTLKAGITDEPVLAQPDFTKVFEVHMDASDFTIDLPISNLQRAALLL >Solyc03g095925.1.1 pep chromosome:SL3.0:3:59021136:59025405:-1 gene:Solyc03g095925.1 transcript:Solyc03g095925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVSNKGPVTLLDGREQHYKGKKPLLATACEICGFKNHLTADCYRLVGYPPDFKSKIKPAQSGFYQTGTPGPYQTGMGNCRYTQQGSYQTGLEGSNTVQLPVGEKAEIMNTRISTILGDMNLIKVLFVPEFKFNLLSVTRLTKDLSCNNSFFPNFCTLQDLYSGKVIGIGREHNSLYLLKDEVPAVVSTTIKADNHTDLWHITLGHPSLKVMQHILSLKDHIDVCPARQAMHGNPIIPNLDEATESSSVERDGPVDQMPDTTTTQIESDIEENFSTRVGDIDIEAPVEDTRRTDYLSYSNMSDTYQSYVAAGNSSKLLQDTKEALHNKFSMKDLGDLKYFLGIEVLRSKTGIFLNQRKYALELISQSGLSGSKPAATPLEPNNKFNTVKYDELT >Solyc04g051374.1.1 pep chromosome:SL3.0:4:50387669:50389453:-1 gene:Solyc04g051374.1 transcript:Solyc04g051374.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLYELNIVKRACCTIHQDDLIVRYVIIVWSDLIIIALGWNYRCFFLFVSSTALLCVFVFSISALYLKLLMDNSGTLWKAFKESPTSAALMTYCFVALWFVGVLTGFHLYLIGTNQTTYENFRYEGDNRVYNRGCIKDFLQLFCTRIEPSKINFRSYVQEGASKPPKSNIQETNINISDGGYTN >Solyc05g041245.1.1 pep chromosome:SL3.0:5:51727993:51728724:1 gene:Solyc05g041245.1 transcript:Solyc05g041245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFTLRHPRGLVIILLYVDDIVITGSSSHLISTITKAMYKYSKQKNLGPLRYFLGIEVLRTFSSLLLHLSKYTEELLTRAGIDESKTAPTPMAVRPPSTSDSHWANDKDDRRSTTGYILFLGPNLISWCTKEQTRVSRSSTEAEYRAMAAGVAEAMWLHHITDAL >Solyc09g007310.3.1 pep chromosome:SL3.0:9:906373:917025:1 gene:Solyc09g007310.3 transcript:Solyc09g007310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNRGQKRTENVDELPADKRPCSSTNDRPSTSNSVIPTTMSSIHESHHGDIDTSSSSSSTSGSSEGEKDSAYGSYESDNTYRDYYRQQLMGNQSKFNGVLESLRKESEESALLAALTELCDLLSFSPDSSMSNVMADLFSPVLVRLARYESNSEIMLLAIRAMTYLCEVHPRSSASLANHDAVPALCQRLMAIEFLDVAEQCLQALEKISREQPIVCLQSGAIMAILRYIDFFSTSEQRKALLTVVNICKKLPSGCPPPLMEAVPVLCDLLLYEDRQLVESVATCLIRIVEQASHSSEMLDQLCNHRLVQQVTHLIELNGRTTVSQSVYVGLIGLLVKLAAGSIVAVKTLFERNISHILKDILSTHDFSHGVPSTLIVDGHYNQVDEVLKLLNQLLPPISREQNIKLAADKEDFLVNNPDLLEEFGFHLLPVLIQVVNSGMSLNALFGCLSVINKLVYFSKFDRLEFLQNTNISSFLAGVFTRRDPHVLILALQIVDKLLEKLSHIFLDSFVKEGVLFAVDALLSLQKCSQSLFSTNGVQASDETSQGSAPPTAVNCLCFASDALKSPTGPESRTCKIEKETVQSLARHIKTNYFATDSMNSRLGITDVLQKLKTLSSQLTDLVHKFSSSIAPPQEKEDFYPVLHQIMSELNGNNAISTFEFIESGVVKSLVNYLSNGQYLGKKVDGDVSVNQLYIIEKRFELFGRLLLDNSGPLVENSTFLALIRRLHSALCSVENFPVILSHASKLRNSYATIPYEHCTPYPCLKVQFVKGEGESSLVDYPESVVSVDPFSLLETIEGYLWPKVSKKKSEKLNPPTLDLEEESPSRASQDVSTSQGKNPGPMESDTTSTDSHETQVVKNNLQLFAEVETVDVEQTKSVPMDISDVNAELLKKGRLNSSEDDSSTSLECTGCCDDENVAPKLIFYLEGQKLNHKLTLYQTLLLRQIKAENDITTNSSVWSQVHRVTYRKFVRHKPGCPHSCKHAVHSTSSEKSTAWWQFTPSFSSMFGSEMVDLEKSSPTYDILFLLRSLEGLNRFSIHLGSRTKLYAFAEGKTTNFGDLKVTNSDLPQNEFASTKLTEKIELQMRSPFSVSIGGLPPWCEQLVNTCPFLFGFEARCKYFRLAAFGRQPIQPESSSHNTATGVSGRHQNSSVLRRKKFLVHRSRILDSARQMMDLHANQKVVIEVEYNDEVGTGLGPTLEFFTFVSHEFQKIGLGMWRGDYLAHASMSVEEESGIIFSPFGLFPRPWSPSPHSLNGLEFSEVLKKFVLLGQIVAKSLQDGRVLDLRLSRAFYKLLLGKELTVYDIQSFDPELGGVLLEFQALVERKRHLESHPEGKSSLDLELNFRNTKIGDLCLDYTLPGYPDYVLSSASDAKTVDSSNLEEYVLLVVDATLNSGILRQIGAFKSGFDQVFPIRHLQVFTEDELERLLCGECGFWNSNELLDHIKFDHGYTANSPPVLNLLEIMKEFDSKQQRAFLQFVTGAPRLPPGGLASLSPKLTIVRKSCSVWVDADLPSVMTCANYLKLPPYSSKEKMKEKLLYAITEGQGSFHLS >Solyc11g068590.2.1 pep chromosome:SL3.0:11:53545083:53548262:-1 gene:Solyc11g068590.2 transcript:Solyc11g068590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFLLKSVLVVVAVFFISSMAQASDPDILSDFIVPAGSTIDGNFFTFTGTRDIFGSIIEKFSVTKVSKAEFPGLDGQSVSLAVLQFPGGGVNPPHTHPRAAELLFVVKGSLEVGFVDTANKLYTQALQVGDLFVFPKGLVHYQYNSDWNKSAIAVSGFGSTSTGTVSLPTTLFATGIDDQVLAKSFKSDIATIRKIKAALATSLLIFQIASAGDPDILTDFVMPLEVPSVDASYFTFSGLRGLVGAPPPEKFKVTKAGMTEFPALNGQSVSYAILQYPAGSVNPVHTHPRSAELLFLMSGTLEVGFIDTTNKIYTQTLQTGDVFVFPKGLVHYQYNADAENCAWGISAFGSANAGTVSIPNSVFNTSIPDNILAKSFKTDIITIQKIKAGLA >Solyc01g081130.3.1 pep chromosome:SL3.0:1:80309486:80310786:1 gene:Solyc01g081130.3 transcript:Solyc01g081130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFYDVFTNKPQLVSTMCPLHVQGFEHLDGVIGKVGSKICWMYTFEGKKKISKQIIETIDYEKKVLTLKEFEGDVV >Solyc01g105250.1.1.1 pep chromosome:SL3.0:1:93379825:93380157:-1 gene:Solyc01g105250.1 transcript:Solyc01g105250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQSNPKQKITRSCLVLLQFLCGAVVAVSSSCTFNRMVGGRHNPKKTSPPTHLSPSPSPVDNAVPPSHMASSPIHVAPFPVDDMVPPPHMAPSLAPAPTTSCIKMDDYV >Solyc09g055967.1.1 pep chromosome:SL3.0:9:46772078:46774770:1 gene:Solyc09g055967.1 transcript:Solyc09g055967.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTNGEGPSLHTGGSVAFAEYKRNKELTGKELPNDELILKTHKTKKEKKWISGELERMWIQRPVGPPIIEISTKHQDLKDEAYTINSVRASEQDFISISSIFEFRYEEAHTMYKYWSAINRIGWVIGKGNMEAQVNNVVPFAVAQMLGFTLNHQQMDLVLP >Solyc04g077720.2.1 pep chromosome:SL3.0:4:62734232:62737870:-1 gene:Solyc04g077720.2 transcript:Solyc04g077720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNNGAKKADELHPHPVMEQLKNVQYCVNSPPPWGTYDNAGEALLLGFQHYILSLGNIVLFPSIIVPQMGGGNVRILSILYQFFDEKAKIVQTLLFTSGVNTLLQSLFGTRLPLVMGGSYAYLIPIISIIQANKLSVLQNPELPQRFMNTMRSIQGALIVTSSFQILLGFLGLWRNVVRLLSPLSVAPLVTFTGLGLYHLGFPLIAECIEIGIPHLIFMVVITQYLPTYLKLKRPICDRFAMLFSIAIIWFYAAILTWSGAYKNENEANCHVDSSGLIAGSPWIDLPYLFLWGVPTFKFGDAFTMMIASFVASSTGVFHASARYGSATPVPPSVISRGVGWLGIGTMLNAVFGGVTGCAATAENAGLLAMTRVGSRRVVQISAGFMIFFSILGKFGALFASIPASIMAAMYCIFFGYMSSAGLGFLQFCNLNSFRTNFILGFSLFLGFSLPQYFREHHMYSVSGPLHTKSRWFNDTMSVIFMSHATIAAAVAVFLDRTLPFNNNEAQKDSGLHWWDKFVVYTKDVRSDEFYKLPCQLNRFFPPY >Solyc03g096800.3.1 pep chromosome:SL3.0:3:60586543:60597075:1 gene:Solyc03g096800.3 transcript:Solyc03g096800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVDWKWEQNEMEVEEGGGGLQVRSSKVTKEVTNVKIEINGYGNSSLQMTNGTEKSDLGDVQERSEEEKLSDSINGVEVEEGTEPKDELVEQIVVSNNGVEEKSGLDDEQERSEEHISDFNNGVEEGTEKSDLADELKGSEEEHISDSYNGVEVEEETKPKEESAQHILLSNNGVEVEVQGDTKPKEESVQKIVASNNGVEERTEPKEESVQKIVASNYWVEEGTEPKEESKQHLVTFTNGVEVEEGAEESIQQIVVSNNGVEVGTEPKEDSVQQIVVVSNNGVEEGTEAKEESKEHLVTFTNGVEVEEGAEDSIQHIVVSNNEVEERTEPQKESKQHLVISTNGVEEGTEAEEGPFGSGHSVYYDKDEGIWKCRTCSWTLQGKSLDIGWTKIPRRYLDERINYPMLDQRGSKDSSFISTPSIKEKIDKIPGDEGVQESATLDIHLNGYLKSYNLSSSSVQFSKNLSEDHSIVHKSTAVDEIESADPDLIDDSDTDVKDFDVENVLQKQNTHDLYCPNCKSCITKRVILRKRKRKIRGSGDDVKRVKPEVVVDSKVDASHVQAADDEVRDGADSYLDGTPPLAADDYHPDREPELFRCLSCFSFFIPAGNGFKLPHIFGKNGAKESVKGEQTPTKNKKWFIFGGLDKGKAPVEQGSGIGASAVINDSGVLMPSAPPAYSSSEITQEAGGKFLGSTNQDANMKGKMVIDTVGKSENATKSREGGSVFDFFDSETHNQPTVSASSNEVQGNDKNDELLRNTGRLQVSNGNLVQETPPASSDKKDELLRPGGKIQVSNGNLVQDSLPASQQNELKLLITSTKEESLTIEKPETDLKSDVAILNKDPATTGFALNGLDGNGKPKFLTEIPHEHVQHIEAMLPSESLVANNDNKFQLSSEDGAHHYEVSKHTITKTNIEIHSNQPLNVAEHDLISSVKDALSIQDAPDNIPNISVVAAANNIAGNDTIITVEAGNETRETASANVDTQIDSVEGQATDGADGYKIEIIKSIIFGGLAESITSLSVVASATGGDTTTLNILVLAMANLFGGLFIIFHNLWELKRDRFEQASNQIMEKQVDRYREQLGRRENFILHVVLVILSYIIFGLLPPVTYGFSFRKSDDKDLKIVAVAAASLVCILMLATGKAYVQRAPKPYFKTISTYIVLGFTVSGVSYAAGILFNRLLEKLGLFKPSSTVNLFLPETGAAWASF >Solyc02g078990.3.1 pep chromosome:SL3.0:2:44149892:44162958:1 gene:Solyc02g078990.3 transcript:Solyc02g078990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSMVGAQPSFSTLAISTNEPVVSVDWLHANIRDPDLKVLDASWYMPDEQRNPLQEYQVACIPGALFFDIDGITDRTTNMLQLAILHAFEIDFIIFYDIKALLIYQLPHMLPSEEAFAAAVSALGIKNKDGVVIYDGKGIFSAARVWWMFRAFGHDKVWVLDGGLPRWRASGYDVESPGDAILKASVATEAIEKVYQGQMVGPSTFQTKFQPHLVWSLDQVGFLDCFLPYNTWWWLHLAMYHEADGNGKDFFWIIDIQAVKRNIQDQTYQHIDARSKARFDGVAPEPRKGINSGHVPGSKCIPFPQMLDGSQTLLSREELEKKFGQEGISLDKPIVASCGTGVTACVLVLGLHRLGKTDIPVYDGSWTEWATQPDTPLCTSER >Solyc12g042963.1.1 pep chromosome:SL3.0:12:59446647:59453435:1 gene:Solyc12g042963.1 transcript:Solyc12g042963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRRKVKIDNDTQRRDTYKKRQKVAFKKTQELSTLCDCEVGNLVLFVIVKHGISLSKDQCPKIDEETKSMKTVPYASAYLKRTRDYMLVYHSDELFPIMYTDSDFQPDMDSRNSTSGNVFTLGGGAIVWRSIKQTCVSDSTMEAEYVAAKEAVWLRNFLKDLAVVPAIQKPLTMFCDNSGAVANSKEPRSHKKAKHIE >Solyc02g066880.1.1 pep chromosome:SL3.0:2:37656611:37657652:1 gene:Solyc02g066880.1 transcript:Solyc02g066880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKANHQDKGKRIVEDVSVDLTLDLSMETQMEYWRQRNLLPPMDTEMEWRQQNYPFSVTRTSSLWRDTEIEWRQSWRLKWLGGEEWILKSSRGKVDGTFIEGASGSSNAIPPVSGTLEQMSNHLTFRKMRDSEISCSKCMLFPLKVMNQMERRLKDFYTRIRGEEK >Solyc08g068730.1.1.1 pep chromosome:SL3.0:8:57933378:57934073:-1 gene:Solyc08g068730.1 transcript:Solyc08g068730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-hydroxycinnamoyl-CoA:tyramine N-hydroxycinnamoyl transferase THT1-3 [Source:UniProtKB/TrEMBL;Acc:Q8RXB8] MAPALEQAITSDASSDVTITGKIYTRVRLATKSDLSHIYRLFYQIHEYHNYTHLYKATESSLANLLFKENPLPLFYGPSVLLLEVSPTPFDEPKNTTDEGFKPVLTTFDLKFPVVEGEVEEFRSKYDDKSDVYIAGYAFFYANYSCFYDKPGFYFESLYFRESYRKLGMGSLLFGTVASIAANNGFVSVEGIVAVWNKKSYDFYVNMGVEIFDEFRYGKLHGENLQKYAHN >Solyc10g011720.1.1.1 pep chromosome:SL3.0:10:3965540:3965932:1 gene:Solyc10g011720.1 transcript:Solyc10g011720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGTAEHVRAPSNGKIKFNENLVHPTRTRHGHSAFLCSIDLYVTIESEDILHNVNIPPKSLLLVQNDQHVESEQVIVEIRAEISTLNFKEKVRKYTYSDSDEEMHWSTDVYHAPEFTQVLVIHSISTRKL >Solyc03g119120.3.1 pep chromosome:SL3.0:3:69296247:69299937:1 gene:Solyc03g119120.3 transcript:Solyc03g119120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRRLHSTCSRLLLNEPASHPKAELPRAKLTGGKPPVKSAKASSSDIVQWNRSITQYMRQGECDSALTLFNSMPAKSCVSWNAMLSGYLLNGKLDLAQKLFDEMPQRDLVSWNIMLSGYIKNKNFGAARILFDQMPVKDVVSWNALLSGYAQNGYVDDARRIFIMMPVKNEISWNGLLATYVQNGRIEEARKLFESKDNWPLVSWNCLLGGYLRKKMLAEAKVLFDKMPVKDQVSWNTIISCYAQNDDLEEARRLFDESPIKDVFTWTSLLSGYVQNRMVDEARRIFDEMPEQNEVSWNAMIAGYVQSKRMDLAREFFEAMPCKNIGSWNTMITGYAQIGDITHARSLFDCMPNRDCISWAAIIAGYAQSGNSEEALRMFVQMKRDGGRINRSAFTCVLSTSADIAAFEFGKQIHGRLVKAGYHSGCYVGNALLSMYCKCGSIDEAYDVFEEIAEKDAVSWNTMIIGYARHGFGKQALRQFELMKEAGIRPDDVTMVGVLSACGHTGLIDKGMEHFYSMARDYGIVTNPRHYTCMIDLLGRAGRLDDAQNLMKDMPSEPDAATWGALLGASRIHGNTELGEKAAEMIFRLEPWNAGMYVLLSNLYAASGRWRDVSKMRLKMRDTGVRKMPGYSWVEVQNQIHLFSVGDTMHPDSTRIYAFLEELELLMKQEGYVSATKLVLHDVDEEEKAHMLKYHSEKLAVAFAILNVPSGRPIRVMKNLRVCGDCHTAIKLISKIVGRLIIVRDSNRFHHFSEGVCTCGDYW >Solyc01g080610.3.1 pep chromosome:SL3.0:1:79694136:79697711:-1 gene:Solyc01g080610.3 transcript:Solyc01g080610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFTQLSLDDFSGITFKSNMHPIDYILFVSEVSCLKSKYSEEDNLIAEQDKEFRYKKEERNVRNIQSILSSYQQVREQKHPVLDCFVPKRKLSKPNRQPRKFTCEPMINKAMENDSKEERIITVDDEGKNRVRKRRKINDRTEAVQLNIEFKNQITFIGGTVESAKLVIEKILFDTDVNSAEGRLSIPQNQMSNKFLNTGEEQLLNTRNGAKMSEMNVSLIEPSRQNLGKEETTYIVKEGGEGWAIGLNAVPIEVYLSCLLFLCSALGLRSQYDLKRTAVFANCKRFSVSNNGYSNFDRPVSTFIPERNPEFSSQLENVQNGVDNTHGWSEAFSNKLDGVRQTVDSVCKILQSDPWAPSIEIALSKCDENPSRSGHWSIKATRGCQHSIKTTTDGLRANDSFSINKKQNKFQHHSYTNKFNKL >Solyc04g080510.3.1 pep chromosome:SL3.0:4:64750817:64754931:-1 gene:Solyc04g080510.3 transcript:Solyc04g080510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVMRVVRSCHEKLKVTILQHPQFKNTSEMNKVFARFGRVISFPVVHSKEANDIMVSEFTMQQKGLENTTVADVLMIKDEEKGNSWLCCRTNDTAYDAIKKMAANNIGSLVVLRPGENQLIAGIITERDYLRKVIVQDRSSKYTRVGDIMTEQSKLITVTSDTNILQAMQLMSEHHIRHVPVIDGKVVGMISVADIVKAVVDQQTGEVKQLNQFIKGDYY >Solyc03g112620.3.1 pep chromosome:SL3.0:3:64477247:64486497:-1 gene:Solyc03g112620.3 transcript:Solyc03g112620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSSIIFKFVAFLVIFSSFSTHVLSTLQANQTFRPKEELLKLKKIKGYLKKINKRPVRTIKSPDGDLIDCVLSHLQPAFDHPQLKGMKPLEPPKRPKANESMNIMEESLQLWTVSGESCPEGTVPIRRTTEKDVLRSNSLRRFGRKIRRGVRHDTSSNDHEHAVAFVNGEQYYGAKASINVWTPRVTDQYEFSLSQLWVISGSFGNDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQINNRIAMGAAISPRSSVNGRQFDIGIMIWKDPKHGHWWLEFGSGLLVGYWPDFLFSHLRGHASMVQFGGEVVNSRSMGYHTSTQMGSGHFADEGFGKASYFRNLQVVDWDNNLIPLSNLHLLADHPNCYDIRAGKNNVWGNYFYYGGPGRSSRCP >Solyc11g021060.2.1 pep chromosome:SL3.0:11:13333310:13334318:1 gene:Solyc11g021060.2 transcript:Solyc11g021060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ARPI description:Proteinase inhibitor type-2 TR8 [Source:UniProtKB/Swiss-Prot;Acc:Q43710] MAIYKVALLLLFGMILLASDFEHAKACTKECDTRIDFGICPLLETKRVEGLCTNCCAGKKGCKYFSKDGTYICDGESEWVSEKNNNLKKACTKECDTRIDFGICPLLETKRVEGLCTNCCAGKKGCKYFSKDGTYICDGESEWVSEKDNNLEKDCTKECDTRIDFGICPLLETKRVKGLCTNCCAGKKGCKYFSADGTYICDGESEWVSEGENDLQKSNVAIS >Solyc11g066250.2.1 pep chromosome:SL3.0:11:52254879:52260771:-1 gene:Solyc11g066250.2 transcript:Solyc11g066250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4D9N3] MSSSSSLFPLLFVAIFLVLCSQIALSLSPNFFLQSHNDIISPKKLQLTMGEKLIRQLNLFPKHDINIVSSKDNNYENKLFEKKLDLSYLGDSGATVQDLGHHAGYFPLINTKSARMFYFFFESRSNKNDPVVIWLTGGPGCSSELALFYENGPFKISKNMSLVWNDFGWDKVSNLIYVDQPTGTGFSYTSDESDIRHNETGVSNDLYDFLQAFFNAHPEYVNNDFYITGESYAGHYIPAFASRVHQGNIKKEGIHINLKGFAIGNGLTNPEIQYKAYTDYSLDMKLINQTDYDYINQLYPTCQQEIKLCASGSEDACMKGFNDCNLIFNSIMDIAGDINYYDIRKTCNGSLCYDFSRMETYLNDDQVKEALGVPTSIDFVSCSSSVYQAMRMDWMKNLEVGIPQLLEDGINLLIYAGEYDLICNWLGNSNWVHAVEWSGQKGFEAAPSVSFTVDGEEKGVQKKYGPLIFLKVHDAGHMVPMDQPKAALEMLQRWTHGNL >Solyc03g006330.3.1 pep chromosome:SL3.0:3:927986:933655:-1 gene:Solyc03g006330.3 transcript:Solyc03g006330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFHFLFFFFAFKIAFCLPAPYVKRISCGAQNDVRTAPTNTLWHKDFGYTGGVRTKANRPSYITPPLSTLRYFPLSEGPDNCYNIDKVPHGHYSVRIYFGLVKEPSFDNEPLFDVSVEGTLVYSLPSGWSNHDDERAFVETLVFLENGTTLLCFHSTGHGDPTILAIEIQEVDEKAYYSGPGYGRGTIFRTSKRLSCGDQKPKFDVDYDGDRWGGDRFWSSIMTFGQNSDKPISTKSTIKLASVAPNFYPQALYQTALVSTDNDPDLTHTIDVDPNKNYSVWLHLAEIDPSVTDVGQRVIDISINGNIVFRDIDIVRIAGGVNSALVLNTTIPVSGRILTITLHPTTGTHAIINAIEIFEVIPVESKTLPEEVKALQSLKLALGLPLRFGWNGDPCFPQHPWSGVDCQFDNSSNKWVIDGLDLDNQGLRGFLPDDVSQLRHLQSINLSGNNIHGLIPSALGTITSLEKLNLNGNLLSGKIPAALGGRLLHRASFNFTDNAGLCGIPGLPTCGPHLTIGAKIGIGLGACVAVVVIATCITCWWKRRQNILRAKRMAVRDAPYAKKRTHLNHIQMTRNHHNGHHHEHTRTAVENGPPLLV >Solyc03g006430.3.1 pep chromosome:SL3.0:3:1028538:1035438:1 gene:Solyc03g006430.3 transcript:Solyc03g006430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTGSLGLRSSGSYGSLQQQLFQNSSSPIQTTSPIPRKPPKLFKEKEGLFLWICKFAPRKNVGMLLLCVVSAAAFLWVLYVGKGEVAAEQSNRMFAPETVHKYIFSGIESIQKNNVGRSVSIVQPPPPPPPPPPLLPPVYFKGYTLPPGNPCEGFTLPPPPADKKRTGPRPCPVCYLPVEQAIALMSDAPSFSPGVSNLTYIHEENQSKADFGGSNFGGYPSLFQRIDSYDIRESMRVHCGFVRGVRPGHQTGFDIDDSDLLEMESCQGVVVASAIFGAFDLIRQPKNTSEYAKKNACFYMFVDEQTEAFLRNSSELNSSMRIGLWRIVVVHNLPYGDPRRNGKVPKLLLHRLFPNARYSLWIDGKLELVVDPYQILERFLWRKNASFAISRHYKRFDVFVEAEANKAAAKFDNASIDFQVEFYKKEGLAPYSRAKLPITSDVPEGCVIIREHIPISNLFTCLWFNEVDRFTPRDQISFAIVRDKIMSKTNWTVNMFLDCERRNFVIQGYHRDILGRLTPPRASILVHPPPPVILETRHTSPFVPTSTVITTPIKKIPTKRGRERKSKRHRKVIAGNRDPNFN >Solyc12g040285.1.1 pep chromosome:SL3.0:12:54174976:54188889:-1 gene:Solyc12g040285.1 transcript:Solyc12g040285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKFRFWKDMWCDDDVVRIPLRTFWEVKGTHIDAVTDSNVKDPGFERIRPSGRQLDAEKLSHFSGKEAIGDLKKTSPSLDVLKTRKRSATNLEDRFAKRCKFFARGRCTKGNSCRFFHTKQPVTSHETSKIPHDKGLEEKSLLDCSSQSGENLRIRGGEDSLHPNSHLGYISKSPAFPSSITGYYWKNHLSQDTWYSSDYTTTDDWEPSVPFNPTFMLSQMVRYHKSVLYDGICNSIYQSDVGDGSFPVLIKHMQANADPASTGSNKVKIFGHSDMLPEKDLPRHGKAVAHQENMNTSSNEDKHLESEIDVDNKSVNTKLVVLKNFHVALVEFVEELLRPTWNLGLLSKVAYKKIVKKTVNIVENSLHPNQIPNTAKSTEEYFNLSVTKLSNTIEWKVKVDEASKDNSGPSIADFCIINNNGDLVGAKYLKILDIFNLAFEADRLYNIVGRRELNKATIKSKYLVPLVQHLMDRLSKACWFTKLDLRAGYLQVRKADGDEPKTTYDIVIYSRTLEEHVNHLSLVLSQLRKYTLYVKMEKCEFAQQEIKFLGHLVSKNQVRMDPKKVQAIVDWQAPLHVKDLRSFIGLANYYKKFIVGYSKRAAALTDLLKKDTKWVWSERCDEAFQNLKNAIASEPILKLPDFELPFEVHTDALDKTIGGVLLVQEGHPVDFESRKLNDAEQRYSRHEKKWLWWYTFCGFGEFIF >Solyc07g055980.3.1 pep chromosome:SL3.0:7:64010491:64014835:-1 gene:Solyc07g055980.3 transcript:Solyc07g055980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSTSITPLHTCPILSHFHSINLNNNKKSLKLSIRKSKCCCFTLSSTHSCCKDQEKPQNNSYSIDPDRQKNPIINWEEFYSSIQEQEEITQYNEEEEEEEPEFELPDHSGIRTNMWWTELKAALGQRINVEGIVSSLGVFSKDKHLAIPHVSVPDIRYIDWAELKKRGFEGVVFDKDNTITVPYSLSLWSPLASSIDQCKSLFGNNIAVYSNSAGLDEYDPDGRKARILERAIGIKVIKHGLKKPAGTAEEIEKQFGCESSRLIMVGDRPFTDIVYGNRNGFLTILTEPLSCAEEPLIVQQVRVLEVALVNRWSSQGMKPTTHTLLPDCQQCVKDDPL >Solyc03g045050.3.1 pep chromosome:SL3.0:3:11424612:11434933:1 gene:Solyc03g045050.3 transcript:Solyc03g045050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPPRKVFSGWTLTPRTDLANKTVSKGKDVVFMGSGQKVLSSIQDYDTVDKVVLLDKVSKLENELVDYQYNMGLLLIEKKEWSAKLEEIKQALSEANEAYRREHTAHLIALSEVEKREENLRKALGVENQCVRELEKELREMRSQYAETKYVADSKLDEAKALATSVEENSLHVELKLRAADAKTAEVSRKSSDVERKMRDIEAQENALRRERSSFNTEREAHESAISKHREELREWERKLKEGEERLADARTLLNQREQRANENDGILRQKQSDLEDEQRKIDIANSVLRKKEVDMSSRLAILASKEKASELEDVRKSLEIKKEELDELQEKLNAKEREEIQKLMDEHRAILKSKEEEFELEMRQRHASLDEELENKVIELEKKEAEVGHIEEKLKKREQALEKKSDKMKEKEKDLELKLKALKEREKSLKIDERELETEKKQIFTEKDRLLDLRVELENRRAELEKQQLKINEGIEQLKITEDEKMEHARLQSELKQEIDKCRDLRDTLLNEAEDLKQEKERFEREWEELDEKRSAIKKELQEVNDSKKKFEKLQHTEEERLKKEKLETENYVQRELEALKVAQETFAATMDHERSVLSEKTQSEKIRMLHDFEKQKRDLESEMQRKREEMESALHEQKKRFEEERQRELSNANYLREVAHKEMEVMKSERVRLEHEKQEISSNKMHLVEQQSEMKKDIDVLDGLSRKLKDQREAFAKERERFLAFVKKQENCSSCGEGIRIFELSDLQPLNDVVDLEAPSLRNVAQEYLTDGFQDTPVRANNELLPGALNSGSMASAGTMSWLRKCTTKLLKFSPGKKIEHPASQDLIGGSSPEEKFEGELPDTMVKKDQVDLAISIKDTFDDQKLQTDNSVREVEVGKDVPEDSQHSNRNSQRRPVRKGRGKNSKTGHTNSKATSAKIILGENVKESENILVNGGFETSINVNESQKEDSSLFGEAPSKTRKRTRIHGTASEFDGSHSDGQSDSVTTTSRRKRRQKAAPSVQAPGEKRYNLRHPRSAAVATANGSLPELVSKSQEENGDSKVVPETPAAISDGELRNSDAALPAVADSPLIEAADDQACAGDIANELVDDTGLSEEINGTPEGPSAYNVYDEEHEGDTIVQEEDGERDEDADENDELDEGNEEEEVPHPGEVSIGKKIWSFITT >Solyc09g055840.1.1.1 pep chromosome:SL3.0:9:46117374:46117544:-1 gene:Solyc09g055840.1 transcript:Solyc09g055840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGRNQEITPHVRNYRRSPLRSNNSIELSMIVCVIASTIPGISMNPIIAIAQDSLF >Solyc05g009650.3.1 pep chromosome:SL3.0:5:3851949:3853705:1 gene:Solyc05g009650.3 transcript:Solyc05g009650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFKRNMGTLRDEFEGDDFYDELRRQMLILTTEDEEDYVQQSNDQFKNSKRVEMKSLVLQQPKGIQFYWYGDKEDYNKVPKWLLDLWSKGNRDEVLNGTGVFIPHIVKSRRRNKSRRKNTERGKIYKPVSTGNC >Solyc09g042570.1.1.1 pep chromosome:SL3.0:9:24417239:24417511:1 gene:Solyc09g042570.1 transcript:Solyc09g042570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLFLLFDINFSLSCIPFLLARLVFISTGIFYDIFISTSIHFLFLVFFILSLSNFNVHFFLHCDSVIHVLLLLCLICTFSFYHIFLLL >Solyc03g013090.1.1 pep chromosome:SL3.0:3:48972025:48973229:1 gene:Solyc03g013090.1 transcript:Solyc03g013090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKILFLCPLLVLILNQKIDIVDYSVTNTNANTPGGACFKRDIGAQYGQHTLAAPHPTYGISSNNRIFQLTVKIYQKYIQGYSGDVKREIRGVLYQESAHIWQ >Solyc09g091440.3.1 pep chromosome:SL3.0:9:71198877:71204860:-1 gene:Solyc09g091440.3 transcript:Solyc09g091440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:K4CWH0] MDVGGNSLASGADGKKRKVSYFYDPEVGNYYYGQGHPMKPHRMRMTHALLAHYGLLQHMHVLKPNPARDKDLCRFHADDYIAFLRSVTPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHGHCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYGSGKYYSLNVPLDDGIDDESYQSLFKPIMGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIELEDKMPQHEYYEYFGPDYTLHVAPSNMENKNSRQILEDIRSKLLDNLSKLQHAPSVQFQERPPDTELPEADEDLEDADSRWDADSDTNGEERKPNPSRVRREHVEPEGKGTDDMKTEEHLREVESTFAESTSLKGGNSSSTLIDGGQIKVEQGNSNKLFDQPTDIIS >Solyc02g036377.1.1 pep chromosome:SL3.0:2:31130812:31142204:1 gene:Solyc02g036377.1 transcript:Solyc02g036377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKGIEVAGWLIRKMDAYFEHVNMQSKAAKIKTTAMYLTDMAMLWWRRRKADMEKGVCIIDGWDKFKGELKRQFYLQNVVHEDSRSLTNEDLLFYFLDGLQNWAKQELQRHQVHDVDEAIVVAESLNDFQADAAKERDNRSKTVPPKVDNNKIKGRPTPNRGSDTKGNTSDQPSNFCKSYEDRKEDAPHREGCYICEEMTHTARYCPSLRKLSAMVTAEKQQEKVAMQTRGSAGEQRGQNSRTDKGKNVDVGMFNHMALFYHISLAALAAQPTSIRSRESLFVDANLNGKDVQIMVDTGATHNFMTEQKAKDLGLTYVASNTMLKTLNALPTSIHGFATKVPIDLGGRTGLTDFTIAPMDVFDIILGLEFWYEVNAFISPHHNQMHISDTGGSCVVPLIQAVPFSHCIEHVLSDNRDVMPEELPQRLPPRREVDHQIELVPGAKPPAMTLYPLNKVTVKNKYPIPLIADLFDRLGHANVFTKMEFRKGYYQVWIAEGDEPEMTCVTRYGAFEWLFMSFGLKNTPATFCTLMNKLFHPYLDQFVVIYLDDIVVYNNSMEDHVEHLCKVFKVLRDNDLCVKRETRWNPFKIGRLQRRYPSCGPSLALPIIINVSFLAIRLLLSHSLI >Solyc07g065010.3.1 pep chromosome:SL3.0:7:67081277:67085998:-1 gene:Solyc07g065010.3 transcript:Solyc07g065010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSVSFFVFLSLLLFSSICVESDGEGYISLDISNKGLDFMKEFLVQMAETSLVPLDLPKIEKSKHIPIIGTVHMVLSNISIDNIRVISSTVKAGDTGIVISVSGAYANMTMNWEYSYSNWWLPVPIADNGKASIQVEGMDVGISFNLTNVQGSLMLSPLDCGCSVKDIFIKLDGGASWLYQGVESEYASERLIDAFEGRLSSAVENAISKKLREGIVKLDSSLQSLPKEIPIRNIAALNVTFVGNPQFRDSSLVLSINGLISAKEAYTVPPYYHLFASLEHLLASIPLKDPESMVTISLHEKVLASASAVYYDANKMHWIVDKVPEQSLLNTAGWRFIIPQLYRRYPNADMNLNVSIYSPPHLIIKEHQIDLTVHADVIINVLNSGEVTPVACFSMSLAFCHSLSLSLEIDITLMKPHLSVEEETERLKLKAVSGSASPWISNNNLGGSIGLDELSLSLKWSKIGNLHVNLVRALISTALRTVILPYINLKLSRGYQLPTFHGYMLQNANILCSDSWIKISSDVASVNQLFIS >Solyc09g091340.1.1.1 pep chromosome:SL3.0:9:71086218:71086667:1 gene:Solyc09g091340.1 transcript:Solyc09g091340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLSLFNIFFFLLLLISPLDVSLARHCVVEGPLQVHIINKLPPNGPPLKFHCASKDTDLGEYSPAPEEDFNWSFCELIGFRTLYYCHFWWYSKVQMFDVYSDPYTCIKGQSAFNFLEYCKWEVRENGFFLEQYNETEKAYHMNLLYDWS >Solyc01g097760.3.1 pep chromosome:SL3.0:1:88349791:88352066:1 gene:Solyc01g097760.3 transcript:Solyc01g097760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKKGVAVAAKKKPEKAKAVNPLFEKRPKQFGIGGALPPKKDVTRNVRWPRNVVLQRKKRILKMRLKVPPALNQFTKTLDKNPATTLFKMLLKYRPEDKAAKKERLVKRAQAEAEGKTPETKKPIVVKYGLKHITYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKARLGSIVHKKTASALCLTTVKNEDKMEFSRILEAIKANFNDKYEENRKKWGGGIMGSKSQARTKAKERVLAKEAAQRLN >Solyc05g054740.3.1 pep chromosome:SL3.0:5:65441283:65449989:1 gene:Solyc05g054740.3 transcript:Solyc05g054740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfate transporter 4.1-like protein [Source:UniProtKB/TrEMBL;Acc:K4C2F1] MDRTYASPSSQNLTAITTNSVDFASSSSPSPTSMSTGGSRAVKIIPLEHPSATASSTSATASASASVVSKWRARMKGMTWKEWIELFFPCYRWMRTYKVREYLQSDLMAGITVGIMLVPQSMSYAKLAGLQPIYGLYSGFIPIFVYTIFGSSRQLAIGPVALTSLLVSNVLSSIVEPSDKLYTELAILLALMVGILECIMALLRLGWIIRFISHSVISGFTTASAFVIALSQAKYFLGYEIERSSKIIPLVESIISGADKFSWPPFIMGSLMLSILLTMKHLGKTRKYLRFLRAAGPLTAVVLGTAFVKIYHPPSISLVGDIPQGLPKFSVPKQFGHVKSLIPTTVLITGVAILESVGIAKALAAKNGYELDSNQELFGLGVANICGSFFSIYPTTGSFSRSAVNHESGAKTGLSGLVMGIIMGCALLFLTPVFEYIPQCALAAIVISAVIGLVDYDEAKFLWRVDKKDFLLWTITCMTTLLLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTIYRNTQQYPEAYTYNGIVIVRIDAPIYFANTSYIKDRLRDYEIEKEESKGRGPEVSRIHFVILEMAPVTYIDSSAVQALKELHQEYKSRDIQLAISNPNREVLLTLAKAGVVDLIGKEWYFVRVHDAVQVCLQHVQRLTEFPKAHDSLAENKPSLFQRLLNQRKDEFFQPELESGVHESLLSKDINPQLEPLLSKKT >Solyc12g008360.2.1 pep chromosome:SL3.0:12:1785505:1799280:-1 gene:Solyc12g008360.2 transcript:Solyc12g008360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSDTVTPSSLRLAFGNVFSLFILILIGVLAFSIRIFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWIINSLNIPLSVETVCVFTAPTFSAFAAWATYLLTKEVKGVGAGLTAAALLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALSYFYMVCSWGGYTFIINLIPMHALLCIVTGRYSSRLYIAYAPLVILGTLLAALVPVVGFNAVMTSEHFASFLVFLILNVVGLVYYIKGMLTPKMFKVAVTLVVSAGLIVCCAVVAVLVALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLTDASSFVILYLVTSVYFSGVMVRLMLVLAPAACIMSGIALSSAFGVFTRSIKFASTGKQADAGDNGDGVTQNDVVKSDRNEELPKEKASRKKKKEKENVEKVPIANKKSEKRLLMLPMEASFVAILLLVLLGAFYVVHCVWAAAEAYSAPSIVLTSQSHDGLHVFDDFREAYAWLSHNTDVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSMATPTMLNSLMYKLSYYRFVETDGKGYDRVRRTEIGKKHFKLTHFEEVFTTHHWMVRLYKLKPPKNRIRGKTKKSKSKATSSSSRRSATQKKNPWQ >Solyc11g065270.2.1.1 pep chromosome:SL3.0:11:50950748:50956445:1 gene:Solyc11g065270.2 transcript:Solyc11g065270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESFTIQIHSNLVKQLADEGEKLKKKTRKPKPKVQRENKTAHQKLISSDPDETRGRAATGWPVQPPLLIPVPPPPHPAIAELDAIRSVLKESEEAVEKLQKHEEKMLQEVTKKAKDLHDKEFKLPNQKPIPCLDERDACFKCYKEHEKDPLSCANVVQNFAECARRVKQQVNLAGK >Solyc09g010090.3.1 pep chromosome:SL3.0:9:3475584:3484465:1 gene:Solyc09g010090.3 transcript:Solyc09g010090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFMKNSSLWGLKFYLFCLFIILSNINRAFASHNIFLDLQSSSAISVKNVHRTRFHFQPPKHWINGSVWGNIIWAHSVSKDLINWIHLEPAIYPSKKFDKYGTWSGSSTILPNNKPVIIYTGVVDSYNNQVQNYAIPANLSDPFLRKWIKPNNNPLIVPDNSINRTEFRDPTTAWMGQDGLWRILIASMRKHRGMALLYRSRDFMKWIKAQHPLHSSTNTGNWECPDFFPVLFNSTNGLDVSYRGKNVKYVLKNSLDVARFDYYTIGMYHTKIDRYIPNNNSIDGWKGLRIDYGNFYASKTFYDPSRNRRVIWGWSNESDVLPDDEIKKGWAGIQGIPRQVWLNLSGKQLLQWPIEELETLRKQKVQLNNKKLSKGEMFEVKGISASQADVEVLFSFSSLNEAEQFDPRWADLYAQDVCAIKGSTIQGGLGPFGLVTLASKNLEEYTPVFFRVFKAQKSYKILMCSDARRSSMRQNEAIFGAGGKTCITSRVYPTLAIYDNAHLFVFNNGSETITIETLNAWSMDAFFIHLQSQNAVNVHTVHRTGYHFQPEKHWINAPMYFNGVYHLFYQYNPNGSVWGNIVWAHSVSKDLINWINLEPAIYPSKPFDQFGTWSGSATILPGNKPVILYTGIIDANQTQVQNYAIPANLSDPYLREWIKPDNNPLIIADESINKTKFRDPTTAWMGKDGHWRIVMGSLRKHSRGLAIMYRSKDFMKWVKAKHPLHSTNGTGNWECPDFYPVSSKGTDGLDQYGEEHKYVLKNSMDLTRFEYYTLGKYDTKKDRYVPDPDSVDSLKGLRLDYGNFYASKSFYDPSKNRRVIWGWSNESDIFPEDDNAKGWAGIQLIPRKVWLDPSGKQLVQWPVEELETLRTQKVQLSNKKMNNGEKIEVTGITPAQADVEVTFSFASLDKAESFDPKWNDMYAQDVCGLKGADVQGGLGPFGLATLATENLEENTPVFFRVFKAQQNYKVLLCSDAKRSTLKFNETMYKASFAGFVDVDLADKKLSLRSLIDNSVIETFGAGGKTCITSRVYPTLAINDEAHLFAFNNGTEPITIESLDAWNNIV >Solyc02g070020.1.1.1 pep chromosome:SL3.0:2:40377628:40379067:-1 gene:Solyc02g070020.1 transcript:Solyc02g070020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKDKLHVVMFPWLAMGHIIPFFHLSKCLARRGHRVTFISTPRNIERVVNKVPSDLAHLLHIVWFQLPKVENLPDDAESSMDIPYQKAQFLKIAFDSLEIPLTNYLESSSNPKPDWIVYDYASHWLPQIAGKLGVSRAFFSLFTAATMSFYGPPCALLNDERSIAEDYTVVPKWIPFETKVVYRLHEIKKNFEAPADESGTSDGARFGASIDASDVILFRNCVEFESEWFSLVSELYQKPIISIGVLPPSVVVDQEQDDSNDASWSGIKSWLDKHNQDSVVYVALGTEATLNQQELNELALGLEKCGLPFVWVLRDQPKHNQQDSCIQLPDGYEDRVKNRGVIYKGWVPQTKILSHSSVGGFLTHCGWNSVIEALCFGRVLVMFPVLNDQGLNTRLLQEKGVGVEIPRNEKDGFFTSDSVAEAVKFGVVSEEGELLRANARQMSCLFGDRKRNEQLIDDCVGYFMENRISKSNSSIG >Solyc12g062550.1.1.1 pep chromosome:SL3.0:12:33927453:33927761:1 gene:Solyc12g062550.1 transcript:Solyc12g062550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYYLLGGFTANTTLAHICRDNGLLLHIHRVILAVIDRQKNHGIHFLVLEKALHMSGGDHIHSDTVVGKLEGEREITLGFVDLVCDDFVEQDRSCSIYFIQY >Solyc09g090600.3.1 pep chromosome:SL3.0:9:70544853:70546570:-1 gene:Solyc09g090600.3 transcript:Solyc09g090600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFGIFFTIFCILSTSCLGDWNILSQHKTNSGLQISLKNYCESWRMNVELHNIRDYVVVPQECVAYIGKYMTSTQYKVDFERTINECILYISTNCILENDGKDAWIFDIDDTLLSSVPYYKKNGFGGNKLNVTSLEDWMSQGKGTALEHSLKLFNHLKELGVQIFLVSSRREHLRSATVDNLVKVDFYGWNSLILRDQEDECKNAQTFKAEIRSKLISQGYRIVGIIGDQWSSINGLPSAKRSFKLPNPMYYVA >Solyc02g078580.1.1.1 pep chromosome:SL3.0:2:43812588:43814525:1 gene:Solyc02g078580.1 transcript:Solyc02g078580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELTDLLLEAAGRTNTGGRNRPPHSSKRHHKSSYSDDGSDSRDDDSDDGRGYSGRKLSGSQVPLKKRLDPQERDDDHSSHGEGNDGDGYGNESDDDSIGSDLYKDDDDRQKLAQMTELEREMILTDRAAKKSDRSLHDKMIKDRAQPRKQSSPPSHSRGMRSSTRALDRAADRDDALNEIRAKRARQQQDPEGQFKLRDAARKGSGSRGYSPIKRRSFTAASLGSSPTRGESDSHSNEGDSSADDGMDDSDDDKSSPESQLPTFEDIKEISIRRSKLAKWFMEPFFDELIVGCFVRVGIGRSRSGPIYRLCVVRNVDASDPNRQYKLENKTTSKFLNVVWGNENSAARWQMAMISDSPPLRDEFDQWVREVERSGGRMPSKQDVLEKKEAIQKSNTFVYSADTVKQMLQQKKSATWRPLNVAAEKDRLRRDMEVAKMKNDEAEVERIKARLQELEDSRKVQEKDDKARRLAEMNRKNRVENFKNASELRPVNQLLKAGEAGYDPFSRRWTRSTNYFAKGASNGEAAAAAALAAEDNNGAGGTADGGMAATAAALQAAAGAGKLVDTNAPVDQGTESNTLHDFDLPISLAVLQKFGGSQGGQAGFMARKQRIEATVGCSVPENDGRRHALTLSVSDYKRRRGLL >Solyc12g036400.1.1 pep chromosome:SL3.0:12:46455779:46457568:-1 gene:Solyc12g036400.1 transcript:Solyc12g036400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHETHQNQIISAKLFNSQRYIHSLIVYFLFFGSGLVIGISLTFYLKDVPITLKKKLFTIQPSPPLIVPPKSRPPPSLPIFHQEQDVTMNNNIKRKIPGVGRIGLTDYIKPPEAMHDMLDDELIWRASMIPKAKIFPFNRTPKVAFMFLARGSLPLAPLWERFFRGHEGHYSIYIHSQPSFKGDAPEEGPIFHGRRVPSKRVDWGEFSMVDAERRLLANALLDMSNERFVLLSEACIPLYNFTTIYTYIMNSTKTFVESYDEWGPVGRGRYNSQMTPWVTIEQWRKGSQWFELDREIALDMITDQKYFNLFKDFCRPACYSDEHYLPTFVTMRYWWKNGNRTLTWVDWTKGGPHPTKFARTEVTKELLHQMRTGIQCMYNGEPTNMCYLFARKFLPSALDRLLKFAPKVMMF >Solyc09g011170.3.1 pep chromosome:SL3.0:9:4510785:4522300:-1 gene:Solyc09g011170.3 transcript:Solyc09g011170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASLRHFLESLCFKSPWNYAVFWKLQHQCPIILTWEDGYLDVPGAREPYRSQNGNYYSKNLSDLSPNCGSRSHNGYLSAHSIGLAVAEMSSTYHIAGKGVVGEVASLGIPRWISSDSVAPAELGFGSVAECPDKWMLQFVAGIKTILLVPCIPYGVLQLGSVETVAENMEMVTILAEEFDAHLKFVESFLPGGESCEFLLQSTLSETLNIPSATTTNKVNEDDVAADIPIVEDHKSSAVFPMTSLIDVQHPFQLSGQHMQNVLENENESKIGKFVEHMPNVLENAYKWEIPMQHVDMINLVKQLAHGYSDDNRSGITERSIVRSSCHTKDIDAFSYSSCNVGGVGVSNEVDFHFDGDMLDPRSLGMDCHNTILGNVSNSFSCSTERELHEAFGSTIHNLSGFSANPSSKSIYAADCTFNSEPSDGWHLKEDNAENLLEAVVASAYCFTDDYSLNKMAGLESLNMSSGKPVPSRKRLNQSAESDSVGDAVTRSTLTSASAGVDKYASTNRPHSASSFDYVVSTFDEGHHQTKVFSSLDCHKESKISNTNKKRRRSGDSHKPRPRDRQLIQDRLKELRQLVPSGAKCSIDGLLDKTIKHMLFLRSVTDQADKLRFQAQTEVAPDKNLQSPPIKSSNQQGTSWALELGSVDQICPIIVKDLEYPGHMLIEMMCDDHGRFLEISDVIHRLELTILKGVMEKRSESTWAHFIVEASGSFHRLDIFWPLMQLLQQVPSSVSRNI >Solyc03g042520.2.1 pep chromosome:SL3.0:3:6551004:6554007:1 gene:Solyc03g042520.2 transcript:Solyc03g042520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CKRRRTTAGNFSRGVVIRQQEEVEERERERVVQEKVEEEDWGSESEGNFRSSGDRRVPVRESEVLRKNRSISGESVYQNFEDSIINRSISVTLLDPDVLDCPICFEHLCVPVFQCGNGHIACAPCCIKIANKCPSCCLPIGYNRCQAMENVLESLKVSGVNNRYGCKEILNLSTSAKVYAHFSEKHASSAEHISFNVXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX >Solyc01g034210.1.1 pep chromosome:SL3.0:1:36635131:36636436:-1 gene:Solyc01g034210.1 transcript:Solyc01g034210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIFRAGGRTLMHDIVAEVSGGSDSIRKVIEADNFVPYSKPKMYHLYNERWYRQDQLLRSALIASLSTKVVSLVNADETSYGVWNNLCRMYAKPSRAQILSLKDSLTRATKGTQSMSAYLQHIKQLVTLNSTKTAITLDDVTLYVLNVLPS >Solyc12g009060.2.1 pep chromosome:SL3.0:12:2350232:2354989:1 gene:Solyc12g009060.2 transcript:Solyc12g009060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLFGKRKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPALQRIMQEFEMQNEKMEMVSEVMGDAIDDALEGDEEEEETEELVSQVLDEIGININNELVNAPSSAVAAPAAKGKVAQAEATDNDDGGIDSDLQARLDNLRKM >Solyc11g021090.2.1 pep chromosome:SL3.0:11:13387408:13388046:-1 gene:Solyc11g021090.2 transcript:Solyc11g021090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGNFIAATQTSMKHSNNGYASMITYMLFYVSMNLGTFACIVLFGRRLYTKDPFLALSLALCLLSLGGFFRKLYLFWCGWQTGLYFLVLIGLLTSVLLMTGRNQEITPHVRNYRRSPLRSNNSIELSMIVYVIASTIPGISMNLIIAIAQDRHVLASRVYFLVQDPSD >Solyc05g009820.3.1 pep chromosome:SL3.0:5:4036017:4056242:1 gene:Solyc05g009820.3 transcript:Solyc05g009820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDYSSPYLRGSIAGVLSVLQHSTCPENTFFHFLAVRRHFNHLNKTITSTFPYLNFNLYNFNPSLVRHLISSSIRRALDQPLNYARIYLADLLPTTVNRIIYLDSDLIVVDDVDKLWNIELNDRVLGAPEYCHANFTHYFTHKFWFHPIFPNTFKNRTPCYFNTGVMVIDLQKWRNDDYTQKLEHWMRVQKRYRIYELGSLPPFLLVFAGNVKQVEHRWNQHGLGGDNLEGQCRDLHPGPVSLLHWSGKGKPWLRLDSKKPCPLDSLWAPYDLFRHQSLFSDS >Solyc02g055445.1.1 pep chromosome:SL3.0:2:2785379:2786024:-1 gene:Solyc02g055445.1 transcript:Solyc02g055445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKGYYQVRIVEGDELKTVVCVPFVLTNAPATLCTLLNKILQPYLDQFVVVYLDDVVIYSNTLAEDVEHPRKVFQLYVKREKCDFPQHEVHVLVHAISQGKLRMDEAKIRAIQEWEAAMKMTELRSFHGLANYYRRSISSYSDKASPLTELLKNNKPWAWSKECQKEFEDLKAAISKEPVLRLPDFSKTLKRHTDAS >Solyc06g036210.1.1 pep chromosome:SL3.0:6:25778463:25779370:1 gene:Solyc06g036210.1 transcript:Solyc06g036210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLKHRGFLFSELSKGCAAPTLAAFEDGCPPKPEAVIDAITKLRKKISRDLYEDRIRSQRANRCFTTNHKFHVRRSIHTGNYDQRVLYQPPSTSEIPTKIFFKYKNSVSSAELVN >Solyc01g079340.3.1 pep chromosome:SL3.0:1:78293874:78302063:1 gene:Solyc01g079340.3 transcript:Solyc01g079340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLSKLLFFPCCARLSKRGQSLKLKNLTILFFFLCITNAELPDEPFEQQPVTPVNKPVEAPGLPDLPLPANVPGFHRQHRKHSPHGAPWLGLAPAQPPDYGPLVTAAHAPSSSSLSKPSMKKNGLVPPSAGLAPPQSSPSTLPTGLVQPPLSPHTSTDCCGQDMVLKRGSLDCECVYPLKIDLLLLNVSSNPNWKLFLNEFASQLGLKVSQIELINFYMVDLSKLNISMDITPYKGVSLSSDEASAVNASLSMHKIQLDPTLVGGYQLLNITLFKPPVSSQAPRSAMSPVLAAPHLPSAPAVTVSSHKGRHPSLILIVGIVAGILIITIISTLFICFCGSNHGQKKGSHKEAEKPMRVETVPAQGSFPHPTSTRFLPYEELKEATNNFAPASILGEGGFGRVYKGVLSDGTAVAIKRLTSGGQQGGKEFLVEVEMLSRLHHRNLVKLVGYYSSRESSQNLLCYELVSNGSLEAWLHGHLGLNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFHAKVADFGLAKQAPEGQANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRRPVDMAQPSGQENLVTWARPILRDKDRLEELADPRLEGKYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVTEYQDTTTINSGARPNLRQSSTTFESDGTSSMFSSGPYSGLSVFENDVSRAAVFSEDLHEGR >Solyc03g093520.3.1 pep chromosome:SL3.0:3:56298468:56311768:1 gene:Solyc03g093520.3 transcript:Solyc03g093520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4BIM9] MEFSLYNSSSLRFPQNDFLPLHNRSTLGSFHTFSIKKLASKSISTCRPLIWRRLPLVRRNAQTATESNASGDKHGEDDFIVEDVPHLTHFLPDLPSYTNPLKKSQAYAIVKKTFVSPEDMVAKEIIVQKDSPRGIHFRRAGPREKVFFTPEEVRACIVTCGGLCPGINTVIREIVCGLKNMYGVDDVLGIQGGYSGFYSKNTLNLTTKVVNDIHKRGGTFLQTSRGGHDTKKIVDNIQDRGINQVYIIGGDGTQKGAAAIYKEVEKRGIKVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEAESVQNGVGIVKLMGRYSGFIAMLATLGNRDVDCCLIPESPFYLEGPGGLYEYVEQQLKENRHVLIVLAEGAGQEYVAQSMQAFQEKDASGNRLLLDVGLWLTQQIKDHFTNERKMTINMKYIDPTYMIRAIPSNASDNIYCTLLAQSAVHGAMAGFTGFTVGPVNSRHAYIPINRVTETTNTVNMTGRMWARLLASTNQPSFVNHQTLRERVDKNTIDAINNMKINST >Solyc10g049970.2.1 pep chromosome:SL3.0:10:47206486:47208385:1 gene:Solyc10g049970.2 transcript:Solyc10g049970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNPNNSQYSLLLLLAAVLTLVCVASASEAASRLDLQKITREERNYMKKKVIDISHKYVPDLPAYDSKSGLGNYIKLKTSIKLGDLYNFSVFKLTTHSGTHVDAPGHFNETLFESGYDVVSLHLQTLNGPVLVVDTPRNKNITDEVMRSLNIPRGVKRVLFRTSNTDRRLMYKKEYDSSYSAFTSDGAEYLAQNTDIKLVGVDYLSVAISPKDELLKVHQHLLNSKDIIPVEGLNLDDAVPGVYTIHCLPLRLVHGDGSPTRCILFQ >Solyc12g010430.1.1.1 pep chromosome:SL3.0:12:3464044:3464457:-1 gene:Solyc12g010430.1 transcript:Solyc12g010430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDDFSLTSPPNLKQKLKNSLCLSCCFPHRRPPPPPSSSDENPALIWVNNEESSNLLKLKDKVLNVLNFVGTGSNRHKRHASTEFRYDPMSYSLNFEDGFDDDSEEIAPLRNFSSRLPPSPPVKSSPVREVAAAS >Solyc08g075925.1.1 pep chromosome:SL3.0:8:60111059:60114029:1 gene:Solyc08g075925.1 transcript:Solyc08g075925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKMNTNFSKIGAQPFQSCLEFIYTVEILKYLNLTRRSSLVPSTSCLMVIYTPGSSCSIHSDSISLALLTESEASSLESVVVLDASIYDISPFGKPVDPDGLWGHHRAFYRGSKPRIIGISLKKRISAIIIDPLSNLMSLESFESKLHNSVIILTPPSLSLPFVEHIPTSKEPRDIYCQDHQRMAFKTHQLSNKNGTCSNFLSGPIGQPYKLQNIVSFNNFGMEMKK >Solyc01g080120.2.1.1 pep chromosome:SL3.0:1:79131284:79133350:-1 gene:Solyc01g080120.2 transcript:Solyc01g080120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKSANFGWVIRSNSPKIRWWFKILAMILFFGILIVWEIDSSGKFSPDFKFTNVIEYYSFWLNTYQNQVHAPDFSLEMVTNLSNKEQTSSTRVFRWISAELEANYSSSLVKKLLAPGGELCRGSRAVDVIIPALDGRENVELSTGDIHEFVFHALYDSGKPRCSGGDYFETDIAGETWKSRPPVKDFGNGTYLFSLQVHPDFSGDYNLTIILLFRHYEGLRFWPERFAFDKVLRVIPIKFSKSLVELPEISQCNKSDLVRDVWSGRWTRHVKNDSCLISNDGRYRCQKPNFPCQKPWCDGPLGSLESNGWVYSTHCSFKMFSSEEAWNCLKDRWIFWWGDSNHCDTVRNIVNFILDVNDVKEVHRRSDLNISNPKNPSQIVRFTSIYNGHPNATQNNQGLNSLTDANYRELLTGYFSGHVVPDTIIMNSGLHDGLHWSNIKQFIEAVDYAASFWAEVLDGVRQRGLRPPGVIYRTTIATGGFARTLGFNPSKMEAYNGVVLDKFKAYGLVDHVIDDFDMTYPWHYDNRCNDGMHYGRFPVKRKWMDGQIGHQYFVDVMLGHVLLNALCAR >Solyc08g068370.3.1 pep chromosome:SL3.0:8:57521841:57534278:1 gene:Solyc08g068370.3 transcript:Solyc08g068370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSMVERATSDMLIGPDWAMNLEICDICNHDPAQAKDVVKGIKRRLGSKNPKVQLLALTLLETIVKNCGDIVHMHVAEKDLLHEMVKIVKKKQPDLHVKEKVLILIDTWQEAFGGPRARYPQFYGAYQELLRIGAVFPQRAEKAAPVFTPPQTHPLTSYPQNLRNPESGQEAAESSAEAEYPALSLTEIQNARGIMDVLAEMLSALDPENKEGLKQEVIVDLVEQCRTYKQRVVHLVNSTTDESLLCQGLALNDELQRVLAKHESIASGTPVQVEKTNSEPPQPLVNVDAPLIDTGDHKQSDRGSTSNASLGTQLLLPAPASANIPSTTTKVDPKIDLLSGDDFSSPTTENVLALVPVGGEPQPASPVSQQNALALVDMFSSPSNSQSPYSAGQTHASSPQFRQQSFTPTQSALYPNGSVPGTTYTQGSNTAWNEQISQQQQQSPSPVYGGQSSSFPPPPWEAEAADNSQTMGNPHAQPMQNNQLLPGSPHALPMHNNQIMSGSPNALSMQNSQLMATNNQQLAGGSHVHGMYAQPITGGQPAMMNQAMQNNQMVGLLPQPIQGGQSMGMFPQQMPPGHMAYMYAQQQMYGNQMAGYGYGYAQPQNTQFLNQRMSGLSVRDDGVLNNSSYPVSTPSYIPSGKPSKPEDKLFGDLVDISKFKSPKTTPGRAGSM >Solyc11g013065.1.1 pep chromosome:SL3.0:11:5920441:5923161:1 gene:Solyc11g013065.1 transcript:Solyc11g013065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFDNIAVKLNGNSQTHLISISSQELHWPLGCLDLCNAHTKISSVDLVLNLTLDLGGILWFQNLTEVPSGVLGPVFPLLIAGLHYINVQNREGWPGEITVCFQRMRWIKVLKCDVKCEAKEKESPIKGAGNEEEK >Solyc01g110380.3.1 pep chromosome:SL3.0:1:97014372:97021005:1 gene:Solyc01g110380.3 transcript:Solyc01g110380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:K4B3Q1] MGAIGGEELKKWEKMQGAALGGEEKILVLVRLRPLSEKEISRNEVSDWECINETSILYRNSLQERSGLPTAYTFDRVYRGDCSTREVYEGGTKDIALSVVSGINSTIFAYGQTSSGKTYTMNGITEFTVADIYDYMRKHEERAFVLKFSAMEIYNEVVRDLLSSDSSPLRLLDDPEKGTIIEKLTEETLRDWDHLKDLLSVCEAQRQIGETYLNENSSRSHQILRLTIESSAREFIGKDNKTTLSASVNFVDLAGSERASQSLSVGQRLKEGCHINRSLLTLGTVIRKLSKGRHGHVNYRDSKLTRILQPALGGNARTGIICTLSPARSHVEQSRNTLLFACCAKEVTTNAQVNVVMSDKALVKHLQKELARLESELKTPTTTCDHVVLLRKKDQQIEKLEKEVRELTKQRDLAQSRVKDLLQTLKSDKTSSQKDISRLHSEGNTYEDECSVSCSSAVAGSYIRDNESDATSYAVPAAGQQQRVKDSVNSSEEDCDDHCKEVRCIEIDESSEKQTSASISLSNTDYGESMSMPPASSIRNSDLQQQSPMLLGHASSTSGRSLHGAWEQKMLDIQNTINSLVRPFPDDSSSPSLSTSLSGSKSHKLTRSKSCRANFMIGSLSPNTETAEENQTTPPNVLDKDFPGRPEGFQRKHWKLPLLIYGANRSNLSRNNSQSSIGSAFVDGNNVPGDEDIPSVDNFVAGLKEMAKQLHDQGQEAGKSKRSFKSIGVDPMLDSVEAPSDWPLEFGRLQKMIIGLWQTCHISLIHRTYFLLLFKGDQMDSIYMEVEVRRLSFLKEILSNGNSAVQGGQTITLASSLKALRRERSMLCRLIYKKLPGAERNEIYQKWGINLNSKRRRHQLVHRLWNDTDLNHVIDSAAIVAKLIGFSDQGPALKEMFGLSITPPPRKSRRSFGWKNSMSSLL >Solyc08g005690.1.1.1 pep chromosome:SL3.0:8:558729:558920:-1 gene:Solyc08g005690.1 transcript:Solyc08g005690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGRTQISPLIKHLSVGDSFSRINTAVVRPALFPAPANEVPTCTKRIRGGRKKVELNKGQSYG >Solyc04g010320.1.1.1 pep chromosome:SL3.0:4:3655199:3656695:-1 gene:Solyc04g010320.1 transcript:Solyc04g010320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSWFIIFLITLIFLFNFLFLFFSNSKTKKKLPPGPFSFPFIGNLPLLINKSLYDIEIILQKLIPKYGPIITLKIGTTISIFISSHSLAYKSLIQLGARCSDRPLESPTSLLLSSNQRTINTASYGPTWRVLRRNLRAEMLRTTSQSKFRVDWALNILVQKINHESDSKTGVILLDHIKHAIFSLLAFMCFGEKLDDVQINQIMDVQHRALLATIRFKILDIFPRVGKLIFRNRWKELIALRKEVDSVFTPLIERRIKYKIERFNSGVRQEEEETVSYIDTLLNLELPEEKRRVTNEEIVTLCVEFLGAASDTTSSALQWIMAYLVKYPAIQEKVYEEIVKAEDSMKLYYLKAVILECLRIHPPSHFLLPHRVTEEMELNGYALPKNAIIYFMAREMGLDPNVWEDPMEFRPERFLVDDETFDIRGSREIKMMPFGVGRRICPGYDFAMFHLEYFVANLIRCFEWKTVEGDDVDLTERLDFTFTMKNPLRARISPRQN >Solyc03g013220.1.1.1 pep chromosome:SL3.0:3:47863013:47863411:1 gene:Solyc03g013220.1 transcript:Solyc03g013220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSFKYCKSKGPLIELDREAILVIRSERGLARKPAPFKTYYLIRIFYERYADNLLLGIVGSVKLLIEIQKRIAHFLQSCLNIWVHFAGSTNIAARSAVEFLDTVIREVPDPYVVSFSFRTFSSKREIRWFT >Solyc05g007450.3.1 pep chromosome:SL3.0:5:2024673:2028050:1 gene:Solyc05g007450.3 transcript:Solyc05g007450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSDIARKLGLTETKHLVRKAAELRRLADVQFDSSAIGVGEICKAIICLEIAASRMDVVFDRQAAIKLSGMSEKAYNRSFTSMQNGIGVKNKLDIRELAIQFGCIRLIPFVQKGLSLYKDRFRTSLPPSRRASADFSRPVFTAAAFYLCAKRHKLKVDKMKLIELCGTSEPEFASVSTSMNDLCFDVFGTSKEKKDPKTVKGNRELLDALPEKRRVEDGGYSSEDDNSSAYKKRKRMDEHAYQEWKSTVLSSKNQSGQKAPKQTKQARLDFMKKVPETKVQAT >Solyc05g050800.3.1 pep chromosome:SL3.0:5:61835320:61839718:-1 gene:Solyc05g050800.3 transcript:Solyc05g050800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANQNVIVMRHGDRLDNFEELWVMKAERPWDPPLHQDGKIRAFCTGQKIRSSIDFPIHRVFVSPFLRCVQTAAEVVRALCDVADHNGESNVLSSNSDSVIIDPSKVKVSIEYGLCEMLNLVAVRASAAPKDGDFKFSISQYESELPAGTVDNTVEPVYKKLPEWEESLESARARYVKVVKALADKYPSENLLLVTHGEGVGSIFTELNKDSTVLEVAYCGHLHVKRSIQSGEKQPFTAGEFVYEKQTGIISAAK >Solyc06g043275.1.1 pep chromosome:SL3.0:6:28973038:28974858:1 gene:Solyc06g043275.1 transcript:Solyc06g043275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLQGEDSSAMPQSVRCMVTLKRDDCSEIVWNLCHRNLTKIISSCCFNLNFKALILEYIPKKSLNKLLYSRGLTIMQKLNIMFDVEFALEFLHHGYSVLVIHVVL >Solyc06g061215.1.1 pep chromosome:SL3.0:6:39327343:39328541:-1 gene:Solyc06g061215.1 transcript:Solyc06g061215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCKVRILSLLLLSGIFLLGIEVEYGNAQKMCLQVCDNEVAYMTCPSSGDEKISGVCVNCCTADEGCKLYRADGSLICTGTPQ >Solyc06g008880.3.1 pep chromosome:SL3.0:6:2811547:2830731:1 gene:Solyc06g008880.3 transcript:Solyc06g008880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGSNEINANESKRVVPLNTWILISNFKLSYNMLRRPDGTFDRDLAEFIDRKVPTNSIPVDGVYSFDVFDRVTSLLNRIYRPAPENEADWGKIELEKPLSTTEIVPVIIYFHGGSFTHSSANSAIYDTFCRRLVKICKAVVVSVNYRRSPEHRYPCAYDDGWAALKWVKSRTWLQSGKDSKVHVYMAGDSSGGNIAHHVAVRAAEAGVEVLGNIHLHPMFGGQNRTESEKRLDGKYFVTVQDRDWYWRAYLPEGEDRDHPACNIFGSRSRSLKGLKFPKSLVVVAGLDLSQDWQLAYVDGLKNSGHEAALRQSPCTVLHVKMYFDFLHPESIEDMGYSLSKLEVDTGLFDGSSSNHGVASSVHHERPTNYLDHEISQLTKLRSGPHENLSRILPGKKEVPVSAFKMLAAREANISGRGRFSKADCCHVLSKYLPVSGPWIVDQMETRAYVSQFSADGSLFVAAFQGSHIRIYNVERGWKVHKNIHAKSLRWTVTDTSLSPDQRHLVYATMSPIVHIVDVGSAASESVANITEIHDGLLLSTDNDDFGIFSVKFSTEGREVVAGSSDDAIYVYDLEANKLSLRISAHNSDVNSVCFADESGHLIYSGSDDNLCKVWDRRCFRAKEKPAGVLMGHLEGVTFLDSRGDGRYFISNSKDQSIKLWDIRKMSSHAARNIWFRNYEWDYRWMDYPAQARDVKHPYDQSISTYKGHSVLRTLIRCYFSPEYSTGQRYIYTGSHDACVYIYDLVSGEQVAKLQHHRSTIRDCSWHPTYPMLVSSSWDGDVVKWEFPGNGEAPLPPKRKQIRRRHFF >Solyc03g114597.1.1 pep chromosome:SL3.0:3:66020448:66021241:-1 gene:Solyc03g114597.1 transcript:Solyc03g114597.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIIVHRSSKTTYSLHLPKEKDSNNLEWANKPPKSISVEMSLAMFRQGQDSKLNNCTIKKHILENNTLPVNSKLEQSLPILNSENHQNPKFEDD >Solyc03g117490.3.1 pep chromosome:SL3.0:3:68122060:68125071:1 gene:Solyc03g117490.3 transcript:Solyc03g117490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSEVFIGSIDQGTTSTRFIFYDRFARAVGSHQVEFTQFYPQAGWVEHDPMEIVESVRICMARALDKATANGHNVDSGLKAIGITNQRETTVVWSKSTGLPLYNAIVWMDARTSSICRKLEKELPGGRTHFVESCGLPISTYFSALKLLWLLDNVEGLNEAVKKGDAIFGTIDTWLIWNLTGGVEKGLHVTDISNASRTMLMNLKTLDWDKSTLDTLGISAKMLPKIISNSEIIGNIAKGWPITGIPISGCLGDQHAAMVGQSCRKGEAKSTYGTGAFILLNTGEEVVKSNHGLLSTVAYKLGPKAPVNYALEGSIAIAGAAVQWLRDSLGIISSASEIEELASKVSSTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTNKSHIARAVLESMCFQVKDVLDSMHKDAGTKDEAKSDKTEFLLRVDGGATINNLLMQIQADLLGSSVVRPADIETTALGAAYAAGLAVGVYTENDIFASGEKLKQATIFKPVLEEELRKKKADSWCRAVSRSFDLADLSL >Solyc11g066340.2.1 pep chromosome:SL3.0:11:52377928:52387293:1 gene:Solyc11g066340.2 transcript:Solyc11g066340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMDEPLDFEFEEPSIVSPVVTKRKKKKVIGLDDLLSEFYQVKSDIPKKESKRAKIHKSDESDDDLNTREAALNNYVNKCEQQMNEISTDDQMPLWGLQVFGDQKAMPTLAFPQLSSCALLQSFMENEANSLLGIKTEEGEAFLEGLLVNGWLLKLVTDHGRVEKCIGAWTFSLMLYSPKEELRAAAFEFWCSILLPKNQVDTVKFEMKWLPNHSELRRALEVYGFLLDSPSKSSSSVEIVDGDSDSAGPPQNIKYWIKYVSVCCQARTKRSVFSTAEVEDLITSVIYLFLDRQFIGLSSVLKDCLHSLISFFSDDAFHSSCQKIAKFLTCRVPTDVNCLRSVESVAGLDARSKHLRSVLAFQFLVACFDDKVHDEEQILRSLISFNLKDKNCDLLKMYIQLVLAENWLLCNSLLKDKPIISETWSACLRNCSCQITITDLRSYASKVRSKASYLLQGNATR >Solyc01g095440.2.1.1 pep chromosome:SL3.0:1:86561763:86562846:1 gene:Solyc01g095440.2 transcript:Solyc01g095440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCNNNNICNAMSWWLLLLLLSSLKVARGLDGESLDSYIHEFSIKNMSKRYTGKLYDIPLPTNFSGMESSIVRLRSSSFWRRGANFSFFKIPHKVLPWPFVKRFDIIYENLGNLSSKYYDVTNYTFVTPVIGFLAYDARRSRENYGMVELNTMGKNHILIRFPVHDDNNKNNKRKNVTMKCVRFVTNGTIEFSNVTMNNTCMSRGQGHFAIVVKAEEEEKKGKWKWWVIGFGVGIVGLLLLIVMGILIYKCVGLKKRCNMERESEKSEALDDFWVGNSRMPSASGIRTQPVLENSYVP >Solyc01g014720.2.1 pep chromosome:SL3.0:1:14528813:14529501:1 gene:Solyc01g014720.2 transcript:Solyc01g014720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDEEENHQGENSKRQKRICKEIIIKNGKTNVTSKMVSSKEKNVTKTHQENVKKYRGVRQRKWGSWVAEIRDIRINKRRWLGSFATAYEAALAYDKAAIEIKGPNALTNILKPPPKEIDPINH >Solyc11g072645.1.1 pep chromosome:SL3.0:11:56162355:56166504:-1 gene:Solyc11g072645.1 transcript:Solyc11g072645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATARIVPLKALVNGVSPWNPLSRVLRLRSRPLYSAVRDYSAAISPPSKAVVYDQHGSPDVVTRVTELPPVEIKDNDVCVRMLAAPINPSDINRIEGVYPVRPPLPAVGGYEGVGEVHAIGSAVKGLSPGDWVIPSPPSSGTWQTYVVKEQSVWQKVDKSTPMEYAATVIVNPLTALRMLEDFIALKSGDTIVQNGATSIVGQCVIQLARLRGIHSINIIRDRAGSDEAKAHLKQLGADEVYTESQLEVKNVRGLLGNIPEPVLGFNCVGGNAASLILKFLKQGGTMVTYGGMSKKPITVSTSAFIFKASLKHLTFMFFP >Solyc03g121450.3.1 pep chromosome:SL3.0:3:71040988:71052631:-1 gene:Solyc03g121450.3 transcript:Solyc03g121450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNYGTIPTSSSGPVNVEFISRAKDVLKEGLAARRPWKEMFNFHSFNLPSGVSDAISRIKTNFSFFQTNYAIIVLVIVFLSLLWHPISLIVFIVLMAIWLFLYFLRDEPLVIFGRLISDSTVLIVLSVLTLALLLFTGATSNILISLAVGVFVVLIHASIRKTDDLFLDEEGEYIVIYRLRPLHLPPLLRPAPAPAPVKMAHLPAYDPYYVPQPVYAYKNEQNDIKTLFVSGLPDDVKAREIHNLFRRRHGFESCQLKYTGRGNQVVAFATFIDHPSAMAPTIHNQTLPYYLGLSIHLPINLSGIFLVLYQSQLQGVKFDPQTGSTLHIEPARSNSRRIQIPGRGPYVVIDNRNKFNEDAEGGTSSNEGDNDSDDASEPENPDSGTKDDSSEEKREEKVVEPDHALAPKSEQNEKTTDGAQPCSTLFIANLGPNCTEDELKQVVSQYSGFNTLKVRARGGMPVAFADFEGVEQATKALNELQGSTLPSSDRGGMHIEYARSKMRKP >Solyc10g012070.3.1 pep chromosome:SL3.0:10:4388808:4392188:-1 gene:Solyc10g012070.3 transcript:Solyc10g012070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEFVIFDYQLEKTDSAGKNSNEYLDIQMSRPQEPHRPFLPFGNPFKFILPKGSYLSPKLLALLNAFEESLTERVKSLKPGGKEDTLTLAWMTQAISTLCAIHTDVKTFITDLELPVCDWDEKWIDVYLDNSVKLLDICIAFSSDISRLNQGHLYLQCGLHNLDGTSNQFMKARSSFDGWKQHINSKNPRLENCFAILDSLTESLNLPKIKNSAKGKVLMRAMYGVRVVTVFILSMFAVTFSGSTKELKDLQIHETCLWTEAFVDVRDFISQEIRSIYSSGRITSLKELEVVDTSVKKLYPLIQDGVDPNEAEQLQLLTSNLAEKAEKLSGGLDLLAKEADRFFHILLTGRDSLLCNLRIDNTVSNPAEVNNNVERKEVR >Solyc10g080590.2.1 pep chromosome:SL3.0:10:61934889:61941243:-1 gene:Solyc10g080590.2 transcript:Solyc10g080590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAGHGLRSRTRDSFSRAFRKKGTIHLSTYLRIFKIGDYVDIKVNGAIHKGMPHKFYHGRTGRVWNVTKRAVGVEVNKQVRDRILRKRIHVRIEHVQASRCTEEVRERIKKNDQIKAEAKARGEIVSTKRQPAGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Solyc10g007350.3.1 pep chromosome:SL3.0:10:1730728:1735001:1 gene:Solyc10g007350.3 transcript:Solyc10g007350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGISQDWEPVVIRKKAPTSAARKDEKAVNAARRSGAEIETVKKILNSVFTIIFVIPANAGSNRAASSSTSLNTRKLDEDTENLSHEKVPTELKKAIMQARQDKKLTQSQLAQLINEKPQIIQEYESGKAIPNQQIISKLERALGAKLRGKK >Solyc10g084600.2.1 pep chromosome:SL3.0:10:64182094:64184341:-1 gene:Solyc10g084600.2 transcript:Solyc10g084600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSAQYIHLVHRLIEECLLFNMSREECMEALSKHANIQPVITSTVWKELEKENKEFFKSYKKKDEDEESTSINNNNNNNNIIESKVEITRQRIHNLLLNSQLSKYKP >Solyc09g097920.2.1 pep chromosome:SL3.0:9:72403478:72406070:-1 gene:Solyc09g097920.2 transcript:Solyc09g097920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAESLELLKNQTLCVTYPDKRIFSGYDIKEVVSSGVFEGCSLVSNPSTLIPNPSLRCFCTNTTTVKRKSQVQYPLQFQEKSPQRNLIAVSCLLKKYGFPALELTNFLKKNRRLLNLDPAKIENSLKILLSLKPSQEFLVSMISSCPRVLEYDAIKKWEGCMRGFEEGSNLSSLAIRNILEVSMKFELDYDCVLGSLKCLKDLGVSDITLNKVLETHPMVITMSADKVRDSFEFVVDAFGIGNVEFDRILRVYPAVLVYGFQNKFKRLLDEFRALGFNMEVVKKQLLRDPRILAFEFGELSRCLELLKSLKCREAIKKDIFQDGAFKAGYEVKLRVDCLRNHGITLRDAYSVLWKEPRVILYSLDDVERKIEFLLHVMKFDIQCLVEVPEYLGVNFDKHILPRFKVIDHLRSIGGLGDEVGLRELIKPSRVKFYNLYVKPYPECESMYARFSRDTEARSQHPVGMWKLFIPQNNPKSRVDIMNIKSYMDSLA >Solyc10g052866.1.1 pep chromosome:SL3.0:10:53821789:53822503:-1 gene:Solyc10g052866.1 transcript:Solyc10g052866.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLPYSIHHDYDKKLRTQNCGIVVLSEKDEDSEILDYYGVLADAIELFSKPNDPFDLVDQASQVFYVDDTFNKGWQVVRKIQSHDSYEIVEQMDDDILEFKMKPLRIENEVDSNMKNTIEYTFITPSAIDKGLGRGLKSLDEK >Solyc08g061410.2.1 pep chromosome:SL3.0:8:48731214:48732701:-1 gene:Solyc08g061410.2 transcript:Solyc08g061410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SYLKLFPKCRPVVIAPSNLLLHWKTEFQKWMVDIPFHNLNKKNFFLKEDEGTLGVFHCLSSASAGLSKEGHTARNENNLVWKALKKVETEKRVLLYQTPFQNNIKELYNTLCVVSPKIASDLEQKWFSLSSSIDKNARELEKLKDIRSPFVHKCSKNVKKVSLPRIRDTIIHLKPTELQNELLKRVPENPRSFYEENLMSLISVHLSLVTNRKELSELESQLKERRCRLDVDIGVKLKFVIELIRICGWWKERVIIFSQLLDPLNLIMKQLNSLFSWTLGREILYMDGKLDVNQHQISINYVNDPKSDFKVLLAVTKAFSEGISLIGTSRVVLLDVLWNPSVEQQAISRVYRNEENTSCSVSEDNILECMSKHEGHRHIFEKQSHAPHVVPTTCFYSGSQPSKGSS >Solyc08g076440.3.1 pep chromosome:SL3.0:8:60567687:60572438:1 gene:Solyc08g076440.3 transcript:Solyc08g076440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFNAGRIKGAAKRTLQITPLDEEDQEEVQIDDSGDVDSYDDDDETEEEDEDKEPVGLGVLEKPENSWSLLRELFPSKAGGTPAWLDPINLPTGKSCLCDICGEPLQFMLQVYAPLTEKDSTFHRTLFLFMCTSMTCLLKDQHEQWKRNQDKQSRSVKVFRCQLPRDNSFYSSEPPRNNGKDKPSSPGAVLCGWCGTWKGDKVCGGCRRVHYCSEKHQTVHWKSGHKQRCLPSSISQDASEPSNSKTLREVQEVASKSLWPEYEIAITDECEDNVSDDNGLVNSLISSSRVDESIEALMDSFEGGDDKKSWASFQERISRTPEQVLRYYRDAGAKPLWPTSSGQPSKADIPKCTYCGDHRAFEFQVLPQILYYFGVENDVHSLDWATIAVYTCESSCDGHIAYKEEFAWVQIASQSSTTQR >Solyc05g005780.3.1 pep chromosome:SL3.0:5:593201:608935:1 gene:Solyc05g005780.3 transcript:Solyc05g005780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AP-1 complex subunit gamma [Source:UniProtKB/TrEMBL;Acc:K4BWA5] MNPFSSGTRLRDMIRAIRASKTAAEERAVVRKECAAIRAAISDNDHDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSIKQDLNHTNQYIVGLALCALGNIGSAEMARDLAPEVERLLKFRDPNIRKKAALCSIRIIKKVPDLAENFIHAAASLLSEKHHGVLITGVQLCIDLCKISTEALEHFRKKCTDGLVKLMRDLANSPYAPEYDISGITDPFLQIRLLRLLRSLGKDDADASDTMNDILAQVATKTESNKNAGNAILYECVAAIMSVEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKALAVDSQAVQRHRTTILECVKDSDPSIRKRAVELVYLLVNESNVKPMTKELIEYLEASDPEFRGDLTAKICSIVEKFSPEKIWYIDQMLKVLSEAGNDVKDEAWHSLIVVITNASNLHGYAVRSLYRSVQAAGEQETLVRVAIWCIGEYGDMLVNNAGRLDIEEPLTVTESDAVDVLETSFKSHSFDLTTRAMCLIALLKLSSRFPTCSQRINDIIVQYKGSFVLELQQRAIEFNSIIARHQNIRPSLVERMPVLDEATHSGRKAGSVPAAVSTSQGVSVNLPNGVAKPSAAPLVDLLDLSSDDVPAPSSSGGDFLQDLLGVDLVPVSSQSGTNQAQVSGTNVLLDLLSIGTPSANSSPSTIQASPSNVDTKSPMDLLDRLSSPSAPSVQVSTTAGSSPMLDLLNGFPSSSPIAVTEGNGLAYPSIVAFESSSLKLTFNFSKQPENPQTTLIEASFTNKSGEVLTNFIFQAAVPKFLQLHLDPASGNMLPANSSGSIMQKLKLTNSQHGKKSLVMRIRIAYKVNNKDVLEEGQVNNFPREL >Solyc05g007085.1.1 pep chromosome:SL3.0:5:1665437:1668356:1 gene:Solyc05g007085.1 transcript:Solyc05g007085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BWN4] MASISFNNSVIFLFSLFCFSSIIPKLYANIADFDPYLEKRAEEALQSSLAAYNENPEGVTQIFNKEVGETLLNGTRRHLKEKDKEDKNDKDDKEGDEKSVDGCKAYNPIDKCWRCDKNWANNRKALADCARGFGHGTTGGKDGRFYVVTDPSDNNVEEPVPGTLRHAVIQEEPLWIIFEKSMIIRLKQELMINSNKTIDGRGVSVHVAYGGGLTLQFVHNVIVHNIRVHHILSKNGGMIRDSVKHIGLRTVSDGDAISLFGANRIWIDHCTLTKGADGLLDAIMASTAITISNCKFNHHNDVMLLGANDAFPQDKIMQVTVAFNRFGKGCIQRMPRCRWGFFHVVNNDYAKWEMYAIGGTANPTIISQGNRFKAADNPNTKEVTNRNGAPEALWRNWQWRSEGDLFKNGAFFRESGPEIKSTPFTEHTTIQFEPAKFVGRLTRKAGVIQCKIGKAC >Solyc07g055910.3.1 pep chromosome:SL3.0:7:63944525:63950460:1 gene:Solyc07g055910.3 transcript:Solyc07g055910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAVSIDGFSIREYVAKVRSVDVVKCWPFDESTKEDHVKAMLPPITVKKFSWWLDVLESEDSDDVVPVRRIGTGRKVKCVKGKTRVQKKRSIVDIFAVAPQEFSDRNVNAIRIRRSKRRSAMKKKKTIASKLKEVKKTMKKDRRFKQNKNVNSSCLDLLIRKKERCDKLKVPNSFSKPSCSQHRKKCEDMEDNSPTYAKKPQRKHLVSEKKPKGLTDSEFLADDQKMMNPVCSNLKKRPKQFPVENSSDMILSGPNGANFCSKQQGHKHVISDDATERGEVLSLVEENGANKHILRTTESEVTVQPGSPKRSVVQSTADAPSLLRHNISTKDNSSECSDVFNQVSEGNDNLKLFGRGSGVASHSSQYFCNPGSLHMSQQMYNHQKNDQIVGSLINACGASERSHDKLRGSPPEVAGVCSVHSVKAYPQHSSAYENVNRKPVTLPESIEGNCSGHFMQYQPLPQIRHQELMCKICSFPEWKQRESMHGEKGIEKDYVRLPLNSQGELIDLNSNSKGKLTQLPSSRRIVGSSGGLAVNNVSHSNMDNLSDSRGWDKREPSADQLKRSSADDSMEWSPTFPVPSRLGIYEYDAGRTNVELDPLKKNKESFTPFELDCSVSNLSNHRSKQNNQAKQLETSWSKQCGNSDDVSLVVTPSKMRLMGKEFTVDRRDFHAPQDKRIWTDKQIIAEKFSAETYNYNSVVTNHDQQNLTVHPVLGILKGMVACSPSIQINQAIPRPQVCPPHFSHQIDSVQQNCLGAIKQSPFTLYNQKANFEEPFPGGYKSFTISPYGPVPTLMHHYSSQNGGSSSLDLNSSSCAINFPYSRLDSGRNFRSSWSQFSTESTPWFSDAKQKKLLMDFHELYSSSDRKHHYCSSITGDSRQTDPSLYLASERFCSFTQRPQHALGNPVSLPSLANNVPMPLQIGSRVNTGSYKRNGDVTKIISTPCLRDLGDSRKSKKRPLSTSDNRTNVAEIPNFLFREDPCVVSAPLKSYSNFEGQYSCRKGIESGSVKGMSNSIESGQSGTTEAILGSFKDEDTLKFECTLGSGPIKLTAGAKHVLKPCLYNDQTNFSPTHSTIQFDASATGSTTRETEQSTKIYKF >Solyc04g071230.2.1.1 pep chromosome:SL3.0:4:58250392:58251540:1 gene:Solyc04g071230.2 transcript:Solyc04g071230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVIFFLFTLGLSGTSARRTGYSSGATRSSL >Solyc12g070110.1.1.1 pep chromosome:SL3.0:12:29551763:29551978:-1 gene:Solyc12g070110.1 transcript:Solyc12g070110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSYNQLYGKVPESLCKVRTSEELTLKHNFFTYIEPHSQKVIDEIVLGMNFIIDLENQIKLVKCEVFFAK >Solyc06g083620.3.1 pep chromosome:SL3.0:6:49013682:49017453:-1 gene:Solyc06g083620.3 transcript:Solyc06g083620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGTPGGLNRQLPGDRKNDGDKKEKKFEPAAPPARVGRKQRKQKGSEAAARIPAVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKTEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDDVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNKIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTARMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHADFKKAKDKVMFKKKEGVPEGLYM >Solyc02g089515.1.1 pep chromosome:SL3.0:2:51953449:51960057:1 gene:Solyc02g089515.1 transcript:Solyc02g089515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDSGGARWWSGHKVIETSGSRGLGLLESIVFTVRGGGHGTDMYGKKEKMHHRLGVLRCLGVDGIFIVLKENLGRSPGPKAVIDERYMSSKRCMFQQKDDHRESRKQNSASITLHQHTTSYTATEHREVIGIQYLSML >Solyc03g117600.3.1 pep chromosome:SL3.0:3:68199927:68210868:1 gene:Solyc03g117600.3 transcript:Solyc03g117600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIEVKNSTMVQPATETPQLRLWNSNVDLVVPNFHTPSVYFYRPTGSPNFFDGKVVKEALSKALVPFYPMAGRLCRDEDGRIEIDCKGQGVLFVEAESDGVVDDFGDFAPTLELRRLIPAVDYSQGIESYALLVLQITQFKCGGVSLGVGMQHHAADGASGLHFINTWSDMARGLDLTIPPFIDRTLLRARDPPQPQFPHVEYQPPPTLKTTEENVPNAETVPETSVSIFKLTRDQINTLKAKSKEDGNTVNYSSYEMLAGHVWRSTCMARGLTQDQETKLYIATDGRARLRPSLPPGYFGNVIFTATPVAVAGDLQSKPIWYAASKIHDQLAIMDNDYLRSALDYLELQPDLKALVRGAHTFKCPNLGITSWSRLPIHDADFGWGRPIFMGPGGIAYEGLSFILPSPINDGSQSVAISLQAEHMKLFEKFLYDI >Solyc11g042527.1.1 pep chromosome:SL3.0:11:36460384:36463193:-1 gene:Solyc11g042527.1 transcript:Solyc11g042527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKPRTLKEAVEKARMKEMAIEIARRRNRTVNRVLPAAVQEVGKSSNAMLNYLTGFVEKEREVDQMSVLEDMEEITIEGVVEQEKRQLAILIDSGSTHSFIDKHTVAASGYQPHPCSHVRVTMADGEGSLSMLSSGLMKKMLKKGQAIVAHLFMMNMMTTSEEEKSKCSFGQAQVEYLGHVITKEGVATDPHKIGAMIEWTRPKTLRALRGFLGLTALKIAMTTTPVLALPDYTQEFVVETDASHGGIGAVLMQQGRPIAFFSKVLAPKHRGKSIYEKEYMALLNAVDKWRHYLQFKHFVVKTDHHSLKYLLEQRVTLAIQQKGLTKLLGLDYEVRYKKGAENRVADALSRRDESYTEDPYVQELLTLISVDVHGHSLWHNVNGVLRKKGKVYVGSKGPLRQQLISTFHDTPLGGHSGQLGTLKRLTQYFYWPRMRALVNEYVARCEVFHRNKDDNAAYPGLLQPLQIPNQAWRMTMQPIPVYFSHCKFLIKHGVILAWTL >Solyc01g080190.3.1.1 pep chromosome:SL3.0:1:79257399:79259501:1 gene:Solyc01g080190.3 transcript:Solyc01g080190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGIRYKPSFHFLAPFFSLSFPSLKWVSSSSSQAPLDHLQLFLDKDKNTKKQKPNWEFSLVSAFKSCSANSSITHGQQLHSLVLKSGLQSNIFILNSLITFYVKCGLVNHAKIIFDSCNKLDAVSCNIMLCGYVKFGFLDDAYELFDKMTERNCVSYTTMIMGWVQKGFWSEGISVFRDMRCFGVGPNEVTMVNVISAYLHCGGIKVDKMLHGLVLKVGVMDFVHVSTNLLHLYCLGGCLKDARMLFNEMPEKNVVSWNVMLNGYAKAGLVDLAKEVFEQIVDKDVVSWGTIIDGYLQAARLNDAVKRYRDMLYTGLHPNDVMIVDFLSTCGQVMSNFEGRQFHGVAVKMGFNLLDFIQATIIHFYAACGEVDLARLQFEVGNKDHVACWNALIAGLVRNRKIDEARNLFDQMPARDVFSWSSMISGYSQSEQPDLALELFHEMLAIGVKPNEITMVSVVSSIATLGTLKEGRWTHDYICKNSIPLNDNLMAALIDMYAKCASVNDALEVFNQIRKKAVDVSPWNAIICGLAMHGHAQLSLHIFSDMLRQNIKPNSITFIGVLSACCHAGLVDAGEQHFKSMTKLYNVKPNIKHYGCMVDLFGRAGRLKEAEELIRSMPMEADIIIWGTLLAACRTHGNTEIGERAAENLARVEPSHGPSRVLLSNIYADAGKWDDAFVTRQAMRSRGLTRTTAYSGVV >Solyc07g021360.1.1.1 pep chromosome:SL3.0:7:17187343:17187540:-1 gene:Solyc07g021360.1 transcript:Solyc07g021360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFSFVCLCSHYFYTTHVELLLDVASRNNDSFVTSNADYMIYACKVGENKPLKAFSRHPMKTKA >Solyc04g074250.2.1 pep chromosome:SL3.0:4:60323067:60331298:-1 gene:Solyc04g074250.2 transcript:Solyc04g074250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVTVDSSSATVPDGALQAESIPTVDLRLLSQSELYSLSLCSPAAFNPCRDDDVIIPKIDRSVFNESAGSRKQTYSRLRLAPAATASASSAIRSRTPHLRNSPHPLQNPSPNNGPANSESSQIVTLLKQLFGSGTQKNPTDLVPIRVDYSDSLSVPSHVPVPGLELANVGSIGQKRKRGRPRKNENGVRVAEVKVDEVVKDIVVYQNVDDSDKEIMNKDGIPVDLAVLGASVDPFGLELRRRTEGLGSAEELLGFLGRLNGQWGSTRKKRRIVDADDFGSMLPKSWKLLLSIKRKEGRSWLHCRRYISPNGRQFGTCKEVSSYLLFLRGERNENLPTYVNGSGTVEITNACALTSDLRIQDGGKKESSVFHNSSPAVGHGELQVLLNFGELSEVQVGDLLQCDKCNVTFNNKDDLLQHQLFSHQRRKSRNGGQSITDGVIIRDGKFECQFCHKTFEEKHRYNGHVGNHVKKQVKTVDGSLPIKMGGGIEPVVPSGAMLREPIMQDSVVLPRNLTENAGVITDAGDNPAPTTKIQEDHMETDNKLEGLGEAMDTDPNKTTLTEGTSNGCHNQEGSSVSRSPISSNEKTCVDISKVIVGSNIEEPEQEGLLCSNDIVDSCGVSMEDGKFFPTVDESKVENGRSVDTDSTTVLCSNPSLPGGNSLIKARQIPHTEDHSGKNIDDMNGVSFLAETSKGNRYLKSSTGTPSCDKEGSTVDYVGVLSGCIGEHRPSSIMSDIENKECGSLNSNDNKLIMKEDNNSIAQHLDKHVKDTAERDAVDVSACVLEELGQKKGDESSLLSPACDKNSEVESLIFNDLKTSAEEPKISELQSVRSNIVGFTSSDNYAVKKVAASDTEKEKSLAFCPLFPAMNARASCAEDHDTKVYQSTLEGNDLQRSANALFSTTNVPEASTKEYTMHRSYNNSLKESKFDGLEHPRHHDLNVVFGNSHVDLSANLNCTPFQLGMEETYGVQDNLQKRLETDKHGEVGIDLSDSSFKGKTGDFGSNFNTVFPSQLWNEHKLNEVDNSGKKIITSFGCGDAKPNEDVMAGSIWRVGVENVMQGGSAGNSTSVAQSSNCFQTYDVLSDKVPNLYGENEKYNGNTGFDGLSSDRTGPVEYSFMSTQSSNSLQEEPRVLPYDVDIEQGFNSSFWLGKDNLMPNLAGSNQVTMVCVWCRNLFYQDSNQLEAGSIGAMCPTCSGSTAGQFSFM >Solyc08g079160.3.1 pep chromosome:SL3.0:8:62918658:62922848:-1 gene:Solyc08g079160.3 transcript:Solyc08g079160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSCNFTVCVTLMLLVMVGAISIEPKIDSRRLGRPHRFWDPLIRSPVDRDDDDETEEGGGGVRWAVLVAGSNGYGNYRHQADVCHAYQILKRGGLNDENIVVFMYDDIAKSELNPRPGVIINHPNGSDVYAGVPKDYTGEHVTAANLYAVLLGDKSAVKGGSGKVVNSGPNDRIFLYYSDHGGPGVLGMPNMPYLYGKDLIEVLKKKYAARTYKEMVLYIEACESGSVFEGLMPENLNIYVMTASNAEESSWGTYCPGMDPPPPSEYITCLGDLYSVAWMEDSESHNLKKETIKQQYEKVKERTSNSNNYNAGSHVMEYGSKEIKPEKVYLYQGFDPATVNLPANKIDFARLEVVNQRDADLLFLWERYKKLEDNSLEKAKLRKEITETMLHRQHLDGSIDAVGVFLFGPIKGGSVLSSVRKPGLPLVDDWECLKSTVRLFEAHCGSLTQYGMKHMRAFANICNNGISSDAMEDAFMAACNGHSLEEYTTANRGFSA >Solyc03g005310.3.1 pep chromosome:SL3.0:3:175474:181768:1 gene:Solyc03g005310.3 transcript:Solyc03g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKRQSGGVAGGGSATPVAKRGRPLGSVNSNSAAASATAAAASSAADSVAPPTLLGPSLHVHYAFAGQLVPYRTFHAKLTEENKSKAHFVAQLSNYFTCIAKRYDVDVNGMEWTMEINVQSPSNQNNKRIVLALQSGLKSELTWALNALTLLSFKEKDEVRKDATPLAKIPGLLDALLQVIDDWRDIALPRVLVKTPRVRLLGANSAVTGFGNEYEALNSDNSLPHPSTGSGSSNKEASVLKCANKLRPGAWNFDEDGLFNLDEEGRAEKQLCAVAASNIIRNFSNMPDNEVIMAQHRHCFETIFQCLEDYVTGGEREDEELVTNALETVVNLALLLNLRIFSSSKPSFIKMTEKRAVKALMGMLGSAVKAWHSGAAELIGRLIINPDNEPFLLPFASQIYTRLVDIMSSPPTDAQAAAVGALYNLAEINMDCRLKLASERW >Solyc01g103860.3.1 pep chromosome:SL3.0:1:92250813:92255816:1 gene:Solyc01g103860.3 transcript:Solyc01g103860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKSPPNSFIYLFSKNNQRSMANFGLILVLDLIFLIYPVVYGYDPMDPMGNITIRWDVTTSTENNHHKVLFPDVIQIQLIIEVYSPKMLAAFIDGSCSLTRQNLCYKKIIFHFYVSYRSVCLSQIRVSIFNYQLFRHVEQPGWKLSWDWHGKEVIWQMWGAETTEQGDCSAIKGDTLPHCCLKEPVILDLLPGAPYNKQVANCCKGGVLTSLTQDPEKYVSSFEMIIASASNDGSGPRMPENFTLGIPGYTCGVAVKVPPTKFHEDQGRRQTQAVAKQLCPAPYAVVVVRDSAVQLNIVISCSSNMSCVWNYRRGEVPPVLQLGHNELPTPILECTRHMCPIQVHWHVKQSYREYWRVKMTIRNLNLVRNYSQWNLVVLHPNLRSITQVFSFDYKPLDQYGDINDTGMFYGIKYYNDMLLQAGRSGVVQSELLLHKDAGIFTFNEGWMFPRKISFNGYECVLPSPDKYPMLPNISQFLAPPILIIIVFSFCLILTIF >Solyc01g104130.3.1 pep chromosome:SL3.0:1:92492455:92495696:1 gene:Solyc01g104130.3 transcript:Solyc01g104130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDYSRWSPEPRDFKAMLKKLSHEQLLQVLSEGLCSYCEEVIESRVNNLNFIQRRVDEMTLSNVNVAESSSDDAISPKRKLNADAYTPFPQVGDSTNSGRSKRFVSALSKPVINKQPASDLSNFEIKLNDGPSRSVVGDDLVEDQKEFIRFSQVGRRKDFVHYENVNEKQINVLKGLELHTNVFNPDEQKEIVELVYSLQRMGQKQQLRARTYSEPRKWMRGKGRITLQFGCCYNYAMDKNGNPPGIVRDEEVDPLPPLFKKMIKRMVRWHVLPTSCVPDSCIVNIYDEGDCIPPHIDHHDFVRPFCTVSFLAECKILFGTTLKIINPGEFSGPFSLPLPLGSVLILNGNGADVAKHCVPSVPAKRISITFRKMDVSKLPYRYTPDPELVGIERLIPSPSLDSSRNRYHGKIDKLPNSENKVFSNEDDFPPLGKSTSSSRRSRR >Solyc12g099330.2.1 pep chromosome:SL3.0:12:67501268:67503363:-1 gene:Solyc12g099330.2 transcript:Solyc12g099330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRNPGSIVTVPPKGWIPSF >Solyc05g010450.1.1.1 pep chromosome:SL3.0:5:4698979:4699869:1 gene:Solyc05g010450.1 transcript:Solyc05g010450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDRGKAAATDAINGENIKISDFNYSVSSDIPCMQHPNSSPIGICSSCLKDKLLNLIYSDQLFSDDTETNSSSFDVGSVGRISFLLENEKQSKTSKTEQVILLRSSSNSVEIKKNRNGFWKIKRFFKKNSEISGPIEGVVSRSRSLCSFRGGGGNGDDGSSDYRFSSAKISDVTGGLLFDDFKKKCSDSPKTLPILKKNTIFKGFDSTFLKNSSNRTTTTDNDGDDDSGFIDLKLDLLSETKFDIFSESGNLRSGSCRMNEYDRMMKKGKNGKGNRVWKWIFKKSKRDEINSNFDY >Solyc06g074930.2.1 pep chromosome:SL3.0:6:46582564:46583733:1 gene:Solyc06g074930.2 transcript:Solyc06g074930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NKSSIKNIEGEKKNEEQHQEKKEGGKNEQNNRNVVLKVEFHCQGCVRKILKTVRSFEGVEKVTCDSEANKVTAIGEVDALKLKEKLERKMNRPVQLISPLPKDCKKEKKQNENDSKSSKGDDKKTKEKEPPVTTVELKLHLHCEGCIQKIQKTITKNKGYKEMKIDHEKELMTVTGSMDMKELVEALKKQLKKDVQIVPSKKEGGEKKEGGGGGKGNEKGKDGQGGGGGGGGEKREQYEYPELDATAIHATQLFSDENANACSIM >Solyc03g117580.3.1 pep chromosome:SL3.0:3:68178894:68187682:1 gene:Solyc03g117580.3 transcript:Solyc03g117580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNMASEAKKMNGAGGGGFRAKLEHVLYSGEKKHVFGGIAVIGVLFGVPWYFMTRGSKQQSHQDYLEKADKARNARLSAGSSSTK >Solyc02g055447.1.1 pep chromosome:SL3.0:2:2786025:2787785:-1 gene:Solyc02g055447.1 transcript:Solyc02g055447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRYLTLKLTYSLGQSSECVRDTSRAELELLEAGNIHPSKAPYGTPVLFQKKKDGLLLLCIDYKALNKVTIKNKYPIPLIADLFDRVGKAKYFS >Solyc08g079800.3.1 pep chromosome:SL3.0:8:63382770:63385179:-1 gene:Solyc08g079800.3 transcript:Solyc08g079800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKISPPKSIATKKVCYNVSDGGEKCSIELGFKNLELSYSNFSTNGYGFTFLQRQELEQQFIIYKYIEAGLPVPTHLIIPIYKSFTCSLKGLHDVMGYGHVCWEHKSRMEPEPGRCKRTDGKKWRCSKGVVQNQKYCEKHMHRGRQRSRNYDLIEETIDFEICIM >Solyc10g050670.1.1 pep chromosome:SL3.0:10:50266048:50266413:1 gene:Solyc10g050670.1 transcript:Solyc10g050670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTISFGDIRALDHVTGLNRFVFDLVCLIIV >Solyc10g007107.1.1 pep chromosome:SL3.0:10:1496434:1496956:-1 gene:Solyc10g007107.1 transcript:Solyc10g007107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFSAQIDLMKADTTVILRNAKIDMFKSTMRLAVDKWGRVEVTEPAAFEVKQDNNLSLVEYELVNME >Solyc09g014955.1.1 pep chromosome:SL3.0:9:7320587:7324272:1 gene:Solyc09g014955.1 transcript:Solyc09g014955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEVKYEVEKFNGDSGFSTWHRRMKDFLIQQGLHKALGSKFKKPESMKLKDWKEMDEKAASAIRLHLIDDLVNNIIDEERQDKELIAKLKKYLYKSFDMKDLGPAQQILGMKIVRERTKRKLWLSQEKYIECVLERFNMKSTKPVSTPLASHLKLSKQMCPTTKKEKDGMAKVSYSSAMESLMYVMVCTRPDIAHAVGVEAIKWILKYLRGTTKDCLCFEGSDPISKGYTDADMAGDLDNRKSTTVYLLTFSRRAISSQSKLQKCVALSKTEAEYIAATEAGKEM >Solyc09g072813.1.1 pep chromosome:SL3.0:9:65935686:65938702:-1 gene:Solyc09g072813.1 transcript:Solyc09g072813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALGNEYVTYLVPYSHKNQQLWSNLMSRKLTTLLCQSQRVVARILIQAKCYSMIHHGERWAESSHDASMIPDKMLLLKSKKVSNEALPILEGIDPLKLF >Solyc10g038154.1.1 pep chromosome:SL3.0:10:20262626:20263998:1 gene:Solyc10g038154.1 transcript:Solyc10g038154.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYEHGLTKDLSGISLSKKVCESCQMGKVHRKSYPNSATYRATEKLELLYTNSCIIQLYITYALIFDLTDPFETYCLKQAPRQFNAKLSEALLKFDFKKRQDDHSLFVNKTTRRMMLKKIKDLGELRNYLGIEFARSKQGILMYQRKSELESIVETGLSAAKPAGTPLNTNSKLTTK >Solyc08g061575.1.1 pep chromosome:SL3.0:8:49214534:49216742:1 gene:Solyc08g061575.1 transcript:Solyc08g061575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPASQILGMQIVRDRKAKKLVLSQEKYIQKVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKVPYASAVGSLIYAMVCTRPDIAHVVGVVSRFLSNTGREHWNVVKWVMRYLCGTSRGAVSWQSRLQKCVALSTTEAELIAVVEACKELLWMKRFLGELGCAQERVKQKTKKEKLSAYQS >Solyc08g006600.3.1 pep chromosome:SL3.0:8:1188217:1193523:-1 gene:Solyc08g006600.3 transcript:Solyc08g006600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMKPLNAPPAHTLTDIKLRTSTTRTWGVTSLFGIRGSSVGNSSKRHAAEVVHDITLAPPVIQLKNPPLVLRPGETETEYQVEIIVTKLLIASYYDIVRRNIQDLVPKAIMHYLVFSPLSDSLQVNHAKRNLLGTFIEKLYRENLYEDLLREHDDVVIQRRTTAEMCNALRQAVETLDEFVADVSTLSSSDSMDALPNFSNLSSNSNSKSST >Solyc07g066620.3.1 pep chromosome:SL3.0:7:68119703:68123614:1 gene:Solyc07g066620.3 transcript:Solyc07g066620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMKAIVPNSGITHLTFFSGRQGVRHRHLKIRVLREYEEAVKEKDLSRALRYLREDSSIGKSDLSPIDREWQVLDTCLNADDLRLVGSAYAFLKDKGLLPNFARYNAIVLEGPRDVTPTVLKYSTGLDVTKLSPKKWGLSGTSSFLLATSFAGVTFLLNQGIDIRPNIAAVLGLAMLDAILLGGSCLAQISSFWPPYKRRICVHEAGHLLVAYLMGCPIRGVILDPIVAMQMGIQGQAGTQFWDEKLENELAVGQLSGTTFDRYCMVLFAGIAAEALIYGEAEGGENDENLFRSISILLEPPLSMAQMSNQARWSLLQSYNLLKWHKHAHRAAVKAIENGCSLSMVIKKIEEAMSLKK >Solyc03g063180.1.1.1 pep chromosome:SL3.0:3:35726441:35726686:-1 gene:Solyc03g063180.1 transcript:Solyc03g063180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGFLRARISKLDSLLSESKDVLESKKVELAEAKEEMRIIEEKVLKVKQVMKNVESEIQALTKEEAYFELNFKALAASPW >Solyc02g071040.3.1 pep chromosome:SL3.0:2:41094762:41104964:-1 gene:Solyc02g071040.3 transcript:Solyc02g071040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMKISNCFPTQKWCGGASLSRQVNVRFYPLPSQRLPPASGKMRQRRNFSLQNKKKQTKTINIERPPDVDLQLSDDIDSDTEKMSKQSLSNSNQEVPIEENVDTSTETKSSDESTYSSVDSNEEGQPSSVHLKDLIGMIRNAEKNIHLLNEARVHALEELQKVLGEKEDLHGKINILEMKLAETDARLRVASQEKIHVELLEDQLGKLKNELSSSRGSEENVLHVNNSVPLSRSDLVNSLSEQCDSLRKENMLLKQDLQSMKSELSLVKETDERILMLEKERSVLESSLSELESKLAASQEGVSELSALKLECKNLYEKVEHLQALLAKATKQADQAISVLQQNQELRKKVDRLEESLEEASIYKLSSEKLQQYNEQMQQKIKLLDERLQRSDEEIQSYVQLHQDSVKEFQDTLDNLKNETKKKALDEPVDEMPSEFWSRLLLMIEGWSMEKKISKDDAKLLRELVWKRDRRICDAYMSCKEKNDREILAAFLRFTSSPTRPGLHIIHIAAEMAPVAKVGGLGDVVAGLGKALQEKGHLVEIVLPKYDCMQYESIKDMKVLDVVVESYFDGRLYNNNIWTGTVEGLPVYFIEPQHPGKFFCRGQLYGEHDDFKRFSFFSRVALEFLLQAEKRPDIIHCHDWQTAFVAPLYWEIYVPKGLDSARICFTCHNFEYQGTAPASELTSCGLDAYHLNRPDRMQDNSANDRINPVKGAIVFSNIVTTVSPTYAQEVRSVQGGKGLHATINSHSKKFAGILNGIDTAAWNPASDNFLKVQYSASDIDGKIENKEALRRLLGLSSSDFRQPLVGCITRLVPQKGVHLIRHAVYRTLELGGQFVLLGSSPVPHIQREFEDIANHFQNHEHARLVLKYDEALSHLIYAASDMLIIPSIFEPCGLTQMIAMRYGSIPIARKTGGLNDSVFDVDDDSIPLQFRNGFTFATADEQGFNNALERAFNYYMNNYEIWKEFVQKDMSIDFSWDSSASQYEELYEKAVLRARAASRN >Solyc05g042040.3.1 pep chromosome:SL3.0:5:55357639:55365540:-1 gene:Solyc05g042040.3 transcript:Solyc05g042040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAFINTFCEITSSSKSQALFFLESHNFDLDSAISTFLDNSSLHSAGTAVDAADDPVSPSESRSYSSSHSEYSSPSSRSRSPSPPPPSSLGSKRKRKSSSNAYNLRSSRPRNNAAAADVADAAVGVRRRSLRRRTTTRSGSDSVEPQEANTGGDKSGMLVQDPSKENDVDALFNQARQSAAVGPSENLPSSGSRSFTGAPRRLTEEAVPSVPKPPENATHAITFWRNGFTVDGGPPRSFDDPENASFLESIRKSECPKEVEPADRKTHVHFNVTRSEGDCPVLEKRHASFQGVRRTSGNTSNAAAVESTVAVSSFTAPPAPSVGLVVDQTQPSTSIQVRLADGTRMVSRFNFQHSIRDIRGFIDASRPGGSRSYQLQTVGFPPKELADLDQTIEQADLANSVVIQKI >Solyc10g085330.2.1 pep chromosome:SL3.0:10:64671854:64678865:1 gene:Solyc10g085330.2 transcript:Solyc10g085330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLHQQCINPLFTQSLHRKNQNYSYFCNCTNQLRNNNTNCSARLRTTLLKSINEKNRKTLSQNSISNSNSDSGESRVKSPDPDPDIPSSSSSSLWDSVTSLLEVLKFDGIGWDIVSIALPAALALASDPITSLVDTAFVGHLGSVELAAVGISVSVFNLISKLFNVPLLNVTTSFVAEEQALIAKDSSPDSQSKILLPSVSTSLLLALGLGIVEAVGLFVGSGFLMNTMGISVVCLNLENDLFF >Solyc03g006030.3.1 pep chromosome:SL3.0:3:712185:716984:-1 gene:Solyc03g006030.3 transcript:Solyc03g006030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERALTSFLFTLLFLLLQYVMPCSSTTILTDQSTLLSLKSQIISDPFHYLDESWSLNISVCDWIGVTCDYRYQRVKSLNLSSMTLTGMIPREFGNLTFLVSLDLRRNHFHGYLPQEMANLRRLKFVHLSVNSFSGEFPCWFGFLDQLQVLNLANNRFTGSVPSSLSNASRLETLNISSNLLEGNIPEEIGNLHNLNVLSMEHNQLTGSIPFTIFNISRIELIVFSNNSLSGNLPNGLCNGLPILKRLHLSMNELRGHLPTSLSNCSQLQVLSLAFNDFDGRIHSEIGRLSNLQGLYLRNNHFTGIIPQEIGNLVNLVELTVENNQISGSIPISLFNISRIEVISFSNNSLSGNLPNGLCNSLPMLNGLYLYTNKLRGHLPKSLSNCSQLQILSLFENDFDGRIPSEIGRLSNLQELYLRNNHFTGIIPQEIGNLVNLVELHMEANQISDSIPISLFNISSLETVSLWKNNLKGSLPREIGNLTKMQILRLHENRFTGEIPKEIRNLVELEFLSLGFNSFSGSLPMEIFNISGMRVMGLSFNNLSGTLPPNIGSTLPNIEELYMSDLTNLVGTIPHSISNCSKLTNLELSDNKLSGLIPNSLGYLTHLRFLNLLQNNLTIDSSLSFFTSLTNCRNLTYLILSMNPLNAILPVSMGNFSKSLVHFYASECNIKGKIPNEVGNLSSLLDLHLSDNNFIGSIPTSIGNLRNIQRFNLSNNKLTGFIGDHICKLQHLGEIYMGQNQLSGSLPNCLGNVTSLRWIYLASNKLSFNIPTTLGNLKDLMVLDLSSNNMVGSLPPEIGNLKAATLIDLSMNQFTNGIPTEIGGLQNLEILSLRHNKLQGSIPDSISNMVGLEFLDLSHNNISGIIPMSLEKLQYLKYFNVSHNKLHGEIPSGGPFKNLSSLFFINNEALCGLSRFNVPPCPTSSTHRSNRNKLLLLLLVLGIALVFVLITFVFLWIKYRRGKRDSQQADSLTMATTERISYYELLQATESLSESNLIGSGSFGSVYKGVLRSGTHIAVKVFNLQLEAAFKSFDTECEVLRSLRHRNLVKVITSCSNLDFKALVLEYMPNGSLDKYLYSHNYFLDIRQRLSIMIDVACALEYLHHGCSSPVIHCDLKPSNVLLDEDMVAHLSDFGISKLLGEDESDLYTKTLTTFGYIAPEYGLDGLVSIKCDVYSYGIMLLETFTRRKPNEFEGDLSLKQWHLISA >Solyc12g098310.2.1 pep chromosome:SL3.0:12:66849838:66852488:1 gene:Solyc12g098310.2 transcript:Solyc12g098310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKYMRKRKTKATGEVVAVLDVSPLGVRTRAKTLALKRLQKSSSGGGDGGDVGGGCYLQLRSRRLEKPLVGFEGKRRKHPLKESKRQNRSLRVREMKGQSWNSGSGEGEEEKKEQVQENQKEIDNNGSFEVENLLEFDGRERTTRESTPCNLIRDPDSIPTPGSSTRANNASEGNGREPTSAQRIIPTAHEMNDFFAGTEEKQQKQFIEKYNFDPVNDKPLPGRYKWVKVDR >Solyc03g020035.1.1 pep chromosome:SL3.0:3:62658218:62659116:1 gene:Solyc03g020035.1 transcript:Solyc03g020035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVPVRKDLGGDLGLAFCWNPSQHHSNVWRLDHYNPQKEDHMIATPLAIGSRL >Solyc09g018320.1.1 pep chromosome:SL3.0:9:14468664:14469821:1 gene:Solyc09g018320.1 transcript:Solyc09g018320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWFSGHEAPRGRGEGRGGPRGRRRLEVRGGGEVGRGSSRPRGWGVGGFLGAHGTLRMGNEGISRHGAHRGWVVGGFTGHRAYRGWGGGGVILGMTCLEEGGEGERWVGGPRDTTRLEDKGVPRARRLEDRGRVLRARCASRTRGETGLVESSGHNMPQELGLRGVLVAHDASRTMLYSGHDTSSKGGWVGDPQGTSQMRVGRGVLMASRGYEWGWAGVS >Solyc04g015120.3.1 pep chromosome:SL3.0:4:5290532:5292470:1 gene:Solyc04g015120.3 transcript:Solyc04g015120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEIIDNSLINEIEEEEEEEEEGNFYNSTSDLFEIDHHGGGEVEGSLFSFDFHNHEEHDVVYVVVWNKNVSQEESSMDALLWTLNHVVTNPNSTIVFLIHIFPQTNFIPTPLGMIPIGQVNEEQKENYMTKERSKRRQFLQKFVDICSASKVKLDTILIESDMEGKAILNLIPICNIRKLVLGTSKANLKKMKSRKGNGTTDEILQNAPEFCEVKIICEGKEVVELQMFESPSLRYSGGSLKSIEGQIQNQNQEAQNDQSIGCGGCFRAKVMS >Solyc01g088590.3.1.1 pep chromosome:SL3.0:1:83229270:83229986:-1 gene:Solyc01g088590.3 transcript:Solyc01g088590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKIHVINLTTTKMKPLFSLFLTLSLFLSLFFHGSKGQNLIQNTCKSCANDDPNIKYGFCTSSLQAAPASQCATLRGLGMISIRLIRYNVTDTRCHVKILLKEQKLDPYVKSCLSDCLELYTDAIPSIKLAMKSYNSKKYYDANIQISSVMDAATTCEDGFKDKDGVVSPLTKLNENTFQLSAMALSLMNLIKNNNTNG >Solyc04g072810.3.1 pep chromosome:SL3.0:4:59859547:59866308:-1 gene:Solyc04g072810.3 transcript:Solyc04g072810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLIGPLSGKLALYCSDASISRYLAAQSWNVKKAAKMLKASLKWRLDFMPEEIRWDDVASEAETGKIYRSNYKDKHGRPVLVMRPRCQNTKSLEGQMKYLVYCLENAVVNLPKDQEQMIWLIDFHGFTLSNLSIKVTKETAHILEDYYPERLGIAILYDPPKIFEPFWKLAKPFLDPKTASKVHFMYSDDPNSKKMMEEMFDMSLVESAFGGDDKADFDVNKYAERMREDDEKLSSFWKKDDNSASNAQLTVTADPSLEPTNSDSDSEVLDEKVEKPSLDVDEEESSIEETLPGSNSTNANVKAV >Solyc04g079280.3.1 pep chromosome:SL3.0:4:63876712:63887877:1 gene:Solyc04g079280.3 transcript:Solyc04g079280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLLCRTSRRCCIRLPRRRFLSSSSRNSVDIPNNINNPHLVPSPKYPPIRQPQHPTSLSRYSVFALSATLITAIVSSCAVVLTRDDEEEKREGKGEGVRIYDEIENVVGKSNESLIRIVDRMKKTGAAASVLWKSLRSVMSSANHEVRVGFELRVAALLADIAAASESRRAALVAAGGGGVVDWLLETVAMSGENCWTQAEAARALAYLIADPIVCEDVLGRPHAVPYLLRFIFSAQPRQSKKHSRRSSFDLSDSLKGRSMLVAAIMDVVTSHCESADKLSFKPTLPKNAEMRDIAAAIEVIEEGGMHWDEPHAEDDDGGEGMKGIGMKILEGTTAIGLSRTNGLVEMGPPNTSQTVKNTPSNLLFNNISDSSSARSNMSSAVVPGLWDDLHSEQVAVPFAAWALANWAMASEVNRYHIQELDQEGYVVMAALVAPERSVKWHGSLMVKLLLEDHNLPLSTSVSDWTSSLLSTVSHASKTQDIPLAQIALSAFLISLERSPSAQEVAVEKGLHLMREAAKQTTKHSSVQEALAKALELLCAREWHMSLEESQHWSGVLLPWVFGQSSSDAIRSSAIKILTRILEDYGPSSIPISQGWLTIMLSDVLESKKTALSKGNNQPKSDKVKTQVDQANVVLATQTANQLAGAVVNLVGTQLGIVANADDTHPLADLLSLEPFAGPLKNLKKDKLPKIDAADSAVATLKGIKALTEICAEDTPCQNKIADYGGLCLLRRLLLDDDYEQLAAIEAYDASRASEGQDRVSTVHGEASTTANQNDASSLRVPPTGHIRKHAARLLNVLSVLPKVKKELVGDKEWCEWLEECANGGIPGCNDPKIRSYARATLLNIFCDDEAGEDSVDGDVLHGNVSNKEQTCPRYADMILLINPELPHWKCVEKIMVKSVDGSSPGANDSAGSECTTNEDINIDITSTSASESENISQFEVPLVDVVFIHGLRGGPFKTWRLSDDKSSTKSGLVEKIDEEAGREGTFWPGEWLPSDFPHARLFSVKYKSSLTQWSGASLPLQDPGDQNSNHLGWVEPSALQHESSMQFCVVAKEVSAMLLEKLVAAGIGNRPVVFISHSKLADMPWKMGLVFRPAPTIGELRSGSPRLVELNDFMGQLHKKGKLEVLSFCETKVTPIVEGYGGWAFRMEIVPLESAYPGFGELVVLESTDHINSCKPLSRSDPSYKETLEFLHKLKALSEKKGITEEMRFVAMKFRNSKGKKKSESDDEMKDDGKLVFSTIERIVDESSDVSYAYFRRTGLERTECISKDLKWFGQQGHEIPEPSIPGVTYANYLEELAEKTPRLFLSHFYNIYFSHIAGGQVIAKKAFERLLEEKELEFYKWEGDEEKLLRDVRDSFNMLAKHWSRDDKNKSLREVTKAFRFMGQIVRLIIL >Solyc10g076357.1.1 pep chromosome:SL3.0:10:59417003:59424215:-1 gene:Solyc10g076357.1 transcript:Solyc10g076357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLGGECYGPGGRPYAGNDAKVLGSSSSTLLLNSNIPTPLLISEICFEKYYYLYVLLNAVLNVLLFLCFDF >Solyc06g084600.1.1.1 pep chromosome:SL3.0:6:49713129:49713347:1 gene:Solyc06g084600.1 transcript:Solyc06g084600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSALASIMSSAPIASKTLSGSSSSSSCSSRSWRLLAEAYWLMVSDEAEAEAWSSSDFRSFAEAYWLMVSN >Solyc11g020494.1.1 pep chromosome:SL3.0:11:11365383:11366255:1 gene:Solyc11g020494.1 transcript:Solyc11g020494.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTFINNEEFNKKKVIFIMGATGTGKSREIEPDSDFTAEDFCLQDVVYIEKILKTQRVPIIVGWSNSYIEKLVEDSVFMFKYKYYILNHRVDMRVDQMVKEGLVDEVRQIFILDANYTKGIRRSIGVPEMDRY >Solyc03g006010.3.1 pep chromosome:SL3.0:3:683757:686891:1 gene:Solyc03g006010.3 transcript:Solyc03g006010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQHETCSSWLLVLSLLSIIVSFYRVSCVNLDHENGYISAVGDSGMRRDGLRVAIEAWNQCNEVGEEVPKMGSPRVADCFDVYKASPKSQGENNCSLCNAIPYMLVHRVTEEENRLGIGKSFLGLEKKAILDVNHYAVQKEVYLGSKCQVEDKPNPWQFWMIMLKNGNMDTYAAKCPKNGLLSGPYGPDNNFPCFGKGCMNQPLIFHNYTTLQGANRTTLKGSFYGTWDLHSKSRTENNSSFYSVKWKKELGKGSWIFHHVLKTSNKYPWLMLYLRSDATFGFSGGYHYPTRGMLKIIPESPNFKVKFTLNVIKGGGPNSQFYLMDIGSCWKNNGKLCDGNVTTDVTRYSEMILNPETPSWCKPDSPKLCPPYHIFSNGSRIHRNDTIRFPYEAYHMYCAPGNGEYIEEPNVHCDPYSNPQPQEILQILPHPVWGDYGYPTRKGDGWIGDPKTWELDVGKLSQSLYFYQDPGTTPAIRKWTSINLGTEIYKDPNQVAEWTVTDFDILVPK >Solyc05g051670.2.1 pep chromosome:SL3.0:5:62902595:62903372:-1 gene:Solyc05g051670.2 transcript:Solyc05g051670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSNDNNNDVETDFFPFYRLYKDGRVDVSMNLLVSTVSGRSRDWCISARLYLPKNTAPDQKLPVLLYYHGGGFVLGSAFFKTEHCYLNHLVFESNCIAISVDYRLAPEHDIHTIYQDCWDAIQWVASHSVSDTINRDPWIENHSNFNHVFVGGDSAGGNIVYNMIMRAGREKLIGDLKILGAILGFPLLLIPSIENFDKGLAYKIWHTICPLSEGENDNPMVNPVSTKSPDLSMYVRVLETFRVYGRERRTYPS >Solyc11g045220.2.1 pep chromosome:SL3.0:11:31655318:31664755:1 gene:Solyc11g045220.2 transcript:Solyc11g045220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRIQLCEMGFLKEVQISRRQLNCFFSKKNVKTVCGLRNGPRKPMWRSRVLSSEAIQAVQSVKLAKSADKLEEMLKNKLSRLLKADVLDTLNELQRQNEVHLALKVFNFVRNEEWYTPDLSVFNSMIMMLGKNKFIEMAEQLFVHMIKEGLHPDSRTYTELIGAYFRVDLIEKAMEMYELMKISGFCPDKLTMSILIRNLEKAEEKELVVRVKNECANYIDYPEKFLKEIESTNFKRRSIDLV >Solyc05g005460.3.1 pep chromosome:SL3.0:5:338007:355591:1 gene:Solyc05g005460.3 transcript:Solyc05g005460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGENCHDVINLLGSSDRDFLIRNNGDKVKLDTLKEKKIGFYFSASWCGPCKHFTPNLVEAYNALLPKGDFEVVFLTADMDDESFKEYFSKMPWLAVPFSDSETRKRLNELFAVRGIPHLVILDASGKVVTNSGVEIIVEHGVEGYPFTQERLNELKEQEETAKREQSLKSILESQSRNYVIAADGRKVPVAELEGKIIGLYMSMASFEECESFTGKLIEMYDKLKSQGENFEIVMIPLDDEDDDESFKKEFSRMPWFSLPLKDKTCKKLARYFELSTLPTLVIIGTDGKTLHSNVAEAVEEHGILAYPFSHEKFAELEQIQKAKLEAQTLESILVTRDRDFVIGKDGEKILVSDLVGKTILLYFSAHWCPPCRAFTPQLKEAYETIKSKNGPLEVIFLSSDQDQASFDEYFATMPWLALPFGDERKTYLSRLFKVRGIPTLVAVGPSGKTVTTDARSLIMCHGAKAFPFTEERMEEIEAETAEMAKGWPEKIMHKLHEHELLLSKRSAYNCDVCEELGQIWAGQVEPSDEIDWQVLVVPDKFPLRENKNRTFVLSSKERDFLISTNGEQVTLSSITGKIVGLYFSGLWCGPCRQFTPKLVEAYESLYPKGDFEIVFISSDKDDESFNEYFEKMLWLAVPFSDVEARKNLKQLFKVRTIPHLVILDGTGKVLSSDGVKYIKHFGPEAYPFTSERINYLRLEEEKAKENQSLRSLLVYGSRDFLISNEENKISVSELEGKTVCLYFGTSTHRGGKNFTLKLAEVYEKHKGNNFEIVLISLDEKYEDFKESFEAMPWLALPFKDKNCERLVQYFEHKLLPQLVVLSPDGKTLQQNAVKFVEEYGDEAFPFTQEKLATLANLKKKKLEAQTLESILVTADRDFVISNGGLKVPVHKLVGNNIVLYFAASWSLPSREFQPKLETAYQEIKKKDENFEVIFISSDQDESSFTNFFSSMPWLALPFDDERRSFLSRRFNIVGIPVAIAISPSGFTVNTQVRQLLETHGSGAYPFTEEHIKNLQQQLDKNTTGWPKKDRNESHNEHELALIHQQVYLCSGCKEMGVSASSQAAAAETSTSISIPSEMKAWSYTDYGSVDVLKLESNVAVPDIKEDQVLIKIVAAALNPVDFKRRLGKFKATDSPLPTVPGYDVAGVVVKVGSQVKGLKEGDEVYGDIHEKALDGPKQFGSLAEYTAVEEKLVALKPKNLSFAEAAALPLAIETAYEGLEKAGFSSGKSILVLGGAGGVGSLVIQLAKHVFGASKVAATSSTGKLELLKSLGADLAIDYTKENFEDLPDKFDVVYDSVGQGEKAVKVVKEGGSVVVLTGAVTPPGFRFVVTSNGEMLKKLNPYLESGKVKPVIDPKGPFSFDKVVDAFSYLETGRATGKVVIHPIP >Solyc02g083930.1.1.1 pep chromosome:SL3.0:2:47730611:47730934:1 gene:Solyc02g083930.1 transcript:Solyc02g083930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPFCMPKVRGNNCSRKSNRLSPMTLLDRFREAVFRLIMLSAMSKATQPQEQRSNSSPISQRSYYSHEHHHSEAVADCIEFIKKSTITDDGTDGDSSEVVFSVPVM >Solyc03g113150.3.1 pep chromosome:SL3.0:3:64892638:64895799:1 gene:Solyc03g113150.3 transcript:Solyc03g113150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BKG8] MGLPKRNLFLFFVLVVLVVSIEAHIKEFDEVWKKRAQQAKKAARHAYNPNPKIVADHLNYQVDKAVRGSKSRRRDLQRYSGKCMATNPIDQCWRCDPNWARNRMKLTDCVLGFGRKTTGGKGGKIYVVMDNSDNELVNPKPGTLRHAVIQPEPLWIIFAKNMVIKLNQELIMTSNKTIDARGRQVHIAHGGGLMLQFIHNVIISNLHIHDTKAGAGGLIRDSVSHYGYRSKSDGDGISIFGSTNVWIDHISMSNCQDGLIDAVEGSTAITISNCHFTKHNDVMLFGASDTASGDSVMQITLAFNHFGHGLTQRMPRVRWGFVHVVNNDYTHWLMYAIGGSMHPTILSQGNRFIAPPNPNAKEVTKRDYAPENVWKNWVWKSQGDLMMNGAFFVESGDPKHAFLKGPDMITSKPGSSVSSLTQFSGSLKCIEGRPC >Solyc04g049200.2.1.1 pep chromosome:SL3.0:4:40187144:40187422:-1 gene:Solyc04g049200.2 transcript:Solyc04g049200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILCNQRINQDLTVEYHNDNGAMVPVCVHTVLISTQHDEIVTNDEITRDHKERVIKNVIPEKYLDEKTIFHLNPSGRFVISGPNGDAGLTNF >Solyc11g018850.1.1.1 pep chromosome:SL3.0:11:9687366:9687635:1 gene:Solyc11g018850.1 transcript:Solyc11g018850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIRKCRFSREWQAGCDQNVWLSSSSSLVCSHSLGQPNFWQKQLPDSYFTFRWIWHQDRMVLSVFPLPLLKCGGFRTVSCNPSGIGFKL >Solyc02g014873.1.1 pep chromosome:SL3.0:2:19800496:19802083:1 gene:Solyc02g014873.1 transcript:Solyc02g014873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKIYFNLDINDLLEDIPSCQQVKDSIKIEARKLYDLYNANINLSSENEPQSSRSIFDENNIDDYLQDFLELSHDNRNDFDAYVNQNTEPTEDILSWWINRGKGFPKLQSMARDILAKQTSSVTLEGVFSATRFQLGEHRHSLAANNLEISVLFLDWINAERRNLGREPLPAKFQHDVDGVMQDYIRSRFAYYKFHPGYGTYRFGSPDSDSCILQVKPCNMDDYTR >Solyc06g063010.3.1 pep chromosome:SL3.0:6:39890864:39893851:1 gene:Solyc06g063010.3 transcript:Solyc06g063010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSFKVSKTGARFRPKPVHPDIEEHDDVALRANKERNSVLPQNKSNSASTGRLTGAVVHGSKDVTTVPDNEVSFTLCLFLDGYSIGKPSENEYGHQASENVPKLLHPYDRASETLFSDGLGFSIPEGI >Solyc09g098460.1.1.1 pep chromosome:SL3.0:9:72786547:72787920:1 gene:Solyc09g098460.1 transcript:Solyc09g098460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRLPNIPNLNFPTFYEEFLPKPCMVRPNEGISIAPLTLQQLRVSPKLSSTKNIASDDIAMDRCRCSSGRLLSSMWREVQGSKNWENLVDPLDSLLRSEIIRYGEFVAACYDAFDLDTNSKRYLNCKYGKSRMLSEVGLGESGYQVTKYIYATPDLIIGSNNISIGSSCGKWIGYIAVSNDEETKRLGRRDVLVTFRGTVTSPEWIANLMSSLTPARLDPHNPRPQVKVEAGFLSLYTSNEDKKFGLGSCREQLLSEIGRLVNKYYKQEDMSITIAGHSMGSALALLLAYDIAELGLNTHHHHHHMTTVTVFSFGGPRVGNSGFKERCEELGVKVLRITNVNDPITKLPGVFLNENSRVLGGKYEVPWSCSCYAHVGVEILLDFFKMHNPSCVHDLGTYLNLLKCPKRCLQVQREEGIHHFINKAKEFVISGLNFNPPLQWKNVAMNMVNMVQSQRT >Solyc12g038255.1.1 pep chromosome:SL3.0:12:50361704:50379782:1 gene:Solyc12g038255.1 transcript:Solyc12g038255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCHSSPIHQGEEKCKGKSMNPPERTTIRYPSLADVGQVDWPRVIIRLSLQPSIKRRQHSHVHMARRHSNICMKEIFNDMVEDFVELFMDDFSLFEKDAKGNPYQRQVTRQVPNPTSVKNFEAVSLVLAPHCEEGCRS >Solyc09g018840.3.1 pep chromosome:SL3.0:9:17578802:17583723:1 gene:Solyc09g018840.3 transcript:Solyc09g018840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGGEGEAEGSTLEFTPTWVVATVCTVIAAISLAVERLVHYTGKYLKKKNQKPLYEALQKVKEELMLLGFISLLLTVLQSSIIKICVPNHVVLHLLPCSLSHESQHLRRLLAEEEAVVGDCIAKHKVPLLSLEALHHLHIFIFVLAIVHVTFSLLTIVFGGAKIRQRKHCEDSIAKANYETAQVLKPRVTHVQQHDFIRDRFVGMGKCSAIFGWLVSSFFPQ >Solyc12g038430.2.1 pep chromosome:SL3.0:12:51050839:51055406:1 gene:Solyc12g038430.2 transcript:Solyc12g038430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNMHISNDNETTDVSAIPPPEKKRKGRGKTTGLSSQKKRKKNDNGKLKVIIPPDRTVAVGPGAKDFITELSVKVLHNARHGVKNWKGVPDLAKNRIVAYTLRNTTTGKEPNFQKLWEITHMKPNGQWVTSASAEVNDKVKDVIAEKIQDIDEGTDVDPIINAAFVQIMGEKSKYILGKGSGINSASRISRNEIQEQLRAQQKEAEEERYKRESVDIKLMEVKNQLEEERKNREVMEFRLVHDQKLLKESMMVLVSHLKNPKNDLPASIFNIFTTSTTSNETSSACLMNNNWEDLHVKKNQESRMQKVLDNLKDVLNFEKQNLEMAIYDCDKFNTLCNEKDVELKDALTEKRNLEMRLPKLSSQGSKKTTPKELIDANNQVFDKIHEELKARCMLRTAEETKKRLLSEKSSLEEKIVEIEKKKSSEICFLNISCFTPSSKS >Solyc12g021313.1.1 pep chromosome:SL3.0:12:15379472:15410616:-1 gene:Solyc12g021313.1 transcript:Solyc12g021313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVYAEELVKEFLVFRGFTNTLQAFVKELGTDIGKGFQVDKILDLVFSIYIPKFQAENLIQLLRFFSNCFSSHETHLISVISELDISIIRYYIIHAIQAGRKDKVIELFDIHGTELLQKDQHWASWFAIPYIKAPQSEPKFRVYFSKEWSNALHLSFRNFLTKMFNGTHILNYIFSHFICSCYRLNILAFNHAN >Solyc05g049970.3.1 pep chromosome:SL3.0:5:60668977:60674062:1 gene:Solyc05g049970.3 transcript:Solyc05g049970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:F8UF76] MSLDSSSADHGGHSNIRGVPTHGGRYVQYNVHGSLFEVSRKYVPPIRPIGRGANGMVCAAVNSETREEVAIKKIGNAFDNVIDAKRTLREIKLLSHMDHENVIAIKDVIRPPQKKNFNDVYIVYELMDTDLHQIIHSNQQLTDEHCRHFLYQILRGLKYIHSANILHRDLKPSNLLVNAKCDLKIGDFGLARTTTETDFMMEYCVTRWYRAPELLLNCSEYTSAIDIWSVGCILGEILTRQPLFPGRDYVHQLRLITELIGSPDDASLGFLRSNNARRYVRQLPRYPRQQFAARFPNSSPRAVDLLEKMLIFDPSRRITADEALCHPYLAPLHEINEEPVCPRPFSLDFEQPSLTEDNIKELIWREAAKFNPDPTH >Solyc09g010410.3.1 pep chromosome:SL3.0:9:3784595:3788784:1 gene:Solyc09g010410.3 transcript:Solyc09g010410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCSATYKCDSESLSCFCESSKETLGSASCYPGGPFVSDLGYHFTESLSIKDGEDLIYKEHSNTLEEDGTEDMHANDADTGSTDSEKCLIKSSEFPCSSMSTPPAELVHEKEQEADAKSTDLPYSRSISSPTALKLVSAIKGSREKQGKPPKKLSVTWAPDVYDPIPTAASHVPIKGQRHRSDHRKNGKSKQKSNGKSSRGSKGKDKKQGRKHGGSTRRSYYPLEDKDIMSCNRFHCLEDEITAHSSGLQAGAMDYDIGSPPDSFCGSSFRKKSVTELHFPVAKAS >Solyc09g015650.3.1 pep chromosome:SL3.0:9:10580734:10587907:1 gene:Solyc09g015650.3 transcript:Solyc09g015650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSYTPTSLGLTSTQKLCNIRTSLVVRSVSKASLGFGFRTGLVPLAQHSFRNTTILPFAASHEESKPSDVELEKDNNDLKEEAEAQEEAWKQTLASFKEQAMKVQAVSQEAYEVYSDKAMIILKETSEKLKIQADKAREDWTIIAKEISEESKEYLTTAAENSPEPVKDIVETFVSSADELNDVSKVRDFYVGIPYGTLLSAGGFLYFMLSGSTAALRFGVVLGGALLALSISSLRSWRSGDSTSLALKGQAAIATILFVREFRLMLQKPFIFNFITATISGGVAAFYAYRILMDGEQTKGSNSAAQTDS >Solyc06g066443.1.1 pep chromosome:SL3.0:6:41829998:41832181:1 gene:Solyc06g066443.1 transcript:Solyc06g066443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIELVGLAVQTPPPPPAPPDPPNIVQFSDLNHNKDPIDTTDTHTKKTHSSDIKNKTHQQNNPSAPTPPMQPLEQRIPPKNNYQFPKVSSNFDKAKRIDGEIRTNNANPVETNNPTHSHATPNYSKSHPSSHNNNLNHNPSTSNTNSKPYNASSSTISKPSYASTISENLKTNLQGEKPVDISHGTHMGKPAVYFSAQDYFVNLAEDCRFTIVGKFTKGKPNMDELRKLFNSHVPLKGTVKIAYFDQNHVYIDFNNESDFYHIYFKSFVTLGPYSMKIIKWSLDFVPEIETSLAPVWILVHQLPWHLFRWDILSKMLQNDQALGTKDQTEAYADGFKQMTRRRNRNKKNLQWQVQQNQPEHHLNPQCKDQHEHASKIIDAIQLDINTSNKEKFQKSNVISGQANQTIKTLNNTPPLNNQQLQNMPTSSRSTDNITSKKINREPMDDNRAPVEDNDTKIVQNGDKVHTPQKPADPPDQILTKSPLLDINSNSLLNNNEVVEDSECDDNELHESELDGSVSEYETENNSTSENDYASMDEKSATSDDLADTLMETFNQQLPPLAEMVQAFGDITEKHNLSPRGSYHSRGGMTTRTSRRGKGINNKYTVSYSQDQNNLSPTF >Solyc06g061020.3.1 pep chromosome:SL3.0:6:39131804:39133930:-1 gene:Solyc06g061020.3 transcript:Solyc06g061020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVQAQYPSNVHLFNRAVQERKNPIGNDEYSLQPQPAGGSILDHTQMRFNPGAGSYHHPPCKRRREVSTTTAMNPSMQSQPQLIDLTQLHTNPNVVSTGLRLASGEQLQHHQKQQQQNQHSLSPQSSQSSAFYSIFTEDMSTIIKQHRDEIEQFLHVQREQLRRTLEDKRRAHYRALIGTAEESMARQLKEKEAEVGKAFRRNAELEARAAQLSAEAQAWQARARAEEFTAATLQAQLQQAMMNGGGCNANLPDGDIAGAGEAEDAESAYIDPDRVVETTGPSCKACRKRVASVVLLPCRHLCVCTDCDAVVQACPLCLSIRSSSVEVFLC >Solyc01g111020.3.1 pep chromosome:SL3.0:1:97338885:97354556:-1 gene:Solyc01g111020.3 transcript:Solyc01g111020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIFSPKLSLLSCSPISSSLVPRRQFLSGSTHSLRPPGLHSRRRCRNIGFQFGGNTSRFVLRASLDSQTVVFASVVTISALTVVFLEFSKRNTNANAKFKEISAELTLALRRQIRHVMNWFPRHVFALINIQEEKSVKTQMKEVTKVSNEREDGEADVLQHDGTYLIQTFVTNNIESLDTNQLAPSSNGSLTLGASVPNEHTESDAVPSSFVADSNNIYLQENIQTTKMSNILTTEEENLRTTKMSNILTTEEVREPEPIAHTESDAVPSSFMEESKNINLQEHLHETKMSNILTTEEVSSERSVALFPTINIDNRTEKTKIMDQELMMKDELKKAHRFVAEDEVIIHNLIFRDSTREDLYSFFGASSKSLNGQDALTSHASRQGIGTFSPPSKAFSVRAEDFEEKRSHGCYKERPFNKEDFVKRMQQFTNKEKSILSDNGASKQLQVSNPKSIQVCDRPNPSDQFRAYRHFLREGRLMDCIKILEDMERHGSLNMDKVYHAGFFQVCKSQKAVKEAFRFTKLIQNPTLSTFNMLLSVCASSRDLERAFQVLQLVRETGLKPDCKLYTTLISTCAKAGKVDTMFEVFHEMVNAGVEPNANTYGALIDGCAKAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLSEMKAEARPIEPDQITIGALMKACANSGQVDRALEVYRMIDKCDIKGTPEVYTIAVNCCSQNGNWEFARSIYDDMSKKGVNPDEMFISALIDVAGHTGKLEAAFEVLEEARAKGINLGSISYSSLMGACCNAKNWQKALELYEDIKGINLKPTVSMMNALITALCYADQYQKALEIFSEMKRVDLCPNTITYSTLLVASEKKDDLDVGLMLLSHAKKDGVAPNLVMCRCLLAMCSRRFQKACTLGEPVLSNNSGRLQLDSKWTSLALMVYRETIGAGVVPTIEELSLVLGCLQLPRDASIKERLIENLGLTVETSKGSNLCSLIDGFGEYDPRACSLLEEAASLGIVPLTSFKGSPIVVDVRNLHIHAAQVYLLTVLKSLKHRLAAGAKIPNISIVLPVEQSHIQTPTGQKTIKIAGRINRAVAALLRRLRLPYQGNESFGKIRINGVIMKRWFQPKLESPFSWEQTGFSFSQTRLRKGISHQQRTIRTGDLSLD >Solyc09g015770.3.1 pep chromosome:SL3.0:9:10988362:10990402:-1 gene:Solyc09g015770.3 transcript:Solyc09g015770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WRKY3 [Source:UniProtKB/TrEMBL;Acc:I3NN77] MDNSSSDLNRAIEGLIRGREFTRRLKQIIKISGGEVENIMAEDLVAKILDSFSETLSVINNSDVVVATAVEVKSPEDYSSGSCKSSDRRGCYKRRKTSESDIKESSDLVDDGHAWRKYGQKQILNSTYPRHYFRCTHKYDQKCQASKQVQKIQDNPQRFRTTYYGHHTCKAFPRVSQIILDSQIDGNSNYISFDQNHTFPSIKQETKEEVVFRFYPKIEDQIQSSSSDYFLPNDHDHDHLTPATFEASGSRMTSPDVISSGVYSSCTTTSNNDNLEIDIDFEEGLWNFDQV >Solyc10g081140.2.1 pep chromosome:SL3.0:10:62404892:62406955:-1 gene:Solyc10g081140.2 transcript:Solyc10g081140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSPDRESVESGTKKSSISSGGRVQDRKEFLRRFVDSKSLIENLKTWYEELREEPPFDVPFELIDLQKFDYALEGVPFQQLIRMPRAIYDSASGAVEATAYLALEDFLHASVKSLWESFWGQDEPLPFCVSSIYNSNLRFYQAEKAIAKGRVGGLCATAIMLKNPKHPQGKWDDVLQLAILRPDIGNRATVENDYIGALQVLFATFHSITQYAGMSTNSVEDLAADHSSRLQARRIERQLWDSRSNGNGSFRLEQHSASHEIVEVHEESVGLVSEKSINLEVGSVLLLEDSNWQKGYQINEVLTDGEITYYIASPVEDPGKALFLYVGSHPSQLEPAWEDMKLWYQVQRQTKILKIMKQNGLSSKYLPQLSASGRIVHPGQCRRRNGGNCDYSWCGTPILVTTSVGITVADMVRVGQFGKDEAIRCCHDCLSALSAAASAGIRHGDLRPENVIFVTPGVGQPYFVLVGWGHALLEERDRPAINLHFSSTYALQEGKLCSASDAESLVYMLYFSSGGDMPDLDSVEGALQWRETSWSRRLIHQKLGDISTVLKAFADYVDSLCGTPYRMDYDIWLRRLERHIHGKEIETSN >Solyc06g072900.3.1 pep chromosome:SL3.0:6:45087144:45088294:1 gene:Solyc06g072900.3 transcript:Solyc06g072900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHNNVIPNGHFKKQTDIRVKTWFNQTAHKQMRRIARQEMAVKIPQQLLELSTLLSMTLKYNMKVRSGRGFFSRNFRNRSPEELQADVGECAPEELATATQVDAYMPVVRDKPSVDLVKVTEDMKSFNAYTSGTDECALRAIPSNCKSFLLF >Solyc09g064300.1.1 pep chromosome:SL3.0:9:61705309:61705677:-1 gene:Solyc09g064300.1 transcript:Solyc09g064300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4CU60] METVLLPSTKFKNQNLCVHPFCIDCITTYIFVKLVDNVVEILCPFSNCNQFLDPIGWLTRCYCPNLNCSALILDECGGVATGSKCPNCKRLFCFQCKIP >Solyc10g047145.1.1 pep chromosome:SL3.0:10:39856229:39856718:-1 gene:Solyc10g047145.1 transcript:Solyc10g047145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFVYRDHGIMLYLLVYVDYIIVTSNHPSSLESIISKLGDEFSIHDLGCLSFFLGVELTHTTSFVFINLDTLHTCYRASMQNCKPMCTHKAPNTKFHVGDSSLFDDRTLSRIIIEDLKYVKLTCHDLKFSMVANLCTLRVKINGLRLNALFGILNSQRT >Solyc01g059843.1.1 pep chromosome:SL3.0:1:68642039:68642469:-1 gene:Solyc01g059843.1 transcript:Solyc01g059843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSSSTNGVDTPFADITHFRSLIGALQYLAITRPDIQFAVNRVHFGTLGRGLLIRPGDLELRGFSDSDWVNDKNDRKSTSGFLVFLGPNLISWCTKKQPKVSWSSTEAEYRTLALLAAETM >Solyc12g098720.2.1 pep chromosome:SL3.0:12:67115673:67119096:1 gene:Solyc12g098720.2 transcript:Solyc12g098720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLVTTLIFVVVGVIASLCARICCNRGPSTNLLHLTLIITATVCCWMMWAIVYLAQLKPLIVPVLSEGE >Solyc08g082840.2.1 pep chromosome:SL3.0:8:65615068:65630955:-1 gene:Solyc08g082840.2 transcript:Solyc08g082840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEPEKHRILMVSDFFYPNFGGVENHIYYLSQCLIKEGHKVVVMTHAYQNRSGVRYMTNGLKVYYVPWKPFLMQNTLPTFFGTLSITRTILIREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFSDVGSIHMNKVLQFTLADVTQAICVSHTSKENTVLRSGLPPEKVYVIPNAVDTAMFTPAPERLSRDEIVIVVISRLVYRKGADLLVEVIPEVCRLHPNVRFIVGGDGPKRVRLEEMREKHSLQDRVDMLGAVPHAKVRSLTEAFCIAILEAASCGLLTVLPDDMVVLAAPDPTTMVHAITRAIYMLPQIDPQDMHNRAFKQLNMIVFGPLVTLDWGEACILDSMLDLVGLKAVEHSFWALRRLNIHFGLPSVPYLWHLGRENILYSYDSKFFALASLATMEGRKKTCDSGASPIGAAQGHLLFRHNVELGFRSSSVNVLGLGEHRVTQFSRLCCEEEPKFTSIYHPKNWTRKSRIGVVSVELDVSNTSNPSNEKLKMRLLKVATCNLNQWAMDFDCNLSNIKQSIAEAKAAGAMIRLGPELEITGYGCEDHFLELDTVAHAWECLKELLLGDWTDGILCSFGMPVIKDSERYNCQVLCLNRKIIMIRPKMWLANDGNYRELRWFTAWKSKDHLEDFHLPSEVSDALSQTTVPFGYGYVQFLDTAVAAEVCEELFTPQPPHAELALNGVEVFMNASGSHHQLRKLDLRNRAFISATHTRGGVYMYSNHQGCDGGRLYYDGCSCVVVNGDMVAQGSQFSLKDVEMVFAQIDLDAVASLRSSISSFQEQASCKAKVSKVFVPYKLCQPFNLLMSLSSPLKIRYHSPEEEIALGPACWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGSMCQLLVFDNRIVVIVHRFFRSLGVQDKNAVDIRITSCGVAELKMLQHGQNLGGSLIYFVVKFKVNSMSSMSEDKQTIEFCFLYNSIITVNAEIANGDKQIKADAIRIGHYTDGQFPTDSKEFARRIFYTVFMGSENSSEATTTRAKVLADEVGSWHLNVSIDGVVSSLISLFQTLTGKRPRYKVDGGSNIENLGLQNIQARVRMVLAFMLASLLPWVHNKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKMDLRTFLKWAAVHLGYSSLAEIEAAPPTAELEPIRADYSQLDEVDMGMTYEELSVYGRLRKIFRCGPLSMFKNLCYKWGTKLTPAEVADKVKYFFKYYSINRHKMTVMTPSYHAESYSPEDNRFDLRQFLYNVRWPYQFRKIDELVNELDGDKIALTKSTDGENVNVTADGGMGVVAAGSGDPRAGF >Solyc07g008420.3.1 pep chromosome:SL3.0:7:3213588:3215368:-1 gene:Solyc07g008420.3 transcript:Solyc07g008420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISIGKLVFVCIFLILCVEMPTSLANEYVVGDKRGWSPGVDYHPWAYGKSFRVGDVLHFFYAPKVIDVASVDISSYALCDSNVKTFYKDNSGQTSITLDKSGPYYFISTSKKGCFEGLKLELHVI >Solyc12g087840.1.1.1 pep chromosome:SL3.0:12:64327775:64328722:1 gene:Solyc12g087840.1 transcript:Solyc12g087840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPRMLFPTSNQTTNCHNICDSTCPYGCYPYPNINFYIPPPPPTIESNNKVVQNILPYIIISIALFVSLFLLLTYYIIIVRNCSNWNRRRTRGEGDNDESIIHHPIWYIDTIGIEVSFVNLITIFKYKTGDGLVDGTECAVCLNEFQDDESLKLLPKCNHAFHIDCIDTWLRSHVNCPLCRAPIISNTVAAPVGSSIIAPISSTNDDIGSIVETNNNSEAREGEFQENTNVENDDNDDDDETSKKEDEILQKMRRSVSMGSSIATKKCVETKTNEGTSSNSRIQRVMDSASSMKRSFSYGGRSFFPKSKRNEALI >Solyc06g072410.2.1 pep chromosome:SL3.0:6:44817254:44820859:-1 gene:Solyc06g072410.2 transcript:Solyc06g072410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASKVTMKLLIDSKSKKVMFAEAGKECIDFLFHILALPIGSVIRLLTTEGMVGCLGNLYDSLQNLDNIYIQPNQKKDTLLKPKSAASVPLLLINNSPVQKQFNRCSNHYDHVTDDPRSHCPSPSGVGGEKEEKREKEKEKKKKKGMWKVIPLLVSSLTRCPEEGNWAKALQFPFINRPNNHLFLQGPNLSFEAVEDFSAVQECVDQCFHGQHK >Solyc02g089870.2.1 pep chromosome:SL3.0:2:52202145:52204152:1 gene:Solyc02g089870.2 transcript:Solyc02g089870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTQNPQNMKVLGVCKLLIFPIQIKLSEMQDSTTRAFESSSSSSGDGNNDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLRLHSQCHECPVCKALIQEEKLVPLYGRGRTSTDPRSKPIPGLEIPSRPAGQRPETAPQPEPNHFPNHGFGHMGGLFPTATARFGNFTMSAGFGGLLPSLLSFQFHGFPGPTAYPTASNHHFGYTPAYHGPHVRNAQDTAQGQADSNLKLMFLLVGFLVLIYLLG >Solyc02g032180.2.1 pep chromosome:SL3.0:2:28386212:28386794:1 gene:Solyc02g032180.2 transcript:Solyc02g032180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSLELPAHLNQEVVEIIIQEQDQVIPNVARIMHSTHPPHIMISDDVKQTMYHCISEFICFVTYETNALPVEDDGGECRSHTRESLLKRPMVDTASSSNITPYNLPPIFPMAHDHFFFSPPMGNGDIQGDAPNGSTSQCAVASMDSDVESPKEEDKE >Solyc06g035820.1.1.1 pep chromosome:SL3.0:6:25147736:25148035:1 gene:Solyc06g035820.1 transcript:Solyc06g035820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLKSVLSQVLLSILITILLQRQVIESQGQPQTCLASLGNLNVCSPFVLPGTSNTTPTTECCAALQSLDHECICNTVRVAARLPSHCNLPPISCTRHH >Solyc07g015877.1.1 pep chromosome:SL3.0:7:5643652:5644246:-1 gene:Solyc07g015877.1 transcript:Solyc07g015877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELRFFFGIEFPRFEKEILMYQRKYTLELYELGLRVAKPADTPIDYNLKLTSKQFDDHVKNMKLGHDPLADHMGYQRLFGKLLYLTMTRPDITFGDSIVAWKSKKQTKFSEVLQKHNSGVLQQLLKS >Solyc07g063840.1.1.1 pep chromosome:SL3.0:7:66310218:66310688:1 gene:Solyc07g063840.1 transcript:Solyc07g063840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHENDRKRLRSDEKEESDETGEGKTEELDPNEDEKERRRRRAVKKGKQSMSGRQSDADYYDYDYDYNQSLAILRSMWDYKSKTGDFPYPPSIELLNFIMYSIPNLTISREKLVKKITTFKNNYNDAWELDGDHPGLDRPVDREIFNLSMRLWGDH >Solyc12g043000.2.1 pep chromosome:SL3.0:12:59528940:59540360:-1 gene:Solyc12g043000.2 transcript:Solyc12g043000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFEVTELPVRSAKFIPRKQWVVAGADDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWLCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAIGYMRSSRRVVIGYDEGTIMVKLGREVPVASMDNSGKVIWAKHNEVQTVNIKSVGADYEVADGERLPLAVKELGSCDLYPQNLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKSFQEKKSIRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSAHLDSGKSVDEQGVEEAFELLNEINERVRTGIWVGDCFIYNNSSWRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVVGYTLLLGLIEYKTLVMRGDWDRANEVLPSIPKEHHNSVAHFLESRGMIEEALEVATDPDYRFELAIQLGKLEIAKEIAAVAQSESKWKQLGDLAMSSGKLEMAEECLKHANDLSGLLLLYSSLGDAEGITLLASLAKEHGKNNVAFLCMFMLGKVEECIQLLIDSNRIPEAAFMARSYLPSKVPEIVSIWRKDLSKVNQKAAEALADPEEYPNLFEHWQIAHAVEARVAEERGVYPPAADYGNCADRPTTNLVEAFSNLRMDEEPHENGVLDHEDAELNGDEVLERGEDDLQQEGQEERGEDDLQQEGQEEAVVVDADSTDGAVLVNGNEGDEEYGTNTEGKPSA >Solyc08g062340.3.1.1 pep chromosome:SL3.0:8:50976692:50977159:-1 gene:Solyc08g062340.3 transcript:Solyc08g062340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLMGIDNTPLFHTLQHMMEAAGEDSVNAPSKKYVRDAKAMAATPVDVKEYPDSYVFVVDMPGLKSGDIKVQVEEDNVLLISGERKREEEKEGVKFIRMERRVGKFMRKFSLPENANTDAISAVCQDGVLTVTVQKLPPPEPKKSKTIQVKVA >Solyc05g014250.3.1 pep chromosome:SL3.0:5:8012265:8015580:1 gene:Solyc05g014250.3 transcript:Solyc05g014250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQNHSQNSSFRESIKALEADVQHANTMAAALPRDVDGDCVQMKVSYGFLAPFLLFLIEWMDYSCLDTLASCLGLLHILVYKVYVDGMPTMPPQERKATIREFYAIIYPSLKQLEGNLVELMKENTKVTQLSNTSNGRVEEKRQSCKSIRGEEEECGICMETGSKIVLPNCSHSMCVGCFHDWYIRSQSCPFCRGSLTRVNSRDLWVLTSDCDVVDSTTLEQENVRRFYLYIDKLPLAVPDTNVMLYDYMI >Solyc05g050600.3.1 pep chromosome:SL3.0:5:61602252:61613378:1 gene:Solyc05g050600.3 transcript:Solyc05g050600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLIALVNRLQRACTALGDHGEESSLPTLWDALPTIAVVGGQSSGKSSVLESIVGKDFLPRGSGIVTRRPLVLQLHRIEEGREYAEFGHLPRKRFTDFAAVRKEIADETDRETGRSKQISSVPIYLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVLDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPKGERTFGVLTKIDLMDKGTDAVDMLEGKSYKLQFPWIGVVNRSQADINKNVDMIAARRREREYFSSTPEYRHLANRMGSEHLGKVLSKHLESVIKSRIPGLQSLINKTIIELETELSRLGKPIATDAGGKLYMIMEICRSFDGNFKEHLDGVRPGGDKIYYVFDNQLPAALKRLQFDKQLSMENVRKLITEADGYQPHLIAPEQGYRRLIESSIVSMKGPAEAAVDAVHAILKELIHKAISETAELKQYPSLRVEVSNAAVESLERMRDESKKATLQLVEMECSYLTVDFFRKLPQDIEKGGNPTHSIFDRYNDSYLRRIGSNVLSYVNMVCATLRNSIPKSVVYGQVREAKRSLLDHFFTDLGKKEGKQLGTLLDEDPAIMQRRLSLAKRLELYRAAQAEIDSVAWSK >Solyc07g021550.3.1 pep chromosome:SL3.0:7:19440279:19444149:1 gene:Solyc07g021550.3 transcript:Solyc07g021550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAADKSFTRRLRREDCKRTKHDSSFSHWKILVGPNDWEDYLLGKEGTERYRTQNLPNCTSCSGVYELGIAVSRRKAGREATRLDPDYIVPVYVGKSNNVRTRLQQYGRVGAHLENDYSNSELHVGEIISGPKRAGLFTETFSRGFSIVYRWAPMNDNKDAERTEAQLLDKFDYAWNKGSNGVRRHNAVLRKLDGISRKTHLPAFIRKLQLSLEKQKGVRIKACEPLLLENGSGFHDSFKSTNFLPQILKFGRSQPRIVSVNVGVNGDPNIICGVALGHGSVCIRPPKTGNKRCAEHKGMKVNSVKSKLIAEGNGSTRPCVSIDEENSPICGFILDSGASCARIPFQRNKRCMEHKGRRNRGSNSQPTTYKIGQWTHNPILENRTSSSNDCQHILSSKAGRQDSQDFSSSLIHQNYNVICGVHLNDGSFCTSQPTVGRKRCEGHKCMRVKEPISSN >Solyc05g045940.1.1 pep chromosome:SL3.0:5:58557679:58558244:1 gene:Solyc05g045940.1 transcript:Solyc05g045940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRIGVIVPYMQPGIIVSCDDIKNDRGYYVIYGLLCPVKKSDRGYCALYAIKGLWCSLKT >Solyc06g061080.3.1 pep chromosome:SL3.0:6:39225217:39229660:1 gene:Solyc06g061080.3 transcript:Solyc06g061080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGISLPPGFRFHPTDQELVGYYLKRKTDELEIELEVIPVIDLYKFDPWDLPEKSFLPKRDMEWYFFCSRDKKYPNGSRTNRATNSGYWKATGKDKKVVCKSAVVGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDVSQGTPSFQGPFALCRVIKRKDNIPSKTSDVHGGTVFKQVECSSSIEGFTSTVALNEPLLLSNDMPTPSTYMSGNSNYSTPTNSPYKITQIEDYDSANLWMPQNKVLDPSKECPQGRSISGNYPHMFSNSTSWQPSDQYDFTSSSSFPNFRGEVELSGDLSGYGNVSPFSVDGSCMEFYGSGEISYKGYNQNDLLGNPYLF >Solyc07g018073.1.1.1 pep chromosome:SL3.0:7:9009207:9009251:1 gene:Solyc07g018073.1 transcript:Solyc07g018073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TASGLHDSANSNRH >Solyc06g083590.3.1 pep chromosome:SL3.0:6:48991810:48998499:-1 gene:Solyc06g083590.3 transcript:Solyc06g083590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRELNDVYYGGNKEDVHGFENINMVPTDFDPMDDTDIWLNGNFSNDFTSLQDFPCMSSSSSTSNSLPTEQSDPSSGWAVQKSDADEQDFDTISDQECLNVMDLIDGDHEFLDPMISFFNQQQKEQANEEQVSIFQGDSELALMFLDWLKQNKDNISAEDMRSIKLKRSTIESASKRLGSTKEGKKQLLRLILDWVEQHRLQKKQMREEQSIQNSAPFNFNNPNACFYNASFTDSSSVMTGPIQGYFGDLNSNGSLFVPPYNQTMSGSSTSQSWSQSQFIMANASQYNRFPENNITNNVAIPDQPLFSAQYDQYQIFDGSGERLARLGTCATKEARKIRMARQRRVPLHHYRHQTQNQRQISNEKSVMMGRKINNCAISQANNPGNWVYWPCAAAAPPIAMVPLADTPQSLPMERSPVQSQKHQKHGSTDKRQACKTEKNLKFLMQKVLKQSDVGHLGRIVLPKKEAESHLPQLETRDGISIAMEDIGTCRVWNMKYRFWPNNKSRMYLLENTGDFVVANGLQEGDFIVIYADIKCGKYLIRGVKVRPNGAKSDGMQPAKKIVRKIAAVASSPFAQAVG >Solyc01g047590.2.1 pep chromosome:SL3.0:1:44886978:44888424:-1 gene:Solyc01g047590.2 transcript:Solyc01g047590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLHSNKMDQARELVGALPGMFPGSLIPLLQAAVHVRENKAAKAEEILGQYANMFPDRSKVILLARAQVAAAVGHPQIAADSLAKIPDIQHEPATVATIVSLKERAGDTDGADAVFDSAIKWWSNAMTEDNKLNTIMQEAAGFKLRYGLKEEAACLYEQLVKSHGSIEALVGLIQTTPHADIEKAETYEKYLEKTSGAKQAEKGPNAGATETYEAKSKDKAKKKRKRKPKYPKGFDPANPGPPPDPERKDKRAAQIRGSQGAVAKEAASSSDTKSNQPANPNGASLFWKGERKLI >Solyc01g017110.1.1 pep chromosome:SL3.0:1:23796405:23798708:1 gene:Solyc01g017110.1 transcript:Solyc01g017110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGNKKIPFYSISLWGKNVVKKNSYLLTINNNNESTYFLSKTKYPIAKNGRKMTWPFTTIAHFKHKVVSSYPYESEKTLLFPIFVDLLHPTSNNSPDWIEFLKDAVVSVNITYFGIFKAYFLYKPIYSSLKNLEFINSFVKKGPKRILSDKILNGIYDWSYNRAYIELTNQQNHLLHIRSCCCSEVYIYEELKGTNDKICNKLLESIGIQIVHLKKLKPFLLEDNDTSQKSKFWINGGTISLVLFNKIPKWMIDPFNTRNNRRSSFINPDSYFSMIFHDQDNRQNRVKPFQRSSLVSNIFIPNDFPQSGEETYNLYKSFHIPCRSDPFVRRAIFSIADISGTPLTKGQIVNFKRTYCQPLLDMNLSDSEGMNLHYYLNFNSNMSLIHTS >Solyc01g108935.1.1 pep chromosome:SL3.0:1:95979201:95981553:1 gene:Solyc01g108935.1 transcript:Solyc01g108935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGFFRIFNPESSAKRMSDLNKLMFFHKISSQKIPSSYATYKNGKLPRNVFFRDRFNNMWPMGVTKIEGALYFENGWKKFIEDNNTLEYGDFMIFDYDGNETFDFKVLEMSGCVKEGAKCEKKKEVFLDLAGRRKAITCKVRNMIDRHGIDIFRSGSATKPKNPYFVAKIIAKRRNQQYVPIDVVRDYKLELPPSMIIRDSAGREFETKVKYWKDGRIWLVGGWRSICRWNLVEKNDRFICEFVREQCGKISFLQVRVLQEGSNSHPNNK >Solyc06g076100.3.1 pep chromosome:SL3.0:6:47386035:47389495:-1 gene:Solyc06g076100.3 transcript:Solyc06g076100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGVGKLSVCFTSGGAVEGRRRKDFPLMISDPLEDLGHSFCYVRPDQTRISSSKVHSEETTTFRSISGASVSANTSTPLSTAFVDLYSYNSIDRSSAFEGSTSFASIPLQPIPRNSSIYSGPLFSSGLVPASGPTERGFMSGPIERGFQSGPLDRGLYSGPLDRGCSDQFQRSYSHGFALRSRSRKGSFFRVLQRAISKTLSRGQNSIVAPIKGSISVKESDWVVGAEKQNELTISSVNFSSECSLDDDDTLDNQNVQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDFLVSNLYPAVHKELKGLLWDDKLDNNSSVTIPSPSLAVEESNQTIDDEFLRDSCSRCVEQENYPSAREDLSSDSRLKKKRGKGSKNRYRGVSKKWEENQRRWRCEWDRERLELDRRLKEQLNGNGSNGSGSVNHADVLKALSQALKKTEEAYLDLADRMNMENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKKEPNLWSQDLERINEETLKDLELFDGDESDCVPNLTAFQLSIDHSTSVEEEVQRIKSEHPDDPCALMNDRVKGSLKVTRAFGAGFLKQPKWNNALLEMFRIDYIGTSPYINCLPSLYHHRLGPRDRFLILSSDGLYQYFTNEEAVLEVEHFISWSPDGDPAQHLIETVLFRAAKKAGMEFHELLEIPQGDRRRYHDDVSIIVISLEGRIWRSSA >Solyc10g074610.2.1 pep chromosome:SL3.0:10:58224122:58227843:-1 gene:Solyc10g074610.2 transcript:Solyc10g074610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSWCQCARFSAYPLDTGIHGGRHIPEIVFSSVASGIWSKCGGNLRISMRDRSKNRKPLQKGRNLSIEAIQTVQALKRVANKNDDSAVEQVFNSKVRRLIKNDMIAVLRELLRQNQCLLALKASLFGLMTSGALQRRVERWYG >Solyc10g084745.1.1 pep chromosome:SL3.0:10:64281832:64283630:-1 gene:Solyc10g084745.1 transcript:Solyc10g084745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSAPVINKKRVKKQKQHKKALKFYKTCFGFREPFKILCDGTLVYTLVVKDIPLKTALENSLGARVELFTTRCLLAELEFVLLSKTLEAESLPLSKQEAESLPISKALEAAKSLCTVARLAFILFFLLLLSRAGCMLLQFSQQSFELCHILLY >Solyc11g069950.2.1 pep chromosome:SL3.0:11:54840630:54850462:1 gene:Solyc11g069950.2 transcript:Solyc11g069950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative FtsH protease [Source:UniProtKB/TrEMBL;Acc:Q84LQ3] MALMRLLTQVERQQSQLRHISSLFNRTYLSSGRIIGSEVHGVANTKQRFQSSYVGSLARRVREREETSNASFHRSDPEAVIRSFESQPSLHSNPAAVSEYVKALVKADRLDESELLRTLQRGIAGSARSHMEEENIGALSALRNVGKSTKDGVLGTRNTPIHMVAVEGGNFKEQLWRTFRSLAVAFLLISGVGALIEDRGISKGIRGLGLNEEVQPTMETNTRFSDVKGVDEAKSELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFAAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMRMTLNQLLVELDGFKQNDGIIVIAATNFAESLDKALVRPGRFDRNIVVPNPDVEGRKQILESHMSKILKADDVDLMIIARGTPGFSGADLANLVNIAAVKAAMDGAKAVSLADLEHAKDKIMMGSERKSAFISQESRKLTAYHEGGHALVAIHTDGALPVHKATIVPRGMALGMVAQLPEKDETSISRKQMLARLDVAMGGRVAEELIFGESEVTSGPSDDLKQATKLARTMVTKFGMSKEVGLVTHNYDDNGKSMSTETRLLIEKEVRELLERAYNNAKTILTTHNKELHALANALLEKETLTGGQIKALLAQVKSQQTQQKQHQSVSVESTPQSNPRPPQSPSAAAAAAAAAAAAAATAAAKTKGIAPVGS >Solyc06g072330.3.1 pep chromosome:SL3.0:6:44745760:44748723:1 gene:Solyc06g072330.3 transcript:Solyc06g072330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPLVVGTTIGAAALGARYLIRAWQRFKAAPRVRRFYPGGFDRDMTRREAALILGVRESAVLQKIKEAHRRVMVANHPDAGGSHYLASKINEAKDVLLGKTKGANSAF >Solyc06g048770.3.1 pep chromosome:SL3.0:6:31765021:31765914:-1 gene:Solyc06g048770.3 transcript:Solyc06g048770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKNLVHPLSTRSASKVCCYRQVFEMWLSKKGD >Solyc05g044620.2.1.1 pep chromosome:SL3.0:5:58077047:58078620:1 gene:Solyc05g044620.2 transcript:Solyc05g044620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSHGNSWADQWGSQNDVVDDHGSKGKNGGGGSGGKKMEKVTAVASASFGKAKSAAAVGADKAKAAAVVGAKKVKSGTSLGFKWIKEKCQKK >Solyc11g066050.1.1 pep chromosome:SL3.0:11:52020615:52021763:-1 gene:Solyc11g066050.1 transcript:Solyc11g066050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSFPIYLDHPNLHHLQQQPDHHQQGNPGLDNPQLLPPPTQVGGGPGSIRPGSMVNRARIAKLPLPEAGLKCPRCDSSNTKFCYFNNYSLSQPRHFCKNCRRYWTRGGALRNVPVGGGCRRNKRNKSSSNNNSAKSGGGGGLMGNSNNASTSGIPSINCSMEMIGHHFSQSSTQFTSLMGAFQNLNNYGGGLIQPPTHQLVGEMGFQIGSNNLLPSLVASNNFEHPTNLYPNFQNEGTTTTTTTTIEASNGVTQQVKMEDNNRQGMNSSTKQFLGTLENNNQYWDVANANANANVNSWIGFSSDLNNNSLSTTNHLL >Solyc07g054410.1.1 pep chromosome:SL3.0:7:62858221:62860654:-1 gene:Solyc07g054410.1 transcript:Solyc07g054410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHKLRDRRVCNLFVKEKERELDGLKHLLQKEREQAKETRRQMEDMKSNIHWLGTQKTDLDIKISEMKSTISSLKEEQRTIGVTLEEKQSEIKMLREKQMETKSDDSQVSLLSETLRQKDAEIEGLKHRLEFSPTKVLSVSADHPSNTATNFTTEAAGRRGNSRRRSGELHESINLEGQENSVKETDNKANDYKKKEPAGEGENTGKTGERFDNVKRKAGYGQSKQLATSQKDVPSSITAIFQTNKGQIQNTDNHKNKEESYLDEKTYTSETSKENEHDRNQVQKQNTEVGTNDKGVTKIEMQKNSNSRGTSRVSKDRMRTTRGKRRQIIAKHQVDESGMHLESRGIASMRNRKFLKVRTGTERVARVGGTILEIRDHQKDNDMDMDMDMRKKSDEEDYGIEMKKKIQQGEDSALQNNNQIRSEQGANPDTGRYRMQDVGTNHDDSPPGKTRPNPNNFADAEEGNQDVMKHDMTQKIEKEQEREVSNKETELLHSSQEEGFTNTALSKKSSITEEARNQKADEVLQLEEIISTIREDTEPRQAQNLSNSSEHVTIAERDVKEDDNQEVDYYQEPKEAEDHSGGSQDSRNQKPDNTARTEGETDRVREYRDQKHALNLHYLSNHVRTAERDLRDD >Solyc12g056580.2.1 pep chromosome:SL3.0:12:63474688:63482115:1 gene:Solyc12g056580.2 transcript:Solyc12g056580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4DFY9] MDTKGRLIAGSHNRNEFVLINADEVGRVTSVKELSGQICQICGDELEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRIKGSPRVEGDDEEDEFDDLDNEFDPHQTAEAALSARLNVGRGNPNASGYATPSEMDPAALGTEIPLLTYGQEEDGISADKHALIVPPFMSRGKRVHPVADSSMSFPPRPMDPKKDLAVYGYGSVAWKERMEDWKKKQNDKLLMIKHEGGGNNDGDELDPDLPKMDEGRQPLSRKKPIASSKLSPYRLVILLRLVILGLFFHYRIMHPVHDAYGLWLTSIICEIWFAVSWIFDQFPKWVPIQRETYLDRLSLRYEKEGKPSELAHIDVFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKFSIEPRAPEWYFAQKVDYLKNTVDPSFVRERRAMKRDYEEFKVRINGLVSIAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHDGVRDIEGKVLPRLIYVSREKRPGFDHHKKAGAMNALMRVSAVISNAPYLLNVDCDHYINNSKALRESMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPKKAKPPGKTCNCWPNWCCFCCKARKKHKKGKTTKDKKKIKGKDASTQVHALENIEEGIEGIDSEKASIMPQIKLEKKFGQSPVFVASTLLEDGGVPPGASSASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGCGLKPLERFSYINSIVYPLTALPLIAYCTLPAICLLTGKFIVPELTNYASLVFMALFISIAATTILEIRWGGVSLEDMWRNEQFWVIGGVSSHFFALLQGLFKVLAGVNTSFTVTSKAADDGEFSELYVFKWTSLLIPPLTLLIMNIIGVVVGVSDAINNGYESWGPLFGKLFFALWVIVHLYPFLKGMMGRQSNVPTIIIVWSILLASILSLLWVRINPFLSKGGLSLEVCGLDCD >Solyc04g082560.3.1 pep chromosome:SL3.0:4:66278866:66292646:1 gene:Solyc04g082560.3 transcript:Solyc04g082560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGASQGGPNMDQFELFFRRADLDQDGRISGVEAVGFLKGSNLPQPVLAQIWTHADQSRTGYLSRPEFYNALKLVTVAQSKRELTPDIVKAALYGPASAKIPAPQINLAAVASPQSNSVGAAPAQQMGAGLPTASQNFGIRGQLPHATGMNQQYLTSQAGHSVRPPIPTAATASRPQQFVAGMNFPRGGSFTGPGLPNSNSSNDYLGSRQAAISTGPTMQPPNRGMSPLVPPVTQTLQGSLSLPSMTEVNTKATGSSGNGFASDTMFGGETFSASQSVPKKSSSTPNFSMMSSPTSSAMVPVTTESHASAKPDPFAAFNTLTRQSPGNQQPVTPSVSKPNQQASVQNILPVSSSGTPAGSVPPTPEQPQVPWPKMTRAGVQKYAKVFMEVDSDRDGKISGQQARDLFLNWRLPREVLKQVWDLADQDNDSMLSLREFCVALYLMERYREGRSLPSTLPNSVMLDETLLALAGPPTAAYGSTGWGPASGVRPPQGMPGVQPVAHPGLRSPMQGALPQSDRAMQFNQQNARATTSVNNSHMDQLSNGEQNMSESKGEETAAEENKDESKDKMLLDSKEKLEFYRTKMQDLVLYKSRCDNRLNEITERALADKREAELLGKKYEEKYKQVAEIASKLTIEEASFRDTQERKLELQQAITKMEQGGSTDGILQVRADRIQHDLEELLKALVDRCKKHELNMKSTALIELPPGWQPGIPEISAVWDEDWDKFEDEGFSFDVAVPENSKSTSVQKESSPTHRESSDSMSNADAKSENHSAKGNNSTVETDLMYMHSDEESKSPQGSPREQTAFDSPSGEYSDNHFGKSFKTESETDRFDEPGWGTFDNNDDVDSVWGFSAKESDHVKHGEKHFFDSTDFGASPTRTESPGAESRYQKNSPFTFEDSVPGSPLSRAGTSPRYSVGSKDPFFDSFSRYDSFRTNDRASSPRKETLTRFDSINSASGFDHSRGYSFDDADPFGSSGPFKVSSESQNTKKSSDHWSSF >Solyc06g082650.3.1 pep chromosome:SL3.0:6:48452776:48455291:1 gene:Solyc06g082650.3 transcript:Solyc06g082650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDGNSNHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYLKYKSENRILPDGVNAKLLGNHGRLAARQPGRAFLTSA >Solyc06g068540.3.1 pep chromosome:SL3.0:6:42591077:42596283:-1 gene:Solyc06g068540.3 transcript:Solyc06g068540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSRNYTGEAPSELRWQRGKMLPVKREVDLENFSDEERCKLDKRFKLSSSLQQQLGEGACNFTVSSSFMSVDEPSPLGLRLRKTPSLLDMFQTMLGGTSMVESLGKKEQKRSTAMIEKLKASNFPGSVLRIGTWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIIGLKANYPDDAPGTLDIVLARQPLFFRETDPQPRKHTLWQATSDFTDGQASIHRRHYLECPHGLLGKHIEKLVQCDPRLNFLSQQAEMTLDSPYFESQMSGFEDTHERDREFDLNNEGSPNFIDYTSPSGAQCSSKSKQDPRPLECIHQEKPSPRSGASDDMESREVDQRKGLSNLNQLRVSGIHPSVSMSDLVSQLEQRVSEQTTLKVINLASDERPSSEILEEISQSLLSDTQNVSSSDEKSLMSRVNSLCCLLQKDPATVQASENCGDVSDERRVNEINFIPTAPLGRKDEEDSSMPEDESADLSSCKPTSTMSRKDSVGDLLLNLPRIASLPHFLFLCDDSTGNQAR >Solyc12g006310.2.1 pep chromosome:SL3.0:12:823307:829762:1 gene:Solyc12g006310.2 transcript:Solyc12g006310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQALRAIDAFPRAEEHLLLKTKFGAFVSIVGLLIMVTLFLHELSYYLNIYTVHQMSVDSRRGENLPIHINMTFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSDGHITGTEYLSDLVEKEREAHKHDVHKEHHEDSDKIHLQGIDEESQNMIKKVKQALADGEGCRVYGVLDVQRVAGNFHLSVHGLNIFVAQMIFEKSTHVNVSHIIHDLSFGPKYPGIHNPLDGTSRILRGTSGTFKYYIKGPNYLSLHHG >Solyc12g006700.2.1 pep chromosome:SL3.0:12:1164494:1166331:-1 gene:Solyc12g006700.2 transcript:Solyc12g006700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKERRDRSVSFDRTLSKNPLENEENVKDWEDARCPVCMEHPHNAILLLCASHEKGCRPFMCDTSYRHSNCFDQFKKSFEEASASTTQQVEIPASASITESTVTLSEALSELPGERTEGGSISLDALSCENHEIKKMLCPLCRGQINDWIVVDSARRHMNAKLRSCSSETCEFSGTYTDLRKHARQEHPLVRPTEADPERQRSWRRLERQRDLGDLLSTLQSSVSEEGSESTSLTFDEGGLLTVFLFVRILQPRSSSRSSSWSGSSRTRAQATGRRRPSRRLWGETYEGEIDTRDDENDDSDGGSGPRRRLRRQPTPEN >Solyc02g065370.3.1 pep chromosome:SL3.0:2:37127294:37129832:-1 gene:Solyc02g065370.3 transcript:Solyc02g065370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRGEDSDSDAPEELTSVQGIQNNEEIRKVEKEHKARVVRERKERRRQWAQKLTPRPKQNDESIEDTKEPEDAQESKDNRGMLPDEIVKILAAREKKVFSSDSEEEHEKQPTSKNKRSKSSGSGPVILKDLPPPPCLESSLAFLKKRKMQVSRSSAVLNNSSQALRLLSTSGLLRTK >Solyc01g014830.1.1.1 pep chromosome:SL3.0:1:15502933:15503442:-1 gene:Solyc01g014830.1 transcript:Solyc01g014830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLWKFGAIASTCICFVVSTVVADYSYDYTSHSPFYNSKKDYKSLSPYYKKPEKHVEHPPSHYYYKTHAPSKYYKSPIVAKYYKSHAPSQHYYKSPIVTKYYKSSGPSKHYYKSPVVEKYNKSPAPSKKYYKAPVPSKKYYKSPFPLKHYYKLPKPTKHYYKSPSPAN >Solyc11g051200.1.1 pep chromosome:SL3.0:11:17976620:17977271:1 gene:Solyc11g051200.1 transcript:Solyc11g051200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISLIHSCSTVQISHCVNIRWDHRSALFKLNSRIPLVRTSSECVIRRPRKAPEGTAPSPSPVWHAATRSRRGSRSSIPPTTDGFGTLTPVHSPQSKSFSQSYGSILPTSLAYIVPSTRGCSSWRPDAVMSMTGHGRHSVLRIFKGRQGSTGHHAMCGALRAAGPYLRLSRFQGGQAVKQKR >Solyc04g005880.1.1 pep chromosome:SL3.0:4:566557:567999:-1 gene:Solyc04g005880.1 transcript:Solyc04g005880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCGRRMVSSDQSKSIICDLPIDIQHRILELMPIKYAARTSILSKQWSQLWYTLPHLLFDHMFFQHVSNYAGGIIRKILMQHTGPILGFHLVSETRKLSQSYVDRCIVLVANHVIQKLTLDMANDELYTLPVSVFTCAALTHLKLSRCIVKFPDSNRFPKLVGLQLEEVEIDRPKQTTLILPMLETLELKYCDDVDYVRIVSLKLVNLSVLSTYAITFQCFNVNPIFRKIKHLCLDGSSLKKLGSVCPSDRLDVSLNLQSLKICDLKISVKRIMCALCLLRNSPNLVELDIDEVVKVDKTVYHTIELFDYLSKAEKKVSEALKLIRTVRIGKFKGTVTEMYLVQVILAHSSKLERMIVQQKMQKFERSDEILKELKCYHRASPSAEIKYSKTDSSAC >Solyc06g034231.1.1 pep chromosome:SL3.0:6:24065189:24065606:-1 gene:Solyc06g034231.1 transcript:Solyc06g034231.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFIKERVRAKIKGWKGLFLSLAGKEGGVNCCTHSQGDGKRKIYFERWDKLCEPKTCGGLDFRDMKAFNKAMLAKLAWRILLNPHLLLAKILKSKYFSNVSFMNATTSSPAS >Solyc01g090870.1.1.1 pep chromosome:SL3.0:1:84464671:84465195:1 gene:Solyc01g090870.1 transcript:Solyc01g090870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKVFGRFKCKLFNPCKKIARLFKFRLRKPLFIRRLKFRRSVHKTERLRRVEREEDQVMELKSFSEVELHNKAPFPSPLTPAYVRLSAATRKDVPVLDNAEDACRSFENYLVETIVEEGKMRDLADVEELLYCWKNLKSPVFIELVSRFYGELCRDLFSNSYEDNVNTPKRLL >Solyc06g065680.3.1 pep chromosome:SL3.0:6:41215889:41224636:1 gene:Solyc06g065680.3 transcript:Solyc06g065680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNATMATGSSNLKVPTMRITRARAKTLGSSGGLPPLHPSVRQDKKQGLVTQGTKSKRPAPDENKPANSSSTASQQPKRRAVLRDVTNVLCENPYMNCINGSKFQVKKFSDKRNSKVTPALLVKKPELEDRKESVIEEAKMVKVEESQEHCSQAHFKDHPFTQPSEYITAAQSGLVDLMPVNRSSCNDITLQTTTPKDESKVCLKQEGSNSLSIADIDSKHKDPLMCSLYAPDIYNNLQAMELDRRPSFNYMEKLQRDINKGMRSILIDWLVEVSEEYRLVPDTLYLTVHLIDRFLSEHYIEKQKLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYSKEEVVRMESLVLNFLGFQLAAPTTKKFLRRFVQASQASYEVPSVELEFMANYLAELTLAEYSFLKFLPSVTAASAVFLARWTLDQSNHPWNSTLEHYTTYKASDLKTTVLLLQDLQMNTSGSTLNAIREKYKQPKFKSVATLSSPKPVQSLF >Solyc06g082670.3.1 pep chromosome:SL3.0:6:48467060:48470155:1 gene:Solyc06g082670.3 transcript:Solyc06g082670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRPARCYRQIKNKPYPKSRFCRGVPDPKIRIYDVGMKKKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMTKSAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGVCARVAIGQVLLSVRCKDGNSNHAQEALRRAKFKFPGRQKIIVSRKWGFTKFSRTDYLRYKSENRILPDGVNAKLLGNHGRLAARQPGRAFLTSA >Solyc08g067765.1.1 pep chromosome:SL3.0:8:56829860:56830245:1 gene:Solyc08g067765.1 transcript:Solyc08g067765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEELGLTGAKPSWTPLDPNLKLTTTEVDEAGGVENDPILTDVGSNQRPDISFAVQTLSQFLQSPKKSHMEAAIRIVKYVKRQPAMGILLSSKKENKLTAYFVIQTGHHLQIQEDL >Solyc04g057910.3.1 pep chromosome:SL3.0:4:54964284:54966112:1 gene:Solyc04g057910.3 transcript:Solyc04g057910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMILKKSRRNSFDSIYCCMCRNPVARVQDYISRVRQAGVFSRVYNVVVSNDHENFHFGSIIADTYCGQCRMLIGWEYIQVPLWFVVRDGRFVLFLSGLCYWDGVPLLHLNEEQDLGANEENADQDGDAHEQNDANEQDVGVNEENTDQDGDATDQDGDSTDEDGDATDQDGDATDPDGDSTDEDGDSTDEDGDATDEDGDSTDEDGDATDQDGDVTDQDGDSTNQDVGVNEENADQDGDSTDEDGDSTDEDGDATDQDVDVTDQDGDVTDQDGDSTDQDVGVNEENADQDGGTPMN >Solyc08g016790.1.1.1 pep chromosome:SL3.0:8:9391596:9391751:-1 gene:Solyc08g016790.1 transcript:Solyc08g016790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHPMSFDRVCCPKAMMACQFPTSFDRVCFPMAVMLCHSQCSPTVCTFQWR >Solyc10g080770.2.1 pep chromosome:SL3.0:10:62092710:62104662:1 gene:Solyc10g080770.2 transcript:Solyc10g080770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSFISQIPVSKNHMGTEGNNTNTSRMSEFGVLEQYLGFRIGDGVNVNRSPLFNSTSAANPAVGFDVSGTINRNLAPSNTSLSTAAPGSHVIQLQSNLVPAAGTHPENWGESNMADSGSRTDTSTDMDGDDRNQRIETGQSRAIAASDSSDKSKEKVLDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLSQLEQDLQRARQQGKYISNMADQSNGAGASGTLAFDAEYSRWLEEHNKHINELRTAVNSHASDPELRSIVNNVTAHYDEVFRVKGNAAKADVFHVLSGMWKTPAERCFMWIGGFRPSELLKLLVNQLEPLTEQQLAGIYNLQQSSHQAEDALSQGMEALQQSLAETLANGSPATEGSSGDVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLQQMHRILTTRQSARALLAISEYFSRLRALSSLWLARPREQ >Solyc04g016090.1.1.1 pep chromosome:SL3.0:4:6808813:6808992:-1 gene:Solyc04g016090.1 transcript:Solyc04g016090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLHFMMVIFVYADEILINMKLNFLFYFLGSLKMLRRSHLFHIIIETTRSLEERVMDC >Solyc02g091430.3.1 pep chromosome:SL3.0:2:53355073:53361012:-1 gene:Solyc02g091430.3 transcript:Solyc02g091430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEGENSVYLNSPVSADNFSDGDIRRTTTSSSAVQQTLFLIQSDDPTLKVQAAKEIRRLTKTSQRYRRHFSNAVKPLVDMLRSESFESNEAALLALLNLAVKDEGNKISIIDAGALEPIVDFLQSENAILQDHATAALLTLSASPATKPIISASGVFSLLVEIIRHGSSQAKADAVMALSNLSTYQDNLLSILRAQPIPSTVSLLKSCKKSSKTTERCTALIESLMCYEEGRSSLISEDGGVLAVVEVLESGSAQSREHAVGALLTMCQSDRTKYREPILREGVIPGLLELTVQGTAKSRTKAQTLLRLLRDTPYPRSELEPDTLENIVSSLISQIDCEEQSGKAKEMLAEMVQVSMEQSLRHLQQRALVCTPADLSVPSCVSKITSK >Solyc08g042143.1.1 pep chromosome:SL3.0:8:23741279:23812428:1 gene:Solyc08g042143.1 transcript:Solyc08g042143.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREGLFTHDFMDQMLDSLARKGWYGFLDGYSVWFVIVPKERKRDQLIDLTCESAMQRQISPKHRHDGTSPLPLGMDWSPPPKNWAGRETVWPHDPQTGWSYCVTIPSWVVLAKTTNSDPIVIEFLSPSKVDKSFLLKVNQAYTLQRAEPVTCLYPHYLLSGWEFKDELVVVQGDHFEACCNLQSGLKPFVNSLCIESVMERIIEHADEALR >Solyc05g051620.3.1 pep chromosome:SL3.0:5:62842579:62847551:1 gene:Solyc05g051620.3 transcript:Solyc05g051620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDLPLSSSSTRKVKFAPKGPPRRKKQNPAQPKNEADGNEDRDDNEAAEAVLRKVNERLTRQKPKTEKKVEVAFAHGVASPTSTKTSGKSRELTVNQDSTLKDNESCDNMDIDSLPTLPSSTGPDLAEMSVNNSDSLLKRKKEYKEPWDYHHSNYPVTLPLRRPYAGDPEILNEAEFGEAAKNAEYDENNINPASELGLLEKKDDVQLLFLQLPANLPLSKLQASTGGRDTAVSLTLPGDKSDKAATLSSPMLKGKEVAGSAPRFLASAKGKEISDSSTISRRHNNTTNKVCSLQELPAGSMGKMLVYKSGAIKLKLGDILYDVSPGVECSFSQDVVAINTAEKQCCQLGELGKRAVVTPDVDFLLNNLM >Solyc01g100180.3.1 pep chromosome:SL3.0:1:90120247:90124140:-1 gene:Solyc01g100180.3 transcript:Solyc01g100180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRVAMCFSLSQFPRCRVPILTNSWWQSFHHISRIGRGSISSKVLATGKQGLEGRFNSIENRRTLTTKSEGNGKAAFSKKTTIRHEILDKTKKSEVDIYSSEITEIGSTQYVDIRQTIAENKDLAKLMTFIIFDIETTGLSRDHERIIEIALRDLHGGENSTFQTLVNPGCIVPNSFVHKITTGMVNRPDVPRMGDLIPILLRYVGSRQKPGGYVVLVAHNARCFDVPFLIKEFSRCSFDIPSNWLFVDTLPLARKVMKSGGSKPKLKLQDLGEHYEIPLVGSAHRAMADVHMLTAVFQRLTFDLKLTIPSLIEGHSFWPSEVGRSKKKKNSG >Solyc08g068130.1.1.1 pep chromosome:SL3.0:8:57279745:57280215:-1 gene:Solyc08g068130.1 transcript:Solyc08g068130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEEPAGNGEVKHCATSLESMIDFTLSHLGTNKIIAISTEVEKETPEVQTYTIEKVEEKANGKGVVCHKVAYPYAVHFCHDVGSTRTFMVSMVGADGTKVNAVSVCHEDTASMNPKALPFQLLNVKPGDKPICHFTLDDQIALFPSQNTDLQVAEN >Solyc02g011985.1.1 pep chromosome:SL3.0:2:14177788:14179076:1 gene:Solyc02g011985.1 transcript:Solyc02g011985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKEFFTAMHRGDIDGRIVTIEYDPNQNAFISLIHYKDGAIIGDTIVSCTQVPIKIRNVVPLSAGSGCCRETDSKRGEMGKIKITYWVGPFDIKKQLSNSQTSGECLGELENFGAISKCWLGKRPVVRGVVMNPTIPMGGGDGRALICRNHNPLGLSSTWKMKLKKE >Solyc09g047860.1.1 pep chromosome:SL3.0:9:33816531:33818814:1 gene:Solyc09g047860.1 transcript:Solyc09g047860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSSQKKKDFMRPTKSVGIDFDDKPLWNHVKVISMAPNGGGNRTWSCNYCNKIVTGSYNRVKAHFLRLSGHGVQICKENSGDIYALLKMEHEQAERKRTNVQVDARKKDDYISLPEGTDLIQQKKRKSSSSGAIGKSFGIYERNTADKLAARMFYASGENGIRKLAPNEDSEISLNRVKCFQRYFKNSNEMKQASLEYGSFCSGNGYFSEPHVIDAMMYEDSLSWWANHGVSAPLLQQLAYKLLTQPASSSCCERNWSTYSLIHNIKRNKLATSRAEDLVFVHYNLRLLSRKKEKYVNGPSGDRFDIDETTNDLTELSIDDPQIEGVIFEEEFEDLEEVEEDVEEIANLIK >Solyc07g008180.3.1 pep chromosome:SL3.0:7:2916900:2921396:1 gene:Solyc07g008180.3 transcript:Solyc07g008180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFQSSSSASWQNHQVPNYTAPEYRMDFGSSTKCNMNRMSMRTPITNNVHEERIVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNNQAKLACGSRKL >Solyc02g092600.3.1 pep chromosome:SL3.0:2:54285681:54287866:-1 gene:Solyc02g092600.3 transcript:Solyc02g092600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVGELMENYLAKIASDRNLSITSFINLAEVIPEQERITEDGMYSAIDIYFKAHPDLSDMERKKVCGVIDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQCLHNAMDGSQLVATKPPALVSSKMNHQFSADPEDSSLKRENQELKFELLKMKMRLMEIEKPNSNRSVTSSPLVITHPSAGKPPLPSNSNFMSSVSKKLRILVELMDSRKAKLEINQSKDRRHSIS >Solyc11g032137.1.1 pep chromosome:SL3.0:11:25412341:25413184:-1 gene:Solyc11g032137.1 transcript:Solyc11g032137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIFFLYNHHLCNQLNNPAVGIWATLCLIYGFKRELYLRKHYSKIGSYNFTPSLNKLRSFTVVGTFLQQNIEFSELNYQISSSRSPHIVEDTILSTALLLNSNMKV >Solyc10g074920.2.1 pep chromosome:SL3.0:10:58693817:58699045:1 gene:Solyc10g074920.2 transcript:Solyc10g074920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTHKQSFSSKFLILAASFVLVMFIKNANCAPFDEAGNEGEMKDEAAWTMVQKSGNQFVLNGQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQQASAVGLSVCRTWAFNDGQWRALQKTPGVYDEDVFKALDFVVSEAKKFKIRLILSLVNNWEAYGGKSQYVKWGKAAGLNLTSDDDFFSHPTLKSYYKAHVKAVLNRVNTFTNITYKDDPTIFGWELMNEPRCESDPSGDKLHAWIEEMAVYVKTIDPKHLVQIGLEGFYGPSTPNKAQINPNSYAQQVGTDFIRNHQVLGVDFASVHIYPDSWISQEISDAHIGFTKTWMQAHIDDAENYLNMPVVFSEFGVSAKDPGYNSSFRDALISTVYTVLLNSTKKGGAGGGSLLWQVFPEGTDYMDDGYAIVLSKALSTSNIVSLHSKRLNTYNSLCSWKCHWGCKKKHVLDNFQLREEL >Solyc04g051378.1.1.1 pep chromosome:SL3.0:4:50406419:50406637:-1 gene:Solyc04g051378.1 transcript:Solyc04g051378.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKISNDTDLLHPSTELEKQKHKIVRFVQSPNFTFENVKCQVLLITTISKHSQTVVTCPIC >Solyc02g087450.3.1 pep chromosome:SL3.0:2:50526441:50530862:-1 gene:Solyc02g087450.3 transcript:Solyc02g087450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVKKAYAGIILNMAKEAAARVMESEKKALKFQQDLHSTKEEALRMLLRLKLMIDAKTAEAESLSQNQQRRIDELEAQLNEAEGLIIDLRAELHDVHEQLNEAKNKPLHHLRPHAKEVLNCRKSIMAKSNVNNSESLKFPTELGSKVCKSADMSDTALCNYSKDNLNEPEIYRNGWSATAMSLADDRLHSGDDPSFPIKVTQVTEPSGRDGEARILPSSKAIKAENLVGEEPLKGIATMQGPYTILGKRRRKARNGKTKNSSCKARSNKLMFSQRPLPAISRCSARYLHTDTLYDSPNCPSINTEKNNVAGSSFVSGKEGPQNKGLTVAVARRSIRKRRVKYLDVNFPPSLSHSSLSNQPMRPGLQCSSFPNSKSNAAECTVKSTKLGGEGGIEEGTGFQGASSGFTVENKKHRKFARTDNDAHKEDAELVDVSVMVEEGDGQPLLNFGVLPVESIFGDTKASEGSNESNLQGNNMTPLKYMFSRKRKKDNLSNPNENSSPDCLVKKKSVEIENIDPRLQDSEALKDSPLRSKHLVQVAHQLISLSGRSWWH >Solyc09g074990.3.1 pep chromosome:SL3.0:9:67196108:67211057:1 gene:Solyc09g074990.3 transcript:Solyc09g074990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIPSPFFNLSNRISRGVRKLATMSLTSHSSTFLLPNLPADDGPALTYALVILNQSLPKFTPLLWKHAKVHICADGGANRVFDELPGMFPHEEASEIRKRYKPYAIKGDMDSIRTDVLDYYRGLGTKIIDESQDQDTTDLNKCVTYIRDSLNSEHPNLCILVTGALGGRFDHEMGNINVICCFPSMRIILLSDDSLIQLLPSKHHHKIHIQSLVEGPHCGLIPIGMVAGRTSTTGLQWNLAQSNSSFHPMVPLPIHIKSFSIPELILFKQRTGGLYSFSSSHSAHCGCVVNSPFKEFISCVQPSQGSQFDYRHCPYNQASAIEMDGAAAASSPSTIKPINKSVVHRICAGQVILDLPSAVKELVENSLDAGATSIEVSLKDYGSESFQVIDNGCGISPQNFKVLALKHHTSKLSDFPDLQSLVTFGFRGEALSSLCALGDLTVETRTKNEQIATHLTFDHSGLLIAERNIARQVGTTVTVKKLFSTLPVRSKEFHRNIRKEYGKLITLLNAYALISKGVRLVCTNSALKNAKSVVLKTQGSGSLKDNIITVFGMSTFTCLEPLEVCMSDDCTVEGFISKSGYGSGRNLGDRQYFFVNGRPVDMPKVGKLINELYRGANSRQYPIAIMNFAMPPREFDVNVTPDKRKIFLSDEGSILHSLREALEKIYSSNHASYAVNSIQEVDQKHTSTLSHLKAFQFQSKQLLSDINDDQEGDCVGKLHKEGHFLKKSQELNDMPVTEIMLNDGHRSTEKDFSLRFHGKKKDNNSSRSSLQEIGGLPTAITDRNALTPCSKDKSCIDNSRYVNCASIVQSSLTKFVTVNKRKHESMSTTLSEVPILRNGSTVHPSEEDHTLKNTASLRSPDNPVKADKCDEVTISESGSSKISKIDRFLHQMKHSRMGKVLDQTNDFSPPGNSIQIGTSEQEHEVQMNELCVTEPVPLDSTCNNIHDVSENRVDASSSEQPASLTLDDAPKASSNSKIASTLQFSVKELVSRRNQRLSRLQLLNHTSQTMKTKRDYAAATLELSGSENEEAKARALIDATNELERLFKKEDFTRMKVIGQFNLGFIIGRLDQDLFIVDQHPYLSPISGVFSFWRHGPLKLELSPEEEIVISIHNDTFRRNGFLLEEDPCAPPGHRFKLKAVPFSKNITFGIADMKELISILADSEEECSIMGAYRNDTADSLCPPRVRAMLASRACKSSVVIGDPLGRNEMQKILDNLSRLKSPWNCPHGRPTMRHLVDLRTVHRRLEADDTTL >Solyc04g050963.1.1 pep chromosome:SL3.0:4:49109993:49110580:1 gene:Solyc04g050963.1 transcript:Solyc04g050963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSNLDEEVYRAQPPGFTSNLNYFLGVEGIRRSSGLILTQANYVNEILNDEIMTNSKSVTTPISPYELLILSDGTHLTDSACYHQDLGRLQDLSFTRTYIAY >Solyc01g102420.1.1.1 pep chromosome:SL3.0:1:91119197:91119523:1 gene:Solyc01g102420.1 transcript:Solyc01g102420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKGSRKMWWVVPSCTSRSSKNFKTQPVVDIEEILKMKLETIKEEPEISEENVKLTKTNTMSKQLVKKIQLKVKKGRVFSHKFSLKECYVMFMTGLATKGALNGLPRY >Solyc03g093940.2.1 pep chromosome:SL3.0:3:57062070:57063590:-1 gene:Solyc03g093940.2 transcript:Solyc03g093940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDIIIIKKGAWSPEEDQKLKDYVMRFGIWNWNLMPKFAGLSRTGKSCRLRWMNYLRPDVKRGPFSMEERERVIKMYQQLGNRWAAIAGELPGRTDNEVKNFFHTHLKKNLGQINNIDAPVQCRRVKKQKKKAQEKPKLFVDISSPTMGSSSSISSIITFDQNEKIDMEKTVILESNPSLSSSHSNIDGFDQFVDTTSFWLHLLNHANREELYYENS >Solyc05g010080.3.1 pep chromosome:SL3.0:5:4275714:4279684:-1 gene:Solyc05g010080.3 transcript:Solyc05g010080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYISRELLTIKILHKMASSKLIYLSSFCIWLVMANAQAPAASPSTPITTPPPTTTPVAATPPPSTTPVAPSQPPVTSAPPPIVPPPKVAPVSAPATPPPQPPPIPPVSSPSQPPVLPPPAPVASPPAVPPPQIAPAQTPPSPAPVTPPVSPPKAPPVSAPTPVAPPPAVISPVAAPELAPAPAPAHGKHKRKKHKHKHHHAPAPAPTVPSPPAPPTVQDSVDVTPAPSPTLNLNGATFLLQGSASGMWMNAGLAMTILLAIII >Solyc08g066200.3.1 pep chromosome:SL3.0:8:54693506:54710179:1 gene:Solyc08g066200.3 transcript:Solyc08g066200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSESLTPPPVVAAAAEAAPPPKKQGVTKPLSLAGPTEADLQRNEALENFLKESELYESEEETARREEVLHQIDQIVKSWVKQLTHQRGYTDQMVEDANAMIFTFGSYRLGVHGPGADIDTLCVGPSYVNRDEDFFIILHDILAEKEEVSELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISDQSVLHNVDEPTVRSLNGCRVADQILKLVPNAEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARICQFYPNALPSMLVSRFFRVYTQWRWPNPVMLCPIEEDELGFLVWDPRKNPKDRTHHMPIITPAYPCMNSSYNVSPSTLRVMMDQFQFGNKICEEIELNKAQWGALFKHYLFFEVYKNYLQVDIVAADNDDLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEFVDLSKPCPHCAFFTGLQRKQGVKVQEGQQFDIRGTVDEFKQDVSMYAYWRPGMDIYVSHVRRKQIPPFVFPDGYKRPRQPRNTSHSTPEKVAKDCMSPEERQPKRKQETETVHVNLGKPGKRASISPQRIGSVSPLGSSCRSDGSSQIIISDESQRELESSCLMDSSDDRSLHRILRSRSDSSPSDSSICTPDSLNYTTLRGSTLLGVSREVELDSSNTKSLPNKEVLSPCEDICSRDVQTFQVLQNDEKGEILGSLHQDIVGQLNEPCIQTRCAESLERVTVSNSNTQNLTCEGDISLADRISQLGDGCLSGNGELGNGLAEMSQPNLSLTRAMEPQDGTSSEAVQEAAIRLSLESTA >Solyc01g096020.3.1 pep chromosome:SL3.0:1:86989256:86993162:-1 gene:Solyc01g096020.3 transcript:Solyc01g096020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDSIECVSSSDGIEEDEIPQLHPHIIRSQFSSSKTTTHKNNNGSSILCEGNNGGIAIHPATSVHELLECPVCTNSMYPPIHQCHNGHTICSTCKARVHNRCPTCRQELGDIRCLALEKVAESLELPCKFGSLGCPEIFPYYSKLKHEAACNFRPYNCPYAGSECAAVGDIPYLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFNCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETDAQNYTYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPDEGACIPNLCTEYS >Solyc05g026380.2.1 pep chromosome:SL3.0:5:41075779:41084876:-1 gene:Solyc05g026380.2 transcript:Solyc05g026380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKSKFPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGLNIISEMVQVETVAQFGVIFLLFALGLEFSTAKLRIVRAVAVLGGSLQIILFMCLCGITASLCGGKASEGVFVGVFLSMSSTAVVLKFLMERNSVNTLYGQVTVGILILQDCTVGLLFALLPILGGTSGVFQGMASMAKLLVVLLMFLTILSMLCRTCVPWFLRLMIGLSSQTNELYQLASVAFCLLVAGVL >Solyc07g032570.1.1.1 pep chromosome:SL3.0:7:40452979:40453128:-1 gene:Solyc07g032570.1 transcript:Solyc07g032570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLRFNKNLILLFLYITNVYKLILPLPWSTGNNTKYFFLFVLLLFRAI >Solyc01g097620.1.1 pep chromosome:SL3.0:1:88273941:88274256:1 gene:Solyc01g097620.1 transcript:Solyc01g097620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDSSDEWEDDGIFKTVAIEREESEYTEIKDLAKKYIVPFLPAKSLMKFRAVSTEWNHWIVTFRLFLSNSGC >Solyc01g096990.3.1 pep chromosome:SL3.0:1:87847147:87853239:1 gene:Solyc01g096990.3 transcript:Solyc01g096990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDVLGSSTAPLTWHDFLQRMRDPSAAEFVKSIKSFIVSFLNNVPDAERDSAAVQEFLGNMETAFRAHSLWAGCSEEELESAGEGLEKYVMTKLFTRTFASLPEDVKVDEQLHEKIALIQQFVRPENLDIKPTFQNESSWLLAQKELQKINMYRAPRDKLVCILNCCKVINNILTSVSAKDNPPGADDFLPVLIYVTIKANPPQLHSNLLYIQRFRRQTRLVSEAAYFFTNILSAESFISNIDARALSMDETEFETNMESARALLSGLSADNNVLDQSDQNAGPVPGADTSDAKQSFRSNRPPHPATQPNLSVASTETNIKNEDQYSKSQSSMEKIPSLSDLEHRGASMLIKEDSISQVFQSFPYLYSQAGDLTVGDIEELLTNYKRLVIKYICLAKGSGIDNPSPPLPNNEEQTLPPEFEFNTESEAASAGEPIDETQKDEPIDETLKDRVEDSSVLPKSLESDELKLKENEQLSSKGEEGS >Solyc08g062430.1.1.1 pep chromosome:SL3.0:8:51154621:51154839:-1 gene:Solyc08g062430.1 transcript:Solyc08g062430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPTAQRRVSPYRIVRKTFAASLRAKSVERSALLRRFWFGFFADGLVSPCSFFHAMVLSLRLVTLESEERKC >Solyc11g040300.2.1 pep chromosome:SL3.0:11:38152201:38155981:-1 gene:Solyc11g040300.2 transcript:Solyc11g040300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVILGHRLYKMKKKTKGLELKVAVDDKKVSQFMSRAARLNEAFSVVKRVPVIQPQLSAAGVSAWPVVSLR >Solyc03g119770.3.1 pep chromosome:SL3.0:3:69811697:69814258:1 gene:Solyc03g119770.3 transcript:Solyc03g119770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVKEMVDDGARKEEIEGYFFCQITIDSHQPFGASEAFFIFIADRGPLKRWKYNYTSLCPFAGPSKKDRHSKINTAHGPRDRRMRLSLEIARKFFNLQDLLGFDKASKTVEWLLTKSKSAVNDLVQKINKDKCSGSENPNIATVSSPSAESCEVIDESAATNTAETQKQQKKKHQFANFQYSGKLWEA >Solyc08g045850.3.1 pep chromosome:SL3.0:8:16166346:16171056:1 gene:Solyc08g045850.3 transcript:Solyc08g045850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVPSTFTGTCWRRRRRMNTLLLPPPSQLPYQFHPRRQILGQHSSTSHLSISNQFNISIEEEEEEEDDDVGSYSNQRYDFTRLLQFLSTTEPNSDNSSPTQLDPTELRLAESYRAVPAPLWHSLLKDLSSTPSSISIAYALVIWLQKHNLCYSYELLYSILIHALGRSEKLYEAFLLSQRQTLTPLTYNALIGACARNGDLEKALNLMCRMRRDGYQSDYVNYSLIIQSLIRSNSIDLTMLHKFCYEIEADMIELDGQLLNDMIVGFAKAGDVDTALGFMSVVQGNGLSPKIATVVNLISELGNSGRTDEAEAIFEELKEGGLKPRTRAFNSLLKGYVKTGSLKDAEYIVSEMERSGVAPDEHTYSLLIDAYGNAGRWESARIVLKEMEANNVQPNSFVFSRILASYRDRGEWQRSFQVLKEMKNSGVNPDRQFYNIMIDTFGKYNCLDHAMSTFERMKLEEIEPDTVTWNTLIDCHSKHGHHNKAEELFEVMQESGCSPCTTTYNIMINSFGELEKWEEVKGLLSKMQSQGLLPNVVTYTTLINIYGQSGRFNDAIECLEVMKSAGLKPSSTMYNALINAYAQRGLSEQAVNAFRIMKGDGLKPSLLALNSLINAFGEDRRDAEAFAVLKYMKENDMKPDVVTYTTLMKTLIRVEKFERVPAVYEEMLLSGCIPDRKARAMLRSALRYMKSTLKL >Solyc03g110885.1.1 pep chromosome:SL3.0:3:63089546:63098762:1 gene:Solyc03g110885.1 transcript:Solyc03g110885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTISDIMEEVGCSSGKEKISNGSTRMDFDIDDLFEVDDDDWDEDEDEDEDDSHDMVLIKELGESFLKNFCKKASTGFFEKYGLISHQINSYNDFINYGIQRVFDSVGEIHVEPGYDPSKRGDGDWKHASVKFGKVTLERPKFWAGEKFSVDGGKEYLDLLPRHARLQNMTYSARIMVETHVQVYTKKLVRSDKFKTGVDRFVDKEWEVEDKRDVLIGRIPVMVNSELCWMNGVDKLDCEFDHGGYFIVKGAEKTFIAQEQLCLKRLWVSNNPTWMVGYRPGEKRKRVYIKLTETLKLEHIKGGEKALSVYILAEMPIWVLFFALGVSSDREVVNLIDVDIEDTTIVNILVASIHEADKNCEDFRKGKKALAYVDRLIKNCKFPPQESVEECINAYLFPNLSGFKQKARFLGYMVKCLLHSFIGRRKVDNRDDFRNKRLELAGELLERELRAHIKHAERRMVKAMQRDLYGDRQVQPIEHYLDASIITNGLSRAFSTGHWCHPYKRMERVSGVVATLRRTNPLQMTADMRKSRQQVTYTGKVGDARYPHPSHWGKLCFLSTPDGENCGLVKNLASMGLVSTIILKPFLETLFRCGMQKLVDDCSTSLHGKQKVLLDGEWVGVCEDSALFVSKLRRKRRRNEVPHQVEVKRDELQGEVRIFSDPGRILRPLLVVSNLKKIKALKGGDYGFQSLLDNGIIELIGPEEEEDCRTAWGVEYILKADKENPPAKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQSEKHSQQAIGFSTVNPNNRVDTNTHQLYYPQRPLFRTMLADSLGKPKCAQYQKGMLPRPEYFNGQCAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHVRSYKAEVDNKEAMAKKLKIEDSVNFGKTQSKIGRVDSLDDDGFPFIGANLQSGDIIIGKFSESGADHSVKLKHTERGMVQKVLLSANDEGKNFAVVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTIQGIVPDIVINPHAFPSRQTPGQLLEAALGKGIALGGGQKYATPFSTLSVDAIMEQLQGRGFTRWGNERVYNGRTGEMVHSLIFMGPTFYQRLIHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGAAANLHERLFTLSDSSQMHICGKCKNMANVIQRTVQGGKVRGPFCRFCESVEDIVKVNVPYGAKLLCQELFSMGISLKFDTEIC >Solyc01g056720.3.1 pep chromosome:SL3.0:1:56274108:56283964:-1 gene:Solyc01g056720.3 transcript:Solyc01g056720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small basic intrinsic protein 21 [Source:UniProtKB/TrEMBL;Acc:V5YNY6] MGVSRRSLVISDFIMSFMWVWSSVLIKMFVHKILGYGAHDLKGEILKHAISVINMFLFALLTKATKGGAYNPLTILSGAISGDLTNFIFTVAARIPSQVFGSITGVRFIIAAFPNIGRGPVLSIDIHRGALTEGILTFAIVSISLGLSRRSRASTFMKTWISSLSKLTLHILGSDLTGGCMNPASVMGWAYARGDHITKEHIHVYWLAPIQATLLAVWTFNLLVSPSKDKEAKKTEKKSE >Solyc07g045310.3.1 pep chromosome:SL3.0:7:58532818:58537896:1 gene:Solyc07g045310.3 transcript:Solyc07g045310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVTKILQPVLLPPFFHKLDGTTNSHFRLCRRNRRNISIRCSSISTTETNKSTKTQNIPWGCETDSIENASNLQKWLTESGLPAQKLDLQRVNVGERGLVANNNIRKGERLLFVPPSLVITADSKWSNSDAGDVLKQYNVPDWPFIATYLISEASLMKSSRWSNYISALPRQPYSLLYWTQSELDRYLEASQIRQRAVERINNVIGTYNDLRLRIFSKHPDLFPEEIFNIETFNWSFGILFSRLVRLPSMDGRVALVPWADMLNHNCEVETFLDYDKSSQGIVFTTDRAYLPGEQRLP >Solyc03g032050.3.1 pep chromosome:SL3.0:3:4586489:4595777:1 gene:Solyc03g032050.3 transcript:Solyc03g032050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQEQDPPTFNSKSLEKKPGILFIGSPNVGKRALISRLLSVDSEDAFESSSNILSYPWAINTKYYNADVSVWMAHLHEDFSIGALPAYDQLVALVMVFDVTDLSSFVALKDFVSRTDILKFEILLCIGNKVDLLPGHSAHVEYRQLLLKSADSSGSPYSEMDSGISETEGSSLLGDDDSSFDAKRSYLEWCLEHSIEYVEACASNAEFDKCLSMDGDSQGAERLFGALSAYMWPGMILKSGDKITEPSLPEHQELSDEESDYELEYEILSAGSADPWDDTDVGWVSADATVVTTGTEGSVPNGKTEIRLIRGEMQPSSSTSQLEGESDRKELPRADADDGDSEDDKGTTYDFENLEQLMSEIGNVRDSLRLMPDFQRREMAANLAMKMAAMFGDSSGDEGGLE >Solyc02g086460.3.1 pep chromosome:SL3.0:2:49761704:49779431:1 gene:Solyc02g086460.3 transcript:Solyc02g086460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDAEIPEIKSIKEENLLGEVYTISDKLKMEKDKRDRSLSEFEGTSDVENVKDLIKGSYGTTEGENFYASPLVSKAVEENGSSVEAPVSVNTPNKSDEQMSGSSFHQEEKAEEKRVEIVSAGIQNPSEESETSCEMNNVEKNTSIPLTVRGDVSSEKYKTEGADAEAIKSPGDANDEKVVKDENDYNGDRNIFISPNSECQRGEDEKKETGDGEGARNVLFNSFVDVVEETRSDYAESDTSGKHGDNSIEKVNQDVADHPEVEQKTEELEIEEKPIIEEKTKEPIVTEKHAGSAVEEKPEEPAVEVKTEEPVVQEKPEETEVQEKFERTMVEEKPEELVVEETPKEPTREKTSKELEFEEKPEKKIQVVDLNDKSENELPCNTNNDAANFAEFEEPAVEEKPEEVQKPDLPMVREKYEEPMVEEKSEESFEEKTQKPEAGEKPEEPTAKEIPEYLAEEEMPEELALEEKPREPKVEETLEVLDVEEKSEKKAIIQEKPETEALQENNSPIEQSKNKTDNAIQCNTDNAIADHESVEEKTEETVVENKHEELTIEEKSKEAVVMKKPELVVEEKSEKPVAGEELEEPSIEETLEEPAVEDKPEKPVTEEAPEERTQEETPNEQAFEEKHENPVTEEAPEEPTQEESQKDLAFEEKPAVEDEKPKKVSLLEKKMLVVDTKDNTDSDIPSNTNNDAAYYPESAKKTGEPAVEEIPETPVFEEKRDVSETKEKPEEPTVEEKPEPTAEEKPKEPTAKETPEELAGKETHEELAFEEKPEEPTVEDIPEKPAVMEEEKLLTEGLLEKNLPIVDSKDKIDDANPCNTTNNDIANYASVEEKPDEPVIGKTHEELAIEDKSEESVVSEKLEELMVAEQPTVEEKPQKPAVGEKHEEPAVEEEKPEKNMLIVDLNNKTGSEIPCNAGNDVAHFSDTEENPEESAFQEKTEESVIEQQPDVPAVKEKPEEPTLEEKTEVLVEEKPQEPASKERPEEAAAKETAEELAKEEAPEELALEEKPEESTVEETPEELVLEEKDEKTAIKEKELVRKALQEKKLSIVNAEDNIDNAIPCNTNNDIADYALVEEKIEEPVVEEKSEESRILEKSEEPAVEKIPEQPTIEEKPENPTVGEEFELPSVEETIEEPKIEEKPEKQVIEETPKELKFFLQNMLIVDSTEKTGSEIPCNTNNDVEYSPDSEENPEESAVEEKTEEPLIEEKPDVPAIKEKPKEPTFEEKSEVPVEEKPEELEMTEKPEEPAAIETPEELALEGKPEELTVEDTSEELLVEEKDEKPAIKEEEPETEALQEKNLPIVNSKNTTDNAYPYNTNNDIADYASVEEKTEEAEVEEISEESSIEEKSEESRVLEKPEEPSVEKIPEKPTIEEKPETSTVGEKFEEPSVEETIKEPKIEEKPEKQVTEETPKELALEEIHKEPAMKEEKPEKVTLLEKNMLIVDSNTKTGSEIPCNTNNDDAHSPDIEENPEESAVEIKNEELVIEEKPDVPAVEEKPQEPTFEEKSEVPAEEKPQEPATEEKPQEPAAIETPELALEEKPEEPTVEDTSEELVVEEKDEKPAIEEEEPEMEALKEKNFPIVNSKNTIDNAIPCNTNNDIADFTSVEEKTEEPIVEEISEESAIEEKSEESRILEKSEEPAVEKIPEQPTIEEKPENPTVGEEFELPSVEETIEEPKIEEKPEKQVIEETPKELAVEEMHEETAVEEEKPEKVTLSEKNMLIVDSTEKTGSEIPCNTNNDVEYSPDSEENPEESAVEEKTEEPLIEEKPDVPAIKEKPKEPTFEEKSEVPVEEKPEELEMTEKPEEPAAIETPEELAGQEIPEELDLEEKPEEPTVEDTSEELVVEKKDEKPAIEEEEPETEALQEKDKTDDAIPCNTNNDIADYASAEEKIEEPVVENKHEEQAIEEKSEETAIPEKPEEPVNEKKPDSLAIEEKPKETTAEKKLKEPLVEEIEEKPAKPITEEAPEEPKWEETPDGLLFEERPALEEETSKKVALLEKNLLVVDSNDKTGSGTPCNTNNDVAHPPQVEENTEDSVVEEKTEEPAIEEKLDVPVVKEKPEEPVVEEEPQEPAAKEAPEELEGEEIPEELALEEKTEEPTVEKTPKEIIVEEEKPETEALLEKNLPMEDPKDKIDNAIPCNTNNDIADYESVEEKPQELVVEKEHDETMIEEESEVLAVMEKPEEPAVEKNLEELAVEEECEKPTVEVKFEEPSAEEKLQEPMFEVKPEKTISEETPKESAREETPNELACEEKAEEPAVEEVKPEEPTGEEEKPEKNLLVADSKEKTDSKIPCNSSNDAAQFLEDDKNPEKPAAVKKLVIEEKLDIPAIMEKPEEPTIEEKSEEPVEEKPKEPTVEEKLEEPTTKETHEELAGEEAPEELPLEQKPEEPIVGETSEEIAFEEKHDKPAIEEEKPEMETFLEKNMPIIDSKDKNDSEILGNSNNDVAYYPTVEDKAEVQVVKEKHEKQVIEEKCEEPAVDEKTDEPAVTEKPEEPPAVEKETEEQVLEEKLEEPTVEKSEEPVVEETPEDLAVEKNLEKLVTEEKPEKVTLLEENMSAIDSNGKTDTETACDNNIDVADYPAVEEKTEEPMVEEKHEEPIVEDKAEEPVTNEKLQKLAIAETLEEQARAETPEDLTIEEKPNKPAVDEIPGELAIEQKSEKQVVETVTLLEKNMPDMDSSRSTDKINGEILCNDNSDVSDYPAVEEKHEEIVIGEKYEDLAPKDKLKEPVVSEKVEELMVEETPEKLVVEEKLDETAAGEETVDKPAPEETPDKQVAEEKHEEVAVEEKLEKAAAEKVTSLEKNKHVVDSNDKTGNEISCNTNNDIAEIEDETENSAVEEKLKESTVEEKPEELAIEEKLEEPETPDKPAVEGTPEKLVDEKPQEISCNNNEVEETPEDSAVEEKPVESTVEQKPEEPVVGEKLEESKVEEKPKEPAVEEKSDKPVIEGTLEEPAAKETPEQPMIEQTPQEPEVQEKPKEPTAQEKLEEPAIDEKLEEPTVEEKPEEPAVREKSKQPAVDEKLEEPAAIGENPEELAVEGKPEEPAVEVTPKPKIEEKPQESVIEEQSQEPEVKETPEEPVVKETHEELAVEKKPEELATEEQVVDQKLEEPTVEVKPEEATVQEPAVDEKHEEPSVEEKPEESAVEKFEEPTIKEKPEEPEDPAVKEIPEELAVKEKLEEPIIEEKPKEPPIDEEPKKQNREETQAATERIDESQTHIIEKEEGLIGKQAERFVKETAQPCKEVETEIKELKDIDAGDESNNNALQKEEMTLDELPTSVKQEMAANNYEEGKVVSEIPNQENVRQANLTTEEANDARGAENVTELSSEEMRVEDCTNKVESSDFGLQNHHKYTDADKLELQNREIDISYILDTPIEKETNGRNSEKISESTEELIHQTFEISEENKAAVVTGTNMLQSCAGPQEQLKHQLAELGEEKQAGGIADTNTEKPYIAPVQEIINKSETEDISSADCLEEENSLRTNQEKLKEGENSEVKTDENIDRGDEFQSIMMLKGVEKDDHKQHIKHNTCAVMDTEEQNEDSPAGEQTSKKLEGLEKVDIDDNNNINHQRTETNLSEEATESKSECVAMEIKTPIKEEEQEEDLRETTGEDSSNNTTQVQKEEETTMSEPERKSLEPFGSERKTAAGFGEMITCNETKVTEHFTSLKSVTVSEKEMDDMVSNESNTTKQIQEQAAYPLLTLEREETIPTSSTDANGTPKDSITPHVELGAEAKNIQYMQEKCKISETEQHQENYENKKEVECDSKEVPEESISRETQAKATLSDLVHVSTKEASKIEEDFAEEREGSDREEEGIQKTRDESSSEDPVIVEISKDADIKVPPKKHQNILSGVGSKVKHSIAKVKKKEFTLYKVVSTQEKQSENVLEVP >Solyc03g096090.3.1 pep chromosome:SL3.0:3:59365975:59373541:1 gene:Solyc03g096090.3 transcript:Solyc03g096090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAEESLSGTGQRQRQPLMGSIRSSDEELSLFLEMRRRENDRNNNRFLQKSDEFDPLGSKSGSSPAFNIAPAAPLRKTRTDEFLNADNDKTDYDWLLTPPGTPLFPSLEMESRKTMMSQLGTSRAHPTALTSRLTNSLPEATSRSNLASRQLASSPGLNTSSSSLRRPSSSGGSRPSSSGGSRPSTPTGRPTLSSSRSTSTSASRSTSAAATKAMASTATSRSMSTTTTSRPSRSATPTSRATMPSAKSTVPPRSSTPIARSSARSSTPTSRASVTGSKSTSRAATPTRRATSVSSTTNTTVPPVKPVSSPSGTRSATTASRNPAASRASSPTVKPRPWKPSDIPGFSLDAPPNLRTSLPDRPISATRGRPGAASVRSSSVEPASNGRVRRQSCSPARGRPPNGVMHSSGSSVPVPSMSRLHAKAKDNVSPGTVGTKMVERVINMRKLVPPKQDDKHSPHSNLSAKSSSPDSSGFGRSLSKKSLDMAIRHMDIRQRVSGNLRPLMTNIPASSMYSVRSGPPTRGRTSRSISVPDSPLATSSNASSEVSVSNNVVCIDGSEIDEDISSDKGARSPASVRGR >Solyc03g065180.1.1 pep chromosome:SL3.0:3:42311400:42313369:-1 gene:Solyc03g065180.1 transcript:Solyc03g065180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLIVEISGENLTTYGYGRPEFESGIYTSRSDVYCFCVVMLELLKGRLSYD >Solyc10g150000.2.1 pep chromosome:SL3.0:10:53913434:53914119:1 gene:Solyc10g150000.2 transcript:Solyc10g150000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NIIVKGRNPPHVSELVLQLGKEIEVKYFEGGIHLNQSKYVVELLSKIEMTLAKVVATSLGKNMVWMKMMVMRFQYLILTRLDITHDFIKIPNIQHLQGVKTILSYIKRTIHFRLIIISQSPCRLYGYYDADLGGCTTTRRSTKGYSINLGANFISWTSKKQNTVARSSAKTEHRELASNAAEMTWIFYLLYDLGMFF >Solyc01g014760.1.1.1 pep chromosome:SL3.0:1:14939223:14939495:-1 gene:Solyc01g014760.1 transcript:Solyc01g014760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNQAVAQSHASTSSSRSVAAISTTKAPMQVENSINILFNFSQNKTTSTICPIHNKYIFSPIPKTIFSSGGSSSDNPTYNLFQKMIVSTL >Solyc06g071760.1.1.1 pep chromosome:SL3.0:6:44339620:44339961:1 gene:Solyc06g071760.1 transcript:Solyc06g071760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVTITTKSFLILILILISFLDSIPFLVISTVVLVGTTVLIVLAVRTTIITWIMVLVLLAFTRKRRRGVVKDGKSITSEVAMYAANVVFKERGLFAITGTAIILGFTSMALYC >Solyc05g026385.1.1.1 pep chromosome:SL3.0:5:41093729:41094187:-1 gene:Solyc05g026385.1 transcript:Solyc05g026385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVRFLVLADSPNHRRLPPLLSPPVFEQNVNVKDLNVGWITSSRVHSGTHFPLSKTLTTFSLSNSAMPNPGFCFLLVISLCFFVLFSSADPISGDSENAVVVNAAEFNSSSLARSEEGSFANMIDRALEKEFNETEEQPGGQSHLLFPSRF >Solyc05g052600.3.1 pep chromosome:SL3.0:5:63659104:63662442:1 gene:Solyc05g052600.3 transcript:Solyc05g052600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLQSLKSSSLFGESLRVAPKSSLKVSRTKNSSLVTKCEIGDSLEEFLSKSTSDKGLIRLMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLADKLLFEALTYSHFCKYACSEEVPELQDMGGPAEGGFSVAFDPLDGSSIVDTNFTVGTIFGVWPGDKLTGITGREQVAAAMGIFGPRTTYVLALKDVPGTHEFLLLDEGKWQHVKDTTEIGEGKMFSPGNLRATFDNPDYAKLIEYYVKEKYTLRYTGGMVPDVNQIIVKEKGIFTNVTSPTAKAKLRLLFEVAPLGFLIEKAGGYSSDGKQSVLDKVIVNLDDRTQVAYGSKNEIIRFEETLYGSSRLKAGAPVGAAV >Solyc08g029190.3.1 pep chromosome:SL3.0:8:38031749:38039657:-1 gene:Solyc08g029190.3 transcript:Solyc08g029190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPTGKKKTQLISANVKHGTSKAFDEDTAVFITMSQELKEEGNKLFQKHDHEGSMLKYEKALNLLPPNHIDVAYLHTNMAACYMQIGLGDYPRAITECNLALQVAPKYTKALLKRAKCYQSLNRLKLALRDVNLVLSIEPNNLTALDIAGALEQKGVKIEESEVVVEPPVSTKVVVKSKKKKSNKFDRKKVEEKVVVEEKKSVKEEKIVTRTVKLVFEEDIRWAQLPVDCSIRLVRDIVLDRFPNLKGALIKYRDQEGDLVTITTTDELRLVESSAAPQTSLRLYVVQVSPDKEPVYEGMSGDEDMNSSSYKSTILTDDGHLEKERQSNKGTTCVEDWIIQFARLFKNHVGFDCDPYLDLHEIGMKLYCETMEDTVTGEEAQELFGIAAAKFQEMAALSLFNWGNVHMSRARKRVYFTEDSSRESMLKQVKSAYDWAEKEYEMAGRRYGEALRLKPDFYEGLLALGQQQFEHAKLSWYYLIGSKVELETGTCAEILELYNKAEDSMERGTEMWEEMEEQRLNGLSKNDEYKALLQKMGLDGLLKDESAEETEEQAANMRSQIYLLWGTMLYERSVVEFKLGLPTWEECLEVAMEKFELAGASQIDIAVMIKNHCSNETALEGFKVDEIVQAWKEMYDTNRWQTGVPAFRLESLFRRRVSNLHTVLESF >Solyc04g051073.1.1 pep chromosome:SL3.0:4:49396567:49396833:-1 gene:Solyc04g051073.1 transcript:Solyc04g051073.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKKRKMARKTGEVLTCQGNEKSVMLRKNVTLKATLGATGVAPQLQPPPEMYPHPPPWMSCTPPYMTKRLG >Solyc01g103605.1.1 pep chromosome:SL3.0:1:92057787:92058660:-1 gene:Solyc01g103605.1 transcript:Solyc01g103605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDCIRALVRQIGQYGVCINHESMHLRWKLWWQFVSNLQFSPVLNLSKHTAHSEKTGEFLQVLIRHFCTCSIAATTLNNHTIFSSLSY >Solyc03g005230.3.1 pep chromosome:SL3.0:3:132855:137361:1 gene:Solyc03g005230.3 transcript:Solyc03g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSILSGSDNFKLLSGISPSGSSFLGSELNLKCLPQKGFVNLRATRTLRPTKCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPAELHARNLQVVDVGGGTGFTTLGIVKHVDAKNVTIIDQSPHQLAKARQKEPLKECKILEGDAEDLPFPTDTFDRYISAGSIEYWPDPQRGIKEAYRVLTIGGVACLIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKKAGFTQVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPYAGESPLQLGPKVEDVSKPVNPFVFLMRFLLGIMAASYYVLVPIYMWIKDQIVPKGQPI >Solyc11g072900.1.1 pep chromosome:SL3.0:11:56364142:56365022:-1 gene:Solyc11g072900.1 transcript:Solyc11g072900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDVKDRLTELVSQTFYIYIYILQRLVAEPSTSSRSDNILQRLLTGVLHAKDDNARKEMKKVISSLCLTSLILSLFEATSVDDNRQQDQGVLMGEIAREADNLQWLVDILIDRKMGDEFVKLWAEQKELSILHSKILTMYRHEISKITAQLCIAIGRGNLLVPKDVRYCLLSTWLESLYDDFGWMKRAGKSIDKKLVEEGLGQTILTLPLAQQQTILLNWFDRFLNKGDDYPNIQRAFEVWWRRSFVKQYTADSQLQLAIFDYTE >Solyc11g045210.2.1 pep chromosome:SL3.0:11:31692000:31701021:-1 gene:Solyc11g045210.2 transcript:Solyc11g045210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVADLETVLKTLESALSQIKWRLKLSSKRRLETDILALCTEMRPVVMVDYGGKMPELQERLCAFLKHCKEDCSIFKPLHVMVIEDMIYLVHARAFAEFVKSSLNLETRLIFVDLEHDPPKMITQAEESCLAAELVLAQKTFSSIFSENGIKMDHLEHQKPEVKANTDSSLYKPTSSLSSDVIDLSDCIKDSHVTAPTLNGWLLGYPIVYLFGMAHIENAIYNLSTKSLNLFQVLVCRSARCNKGSQAQKEELMSFSVPYDLSLEGMDEPWVETFLTRIKAKQERCNQIWTSLQMEVNSCYPQAIALYIRTGPPQAIA >Solyc11g006210.2.1 pep chromosome:SL3.0:11:958525:959827:1 gene:Solyc11g006210.2 transcript:Solyc11g006210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRMYIVVQDSLGGNIIKFIRCLQLQIFLAFIFGVLMNLRQGTGVFLEFTETSKLICY >Solyc08g065430.3.1 pep chromosome:SL3.0:8:53501858:53507696:-1 gene:Solyc08g065430.3 transcript:Solyc08g065430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSGSVSVDMETISVTGKEHLVKTGRGYVSVAVFGDQDKPALITYPDLALNYMSCFQGLFYCPEAFSLLLHNFCIYHISPPGHELGAAVMSLDDPVLSVDDLADQIVEVLDYFRLGKVMCMGVTAGAYILTLFAVKYTQRVMGLILVSPLCKAPSWTEWLCNKVMTNLLYYCGMCTLVKELLLLRYFSKEVRGSVEVPESDVVQACRRLLGERQSPNVLRLLEAMNERPDITQGLRKLKCRSLIFVGESSPFHSEALHMTSKLDRRLSALVEVQACGSMVTEEQPEAMLVPLEYFLMGFGFYRPSQCNVSPRSPLSPTSISPELFSPESMGLKLKPIKTRFSEEV >Solyc04g050210.1.1 pep chromosome:SL3.0:4:46085142:46086469:-1 gene:Solyc04g050210.1 transcript:Solyc04g050210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDSWDIGAVVRSCNFNRPDNVVAPELEFETHLNNILNSFDALLDPEMTIFNGSSETFSLDFQTAHQEKPDAIVTSFDINRVYNVVAPNLEFETYLNNILNSFDALLAPDMTIFNGLSEIFSLDFQTAHQEKLDDQITINQSCNQQLYLDMIQQSQFIQQSSFPPLSTIVACVPPTTTTPKECIDLQQQLDIGANIYPNLTNVMKTPLIQTTTRKNQSIRITYELLQEELTNDIWTWRKYGQKHIKDSPFPRNYYKCSTSKLCKAKKKIEKSPRDEKIFLVSYSDEHNHDPPMNHKKHASCNSSYELKLPKGINIVPKTSTLSASNSSSKCVRHSSDVASSIITTMPPLEIRREKNDCCDCGGQM >Solyc02g014385.1.1 pep chromosome:SL3.0:2:16610410:16611467:1 gene:Solyc02g014385.1 transcript:Solyc02g014385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRTKSDASLLVRHGAGDMLFALVYVNDIIITGNNSLSVNRVITSLASKFSIKDLGNLHYFLGVEVIRSSNGLILTQANYVNEILNDELMTDCKSVNTPMSASELLTLSDGTHLTNATRYRRVFGRLQYLSFTRPDIAYAINKLSQFMQAPPDLHWKASDGDWGGDIVDRVSTSGYILFLGHNPISWSSKKQNIVSSSSTESEYRAVANALSETLWVTNLLNELRFPVHQLPTIYCDNLGATFLSKNPVLHSRVKHVAVDFHFVRHYVDIKKVRVVHVHGADQIADTLTKALSKSAFESNLFKLGLVTHRLT >Solyc09g030450.3.1 pep chromosome:SL3.0:9:31540703:31544028:1 gene:Solyc09g030450.3 transcript:Solyc09g030450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLHPIVLHLLLPLSLLLFFPYVIADLTSDRQALLDFASAVAHLRNFKWNTNSSICTWHGVSCSSDGTRVVALRLPGLGLYGPIPDNTIGRLDALTTLSLHSNALTGNLPSDITSLPSLRFIFIQQNKFSGEIPSSLSLLLKFIDLSFNSFSGEVPTTIQNLTHLTGLNLQNNSLTGSIPNVNLPKLTQLNMSNNQLNGSIPQSLANFSASSFQGNSLLCGQPLTQCPPSPSPSPSILPASPTIPENHKGKKSLSTRVIIGIVAGGIGGILCLALLILLCCMKRYYTKRGIQQKKDFNGGGSPKQTEDFSSGVQAAEKNKLVFFEGCSFNFDLEDLLRASAEVLGKGSYGTTYKAILEEGTTVVVKRLKEVVVGKREFDQQMEVIGTVDQHRNVVALRAYYFSKDEKLLVYDHVPEGSLSTRMHGNRDLGRTLDWESRLRIAHGAASGIAHIHAVSGGKLIHGNIKSSNVLLTQDNSGCISDVGLTPLMGFPTIPSRSAGYRAPEVIETKKCTQKSDVYSFGVLLLELLTGKAPVQPPGHDEVVDLPRWVQSVVREEWTAEVFDTELIKFQNNEDEMVQMLQIAMACVANVPETRPGMSQIVQMIEDIQQIDSGNRPSSEDNKSRSPTSPTP >Solyc01g010937.1.1 pep chromosome:SL3.0:1:6416524:6422425:1 gene:Solyc01g010937.1 transcript:Solyc01g010937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQKLKNPPSSSSSSRQPAAAVAAVEQQPAAAVAASRSRQPQQPAAATSRSSQPQQPAAATSAQQLDNVFTVTVDNASSNDVAVLELSKKLDMWGTNLMEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDASDDLDLSKMALGMKEKFKKYWGTPEKMNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSDISQNMSKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLEDQEPEYEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSTGGRILDPFRSSLTPKCPRVKRNNFVGT >Solyc06g030550.1.1.1 pep chromosome:SL3.0:6:19468842:19469045:1 gene:Solyc06g030550.1 transcript:Solyc06g030550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFLLVYVAREIKLGENLDSLLAFLSLLKTHHLLSHTSPITATTVRLEIENNKCHRAESYLYTLFIQ >Solyc01g008770.3.1 pep chromosome:SL3.0:1:2730761:2750090:1 gene:Solyc01g008770.3 transcript:Solyc01g008770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLAAFERPRIGSSSAVFKSGPLFISSKGLGWKSWKKRWFILTRTSLVFFKHDPSTLPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALAQAPSAALVMGQDGIFRNDASDSFEGSFHQWKDKHPVKSFVVGRPILLALEDIDGGPSFLEKALKFLEKYGTKVEGILRQSADVEEVERRVKEYEEGKTEFGPGEDAHVIGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARVNAMRSAILETFPDPNRRLLQRILKMMYTISSHQSENRMTPSAVAACMAPLLLRPLLAGECELEDDFDFNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDDNLHRCSISADSQIGHSGSEESSDNENMDMKDNGYHDAENEVDPDTDDDCDRILSGKLSESSGSAASDLYDYKGVGGNDSDIGSPRDGCLQGVKLKPKVDPQPLAGSNVSLHEQLERRGNEINAFHELAVTESQRSMGEILSSMDPGPPLGVPVPDSGIEKPSGKLTPSNQNVKRSTFWGRSNGRSTPSTESIDSSGEEELAIQRLEITKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQLSGSRAMDSKTRAELEEIALAEADVARLKQKVAELHNQLNQQRQHHYGSLADACDRYQNGPNHNSQLKYYQQDFDTTLAFCNHERRQRNEELLGADLRNMKGQVLTSTSGMRQPTRKQLRETSLSDSKSTEASTGLSVGDCDAVDSSPLHPTSKATEAMDYTRHPSAASSTLVELTTRLDFFKERRSQLMEQLHNLDLNYGSTSHQPMYKPSSPPWN >Solyc05g056040.3.1 pep chromosome:SL3.0:5:66271259:66277116:-1 gene:Solyc05g056040.3 transcript:Solyc05g056040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin response factor [Source:UniProtKB/TrEMBL;Acc:K4C2S9] MVDQLRFSQQKYLNHMPFKGDDDLCREIWKACSGSLLDVSKAGERVYYFPRLHVEQLEQSSNQELIEKLQLSNLPPKILCRVLHIRLLVEHETEEVYAETILIPNQDQNEPTAADFSPLDNPRPQFQSFCKCLTQSDIKSNWGLSVPLKDAVKCFPPLDMRQEKPCQELIAKDLKGNEWRFKHAHQGQPRRHSLTNGWSTFVTSKKLLAGDLVVFLRDETGKLHVGIRRLSYQHCSVGASTFSRQSMEVVLAVASHAFATKSLFFVYQKPCYNKSSQFIMSMSKYFEGGNHGIGVGMISRMQIESEDYCHVRRTNDLEQISLSQSQQTTNFMLEEDQYMQDSEAVLDCAQSTMIDLEIRQQTIGSLNNIHCFAPVEDNGLQLHAAVARENNEGSIPKDTVSTHTRDEDLYELFKDFDFPDSTNTSLDTIALDLGSDWFRSTLQEQEETVTPSQPNASEDHSRRTSSVLEHPNSFRVMSISSNPSQIPYEGPGRGDEQVPWGGYQVARRTLPILSRVVSRYPDSLVNFRVASSILQSVYLEILAELVYFLDNLTIVNLSKDQFNVARQHIWDLKLSGIEIGWLENRLLHIDEVFSMESLLQRRQALTRRMEETFKQLDGELGCIDKELHELSLKVGPNSPMRLQSVLEGLL >Solyc06g082780.3.1 pep chromosome:SL3.0:6:48505872:48509628:-1 gene:Solyc06g082780.3 transcript:Solyc06g082780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNSCNLLKRTVTLVARTNTVNNGVRPFLGVDYKFGCYCGPTRMVGGYYFSSGSNGGGENKNGDSITHEEAKRLMRLVNVEELKWKLGMKNTEVIGYMDLLKACKNMGVAKTHDEAVGFARVLDEAGVILLFRDKVYLHPDKVVDEIRKAVPLALLPENDPTIEELKILQEKKDKIDELAHRHVRRVLWAGLGAGLLQVGLFFRLTFWEFSWDVMEPIAFFTTSAGIVIGYAYFLVTSRDPSYQDVLKRLFLSRQRKLIKKHDFDIQRFVELQKKIKLPVNSQSSIKHRLGMELEPEDLLHGH >Solyc06g065320.3.1 pep chromosome:SL3.0:6:40848599:40856347:1 gene:Solyc06g065320.3 transcript:Solyc06g065320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKNMSFVILLLVLVSRPFITAAESEQQKQQLGIDSGKVLELDESNFDAAISSFDYILVDFYAPWCGHCKRLAPQLDKAASILADLKKPISIAKIDADKYKRVGSKYGIDGYPTLKIFMHGVPTEYYGPRKADLLVRFLKKFVAADVAILNSDSAISEFVEAAGTSFPIFIGFGLNESAISHFAVKYKKRAWFSVAKDFSDKTMEFYDFDKVPALVARHPNYDEQSIFYGPFEENFVEDYIKQSLLPLTLPITEETLRLLKDDERKVILTILEDETDDRSKKLLKLLKAAASANRDFVFVFVGFKQWQGFAESFDVSKKTKLPKMVVWDGDEEYFSVVGSESVEDEDQGSQITHFIQGYKDGNIIQKRISSGSFMGFINSMIGLGTVTIIVFVVAVVMIIQSLKEEPLTVGTRDEGDHPSSSTSQTEARQPLRSGEKQDKED >Solyc04g026276.1.1 pep chromosome:SL3.0:4:17556949:17559167:-1 gene:Solyc04g026276.1 transcript:Solyc04g026276.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLCSPGNSAASSGVNFMKTSLAGSITLRSLPEIVLAEGYWEIAGYPANTWWKNMGQIGLTMQIMWQQLLINRLVGYDTILMNSLLTSPGEGIKYSRPQNLLSVYLLSTAYPEVLCSTTIFHDVGHSTV >Solyc06g009690.2.1 pep chromosome:SL3.0:6:3633144:3639509:-1 gene:Solyc06g009690.2 transcript:Solyc06g009690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSLNEELLLLSRPISESLSKAPYTPPEGSSVSIKSMLLSLLSDSQLEIRDFSLCCAALASAAKSTYKQLFWVPNSLSLAAASAFKDLSKAYATMAAGNHDLIKFGELDLDFKPLPNDKILAIHLIPQLLPLLKDTIKESAIDKSIDGHEISAASASVPVAYAIIAAYQFRWLISQVDYPHLGKVCSWVIPCALTALDHWSSEVKGQGMISLIYLAKNVNAAEIGWYEDVILDACCQNIASDDEIWEHVVQISVLMVTFTQKSNPRSIWYEKMLNEMLSHLERQPRNKERRVAWLQHIEPLFNGLGLILLSHFRRLFPLFFRWMHADDDRTVLLVLERIKTIVKLTWIRNSPYIERLVDELVSLFKEAALKIAREEIRKLVLQTIILIQQSKGSQFKTAWDKHKDDPDLTIIHRSLIKQQPAMVVASAVLANFLLQNDPVLI >Solyc09g018785.1.1 pep chromosome:SL3.0:9:17001351:17008591:-1 gene:Solyc09g018785.1 transcript:Solyc09g018785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELDRLCTDVDALAFAPPPPPSFGPSLPIVGDKVLLALFGEDISSAGRKHMRSNVDIGDEDDEHAKSRSDVLDFHEMNNAGDRLVSALYLKRRK >Solyc12g038045.1.1 pep chromosome:SL3.0:12:49470849:49481775:1 gene:Solyc12g038045.1 transcript:Solyc12g038045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDERMVVVEEEMVMITITWQKGEGMETGKKDHFFDDGIHSGLKHSMTGTKNLNASQFYITLRDNLNTLDGERTVFGEIALGFDTSNRINGTYVDDKDDLMQKDEELGVCEEKEAHSVAVLLESVRVIPDAEIKPLTMCSLFMSNTKTDNQRIRVDFSQSVAKLLSEYRPRNQRSSVCFNNGSVDAMKQKEEDTSGMVEM >Solyc09g065860.3.1 pep chromosome:SL3.0:9:64354715:64362224:-1 gene:Solyc09g065860.3 transcript:Solyc09g065860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASSFPATFTKLRYPFSETLLSKREDFPVWIKCYASPLSTKNATGTSLCQAGFRTRKWSLLQVSCSREREIPVIEAGCMDDIYDALAEHLVPTAAAASSPNFKHIVGLAGPPGAGKSTVASEVVKRVNKLWPQKSRSFDSQVEPPEVAIVLPMDGFHLYRHQLDAMEDPKEAHARRGAPWTFDPNLLLECLKTLKDQGSVYCPSFDHGVGDPVEDDIFVNLQHKIVIVEGNYLLLRDGAWKEVSSMFDEKWFVDVDLEKAMQRVLKRHISTGKSPNVAKWRIDYNDRPNAELIMKSKNNADLVIKSIDELR >Solyc03g115380.2.1 pep chromosome:SL3.0:3:66589720:66592560:1 gene:Solyc03g115380.2 transcript:Solyc03g115380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEFSLGFIYGFIFWRLIRTLGEYAMVTSDHTENTFCFGFILEEKMVKICCIGAGYVGGPTMAVIALKCPSIEVAVVDISVARIAAWNSDELPIYEPGLDDVVKQCRGKNLFFSTEVEKHVSEADIIFVSVNTPTKTRGLGAGKAADLTYWESAARMIADVSKNDKIVVEKSTVPVKTAEAIEKILTHNCKGINFQILSNPEFLAEGTAIQDLFHPDRVLIGGRDTPEGQKAIQTLKKVYAHWVPEDRIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVTQVSNAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVANYWKQVIKVNDYQKSRFVNRMVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDNAHLSIYDPQVTQEQIQRDLSMEKFVWDHPTHLQPVIPYGLKQVNVVSGAHEATKDAHGLCILTEWDEFKTLDFKRIYDNMQKPAFVFDGRNVVDASKLREIGFIVYTIGKPLDAWLKDMPAVA >Solyc05g013890.2.1 pep chromosome:SL3.0:5:7340300:7345813:-1 gene:Solyc05g013890.2 transcript:Solyc05g013890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKGGIFRYGDGVDKLLMFFGTLGCIGDGLMTPLNMFILSSLIDDYGGASNDNNSFTNSIVDKYSLRLLYVAIGVGISACIGGICWTRSAERQTSRIRMEYLKSVLRQEVSFFDKQDGSSSTSFQVVSTISADAHSIQDAIAEKIPNCIAHLSTFIFGLILAFYLSWRLALASVPFSLGFVIPGVAFGKLLMIQGMKMKDAYGVAGSVAEQAISSIRTVYSYVGENETLKRFSIGLEESLNLGVKQGLTKGLLLGSMGMIYVSWAFQSWAGSVLVANRGESGGRVFISALCVVLGGLSCMSALPNISFIVEATTAAARIFELIDRVPQIDSEDGKGKILAYVRGDIEFKEVTFIYPSRRDVQVLQDFSLKVKAGKTVAIVGGSGSGKSTVISLLERFYDPIKGDIFLDGHKIKRLQLKWLRSQMGLVNQEPVLFATSIKENILFGKEGASIKMVVEAAKAANAHEFVASLPDGYDTHVGQFGFQLSGGQKQRIAIARALIKDPKILLLDEATSALDAQSERIVQEALDQASQGRTTIIVAHRLSTIRRADKIVVVESGKIVESGSHDDLMCKTDEEGGVYFKMVKLQQSTANNEGPSSPYLPNETRSYMRRGYNMPRSPYVATSSWQNSPASSFTPAISASYAPTIHTCSYYGSDDEYLENFSHPSPSTWRLLQMNAPEWKIALLGCLGAVTFGVLQPLYAFCLGSVVSAYTSNDISKIKSEIKIYSIVFLSIGVTSFIANLLQHYNFAKMGEKLTKRIREMVLSNLLTFEVGWYDRDENTSAAVCARLSTEARMVRSLVGDRMSLLLQVFVSASTAFVLALIVAWRVAIVLISIQPLLIASFYSRSVLMKRMSERSQKAQSEGSQLASEAVINHRTITAFSSQDRMLDLFAETQKGPRKENIRQSLLSGAGLFCSQFLTTAAIALTYWYGGRLMNRKLLTSKHLFQVFFLLMSTGKNIADTGSMTSDLARGSSAVASVFAILDRKTEIEPENSEGIKVIKVLKGKIELKNVFFYYPSRPDQAIFQGMNLKIESGKTVALVGQSGSGKSTIIGLIERFYDPIKGQVLIDDRDIKSYNLKSLRSQIALVSQEPTLFAGSIRENIIYGKEEATESEIKKAAIRANAHEFISAMEDGYETYCGERGVQLSGGQRQRIALARAILKNPTILLLDEATSALDSVSENLVQEALEKMMMSRTSVVVAHRLSTIQKADTIAVIKNGKVVEQGSHSQLLALGNNGSYYGLMKLQSGHSPYR >Solyc10g005180.3.1 pep chromosome:SL3.0:10:139451:145665:1 gene:Solyc10g005180.3 transcript:Solyc10g005180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLPFPLISSSLSSSLPLLTSSNCKICSISISPFTHKRLLSHSNLQNFGFSSRGRSNSLFVSQEDTQLTNEEESSISTATQQDDDDPDPESLEYVSQIKRVLELLKRNRDMLFGEVKLTIMIEDPRDIERKRLLGIDDENAPTRDDLAACLEEINEGEVPKDRVALQMLAEEMNSWPNLEVEALKQNKSRSLYAKATDTGIDPKEAAKRLKIDWDSAAEIEEAADSDEPDVPPILGYGALYLVSALPIIIGISVVLILFYNSLQ >Solyc01g097030.3.1 pep chromosome:SL3.0:1:87880302:87883983:-1 gene:Solyc01g097030.3 transcript:Solyc01g097030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVKSLERGMANHELILGQNNDLAVGGENQEIVFDHTHPMGISQNHNNELELAQNHNHELELAQNHNHELELAQNHNHEHEMGIGQSQDHEGNHEHEYDHENGLSMEQKPEHENQELPTENNELDISEHDELGIEENQELDDNLELAVVESHDMGVEPAHEYELHDGQMVLASSTHVLQARTMSTTPDFELHVGQEFPDVKSCRRALRDTAIALHFEIQTIKSDKTRFTAKCASEECPWRIHAAKLPGVPTFTIRTIHDNHTCGGIAHLGHQQASVQWVANSVEQRLRENPNCKPKEILEEIHRVHGITLSYKQAWRGKERIMAAMRGSFEEGYRLLPQYCEQVKRTNPGSIASVYASPDDNCFQRLFISFQASIFGFLNACRPLLGLDRTFLKSKYLGTLLLATGFDGDGGLFPLAFGVVDEENDDNWMWFLSELHTLLEVNTENMPRLTILSDRQKGIVDGVEANFPTAFHGFCMRHLSESFRKEFNNTMLVNLLWEAAHALTIIEFEAKILEIEEISQDAAYWIRRIPPRLWATAYFEGTRFGHLTANIVEQLNNWILEASGLPIIQMMECIRRQLMTWFNERRETSMQWTSILVPTAEMRVADALERARTYQVLRANEAEFEVISHEGTNIVDIRNRRCLCQGWQLYGLPCAHAVAALLSCRQNVHRFTESCFTVATYRKTYSQTIHPVPDKSSWREMTEGDPDAAQAVEVIINPPKSLRPPGRPRKKRVRAEDRGRVKRVVHCSRCNQTGHFRTTCAAPI >Solyc05g015437.1.1 pep chromosome:SL3.0:5:10573459:10581449:1 gene:Solyc05g015437.1 transcript:Solyc05g015437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMTGMVHTPRSKVSKLKWTIDTGTTNHMISILDVLHDMKIFKTEQNMKVYLPNGGITLVTHTDLGLGSVKPAWSPLEANVKLTELDCLTGDCDDEPFEDKEQYQRLVGKMLYLTMTRPDIAYSVQTLNTLEAAVRVMKYIKREPGLGILLSSRQSNKLSVYSDADWTSCPNTRRSVSGFLIKHVSRSSTEADYRSMANAVSELVWIIAILKELGNEIDQPDVYLAITCPDIQFAVNRVAQRMHQPSEHDNHCLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFLGRT >Solyc01g020490.1.1 pep chromosome:SL3.0:1:30908627:30909358:1 gene:Solyc01g020490.1 transcript:Solyc01g020490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFTNIHELLCPVVTHFTTAYLTLQSIQKQKQGERFSIDFEVKKVYMCMERMLDYEERFTMDIQLDSYDQLKGEFRFQIAMNSRKLRSLTDWLMRFGGQTPELTKFSIRVLSLTCSSSVVK >Solyc04g015970.3.1 pep chromosome:SL3.0:4:6513721:6539610:1 gene:Solyc04g015970.3 transcript:Solyc04g015970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKEKEKEDMRNSRRQLKAMLRKNWLLKIRHPFVTCAEILLPTLVMLLLIAVRSKSDIRIHPAQPYIRQGRGMFVEVGKSDTSPPFNQVLELLLAKEEYLAFAPNTAETRMLINVLSLKFPVLRLVTKVYEDEEELETYLRSDLYAAYDQNKNHTNPKIKGAVVFHEQGPQLFDYSIRLNHTWAFSGFPDIRTIMDTNGPFLNDLALGVNTIPILQYGLSGFLTLQQVIDSFIIYAAQATMTNLQRLPSHSLDSDAQLKIPWTQYSPSDIRLAPFPTREYTDDEFQSIVKKVMGVLYLLGFLYPISRLISYSVLEKELKIKEGLYMMGLKDEIFHLSWFITYAIQFALSSVLLTVCTMSTLFQYSDKTLVFVYFFTFGLSGIMLSFMISTFFTRAKTAVAVGTLTFLGAFFPYYTVNDETVSVIVKVIASFLSPTAFALGSINFADYERAHVGLRWSNMWRESSGVCFLVSLLMMLLDSLLYFAIGLYLDKVLHKENGFCYPLHSLIQKCFGRNRKNRNNSASTSEVKFTENYDEICSTDFIKDVSRPTLESMSLEMKQQESDVVLHNISRCIQIRNLRKVYATNRGNCCAVNSLQLTLYENQILALLGHNGAGKSSTIAMLVGLISPTSGDALILGKNILTDMDEIRKSLGVCPQYDILFPELTVKEHLEIFADLKGVSEDSKEKAVTEMVDEVGLADKLNTVVKALSGGMKRKLSLGIALIGNSKVIILDEPTSGMDPYSMRLTWQLIKRKKKGRIILLTTHSMDEADVLGDRIAIMANGSLKCCGSSIFLKHQYGVGYTLTLVKTAPGASVAADIVYRHVPSATCVSEVAAEVSFKLPLASSSSFESMFREIERCMRRSNTGFETTDCKEVGNLGIESYGISVTTLEEVFLRVAGGDFDQAELLEEKADPNLCDSIDLKVRQTNAPKTFFPSKLCGNYFGVIWFMVTLIFSACNLIWTAVSSVIRLVTMQCCCCCILSRSTFWKHSRALFIKRAKSAQRDQKTIVFQLLIPAFFLFLGLLFLKLKPHPDQQPVFFTTSYFNPLLSGGGGGGPIPFDLTSPIAKEVANHVHGGWIQKYQETTYRFPDSTKALNDAIEAAGSTLGPVLLSMSEYLMSSFNESYQSRYGAIVMDNQSGDGSLGYTVLYNSTCQHSAPTFINLMNSAILRLATQNENMTIHTRNHPLPQTASQHQQHHDLDAFSAAVVITIAFSFIPASFAVAIVKEREVKAKHQQLISGVSILSYWASTYIWDFISFLFPSSFALVLFWIFGLDQFIGKDSLIPTILLFLEYGLAIASSTYCLTFFFSEHSMAQNVILLIQVFTGLILMVLSFIMGYINSTTHLNSVLKNFFRLSPGFCFADGLASLALLRQGMKNGSRDNILDWNVTGASLSYLAAEAIVYFLITLGLEFLPQQKRNLSRIHEWWKILGKSRRANSFGFSEPLLRSSSGNVASEPDEDIDVKAERDRVLSGSTDNAVIHLRNLRKVYPGGKSHVPKAAVHSLTFSVQEGECFGFLGTNGAGKTTTLSMLSGEEYPSDGTAFIFGKDIRSDPKVARRHVGYCPQFDALLEFLTVQEHLELYARIKGVPEYDLEDVVMQKLLDFDLMKHANKPSFALSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRRGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCLGSSQHLKTRFGNHLELEVKPVEVSSMDLENLCLIIQEKLFDIRPHSRSIINDIEVCIGGSNTVVSGDASAAEISLSKEMIMAVGQWFGNEERVKALVSATEDSCKIFGDQLSEQLARDGGLPLPIFCEWWLAKEKFTKIHSFIQSSFPDATFQGCNGLSVKYQLPCGEGLSLADVFGYIERNRNQLGIAEYNVSQSTLESIFNHLAASS >Solyc12g100160.2.1 pep chromosome:SL3.0:12:67970729:67975107:1 gene:Solyc12g100160.2 transcript:Solyc12g100160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSLTASLHASTLRSPFVGSLNGFHVSSVAVRRVGFVSKTVECKESRIGKQPISVPSNVTLTMEGQDLKVKGPLGEMAITYPREVKLEKEDGGILRVRKAMETRRANQMHGLFRTLTDNMVVGVSKGFEKKLQLVGVGYRATIEGKDIVLNLGFSHPVRMEVPAGLQVKVEENTRITVSGYDKSEIGQFAASIRKWRPPEPYKGKGVKYADEIVRRKEGKAGKKK >Solyc02g077090.3.1 pep chromosome:SL3.0:2:42708683:42713179:-1 gene:Solyc02g077090.3 transcript:Solyc02g077090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKKKSKRIQEKKTVHRYCCIIYTFQSFNKEIPSLQKHRLQNFVLDATMVAGKVKMAMGLQKSPANPKPSKQDSSPKPPTPSPSCPKQQTSKGAVFSRSFGVYFPRSSAQVQPRPPDVAELLRIVEELRERESRLKTELLEKKLLKESVAILPLLESEITQKDAEIGRKGRMIECLEVENERLREEVEMLHIELSKQNGRYDERIKAMEAEISELKKANEELSAHRFVDVTNGAHSKANITKYLRKCVTQPSITVTSKPECEMKEEIVSALEMCERPRHSRSNSDELADISVGIMSLRSRVPRVPKPPPRPSSALLPSSSSSSSLSSSSSSPSYSSLSDSAERALAEISNIPPPPPPPPLAAPAPPKLAAVPPPPPPPPPPLPPAASKTGPPPPPPPPPKGLKTLPAKVRRIPEVVEFYHSLMRRDCRRDSGAGVASAADAPSSAKDMIGEIENRSAHLLAIKTDVETQGDFIRFLIKEVEHAAFTDIVDVVPFVKWLDDELSYLVDERAVLKHFEWPEQKADALREAAFGYCDLKKLESEASSFRDNPRQPCGTALKKMQALFEKLEHGIYNLSRMRESATKRYKVFQIPIEWMLDTGFVTQIKLASVKLAMKYMKRVSAELEMVGGGPEEEELIIQGVRFAFRVHQFAGGFDVETMRAFQELRDKARSCHIQCQNQQQQQHKYVCKSSTPC >Solyc08g006440.3.1 pep chromosome:SL3.0:8:1044275:1047387:-1 gene:Solyc08g006440.3 transcript:Solyc08g006440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKTRDIAPIVKGNRSPSTSKTRSLAHTNAINLIASNRKNTYLKSKVSSSHDKTQGKKPTPSTCLNIHHKQTLDYRSSIDKPPSNFDNTQVKKNPTLLNELNIVHNKPNVARGSLFDNRPSLPSSLDNVQAKKIGSSSSSIHNKQNPAQRRLSFDNKPPQSPSLDHNTPHGKKINGELSENVNIDEYLKAAESSSLYVVENQEVIKNMEVAKEEQQLDIIENHKEVEQIKNVEPSNHDVEEIEKQETENQEEKEKDQENETPLDDCEQKKDEGKELDLKIDREESEGRNNKEKEENNNKVSTTTMTFAKAYTHLVHKKEIVVSSNDVIEETASKLREQRKNRVKALASAFETVISLQDSK >Solyc03g013340.3.1 pep chromosome:SL3.0:3:47339329:47346974:1 gene:Solyc03g013340.3 transcript:Solyc03g013340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin26-like intrinsic protein 21 [Source:UniProtKB/TrEMBL;Acc:K4BEQ5] MESEGGNCSKSINQNELVLKEDPKSNFFQKYYRSGIIKKVIAEIIATYLLVFVTCGAASLSWSDEHKVSKLGASVAGGLIVTVMIYAVGHISGAHMNPAVTFAFAAVRHFPWTQVPVYAAAQVTGAISAAFTLRVLLHPVTKNVGTTTPSGSDIQALIMEIVVTFSMMFITSAVATDTKAIGELAGIAVGSAVCITSILAGPVSGGSMNPARSIGPAMASNDYRAIWVYIIGPVCGTLLGAWSYNFIKVNDKPVQAIVPGQSFSFKLRRMKSNNHDEEQCVTL >Solyc03g112820.3.1 pep chromosome:SL3.0:3:64611789:64619785:-1 gene:Solyc03g112820.3 transcript:Solyc03g112820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATDDSQNAGGVNALRRLKSTEPPLYLSASPELSEAARLASKYLYSSLKPYTPKSPFAQLLTDGFDAEQIWQQIDLQSEPLLASLGRQIRQFEKKPEEISKSFNLGSGNSGKKKNLEREKEELAFDGENILPELIMIASRLVTADLLFFNKAYIFTSILFLQLGLMGLGDDEDEGTEIARYEDFFGSKKGTGQKKTVKSSDLSDDMGTDDDMIDDNQKKRSLSTHEKELEKLRSTIEDMEKANLEEKSWTMQGEVTAAKRPKNSALEVDLDFEHNVRPAPVITEEVTATLEEIIQKRIIEGRFDDVQKRPSLPSRAPRETKELDDNKSKKGLGEIYEEEYVQKTGLVSTALSFSDEQKKEATMLFKKLCLKLDALSHFHFTPKPVIEDMSIQANVPALAMEEIAPMAVSDAAMLAPEEVFTGKGDVKEETELTQAERKRRRANKKRKFKAESAKRTVKPAPENTLTNGVEGNDKS >Solyc10g062080.1.1 pep chromosome:SL3.0:10:24048178:24049432:-1 gene:Solyc10g062080.1 transcript:Solyc10g062080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFAYFIGVIQQKQCILLGCGLLTSDDIEMYKFFFSTWLAAMGNAPPIAILTDKCEIMNAAIVEMTQKYKKYNSLKNWFVRNCDVVLDNIVKYVDFKNILKGRLNAYCNWNDNMVVPSVGDPGLDEDATFTRNPREV >Solyc09g059587.1.1.1 pep chromosome:SL3.0:9:55138047:55138490:1 gene:Solyc09g059587.1 transcript:Solyc09g059587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLKNEPVLDMEHQMQLLEPFTEEYVKWSMMKIDQTKSPDPDGYGSGFCKEAWGIVGRDIALLELFQNGKLLRQVDATNIALIPMVDSPEQASQFRPIACSNVLYKCISKMICTRLKNVVSLLVADNQAAFVQGRSMTHNILIRHA >Solyc02g086480.1.1.1 pep chromosome:SL3.0:2:49794915:49795364:1 gene:Solyc02g086480.1 transcript:Solyc02g086480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSSSSSSNPPCAACKFLRRKCLPGCIFCPYFPPEDPQKFSNVHKIFGASNVSKLLNEIEAHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLMRYANANYGTNNYGLYNNNNNTTWNNNPNPQPDDDIH >Solyc06g035690.3.1 pep chromosome:SL3.0:6:24804933:24811356:1 gene:Solyc06g035690.3 transcript:Solyc06g035690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEICRLLLFFAAISFIFRLIHANSGEDDPIYQACVGHCKETGCVGGKCFQHCNFSSGENPIDGPWYLQEPLYQRWKQWDCLSDCRYHCMIAREEERQKLGLQPIKYHRKWPFQRVYGIQEPVSVAFSVLNLAIQFHGWVSFFILVNYNLPYSPKKKPYYEYTGLWHIYAILSMNSWIWSAVSHSRDVELTEKLDYSSVVAFIGYSLLVAILRAFNVRDEASRVMIAAPIVAFVTTHILYLNFYQLDYGLNIKVCVGMTMLQFILWVVWAGFTRHPSRWKLWVVVAGGVLSTLIKIYDFPPYLGYVDADALWHAMSIPLTYLWWSFVRDDSEFGTTTLIKKAK >Solyc06g048750.3.1 pep chromosome:SL3.0:6:31749796:31752754:-1 gene:Solyc06g048750.3 transcript:Solyc06g048750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFYLELLRSMSNQDVCSYEKIS >Solyc08g082800.3.1.1 pep chromosome:SL3.0:8:65600285:65600588:1 gene:Solyc08g082800.3 transcript:Solyc08g082800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KILIFNHFSTRTKNLREKDKAFCYAIHNNRSEDATDIHTLDLLWVRIRNYH >Solyc05g021070.2.1 pep chromosome:SL3.0:5:26175201:26175809:1 gene:Solyc05g021070.2 transcript:Solyc05g021070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DKGSIIYIEFGSYYVISTKLMEGIGDGLLKCGRLFLWKNGIRVNAGESGVVEKDEFNRCITIAMGSRKKREEFGRYVKKWSDLAKEAMKKNCSSNMNLKGFANVFVLGHDEYESLVKR >Solyc01g090140.2.1 pep chromosome:SL3.0:1:83646800:83657420:1 gene:Solyc01g090140.2 transcript:Solyc01g090140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKKSSSPNNSFTSAMTLTEEGLTCIPKRYILPPSLRPNGTLPNTCLPIVDLSLLQYPLLRPQIIQQLHLACKELGFFQVVNHGIPLSVMKDALDNANEFFDLPYEEKMHLLSSNVHDPVRYSTSLNDVKDKVYFWRDFLKHYANPISNWIDLWPSNPTCYKEKMGNYTKAIQKLQEELMEVIFESLELNPKYLHEDIAEGSQVIAVNCYPTCPEPDLALGLPPHTDYSLISIILQNHQGLQIMDRNEKWYSVPVIEGGLIVLVGDHMEVLSNGRYKGVVHRATVNSEKKRLSIASLHSLALGKKVRPVPALVDEQHTLSYKEGGFSDFLDFISGEDIVKFGSVKFLPTPTTNIACERLSFHHARRFYCENKDLRILNFCILVQQDLNMRPLGRIIRTFKPNVVYTTSLELEPHSSLIDTIMGSMCSQPVTHGNISMQFKPVFLRNSV >Solyc10g045370.1.1.1 pep chromosome:SL3.0:10:33116140:33116340:-1 gene:Solyc10g045370.1 transcript:Solyc10g045370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGSNVPPARASAALARREPLALSHLGSRRVAASSLSGLLMLFNARNDISFGEGNLGRDIRERVLA >Solyc02g011700.1.1 pep chromosome:SL3.0:2:13939365:13940132:1 gene:Solyc02g011700.1 transcript:Solyc02g011700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNHLSWVIAKAAIMVIALANRDGEPPNWKDFVCIVCLLVINSTIIFTEENNARNSVVALMDGLAPKMTVFRDGVGVNNKLLSQLGGITKRMSVIEEMDGMDVLCSDKTGA >Solyc04g082100.2.1.1 pep chromosome:SL3.0:4:65979107:65979247:1 gene:Solyc04g082100.2 transcript:Solyc04g082100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LILPSRSPTTSSTAMNNFCSHCSNVTFFPTAYLMHQLISSKSLGYN >Solyc07g063460.2.1 pep chromosome:SL3.0:7:66071198:66072176:-1 gene:Solyc07g063460.2 transcript:Solyc07g063460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAGRDWGQIYSIYGVDDWQTPMFLLIHAIFFSVLSVIFLVYFEPICYFFHHFLPGPSSARFAAGFTGSVTALSAVCLFYAAGNIFYSSVSLHWEMAQRMVNAVGDWSSVKHALDLGCGRGILLNAVALQLKKSGSSGRVVGLHPTPNCSLSTLRTAGIEGVQEYVTCRSGDPRKLPFSDNYFDVVEGVG >Solyc10g005270.1.1.1 pep chromosome:SL3.0:10:210788:211066:-1 gene:Solyc10g005270.1 transcript:Solyc10g005270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFFPVATRGNSFDSSCVVHPTQTVSEKSICSIPPYSLAAVNIYLQSYNFAMVASTEVSVVLHEVWSRAEKDPRSKTCEKAQNISILFASY >Solyc04g025870.3.1 pep chromosome:SL3.0:4:20707058:20748652:1 gene:Solyc04g025870.3 transcript:Solyc04g025870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPFSSGTRLRDMIRAIRACKTAAEERGVVRKECAAIRASISENDPDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASPGFPEKRIGYLGLMLLLDERQEVLMLVTNSIKQDLNHTNQYIVGLALCALGNICSAEMARDLAPEIERLLQFRDPNIRKKAALCSIRIIKKVPDLAENFVNPVAALLKEKHHGVLITGVQLCADLCKVSAEALEYFRKTCTDGLVKVLKDVANSPYAPEYDISGISDPFLHIRLLKVLRVLGQGDADASDSMNDILAQVATKTESNKNAGNAILYECVETIMNIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMRAIAVDSKAVQRHRATILECVKDSDPSIRKRALDLVCLLVNETNVKPLTKELTEHLEVSDPEFKGDLTAKICSIVEKFSHEKIWYIDQMLKVLSEAGNYVKDEVWHALIVVITNASDLHGYAVRSLYRAVQKARDQETLFRVAVWCIGEYGEMLVNNFGRLDIEEPTTVTESDAVDVLETSIKIHSCDLTSQAMCLIALLKLSSRFPACSQRINNIIGQYKGSFVLELQQRATEFNSIIERHQNMRSSLAERMPVLDEATFSGRRAGSVPAAVSTSQGVSVNLPNGSAKLSTAHVADLLDLSLDDAPAPSSSGGEFLQDLLGVNLMPVSLQPGHIYCVLYESFRMLFLNYNSDPHIFSFSPYYWYLLTNANQAQKRGSDVLLDLLSIGTPPAQSSPSTPQVLSSNTDNRSPLDILDRLSTPSAPSAQVSSTGGNSSMLDLLNGLPSSPPTSEGNGPAHSSVTAFESSSLRLTFNISKQPGNPQMTLIDGSFTNKSQDVFTDFIFQAAVPKFLQLQLDPASGNSLPANGNGSITQKLRITNSQHGKKSLVMRIRISYKVNNKDVLEEGQVSNFPRDL >Solyc03g113220.3.1 pep chromosome:SL3.0:3:64917235:64920819:-1 gene:Solyc03g113220.3 transcript:Solyc03g113220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLFCCVQVDQSTVAIKEQFGKYQDVLEPGCHCVPWFLGSQLAGHLSLRVQQLDVRCETKTKDNVFVNVVASIQYRAIADKANEAFYKLSNTKGQIQAYVFDVIRASVPKLILDDVFEQKNEIAKAVEEELEKAMSAYGYEIVQTLIVDIVPDEHVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVKEVAQQIRDGLLQATVGH >Solyc04g055130.1.1.1 pep chromosome:SL3.0:4:53706662:53707048:1 gene:Solyc04g055130.1 transcript:Solyc04g055130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQDSINMMKQGTIIFELRSRRYIGGSQVLGRFEIPWKRVFESTRMEIEEWAIFMPTSKNINEDVKPQEVKIGMKVKVNETITKINKLRRSWDESCTCKGYCGCNSNSIFSADDCEIFAIGAALDVL >Solyc09g018440.2.1 pep chromosome:SL3.0:9:14900820:14901555:1 gene:Solyc09g018440.2 transcript:Solyc09g018440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLQLETAYADETTKLKPPHRFVPWLLVNNQPLQEDYQNFIEYVCKAYKGRNKPQACKTKALEINKISAQDTNPRVCFR >Solyc12g005750.1.1.1 pep chromosome:SL3.0:12:400120:400449:1 gene:Solyc12g005750.1 transcript:Solyc12g005750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNGRREIDEEKIEELHNIIVCELCKSEAYVYCEADNAFLCKKCDKLVHTANFFAQRHIRCILCGICKKLTKRYLIGVSHEVILLKVVRCTNFDEQNCSTKVKEPFLFL >Solyc07g064620.2.1.1 pep chromosome:SL3.0:7:66816203:66817776:-1 gene:Solyc07g064620.2 transcript:Solyc07g064620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIDIQIPSAFDPFAEAKDSGAPGAKEYVHIRIQQRNGKKSLTTVQGLRKEFSYEKILKDLKKEFCCNGNVVQDKELGKVIQLQGDQRKNVSHFLVTAGVVKKDQIKIHGF >Solyc04g005230.3.1 pep chromosome:SL3.0:4:178417:181894:-1 gene:Solyc04g005230.3 transcript:Solyc04g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDNEIVSDFYPHFRIYKNGRVERFYHLQNCFYVPPTHEPDSDTGVSSKDVAINSHVSARLFLPNVTINTNKKLPIIVFYHGGALVLGSAFFNKVYRFLNLLVSESNSIAVAVDYRLTPEHDVSTVYEDCWTALQWVASSQDSWLTSHGDFGKVFLLGESAGANIAFNMIIRADREKLNGDVKINGLILACPYFLIPHENIDVENLLAYKAWREIICPNLESPFDCPMINPLCKTSPNLSKLVCSKLFVCLAEKDELIPVEMLMQFVDSVKKSGWNGQFVLHVVEGEGHCFLIDNLETEKARDSIKRFASFIQSK >Solyc02g077300.2.1 pep chromosome:SL3.0:2:42846436:42848869:1 gene:Solyc02g077300.2 transcript:Solyc02g077300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4B8V7] MSSSPTFSSFVTIFMFLFFLNLPFSNSQLPIKATIRRAPRQLSVNYYAKSCPQVESLVGSVTSNMYKEAPASGPATIRLFFHDCFVEGCDGSILISSKAGSKELAEKDAEDNKDIAKEAFEGINKAKAIVESKCPGVVSCADILAIATRNFVHLVGGPYYEVKKGRWDGKLSKASRVHQNLPQSNSTVDQLLKLFSSKGLTSEDLVVLSGAHTIGFAHCKQFVNRIYNYKGTKKPDPYMDPRLFKALKMSCPQYGGNVDIVAPFDVTTPFSFDNAYYGNLEAKLGLLASDQALSLDPRTKPLVQELAKDKHKFFQAFADAMEKMGGIGVKRGRKHGEFRKDCTMHHV >Solyc02g062540.3.1 pep chromosome:SL3.0:2:34791421:34796483:-1 gene:Solyc02g062540.3 transcript:Solyc02g062540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSVKSTLSNLAFGNVMAAAARDYQKEVLAQEKAQASSSVNEDIDLDELMDDPELEKLHADRIAALKKEAEKRQALKRQGHGEYREITEGDFLGEVTGSEKVICHFYHREFYRCKIMDKHLKSLAPIHVDTKFLKLDAENAPFFVTKLGIKTLPCVIFFRNGIASDRLVGFQDLGGKDDFSTKALEGFLLKKGIIKENKKEEEDDDSYDDRNRSVRSSINPDSDSD >Solyc02g012010.2.1 pep chromosome:SL3.0:2:14189276:14189905:-1 gene:Solyc02g012010.2 transcript:Solyc02g012010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGLVEFEEGTIGIALNLESNNVGVALMGDGRGQRELVIGDRKTDKTTVATHTILNQQGQNVICVYVGNGQKVSPMAQVVTTLQERGAMQYTIVVAETGDSPATIQYLIPYTRAHLDEYFMYVNDTI >Solyc03g080040.1.1.1 pep chromosome:SL3.0:3:53352340:53352732:1 gene:Solyc03g080040.1 transcript:Solyc03g080040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLRISAFQPIFVFLLISVIYFPVTVRSDEIIPLLLPSEQVNQDICPTTPSPSESCPINCFRPDPVCGVNGVTYWCGCPDAHCAGVRVVKSGICEVGNGGSAPVTGQALLLIHIVWLVLLGFVGLFGLL >Solyc07g041660.3.1 pep chromosome:SL3.0:7:53959507:53975667:-1 gene:Solyc07g041660.3 transcript:Solyc07g041660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENIEDSGSPSWGASFFQTTEDVARAVAAAAAAVRSPRPSVVYSSKDDNGSQLQKLQRQVTRLMKGFSSPPEVKSGAYNPEILTSQKRQWARFQLQSLDHRVWKEPSRLFESMVVVGLHPNCDIQALQRLYFSKKLDGPGRFRSALGGQSQSRVEPNFEPQVLFVYPPEKPLPLKYKDLLSFCFPAGVEVHAVERTPSMSELNEILLGQEHLKQNDLSFVFRLQVADNSTLYGCCILVEEMVQKPSGLLSMISDGQQTSLGISRQILTTRRCYCILSRLPFFDLHFGVLHSIFTEERLERLTKQVGELDFDSLVIDDEEENLEDNAPSILEETAKYVLNGTVESPQPSTADSVISGTVGDKSQLEFRVAEGDVLPKKDGGDDKACMVDNDIDFANKEFISGRQVSEAFDNSTDDNKQLVEKGVPNAVLPLLRYHQCESSESSSSFQDSPSEDRHFRSDFDETETEEASFSGQDDSSQHSDIVEWAKANNRGSLQILCEYYQLKCPSRGSTIKFHPLDHLHPLEYYRPDEALLHVAGSTIDLKSCRTSLELAEAHNALMVEEEATALSVWAVACLCGSLRLEHVLTLFAGALLEKQIVVVCSNLGILSACILSIIPLIRPYQWQSLLMPLLPNDMLDFLDAPVPYIVGVKNKTSEVQSKLTNAILVDANKNQVKSPTLPQLPQQKELYSCLSPYHAKLVGESYLARKRPVYECTVIQVEAAKSFLGVLRSYLDSLCSNLRSHTITNVQSNDDKVSLLLKESFIESFPSRDRPFMKLFLDTQLFSVHTDFVLSFFQKE >Solyc01g087230.3.1.1 pep chromosome:SL3.0:1:82028352:82033878:1 gene:Solyc01g087230.3 transcript:Solyc01g087230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSTLSSHTSAVQGASAAESNEMSGDAPPKQVAMALERLGQASRLIADIRIGADRLLEALFVSAQRQHSSKPLHLIHKEEASMRQHLQELRSVGRQLEDSGVLNDSLRSRSNSWGLHMPLVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFNGQKRRFFPHLDTNYGGEGVTKKLRGPPSSDQEETCELKSVSEVLTHLKKEVSNVITFSYRRLDWLLRATSLPSSTSENSIELSKESTFQSTRTLRQGSSDDDVSDKVAIIELLIPSVFRAIASVSPAGSLDPDAVAFFSPNEGGSYIHARGFSVHHVFRHITEHAAMALQHFTGVGTESPLLSLLLWVCSYQTLFSKACSKCSRLLSMDKESAMLLPPVNRPYRNFSAGKFLSKEEPSVDSSQCFHIDCFSEEA >Solyc04g049370.1.1 pep chromosome:SL3.0:4:41635049:41635826:-1 gene:Solyc04g049370.1 transcript:Solyc04g049370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFVLVTTTLSHVAQEGQSRRYRPSNIFCPLDLVRFGLNCDKNNLGFTTFSSSTREQQTDPCTCHILSHARVSRQNIAPNVHKGYAVNEAAQYTTIVVVFFKTSPSIVPVLTKQVMCFILVTTTLSHIAQEGQSRRYRPSNIFCPLDLVRFGLTADENNLG >Solyc10g079900.2.1 pep chromosome:SL3.0:10:61487589:61493209:-1 gene:Solyc10g079900.2 transcript:Solyc10g079900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFLDEMDLSLWDPPENQDVSSYARWEYNLYFDPRLDVIEADALNKKSCLQVLEIQENKADSEIREVEVDELMLQRQVAREDNTSLAASNKEIVNLESTLKTSQNENQLLAGTTLEERHAAEQATKVHSSKSTENEVMAVQNYDPSGEKGKEVVLAGTTLEERHAAEQATKVHSSKSTENEVMAVQNYDPSGMKGKEVVLAGATLEERHAAEQATKDHSSKSTENEVMAVQNYDPFGKEVVLARTTLEERHAAEQTTKEHSSESTENEVMAVQNYDPSGMKGKEVVLAGTTLEERHAAEQATKEHSSKSTENEVTAVQNYDPSGMKGKEVMHAGTVRTIGGTQPSLWNSMIGERRDPQRKLMGGGEYNGDNAITRTQQQLAGAKRSGDFAGISSTSQLHFRRRSETSLFAPLFHENNNLRNAQNMLVRSYDRTSQVPWNVHNAEVVFPMASLTPSSRMACIDNMSLVQLKALASQLNIVGVSKTRKADLQQLLRTKLQAQDWP >Solyc03g104810.3.1 pep chromosome:SL3.0:3:44328650:44334344:-1 gene:Solyc03g104810.3 transcript:Solyc03g104810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENFKAPSVSVSSLSTLTIPPKDSFFGGGNMPYFSPGPMTLVSTFFSESEYPSFSQLLAGAMASPLAKPPTLFPGEEENCKQGYKQNRPMNLMVAQSPFFTIPTAFTPSGLLNSPGFLSAVQSPFGMSHQQALAHVTAQAALSQSYLQTQAECHFPSQSKSVQVLGASDPEESSLQPQLDTMSSDQKSKKFELPQLSQSEDKPSFNSVDRPASDGYNWRKYGQKMVKASECPRSYYKCTHLKCLVKKKVERSIDGHITEITYKGHHNHELPQPNKRRRDSCAQDGSDCSNINPETGTHTELEINGLNGALVAHSEQVSTEMACERSVSNECEDAETAASKEHDDEPNVKRMKTTVETPILSSSHKAEPESKIVVQTRSEVDILDDGFKWRKYGQKMVKGNHHPRSYYRCTYPGCNVRKHVERASADPKAVITTYEGKHNHDIPIARNRSHSTAQNSSRQLNEQEIATWRPAILEKVALHTSEIQV >Solyc10g054820.2.1 pep chromosome:SL3.0:10:55924729:55926344:-1 gene:Solyc10g054820.2 transcript:Solyc10g054820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKNNVVFGDEENQLPGGTNKVQPCSSTSRKNTIDDEGKKPIFLSFSERLGVPDFFCLDVWRASMGELLGSAVLVFMLDTIVISTLESDTKMPNLIMSILIAIVITILLLAVVPVSGGHINPIISFSAALVGIISMSRAIIYIMAQCVGAILGALALRAVVSSSIEDTFSLGGCTITIIAPGPNGPVIVGLEMAQALWLEIFCTFVFLFASIWMAYDHRQAKSLGHVTVLSIVGVVLGLLVFISTTVTARKGYGGAGMNPARCLGPAIIRGGHLWDGHWIFWVGPTIGCVAFYVYTKIIPPKHFLGEYGFKHDFVGVVKALSNV >Solyc08g014000.3.1 pep chromosome:SL3.0:8:3511728:3518409:-1 gene:Solyc08g014000.3 transcript:Solyc08g014000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LOX1.1 description:Linoleate 9S-lipoxygenase A [Source:UniProtKB/Swiss-Prot;Acc:P38415] MLGQLVGGLIGGHHDSKKVKGTVVMMKKNALDFTDLAGSLTDKIFEALGQKVSFQLISSVQSDPANGLQGKHSNPAYLENFLLTLTPLAAGETAFGVTFDWNEEFGVPGAFVIKNMHINEFFLKSLTLEDVPNHGKVHFVCNSWVYPSFRYKSDRIFFANQPYLPSETPELLRKYRENELVTLRGDGTGKREAWDRIYDYDVYNDLGNPDQGKENVRTTLGGSADYPYPRRGRTGRPPTRTDPKSESRIPLILSLDIYVPRDERFGHLKMSDFLTYALKSIVQFILPELHALFDGTPNEFDSFEDVLRLYEGGIKLPQGPLFKALTDAIPLEMIRELLRTDGEGILRFPTPLVIKDSKTAWRTDEEFAREMLAGVNPVIISRLEEFPPKSKLDPELYGNQNSTITAEHIEGKLDGLTIDEAINSNKLFILNHHDVLIPYLRRINTTTTKTYASRTLLFLQDNGSLKPLAIELSLPHPDGDQFGVTSKVYTPSDQGVEGSIWQLAKAYVAVNDSGVHQLISHWLNTHAVIEPFVIATNRQLSVLHPIHKLLYPHFRDTMNINALARQILINAGGVLESTVFPSKFAMEMSAVVYKDWVFPDQALPADLVKRGVAVEDSSSPHGVRLLIDDYPYAVDGLEIWSAIKSWVTDYCSFYYGSNEEILKDNELQAWWKEVREVGHGDKKNEPWWAEMETPQELIDSCTTIIWIASALHAAVNFGQYPYAGYLPNRPTVSRKFMPEPGTPEYEELKKNPDKAFLKTITAQLQTLLGVSLIEILSRHTTDEIYLGQRESPEWTKDKEPLAAFERFGNKLTDIEKQIMQRNGNNILTNRTGPVNAPYTLLFPTSEGGLTGKGIPNSVSI >Solyc11g069530.2.1 pep chromosome:SL3.0:11:54425656:54446286:-1 gene:Solyc11g069530.2 transcript:Solyc11g069530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSQADHSRMEGWLYLIRSNRFGLQYSRKRYFILQDQFLKSYKSVPVPENEVFFIFTLYNTSNHNDQLKLGASSPEEAARWIQAVQEAALKVDVNRGKEVDFSSSGSQSLRLNCSNKSNRLNSIDWTVCSSSVTDAMTSDVVAPSPWTIFGCENGLRLFKEAKDRESLGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGSSRSEWDFCFYKGSVIEHLDGHTDIVHKLLNQDWLPWGMTRRDLLLQRYWRREDDGTYGGGYVISPVNQGKGSVVKHMLAIDWKFWKSYVRTSAARSITIRMLGRLAALRELFSAKIGNYLPSDVSGELIKSKRLCQVEEEIKLEVQTRLENGKSVADLEEEVVKTPSSLMGLNDAADEFFDVSEPLDYDQTENDWSSDFGLETYSQDARHPKLSTAAVIVKRLHDLAGMFDVFQKKGYVDLHEMVKEDASFCHYGSTLPKDSTCNLPCSWTETDPSTFLIRGETYLDDRKKIKAKGTLMQMVGADWLKSDKREDDLGGRPGGIVQKYAAKGGPEFFFIVNIQVPGLTTYTLALYYMMDTPLEDSPLLESFVKGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEINYFRGKNYIELGIDIGSSTVARGVVSLVVGYLNNLVIEMAFLVQFDFWDRLMVGMRQKVHIFDVFVILVFLLSVSTITKATEGKDGFKWCERIVKQWASSSLDLEVKNDKHVLQNLLFFLHVPRTGGRTYFHCFLKKLYASSLECPRSYDKLRIDPRKPKCRLLVTHDDYSMMNKLHKDETSVVTILRNPIDRVFSAYEFSVEVAARFLVHPNLTSATKMSGRLRSKNTISTLDIWPWKYLVPWMREDLFARREAREKNGHSVVISTNPYDTKEMVMPLHEYINNPIARDIIHNGATFQVAGLTNNSYLTEAHDVRHCVLKYQSLGDYVLKVAKKRLDNMLYVGLTENHKESATMFANVVGTQAISQFTGSTSHEDHTAKNSSEQGSSLLESDFDTTYHHSNSSYQKPNQISSAEQGEATKKNMTAGKLMDVYESCISNLRKTQSERRVNSLKKIDPANFTKEGRRQVSEALLQEITSLNHLDVELYKYAQTIFANQHKRMLLYKAVKNQLDSDFDDSYRAFSWEAICIAVSVVFVALFAVLFVTAKRRTSKLKL >Solyc01g008310.3.1 pep chromosome:SL3.0:1:2343677:2357306:-1 gene:Solyc01g008310.3 transcript:Solyc01g008310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYSPSSPTVPEPLPPSIFGSPVASDHRPPPLPPPPIFSDSGVTMPTVSVGRDRLFEALGRTYTDEEFDELCFQFGIELDDITTEKAIQRKEKHLEEEGVEDDGETIYKIEVPANRYDLLCLEGLAQALRIFNGLDPIPTYKVANIGKESMLEMRVKTETSKIRPYVVCAVLRGVTFDEAKYNSFIELQDRLHQNICRRRTLVAIGTHDLDTIEGPFTYEALPPAEINFVPLKQTKNFRADELMEFYKSDLKLKKFLHIIENSPVYPVIYDCKRTVLSLPPIINGAHSAISLKTKNVFIECTATDLTKANIVLNTMVTMFSVYCERKFEVEPVKVTYPDGRSYVCPDLSIYNMNVPLSYITGIIGVQLPEDKVASLLHKMQLRAEKSVSEDKEVKFVVSVPPTRSDVLHPCDVAEDVAIAYGYNEIPKRKPASLNPLPLSQFSDLIRTEIAMTGYTEVLTWILCSKKEIFSMLNREEDNSAVTIADSRTSEFEVVRPSLMPGLLRTVGHNKDHPKPIKIFEVGDIVLLDDTKDVGAVNRRHLAALYCGANSGFELIHGLVDKIMEATGTNFVSPGNSTGYYIEKSEEPAFLQGRQANVIYGGKQIGTFGIVHPKFGPQGV >Solyc02g080920.2.1.1 pep chromosome:SL3.0:2:45573595:45573993:-1 gene:Solyc02g080920.2 transcript:Solyc02g080920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVKNKNMVESFEVPLNETEESSSSIQRFLGHKSPYYYKSKIIQKRMSTCNKNPRICRAKGSPGPFCCKKKCVNVFVDRQNCGYCGKKCRYNETCCNGQCVNTLFHKRHCGGCGNKCQQGSYCVYGMCSYAN >Solyc11g011877.1.1.1 pep chromosome:SL3.0:11:4814908:4815313:-1 gene:Solyc11g011877.1 transcript:Solyc11g011877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTQRICSFFAATNKKEAGVTVYGVAQCAETITASGCQDCLTVAYTNIKGCSPKHAEGRAFDGACFIRFSNRDFFPDNQITDIRSSLGEGGRTWSSLFPNLTIINS >Solyc08g043165.1.1.1 pep chromosome:SL3.0:8:23012025:23012621:-1 gene:Solyc08g043165.1 transcript:Solyc08g043165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIADGDEPKTACVTRYGAFDWLVMPFGLTNALATFCTLMNRLFHSYLDQFVVIYLDDIVVYSDNMEDHVEHLCKVFEILRNNELYVKREKCSFAQPIVRFLGHTISHGKIQMDSDKIAVINNWEAPTKVPELRSFLGLANYYRRFIFNYSAIAAPLTDLLKKDRAWNWSAACQTAFERLKLAVTQEPVLALPDFSKPF >Solyc08g079690.3.1 pep chromosome:SL3.0:8:63289199:63289928:-1 gene:Solyc08g079690.3 transcript:Solyc08g079690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YFQLIHFITIFLFTRKIKTSRSENKMCISSTEWSNNSSRPLYFSMQKKQRPKISRVQLHRLARKKRGEGKETKVDMEMRNLKLYMENMSILEENEKLRKKASLLHEENIALMFEFQKKFCKFDRVSNKETPHLPTTKLTK >Solyc02g032410.3.1 pep chromosome:SL3.0:2:28930095:28930560:-1 gene:Solyc02g032410.3 transcript:Solyc02g032410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FFNQTTSATHPAAAETALNNNSKLTEKSHKLHKNPPENPIKTPSKPPIYRAPPAHYRQTEPDPQPLEQAETPPESEHQTTIPQAPSSNTPTMVPTRRTTISSSTSGRRVSNSGHCYFLLHVIKSLIF >Solyc01g105140.3.1 pep chromosome:SL3.0:1:93299492:93307374:-1 gene:Solyc01g105140.3 transcript:Solyc01g105140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFGRTGPPDIADTYSLLVLNITFRTSADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYAEEAQKAVDRLDGRVVDGREMAVQFAKYGPNAERIHQGRIIEKVPGFKGSSRSRSPRRRYRDDYHRDREYRRSRSRSVDRYERDRYRQRERDYRHRSRSRSLSPDYDRDRGRRRDRKHYRRSPSVDSASPSRRSPSPHRKESPPRSLSPTKGSPVRRVRNERSPTPRSRSPPGRAMDSRSPSPRVDED >Solyc07g025240.2.1.1 pep chromosome:SL3.0:7:26933903:26934343:-1 gene:Solyc07g025240.2 transcript:Solyc07g025240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EHIGSRTRENWIWLDNVWLVNKDRFFSKVQNVSSNIQYDSTRSSFVQVTDSSQLKGSSDQSTLLFLRARSACASRAIGALLSSYSSLDSSDGLRRSFPTKIERAVSHRDVDSFSAPMDGKPLVMYSLTYFRSAYNIFDTLLYKIDI >Solyc05g032880.3.1 pep chromosome:SL3.0:5:43903445:43909926:-1 gene:Solyc05g032880.3 transcript:Solyc05g032880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLQYGRNTLRQQLKNVKTPAAIFQNCESGEVHLHHPLFYAAQGVRYRKLQVILTTGIDKLGKAGETVRVAPGYFRNHLMPKLLAVPNIDKYRHLMEDQRKIYQREEVEEVKIVPATKEDKMKEYQMAANRLDRTMLVLRKLAKKPLAKDDNGRTFIQESIGKDEIVAEVARQISVHIEPEHLVLDTPLHVMGEFEVPLRMPRSIPLPEGKVQWVLQVKIRGK >Solyc01g098420.2.1 pep chromosome:SL3.0:1:88814268:88815298:1 gene:Solyc01g098420.2 transcript:Solyc01g098420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DTLSWSMRGANCTRFCQWYEYIHEHTVPVYIHRDIKSANILIDKNFHAKFGEAHNHPNPIEAISRLIDPKLEDNYPFDSVHKMVQLAQTCTEKDHEMRPTMKSVVVALMALSLSTEG >Solyc08g016510.3.1 pep chromosome:SL3.0:8:7711628:7717929:-1 gene:Solyc08g016510.3 transcript:Solyc08g016510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4CJH4] MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGIKTKEGVVLAVEKRITSPLLEPSSVEKIMEIDEHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDENGPSLYYTDPSGTFWQCNAKAIGSGSEGADSSLQEQFNKDLTLKEAETIALSILKQVMEEKVTPNNVDIARVSPTYHLYSPSEVEDVISRL >Solyc12g036595.1.1 pep chromosome:SL3.0:12:47269139:47272675:-1 gene:Solyc12g036595.1 transcript:Solyc12g036595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAVRALSGNDRNIVQCREGDNVLRSGDLHKDGYENSPQMEPLFPAGIEVSRIGEGDAYLANPKFNFEFQTSTSCCSWCFTISIGNERRSSGMHGTIYLITPVRHEAADAESDHMPLAMPCLVPISRHGRGSVARHEHRAKTRPFFSCRETFELRAQYIRVLFREITQISYRSLALTAHAMDLGASTPFLWAFEEREKLLEFYERVSRARMHASFIRPNIDSFTQQFASRIDELEEMSIDNLDIGTVTPQQSKDWGFSEVLGYAGICEKHYDVHYQLDPDIPVGTRRDLYDRYCIRIEEIRQSVRIIVQCINQMPSCMINADDRKLCPPSRSRMKLSME >Solyc01g086840.2.1 pep chromosome:SL3.0:1:81641282:81646219:1 gene:Solyc01g086840.2 transcript:Solyc01g086840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRTLVMLILVITYNSIAVKGSNGHPCSSTFFSALIQLIPCRASVVPFSSVPPSEACCASIKALGQPCLCVLINGPPISGVDRNMAVQLPEKCTANFEQCGDCAVFTLKNNCNVTIWPGSLSGAGHPLLFSGGLELQPYETTQIDASTGWSGRFWARTHCQFDTSGKGTCATADCGGVLQCNGAGGTPPASLAEFTLDSPMDFYDVSFVDGFNIPISVYPSCGTGNCSNIQCSSDINLQCPQELQLKTYDGTTVACKSACFAFNKPEYCCTGEFNNPTTCKPTEYSQYFKSSCKDAYSYAYDDATSIFTCKGANYLISFC >Solyc01g010140.1.1 pep chromosome:SL3.0:1:4818143:4819924:-1 gene:Solyc01g010140.1 transcript:Solyc01g010140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFASKLLDILDALPSDEEPGERVVLDAPEEKSEMKEDEKGMSSHSDGHKKEDSFTFPTLDFLDPIVDASSKEALADDTKAVDEESVVSDDIARRNDTEVRALTSVESDAIECDMEDRDAKWNTPEFECSIIPDLIDEKKDDSVVSDAQFTSGYKFSENDAKLYSEKRAKEYDLPELVVFYKEGDKNIAKNLCRDDSGAYVSIPDDEDQQSNTSTESADTELLSSADGSKSSVEYENEKTELLVPNDPMPGSPEEVYRYENDSDDNYLEDLVMIFGSKGTAKWKHSPNAIASPNVLGVESSQQSTQPDQIPFEETASEIQNAEIVPATDLATEATVSCLNSTEPESAINLGQGVENVVEPKDVENHESRSLGDFLVYSQGYLADGEASFSRLRRGSGPAINARRVSSFGRHSIGSDSSTASNGSFAFPLYYSATSSCTSFFQFSNHYLTSSLFRCVCSLNPGWYSSPVRMVQDVETTPHVRKQRRWKRGLLCCNF >Solyc04g077240.3.1 pep chromosome:SL3.0:4:62274104:62276964:1 gene:Solyc04g077240.3 transcript:Solyc04g077240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGAACFRKLFQSSAVSSKVAARCYTAQAAVQPAIYSSDEESADIDWDNLGFQLIQTDYMYMTKCSDDGIFRKGQLNRYGNINLSPSAGVLNYGQGLFEGTKAYRRDDGRVFLFRPEQNAIRMQIGAERMCMPSPTTDQFVDAVKQTALANKRWIPPSGKGSLYIRPLLIGTGPILGLAPAPEYTFLVYACPVGNYFKVEERLIEADELISADEVFCTGTAVGVAPVGSITYKGQRIDYKISSDLSCKQFYSRLVGIQRGVIKDERDWIVEIE >Solyc01g009180.3.1 pep chromosome:SL3.0:1:3162892:3168685:1 gene:Solyc01g009180.3 transcript:Solyc01g009180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVAKKLSSIYMRLVLPTPSSSTFLSFGSSVSVFSPPRGTQFLRLNFRFRTMASHVVGYPRMGPKRELKFALESFWDGKSNSEDLEKVAADLRLSIWKQMADAGIKYIPSNTFSYYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFPMARGNASVPAMEMTKWFDTNYHYIVPELGPDVKFSYASHKAVSEYKEAKSLGIDTVPVLVGPVSFLLLSKAAKGVEKSFPLLSLIEKILPVYKEVIAELKAAGASWIQFDEPTLVKDLDSHQLQAFSHAYSELESPLSGLNVLIETYFADVPAEAFKTVTSLKCVTALGFDLVRGSKNLDLIKSGFPSEKYLFAGVVDGRNIWANDLAASLSTLQALENVAPFVCILDTIGHLFSSDKLVVSTSCSLLHTAVDLVNETKLDEEIKSWLAFAAQKLVEVNALAKALAGQKDEAFFSANAAARTSRKSSPRVTNGAVQKAAAALKGSDHRRATTVSARLEAQQKKLSLPSLPTTTIGSFPQTLELRKVRREYKANKISEEDYVKYITEEISKVVKLQEDLDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKPMTVFWSSRAQSMSKRPMKGMLTGPVTILNWSFVRDDQPRFETCYQIALAIKDEVEDLEKAGINVIQIDEAALREGLPLRKSEEAFYLNWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSQRIPSTEEIADRISKMLAVLDTNILWVNPDCGLKTRKYTEVKPALSNMVAAAKLLRNQLASTK >Solyc11g065135.1.1 pep chromosome:SL3.0:11:50770563:50773901:-1 gene:Solyc11g065135.1 transcript:Solyc11g065135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDSCLARVLYMGLMMLLGSSNIETAICILRAVCKMLTGYDVIALGANIGDVSSNMSFINVPGLLKIRYIGQTTLGSATIFGLFLGAGSLIH >Solyc06g083030.3.1 pep chromosome:SL3.0:6:48631572:48651953:-1 gene:Solyc06g083030.3 transcript:Solyc06g083030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFEVKVVRFPSASALVTQLPGFNGTFNSKHYAGYVNIDESHGKNLYYYFVESERDPSNDPVVLWLNGGPGCSSFDGFVYEHGPFNFDFGEPSGSLPSLHNNPYSWSKVSNIIYLDSPVGVGLSYSNNKSDYVTGDLKTASDSHKFLLKWFEIYPEFLMNPFYISGESYAGIYVPTLASEVVKGIDAGVKPDINFEGYMVGNGATDDYTDGNALIGFQHGMGLISDQLFEEVNAACNGNFYEPSREECIKMFQKIDLVLSDINVYDILEPCYHSKEPSLITINSSRLPMSFRKLGETERPLPVRKRMFGRAWPFKAPVKEGRVPTWPEILNGVAVPCTDDRVATVWLNNADVRKAIHAEQTTVIGPWELCTDKITMYHDSGSMIPYHKNLTARGYRAIIYSGDHDMCVPYTGSALWTRSLGYPIVDEWRPWYVNEQVAGFIQGYDNNLIFMTVKGAGHTVPEYKPREALAFYSRWYVNIDESHGKNLYYYFVESERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFDFGKPSGSLPSLHNNPYSWSKVSNIIYLDSPVGVGLSYSGNKSDYNTGDLKTASDSHSFLLKNPFYISGESYAGIYVPTLASEVVKGIDAGVRPAINFMGYMVGNGVADDIIDGNAIVPFQHGMGLISDDLYEEAVVACHGNFYEPVDSNCSEKLNKIDQVVYDLNVYDILEPCYHSKKPSVITTGNSRLPMSFRKLGETERPLPVRKRMFGRAWPYKAPVRAGHVPTWPEILNSVEVPCTDDRVATLWLNNADVRKAIHAEPATVIGPWELCTDKIDLDHDSGSMIPYHKNLTARGYRAIIFSGDHDMCVPFTGSAVWTKSLGYPIVDEWRPWYVNDQVAGFIQGYANNLIFMTIKGAGHTVPEYKPREALAFYSRCLLTFLFLVTEGAPQSALVTQIPGFNGTFHSKHYAGYVNIDESHGKNLYYYFVESERDPSNDPVVLWLNGGPGCSSFDGFVYEHGPFNYELGKPSTSLPSLHNNPYSWSKVSSVIYLDSPVGVGLSYSKNKSEYETGDLKTASDTHLFLLKWFEIYPEFLTNPFYISGESYAGIYVPTLSYEVVKGIDAGVTPAINFKGYMVGNGVTDEKIDANALVPFQHGMGLISDDLYEEAVRACHGNFYEPVGSNCLDKLDRIEQVVNDLNVYDILEPCYHSKEPSAITTGNSRLPMSFRKLGETERPLPVRKRMFGRAWPFKAPVRAGHVPTWPEILNDLYVPCTDDRVATAWLNNEDVRKAIHAEQTTLIGPWELCTDKIGLSHDSGSMIPYHKNLTARGYRALIYSGDHDMCVPFTGSEKWTRSLGYPIVDKWRPWYVNEEVAGYIQGYDNNLTFITIKGAGHTVPEYKPQEALAFYSRWLEGKNI >Solyc10g012137.1.1 pep chromosome:SL3.0:10:4475268:4478633:1 gene:Solyc10g012137.1 transcript:Solyc10g012137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVGGNCNVEESVRGSNMVQSELNTSNPSGPLTHRTYNKDHDRENFAKMVVVCGLPFSFGEHPGFIAYIRETYNPSFQGLSRSMVKRDIFEFQEKHCQYLRAYFELMDCRVAITTDMGRSPNGFDYLTVTAHWIDYNWNLQKRIIGYKICQKKKTEIYIATTVLEILDFFGLCDKVVSITLDNASANLNAINLLEPRLCPISKYAFHVRCAAHILNLVVSDGVKLFENSCDKIDNAYFYIFHMNSSSRINQFKELCNAFKLPFRKVPKHVKTRWNSFYDMLEVAYAYRQPITTLFNNHNAYPEFKINDSDWDENLDIQPEEEPDLVTCQNSIKYFAKEMYDKYSFLDNVENPQTSTNQVGAHGRVKHKLGLDSSNKCEFVKYLEQGTDDITNDNGIPELLNWWRNRGAQYPKLSRMVKDVLAIQGSSICGFIFP >Solyc01g006060.3.1.1 pep chromosome:SL3.0:1:737074:737652:1 gene:Solyc01g006060.3 transcript:Solyc01g006060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4ASH2] MAKLLHFFGTIFILLSLVVFPIIGKKDENSFEISINKEFMSLKREKLSHFKFYFHDILSGTKPTSIMIVPPQKNTPKTGFGMVNMIDNALTLGPKLSSKIIGRAQGFYGLSALSELSLLMIMNFNFIEGKYNGSSLAVLGRNSIVEIVREMPVIGGSGLFRFARGYVQARTISLNVTTKDAIVQYDVYVLHY >Solyc07g017470.2.1 pep chromosome:SL3.0:7:7227691:7235121:1 gene:Solyc07g017470.2 transcript:Solyc07g017470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERIGSIEINNDEVLPISDKPYVGMVLTKSSVKPIYNLYLPKKMSKELPFDGAPAVLSYGGKKWNLIYGGAKTKYKFSTGWKNFADDNNLKEGDGLVFELSECNSDKIEFKIQILREDFPAELVPDVEGMNTNNPIIID >Solyc01g081080.2.1 pep chromosome:SL3.0:1:80273359:80281965:-1 gene:Solyc01g081080.2 transcript:Solyc01g081080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKNTLIPRSKSVPCRISETQNVRSTNSDDSLKPSWHKKIDEERKRGSSSSKNSRSKNNLDEFNKRNAIQEEKRYSARSPYYKGLTDSSKEINRQKSLAGSTHGKDSSLSSSSTSNSSKSNLAIKVQEWSASYFVRKGKEERASSSFLNVSSGNKNIRDPSSSETKVIRTTIGEELGIHSKVVRRRSKSRISQLDQGKPLRERASEMQTNNIVLLPAAPAPAPPVTSPTKLSVPSPPPTPLILQTSQDEEIESVQLPLPGSPTQSVHDEKDTTNVKDTTVPEEHIDKRFTWADKYRPNALKHFLCNRNIALELKALAETDSSIRHFIFAGLPGVGKRTMIFALLREIYGHDKVQARDKCKVFHLKLGILNSETKVQRTQNMKIVKVAYSQNAFQGESVPSIKVNVKESNKHVEINLSETKGYEKHVLVQLIKERKHKSSSRSAPSNPDHNCKAIILGEADKLSTDAFDSTKLQPIKSICNVFHLQKPSDDEIVDVLKFIAQQEGIELPHQMAARIASNSKSNLRQAIRSFEATWHFNTSLTENQEIKTGWEDDIAEIAKNIIEEQSPKQLYDIRGKLQNLIEHNVSAEFIFNTVFEELKSNLDDQFHKEMDILKLKYNINSNDLEQGKGDNDAVKKIVHKFMKIEEFTAKFMSWYKIFVLKKGNQNPIISKGDR >Solyc08g036520.2.1 pep chromosome:SL3.0:8:11158113:11158667:1 gene:Solyc08g036520.2 transcript:Solyc08g036520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVVVSVVARISHDWVGVKSTACLAYALHEHLNVQDKKNEGKNRRDVRRCKINGCRSCYNCFSGSCYHYLKCP >Solyc01g109130.3.1 pep chromosome:SL3.0:1:96088865:96094651:1 gene:Solyc01g109130.3 transcript:Solyc01g109130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome assembly chaperone 2 [Source:UniProtKB/TrEMBL;Acc:K4B3C7] MEFYTEDGKNLSSDNSTLVLPALSIGNVGQLAVDLLVASLKAKRIGYLDDPNVVPCVGNDAYWPSPPGELALPLEVYESSPDALALVQQRSPIVKGMMVEFARNLANFAAANGKKHVVVLSSLEFGRWQSIDMSSGSQIHYLSSSKSDGTDDHCEKQGWKRLPEYNPTQRMWKHLDDLAKNAASEVEELPFEELGDEDYYASLPYAALFSCFKAKGLKVTCLLCYCSEGDNIPDAFHLADAVSKTLGLRPNSSQGNEGGSWTVPLSWKSVYGPPPDMSLF >Solyc10g054570.2.1 pep chromosome:SL3.0:10:55593025:55598203:1 gene:Solyc10g054570.2 transcript:Solyc10g054570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase 16 kDa proteolipid subunit [Source:UniProtKB/Swiss-Prot;Acc:O24011] MSNFAGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Solyc09g075120.3.1 pep chromosome:SL3.0:9:67350535:67355299:1 gene:Solyc09g075120.3 transcript:Solyc09g075120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSELIYRGPESVPVTDGYTPKPHKPWFTVIRPLRYLLREQRIVFLFAGIAIASLIFAFLPSSRSSGGSSYANTGIYDSYLPSESTESQVAHRMIYHNRVGFGSINSGGKIPLGLKRKVLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFGNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAEVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPLTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAKVVQETIDPNAQIVHKPNTEDDPHKRKPDISKAKELLGWEPKVALRNGLPMMVQDFRQRIFGDHKEESAKITTA >Solyc03g013455.1.1 pep chromosome:SL3.0:3:45954675:45955728:-1 gene:Solyc03g013455.1 transcript:Solyc03g013455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNVNFTTDAIILDESFNYRFPNPTNEESFQNPNCLPYFLGSESSLDSSQMNLNSFCQPKSQVTARKLQLTRMRTKIGCLEKLGTRKGLTCQGKEKDIMLRKNVTLKAQLGGTGVPSQVPPPPGINPYTPPWMSYTPYYMMNRQGSQVPVVPIPKLKSQALSPTQKSNKKLEKKKSEVKTKKVFSVSCVLFFILLFGGLGGQGESNQKNTNRAEDEFAHVGNGSDPLAHLFMSQGMIKFLRLMGTS >Solyc01g104490.3.1 pep chromosome:SL3.0:1:92839970:92860160:1 gene:Solyc01g104490.3 transcript:Solyc01g104490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTATALLTELQSSTNFTTNTYKNFSNYLHEFTTLSKPKSTDVTTIRSLAKQFLSFLNTSLSLLPKRLSESPKLPDESAPHLFDIYRLCLNCLEIISSQLSCKPYAVQAQRVRYIHCLDSWGKYQEVECEGLTVLKVLRENAIGKIKKEVKKSRSTQLLLPQLDGEYVDQEFALLVVEIVVTLVKCASLIQNKAVHEYDGLLDLVKEVVPWLKVLDMNARKKLHPVLVTYLNRITLIMVGDFTKFNGDLVCKFCIETLCQIKQSPLKDQLFKFARKICSSLFSQEHDEFSRIADTLKYVLDTMAAEIKVGQDNTIIEILELVCYCAYKCRSVTSNVCSILAAQLKVLATHVKNYYAELTGSQDELPTDLILGLYATGLLINESCIHDSRAVSLACDDVLQKLSSLLNFSKSYFDIDSKGGNYSQKRMFYVLSYFDALRFLCQPLAEYVISARKEILSVKETGSCNTHLEIIQDVFEQYIKVFLHNSTAHSKQDPCGDNDKVLRLVAVAAFTLSLRTKRDIKETVRFLKYLISSEQVQTNGLKYFFTSFYNIAVVLYRNKQMKEAAKALKLCCKASWKRVLCLCELFKHESDKFKNDLSEDDVIGFIDEACEKTAFLLEVLQLTGDCKVQKILIDSLKSWSAAEHLFEKLPSPTSVVKQFVKMELKVNDVDIEEGTTMLYSLMSPFVESKQALGIILEQELMAYRDLNARNPRLCQEMCLKIIALLIQEVYVSKDSYLQRCRFLLVKGEVLRARGFQYLKDCIQCLSEAIATVESTLKQKRYGENLACTNSASHLAAYAYCARALCSSEIEPDSKRLYEDIRAAARLWMSLNHCHTHDQCRMSETILNMLHQIVDFLSLKGYLEIHPDIYEMMIQIFMKNIPLEKSVSLLWRYRRLSHALCTSPVNEMFIKTLSNHCGELSKSVEYWMKCMKESQPQLVGFQQSFFLTLALSSKVSHNNQSLFHCDITEDKVKLTASELIQAVPLSSGSAFLSAYLYYDLSERLILNGRLIEALAYAKEAHRLRSKLLQENFQYQIEQQAEVYGLTKFQIYDSVAAKAWFPGSVSFDFDGSTLTPWNVLQCYLESILQVGTVHEMLGNGTEAKTLLVWGKDISCFQSLPLFIISFCCMLGKLYAKQHLLELAEKELNTAKQTLAENYDDISCLKCRTILEVSIDLLLGDLWRRQHCNTTSTVEFVSSVKEKYRSSFEKLNNFGWEDSVGCSLGACSQHTKHQARYSFANGSTDPSDLKEFPSQDKLEKAVEGRTTRKTKKEPEHNLRMTRSRYHSMKKCESSMDDEHANDAEDIGCMCYKLKCWHHLPLEIFRSGSLSSFVYLKWELVRRQLSLRLLTTTEKCLGLSGDSHEAQKLVLQSLSLFSSDPSCPKYSSLPLMSLVDQMGLNIWAVELAIDHSVILYRICYSILNSYTCKGTRKVSCKECRNFSCIKLSKVIGWLKLAFILSREIPLLSQKISRLLAAVYVLSTSVKSFSIAPSKAMSEGQWASFFHQASIGTHLNQHFFSFPLKKQKAEHDVDYEGSCSLRQPYLGSEELNMLRIAPESVEDLEDFVSNFFESLPSCTIVCLSLLGRSVSSFLTELLNSPYPIQSWVLLSRMSSTSQPITVLLPVHSILNEAADDVAEFTSSFPFEVKDKHWHCPWVSSVIDDVAPVFRDILENNYLSSSVHLLEDTTENRSSWWKWRKQLDKRLANFLRNLEDSWLGPWRCLLLGELSECELLDSLVKKLDDHFKCKAGADVHESLLKVILGGAKYACEKENCTSQMVINKGCHLHGGGHGKSKALCKTSTEVESLCDSVYKSIIDKAQEIEETESVSRRPVILVLDLEVQMLPWENLPVLRNQQVYRMPSVSSIRATLIKCCQDQQQVQKGGSPMKQGVPLSPSIPLIDPMDSYYLLNPSGDLSSTQCEFENWFRDQDFEGKCGTAPAVEELAEALKSHDLFIYFGHGSGAQYIPEHEVKKLESCAATLLMGCSSGSLYLHGCYAPRGVPLCYLFAGSPVIVANLWEVTDKDIDRFGKSMLDAILRERSNVCDQCDTLSDKLESLKISDRKRSERVKTKKDTTADLCNYNISTNHCNHRPKIGSFMGQAREACTLPFLIGAAPVCYGVPTGIINKKDL >Solyc07g053620.3.1 pep chromosome:SL3.0:7:62178981:62180851:-1 gene:Solyc07g053620.3 transcript:Solyc07g053620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKVLGVDKNATDDDLKKAYRKLAMKWHPDKNPQNKKEAEAKFKQISEAYDVLSDSQKKAVYDQYGEEGLKGGVPPPGAGGPGAGSTYFSTGDGPTSFRFNSRNADDIFAEFFGFSTPYGPGGGRGSRFGNMFTDDIFAASFGEGGGGGGVPMHSSVPRKEAPVQQNLPCNLEDLYKGTTKKMKISREIADSSG >Solyc01g101180.3.1 pep chromosome:SL3.0:1:90897700:90899922:1 gene:Solyc01g101180.3 transcript:Solyc01g101180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSHHYKYSIFLHFHFHQHFNSLSTTNQREKGKYKKKKAFCFLTKLITSFSEEMALLNNQDEIVRPVANFSPSLWGDRFHSFSLDNQVADKYAQQIETLKEQTRSLLSDAACGTTLAEKLNLIDIVERLGLAYHFEKQIEDMLDQIYKADPNFEAHDLNTLSLQFRILRQHGYNISQKIFSRFQDANGKFKESLSNDIKGLLNLYEASHVRTHGEDILEEALAFSTAHLESAAPHLKSPLSKQVTHALEQSLHKSIPRVETRYFISIYEEEEFKNDVLLRFAKLDYNLLQMLHKQELSEVSRWWKDLDFVTTLPYARDRAVECYFWTMGVYAEPQYSQARVMLAKTIAMISIVDDTFDAYGIVKELEVYTDAIQRWDISQMDRLPEYMKVSFKALLDLYEDYEKELSKDGRSDVVQYAKERMKEIVRNYFVEAKWFIEGYMPPVSEYLSNALATSTYYLLTTTSYLGVKSATKEDFEWLAKNPKILEANVTLCRVVDDIATYEVEKGRGQIATGIECYMRDYGVSTQVAMDKFQEMAEIAWKDVNEGILRPTPVSTEILTRILNLARIIDVTYKHNQDGYTHPEKVLKPHIIALLVDSIEI >Solyc04g081960.1.1.1 pep chromosome:SL3.0:4:65882624:65883331:-1 gene:Solyc04g081960.1 transcript:Solyc04g081960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEKPSKPKKNMILKFLPKAAAATVIFQNHPFSPGRDKRLHHNHKGFSGPIISIIPAEARIRKSNSETKEEEPTSPKVSCIGQIKQRKKLNKPRKTERPKSLEKKSSNFKNIFQRRRKTDVDKNETNMRCEVQNRAPPCLSQMRKYASGREGNLSNFDWKNVQITPDDHRKYYSDDDRDDYHEAEDEDEVIIPFSAPILIGRDNRPNFTLEPKKEINLWKRRTMTKPNPLQLKK >Solyc05g042060.1.1 pep chromosome:SL3.0:5:55460668:55460925:-1 gene:Solyc05g042060.1 transcript:Solyc05g042060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINFPFLSNPTSLIIAHPQTLIDPSAMPTPQQEEDAATPLVADSSSVAPSCCCGASVESGSIRGMGVRW >Solyc02g063200.2.1 pep chromosome:SL3.0:2:35794898:35797743:1 gene:Solyc02g063200.2 transcript:Solyc02g063200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLILEDWRSSLKVGFQRQAPPKPCYTSVIVYGDTCTFFVEGIARKLASSGYGVFAMDYPGFGLSEGLHGYIPSFDKLVDDVIEHYSKAKENPEMRNLPSFLFGQSMGGAVALKVHMKQPDAWNGAVLLAPMCKIADDVLPPWLLTQVLVGIAKLLPKQKLVPQKDLTELACRDAKKREQAAYNVIAYKHKPRLQTAVELLNTTQDLEKQLDKVYLPLLIMHGENDRVTDPSVSKALYEKASSSC >Solyc05g054850.1.1.1 pep chromosome:SL3.0:5:65503590:65504684:-1 gene:Solyc05g054850.1 transcript:Solyc05g054850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEEIRRSCDNVVEEEDYIDMEVSSNSNMFSQFTNSPSQAREFEFQMFPSCIDKDTTTSPADELFHNGKLLPLHLPFGTTQMVEKLLQNPNKHAHGTRKNDVYDESFSTPLFRTTTNTPTSNNTPFESCNVSPVESCQVSRELNPEEYMFEYSADANNANPVDDDENTKRSWTKKLKHIKNSAFGSKLKSSRSYLKSFFSKSGCSNEYSAAASRNIAKGPFPIAKEAKKESFGQIHRGGSNSKGVKKENDRDHQEIRGRHRRSFSGAIKRFSTAKSSSSSFSSSTSGSLSASSSNNSNEFQEMHFFKRNNNSYSDIENSIQAAIAHCKNSQHARKTISDIGVCSLSASKVITKEQERPGLCRG >Solyc04g078030.3.1 pep chromosome:SL3.0:4:62972536:62978181:-1 gene:Solyc04g078030.3 transcript:Solyc04g078030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDHLAKLAKRSIIQQSKHRLMFNNSISESNFVSHYQQCRVYSSRTTNSSATKISKSNFLSIPFSIQKSNPSSASAKTRIGFLAWYLGALESRPIITKSISSAVIFAAADITSQMIAMTPSDSLDIIRTLRMAGFALMILGTAQHQWFNFMGRVLPKRDVVSTLKKLLLGNFAYGPVINSVFFSFNAALQGENGEEIVARLKRDLIPTMTNGLMYWPLCDFLTYKVVPVHLQCWEKMMDPMRKAWGRVSTRIRIRKTGHVKLHRDVRKCEYEDVQILWNMLKKNEKEDASKSPCGRKKSSILGYC >Solyc03g117070.1.1.1 pep chromosome:SL3.0:3:67818941:67819630:1 gene:Solyc03g117070.1 transcript:Solyc03g117070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVQSSNSNQISNDEHENNDLILDLRLDHGVKSNIQTSSSDASRSAGNNNNNTESEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTIAKRSQKISAAAFGYDNKYSSMASLPLHGSFNRSLGIQVHSMIHKPATSTAFGAPALYGHPGWSIRRPIEQQPAIGRLGTESNFTNNYQTAATSSGAAAARFDNIIQKFPQIDGISQYRWDSGGGRTNKPDETKKLDLSLRL >Solyc01g096750.1.1 pep chromosome:SL3.0:1:87650585:87655236:1 gene:Solyc01g096750.1 transcript:Solyc01g096750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGO4D [Source:UniProtKB/TrEMBL;Acc:K4B063] MASSKDEATATKLDRHPMARQGTGSKGQKIRLLTNHFKVGMNNTDGHFFHYSVAINYEDGNPVEVKGVGRKILDKVHQTYSMELAGKDFAYDGEKSLFTIGALPGNKLEFDVVLEDVSSSRTDRGSPDGSPSDVDRKRSKKQPWSKAYKVVIKYAAKIPMQAIANALRGQDSEQYQEAVRVLDIILRQHAAKRGCLIVRQSFFHNEPRNFVDLGGGVSGCRGFHASFRATQGGLSLNMDVSTTMIVKPGAVIDFLLANQNAKEPYQIDWSKAKRMLKSLRIKTSPSNREYKITGLSDKPCNEQTFTLKQKNGDGGVQEVETTVYDYFTYHRRIPLQYSGELPCINVGKPKHPTFIPLELCTLVSLQRYTKALSNLQRASLVEKSRQKPQERMRALTDALKTSNYKADPLLGSAGISISDQFTQVDGRILPTPKLRVGDDQDLFPRNGRWNFNQKRLVEPVKLERWAAVNFSARCDVRKLCMDLQRCGKMKGIFISPPFQHIFEENQQYRRNPAPVRVEKMLEELKSKLPAPPQFLLCILPERKNSDLYGPWKKRNLADLGIVTQCIAPTKINDQYLTNVLLKINAKLGGMNSFLTTELSPTLPQISKVPTIIIGMDVSHGSPGRADAPSIAAVVSSRQWPFISRYRAAVCTQSPKLEMIDSLYKKVSDTVDEGLFRELLRDFYVSSKNVKPEHIIIFRDGVSESQFNQVINIELNQIIEACNHLEETWSPKFTVIVAQKNHHTRFFQTNSPDNVPPGTVIDNAVCHPKTNDFYMCAHAGPIGTTRPTHYHILHDEIGFSADDMQELVHSLSYVYQRSTTAISVVAPICYAHLAAAQVAQFIKFDEISEATSSHGGVSTAGSVLVPQLPRLHKNVRSSMFFC >Solyc03g097190.3.1 pep chromosome:SL3.0:3:60939864:60943639:-1 gene:Solyc03g097190.3 transcript:Solyc03g097190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKSKSTLGDTPNKSTPATPRDRVSKLSRGLSKSDADSTSPLQNSRLPVEKSPRSVTSKPSVERRSPKISTPPDKKPMRILKPSELQAELNVVHEDLKKAKEKLALAEKEKEKALEEVKESQRMAEEANEKLREATVAQKRAEENSEIEKFRAVEMEQAGIEASQKKEEEWKKELEDVKNQHALDVAALLTATEELQRIKQELSMTSDAKNQALSHADDATKIAEIQAEKVEILSAELVRLKSLLESRNQSDSSEKNKLVEDLNHEIAALREVDNEKNKLMENLKLEIEALRTEDCEKNKLLENLKLETEALRKEDDEKNKLLENLEHEKEALRKGDVEMNMMLENLKLANEVLRKDDGEKNKLLENLKLQIEALRKEDSQKNKLLEDLKLEVEALTEELEEAKSYEEKLVEKEALLEQLNVDLEASRMAESYAHNLVEECQKKVEELEAQSKEARHLERSASESLESIMKQLEGSNDSLHDAEAEIVSLKEKVGLLEMSTTRQKGDLEESERRAQVAREEASEMSKKVESLIAELEIVKEEKTQAIEQEKLAAESVQSLLEEKNKLINELDSSREEEEKSKKAMESLASALHEVSSEAREAKERFLSSQAEQEHYETQIEDLKLVLKATNEKYESLLDEAKEKIDDLTTSVEQSKNDNQILKAEWEDKELHLMSCVKKTEEENSSMEMEINRLVNLLKEAEQEAAFKEEAVQLKNSLQEAESEVTYLKEILGEAKGESMKLKESLMDKENEVQNILQENEELRSREAESLKKVEELSKSLKEALAKKESEENGELSESEKDYDMLPKVVEFSEQNGGGRVEEKPKIEVTPHQSEPIPEEKSEVVNITLHDKAVETLSEDEKPNGELTGNNNKQKEDDDSADGEFKMWESCKIGDKDFSPERETVQEEESDSKTEAGESYDQVNGLPSAENPENGGTSPTKPQSQKKKKPLLHKFGSLLKKKGTSSQK >Solyc03g078320.1.1.1 pep chromosome:SL3.0:3:51781813:51781974:1 gene:Solyc03g078320.1 transcript:Solyc03g078320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSYREEKSKIWRMLFGNNPFIDSVWIYGSSFESFLVVVVNPSKQQVEKWAK >Solyc03g093980.2.1 pep chromosome:SL3.0:3:57120546:57123265:-1 gene:Solyc03g093980.2 transcript:Solyc03g093980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREHHLQTPLKELGNRRSKSISADQTKKQIKSTRKNLNSVFESQAASSFSQSSIGTSSLFSDDHSLLTESASEDLLIPETSPSSEAVDPLVDLTPLSSTVTSDKFKECTGSNSRNEIPQISDVNFGSVEAEMAVKYLREAQVQVVNATDIDIRYKNLLDAFMNTVVEEFYGLPEDKDCYNAIVSKKLHLVTLTFLLWIIAVFIGFFFHSGENHSFHGPLPT >Solyc01g079950.3.1 pep chromosome:SL3.0:1:78954681:78956514:-1 gene:Solyc01g079950.3 transcript:Solyc01g079950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSNTTCFILSLSNIMSLKLPLSMLVIFLQLDIFVCSSEVLYLPVTKDALTLQYITEVSQRTPLIPIKLLVHLGGRSLWVDCDKGFKSSTYKPGVCNSTQCTYSNPNYCGDCILKPKLQPGCNNNSCYIWGENPLIDWFDDSAEIADDVLVIGSTPGVPLTWPRFVFACFVSPNMVRLLANGVTGIAGFGRESPISIPNQLALDSRFTKKFGICLSSSTTSPGVIFIGSGPYYVYNPKKIDISNNILYTKLIANKNAFLVTQEYYIQVSCIKIAGQQVPLNKTLLSINKKDIDGGTRISTASPYTILHPSIYDAFKTAFINALPKNVAIVEPPMKQFGLCFSSKNIKITNVGLDVPVIDFVLHKPSAYWRIYGTNSVVQVSKDVMCLAFVKQNEERQPSIVIGGHQLEENLLILDLPQKKIGFSSSLKFQQTSCSQYDNTILG >Solyc11g011860.1.1.1 pep chromosome:SL3.0:11:4810094:4812034:1 gene:Solyc11g011860.1 transcript:Solyc11g011860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPAISLPTNLTVAATIKANSSFKKLNKTPTNPFNSSLKSLTKSGKLDEALLLIESQKSSQLDIESYSSLLHACISKKSVEHGHRLYIHLLLNSNKSFLNDPLILSKLITLFSVCDQLDEARRVFEHAIGNGNRPESVWVAMAIGYSRKRCFREALLVYSQMLFRSIEPGNFAFSMAVKACSGISDLRVGRGVHAQIIKADKEADQVVYNALLGMYTECGCFWDVLKVFEEMPERNVVSWNSLIAGFVKKRQVFEAFETFRRMQNEDVGYSWVTFTTILAICSQVTYLYYGREIHSQIVKSTNVPDVVLLNSLLDMYAKCGVMEYCRRVFERMKYRDITSWNTVINGYAINGLMGETMKLFNEMVSSGVRPDGVTFIALLSGCSHAGLADLGEELFESMTGDFGIRPSLEHYACLVDILGRAGKIKEALQVVEKMPVKPSGSIWGSLLNSCRLHGNVSLAELVAEQLFEMEPNNCGNYVILSNIYANAGMWEGVKKVREMMENKGIKKEAGCSWIQVRNKVHTFMAGGGFEFRNSDEYKEVWDELSEAIEKIGYKPDTRVVLHDVSEETKAEWICGHSERLATVFGLIQTGSGIPIRVTKNIRICADCHSWMKFVSEITRRRIIVRDTNRFHHFDQGKCSCNEYW >Solyc02g021210.1.1.1 pep chromosome:SL3.0:2:22687881:22688168:1 gene:Solyc02g021210.1 transcript:Solyc02g021210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKCSRNKLREHDCSPLDQATLYNLLLPSPIESSYLYNATLVIRLLESFIIKEECCVPLTRMRKITSLMDLDIAEVAAQLCLKPSKCLALVRPVL >Solyc04g050300.1.1.1 pep chromosome:SL3.0:4:46477498:46478058:-1 gene:Solyc04g050300.1 transcript:Solyc04g050300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEHIYYPVHAFAQKSEVGKGCGKVSSKACGKVQSQQFKDFALEEQVDPTVKRRERAPHMEHTSHLIEGESLGHQQPNRKRKIYKNGPDFLSQVIFNGISCKSPIPHNSGNFPKLPKLQYNQETERTTTQNLIQITHVEEEMANMVRESIIESQRQLIEIDHNKLRGEISEKEEDNGSITLMKD >Solyc12g056310.2.1 pep chromosome:SL3.0:12:63231268:63232500:1 gene:Solyc12g056310.2 transcript:Solyc12g056310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIYPQTSSSSSCSSICMTKYVTTKRETFTLWMKSLVFHGNGCAVFDSKGQLVYRIDNYSKKCSKQVHLMDLHGTILFSLRKKKLSIFGHWNGYKMDEETPYFQVKKIGNLFIGDLNYGVIFGCDTNNYRIIALRGKLGFKIINKENRLIAEVKQKQSSSAVKFGDDVLSLVVEPYVDHSLVMALVTVYGLIRHML >Solyc11g010650.3.1 pep chromosome:SL3.0:11:3704534:3706899:-1 gene:Solyc11g010650.3 transcript:Solyc11g010650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVGQEAAIDLLKSEIPAEEDEPLLLTGDVNTGLVLVDIVNGFCTVGAGNLAPVTPNRQISAMVDESVKLAKVFCEKKWPIYALRDSHHPDVPEPPNPPHCIAGTDESELVPALQWLENEPNVTVRCKDCIDGFLGSIEKDGSNVFVNWVKANEIKIILVVGICTDICVLDFVCSVLSARNRGFLSPLKDVIVYSPGCATYDLPVQIARNIKGALPHPQEFMHHIGLYMAKGRGAKVVSHISFDTTTNET >Solyc03g119220.3.1 pep chromosome:SL3.0:3:69356906:69362957:-1 gene:Solyc03g119220.3 transcript:Solyc03g119220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Kinesin-like protein [Source:UniProtKB/TrEMBL;Acc:K4BM71] MTVRTPGTPASKIERTPATTPSGHRAKEEKIVVTVRLRPLNKRELSAKDHAAWECIDDHTIIYRSLPQERAAQPASFTFDKVFGPDSITEAVYEEGVKNVALSSLMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYSHIMSTPEREFRIRISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIESTLRESSDCVRSYVASLNFVDLAGSERASQTNADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHVPYRDSKLTRILQHSLGGNARTAIICTLSPASSHVEQSRNTLFFATRAKEVTNKAQVNMVVSDKQLVKHLQKEVARLEAELRTPEPANEKDWKIQQMEMEIEDLKRQRDLAQSQVDELRRKLQEEQGLKPSESVSPIVKKCLSFSGTLSPNLEEKAPFVGERTRNTMGRQSMRQSLAAPFTLMHEIRKLEHLQEQLGDEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRSVPPVLRKEVEVGNVVAVNKSVSANLKDEIARLHSQGSTIADLEEQLENVQKSLDKLVMSLPSNNDQQSNNDTTQKAKHPSKKKKLLPLASSNSINRQNFLKSPCSPLSTARQVLDCEIENRAPDLDDLSCEIQPMHENETPTKSDGGDISSKEGTPYRRSSSVNMRKMQKMFQEAAEENVRNIRSYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNLEDDENIHQIPEESPVSWQITFKEQRQQIIDLWDVCYVSIIHRSQFYLLFKGDPADEIYLEVELRRLTWLQQHLAELGNATPARVGNEPTVSLSSSIRAIKREREFLAKRLTTRLTAEERDYLYIKWEVPLEGKQRRMQFINKLWTNPHDEKHVKESAEIVAKLVGFCEGGNMSREMFELNFVLPSDRRPWFAGWNQISDLLHI >Solyc01g091620.3.1 pep chromosome:SL3.0:1:85072388:85076647:-1 gene:Solyc01g091620.3 transcript:Solyc01g091620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMRRLKSIASGRSSVSDPGGDSSIKRVKVEKEVDQRVVGETQMEEGCTTTTVPKEDMASTSMETTAGSTSTMDIRLENSELDELPKEMHEMKIKDEKDDKADSLDDNLKDMEPAVVSGNGTETGQIIVTTVSGRNGQEKQTLSYMAERVVGTGSFGVVFQAKCLETGESVAIKKVLQDRRYKNRELQIMRTLDHPNVVKLRHCFYSTTEKNEVYLNLVLEYVSETVYRVSRHYSRMNQHMPNIYVQLYTYQICRALNYMHGVLGVCHRDIKPQNLLVNPHSHQLKLCDFGSAKMLVPGEPNISYICSRYYRAPELIFGATEYTTAIDMWSAGCVMAELLLGQPLFPGESGVDQLVEIIKILGTPTREEIRCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPTLRCTALEACAHPFFDSLREPNACLPNGRPLPPLFNFSPQELSGVPAELRKRLIPEHLRK >Solyc02g093530.3.1 pep chromosome:SL3.0:2:55006876:55009308:-1 gene:Solyc02g093530.3 transcript:Solyc02g093530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSFSRLGRNLSDPSPGHITEEQLAHFWFMTSPVIVLLSRRETFNHLASWLEDARQHANPNMTIMLVGNKSDLSHRRAVSKEEGEQFAKENGLLFLEASARTAQSVEEAFIQTAAKILQKIQEGVFDVSNESSGIKVGYGRTQGPAGPRDGAVAQRGGCCS >Solyc06g074855.1.1 pep chromosome:SL3.0:6:46531903:46534596:1 gene:Solyc06g074855.1 transcript:Solyc06g074855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFICIRYIFGVSDFLLAYVVYPELDCRSSLVAGWRPLCTQNSIGKSLVNSILLTSGEAFSSTTTLKCLNSLLILSIRLLQFLLSSEQILNPSVPMALRLSGILMGGVVIVYEHKVKLLYEDVTRLMVVTFVFDPNQINLFLCSVFIKFRIYDWVQINEAWKVKAATDPTLLPKGKSQAKYAAITLPENREEELPEIEQTLRNPDTVTMMDFEQTSYFTMRLDNADLYDKPNAQEEPAKDLHQVDPDNITLAERFESHHTDMFNHFERFDIEGDEETQMNYTQPEDAQIPSTPVQSPPREQAHQRKNVLLSSTLDK >Solyc08g007110.3.1 pep chromosome:SL3.0:8:1670136:1682832:1 gene:Solyc08g007110.3 transcript:Solyc08g007110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPNNLYDTASQPDTGNDAYTFLEFNTQGEEFDYPEFQELSQPIRSSAWPTPSDSLVSEVPDRPPSSEASPSTKSRGGGGNSNVSSSSNQASVVDALAAGMSGLNFEETGDDEGFEYGKGDFGVEHACKYCGVTNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLDLSLKAHTHYSPGLGRGIYSLSKRQCCASLAAERVGAAEEVDFFWQGRVSDNVVVSFRPWPLPVLSGNLCDEKVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLSVNALKDMNWDLSQWCPLIDDRCFLQWLVKVPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNIFAPLIKLEADYDKMMKESQSKDNLTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVVKLTAQEEVALELRASQGVPIDVNHGFSVDFVWKSTSFDRMQSAMKTFAVDETSVSGYIYHHLLGHEVEMQMVRNTLPRRFGAPGLPELNASQVFAVKSVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREIAQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQAVLVGDHCQLGPVIMCKKAARAGLAQSLFERLVFLGVKPIRLQVQYRMHPALSEFPSNSFYEGTLQNGVTVNERLSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLKSGVVPSQIGVITPYEGQRAYIVNYMARNGSLRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNGLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNERRLFFGGGPGAVQGDSFGSASGPSADRRNSRPRGMVNLNLPPPAVNCLFECCMALRIPALEYVSVSSDLSGTLLLLFFVL >Solyc04g016390.2.1.1 pep chromosome:SL3.0:4:7191591:7194015:1 gene:Solyc04g016390.2 transcript:Solyc04g016390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKKKAGALFVRLVSTAGTGFFYVKKKTKTLITNQTKLEFRKFDPRVNCHVLFKEEKMK >Solyc11g044630.1.1 pep chromosome:SL3.0:11:32647589:32647860:1 gene:Solyc11g044630.1 transcript:Solyc11g044630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGHHDPYALGDTRAAMAETKGHNTARAAARLYETRILHTDRHTMGVGHARSRYLNNKEGDAKGKASGWSEVVTR >Solyc05g014345.1.1.1 pep chromosome:SL3.0:5:8201099:8201107:1 gene:Solyc05g014345.1 transcript:Solyc05g014345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AR >Solyc02g067240.2.1 pep chromosome:SL3.0:2:37995156:38002354:1 gene:Solyc02g067240.2 transcript:Solyc02g067240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPLFLQLSHKAIEHFNSEHNTNYKFVEIVTVNTSAAAGMWCYITFLAKDSNASMTFQALVWWGIDEKIEVSFCRLKKQGVDADIASHLKPNSYSDHMLAANNGYTACNNTTTRDLRPQPWEEGAGNQKMYNQLLPINYLY >Solyc01g110820.3.1 pep chromosome:SL3.0:1:97264968:97270149:-1 gene:Solyc01g110820.3 transcript:Solyc01g110820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCMTGNPVRQHWAMEEVKECTLLIRHLPEAIPHETLSRLLSNYGATSIRPCTTARMRNCAFVDFKDEGLAHQAQQHLNGVRFLGKTLVVERASRSTDRQSEHRIGDGSVSSIKDAAAKEFGGVSREGPYPGSNPIAERLGVDYPFPPHLEYVYPPPDGHILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPTSLSVPPPPPPPPAPIVSKSRMEDLSSSESEMESSDEEATIVGGPKKKRIRHEAILGPAVDKDVGHEAVGLRAAALVPKEMPVVKKKNPIIQIKVTPKQVQNEEKGDASALSLTLEEKENDHKPFTTLEELKSGRLPPEEILSLPMFKNYCAGNPSQILYLKNLAKEVIVDDIYFIFGSLFVSIDEAKSSLAVKLMQEGRMRGQAFVTFPSIELAQNALNLVNGYVFKGKPVVIQFGRDPAKAKTS >Solyc01g107966.1.1 pep chromosome:SL3.0:1:95246864:95247382:1 gene:Solyc01g107966.1 transcript:Solyc01g107966.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIILYMQADKSKIVYEALNCIRKLQNTFNKLESSQKVGYRLEKYAGDHGSTCNSTTITQSSTNVVLNVAGEDAHISVCCPKKPGLFTTICYVLEKH >Solyc10g018620.1.1 pep chromosome:SL3.0:10:8868141:8870414:-1 gene:Solyc10g018620.1 transcript:Solyc10g018620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSDLELNTCREIVPYVPRISGAPVMALQKQIDNTKFILEPTPISSQPIQNDVETIIIPQRLARKKRSLVTTKAIENTLVDFVIVPSEGATNLYTIKLKKNEDQASDSYGLNSKYYDMLHGWKAERRQRQNGICETFYFHESKRSMCRSIGDVRRYIFKGFENLKVDVHPETNVVIESMVGIMEKKSKKRKRDSSNSKKELAVQKRKTNGQHSIDKSETPKFLDEVWNNLMNMDELYNNQVTFVKTTITNCGYTKIHH >Solyc08g075420.3.1 pep chromosome:SL3.0:8:59667658:59677051:-1 gene:Solyc08g075420.3 transcript:Solyc08g075420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEGVGPRLSKRFSDKGGEVDYKTKAGTAWSHSFLNQKPWHPLSYPNQRRKWIAEQTHAQRELRAEEIAREYAQEQEFFRQTALVSKKEKEKMEMMKAVSFMYVRPPGYNPESAKAAEIADKAREQGQGHGSTSQDISAAGASTSGRPEVPPDQEKKKPRAKDIYGRPLPTEEEFEVLKNAPRLETGVVGRAKPFGIEIRNVKCVRCGTFGHQSGDRECPLKDAIMPNEESRIKRDDPLTAILAQTDASEGTQRLEGFIKVLICPAHLLKVFGWPLKWELKQKPGISPPRGGFELDDPNQQIVAEDIFDEYGGFLTGDNMPDLLASLSSKPKKKKKSSKSKNRKRSSPARADLRDDKDFSSSSDDDGGRSLKKKKHKKSLKQSKSSDDSDGHQRRSNRQRHQKSSWGKHSVSSSEDDNNDRYQRSNRDRHSRSSSPEPEDKEHRKKKNHHHSHDSEDDDNDMHQKRNNRQKRSRSSSPEPEEKDHRKKNDHHHYRDSEDDDSGRCQKRNNRQRSPPEPEEKDHRKNNHRHYYHDSKDDDNDRHQKRSNRHGLSGSPEPENKDHCKKNHYHHYLDSKDDDDDRHQKKRNRRGCSPEPEDKEHSKKKNHHHHYHDREEDDKDKHQKRSNRSRRSPEPEDTEHSKKKKNLLHYCDRDDNDNDRHQKRSRRQRRSRSRARMASSFLLRNVINRSFSTSPFEAMISKMKPSKELESMMEQFSLDISSQIGSCMPLGMMRIGTLIHNIELRPGQGGKLVRSAGTCAKILTEPNASTKYCEVKLPSGVKKLIDVKCRATIGQVSNPEHGTKKLRKAGQSRWLGRRPTVRGVAMNPVDHPHGGGEGRSKSSGSHGRVGNLSVLSAVPQAKAASHARRKVGRFDIVRQVFRSLAERSIAAWNSMISAYGFHSNA >Solyc07g005583.1.1.1 pep chromosome:SL3.0:7:472859:474854:1 gene:Solyc07g005583.1 transcript:Solyc07g005583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRMLTSGLVCPSKWVGQAVMDNRMHKQGLDGRPRKNLAFLTSESGSPKKWCAIAHENRRNEGYARFGARLTFQMGRTSRDGQPYA >Solyc03g044000.1.1.1 pep chromosome:SL3.0:3:7813862:7814302:1 gene:Solyc03g044000.1 transcript:Solyc03g044000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYNPRVSSSRRKSRKAHFTAPSSVRRVLMSAPLSSELRAKYNVRSIPVRKDDEVQVVRGTYKGREGKVMQVYRKKWVIHIERITREKVNGSTVNVGINPSKVVVTKLRLDKDRKSLLDRKAKGRAAADKDKGTKFTAEEVMQTID >Solyc04g049494.1.1 pep chromosome:SL3.0:4:42104750:42112330:-1 gene:Solyc04g049494.1 transcript:Solyc04g049494.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILRYIKGTLHFALGIISQSPCRLYGYSNADREVVSQLGDQLQTSKKQTTVARSSAEAEYRALAFIAAEITWILYLLDDIEVIHLSGLSDILASICRVPK >Solyc06g008970.3.1 pep chromosome:SL3.0:6:2909195:2918151:-1 gene:Solyc06g008970.3 transcript:Solyc06g008970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQLEDVTIYFPYDNIYPEQYQYMLELKRALDAKGHCLLEMPTGTGKTIALLSLITSYRLSKPSNPIKLLYCTRTVHEMEKTLAELKLLYNYQLQHFGPGARMLAIGLSSRKNLCVNPSVVSAENRDSVDAGCRKLTASWVRAIAVENPNIPTCSYYENYDTADKAGTSTLPAGVYTLQELRLFGKEKGWCPYFLARHMVQQANVVVYSYQYLLDPKVAGIISKEMERECVVVFDEAHNIDNVCIEALSVSVRKQTLEGATRNLSRMSNEIERLKATDAGRLRVEYNRLVEGLAQRLPAQDVWLANPALPDDIMKEAVPGNIRKAEHFLSVLRRFVQYLNGRLETDNVEKEGPVAFVASINTQVGIDQKMLKFCYDRLHSLMLTLEITDTDEFLHIQTVCDLATLVGTYARGFSIIIEPYDERMPHIPDPVLQLTCHDASLAIKPVFERFQSVVITSGTLSPIDLYPRLLNFNPVVSRSFKMSLTRDCICPMVLTRGSDQLPVSTKYDLRSDPGVEKNYGKLLLEMVSVVPDGVVCFFVSYSYMDGIVNSWHESGILKDIMQHKLVFIETQDVVETTLALDNYRKACDSGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSRILLARLEYLRETFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMAVYIAKEFLRKMAQPYDKNGALGKKTLLSQEDLENMITGPDGEMLL >Solyc06g019176.1.1.1 pep chromosome:SL3.0:6:18160633:18160683:1 gene:Solyc06g019176.1 transcript:Solyc06g019176.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GLAYRLVNLHFCKTKR >Solyc12g014140.2.1 pep chromosome:SL3.0:12:4949918:4952062:-1 gene:Solyc12g014140.2 transcript:Solyc12g014140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYTKQTSRSHIYISYYKVEEDEEEKEKNQPQPQFFQFQQPFTHHDHFMYEDLDTIKQQQEQARSKKRSFFPPPPPRPSCSKQKGVTSSKFGCGEIVEVQGGHIIRSIGRKDRHSKVCTAKGPRDRRVRLAAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKASIDELAELPPWKPTTTGVDHALQDDIDVGTASTIMLGQNNSASSSGENVNANTKADGSFMPHSLDSDAISDTIKSFFPMGGSGSNEGNSFQSFQQHNLMSRSQDLKLSLQSFQDPQAQFEASNFFTGFDASVWPQHQQQPVELGRLAAARGDIGGGAIAGAGSYLFNSQPAPPLLQQLFTQNQFLSQRGPLQSSYSPSIRAWIDPSAIAIATADPIHQNQNHHQAVFPMYSTSLSGIGFASELGGFSGFRIPTRIQGEIEEEHDGVSDKPSSASSDSRH >Solyc01g103650.3.1 pep chromosome:SL3.0:1:92076383:92082983:1 gene:Solyc01g103650.3 transcript:Solyc01g103650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIGLTSESPYVIMLKAAFLIPISHYLFGFLFLLIVFLYNFVEMYFIKELINGFRGQSVSLTFNSCSNLYQEVVSKCKILHGRFSSTPWLCSPHFQTIFLQFFERVPACNYQRQIFKTSDGGTIALDWLRNVDDKKPSIKGFDGVQSDDKTPIVVVIPGLTSDSGSAYVKHLAFKMVKSGWNVVVSNHRGLGGVAITSDRLYNAGCTEDIRKVIDHLHAQYPQAPLYAVGTSIGSNVLVKYLGEEGVNTALVGAASICSPWDLLIGDRFIKRRLVQRSYDRILGIGLKDYAELHRSVLPSLTDWDSISKISCIRDYDKHVVCVLGNFETVDTYYRTVSCSSYIRKVGVPLLCISALDDPVCTRETIPWDECRANKNVVLATTQHGGHLGYLEGMTAKSLWWVRAVDEFLCALNSSSLNHRAKIQNNRVLESPLNSSKEKAV >Solyc12g005600.1.1.1 pep chromosome:SL3.0:12:321403:321624:-1 gene:Solyc12g005600.1 transcript:Solyc12g005600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMNLSRVWMAASLAVVNGHSGHGEKLKADVADVRLFAGVLAGSGDHLRLKNCWEKKQTDESLRQVMYLSCWG >Solyc09g018695.1.1 pep chromosome:SL3.0:9:16676247:16683901:1 gene:Solyc09g018695.1 transcript:Solyc09g018695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLGCKVLPIHEQPVSVADATPVNRRPYRYPGMKKDIIEKLVLEMPDQDVIQPSSIKDTFSIPIIEDSLDELGGAEVFSKIDLRVGYQQLRMKETDTYKTTFKTQGHYSFLVMSFGLTNAPSSFQSLIKDMSAHVHHLQVVFDSMKQHQLEVCFWGTSGRNDKFMCNERASVAFHVLKDALVTAPVLALPDYDKPFIIEIDANSSGIGVVLMQQGHPIAYINKSLAPRHQAIMFLYGQKPPVHLPYLAGESSSDTVERSLEATWEFLYELQHRFLHFTIEDKGDFHWGNVVKCKKCTEEVREELKNYMEEKKLRKEVHTNEFLEFDEFEYQDNVGGEDDVQEINHKERGGGSFPSGSNKKLPKGKGPMDIFLQKRGTLRQTNIKDSCDKEARAMMIQKFARFFYDNEIPFNVAPSKSFKEAIEAVGRYGPNLKPPSHHELRVPYLGRKLS >Solyc02g079537.1.1 pep chromosome:SL3.0:2:44619452:44620872:-1 gene:Solyc02g079537.1 transcript:Solyc02g079537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FGDDSLKKILLILFHPDPKDIRDKVIRMIKATMDFLMSYLAQSMKGEGINQPHPWRISEHQNPALSLTAASETNLASLAQAEKLPTDGSEGLIHDFSRFVVLVLTSTPIFMVCKKQSEGSRKLSQRTLPLELSFKIKFPVSKSCAVEFLTAGEIFDDHILLFCEFKITRFPSSDIVTLPLESCKGLSLLATHTTVGGWTLNITPT >Solyc11g056637.1.1 pep chromosome:SL3.0:11:47489413:47490845:-1 gene:Solyc11g056637.1 transcript:Solyc11g056637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLDLMSTHAWGSAALSYLYNCLCRASMKKSNEVCEFLSLVQIWAWERIIPQQPLPKPLRTNQFEALTALACKWTRRRNHQNEARTVISVIRDVLDNLTDEQPYSEDVINGLPEWCRSGQRVWMAHVPLIYGIYP >Solyc01g091570.3.1 pep chromosome:SL3.0:1:85047272:85049049:1 gene:Solyc01g091570.3 transcript:Solyc01g091570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESSKSKSSFTKDTIISEDLSEEAAFLADRLLSRVQLHGDKFPAGYESFSELTRGCLKVHSIHPGKVSCILSVKSAISNIYGSMHGGAVGAVAETVSIACARTVVGKDKKLFLGELRMSYLSTAKSNAEVIVDGSIIKSGRSTTVVATDFQLKDSKKLVYSSSATFYHMPIASL >Solyc02g068560.3.1 pep chromosome:SL3.0:2:39080540:39097943:-1 gene:Solyc02g068560.3 transcript:Solyc02g068560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKENGSPSSKMLNRNWVLKRKRRKLPSGPDVSNDKEKASKPLDLPSSDSPKSRVKNEITSSRSSSKKKGNDGYYYECVVCDLGGNLLCCESCPRTYHIQCLDPPLKRIPTGKWECPTCYQKNDTHESVNPLDMVSKRARTKFTGGKAKNENKSSGISKISLIFESSIPGKKRSSGKERTPLSHLSQMEKLGNSSNDVPCDIEPSHCSLDGAADGSSLHIGADKEKEVPPADNPVEKEVPPSDTPAEKGVPSADTPLEKPSSSMNDATPFLNMTDSKTNDKASEKKPDLPSSDRSPGGEPVAVSEAASRKDRKRKPNFYNIDSQNKSRSDKGKLVADNTKRSGSKSSKLQKKRKRVNRQPSVTASNRDRRDIETQLKDELVSEEGAQPSDLSHEAGKVAAEPLIYDNNGPSLQQVDRVLACRVQDDNISCSHDIPGINANDPALRDSAREEANDGKPSGDVSVVEVGIEYPGSGSQETLDIPDKGKSSKDDTSKDEMHVCRRSGSRECTEGTGTVKEDSQGSVSEGAINNNEEDIAVNADDYLANTQNTSGESNDSTEKNYNDKTKSKDDVTSGTHKVGTAKGKDEMITTDTTSFKKSEETVLAKPSTSNSVNVVYEYLVKWVGKSNIHNSWIPESQLKILAKRKLDNYKAKYGTATINICDEQWKLPQRIIATRPGMSGSDEVFVRWTGLPYDECTWEKIEEPVIAKSSHLIDQFNQFESQALARNATKDDMARKRKERQKNDIVALTEQPKELGGSLFPHQMEALNWLRKCWHKSKNVILADEMGLGKTISASAFLSSLYTEFNAALPSLVLVPLSTMPNWMAEFQLWAPHLNVVEYHGTAKARAVIRQFEWHSRDQSDLNKRSTSYKFNVLLTTYEMVLVDSTYLRGIPWEVLVVDEGHRLKNSSSKLFSMLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPSSFPSLSSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQLLRNIGKGIAQQSMLNIVMQLRKVCNHPYLIPGTEPESGSVEFLHEMRIKASGKLTLLHSMLKSLHKEGHRVLIFSQMTKLLDILEDYLAIEFGQKTYERVDGSVAVADRQAAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKRKLMLDQLFVNKSGSQKEVEDILRWGTEELFSDSSSMAEKDAVENTSNKDDTVPEVEHKRKRTGSLGDVYKDKCTKGSTMIVWDENAILKLLDRSNLQSESPDNNEAELENDMLGSVKSLEWNEDGAEEQAGIASDMVVSEDTCVQNVEKKEDNLASSSEENEWDKLLRVRWEKYQSEEEAALGRGKRLRKAISYREAYASHPNETLTENAVEGEPVPVPVPEPEREYSQAGRALKEKYAKLRAKQKERLARRNAIEEASGPMEEQAGRESLCHLLPPQAHYVNLMNVSSRNREEKHVVMNLENNSCLKSSETQKNMGDSALRLGKLKHKVNDNIDLPSRGHPLADIPQSSNHAQDMSYIKSVDKQLLPILGLCAPNAHQVEAPQRNLSRSNVRQHRQGLGLEFPTIAPPPEISTEMVAKGFPPRFRLPDLPLDPSQQPPKNSLPDSYLPFNPHPRPAMRERCSAGNLQNSCATSSDIQDRTALPKPFDKPLLPRYPFPAMNMPRPPSALFPNLSLGSRDVNESVREHPVLPFLPNLKFPPHDAPRFNPQEQEMPPVQGLGHMAPSSSSFPENHWKVLENIMLRTGLGSGNLLKRRNKLDVWSEDELDCLWIGVRRHGRGNWDAMLRDTKLKFSKYRTPEDLSIRWEEEQLKIMDGPALSAPKPSKPTKVGKSGLFSGISDGMMARALHGCKLNKQFLPTHLTDMKLGLRDLPSSFPHLEPPERLDLNSKHISHLPTPSADKYRVNIPRDLNAGPSDRLGAPSSFVTESPFLLNSSGSSSLGPLGLGCQNRFALQKEIDDGASRFVNLPSLLDRSLNISHDSHNNAGGGESSNYPSLPVLDKGQRVSQSKGKEVVECSSLKNKLPHWLREAVNIPTKLPEPDLPPAVSAIAQSVRMLYGEENPTIPPFVIPSPPPSQPRDPRLSLKKKKKKKKKKHGLQVMRQFPIDFAGTIDVQGSSIHGESMAGTSSLQDPAFPLLSGVMDRTSGLPSNEANLNIPPLSVNVNPSTRIFPLMKKSSGLSPSPEVLRLVASCVASGPPIATSSSFLGNMVPLPKSVDQVASSDTQDSHVAPGPPIATSPSFLGNMVPLPKSVDQVASSDTQDSHEKQETDQTSAPSTLGPFQAEKKVETNSRDSSKTQSDSARARQEEVEEISSEGTVSDHQEDDREP >Solyc09g056050.1.1.1 pep chromosome:SL3.0:9:47081485:47081784:-1 gene:Solyc09g056050.1 transcript:Solyc09g056050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRIHNVKCVLRLQDDYRFVHDLETRISHALELSMQSAEYIQLSESGVREFIKFMHPVKCAITHQEAFGSLGSFLFLMQDEVERFEIKGMHRVLVTCW >Solyc01g056930.1.1.1 pep chromosome:SL3.0:1:57486269:57486535:1 gene:Solyc01g056930.1 transcript:Solyc01g056930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGILIIEPIRLSVKDNGLFIIYQTIGISLVHKIKHKTNQRYRERRYVDKKNFDVFNLQPQTQRINTEKTHFRFAYSRINKDHPQIP >Solyc03g119250.3.1 pep chromosome:SL3.0:3:69374126:69377163:-1 gene:Solyc03g119250.3 transcript:Solyc03g119250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAKRFLDNSNPDPNQPNYKRMRPSFASVIKEAMKANFLENVSSALEPMLRRVVVEEVEKGLRRYSCLSITRSPSLRIKALEPSNLRLIFNKKLSKPIFTSSKVVAGDGQSLQILLVDTSGEGMVPTTLPYPIKVELVVLDGDFPSGETETNWSREEFDKRVVKERTGKRPLLTGELNFTMREGVVPVGEIEFTDNSSWIRSRKFRIGAKVVQIGNNQTSVRIIEAVTESFVVKDHRGELYKKHYPPALGDEVWRLEKIGKDGTFRKKLSSKGINTVQDFLKLATIDTQQIRTILGTGMSDKMWEVTYKHAKTCEMGSKLFMARGSNYVLILTPICEVVRAVIDGQIYPTHDLTGIQKAYIQNLVKEAYTNWSSLEEVDGLVNEPALLTQGEPMVDQYPNVHQQQQPMIRSYQRNTILTDASEQLIEYNDWIGNPNYI >Solyc12g019752.1.1 pep chromosome:SL3.0:12:11419109:11419446:1 gene:Solyc12g019752.1 transcript:Solyc12g019752.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVKGISGEETLSNVAITAYSRNFDTIKDVKYRIGIKEGENSKKFSLIHDGDFLEDDKTFYKIDAGSTLLMISNLRDQVSCFPW >Solyc01g007810.1.1.1 pep chromosome:SL3.0:1:1906576:1907472:-1 gene:Solyc01g007810.1 transcript:Solyc01g007810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSSLFKNSSQNSSSTTTTTPWPWSLPTCGKPKTLSFRLEKNQHNIYNSTFHLDDINDTTSCSFDDFFSEIDETSSSSTTTINGQDCIEKVIKGLRLEKERLFFEPEETSSILDFQENKNISITSSNININVVDEGNIISFVPMGLDSNDPFVDFRKSMEEMVEAYEIKDWENLEELLTCYLKVNCKSNHGYIVGAFVDLLVNLATFSDNNNNVGVDIGAGVGAGVDESTIIMTTIDEEQQCLSSSTTTTTTTTNHSFTSPLSFCSSSCSTSSSITSTSACLSLLLEEDEVIQTKKH >Solyc03g083095.1.1 pep chromosome:SL3.0:3:54335381:54346291:-1 gene:Solyc03g083095.1 transcript:Solyc03g083095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLRTPTNLSNKSCLCVSGRVVKGLRVERQVGLGFSWLLKGRRNRKVQSLCVTSSVSDGSSIAENKKVSEGLLLGPERDGSGSVVGFQLIPHSVAGDATMVESHDIVANDRDDLREDAEEMEETPIKLTFNIIFVTAEAAPYSKTGGLGDVCGSLPMALAARGHRVMVVSPRYLNGGPSDEKYANAVDLDVRATVHCFGDAQEVAFYHEYRAGVDWVFVDHSSYRRPGTPYGDIYGAFGDNQQFRFTLLSHAACEAPLVLPLGGFTYGEKCLFLANDWHASLVPLLLAAKYRPYGVYKDARSIVAIHNIAHQGVEPAATYNNLGLPPQWYGALEWIFPTWARAHALDTGETVNVLKGAISVADRILTVSQGYSWEITTPEGGYGLHELLSSRQSVLNGITNGIDVNDWNPSTDEHIASHYSINDLSGKAQCKTDLQKELGLPIRPDCPLIGFIGRLDYQKGVDIILSAIPELLQKDVQFVMLGSGEKQYEDWMRHTENLFKDKFRAWVGFNVPVSHRITAGCDILLMPSRFEPCGLNQLYAMRYGTIPVVHSTGGLRDTVKDFNPYAQEGKGEGTGWTFSPLTSEKLLDTLKLAIGTYTEHKSSWEGLMKRGMGRDYSWENAAIQYEQVFTWAFMDPPYVR >Solyc01g091465.1.1.1 pep chromosome:SL3.0:1:84958821:84959396:1 gene:Solyc01g091465.1 transcript:Solyc01g091465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTEWFKSIGKFVGQLKKGKPPKRMASDLSCLSGFATMEVSNQLKLVFKLIDTNGDGKISPLELTEILLSVGHDQELKAAEELAEVMVKEMDCDGDGFVDLDEFLNAMGVEKDEAKDIDEIIRQVFLVFDADNNGLISAKELRRVLISLGCGNSSVKECRRMIKGVDKDGDGFVDFQEFKQMMAAGCNL >Solyc09g083160.3.1 pep chromosome:SL3.0:9:69249299:69250337:-1 gene:Solyc09g083160.3 transcript:Solyc09g083160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATFLKVFLVSVLLMLFGQGSHVQGECTSDADCAKVMKCIEAEPICDLTSHQCVCQRNPPTNYGTRNIYKTHQN >Solyc11g021160.1.1 pep chromosome:SL3.0:11:13394948:13395787:1 gene:Solyc11g021160.1 transcript:Solyc11g021160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSTAPDLEMCIIQGTLVWRTPPVQTEMEHLTTHLHRPRTTRSPHSFWGDEGIVPFKPFFLESKSKWEQDGPAAPGKRIEEASDYFMHAPLGSGGYSSVGRALLLKLGRCDYGLDV >Solyc02g092513.1.1 pep chromosome:SL3.0:2:54221409:54222995:1 gene:Solyc02g092513.1 transcript:Solyc02g092513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGTNLYGCTNIQIGAYVLLAKLMEIDDKCLYLGIDDYSHENLKNYLITQAMLSSRVDGDSHKWIDAKNQGKTDELIRKKKSRRSHSAPPFTKARRKSLS >Solyc02g036300.3.1 pep chromosome:SL3.0:2:30970553:30973026:-1 gene:Solyc02g036300.3 transcript:Solyc02g036300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHLCWKKLLRCASNKQSYRSEKRLGIGRRCFEYFYPAIPGESLIFIEVALVKDVAASIQPGLSGVNLGKFLIKRVVDVVKKDMPNICVFATLSPVTGFRQWML >Solyc01g058190.3.1 pep chromosome:SL3.0:1:65518970:65539312:1 gene:Solyc01g058190.3 transcript:Solyc01g058190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVASNKKKKKSDSHSFIPKPDEATGPFPEAVLLRERKVQEDGRLVPEFADAEEVRHYEVVYLIHEDREDEVESVNANVQEFLKEKKGRLWRFSDWGMRRLAYKIQKASRAHYILMNFELEAKWINDFKSMLDKDERVIRHLVMKRDKAETEDCPPPPEFHTTGAEF >Solyc01g049960.3.1 pep chromosome:SL3.0:1:47608976:47627525:-1 gene:Solyc01g049960.3 transcript:Solyc01g049960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEERDSSQNKVWHSYISEDLPRTVQQSTYSAIRSARSIQNTSSTHLRTLQDFMPKIKGQYRTYEEVFFRKIKDELVSAREHPALAGGIGIAAGLLLTRGPRRFLYRQTLGRLQSEEAKFIKAEKNVKELGLSVGLMKKESKKLLERASLAEKDMKRGHSDLMVAGNQIQSVAKSVYKVEGQAADLMDVLREIPGREALKLRAEEHKK >Solyc04g039670.3.1 pep chromosome:SL3.0:4:13013801:13018672:1 gene:Solyc04g039670.3 transcript:Solyc04g039670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLAGYDLAIKSAQITESTDLNELVEKEPWVSSKKLAVKPDMLFGKRGKSGLVALNPDLAQVALLLKERLGKEVDMSGCKGPITTFIVEPFVPHNEEFYLNIVSDRLGCSVSFSECGGIDIEENWDKVKTIFVPTGTSFTSEICAPLAATLPLEIKGVIEEFLKDVYTLFQDLDFTFLEMNPFTLVEGKPYPLDMRGELDDTATFKNFKKWGNVEFPLPFGRVMSATESFIHGLDEKTSVSLKFTVLNPKGRIWTMVGGGGASVIYADNVGDLGYASELGNYTEYSGAPNEEEVLQYARVVIDCATADPYGRKRALVIGWGIANFTDVAATFSGIIRALKEKEFKLKAARMHIYVRRGGPNYQKGLAKIWSLGEEIGIPIEVYGPEETRTGICKQAIECITA >Solyc01g106730.2.1 pep chromosome:SL3.0:1:94366973:94371397:-1 gene:Solyc01g106730.2 transcript:Solyc01g106730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVSKGRQKVEMVKMKNASNLQVTFSKRRAGLFKKASELCTLCGAEIAIVVFSPGDKVFSFGHPNVETLVDRFLGRDLPLPNNDVHNHLIVAHREAGIRELNTKLMNLEGVLHMEKKRGESLQEIRRRANGQWWESPIEELNLFQLQHLKEALENLEQKVEKVAHQQQMLNNIAFPFRTLGSALTPPNCARETSSYGLNVGAPFANRDIGSTSSIIQMTKMSKESNLLVTFSKRRSGLFKKASELCTLCGVEIAIVVFSPGHKVFSFGHPNVDSIVNRFLTRNPTSSSSTTCQLVEAHRNANVRELNAQLTEILNQLEFEKKREVEIEKIQKGKIGKNWWEGPLNELEHGELEQLKLGMEELKKNVTKQMQKIIFEASNAPTFFLGGSSSSNGDVKNMKGLGLSMATNGGHTSFP >Solyc06g030656.1.1 pep chromosome:SL3.0:6:20525417:20525731:1 gene:Solyc06g030656.1 transcript:Solyc06g030656.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKIVIKKIEDAVSRQQFYSKCKDSIVKKSNELGFLCDTNIALLMVSPYGEVTSYSGGESFETKAIFLQKK >Solyc02g079025.1.1 pep chromosome:SL3.0:2:44200346:44205302:-1 gene:Solyc02g079025.1 transcript:Solyc02g079025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAAASGNVCFNSNCKEFVEKTRKGWRRRTGEFAELCDRCGSAYDDGKFCDAFHLNSSGWRSCESCGKQIHCGCIVSFHTFVLLDAGGIECIACARKSFILTPNPAWPPPSLFLPLQPERIKDVNNWNPIAGSGPVPWRQAPSLFNGSTNQNELQHKAPFPEATVSIDRLSLGERPFALLSDNNRKDSCEKLMNGKLKIGVPGTPEDGYAGSNRDEAHKSCLSAPWQSSYANSELSAPSFCLTVGSASKNEPTVHSKVSVSVPQKTTLSIPLPLGKQLGGHATVDSAGETQVKSSKIRGDGRGKNQLLPRYWPRITDQELRRLSEEYPLFFKDLLK >Solyc01g099485.1.1 pep chromosome:SL3.0:1:89608243:89608767:1 gene:Solyc01g099485.1 transcript:Solyc01g099485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYPWQLMEETTLSYDRTLLIHDQKTTLDKISRGEFSGKLQLKGYSDFLLHKTPDLANDPEERAKLRHKPLQD >Solyc10g084265.1.1 pep chromosome:SL3.0:10:64006095:64007263:-1 gene:Solyc10g084265.1 transcript:Solyc10g084265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVKYQDFIEIMKFPIESLGNISIKDSEIYEIDYRGPETHTYIPPPKGSKGKHNFHHQNMMLKHHRKFKGLKVPEKFSGEKIHG >Solyc06g062290.1.1.1 pep chromosome:SL3.0:6:39412027:39413430:-1 gene:Solyc06g062290.1 transcript:Solyc06g062290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C6W0] MAINNSLENNLQLNEVIVAMVPWPEHGHLNPLFLLSRFIASHNIPVHFLCLTARNHDLKNRLQGVRRNATCENLHFCDLSVPKSNEAESDKDLLLKKLGKSICEKCHILSKNTKRLVIVHDCLMITYIKDLDSIPNIKCYSFHSISSFVSYSTLRQVVHIVDEDQDKLIQELGDEFPTVESTFGPHLEEYIQEEREWKLNSGVVMNSFREFEGKYLDSLAIHAEDNMPVWCLGPFHMLLQSSVVSCNRSVRHVSLEFLDKQDAISVIFVSFGTTTTLSQEQVNEVALGLEQSNYKFIWVVRDADDKRLDAENNSERKDGRVVLPEGFEKRVEGRGIVVRNWAPQLEILGHTSTGGFMSHCGWNSCLESISMGVPIASWPVHVDQPYNTVFITNVLKIGISVWKWSRREEIVPAAAIEKAVKTLMSTPEGEEMRQRAVELSNKIKNSVSHGGLARKEMESFISNITNN >Solyc10g079840.2.1 pep chromosome:SL3.0:10:61447897:61452950:1 gene:Solyc10g079840.2 transcript:Solyc10g079840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKMAADPFSVRIEATCSSCPLNLRSSKTATFRIQAHNNQHTDDKNRFYKELGTFALKRKIEDLVLRAEMLAPTALEFEEARRLKQEEIIREYDLWDDVAKSNEDLVQLAESAKAVDALKDLRYKAEEAKLITELAGMDSINYDFLKQAYTACVSVNKTLDKYEMSKLLREPYDMEGACVTIESGSEGIYSKIWAEKLTRMYIKWAEKQGHKARIVEKQDSESGGIKYVMIELEFKSAYGYLSGERGIHCMNGSSEGKFDLSKDGAAAIDVIPLFLESSPDLQIDENDLEITTSYEEERGRTSPSLIIHHIPTGLQVQSTGERSRFANKLKALNRLKAKLLIVMREQGVSNLASIRSSDMSSSWNQVIRRYVFHPNKLVQDMKTGVQLSDLTAVLNGNIEPFIGAHINSRRH >Solyc12g042130.1.1 pep chromosome:SL3.0:12:58082968:58083490:-1 gene:Solyc12g042130.1 transcript:Solyc12g042130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQYRDIIKNYTPLKRRGGKPLKRIRHHDILKSNRPTHFSLHCLVRDAAARLPGGVGTRDDVCVLARDSQFIVEEISDSQLSEAVKGGLNRMHYEDDPCVKYDRGRLQWIYLHRDRQESDFEGDST >Solyc11g051145.1.1 pep chromosome:SL3.0:11:17648900:17659241:1 gene:Solyc11g051145.1 transcript:Solyc11g051145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKPVSVPLAGHMKLSKKMCPTAREEKEKMAKVPYSSIVGSLMYAMVCTRPDIAHAVGVVSRFLENPEKEHCEAVKWILRYLRGSSDECLCFGESNPILKGYTDSDMAGDLDNRKSTTGYLFTFSEGAISWQSKLQKCVALSTTEAEYIAATEAGKEMIWLKRFLQELGSIRISSFHHSFFKNRMSLAHFRIIIVDMKEVKRTMPYTGDENALIWRVQIVDILKTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVRQSERYVKLGLAVSQVTFEDHFILIEEELRIEIKKIPSQID >Solyc05g009140.3.1 pep chromosome:SL3.0:5:3254998:3264277:-1 gene:Solyc05g009140.3 transcript:Solyc05g009140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQYNLSLIIKNPSNEDEFLLVKQNPPPKFNDPEYDSFSDSPLWDFPSSPLLPLDSPSDNQFSIQVPESCSDEVDLSKFDLNSAIHKVLEQVELEDTPVEWKFMKYVQEPEFGPGLPVKTIYIIGTLGPKNGKLKDVEFCKWMTTEGCISMLAEVKPCNDRVGSMVVVGLLNDSTKSGTWKIPPTLKCQEYPLGVKIIPMESRTAKPFRTTNLIVFLPECNANEHDDGFIAHGEALIVDPGCKSSSYEQLKEIIAALPRKLVVFVTHHHNDHVDGLSVVQKYSPDARLLAHENTIRRIRKDDWSLPYVSVSGSEEICIGNQKLRIILAPGHTDGHMALLHVSTNSLIVGDHCVGQGSALLDSASGGNMQDYFQTTYKFIELSPHALIPMHGRTNMWPKHMLCGYLKNRRNRESTILMAIENGAKTLFDIVAYTYADVDRSLWFYASSNVRLHVDHLAVQNKLPSDFSLENFNRSCAEFAGMVHKI >Solyc03g059035.1.1 pep chromosome:SL3.0:3:29678785:29691131:-1 gene:Solyc03g059035.1 transcript:Solyc03g059035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPFFSLHRHNLVEQWIQEVVSIDAPSLVVELTTLISGDIQTLLTYFIMEFLSNSRYRHGHRHLSYHPWKAHIVANRSKFRFYFYARLTRLTIWNFFR >Solyc07g061930.1.1.1 pep chromosome:SL3.0:7:64943512:64943706:-1 gene:Solyc07g061930.1 transcript:Solyc07g061930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKSSGKSKEEVESSETERMSSVESDISDAESQPSSVDSPPVVAPSVDNSSTASSGIETSLLM >Solyc02g083150.3.1 pep chromosome:SL3.0:2:47209112:47216129:-1 gene:Solyc02g083150.3 transcript:Solyc02g083150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BAI3] MGALCAGHSRDTLDRFSDRCLHVFPCLSDPARRSTCCLRIALVMLHLIYLGVLFVFDKDLIHKTKEEPWYTTVYLILFAATLAQYFITSGSSPGYVVDAMRAVNEADASCNRASITSKQPASSKNGDVVIAIDRNQLGSYLQGRGMIAWTKLVMDMYPSGTSLRGVTCSYCNVVQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGQGNHCAFWWYICGETALSLWTGTLYIQFLKSDIYSKPWWLYAIMILLLATLFFCLIFLLLLLLFHSYLILTNQTTYELVRRRRIQYLRNIPERVYPFSNGACRNLYEFCCAQRSKYRMEPLPTGQELEDKLRPYTCSDVLSCRCCC >Solyc04g080670.3.1 pep chromosome:SL3.0:4:64865342:64869389:1 gene:Solyc04g080670.3 transcript:Solyc04g080670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVLQANDAREWCYRGEGAVNLVLAYSGEHPDFVGKVLRVQKVPKNGSQRENGHPGLTELERLMWKEFEELVSAPTKEMAEYCFVQHVMCSLLGSKNVDAGIYIPVTREFLETVDNNVLCQRPSWRVDAAMVNPLCDSVLLISDHSLFPRGALKEDFCISVEIKPKCGFLPLSEFIASENSIKRTVTRFKMHQALKLHQGKISEISAYDPLDLFSGSSDRVHKAIKGLFETPQNNFRVFLNGSLILGGLGGNADATSCEVGETFENALKCVIQAVDGQRTQCFLDLISKTIFSSGLLNKVLEVQKLDNADIEGAIHAYYNVISQPCAVCNKLSAEDQLSERYSSLHSISNDESMKIVRNYLIAATAKDLSMMISFRPREDGSVESPYSMVSLESTNQSFDYKAYFIDLDLKPLERMEYYYKLDQQIVGCYVQMVKSMQQLSHIE >Solyc09g008500.2.1 pep chromosome:SL3.0:9:1984442:1986428:-1 gene:Solyc09g008500.2 transcript:Solyc09g008500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKIACIAVLCMMALVAPHAQAVITCLDVSLHVAVCVPYLTNKGPLGGCCDGVKSLNLLATTTKDRQIICNCLKANANIIAGIDWIKARSLPATCNVNIPYLISAQLDCAKGSIIRTMDDRIKFYNRTNCATIIKRTISDG >Solyc02g087500.2.1 pep chromosome:SL3.0:2:50557299:50562671:-1 gene:Solyc02g087500.2 transcript:Solyc02g087500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKDSKKFPTIQQCESKGREDQTVVADMDGTLLVGRSSFPYFALVAFEVGGISRLIFLILASPLAGFLYYFISESAGIRVLVFATFFGMKVSDIESVARAVLPKFYSSDLHPETWRVFSSCGKRCVLTANPRVMVEPFLKEYLSVDIVIGTEICTYKGRATGFVNECGVLVGNNKAKALLKAFGSKFAPHIGLGDRKTDFPFMNLCKESYIVPREPDVKPMGQDKLPKPIVFHDGRLVQKPSPLMALMIILWIPVGFLLACLRIAAGALLPMPLVYYAFWTLGVRVIIKGNPPLPARKSTGRTGVLFICSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEIISPIKTVRLSRDRITDANMIKKLLQEGDLVICPEGTTCREPFLLRFSALFAELTNELVPVAMCNKMSMFHGTTARGWKGMDPFYFFMNPSPSYEVNFLNKWPHELTCKAGKSSHDVANYIQRTIAATLSYECTNFTRKDKYMALAGNDGTVTTKSEFASKKKAKDHLEKSMVTDLETGKSIESEYRTSSGTFLNKAQDEVVANVEARIAAWTFLPEENGEPMQILHYEHGQKYEPHFDFFTDKINKEIGGHRIATLLMYLSDVDKGGETVFPRSEAADSQPKGDDWSNCAKDGFAVKPRKGDALLFFNLHINATTDRLSLHGSCPVIEGEKWSATKWIHVRSYDSIPSADKCIDAHPDCSSWAATGECDENPLYMVGTEQHVGQCRKSCNVCS >Solyc02g063150.3.1 pep chromosome:SL3.0:2:35770439:35771423:1 gene:Solyc02g063150.3 transcript:Solyc02g063150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS-1 description:Ribulose bisphosphate carboxylase small chain 1, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P08706] MASSIVSSAAAATRSNVAQASMVAPFTGLKSAASFPVTKKNNNVDITSLASNGGRVRCMQVWPPINMKKYETLSYLPDLSDEQLLSEIEYLLKNGWVPCLEFETERGFVYRENNSSPGYYDGRYWTMWKLPMFGCTDATQVLAEVQEAKKAYPQAWVRIIGFDNVRQVQCISFIAYKPEGF >Solyc02g021440.3.1 pep chromosome:SL3.0:2:23203603:23210735:-1 gene:Solyc02g021440.3 transcript:Solyc02g021440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSNNGSGTGRTRVGRYELGRTLGEGTFAKVKFARNVETGDNVAIKILDKEKVMKHKMIGQIKREISTMKLIRHPNVIRMYEVMASKSKIYIVLEFVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVFHRDLKPENLLLDANGVLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEESNLVALYKKIHKAEFTCPPWFSSNAKKLIKRILDPNPQTRITITEVIENEWFKKGYCPPVFEHADVSLDDVNAIFDESANSSNLVVERREVRPAAPLTMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSRCPANEIVSKIEEAAVPLGFNVRKNNYKIKLQGEKSGRKGHLNVATEIYEVAPSLYMVELRKAGGDTLEFHKVNQIGSCCRV >Solyc01g106215.1.1 pep chromosome:SL3.0:1:94061009:94064626:-1 gene:Solyc01g106215.1 transcript:Solyc01g106215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLCAGNRDNDHQNMIGKSLVPTPNGDNRNRVQPNAVTLLRWELPGFAGQEPKVWIRKCERVGNEQRIEIVALYLTDVAEVWYQSMVLSRGIPNWIEFKEDLISRFGEIVVSDVVEEFNKLQQIGTVDEFIWRFEDLKARMLIRNPEEIRFEVKMFKPRTLKEAVEKARMKEMAIEAARRRNRTVNRVLPAAAQEVGKASNAMVNRNGPYRLTPEVYEFRKSNHLCFRCGEKYGLGHICKTRQLNYLTGFVEKEREVDQMSVLEDMEEITIEGVVE >Solyc07g065450.3.1 pep chromosome:SL3.0:7:67329058:67333553:1 gene:Solyc07g065450.3 transcript:Solyc07g065450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRETTQRLLDQLPQTHHTVGLGRKRFRRSKSTPVSEIAPVEINEIKNEQSLLHSKSILDKLHPSIRKVIVYLVIYLGIGTICFYFVRSKIKGKKIDGVLDSLYFCVVTMTTVGYGDLVPNSATTKLFACVFVFSGMTLVGLVLSKAADYLVEKQETLLIKALHMGCIVGPGEILEEIETNKIRYKCFMVAAFLITLIVIGTVVLARVEKFDTVDAFYCVCATITTLGYGDKSFSTKAGRIFAIFWILTGTLCLGRFFLYVAEWNTEKRQKEIVKWVLSRRTTNVDLEEADLDDDGVVGAAEFVIYKLKEMGKINQQDVTAVLKEFESLDVDQSGTLSTADLALAQSS >Solyc05g050690.2.1 pep chromosome:SL3.0:5:61677792:61678896:-1 gene:Solyc05g050690.2 transcript:Solyc05g050690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERIQLTQRYEKNIIQEEFGVIIV >Solyc10g024360.2.1 pep chromosome:SL3.0:10:13686138:13692817:1 gene:Solyc10g024360.2 transcript:Solyc10g024360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNMEVKYSLHGFYNRNMSDMNGEKIQTNEDMHNNKKKKNNERVGNSGLGNMSFHNLSKLIVPPLGSSGYNQNQTDLHKGNTISPINSTYRCWETLMVVLVAYCAWVCPFEMAFMNLNPNKKLYIADNIVNLFFAADIILTFSVAYIDSTSQLLVRDRKKIATRYVSTWFLMDVGSTIPFDLVAMFFTGKHQVGISYSVLGVLRFWRLRKVKQFFTRLEKDMRFSYFWIRCARLLFVTLFSVHCAGCLYYLLADRYPHQGNTWLGSVNPKFRETSLSIRYISAIYWSITTMTTVGYGDLHAVNTVEMIFIIFYMLFNLGLTAYIIGNMTNLVVEGTSRTMEFRNSIQSASNFVCRNHLPPRLKEQILAYMCLRFKAESLNQQQLIEQLPKTICKSIRHHLFLPTVEKVYLFKGISREIRLHLVADMKADYIPPREDVIMQNESPDEVYIIVSGEVEMIDSEMENEQIVWTLRCGDMFGEVGAFCCRPQSYTYRTRTLSQLLKIRTSSLIEAMKSRQEDNMTMMKNFLQHHKKLKDLRLTDLFVDVGDKDSDPNMSINLLTVSSTGNATFLDELLKARLDPNICDSKGRTPLHIAASKGHEECVMVLLRHGCNIHLQDVNGDTALWEAITAKQHPIFEILYHWACISDPYVSGDLLCKAARRNELTIMKELLKHGLLVNSKDRHRSTAMHIAIEENHLEMVKLLLLNGDEIDDTLKDKLSSMNLSEILQKPDVGHRIIISDTMDEVDHKWHEQEQKYDFESHTDQCAFRVSIYRGHPEFRRRSQCNEPGRLIKLPDSLEKLKGIAGHKFGFDAKDALVTNEEGAEIDSVEVIRDNDKLYIYS >Solyc05g013610.1.1.1 pep chromosome:SL3.0:5:6910006:6910191:-1 gene:Solyc05g013610.1 transcript:Solyc05g013610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINIGIWGDSEAFNDKGLGPIPSRWKGVCKSEGIFNATKHYNKKIIGARWYIKGLMEERGL >Solyc10g009080.3.1 pep chromosome:SL3.0:10:3097374:3099460:1 gene:Solyc10g009080.3 transcript:Solyc10g009080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Squamosa promoter-binding-like protein [Source:UniProtKB/TrEMBL;Acc:K4CY84] MENNKWEGKRSMEEDDDEEDEDVVEDTKRKRVLTRSGRKVSTAEGSRQPSCQVEECTADMVNAKTYHRRHKVCEFHAKAPEVLIDGLRQRFCQQCSRFHQLAEFDDAKKSCRRRLAGHNERRRKSAQDYPGEGSS >Solyc04g011790.1.1.1 pep chromosome:SL3.0:4:4230490:4230798:-1 gene:Solyc04g011790.1 transcript:Solyc04g011790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGSSSAVVIFTKSSCCISHSIETLIRSFGANPTVYELDTHPNGKQMEKALMELGCHPSVPAIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWV >Solyc02g093640.3.1 pep chromosome:SL3.0:2:55059133:55065675:-1 gene:Solyc02g093640.3 transcript:Solyc02g093640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESCIYHQLKSQPVWLLLLLSLGFLKVLCFSVIFLKWVYVNFLRPAKNLKKYGSWALVTGPTDGIGKGFAFELARKGLNLVLVGRNPDKLKDVSESIKAKYGQTQIKTVVVDFSGDLDEGVKKIKETIEGLDIGVLINNVGVSYPYARFFHEVDDKLLADLIKVNVEGTTKVTQAVLPGMIQRKRGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIKRSSFFVPSTDGYARAALRWIGHEPRCTPYWPHSLLWGVLCSLPESMVDAWRLNFCIGIRRRGQLKDSRKKE >Solyc05g050190.1.1 pep chromosome:SL3.0:5:61039894:61040446:-1 gene:Solyc05g050190.1 transcript:Solyc05g050190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYANFSSFLRPFRVLLYCTSFVYRSDTFVPGNPPSTQHLAPQQTSLLRNNVVIRITTSLNRDHPIRRNRALRIDRQQMVRYNIIVPVMRGSRSIIDKQQMERRNIIESSNGVISDSWRSLINGVDVPNSSNVDELVNIVEEPIDLDLNLKL >Solyc03g119225.1.1 pep chromosome:SL3.0:3:69363848:69364130:1 gene:Solyc03g119225.1 transcript:Solyc03g119225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQCITTKEDEEIAKKMILVLWCIQTERPPMCKAIEMLEGNLEAIQFPPKPFISSPSRSEEISPMIPLGKPTKPFIHSSSSSTLDSTEHLVI >Solyc04g049890.3.1 pep chromosome:SL3.0:4:44636219:44641449:1 gene:Solyc04g049890.3 transcript:Solyc04g049890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSSSKCRFLFKNGLVPKLGEYPSVTTLLEAHQGAYTTTRTHSGGSLLLFWERHMSRLSDSLKILLNSNPELLFNSETCRVPFSLVSTKPTMWDSLVQSLVDDSMKKALPLVLDKRTSGEELAITCLVSGHVDSLEELEEKFSTAFDVYVHVGSYVPPLFGIHENAAHLAVVGRGRRVANAKYSDWVRGRQRKQLEKLRPPSVNELLLSNNGDQILEGCLTNFFVVCHKDVNGDNHKSTVSIELQTAPIRDGVLPGVVRQVILDICSRNKIPVREIAPAWSERETWSEAFITSSLRLLQHVEVIQAPSSWGSLDTQTWTDVSWEEKRFENAPGRITAFIQKEVMEMASTEGYPVSLFNDR >Solyc04g011840.1.1.1 pep chromosome:SL3.0:4:4255919:4256227:1 gene:Solyc04g011840.1 transcript:Solyc04g011840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLMKLGATSAVVIFTKSNCCISHSIETLIRSFGANPTIYELDTHPNGKQMEKALMELGCQPSVPAIFIGKELVGGANEIMSLNIRGKLKQLLIRANAIWV >Solyc07g042160.3.1 pep chromosome:SL3.0:7:55271105:55284509:1 gene:Solyc07g042160.3 transcript:Solyc07g042160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVETLTPSSSSRWSQFHHPRPWITSLFSNPKTLLTILWIAVIFSVLFWQKDATTGILFFRRDFPVREMPKLRTVAFNLTEFGGVGDGVTLNTAAFERAVLAISKLGKKGGGQLNVPPGYWLTAPFNLTSHMTLFLAEGAVILGVDDQKYWPLMPPLPSYGYGREHIGPRYGSLIHGQNLRDVVITGHNGTINGQGQAWWKKYKQRLLNNTRGPLVQIMWSSDIVISNITLRDSPFWTLHPYDCKNVTIKNVTILAPIFNAPNTDGIDPDSCEDMLIENCYISVGDDGIAIKSGWDQYGISYGRPSKNIIIRNLVVRSMVSAGISIGSEMSGGVSNVIVENVHVWNSRRAVRIKTAAGRGGYVRHIAYRNLTFVNVRVGIVIKTDYNEHPAGEFNPKALPVLEDISYTSIHGEGVRVPVRIHGNSEIPVKNLTIRDMSIGITYKKKHIFQCSHVQGRVIGTVFPAPCENLDLYDEQGHLVRRSGSQNASDIDYDF >Solyc08g082370.1.1.1 pep chromosome:SL3.0:8:65318404:65318706:1 gene:Solyc08g082370.1 transcript:Solyc08g082370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCVETCLQREITEESQIGEDEKTVKFHKEEGKTNMRLKVVLTKEELEWLLLQLKFREGKNLEEFLGEIEKNRGKNCSGWKPSLESITESPEVPEMMDR >Solyc09g015160.2.1.1 pep chromosome:SL3.0:9:8147864:8149402:-1 gene:Solyc09g015160.2 transcript:Solyc09g015160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTHNSKTNHQSRNKHSSYRGKEDHNHSRDNKQTQRLPFRKLSTK >Solyc07g006790.3.1 pep chromosome:SL3.0:7:1637747:1645345:1 gene:Solyc07g006790.3 transcript:Solyc07g006790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acetyltransferase component of pyruvate dehydrogenase complex [Source:UniProtKB/TrEMBL;Acc:K4CBF0] MTYATHVLRHSKKIGSSSNLIRCDSAGLVRWFSNGTRPSMEKGDDILRCHSGLISGERHNIPKSFNRCYSGSAVSNNSCRTVSSRMSCGNALRTTIAPCTSTGSISFIRRSSGSQAPSRRGFSTASDLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKVSPGEVLCEVETDKATVEMECMEEGYLAKIIHGDGASSIKVGEVIAVTVEEEDDIAKFKDYQPSTSDATPSPKAPASSPPPPKEEVAEKPVTPSQPKVSKPSASDRIFASPLARKIAEDNNIPLTNIKGTGPEGRIVKADIEDYLASRGKEAPAAAPKADTSLDYTDIPVAQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLIELRSKLNALQEASGGKKLSVNDLVIKAAALALRKVPQCNSSWTNDYIRQYHNVNINVAVQTDNGLYVPVVRDADKKGLSSISEEVKNLAQKAKENSLKPQDYEGGTFTVSNLGGPFGIKQFCAIINPPQSAILAVGSAEKRVLPGSSEGEYKFASMMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Solyc08g005130.1.1.1 pep chromosome:SL3.0:8:83636:84685:-1 gene:Solyc08g005130.1 transcript:Solyc08g005130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-tetrakisphosphate 1-kinase [Source:UniProtKB/TrEMBL;Acc:K4CI05] MAERMRRFGVGYALAAKKEASFIQVSLVHLAKERGIDLVKIDMDKPLIEQGPFDCVLHKLYGEDWKRQLEAYAVKYPHVLIIDSPEAIERLHNRISMLEVATELEIKCETTSFGIPKQTVVYDAKIVSASYLESEGLKFPVIAKPLVADGSAKSHKMLLVFNKDGLSKLKPPIVLQEFVNHGGVIFKVYVVGDYVKCVKRKSLPDVMEENLGKLESCLSFSQVSNLNTLEKNDDKYYKLMNLDDGELPPLSFLREIARGLRGATKLYLFNFDLIRDNRVGNRYLVIDINYFPGYAKMPNYESVMTEFFWDVLSKGFEKGSCEKEVRMLVGNEPTVSPLKRGEKENTIQV >Solyc05g051380.3.1 pep chromosome:SL3.0:5:62515443:62519999:1 gene:Solyc05g051380.3 transcript:Solyc05g051380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPENNNWLSFSLSSMEMLNSSSSSSSMLQSNAPSAPTHQYYFADNFYPHGWMNVPKTHELMYSGDEKQIYHPNVFIDSQIIHHQHHHHPPPKLEDFFGGETETQDSSSLTHIYDHHHHHGGGAAAGDGGYFNNGQQDVNHNYSIAVGGFQTLPTNSGSEVDDSQVMGSEFATESSNEIYPPIITLGVNNNSNTNSEKAIVTAVNSEGCKKITDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCKREGQARKGRQGKCGYDKEDKAARSYDLAALKYWGTTATTNFPASDYTKEIEEMKHMTKQEFIASLRRKSSGFSRGASMYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGLNAVTNFEMNRYDVEAIMKSSLPIGGAAKRLKISLESEQKQSLNDNYQQTLHNGVNNSSSNNSINFGAISPVSAIPCGLPFDANQPYYHHSFFPHLQYSSNDGGASDHTSGTMPLLPPPAEIFIWPHQSY >Solyc01g080680.3.1 pep chromosome:SL3.0:1:79780938:79784992:1 gene:Solyc01g080680.3 transcript:Solyc01g080680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HSILKPLLFNFFSIAMTIDECKDLEKPFVENAKIVDCENEDGSIWMVLLSTCVAVCGSFEFGSCVGYSAPTQSQIRNDLNLTLAEYSMFGSIITIGAMIGAVTSGRIADFIGRKGAMRMSAIFCITGWLAVYFSMGTLVLDMGRFLTGYGIGIFSYVVPVYIAEIAPKNLRGGLTTINQLMIVCGSSAAYLLGTIITWRNLALTGILPCTFLLVGLLFIPESPRWLAKVGLEKEFEVALRKLRGKDADVTREAAEIQAYVDTLQSIPKTQIFDLFGSKYIRSVIIGVGLMVFQQFVGINGIGFYASQTFESAGLSNSNVGTIAYAVVQVPITILGAFLMDRSGRRPLLMVSATGTFVGCFLTGASFYLKGNDILLEWVPILAVSGVLVYISAFSIGMGAVPWVIMSEIFPIQVKSAAGSLVVLVNWLGAWAVSYTFGFLMAWTSTGTFMLYAGFSVLTILFVAKVVPETKGKTLEEIQAIINS >Solyc06g053525.1.1 pep chromosome:SL3.0:6:36409947:36410218:1 gene:Solyc06g053525.1 transcript:Solyc06g053525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWGSYVEMIVHLPLIFQVLIIGGGMCFTLRELLRYPSGRN >Solyc03g117570.3.1 pep chromosome:SL3.0:3:68180422:68183833:-1 gene:Solyc03g117570.3 transcript:Solyc03g117570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSIARRLYSARPIAFKHIIGYQHPSLFAARPEIRRHFFVAFRQPSVRHCSTIPSKLPFFSSGSSTSYEIINFDKRVNTLREKYHVGVNEFVGIVQKASNFGSGDEAILFLDECGVKPNQELVFLVIWELRDQWKLAYLLFKWGEKCKCLEENTWCLMIWILGNHSKFSTAWSLIRDLLQMSTDIQQAVLIMIDRYAAADNAGKAIHTFQILEKFSMSPDQRTLLTFLNILCKHGFIEEAEEFMLINKKLFPLEIDGFNIILNGWCNIVVDIFEAKRVWREMSKCCIEPNGTSYSHMISCFSKVRNLFDSLRLYDEMQKRGWVPGLEVYNALVYVLTCENCVKEAFKIIDKVKHMGLRPDSSTYNLMICPLCESSKLDEARSILALMEEDNISPTIETYHAFLASVSLEGTVEVLNTMRKAGVGPNGETFLLILDRFMKLKQPETALRIWLEMKQYEVVPESAHYSLLVGGLLECRLYSKARELYAEMKSNGFDDPGLRKFLEPAGPRGQGGVAHKPVTDAGYKDLSCLASLAMILVGCQHGSVQRPSFALPKSITLSILAFGCLHGSSTSETTSMPRINLDLVSVTNASTGTTSLVRGALYNLR >Solyc08g013753.1.1 pep chromosome:SL3.0:8:3214601:3215033:1 gene:Solyc08g013753.1 transcript:Solyc08g013753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLSGYGFLQNAMRFVRAHTILDPRLFFSMVSLVIHIAFIAYALVHWTTLGFKGASLATSISIWIQLIIFGLFMFFSKHITWDYAFSFETFHFHHILTNLKLAL >Solyc05g010530.3.1 pep chromosome:SL3.0:5:4748675:4753279:-1 gene:Solyc05g010530.3 transcript:Solyc05g010530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQFVNKVDILTRLRHNNLVTLYGCTSTRSRELLLVYEYIPNGTLADHLHRNRAKDGSLTWPIRMNIAIETAGALAYLHASDVIHCDVKTNNILLDHNFSVKVADFGISRLFPNDVSHISTTPRGTSGYIDPKYHECYQLTSKSDVYSFGVVLVELISSMPAMDMNRHSQEINLANYAMNKIVKSAVNKLIDPSLGFDSDIKTREMTTSVAELAFLCLQTDWNMRPTTVEVLDTLKEIQTNLNANESKINVYTVVKISVIRFFVTFMYILGDCKMYYCEKFLELCTMSLKSSLPEMLVSVSTQSQQVKVFLLVLMARKVQAKGTNDSTCPKFFSCGNLTNLNFPFTLLTQPDCGICPFLVVMLNNTQESSCFLEEIGMML >Solyc08g062783.1.1 pep chromosome:SL3.0:8:52121499:52121957:1 gene:Solyc08g062783.1 transcript:Solyc08g062783.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLENVVDTHLAQNNGLREVLGNLDACFYRLIVGSIQYLTPTMHDITHTLNLASQNPRYIKGTLHFELRFILEPPCRFYIHSDANCGGCTISRRSTEGYKIYLGTTISRSSAKIEYKALASTTTEMT >Solyc02g083740.2.1 pep chromosome:SL3.0:2:47585302:47596001:1 gene:Solyc02g083740.2 transcript:Solyc02g083740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLHLSCTGSMSTRPETSQYSRMACLKKNGHDYNISNIIDPNFHIDLEKYDHEGRLYLSIVLLLTYGFSFACLTATVVHVFLFHGWDLWHLSKSALQEKKMDVHTKLMRKYKQLPEWWFTIILLINIIATVFVCEYYKNQLQLPWWGVLLACGLAFFFTLPIGVITATTNQTPGLNVITEYIMGYLYPGYPVANMCFKVYGYISMKQGLTFLQDLKLGHYMKIPPRAMFVAQVVGTLISAFAHLGTAWWLMDSVPYICNRALLPQGSPWTCPADHVFYDASVVWGLIGSQRIFGNLGYYSAINWFFLIGAIAPVFVWLLQKAFPNHHWIRLMSVPVVLAGIIKIPPATAVNYNSWIIIALVSGFVVYRYYKSWWSRHNYVLSGALDAGLTFMGVLLYLCLGQEHVSLQWWGSHTDTCPLASCPTAKGIVVDGCPIF >Solyc05g018570.3.1 pep chromosome:SL3.0:5:22261886:22270015:-1 gene:Solyc05g018570.3 transcript:Solyc05g018570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASADVEKSRIDMEREEACSAKPTKQGEGLRQYYMQHIHDLQLQVRVKTHNLNRLEAQRNELNSKVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIGKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRQDILKIHSRKMNLMRGIDLKKIAEKMSGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Solyc08g079030.3.1 pep chromosome:SL3.0:8:62799596:62803883:-1 gene:Solyc08g079030.3 transcript:Solyc08g079030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVTGDYDLSLSLKYKEPVKAVFKEKIFGHDHTFKTKVYEPHQMCYTQKDTMKDLSWKELDGAYYSKGDRQGNPAAFGNFTRSSMSVDQKRICSSPKVFNWLQEQQVMFPCFQQRYSNPQIRPTHYLDHIDRGNLNKRNGFFNSLENSITKNHYHHGGVAHHSGEVNLSLSIGRNNMKATVSTKTFDHIIDLEESDDTKDSNAGLYPQSPMNSTRVSYSGYKRDYQCTHSFTNNTTDNSFDGIGTTGNYFVPNDSTSCLKQNPLAEGVEQCEKPLLSGDISGKGKVLFSDERAFLDLNKSIFDDSFHFDEPSLTCSSSKAFSRESHRLTGETRESTFPTASNRREQDDDNPNETSDIAPQRILSSVTGYERTKDVGVEQFLINLNHPLSDSSENPGSLTTDNVDQVSSTRENKSKKAKHDPMSSPDYKTQDFVKVSGPDRSLSSCKSSCFEDISSGIETTMQSGTQLKNSNTKKSETLQANISPPQEDVDSSSNSDHQMGETSEVVDGQIIRGAVSLIYLALECSEPTVAINMNKIEDDQNTEQPQCSSDTFEEMVLKQPESSIDDCCVTSNAFEFNATERKDYGITLKRGRRMKDFQRDIMPSLSTLSRHEIYEDIKIMETALRSREYKRHGSKMTDGNKWINPVRNRRSRLNHVGRKYYS >Solyc02g063542.1.1 pep chromosome:SL3.0:2:36187571:36192445:-1 gene:Solyc02g063542.1 transcript:Solyc02g063542.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lycopene isomerase [Source:UniProtKB/TrEMBL;Acc:U3M0H6] MLLINTNNFYHAFSLVFSKHKFPLSAASPPPPKSSFSQASDSITKNKLKLPLVSLTKSLPNTQFDLIQSEKMVNFMMDKYIVPSFVVSLLGFLLLYVLRPRTPNYKKMDLKSTRKCETHNVISRKLEKGTDADVIIVGAGVAGAALAHTLAKEGRNVHVIERDLTEPDRIVGELLQPGGYLKLIELGIEDCVEDIDAQRVVGYALFKDGKSTNVSYPLKNFHSDVAGRSFHNGRFIQKMREKAATLPNVRLEQGTVTSLIEENGSIKGVQYKTKAGQELKAHAPLTVVCDGCFSNLRRSLCDPKVDVPSCFVGLVLELENDRLPYPNHGHVILADPSPILFYPISSTEIRCLVDVPGQKLPSLANGDMANYLKTMVAPQVPPELRDAFLSAIDKGHIKTMPNRSMPAAPYPTPGALLLGDSFNMRHPLTGGGMTVALSDIAVLRNLLMPLQDLNDADELCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQARREMRDACFDYLSLGGTCSTGPVALLSGLDPNPMSLVLHFFAVAVYGVGRLLVPFPSPKKLWIGAKLILSASGIIFPIIKAEGIRQMFFPTTIPAYHRAPPVKTESD >Solyc06g084310.3.1 pep chromosome:SL3.0:6:49527139:49528774:-1 gene:Solyc06g084310.3 transcript:Solyc06g084310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAIVYFTLLHFHCVDVSMNTHLKAVKITLKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGIVILLRTMLGFFCALWVYG >Solyc03g031760.3.1 pep chromosome:SL3.0:3:4255981:4259591:-1 gene:Solyc03g031760.3 transcript:Solyc03g031760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding AQHERHENSELRAENEKLRADNIRYKEALGNATCPNCGGPASIGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPMLTYPNLPPTSPTRSLDIGVGSFGPQTGLVGEMYSAGDLLRSVSGPIDADKPMIIELAVAAMEELVRMAQTGEPLWITGPDPGPGPDSSIETLCEEEYVRTFPRGIGPKPLGLTTEASRESAVVIMNHINLVEILMDVNQWTNVFAGLVSRALTLDVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKHHADGTWAVVDVSLDNLRPTSVSRCRRRPSGCLIQELPNGYSKVTWIEHVEVDDRGVHNIYKPLVNSGLAFGAKRWVAVLDRQCERLASAMANNIPTGDIGVITSPEGRKSMLKLAERMVMSFCAGVGASTAHTWTTLSGSGADDVRVMTRKSIDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNCVSLLRVNSGNSSQSNMLILQESSTDSTGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGGGGINVGTGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKTAVACDSAL >Solyc04g007770.3.1 pep chromosome:SL3.0:4:1456997:1458817:-1 gene:Solyc04g007770.3 transcript:Solyc04g007770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKGKLIALVEVKCGGHPILDFFHIHTHHIPNISPNIINHLEIHAGETVKVGSIVSCNYNEAGQKKSAKQVIEAIDLDKKSITWKVIEGDVLESYSSFTGILSCEHEWTTWTIEYEKKTEDIPEPLIQLGLLLDLTKDIERHLFKK >Solyc05g014200.3.1 pep chromosome:SL3.0:5:7964940:7976164:-1 gene:Solyc05g014200.3 transcript:Solyc05g014200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENHDGNDDSRGVVDGGEASVSVKPVAINQVDAADLKGGISVAAAEYVENDTKDTRMAEDGGREDMFVDCPDDIEGPETPQYVDQSNDAHDSQLEGLSNGAHDLDLKAEVEQLRKMLNDSIAEKDRIAREAEEERAASTYELTRLTNQFKGLVDSWSLPNKDDGDLVENLHHHSEAVVRDLASGVSLHEVVTDVSKFLKEVLDERVQTESKIRELNDLIHMKSQEIDALNSKVSEFSMERENSAHFSVVQLEKENHMTEITNDILASLASAVPLENFSDESVTGKMLHVKNMIPVLAEKYNVFLSEVNQLRRSLTEVAPDHNMQDEMGVLVVARDTLAEFRTRELNVNQHLSFLSDENGKLSEELNKHKLMVENANAEITKLGAEIEQERTRYANTKEKLSLAVTKGKALVQQRDALKQSLSEKASELQRYQIELQEKSNSLEAVEQTKDLLGRSESLAASLQEALIQKNLILQKCEEILFKATGSEQFQSTDMIEKVKWLADETNALNETSLQLRRVADSLSSFDFPQPVQSNGPDAQVAWLLESFYLAKEDVRILHEQMGAAKEAANNEIGQLTTFLVGEAQDKSYLQEELEDLNHKYAVLAQKEHQASVDKDRIISMLLEASKINSHDQELVYQSQSDMTVLITKCVENIKEESSASLEAHSHQFESFEQMQSNLYIRDLELRLCGQILTEEMSDKAELNRLSNHSVKVTEELYVLKEEKESLEKNLEQYEDKVSLLREKLSMAVKKGKGLVQEREKLKGALDEKSAEIEKLKSDLHQQESLSNDHKLQIDKLSAEMHRIPQLEADLVAMKDQRDQLEADLVAMKDQRDQLETDLVAMNNQRDQLEQFSVERNNMLQKVIELLDGIVLPADLGFQDPIEKFKWISGYVRESQTAKMEAEQELGQVKDEASSLANKLLEVQKTIKSLEDALSTADNNISQLLEDKNELEAAKALVEKELEKAMKEASAKSVEFENVFVERKSIEDALSLAEKNVLVLKNEKEEALLGKDAAESELQKIKEEFSFHTNKLKMADETIQSLEDALVQAEKNISLFTEENNRVQVGRTDLENEINKLKGEADIQNSKLSDASMTIKSLEDALLDSGNKISDLVNEKKNAEEEIVVLTSKVDACMQELAGSQGRVETKVLELSTHLSRLQLLLRDEVLFSSLRKTFEGKFHSLKDMDLLLKEIWDYFSEVDTEVLPDSPTKDDSSFSIPSVSVVNDALNEEVANGEPNATDGDNITFHLGKIVDGFELRNKILAENIGCYSASMDDLIKAILRKLELTKSIALPVIELTESLKQKVRDAEVGRLAQENTIQSLERDLKVLLSAFKDATSELALTQNRLSELGSNFDLEKLKETSPQQLANFGEDAIVHHHLELDSSQSARTAEKLLLAARQSRHLTEQFKSVMEVMVGTNKDLQVKLEESNNTCGKVLEEKETHQERISHLETNLEELNGLCDEMKLKLEDYQAKEDYIKEKEAELLSLNAKASLNFQEAENLTLSASHMRSLFDKLKEIETLMGPDVGDAEAYDSPDVRRLFYVVDNFPRLQLQMDSLSREKKELQSSLEKQALQIESLKDEVEEHMRDEVDCAKMKNELLEFTIGLENIIHKLGSNNLVDYHKETPVTGFLPVLDKLIVAKVLESENLKAKTEELLADLHGTQKVVEDLSSKVKSLENSNQLKVAPLEINQERGIFEAASLPTQSEISEVQDVVPVSKNLASSSVASAAHVRTLRKGSADQLAINIDSESERLINDEEADQEKGHAFKSLNTSGLVPGQGKMIADRIDGIWVSSSRALMSHPRGRLSLIAYCLFLHIWLLGTIL >Solyc03g083770.1.1.1 pep chromosome:SL3.0:3:55133321:55133923:1 gene:Solyc03g083770.1 transcript:Solyc03g083770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGCCNNHYNFLTIFLILLAFTTSTSSTRPATGHTNTEFIRTSCKSTTYPNLCFSSLSSRSSAIGVSPQLLAHESLSVSLETAQSTSAMMLKLSHSQGMTPREVGAMQDCVEELSDTVSELRKSLGEMKQLRGRDFDMKMSDIQTWVSAALTNEDTCTEGFAGKAMNGKVKTAIRGKIVEVAHMTSNALALINTLDALHG >Solyc09g018680.1.1.1 pep chromosome:SL3.0:9:16650967:16651287:1 gene:Solyc09g018680.1 transcript:Solyc09g018680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSHPSLYHLLPLRNPLINQHPTLAVKVLQLTVMSTPIPIEVEVVHIAVVVDVEDIPINEPSPITISNGSPMIPVIDAKFAMEAIILPTLVINATTTHPFLLQT >Solyc06g074730.3.1 pep chromosome:SL3.0:6:46412699:46419621:1 gene:Solyc06g074730.3 transcript:Solyc06g074730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AGO5 [Source:UniProtKB/TrEMBL;Acc:K4C9J1] MSERGRGRRGGSPYGGGRGGGRTPPYGGGRGDGRTPPYGGGRGGGRTSPSDGRGRGYQSPGGRGRGTYSSGGSPSYNAPPMYHHQQQSMEVSSMTREVEQKLSLQPSTSANPPARPQPAQQSSPPVQPPVATIPAHLAGPSTSTQPPRPPPVSSKSIRPPPRPDNGKLGRKCVVRANHFLVQVADRDVHHYDVTISPEVLSKKVCRLIIQQLVNNYRLSHLGGRGLAYDGRKSAYTAGALPFTSKEFVIIFADDNGGPRREKEFKVSIKFAAKADIHHLKQFLQSRQSDVPQETIQALDVVLRTNPSAKYEVVGRSLFHHTFAGDAGLLTGGLEYWRGYYQSLRPTQMGLALNIDMSARAFYESVFVSDYVLRHLNLRDHPQVSLSDQDHSKVRKVLKGVKVEATHQGRHYRITGLTPKSSSQMMFPVDGTDGMISVEQYFNTKYEIVLAYPRLPAIQCGNSAKPVYLPMEICKIVPGQRYTKMLNGRQVTEMLRATCQRPKERLNGIQNIVRVNKYADDDLVHEFGIGVDARLTTIEARVLNPPTLRFHASGKESRVDPRVGQWNMIDKKMINPAHVYYWTCVSFSQQIPPDRLVDGLHRMCISKGMTFDAPLVPFRQARPDHIETTLRDIHRESMQAIDRLGEDLKIKHLQLLLVILPDGTGQYGRIKRLCEIDLGIVSQCCHPKNLRPPSIAFLENLSLKINVKVGGRNSVLELAVSKNMPFLTDKTTIVFGADVTHPQPGEDSSPSIAAVVASMDWPEVTKYRGIVSAQPHRQEIIMDLYTEKEDSKRGVVRGGMIMDLLKAFYAATKIKPDRIIFYRDGVSEGQFNQVLLEEMDAIRKACVALQSDYMPRVTFVVVQKRHHTRLFPSNHDDRTLTDRSGNILPGTVVDTNICHPTEFDFYLCSHAGIKGTSRPAHYHVLYDENNFTADGIQNVTNYLCYTYVRCTRSVSLVPPAYYAHLAAFRARYYMENDVDVRAANEGGEGGAAAQFRQLPKIHENVSEVMFYC >Solyc06g076400.3.1 pep chromosome:SL3.0:6:47613820:47617551:1 gene:Solyc06g076400.3 transcript:Solyc06g076400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVCFGVVTETDSSSPCESSSRAVRRRRMELRKIKVIAGVTQPETENGIKRPKMKLISGSVSRICDNAVESSSTDDDEEQLRLVETKGSPPTIILTPYLGPLNSTLLVQSVAYPKFGFASVCGRRRDMEDAVAIHPSFCQMEQGNSGELHYFAVYDGHGCSHVATKCKERLHELVKEELENTEGDHEEWEHLMNRSFNRMEKEVIEWNKSVTGATCRCELHTPECDAVGSTAVVAVVTPDKIIVANCGDSRAILCRNGKAIPLSNDQKPDRPDELNRIQEAGGRVIYWDGPRVSGVLAMSRAIGDNYLKPYVICEPEVTITDRTSEDDCLILASDGLWDVVSNDTACGVAGMCLKGNASPASMPGNGTDGEVNSDQCCSDASMLLTKLALARRSYDNVSVVVVDLKNYK >Solyc11g073120.2.1 pep chromosome:SL3.0:11:56508196:56509860:1 gene:Solyc11g073120.2 transcript:Solyc11g073120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEEIMRRGPWTEEEDVQLLFYVKLFGDRRWDFLAKVSGLKRTGKSCRLRWVNYLNPDLKHGKMTPQEERLVLELHSKWGNRWSRIARKIPGRTDNEIKNYWRTHMRKKAQEQRKKSSVSPSSSSSSSITHEENERNFYDTGGLKLLQVEGEKKSSDQEQDGKSMKVYSMDEIWKDIELLEENEEKMNKPIMGSILPLWDYSLDSLWKDFDWNNFR >Solyc01g007170.3.1 pep chromosome:SL3.0:1:1732797:1735826:-1 gene:Solyc01g007170.3 transcript:Solyc01g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQICTRKVIVEVCNAKNLMPKDGQGTASAFAIVDFDGQRRRTKTKFRDLNPQWDERLEFLVHDVDSMASETLELNVYNDKKIGKRSNFLGKVKISGSTFVGVGFESLVYYPLEKRSVFSQIKGEIGLKIWFVDEETPPPPTATEEKKEEVAAEAAPEKKEEKTPTPAPENPKDGETPAAAAPPPPEVMEHPPIAQNKPPNKNPSANENTSELKILHKNLSTGVDRRTGAFDLVDQMPFLYVRLVKAKRAHHEPGSSAYAKLVIGTHSIKTKSLADYREWDQVFAFDKDGLNSTSLEVSIWVEKKEADDKIVENCMGNVSFDLQEVPKRVPPDSPLAPQWYSLEGVTGDQNPPGNDVMLAVWLGTQADEAFNEAWQSDSGGLIPETRAKVYLSPKLWYLRLTVIQTQDLQLGSGGTEPKVRNPDLYVKAQLGAQLFKTSRTTVGSSSSASNPTWNEDLVFVAAEPFEPFLVITVEDVTNGQVVGYAKVQVTSIDKRTDDKSEPRSRWFNLIGDEKKPYAGRIHVRTCLEGGYHVLDEAAHLTSDVRATAKQLSKPPIGLLEVGIRGANNLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKHDDALKKDVRLGKLRVRLSTLDTNKVYMGTYSLMVLLPSGAKKMGDIEIALRFTCSSWLSLIQAYTNPMLPRMHYIRPFGPAQQDILRHTAMRIVTARLARSEPALGQEVVQCMLDSDTHIWSMRRSKANWFRVVGCLSRAATLARWLDGIRTWVHPPTTILVHILLIAIVLCPHLVLPTICMYAFLIISLRYRYRQRVAITMDPRLSHVDAIGPDELDEEFDGFPSSRPMEHVRVRYDRLRALAGRAQTLLGDVAAQGERLEALFNWRDPRATGIFVIVCLFASLVFYVVPFKAFVVGSGLYYLRHPRFRDDMPSVPVNFFRRLPPLSDQIL >Solyc11g032065.1.1 pep chromosome:SL3.0:11:24618817:24619997:1 gene:Solyc11g032065.1 transcript:Solyc11g032065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYGVNYASAAAGILDVTGFHFVERIPFSYQIKNFENTLVNLIKNLSAPVVKEAIPQCIFFVGMGNNDYLNNYIMPAVYFSQDQYSPQKFAELLVHQYKAQLLKLYDLGARKFIISGIGQMGCIPSMLAETSGGGCSETVNEMVHPFNSKLRTMIIKLNKDLAGAHLIFLDMEYMFKDIFKNHKAYGFSVIDRGCCGLGKNQGEVTCLPFEIPCANRDEYLFWDAYHPTSAVNLLLGRMAFYNDANYSYPINIQHLALI >Solyc02g077650.2.1.1 pep chromosome:SL3.0:2:43104764:43108266:-1 gene:Solyc02g077650.2 transcript:Solyc02g077650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKIPIKWPREVTAAFVEQLIRAEKDVQKAVLIFDAATAEYSNGFRHDRSTFGLIISRLLSANHFNLAEEMLVRMKDESCKITEDIFLSVYRAYGRVHKPLEVIRVFQKMKEFDCEPTQKSYITVFSVLVEENRLKTAFRFYRHMRGVGIPPCVASLNILIKALSKNSGTMDSAFRIFHEMPKRGFSPDSYTYGTLINGLCKLGKATEAKKLLMEMEANGCSPTVVTYTCLIRGFCQSNNLDEAMTFLEDMRSQGIDPNVFTYSSLMDGLCKGGRSSEAMELLQIMIHEHKVPNMITYSTLIHGLCGEGKIGEALEIFDRMKLQGCKPDAGLYWKIINGFCENNKFHEAANYLDEMILSGISPNRLTWSLHVKIHNAVVRGLCAVNDPKRAFQLYLSMRTRAISVEAKSFETLVNHFCKKGDVHKVARIVEEMLTDGCIPDEKTWAVVVGGFWDRRKVREAADSVQADLMPKHMNFET >Solyc12g095950.1.1 pep chromosome:SL3.0:12:66040501:66040843:1 gene:Solyc12g095950.1 transcript:Solyc12g095950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKREVAGRWDQPGLGITSLCTRRLLWLTIACLSRRFLSLRSPITSSIASSWLCTIPTLRSWGHPISSLSRALRWVARIRAGLLARMISLLSCG >Solyc09g060130.1.1 pep chromosome:SL3.0:9:58028732:58035269:-1 gene:Solyc09g060130.1 transcript:Solyc09g060130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFETIVASMNEMVELWKINDPSWVDSSSDRRCFIHREIHGRKFSNQVLPPQTSTGRIELSKDCGIVSMTMDFELEQVKWMNLFPTIVTKAKTIEVLDSVLGEMYEKLHILSPLVGAREFFFIRGCRQLDATTWILVDISYDIFNDIQSGVPSYSWKFPSGCAIKDMGNGQSKVTWVEHVQVYEKYQVNHIFRDLLCDREAYGAKRWIVILQRMCERINFQMGSTYPNRHDSKGVFHDPEEIKNTIQVSQRMVKKFFEILSMTDNHGDFSISPQLNRGDRISIVKNEETIQPKGFIAIWDILTGGNNVIELDRVLTGTFPGNNITIIQEMLVLEETSIDEMGASLVYAPIDLRATNSIVNGGDATKVPILPSGIIISPDGRLSSNRDNTANAQNGSILTVTFQIVICGNNNPTSRQQKMEVVGSVHTVLSATILRIKETLGSSNL >Solyc08g074450.3.1 pep chromosome:SL3.0:8:58675306:58685713:-1 gene:Solyc08g074450.3 transcript:Solyc08g074450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIQYGSCSFPSFQFQECSFSRKRVGVVKVIKSNLSNSDAKRANLSARKKDRIKLPEHYSIGGDSKSLHISEFLSHKSGIEAMLNKRALQSFESIDSNTYRCTLPQVQLLNFEVAPVLTLKVNPTSENCTVEMLSCKFEGSDLVEQQNDHFSASMVNRITWETVGSQPFLDVDVKLNISLEIYTMPFTMLPTSAVETPGNLMMQALVDRLVPLLLQQLLQDYDNWVRQYQKSLS >Solyc05g012850.2.1 pep chromosome:SL3.0:5:5997827:5998956:-1 gene:Solyc05g012850.2 transcript:Solyc05g012850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSENGCSLWNEIIESTRAIFRSHVRHFHAISILFLFPIILSLILYPSFELAIFHPDYHFTSYAQLQFPQLFAISSFETILLVLYALFLTFFFICGVGTTAYSAVQVIYDRPIKVFSSIKSMRNSFFPLLSTFIVSQTIFISITLLFALILVFVVRILQSLELIELGSNSDHLLFLVIFWLVVIVPILIWLQVNWCLAYVITVIESKKGYETLRRSAKLVKGERWVRRNVEDTAHCVYFGDGIYTDESVSCGERGVVYALQGIKR >Solyc10g044547.1.1 pep chromosome:SL3.0:10:26832324:26833546:1 gene:Solyc10g044547.1 transcript:Solyc10g044547.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESQLLYLKAACTELRRSRLFNKSHVAVLKTGNHISDGTFRGDIIRSEGIRAARARDQGRVSSIKSNDPPEDQSQDAESIIEVLVYSLNGTVSKLGRALKESGDFLNSEMKNVDVEIGMHRGEKCSDKKKYTPKKENVASKTLESTNPPSLDLRQVLFPAIKDRRIDDSCSDDDAS >Solyc12g096310.2.1 pep chromosome:SL3.0:12:66268562:66272169:1 gene:Solyc12g096310.2 transcript:Solyc12g096310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWRKSYLDVILVPLGFVICMGYHIWLWHKVKNQPLTTIIGTNANGRRLWVEAIMKDNEKKNILAVQTLRNTIMGATLMATTSILLCSGLAAVISSTYSVKQPLNDAIYGAHGEFIVALKYVTLLLIFLFSFLCHTLSIRFINQVNFLINCPQDNLGIVSPEYVSELLEKGFGLNTVGNRLFYAALPLLLWIFGPVLVFLCFITMVPMFYNLDFVCCNQEKGKIEYTCENGSDQFVGV >Solyc08g066350.2.1 pep chromosome:SL3.0:8:54981918:54985164:-1 gene:Solyc08g066350.2 transcript:Solyc08g066350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHQAASMKRNLFNQDYLDEQFNELEELQDDDNPNFVEEVVNLFFTDSIRLIGSVDIALANEPYDFGRLDDLMHQFKGSSSSIGAKRVKRECSQFQEYCNERNIDGCKRAFQGVKQEYATLKTKLDAYFQAAREAS >Solyc03g116680.3.1 pep chromosome:SL3.0:3:67467770:67473729:1 gene:Solyc03g116680.3 transcript:Solyc03g116680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPLLNSWKPKSCGSSPESDLLPITTQQLNRTRSVLMSTTFGPDESPAKHTSPTKHAPYGCMCELDPRDPAVPKKNKNGTKREAKMPNLKNNELGSTEVRRLLSNSGLGNAEEEASVAVEEKGRVLQSLVAGGVGGGGGGKSCGGGGSHGGDGSDPQDSNSWHGPDSIDAYYQKMIQANPGNGLLLANYARFLKEVKADLEKAEEYCGRAILANPNDGNALSLYGELIWQTQKDAARARTYFDRAVKSDPDDWTCDKSVACLRRFRMSFGVSQLEETIMASNNMELPAPLSWKKLLMPKKGIRAKKNEVVFVAPTGEEIRNKRQLEKYLKTHNGNPGMSEFDWTTGETPRRSARISQKVKAMPLPAVLEPAKKRQRTSSSTKKEEEMDAANAEKENMDKKEMESVIEATEGLENKENVVDNETVDKEVDTEHKKDEELSGGEVLQKQKSEASNDALVEDGGKLAQNGIEDTIVEVEIEDKGDEMGAYKENIEHKIEGEISGTEVSDRKTETSNDTQFGDGSKMAENVSVVTTAIDADFWNDSMDKDYFKGAFANAVIGDTNAAEAGIEVGEKPGYGENLESQIDIEISGADDMNHDMPDMVASERNVAGGETFNIQDPAFVEGTGGFHEEHRPLEEEKNKNSTGLVMDNGQINQPERAHTPQHQSSATISC >Solyc03g116820.3.1 pep chromosome:SL3.0:3:67564655:67567600:-1 gene:Solyc03g116820.3 transcript:Solyc03g116820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILREERQTREHATAANIKLLHEYEILRSRLEECSVSFLIEEENKLVLNASIPSDAIDMLSTSDDRIGLLLAEAQILAQDVETTVAGGNLDGEYSCTTVDELRKQLADVYVDNAKLRKQMNSVIRYALQTASRSKDNEEENPSTKTALTKSLDG >Solyc09g008510.1.1.1 pep chromosome:SL3.0:9:1996063:1997556:-1 gene:Solyc09g008510.1 transcript:Solyc09g008510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CQN9] MASSSSSSLCKELHFLLIPLMSQSHIIPIIDFAKLLALHRVKISIITTPLNAQKYKSIVTHALKSNLNIQLIPIHFPTQEFGLPQGCENMDSLNSLEMFQNFLLASEMMQEPLEKLIQDHMEPKPSCIISTSPLTWTQQLANKFNIPRYIFQTISCFTLYCSHMLNETNTQDTLVLDDDTFLIPNIPHKIEFTKAQLPQSTQDMKSLVDKMKKFQDLARGTLINTFEELEPWYVESYKKVVNKVFCVGPVSLCNKGMDEMVDRGNKGSIDDEHDDCLNWLDSMKPKSVIYACFGSLCHISLLQMKEIGLGLESSNVPFIWIIRGLNFTLEVEKWLRDENFEDRVRGRGMIIRGWAPQVLILSHPSVGGFLTHCGWNSALEGISRGVPMTTFPMFAEQFYNEKFIVNILKTGVGVGVEVSTTSWNEEKSGVLVSKDEVKRAINQLMDQGLEGEERRKIAKDLSHISKKAIQEEGSSYLNIKLLIEDVMHVLKNKEEIE >Solyc04g079600.3.1 pep chromosome:SL3.0:4:64106422:64110665:1 gene:Solyc04g079600.3 transcript:Solyc04g079600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDTLLSTVPDLSLQISLPSCILRSDQFKEAVRFDFRTDSGSSASGGSDLSHENTVFLHPEIKKAFNLPLIPTFEPTLSLGFAQVPLGTHFQYHHEHYQPQIYGREFKRSSRLISGVKRSVRAPRMRWTSTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKSTAKGQGEINIFLNQNNGINNGEYEKSCEEIDALSQPSTISQNMAHMRDPSSFMPDQTNVWSHSNRERTFANYPHIYTNMDGIETANNSSSNDTMLDLEFTLGRPSWKMGQAGSSSNDLTLLKC >Solyc04g045450.1.1.1 pep chromosome:SL3.0:4:33227486:33227638:-1 gene:Solyc04g045450.1 transcript:Solyc04g045450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSIELGTIYVSVCLSSPLEFLHTQLDSLELDVLTILHNRIEVVELLLD >Solyc03g082690.3.1 pep chromosome:SL3.0:3:54040138:54044963:1 gene:Solyc03g082690.3 transcript:Solyc03g082690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BI45] MPEDPSTDVSLVPASEVVTGITRLVFETIEAANSAVVQKENFNKFSKFLEKIALVLKELSNSETSEINNLSPALEVLKLEIEVIKQLALDCRNRNKIYLLLNCRRTLKYFECSTRDISRTLLLITSEYLNVLPEITDQLKDLCKNMLDTEYKVSIEEEEVSEKIELGIQERNIDRSYANDLLICIARAVGIANEQSVLKREFGNFKAEIASSEQGKNLTEKLRMEEIILLLGKADLLTTAEEKQTNYLTKRNSLGRQPLEPLQSFYCPITGDVMEDPVETSSGQIFERTAIEKWLADGNKLCPLTKKHLKKSDLRSNKTLRQSIEEWKNRNIMITIASLKLKIQTDKEEEVLQSLQKLSEFCVRSELQREWIVMENYVPVTIDLLRANNTEIRKYALLILYALAKDSEEGKERIGTVDNAIGLVVRSLARKPEESILALHLLLELSRSSVVQNLIGNVQGCILLLVTFMNSEDSVAAKYASEILDNLSFLDQNVIEMARLNYGAPLLQHLCSGTESKRILMAKTLSHIQLSDQIKLHITEKGALKPLLELLSHSNTEMKIIAVKALQSLSTVPRNGQLMIKAGVSDQLFELLFCHTLSTEIRENVAATIMQLAISKNSQGSEDVQVSLLESHDDIFKLFSLISLTGSNVQQSILRIFQAMCQSPAGSDIRTKLRQISAIKVLVYLCEVDDHEVRADAVKLFYLLAKDGNDDILLEHVNNTCIGNLVGIIRTSDNEEEIAAALGIISHLPQDFSMSQHLLDAGALDVILDCLHGRNAHSSLRNEIVENAAGALCRFTVPTNPETQTQVAEAGIIPLLVSLLASGSCLTKKNAATSLKQFSESSQKLSKQPASKIWMFSCCIASPTQNCPVHLGFCSVESSFCLLEANALRPLAEVVDEPDPAAAEASIDAILTIIEGEQLQNGSKVLAEANAIAPIIKLLSSSSIILQEKALKALERLFQMIELKLKYGTSAQMPLVEITQKGRSDLKSLAAKVLSHLNVLPEQSSFF >Solyc04g081810.3.1 pep chromosome:SL3.0:4:65788708:65792910:-1 gene:Solyc04g081810.3 transcript:Solyc04g081810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDIVLFFCFLLFLLSLLRETNAVSQEKNNGVYIVYMGAADSSNDGTKNQQAELMSSLIKRKKDAVVHSYNNGFSGFAARLSEAEAKSIAQKPGVISVFPDPILQLHTTRSWDFLQYQTEVESSSGPISGSDNASPKGVDTIIGILDTGIWPESESFSDNDMSEVPSKWKGTCMGSHDSISFKCNKKLVGARFYDDSDEDGVRPFGSARDDNGHGTHVASTAAGSLISGASYYGLASGTAKGGSPGSRIAMYRVCTADGCHGSAIMKAFDDAIADGVDVLSLSLGSSSGLEVEFSRDPIAIGAFHAVEKGILVSCSAGNDGPGPATVVNVAPWILTVAATTIDRDFETDIVLGGNKLIKGGGISLGNLTRSPVYPLISGDLAKSSNNVVMEKGARYCYPNSLDETKVKGKIVLCDNRDGYFSLTEKLTEVKKKGGIGFILIDDNARTVAPKFNSFPAAVVTEKDSNEILSYINSTKKPVASVLPTVTIANYKPAPLVAYFSSRGPTYNTHNLLKPDITAPGVAILAAWPGNDTTEAVAGQALPLYNIISGTSMSCPHVSGIAALVKAQNPSWSPSAIRSAIMTSALQTNNLKAPITTVSGSVATPYDIGAGEASPSLALNPGLVYETNTADYLQYLCSVGYDKSKIKLISNTVPDDFSCPTNSSSESVSQMNYPSIAVSNIKENEIKKVTRTVTNVGQDDATYTASIKAPVGLEVQVTPNKLVFTNNSKKLSYEMSFKASSKPKEDLFGSITWTNGKYKVRSPFVISTNSQGEHSKTADRRSN >Solyc02g032160.1.1 pep chromosome:SL3.0:2:28221594:28222490:1 gene:Solyc02g032160.1 transcript:Solyc02g032160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLPKGKTSTYRSDEQEIDLNLTLGGIYNTGNPNEISIPQPFSQIIASVTNNLAFQRAIKGIRSEMNNNSKDREEMPEIYEKRKETNPTFIPESNGESAIKFNAKKIKSILLQESNGEKPSKKVKNSDEVLDKVNSIVLPESNGEKL >Solyc10g083330.1.1.1 pep chromosome:SL3.0:10:63286400:63287317:1 gene:Solyc10g083330.1 transcript:Solyc10g083330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQVDLESLVSTNSGGSNDRKIVCETLAKAAGVKPEKNDIPDDEKELPPDFPPESFCLSKDAELDWFDRNAVLERKESAKGNSSHGTNVTSNLHPNLNSNSQRIPLTLKTKTTFFGLPKSSSVDSKRKTCKPANMRFFPTKRSESALKAVSAPEPVSPKVSCMGRVRSKKGRRRSCEASQKSEISLERSKSSRTPKRKIGFYSRLLSIFGFGRSNIKPVKASTERTHDSIPEEPLPPRKSNAVVTEKVHKVPASVEPVSVSDSSGLGGMMRFTSGRRSESWAADEIDAVLSELGTDRKVGPTIRN >Solyc04g008440.1.1 pep chromosome:SL3.0:4:2067269:2069703:1 gene:Solyc04g008440.1 transcript:Solyc04g008440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQVTLNNCNKPMPAIGMGSTFLSSRPGADPSETMKSALLEAIKSGYRHFDTAFIYQSEKPLGEAIVEALHLGLIKSRDELFITTKLWCTFAQRDQIVGACKLSLRALQLEYVDMYLIHHPLSVSETIQKLPIPKEIIHPLDIKGVWEGMEECKNLGLTKGIGVSNFSCKKLEELLSIAKIPPAVNQVEMNPIWQQKELREFCNAKGIHIIAFSPLGAYNTTWGHNRVMECDVLTQIAKSKGKTIAQIALRWIYEQGVSLVVKSLNKERMKENLQIFDWSLSQRDLEKISELPQHKGFTMASLFGPHDFVLQLDAEI >Solyc01g049747.1.1 pep chromosome:SL3.0:1:46270064:46270648:1 gene:Solyc01g049747.1 transcript:Solyc01g049747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGSWNNHSGLFRIADRPMGIETSPFAWTVANVPGNSCSHWTICIYMHQDPDSWISRPLMEDIVHGLLKYGRPFLWVIREGQDEDNMKEKLSFRDELE >Solyc01g080320.2.1 pep chromosome:SL3.0:1:79401644:79406785:-1 gene:Solyc01g080320.2 transcript:Solyc01g080320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDKDLSDDETTIDEISPFESSSSDEDNADGMTWSERELRKTIKHMENKVKELTAKRSQIDARSSAAAKIDAEIELILCDRDLLKEGLDEFLVAFAEQQHALKEHMEKKTCKKRNYDLAGTSASSVITQQHWADMLNQNYDSSEEEELEMQRRNAEHKSWRDNESRLRRMHLRIEAAFNNSCSDSDDPSQDPDDDIHTNIDGLADRLHKLVASQEEELKASQEEELKESKGENDDAGRNTTKKCSKLPVTRAAKRRNNGAIEIAPTETVERGTGYRRKEKTHCKSLISSIAKKKHSHGDKYGETKHQVVALFHLMLDPEAAPYPG >Solyc01g105510.3.1 pep chromosome:SL3.0:1:93550382:93561062:1 gene:Solyc01g105510.3 transcript:Solyc01g105510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVFYLISLPFTIGMVGVTFWYFAGPDVPRYVRYTVGYTWFCSLSIIILVPADIWATLSNHDKGQISFFWSWSYWSTFLLTWVVVPILQGYEDAGDFTMTERLKTSAHANLVFYLCVGAIGLVGLVLLIVVQEYRIGNIPGFAMACSNTFGLVTGAFLLGFGLSEIPKGIWRSADWTTHQKILSHKVAKMAVKLDDAHQDFSNAIVVAQATSKQMSKRDPLRPYMNVIDKMLSQMLSEDPSFKPQGGNLGENDMDYDTDAKTMAALRRQLEIAREKYYRYKSEYMNFVTQALELEDTIKNFEHRSGTGWKYVSSFRPERSGRLGSMLDTTELIWRCVLAKQLQKVLAILLGCMSASILLAEATILPNGVDLSLFSILINAMKKHEMPVQVAAFIPLMYMCMCTYYSLFKIGMLTFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLIHLENDAKTIFEKRMGNIDDAVPFFGRSFNKIYPLIMVIYTLLIASNFFDRVLSYFGNWKIFKFLSEEADDLDGFDPSGLIILQKERSWLEQGHKVGELVVPLARNFQNASLDLETGNNIMLPETKLSTRLREEDNVSHSKPLKGEAQHESSKEEINGKYKAKRSRSMKRENSMSSNGRNRERPLSRDENSLMDLQIQPSRDLSSTWRSMQTGFRNFKSNMESKGFMALHQVQDAGHSRASSTESLDEIFDKIKRPASESTNYDSDDDVYEGLKRPRPGR >Solyc12g010470.2.1 pep chromosome:SL3.0:12:3486562:3492277:1 gene:Solyc12g010470.2 transcript:Solyc12g010470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQKPSWLKALYVEKFFVPCSIHESAKKNEKNVCCLDCNISICPHCVTSHRVHRLLQIRRYVYHEVVRLEDIENLIDCSNIQAYTINNAKVVFIKKRPQNRQFKGGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHHKDLSPFLRRCTILQLSSDFFIPQDMGDDDMAIDTAHSTIVDNDEPWSSSSSTGSGSENMSFPCTEFVRKKRSGMHVCGRSANNCNNITEEDMATSISRRKGIPQRSPLC >Solyc05g044585.1.1 pep chromosome:SL3.0:5:57979401:57986846:-1 gene:Solyc05g044585.1 transcript:Solyc05g044585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVVENCKVEESVRGSNMVQSELNTSNPSGPLTHRIYNKDRDRENFAKMVVVCGLPFSFGEHPGFIAYIRETYNPSFKGLSRSMNLDIQPEEEPDLVTCQNSIKFFAKEMYDKYSFLDNVEDPQTSMNQGTDDITNDDGIPELLNWWRNRGDQFPKLSRMVKDVLAIQRSSSGEGWSGVPESIKGRGPRVDQGVWPESRSGVWRSRGMGGVVPGTIKCRGPRVDRGCGACERVGGKGDMDPESVKGRGPRVDGFGAG >Solyc01g066600.3.1 pep chromosome:SL3.0:1:74508758:74509539:1 gene:Solyc01g066600.3 transcript:Solyc01g066600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSYNSGISKRLLQKREIVENWKRLLASLKGEDQQQLQLHSQTPKPLLLVDCCMPLPLHFLHHHQQHRPPNHLLLHMDYCMPPPLHFLHHHHKH >Solyc08g080630.3.1 pep chromosome:SL3.0:8:64000788:64002067:-1 gene:Solyc08g080630.3 transcript:Solyc08g080630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANKSMVKLVAFLIILVSSCFQSLTAQDLEIEVSDGLNVLQVDYQGVTKESWPELLGTPAKFAKQIIQKENPKLTNVETLLNGSAFTEDLRCNRVRLFVNLLDIVVQTPKVG >Solyc11g008215.1.1 pep chromosome:SL3.0:11:2456529:2457208:-1 gene:Solyc11g008215.1 transcript:Solyc11g008215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGIHEIIAWVLEFMRETQQPSCVSCKITGMLNFYFPIYNYDSRRICIRRHPAVPVLCSTEVSGKEVRAMWYLADLLPSREPLSRLNLILYPFEDLSKLVPLEAVACSIWIHFCFILHVASSFCFIWLLNDRFALVRCSSLEQLHCLPYRGPPDDASEDSGVALLSQVSSFRVLSFMDYCYRLVY >Solyc08g077030.3.1 pep chromosome:SL3.0:8:61091338:61098189:-1 gene:Solyc08g077030.3 transcript:Solyc08g077030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQESQAGHHQNQHHHQPQHPQFHHQQQHGHGHGHGHHPMMMARPQHHQLQQLQQQHHQHQQQANTFGLPNNVPTHNNNNSAMMQQLQQHQQQNSGFPFNSVVNTASAAVAAPQHNLDYSDASSPRATGFSIEPARKKRGRPRKYSPDGNIALGLSPTPVTPISSGVPPTDSGSGGGGDAEGPSSENPSKKARGRPPGSGKKQLDALGAAGVGFTPHVITVNVGEDIASKIMAFSQQGPRTVCILSANGAICNVTLRQPAMGGGTINYEGRYEIISLTGSFMQSENNGSSGPSGLSVSLAGADGRVMGGGVSGVLMAATPVQVIVGSFLAEGKKPKSKVPSSTPPSNMLNFGAPATGESPPSQGDASSDSSEENGDSPFQHESGPYGNAGQPMHGMSMYANMGWPKSL >Solyc07g042750.3.1 pep chromosome:SL3.0:7:56384234:56389635:1 gene:Solyc07g042750.3 transcript:Solyc07g042750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWNKDESRCLLFLVRRFSRSVGHSAQAYRCEPVIRVSNNITHLGRQKDGVKPSQLLSLPPFPGCPLPGKKVATGPDQPSCHVTAISWIKYYFDEIPGSVIQSHFNKGLVQLELNELFTSKEGQTRLLRKIKHSEVMEVGARIHVPISVAETRISKRYDVIPSGTLYPNADEIAYLQRLVFYKDPAILVLNKPPKLPVKGNLHVHNSMDALAAAALSYEYDEGPRLSKIGVIFAGEEAIVVVHRLDRESSGLHLMGRTEESISHLHLLFSNTKRSKSLSKAWNDACGSTYQRYWALVIGSPKVKEGVISAPLTKVLLDDGRTERVMLAQQSGLEASDEAVTEYRLLGPMINGCSWIELRPHTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRKWKQMPRVDVEPITGKPYRLKRPEGLDVQKGSVLSKVPLLHLHCRELVLPNIAKFIELHSRKTRKNTDYSSKPDLLRFVAPMPSPMKISWKLMSSCLI >Solyc02g089240.3.1 pep chromosome:SL3.0:2:51740476:51746052:-1 gene:Solyc02g089240.3 transcript:Solyc02g089240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVCCCLRDECEDFANPNSSIYRNCLCLRCFVQNFLHMYASLFHRGEQHAIASSTQGTTSLSSSASLDNSLSDMYRSPPRPLPYDADPRYFRLQRDGLVSRREKGSSHSHEETEPLRRSDIDDDSESLGMGNKWKESVCEEGSKEYNSKSLKFSTAKTTTEFTQICYSSEDEDVCPTCLEEYTEENPKIMTKCSHHFHLGCIYEWMERSDNCPVCGKVMLFNESP >Solyc01g112350.3.1 pep chromosome:SL3.0:1:98335447:98358222:1 gene:Solyc01g112350.3 transcript:Solyc01g112350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASQALGGPSRCGWVLGPSLDKIIKNVAWRKHSQLVAACKSALDKLDSVVDDPVDPASCTPLYGLSTSDADFVLQPLIMALDSSSPKVVEPALDCSFRLFSLGLIRCEIDTPTPTPSPSHNPSSHSHIFRLIDSVCKCGALGDEAIELAVLRVLLSAIRSPYVLVRGDCLVHIVRSCYNVYLGGMNGTNQICAKSVLAQMMIIVFTRVEENSMIVDFKTVSVAELLEFTDRNLNEGSSIQIAQNFLNEIVDVKSKEGIAESKLCLQLENDNSEKKGELIDGEPGEGADLSGYSKIREDGFMLFKNLCKLSMKFSSQEHADDNILLRGKVLSLELLKVIMDNAGPIWRSNERFLNVIKQFLCLSLLKNSALSVMTIFQLLCSIFKNLLSKYRSGLKSEIGIFFPMLILRVLENVLQPSFLQKMTVLGLLEEISKDPQIIIDVFVNYDCDVDAPNIFERTVNGLLKTALGPPPGSTTTLSPVQDITFRSESVKCLVTIIKSMGMWMDQQLKVGDPNQDKVSDHEVSEAAISVSEEGNIDYELHPDANSEFSGAAALEQRRAHKLEIQKGVSLFNRKPSKGIDFLMSTKKIGNSPEDVASFLKNTTGLNPTIIGDYLGEREEFPLKVMHAYVDSFNFEGMNFGESIRYFLRGFRLPGEAQKIDRIMEKFAERFCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMTKADFIRNNRGIDDGKDLPEDYLGALYDQIVRNEIKMKADSSVPQNKQGNSLNKLLGLDGILNLVWKQREEKPLGANGVLVRHIQEQFKVKSGKSESVYYVIADPAILRFMVEVCWGPMLAAFSVTLDQSDDKNATSQCLLGFRHAVHITAVMGMQTQRDAFVTSMAKFTNLHCAADMKQKNVDTMKTIMSIAIEDGNHLHEAWEHILTCLSRFEHLQLLGEGAPSDSSFFTSSGSESEEKTLKSAGFPSLKKKGTLQNPTVAAVVRGGSYDSAAVGANSPTLVTPEQINNFISNLNLLDQIGNFELNHIFAHSQRLNSEAIVAFVKALCKVSMSELQSPTDPRVFSLTKIVEVAHYNMNRIRLVWSHIWSVLSEFFVAVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQQSNSAEIRELIVRCISQMVLSRVNNVKSGWKSVFMVFTAAAADERKNIVLLAFETMEKIVREYFRYITETEALTFTDCVRCLITFTNSRFNSDVSLNAIAFLRFCAVKLAEGGLVSNEKNKNNDSSIPVAEKEASDGLIFTDKDDYMSFWEPLLTGLSRLTSDPRSAIRKSALEVLFNILKDHGHLFPCLFWINVFKSVIYPIFSPVNDSPEAEVKYDQSFKSRYTPPADGCLWDSETSVVAAQCLVDLFVNFFDIVRSELPSVVSIMVGFIKGSGKDPAATGVASVMRLAGDLRGKFCEEEWEVIFLALKEASYSTLPNFQKLLRTMDNIEISISDMETSSGAGLVYDESDDDNLHTAGYVVSRMKDHIAAQLRIIQVSSDLYKMCRQSISVDTVTVLLGIYSAITSHAQQLKSEKVVQVELQKACSILEIPEPPLVIFENESYQNYLNFLHELLVSNPSFVEEKNIEPELVGVCEEILRVYLECAGLNSVKKKPDDKAIYQWNLPLGSAKKEELVARTPLVLSVLRILCSWQMDSFRKYISQLFPLMIDLVRSEHSSGEVQIELSHFFQSCIGPIIMKL >Solyc04g080410.2.1 pep chromosome:SL3.0:4:64677268:64678673:1 gene:Solyc04g080410.2 transcript:Solyc04g080410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EYHQPSYDQAKLMLIEPWGGSNGSEWNYKLTNPIKEIIIAHDDNVINSIIFRTIGQEGTIDSPKFGGNGGYKKAKVTIENAPEEYLTGIKGKLGYYTGHLVVKSLCFSTNLKCYGPVGGNEVGGTSFSLVIKENGAILGFHGRSGAFLYAIGIYLKNVTPPEQIKLLKQPKVEESPDEFPNKMDVMKGIQPRCPGPWGGYSGKGWDDGVFCTIKQVHIYMNTIISAISGIQIEYEKKDKMSVWSQLHGGFGIGVGNHDNVVKKININDENEFLIGIEGYYSLVKENGGQDTLRQIAFYTNKGKYGPYGSEIGTYFSSSTARGKIVGFHGKSGLFLNAIGVHMEYF >Solyc11g007770.2.1 pep chromosome:SL3.0:11:1988065:1993765:-1 gene:Solyc11g007770.2 transcript:Solyc11g007770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPIVVSGSTVNGGGCRWWGWCNKSNSSGKSGSNGSGSSDSCANSSAFVFFLVSFVCLASIAGLYCRILLPPNVHTTLSSLGCNEDNEGSWSIGVYYGDSPFNLKPIEEANVWRNKTAAWPVANPIVTCASASGASFPSNFVADPFLYVQGDILYLFFEAKNSITMQGDIGVARSTDKGATWEQLGVALDEDWHLSYPYVFDYNGNIYMMPEGSAKGDLRLYRAVKFPTEWELEKVIMKKPLVDSFLIQHDGKYWLFGSDHSGIGAKKNGQLEIWYSSSPLGPWKPHKMNPIYNTDKSKGARNGGRPFLYDGHLHRAGQDCGETYGRRLRLFKIEALTPTEYKEVEVPLGLKESMKGRNAWNGARSHQLDVQQLSSGEWVAVMDGDRVPSGDVNRRFILGCASVLGVVILVIVFGMLLGAVKGLVPLSWCPHNVGKRSDASFDWERSSLLSNRMRLFCSRLNRASSSLRARIKPKTCSGGLVLAVIFIVTVVLMCTGVKYIYGGSGAEEAYPLNGQYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVREIVVVWNKGQPPELSELDSAVPVRIRVEKQNSLNNRFKVDPLIKTRAVLELDDDIMMPCDDVERGFKVWREYPERIVGFYPRLADGNPLKYRAENHAREHNGYNMILTGAAFMDSKMAFEKYWSNEAAAGRAVVDKLFNCEDVLLNYLYANASSSSTVEYVKPAWAIDTSKFSGVAISRNTQTHYGLRSSCLQKFSEMYGSISGRKSEFHHRNDGWDV >Solyc03g111385.1.1 pep chromosome:SL3.0:3:63460295:63467596:1 gene:Solyc03g111385.1 transcript:Solyc03g111385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKTKKPGKGKEKTEKKTAKAEEKRARRESKKLSPEDDIDAILLNIQKEEAKKKEIHVEENVPAPSPRSNCSLSINPLKETELVLYGGEFYNGSKTFVYGDLYRYDVEKQEWKLISSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWALDLKTNQWEQLNYKGCPSPRSGHRMILYKHKIIVFGGFYDTLREVRYYNDLHVFDLDQYKWQEIKPTPGCMWPSARSGFQFFVYQDEIYLYGGYSKEVSSDKSGSEKGNVHSDMWSLDPKTWEWNKVYILMFSPPLPCVHHL >Solyc02g079895.1.1 pep chromosome:SL3.0:2:44846743:44847132:-1 gene:Solyc02g079895.1 transcript:Solyc02g079895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILIYAKVKLPRNYLYHVSIIIRLCNDKVNSTAEVERGEVALSIICYVQQENVAEDVAREYIESIILDSWKKINYHFNNLSTSQKKIVNHVTNGA >Solyc08g075290.2.1 pep chromosome:SL3.0:8:59506402:59511027:1 gene:Solyc08g075290.2 transcript:Solyc08g075290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNHQFIYPIFISFITTLGLVSISLCIAAEFKKTKKEDLRFDGKLCYLPKSVAFELGIGALICLIIGQVIGNLLICRNVFSKDHQNNLKTNKKPTIIGLICVISWMSFVMATILIGTSISMSKTQPLGEGWLDGECYIVNNGVYIISAILVFITLSTTLGPLIFKIRKKDQAQTS >Solyc04g064705.1.1 pep chromosome:SL3.0:4:55860873:55862427:-1 gene:Solyc04g064705.1 transcript:Solyc04g064705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYTPYKDRKKKNAAFTPINETLGLPYAEPLSLGVPASLNTKMHKQQSSGINIYEMGQNLFMFKFSSKLETENVMRGDWHRKKHKLKLQWWTPTVRSAPRHEELDGARGVSRRGGGLPRLEYPRDHAMGEHYSANSPLIQ >Solyc06g062940.3.1 pep chromosome:SL3.0:6:39842581:39848816:1 gene:Solyc06g062940.3 transcript:Solyc06g062940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVEMAAADEGSTVTAPPCCSSSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Solyc01g104290.2.1 pep chromosome:SL3.0:1:92601215:92603487:1 gene:Solyc01g104290.2 transcript:Solyc01g104290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKESFDLRKKKGSLIPLNVHDSLLHSWLLTLLHLPMFGFSCLLLPWVRVKLSECTNITIINYCTETVWPGITPKNNFSGDGYELKQGESAVFTAPAGWSGRIWGRTGCDFDKNGNGTCQTGRCGTGLKCREPGQPPASIAEFTLGDTDFYDVSLVDGFNLPIVVKPVNGKGNCSSVGCDSDLRPNCPSELALKSDGKTIACRSACNVFDTDEYCCRGTFSSPISCLPTNYSTIFKTACPVAYSFAFDDPTSIITCSSTDYLVSFCSSRNQNQTQCTNHGKNVTCNGAYGLNSLFKTRDILMVGLATVISLGGLMF >Solyc09g066350.1.1.1 pep chromosome:SL3.0:9:65014582:65015052:-1 gene:Solyc09g066350.1 transcript:Solyc09g066350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEDQRGRRENKYRGIRRRPWGKYAAEIRDPNRNGARLWLGTFETAEEAARAYDRAAFSLRGHQAILNFPNDGHYHINNNTNVPLGVGPSYNTPSTMGNNMNMSSSSSASASASAFSDDRHDHGEKVEFEYLDNNLLDELLASQVHRHDNKRPKF >Solyc08g079005.1.1.1 pep chromosome:SL3.0:8:62782027:62783752:-1 gene:Solyc08g079005.1 transcript:Solyc08g079005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITHAKVFFKFEWKVHLCDNKFRFSTKIKFQNVKYMMTCTIESIYTQYLHNYRAQKYLNKKDITTSRKMK >Solyc01g094350.3.1 pep chromosome:SL3.0:1:85731057:85747802:1 gene:Solyc01g094350.3 transcript:Solyc01g094350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLSLAVGNCGKGRNAENLSSEFVPHSSLGSEFLTKRLYGLNLKDLGSPKVRTRKKFRIAAEIKKWKKQDYPWHGDIDPDTKTPLKYLSYFKPLDEKLKPVTLAFEKPLVNLEKQLIEVRRMAEDTGLDFTDQIYALEAKYQQALRDLYTHLTPIQRLQIARHPNRPTVLDHILNMTEKWVELHGDRAGYDDPAMVTGIGSIEGRSYLFIGHQKGRNTKENIMRNFAMPTPHGYRKALRMMKYADHHGFPIVTFVDTPGAFADLRSEELGQGEAIAYNLRAMFGLKVPIITVVTGEGGSGGALAIGCANKLLMLENSAFYVASPEACAAILWKSSQAAPKAAEKLRITAQEHYRLRIADGIIPEPLGGAHADPFWASQQIKHAILDAMAELGRMNSEQLLHQRMLKFRSIGGFQEGIQVEPERKRNMKLSEANTPPADLESELANLKKKILEAKGPSDPVTIQVLEKLQEDLDTEMTKAFIAMGLDDKIKSLKLELQRAPILDQPLNKSLQEKADKIMQEFKQKLSQPGAYLGLKQKLYTVNMASRLIELKNKSEKIKNEVNQKIPTTVKAKIDRLKAASEKLRNGDSLDTNLVEEVEKAKKELKDVLRSANLEIVGTRKRTNVAVPPELEEEVAKVNAEIKEEMERAVTRSGLSEKIEELKAEIVKDSNSERIKELETEIREGIAATLSVIPVKKKVESLREKLASLTKDDAESKNFRWNFRCVSLSPLVAGDRTFSPTYKGWGAVEAAAAGNQQTQQWLRRNQLPSPDSTVAEVEKNVQSEAVDQSSQEWKARLKIPQPDTRYRTEDVTATKGNEFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTASFCIPALEKIDQDKNVIQAIILVPTRELALQTSQVCKELGKHLKIQVMVTTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCVLKDCSMFIMDEADKLLSPEFQPSIEQLICFLPANRQILMFSATFPVTVKDFKERYLHKPYVINLMDELTLKGITQFYAFVEERQKIHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVSLITFEDRFNLYALIMFISFKFPLADRSSYILSVNQLAVVDGAASGDARFHFLRACNSRVQKRNLADSWLWNNKNAY >Solyc11g011100.1.1.1 pep chromosome:SL3.0:11:4187831:4188277:1 gene:Solyc11g011100.1 transcript:Solyc11g011100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILYLLSIILLISSFVIAFIFIVECCTNDIGDDKRTRGTCRAVTSPSFDNLAATAGQVGGGATKSGGTKDVTIVVHDGINGKVNTTTTSVYSSSSTSYVENNKYGICKMAVTLESVNDDIRVNDGGSDNNDATGGASHAKISNSINS >Solyc05g054060.3.1 pep chromosome:SL3.0:5:64883360:64891498:-1 gene:Solyc05g054060.3 transcript:Solyc05g054060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UTP--glucose-1-phosphate uridylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C285] MSPVPALSPADAEKLANLKSAVSSLTQISDNEKSGFLNLVTRYLSGEAQHVEWSKIQTPTDDVVVPYDKLAPLSEDPAETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVKQIEDLNTKYGCTVPLLLMNSFNTHDDTAKIVEKYSNSNIEIHTFNQSQYPRMVIEDFAPLPCKGNAGKDGWNPPGHGDVFPSLMNSGKLDALLSKGKEYVFVANSDNLGAVVDLKILNHLIQNKNEYCMEVTPKTLADVKGGTLISYDGKVQLLEIAQVPDQHVNEFKSIEKFKIFNTNNLWVNLNAVKRLVEADALKMEIIPNPKEVDGIKVLQLETAAGAAIRFFDRAIGANVPRSRFLPVKASSDLLLVQSDLYTLTDDGYVIRNPARANPSNPSIELGPEFKKVASFLSRFKSIPSIIELDSLKVTGDVYFGAGITLKGNVTINAKSGVKLEIPDGAVIKNKDVNRPEDI >Solyc04g005460.1.1.1 pep chromosome:SL3.0:4:318153:319745:-1 gene:Solyc04g005460.1 transcript:Solyc04g005460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLICTRRCLFLLEKCKNMKQLKQAHGQVITCGLGENSFALSRLLAFCSHPNLGSPVYGFKIFEQIQEPTICIFNTMIKSFLLKGDDEVNRITEIYRNMLKIGMYPDNYTLPYVLKACGRMKSLHLGELVHGQILKLGFLIDTFVGNSLIGFYTCFDNVEAARSVFYEIPCNCVVSWTVLICGYAKRGDVYEARLVFDECLVKDRGVWGCMISCYVQNNCFKEGLQLFRQMQMSGIEPDEAILVSVLSACAHLGCADIGVWIHRYVKKLKMGSSIKLGTALIDMYGKCGCLDIAEKVFDEMPIRDLICWNAMISGFAVNGNGLKALKLFNEMQKFRIRPDDVTFLSMFTACSYAGMANEGLNLLNLMCNVYHIEPKGEHYGCIIDVLSRAGLLEEARKIVQNMPNSSAPSEEAIAWRALLSASGNHGVVDLAEAAAERIVQLERHSGAYVLLSNIYVAAGKHDNARRIRKKMKSQYIGKVPGCSSLEINGVVHELIAGEKTHPQLVQIHQVLETINNHLDNSTHILHGYDH >Solyc03g034204.1.1 pep chromosome:SL3.0:3:5928076:5931630:-1 gene:Solyc03g034204.1 transcript:Solyc03g034204.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCYTQINTLNELHNNLPPNQYNCICASSCFAQLTAMRRCHVQAQLFRCIMLRELEGSSVNAILFYINGTTLRFTIREFAIISDLNCSDNGVDFYFDTDQPNRIIDEYFPDNSPVTKARLAEAFKAKVWGDNQEDAYKFGSLYYIHEFIMSAEPTTTTIDRLDFDLLETGRFMNYPWGWKTFNELAKSINNKIKPCGQYYRIQGFPLPMQVWFYECCSYVDDKIVVKVYSHIPRIINWVTKNDHPRFDYFMKIIFNDADNPGLQTDHNKVTDPDDDFQNPPSITSRKGKEKIIECSSPIRKKKKQSVTVISINKFSKKAIKTYTRRSMARKATRSQSININSVEKHSDAGTSHNNKHGEQKSVQDRTQMGQIKKSTSITISCDEFEAFKKSVKEEFANLRKILEDKYKTVLDAMNSKVNVIDDDQESPIGDFHHQPTYTPHEPQSQSANLTEQEITLKELNSNSALLDQVVLGDNLNDLSATASQDKLVLYATVDAQQNAQRETESSSNSRVIYNIYNAASHERIAEADESIMIAAPIQMEVLDEMIIDYFNGYKMLAYAPWHTVDDVLILVNLEGKLHWILIVISFNDRCIKVYDSINNSLHHSFIVNHIKKYAQLIPMYLVKSDFYLKKGLDIASHHRYQGHTVYDSFKIVYVEDLPQQPAASLDCGVYVASYADFLSERKDIPADLDPEEIRLRYGALLWNYGNQKIQAGAVSDSEAPLKPVRNRTENNSIERITIQ >Solyc01g006190.3.1 pep chromosome:SL3.0:1:819074:822480:-1 gene:Solyc01g006190.3 transcript:Solyc01g006190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4ASI5] MAPGGSNYQDIGDSRSAYSDYGIAPESAEFKNSPFRKAAAVIGGKNGVGSNSAVHELLECPVCMNPMYPPIHQCPNGHTLCVKCKSKVHVCPICRHELGNIRCLALEKIAESLELPCRYQIYGCQDIFTYHTRLRHEQNCRFRPYNCPYAGSECAVTGDIQYLVAHLKDDHKVDMHDGCTFNHRYVKSNPQEVENATWMLTVFNCFGHQFCLHFEAFNLGLAPVYMAFLRFMGDDDDAKRFSYSLEVGGFGRKLTWQGVPRSIRDSHKTVRDSLDGLIIQRSMALFFSGGDRKELKLKVAGRIWREPL >Solyc10g050170.2.1 pep chromosome:SL3.0:10:47984583:47996047:-1 gene:Solyc10g050170.2 transcript:Solyc10g050170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHMQGDRSVLDSFPENIDLNQGSVSNNASMDATAPWDNFRNPVDNRLSNPMLSPSGRNPSYVNGVNYNAQSFSGWDQGESSSTANLHDRTRGSDLKIGQGWTSSSNDYVMINPRSERRFEPSNVFSTSGYGGNHVIGRPPSVSSSLTLDHSPVNSNLSGGYNNDDGRLVMRTSVPPLHKSDRSEVVRPPAFSPSGSGTFTGGSSSSNAGPENTVQHNYPSCNNASSSINRLPRSANMQNVGYLENTYPRNGVGTSMSASDIFPPLSAGGIAESSARNFGAIANLVNQDLITSGLLPIGATVGHSSVSPAHVPPGSASVTSSLDSRQPFSQPMNSGNLGGQSHMMQVPGFSRGFHSLPWEASPSSRGGSSSMISGDRGASLGDESNFSTVRNNGESHPFVPETRNMVLDPTNWSLATANASSSRNIPSSAMGPGSRARNSPTAWANQNSATTSYDGLPEFAPWVLFPSGEPESGSQRGRSSLLPSAASSSEDPVMSSRYGSRGNRQPHLRSSLMVDPGDDVDGWRALAADVEGRHRLIRQVLNAMRRVENLRSEDYMLVDPFINGVAEFHDRHRAMRLDVDNMSYEELLALEERIGNVNTGLSEETISVNMKQRKHGSLRGQSSSNLEPCCICQEEYTSGDIMGILDCGHEFHTNCIKQWLILKNTCPICKMTALKT >Solyc05g041615.1.1 pep chromosome:SL3.0:5:53329903:53330404:1 gene:Solyc05g041615.1 transcript:Solyc05g041615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIIAIDLDRDAYEMELPIIKKAYIEHKINFIKSSALSALDELLNEVNRVSYQKYHERLLELVKVGGILVYDNTLWFGTVAMPEECVKETMKPNRQHIIEFNKFLASDTHVQISQVPIGDGIAICWQL >Solyc03g098110.3.1 pep chromosome:SL3.0:3:61885850:61888047:-1 gene:Solyc03g098110.3 transcript:Solyc03g098110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQAPFLLPVTCLLNPARALLSSQSREESGSSEVDPDLRSVLELATDSELYELQRILFGPSYFSPLLKSVTRRTEFDYVMVGEDPEERDDFLSMLESRFLYLAADARSTLRGRRPSYREVLLGVREKLTIRFFFICCTSTQAQKKAWMLLTGMVV >Solyc07g007530.1.1.1 pep chromosome:SL3.0:7:2209732:2210211:1 gene:Solyc07g007530.1 transcript:Solyc07g007530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLLSSFPCLILTLVLSFSILIQPGLLWHAPSGDLSEFYAMPQCWMAMEETMVCGYKVSAILGGNLGDGSIDLVALGNKCCKAINDVSSKCTFEGVNPLNAFIPQFVKDMCVGDDKEGFFKPNYPFSYDLFRGSLKNSLLKNNHVLPRKLHPTLPIRP >Solyc07g009350.3.1 pep chromosome:SL3.0:7:4389443:4407553:-1 gene:Solyc07g009350.3 transcript:Solyc07g009350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDSLLESLRTKQEEYNSESEESGDDGEQAHGVSDDDDEGSDSESSRLSEPGASQHGSGLGNPVARIGGTETDDDDSEASGSDGEQELRVNGQPTRGACASTSSFHSHLDHKLSKEEVDELEKKKWTYKWVVAMSNYKWRGTGKCFLKEPESFLFHGLKPTLHKHWLSIYEKSVGADFDSSKQRLFFSICNSYRDILHHNKKPFYLKGLEEDSSTMDAYVMHSLNHIFRTRDLVKKNEAKLAKLQDNVKVDILSNEAFLDRGFTRPKVLILLPLASVAFRLVKRLIDLTPPKYKSNVEEHERFYREFGAGVSEEKEDEDAAEISESKRSSKPSDFETLFGGNNNDHFMIGIKFTRRSIRLYGDFYSSDMIVASPLGLITKIGEAELYKDKNVDYLSSIEVLIVDHADVILMQNWSHVNTIVEQLNRIPSQQHGTDIMRIRQWYLDGQAPFYRQSIILSSHINPDINGLFNHHCLNHEGKVKLASEYKGVLPKVVLQIRQIYERFDANTAEDADDARFDYFTKKVFPKIKDSTQGGIMLFISSYFEFIRVRNFLKSQEASFCLLGEYTEQSDISRARGWFFDGKKKIMLYTERAHFYHRYKVLSPEYYMCCLTLSIHAYKNGLAFVLDNVVVNLLQGSACTVLFSRYDQLRLDRIVGTAASKRMVTSDKGVFVFC >Solyc01g007870.3.1.1 pep chromosome:SL3.0:1:1967558:1968452:-1 gene:Solyc01g007870.3 transcript:Solyc01g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRNGNGPKLDLRLNLSPPRVVNHQDQHQHQYQYHIDQQESLSPNRSLATSPTSSCVSSEDSPSHQRRDPNSPETTTSMVLVGCPRCMMYVMLAEVDPRCPKCKSTCLLDVIHESNNCTTRRRIT >Solyc03g059380.1.1.1 pep chromosome:SL3.0:3:31225110:31225280:1 gene:Solyc03g059380.1 transcript:Solyc03g059380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSNKISFLNKNPFFDLFHLFHDRNKVGYTLHYDFASEERFKEMADLFTLSITER >Solyc05g025920.3.1 pep chromosome:SL3.0:5:38038854:38040965:1 gene:Solyc05g025920.3 transcript:Solyc05g025920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIFQQPKGLESMSAGFSSHNQSCRGNQRKMDEHGGSKVRGIKQIVRLKEFLSKWQHVTLGPKGNGNNCNNNNNNNNNLGSSLKHGSISPRGISPSISMRLRNYNIYCDSDEESCQSPEPPHGVPRGYLAVYVGPELRRFIIPTSYLSDPLFKLLLEKVEEEFGFDHTGGVTIPCKTQTFKFLMKCMENHQRDQPSSSLHQHHSGTFSSS >Solyc11g017030.2.1 pep chromosome:SL3.0:11:7804072:7804523:-1 gene:Solyc11g017030.2 transcript:Solyc11g017030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VRMPTEQFAIFRQIIVFDVSFKGFIIFFCAVTELHKFEANIQVSGSRATVYGKGKASTFRSSQVNATDLRGGISLVLASLSAEGITEISGTSHADRGYENLEMKLQGLGANVIRTTTITYPI >Solyc04g049007.1.1 pep chromosome:SL3.0:4:39020024:39022284:1 gene:Solyc04g049007.1 transcript:Solyc04g049007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQMIVYYEVSLRLIGLLISADYVADTFKLPLVDWKHRIRSIKEVIHDPVGGYASRDESRVPVDDSRLALFANATSLGLAVFVEEFKRVSSTRLKSSIAYLTSIIILRLKMEYANGRPLDDDSAKAIDMINERMHINERSTNHNSGQDRVPQSSRISSNAEIALPHRTTHLYLLTLFFVFSCFVLYPSVPCVPHSFLPKKVVLCSLHSCFTCSIIRLGGGMLLSHRKELTAFHIRYTTTAIVVSTRSLQPLPIVDLSSLLHISL >Solyc04g078180.1.1.1 pep chromosome:SL3.0:4:63070095:63070346:1 gene:Solyc04g078180.1 transcript:Solyc04g078180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVCVYLTFTTGPLVCVESHVLFTLNFILFFFSFVEQRTSCVDCNNSKKEKEMVPCRKESTILILTSRFHIKIVLFLELYIY >Solyc07g042025.1.1 pep chromosome:SL3.0:7:55012984:55018607:-1 gene:Solyc07g042025.1 transcript:Solyc07g042025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIQDVMGFLIQFISLNFELLCTPPSMPVTKFPPPLFVSNMSPMITKLLLGGPEKGERTVRTGKTTALLLSITPFLEVVTTYSNVEDALVHVNVI >Solyc10g079595.1.1 pep chromosome:SL3.0:10:61231497:61233383:-1 gene:Solyc10g079595.1 transcript:Solyc10g079595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAAVESQFHVLAVDDSVIDRKLIEMLLKTSACQVTTVDSGSKALEFLGLQENDKNQTCVSLDNHQEAEINLIITDYCMPGMTGYDLLKKIKESASLRNIPVVIMSSENVPSRISRCLEEGAEEFFLKPVRLSDVNKLKPHMMKTKCKSPQQQQKQEAEIIVTQDNQESIDTTYVVP >Solyc01g010525.1.1 pep chromosome:SL3.0:1:5484426:5485818:1 gene:Solyc01g010525.1 transcript:Solyc01g010525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQQMYLMNSYYNYLLHGGDTRTTWKSMWNTITPKGGCKNLDFIKKWSSLIRVDQLCSSEVERYCVNFILLHDPKRYECLVLKRDVISSPLLRDLQSSNTSYSHGVLELEGDI >Solyc01g097470.3.1 pep chromosome:SL3.0:1:88191183:88192998:-1 gene:Solyc01g097470.3 transcript:Solyc01g097470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LTQSAYSPFSSSTYNNNNINFGIEKMDLFKFRAHYLLLLLVLLPMLAAKEDGIPPSLAPYFDNICNDVECGKGRCEKAPGKPFNFICKCERGWKRTHHHHDDDDDDEDDLKFLPCIVPKCSLDYSCLPAPPPAPSIPHNMSFFDPCYWIYCGEGTCTKNITHGQTCQCKSGYSNLLDFPAFPCFNDCAIGSDCQKLGIRLSNSTASSPSSSTPSSIFNTNRGGNDNNGATSIMLKNSHWMAIFLASAAMALLN >Solyc05g010390.1.1.1 pep chromosome:SL3.0:5:4526784:4527050:1 gene:Solyc05g010390.1 transcript:Solyc05g010390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDARSINVLQEWEEKTQAYGTSGKRSWADEVEEDEGSKRKPSIWDKFDITRVSNAGLKLEYVTPNRQRGVVVCEIEHEDMREDKMLV >Solyc05g054250.1.1.1 pep chromosome:SL3.0:5:65057245:65058207:-1 gene:Solyc05g054250.1 transcript:Solyc05g054250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPFNIFALKLQIHCKYCARELKKELLNIKGVRSVKINQELGKVIISGKVDPEKILFKLQKVGREAELWCEQERPPKDVNVLPKVTDPLNDPDIMTQLEKFSDDPSVISVEVTKTIKVIFKGESRNGPTEKTTEINTTTKNVKEAHDHCDHSHGGGLCGASSSCCGGHSAVSHNLNHRCCQYGNTTSLGSSCAHGRNSCYYPSQYGNNPNSGPCCAHSRNSCYNYYGQYGNTGYGSCYPNGISPSAGTIWSNDNIPSAPPLPDDYYQVPSSLAMPNNYYQAPLPFSTMIDEYHQAAPSLAIPHTYYSFLNENKSGCTIL >Solyc06g068380.3.1 pep chromosome:SL3.0:6:42479477:42481567:-1 gene:Solyc06g068380.3 transcript:Solyc06g068380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMLIGLVSEFTIPAFKLVHGVEYAFGAHEYPSTGIFEGEPKKCEGFIFRKTILIGWTEKTPREVRRVMEELADKYKGNAYNLITKNCNHFCSDACVKLTNNPIPRWVNRLARIGFVCHCIVPMSLKSTKVRHHRMEDKASEGTSKKPQSSLNNQTPSSNPSGEGDKKKPQSSSNKPTTSSNPSNNKKPQSSSDKPAPSSNPSSASSQPPPIAKSTTSNKTKSLLPPSTPLTSDSNAS >Solyc01g079890.3.1 pep chromosome:SL3.0:1:78918968:78929537:-1 gene:Solyc01g079890.3 transcript:Solyc01g079890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nodulin26-like intrinsic protein 71 [Source:UniProtKB/TrEMBL;Acc:V5YMW5] MIMKLPSYENGLSVEFQVDASASEQSTYDQETTSSNVEMLERRNVCNSILGIDPIFLRMVLAEALGTFLLMFCICGMMASMEIMGVQVGLMEYATTAALTVVVVVFSIGPISGAHINPAVTLAFAAVGHFPWSKVPLYVVAQVGGSILATYTGKLVYGLKAEFVTTKPLHSCTSAFFVELLATFIVLFLSASLTNYDPQSTGPLSGFLVGVAIGLAVLISGPVSGGSMNPARSLGPAIVAWKFNNLWIYVIAPIIGAVAGVVFYRFLRLQGWSCKPNSTPTTHQHI >Solyc02g032270.1.1.1 pep chromosome:SL3.0:2:28556596:28557417:-1 gene:Solyc02g032270.1 transcript:Solyc02g032270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDDGGGLKEESCITDFECVDVEKDEAFRKNPFMCEHSVDHYYYLLPRAKRSRIFRRKNPPPTVMPSQSMSQKKDPKIRISLKRKTIETVDEDLSVEGKKTETLFDEKHSKWENREEKRRKIYKPIIDPPVLPDELKEMISGMGVQISQVKLVIQKVLYDTDLSYKHMRMSIPVNQVVRKDFLTPQQKMVLETRDIESNKKSKIQFNLIEPSLEQTKIHLTKWDMSNSSSYVLLNDWMQVVKRNKLKSGMVVQLWSFHQHLVPWLALVSVRQ >Solyc02g094510.3.1 pep chromosome:SL3.0:2:55656914:55670771:-1 gene:Solyc02g094510.3 transcript:Solyc02g094510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSILPSHSDNHTTSSSSSSSSPNPNSNHGSKSKQQQQQQPDNFSGNMATAASTSGGSSKKVNNSGSSRESSRHHNSDIISLHGQGKPARTNDPSSGRDQFGFNSPQGVVTRSASRRTQMVSGNHLLNFQYDPISRPQSRLPPPRRYVKRKPYNKDLFLQANYKFVLLDSGNYTPDSMDPDKMLQWEDIVCVRYSTPFHVQCPICLEDPLCPQITSCGHIFCFPCVMQYFMISEEDDHKNNFKKKCPLCFMMISSQDLYTIQIENVKQHRVSDVIEFLLLTRHKDSFTLSLKNNDGIVGREEVQKLFSKFIFTSDVDLSVREAMSDLDSWLARADSGLVDDLEKLPFVCAARELLEQRKTYWNRQHIAVQNSKSDEDNRLEKCSYSSQRLESVQEKSTLNKVVRDSHLIQASDVESIEERVGSSLSLYGNDKSLQKDTSGITDREEISSYSFYQAVDGQHLILHPLNMKCLLHYYGGYGGLPNRISGKILQMESVTQSEAMRRRYRFLSHFSLTTTFQLCEIDLSKIMPMDALSPFMEEIRSREKQRTWLARKERREQVKAEAIGMYLEPLPFSFSEPSFTEQPTFTSNDFEALGSTSVTVTSSSSPVPGGRQLFSNVARLGFAAGCDPPALKMDESARGSSVAAGTRSPGILSFANVTSKAKAVEGPNASKSNDAGKRGKKPNRILMSTSGGRRY >Solyc08g016444.1.1 pep chromosome:SL3.0:8:7606624:7607573:-1 gene:Solyc08g016444.1 transcript:Solyc08g016444.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCITTLAYNFNINGYIEGEVKPSFTRGIRQGDPLSSYIILLYVEGLSRLLNQAELCGDIQGLSLSRGGPTIIIYFFADDSFIFCNANIQNAQKNSEIKEITTMLSNFRWGHDKDKRKMHFEKWEILCSTKSKRGIGFRELKSFNQALLAKLAWRILTQEDSLLFRILKSKYFPPTAFLKDTFSSTSSWIWKSIIWGRDLLQRALDGG >Solyc11g027870.2.1 pep chromosome:SL3.0:11:19169111:19177407:-1 gene:Solyc11g027870.2 transcript:Solyc11g027870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEENKQEQSVVPSGYNLDAKWDACLDLGVRRFTYFSLIGGFAGLLLFRSPVTRWASTAFGAGAGLGSAYTECSQKFGGYPGKSTASISETPITKVGED >Solyc01g100620.2.1 pep chromosome:SL3.0:1:90476802:90481289:1 gene:Solyc01g100620.2 transcript:Solyc01g100620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEENNTVAMEKKIHGGDKFSGAGTYACGFSSPIVAGDISTMQNLAGGSYYDGKDSFYEKLNKLNEPSGLSLVFNLRQTNLDLHLFYEEVIKRGGFNQVTKDAKWGEVACTLHVKSNITIFPTQLQKVYENLLLQLEQLYYYRSREKGTTMQPPSQVSDAARLEPVKDYSLSLGSADRSDDSAGKRKFCDRSSPVATLHSNDKDGPVEKRKCKNDSRLVSTVGPETPEQKSQSSSTNRHLRKDPGAPIRGRSSYQMYVKLECERQKKVLGESYGSKKVRDMAINAWKTLSENEKEPYIEASKLDKERYIREMAAYEQHKNKETTTNPNLLSGLTPSMINFGAPSVIDHVTSQGDTGSNIIPDASFTESTVQRPNSGKTSNPIFQMNWGYLA >Solyc04g007480.1.1.1 pep chromosome:SL3.0:4:1157484:1157642:1 gene:Solyc04g007480.1 transcript:Solyc04g007480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAHGFFMSMEKQVCYVRGDGGGGGGKSYVNNSKNFIETRTTKQCNFDLLSF >Solyc12g017433.1.1 pep chromosome:SL3.0:12:6694593:6696514:-1 gene:Solyc12g017433.1 transcript:Solyc12g017433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLICKISN >Solyc04g007940.3.1 pep chromosome:SL3.0:4:1586266:1589831:-1 gene:Solyc04g007940.3 transcript:Solyc04g007940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFDLLLLLLSPMEAAIVALLTLAFLFYFILSTKKSSKLPLPPEIAGGWPVIGHLFYFNDGDNRPLARKLSDLADKYGPVFTFRLGLHRMLVISSYDAVKECYTKNDAVFANRPACLYGEYIGYNNAILFLANYGSYWRNIRKLIIQEVLSNSRLEKLKHVKIEKIRNEIKNLNSRIQNSEVKSVINLTDWLEKMNFGLIVKMIAGKNYESGGDGDEEVERFRETFKKFLVLSMEFVLWDAFPIRLFKWIDFQGHVKLMKETFKDIDSISQRWLDEHVKRNTEVNEDEKYERDFIDVMLSKMSDERLHQGHSRDNAIKATIFSMVMDAADTVPHHINWGMTLLINNQHVLKKAQEEIDTKVGKARWIDDNDIKNLVYLQAIVKETLRLYPPSPLLVPHENTEECVVSGYRIPKGTRLYANVMKLQLDPKVWPNPEQFNPDRFLSTDINFRGQDYEFIPFGSGRRSCPGISYALQMEHLTIGHLIQGFNYQTPSNEPLDLQEGIGMTMPKVNPVEVIITPRLATELYEKLS >Solyc04g039940.2.1 pep chromosome:SL3.0:4:12371356:12374034:1 gene:Solyc04g039940.2 transcript:Solyc04g039940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSKELSTQFFSPKNTIKCSSPSLSLQHSKALDDRMSNVPRLEIEMLKLFLAPVKLRHFVKFCNSVLKLQTKLQFINQSIKHYSLLPRVDYTCNQDVSRSNRIISKLSKEGQVDEARKLFDKMSEPDVVSWTAMISGYIRCGKIDKARELFDRTDAKRDVVTWTAMVAAYARMNRILEAEMLFNEMPEKNVVSWNSLIDGYARNGRIDKGLELFWKMGERNVVSWNMVIAGLAQNGRINEARVLFDQMPEKNVVSWTTMIAGLSRNGRVEEARTLFDRTPERNVVSWNAMITGYTQNSRLDEAFELFEIMPEKIVSSWNTMIMGFIQNGELGRARILFDKMRQRDVVSWSTMINGYMLKGRSEEALRNFCEMQMDVLVKPNEGTFVSVLGACSDLAGLSEGMQIHQVINKTTYQMNEVVISALINMYSKCGDVATARKIFDDGLRGQRDLISWNVMIAAYTHHGFGRDAINLFKEMLQLGFKPNDVTYVGLLAACSHSGLVEEGLKYFDELCRDDSIKFREDHYTCLVDLCGRAGRLKDALVVIEQLPRTESAFIWGALLSGCNLHGDSETGKLAAMKLLGIEAKSSGTYLSLSKLCASNGKWKEAAKLRTQMKDIGLKKQPGCSWIAVENRVHVFLVGDTSHCETEVIHSLLGNLHMKMKRTGLLTNR >Solyc01g057133.1.1.1 pep chromosome:SL3.0:1:59139159:59139182:1 gene:Solyc01g057133.1 transcript:Solyc01g057133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFTLEKV >Solyc04g050770.2.1 pep chromosome:SL3.0:4:48192092:48197456:1 gene:Solyc04g050770.2 transcript:Solyc04g050770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSNQGERMQALALVSADELKRELQRKAKCIVEDDDYTIHDTEEVMDCLSALKDLKLRPAPPPQYLCPISHQLMKDPVVLASGQTYDRPFIEKWLNKGNRTCPETKELLSNLDLIPNLLMKELISLWCKKHGLELPDKDQGIITEADRDRFNSLLHILSSNDDIPEKIKAAEQVRMLTKQFPSLRAFFEEDSIAVTKLLDPLMSENVNDHPKLQEHLITTVTNISIHDANKKLVATNPVVIPLLIESLTFGTIETRSNSAAALCTLSSLESNKCIIMKAGALEPLVKLLDEGPLLAMKDAAAAIYSLILVKENRAVAVFEGVVKVIMKKITDRILIDKMLVLLALLSSYDKAIEQMIKLEAVSCLLSIIKEDRSDESKEHCITILHTLCYNDRTRLKEMWRDESVNGAIANLALNGTTRAKRKAKEIRRVNCIVENADYTIEDIDEAIHCLSALEDLKLRAAPPPEYLCPISHQLMKDPVVLASGQTYDRPYIENWLNKGNRTCPETKELLPNSHLIPNLVMRKLISLWCKDHGIEHGVIPESDRHHFNSLLQILSSNHDLPEKIKAAKLVRILTKSFPPLRAFFQWDTVALTKLLHPLMSGNVNDHPKLQEELITTIMNISLHDPNKKIIATNPLVIPLLVQSLKFGTLETRSNSAAALCTLSSLDSNKSIITKAGALEPLVKLLDEGPLLAMKDAAAAIVNLAVVKENRSIAVFEGAVKVIMKKITDRILVDELLVLLSMLSTYDKPIEQMVKLDAVSCLLSIIKEDTSDKSKEHCIAILHTLCYNDRTRLQEIWRDESVNGIIANLALNGTTRAKRKAKEIVERIDKYFSAAQTS >Solyc06g071440.3.1 pep chromosome:SL3.0:6:44097701:44100282:1 gene:Solyc06g071440.3 transcript:Solyc06g071440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPNPEPNFSLNHRNLHKQSSSHLSMSDTESQVSQIDSFHSPLRSESPLRSDDPFPEPQNSKSPSKAIVAVDKYFSPIRSSHKLSSENLSSPATPPPAVERRSPLVYVSRAVREDMAPGVTKVGPVRGGGADVEGGEVGGEKRSRAAVESILERSQRDVMMNRVALGFRVCEVIFCLISFSVMAADKTQGWTGDSFDRYKEYRYLVAVNVIGFAYAGFQAFDLALSLATGKHFLSYHMRYHFNFSMDQASSTISTGILAYLIMSASSSSATRVDDWATNWGKDAFTEMASASIAMSFLAFIAFAFSSIISGYSLCNRSSS >Solyc05g052790.3.1 pep chromosome:SL3.0:5:63827370:63831310:-1 gene:Solyc05g052790.3 transcript:Solyc05g052790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMKSSGQFFTIGLVTAWYSSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYIAIAWMKMVPMQTIRSRVQFMKISALSLIFCTSVVSGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTFKREAWLTYVTLIPVVTGVIIASGGEPSFHLFGFIICIGATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLVMEENVVGITLALARDDSRIIWLLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGMLGYALTVFGVILYSEAKKRSK >Solyc10g050720.2.1 pep chromosome:SL3.0:10:50306964:50309376:-1 gene:Solyc10g050720.2 transcript:Solyc10g050720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLNAGAAKKRSALSYISNHTTESAHNSILIPLKHMHSSSSFLYFMCSFLPYPKPINTLSLYHRCRGGLEARRLKVGGGRGGGALGQDSSRLGMKEVSAQVYLRLVGWGEGLEKGVLKPEKGGSPRERTPRGGTQGRAPRGRGWWWCGSSGQGAFRLMGVEVGPQGRVPRGQGRGRGGASGREPRGQGGG >Solyc04g054170.3.1 pep chromosome:SL3.0:4:51809982:51818399:1 gene:Solyc04g054170.3 transcript:Solyc04g054170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHLHFLSACLLLLFLLRFWKEKMQPEKRYLWITSQRCADLMVLLSFFTQICTLAVRESLANVFGDQFESFVRNFEKSFHSTLMTLRLISESSMNSGVQQHNCAARTSVSERSVPFISNRVENVTCDPDFSEFQSESFQQNSSDNELSNQEERSDGTCFESIGRQLTRYDRQVRQQLASASSSMILSNTGISQSVHRTLERSLTEQARSNDLKTFEIGLTMRKLQLKERQLALSSDANLLERVKLSFGFSKTSFKTEKFKNQVEDSKHAELLKTCIDCLVAGLFIMLACLGYGTYVFSHKRITEATASCTPSMEHKSWWMPQSMSSFNSGLQLLRCQVQVLSRMLFGAFLILSIAYLLLQRSATSNQTMPVTFILLLLGVGCGFAGKFCIDTLGGSGYRWLIYWETLCLLHFFSNVCISTLFLILNGPVTVSEKSMSDRRFPYWIRRSMFYTTVLLILPLLCGLMPFAGPGEWKDHFSSLVLEAFITPVEF >Solyc02g032290.1.1.1 pep chromosome:SL3.0:2:28586251:28586715:1 gene:Solyc02g032290.1 transcript:Solyc02g032290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERNKTQTLLDDKQSKWEDREKNRRKIKPIIDTPGLPDELKEKISRMGVQISQVKLVIQKALYGTDLSYQHMRLSIPVNQVVSKDFLTPQKKMVLETRDIVSNKKSKIQFNLIEPSLEQTKIHLTKWDMNESSNYVLLNDWMQVAEGTNSSLEW >Solyc11g032090.2.1 pep chromosome:SL3.0:11:24911113:24916028:-1 gene:Solyc11g032090.2 transcript:Solyc11g032090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEIVMDFCRSFLGLSVVVVVVHICDLGYADSYVSAIGDPEMKNPNSRFAFEAWNFCNEVGTEAPNMGSPRLADCADLHASTSLTGHQDILGGPLLRKRSTCKVHHRVTEVDNRLGVGDKIPDGSYKADMNPDLYAVEKERYLGSLCEVNDSGDPWKVSKIVTGRKFPCFGEGCMNQPLVYHNYSRIVYGEHSSFIGGFYGTYDLDSNLTAGVDGKSFFSVSWRKNLSTGSWIISNKLTTSSKYPWLMLYLRSDAAKGFNGGYHYSGRGIMKKLPESPNFKVKLTLEVRQGGGSNSQFYFLDIGSCWKNSGEPCDGNVLTDVTRYSEMIINPATTSWCRPDNLVSCPPYHIRPNGEMIYRNDTSRFPYSAYHLYCAPGNANYLEKPYDICDPYSNPQAQELIQILPHPEWGVHGYPSKQGEGWIGDARSWELDVGALSNRLYFYQDPGTKPAKRVWCSLNVGTEIYVSSQGATAEWTVSDFDVLIPEDDKGDVRAVL >Solyc08g007240.3.1 pep chromosome:SL3.0:8:1824309:1828474:1 gene:Solyc08g007240.3 transcript:Solyc08g007240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLIDFKSMSMCKSVGMEGFMKFSSSFLDSNLMFGVNVAPNFCSHRGLLTKASYSSTSYDASRSVSKKNLLEDTFSYKINGTNHTRLYFRDKWLLDSSDDEYGGVLVNADRLPSDRDKFTFVLRASLSHWKVKGKKGVWLKLPLEKCDLVPVAVKEGFQYHHAERGYVMMTYWIPEEPCLLPSNASHQVGVGGFVINDKDEVLVVQEKHFTPALSGLWKIPTGFIHESEEIFTGIVREVQEETGVSNFHLNIDTEFVEVMAFRHVHDVAFQKSDLFFICLLRPLSKQIMVDNLEIQDAKWMPLVEFVEQPLIQEDDMFKKIIDIFIARLGKRYCGLSVHQLVSKFDDKLSTLYFNTVDDPNLNCQAS >Solyc05g021190.2.1 pep chromosome:SL3.0:5:26635488:26636392:1 gene:Solyc05g021190.2 transcript:Solyc05g021190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVCCCHLEFARVVLKCQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGVLGAALLCAIHGATVENTLFEDGDGANTF >Solyc05g007860.1.1.1 pep chromosome:SL3.0:5:2303406:2303618:1 gene:Solyc05g007860.1 transcript:Solyc05g007860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFNVHDACQLFGLLGYIVSYVLLNPLFGPMIRSHLKILIHKKLIFTSYFFGNNLFIFASPLKRYEEKEL >Solyc12g010140.2.1 pep chromosome:SL3.0:12:3266731:3273444:-1 gene:Solyc12g010140.2 transcript:Solyc12g010140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHRGTSRGPSISILHRKAIFGVDAEKDSGCCSKVDLFRQPFPITEATKDPGFFRCKHL >Solyc04g082890.3.1 pep chromosome:SL3.0:4:66477287:66479727:-1 gene:Solyc04g082890.3 transcript:Solyc04g082890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDNIDDIINWDDVDHIFHNVLDNPDDDQFTLHDSSPQSFQQIEQLLMNDDDFGLVSDPQFAAESLSDFLVDSPLHSDHSHSPAEQAIGFSDPKVSSADQDKHKVSQSPSDGDDELNNHDPVDKKRKRQLRNRDAAVRSRERKKLYVRDLELKSRYFESECKRLGFVLQCCLAENQALRFSLHNSSANGVSMTKQESAVLFLESLLLGSLLWFLGITCLLILPSQTWSVPEESQGSRNHGLLVPIKEGKKTSRILLFLSFMMSKRCKASRSRMKLNPHPLGVVM >Solyc03g059010.3.1 pep chromosome:SL3.0:3:29491101:29502228:-1 gene:Solyc03g059010.3 transcript:Solyc03g059010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVVRVHLPSDIPIVGCELTPYVLLRLPDSLLISDDIPESAPVYGCFLRYKWYRIQSDKHVAMCSIHPSEQATLQCLACVKAKIPVAKSYHCSPKCFSDAWQHHRLLHERAASAVNTNGNEENTGSGVNTSLTSSQSSGSLTNGTTPFPVVVTQRSGGETWFEVGHSKTYTPSADDIGHTLKFECAIINAVTKSTVGNASTMMSRVIPAPSPTPRRLISVSGVDIPLHLDLDSRLSSSGTFTVLSYNILSDAYATNELYSYCPSWALAWTYRRQNLLREIAGYRADIVCLQEVQSDHFEEFFAPELDKHGYQALFKRKTTEVISGNINTVDGCATFFRRDRFTHVKKYEVEFNKAAQSLTEALVPSAQKKTALNRLLKDNIALIVVLEAKFNSQGVDNTGKRQLVCVANTHVNVHQELKDVRLWQVHTLLKGLEKIAATADIPMLLCGDFNSAPGSAPHALVSMGKVDPMHPELAVDPFGILRPATKLTHHLPLVSAYTSFARVPVVPGLAQKRRKLDPSTNEPLFTSCTRDHFGTLDYIFYSADSVTVESLLELLDEESLRKDTALPSPEWSSDHIALLAGFRCKPRTRR >Solyc02g081550.3.1 pep chromosome:SL3.0:2:46018164:46026278:1 gene:Solyc02g081550.3 transcript:Solyc02g081550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FtsH protease [Source:UniProtKB/TrEMBL;Acc:Q4W5U8] MSTALSLSVSQFPLCKSQDFSKDVYNPKISNKETPCQKTHSDTRINRRKLLSTSGLSLVAGTLSKPARAETEAPVEVTSSRMSYSRFLDYLNQGAVKKVDFFENSAVAEILINPALNKVQRVKIQLPGLPPELVRKLKDKNVDFAAHLPEKNVIGPLLDLLGNLAFPLILLGYLLLRSSSNTPGGPNLPFGLGRSKAKFQMEPNTGVTFDDVAGVNEAKQDFQEIVEFLKTPEKFAAVGAKIPKGFLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKQNSPCIIFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFTGNTGVIVIAATNRPEILDQALLRPGRFDRQVTVGLPDIRGREEILKVHSNNKKLDKDVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKDKITSKEIDDSIDRIVAGMEGTTMTDGKNKILVAYHEVGHAVCATLTPGHDAVQKVTLIPRGQARGLTWFIPGEDPTLISKKQLFARIVGGLGGRAAEEIIFGEPEITTGAAGDLQQITQIARQMVTMFGMSEIGPWALTDPAAQSGDVVLRMLARNQMSEKLAEDIDESVRHIIERAYEIAKNHIRNNREAIDKLVDVLLEKETLTGDEFRAILSEFTDIPFVNINRKPIRELIEA >Solyc08g074570.1.1.1 pep chromosome:SL3.0:8:58801272:58802729:1 gene:Solyc08g074570.1 transcript:Solyc08g074570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFSLSSKPSSNLKRHPSAQTFAGDDPRNSSNPIEKEYVTEFDPSKAAASSTKDTLIIPPKQNEWRPIKRMKNLEVPLQADASAADQPLQFELDSGAGVEPASDGISYGLNVRQSENPNPSPNPNPNPTPNPKQVIDPMLHKFKEDLKRLPEHNGIDEYTDMPVEGFGAALLKGYGWVEGRGIGRNAKEDVKVVEYKRWTAKEGIGFIPEVPKPSSKAEGGVKPIKKKGEEGIKVDHSDGYIEKIDREKGGKGLYVGKKVRVVRGKEMGMKGEVLEVNSRGELVILKLADKEVKLQARDLAELGSVEEERCLKKLLELKIREEKSHLDGVRKQSSGSRSRDEATTERKKESRRSRDERSDKVSWLASHIRVRIISKDLKRGRLYLKKGEIMDVVGPMSCDICMDETRELIQGVDQELLETALPKRGGPVLVLYGRNKGVYGHLVEKDSEKETGVIRDGDTKDLLKVRLEQIAEYLGDPSDIGY >Solyc01g080020.2.1.1 pep chromosome:SL3.0:1:79001308:79002938:-1 gene:Solyc01g080020.2 transcript:Solyc01g080020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLVQFLPLFPFFFLVSFCVSHGPFLPKAIILPVNKDPSTFQYVTQLYMGATHRAPIKLVVDLEGSFLWADCGLTSDSSSQKLVPCNSLKCSMAKPNGCTNDNKICGLKSENPFTRLAATGELAEDMFAVEFIDELKTGSVASIHQFLFSCTSSTLLQGLARGAKGMLGLGNSRIALPSQLSDTFGFQRKFAICLSSSNGAIISGESPYLSLLSHDVSRSMLYTPLISSRNGVSEEYYINVKSLKINGKKLSLNTTLLTMDGEGQGGTRISTISPFTTMKTSIYKTFVEAYERFGFSMGLTKVEPIAPFGLCFSTKLEEGLNVPSVDLVLQSEMVKWRIYESNSMVKVSDEVMCLGFLDGGANQKASIVIGGHQLEDNLLEFNLGTSMLGFTSSLSMVETSCSDFMFSKDSSPFDS >Solyc08g069120.3.1 pep chromosome:SL3.0:8:58247708:58253937:1 gene:Solyc08g069120.3 transcript:Solyc08g069120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVEPHRRALSVERWVCLGRAIGRLGPHFLFQQNTKSSSFFFTALLFSARVSGISRCVLKMSRVYVGNLDPRVSERELEDEFRIFGVIRSVWVARRPPGYAFIDFDDRRDAQDAIKELDGKNGWRVELSHNSRGGGGGGRGGGRGRSGGSDLKCYECGESGHFARECRTRGGPGAGRRRSRSPPRYRRSPSYGRRSYSPRGRSPRRRSPSPRGRSYSRSPYRGREEAPYVNGNGLRECHRSRS >Solyc01g009695.1.1 pep chromosome:SL3.0:1:3910956:3911274:1 gene:Solyc01g009695.1 transcript:Solyc01g009695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHASYKLIEFSTLKSLDGDLFEPFWKDEPVINAVFLGKLKELEGIIDARNADCNLKNRNGAGVMPCELLKPFSEPGITGKGVPYSISI >Solyc05g051270.3.1 pep chromosome:SL3.0:5:62395315:62397186:1 gene:Solyc05g051270.3 transcript:Solyc05g051270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLYFLFTLIAIISLSFFSHFTYSDSSVDAFVYGGCSQIKYTPNSLHESNLNSLLTSLVNSATYSSYNKFSIMGSTKQDILYGVYQCRGDLSMPDCATCVAKAVSSIGKICSQNCGGALQLQGCFVKYDNTSFLGVEDKTCVLNKCGPSNGLSGDSVGRVLTSLNGAGGFFRVGGSLDVHGVAQCVGDLSMGQCQDCLSEAIGRLKNECGGASYGNMFLGKCYARFTTSGDFESKSNHGSHHFENEKTFALIIGLLAGVALLIIFLTFLRKIFGRNGK >Solyc02g068340.3.1 pep chromosome:SL3.0:2:38867286:38877131:-1 gene:Solyc02g068340.3 transcript:Solyc02g068340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSDMPPVSMRSSRSSFGSSNGYETPSHYSFPTSNGDDYDSDGSNFAPPTPNTLSSVLSPELAGAIPYIDRFQVEGFLKAMQKQIHSASKRGFFLKKSVGPQVREKFTFEDMLCFQREPIPTSILKINGDLISRAVKLFQSILKYMGIDSYDRVAPISFDERIELVGKLFKQALKRSELRDEMFAQISKQTRNNPERHSLIKAWELMYLCASCMPPSKEIGGYLSEYIHTVAHGTNTDSEVQVFAINTLNALKRSIKAGPRHTIPGREEIEAHLTGKKLTTIVFFLDETFEEITYDMATTVADAIEEVAGIIKLSAHASFSLFECRKVVTGSKSPDLGNEEYIGLDENKYIGDLLADFKASKDRSKGEILHCKLIFKKKLFRESDEAVTEPMFVQLSYVQLQHDYIMGNYPVGKEDAAQMSALQILVDIGYVDGPESCTDWTSLLERFLPRQIAMTRAKREWELDILSRYKVMENLTKDDAKQQFLRILRTLPYGNSVFFAVRKIDDPIGLLPGKIVLGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARSSANGSVNGDVPNNLKTTNTDINERRIQDLSRALEESQKKVNDLVEDLHERQKQESEMQEELDSLKDNLSSEKQNLAAAAYDCDKFRSLCDEKDAELQAALTEKRNLEMRLSKLSSQGLEKNITKELVEANNQVLQKIQEELKARTMDLRTAEETKRRLLSEKASLEEKVIGLEKKKSNEMESLQKDFEKECKGLKLQVSELQRKLEEAKHDLIGAQSGLEAKDKELEMLQNNLKELEELREMKEDIDRKNAQTAAILKMQGAQLAEMEALYREEQVLRKKYFNIIEDMKGKIRVYCRLRPLCEKEIIAKERNAIRSVDEFTVEHLWKDDKAKQHMYDRVFDGNATQDDVFEDTKYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGADSNPGLTPRAMSELFRIMKKDSNKFSFSLKAYMVELYQDTLVDLLLPKQAKRLKLDIKKDAKGMVSVENVTVVSISTYEELKTIIQRGSEQRHTTGTLMNEQSSRSHLIVSVIIESTNLQTQAIARGKLSFVDLAGSERVKKSGSAGNQLKEAQSINKSLSALGDVISALSSGNQHIPYRNHKLTMLMSDSLGGNAKTLMFVNISPAESNLDETHNSLTYASRVRSIVNDPSKNVSSKEVARLKKLVSYWKEQAGRKGDDEELEEIQDERPSKDKNDGRYSM >Solyc07g052950.3.1 pep chromosome:SL3.0:7:61480065:61480832:-1 gene:Solyc07g052950.3 transcript:Solyc07g052950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLPEFALCWGGATITPTAINAAIPEDERNSGEATIPSAVGSGRGRRIVKSRNGANWKPGLRVIAEERVMSDIVVDRNGNGGGRNERAVVPPSSCAKSAAKIKAKSISRSKLSPRHGDDYWKSAGPMAVPAFAPTAFLF >Solyc06g082420.3.1 pep chromosome:SL3.0:6:48275775:48289250:1 gene:Solyc06g082420.3 transcript:Solyc06g082420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQWMSLVGALWLQSMAGTNTNFPAYSSQIKKLLSISQIQLNNLAFASDAGKLLGWFAGIAANYLPLWLVLLIGSILGLIGYGVQYLFLTNHINSLSYWHVFSLTFLAGNSICWINTVSYIVSIQNFPLDRQIAVGISTSYISLSAKIVTDIVDVVNVYAPSKRAETYLLMNSVLPLFFAIVVAPVIREMKIDKSRKLSDGFRVMFVITIATGIYAVFTSVKPAISKLLPSLLSLIGMVIFLLLPIVVPLVENMKEHWHGKCWIRRDPRICDLSKLEEDVKTPEEVIKEDSDVGFEVMEEIGAKRMLMKLDFWLYFFAYLFGGTLGLVYLNNLGQIADTRGFTETSSLVSLSSSFGFFGRLLPSLFDYLFSSSFNAFNAKAILERMLIVGCSKIYGAACYADLSSDYYEKSCPKAMYTIKNAVANAVTNERRMGASLLRLHFHDCFGCDASVLLDDTTDFTGEKSAKPNSNSIRGFDVIDKIKSQIEKLCPGIVSCADIIAVAARDSVVLLGGPSWTVELGRRDSTTASLDTANSDIPSPSLDLNDLITNFANKGFTAKEMVALSGGHTIGKAQCTTFRARVYNETNIDSSLATSLKSNCPSTGGDDTLSSLDATTPVLFDNHFYKNLVNNKGILHSDQQLYSGGSTDSQVKSYSTNPIAFDADFAKAMVKLGNLSPLTGTNGQIRTNCRKIN >Solyc09g011620.1.1 pep chromosome:SL3.0:9:4875317:4876127:1 gene:Solyc09g011620.1 transcript:Solyc09g011620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDVKLLGLWYSPFSHRVEWALKIKGVQYEFIEQDLQNKSPLLLESNPIHKKIPVLIHNGKSICESMVIVEYIDETFEGPSILPEDPYDRALARFWVKFLEDQIAAVGKSIFLKGEEQEREKKAACEMLKILENELKDKKFFVGDKFGLADIAANVLAIWLGVFEEASGVALVTSENYPNLYGWRNEYCNQNKEYLPSRDELLIHFQPRFPAKAK >Solyc10g047643.1.1 pep chromosome:SL3.0:10:41478191:41480693:1 gene:Solyc10g047643.1 transcript:Solyc10g047643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSSGGGSMLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVAEAAAAPVSAASSAGSDACGVHTKAFQDCINSSGSDIGKCQFYMDMLSECRRNSMMNA >Solyc07g020840.1.1.1 pep chromosome:SL3.0:7:13967225:13967395:-1 gene:Solyc07g020840.1 transcript:Solyc07g020840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLKITIHFEQCVDTLGIFGLSTLQKISGVFRMLAYGFPVDATDEYVIIGESTTIE >Solyc11g011870.2.1 pep chromosome:SL3.0:11:4812725:4813779:-1 gene:Solyc11g011870.2 transcript:Solyc11g011870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGSLGRYLNDPTFHGFAGDKQGMLNWKQRYDIIIGTARGLTYLHEQFHVCIIHRDIKSSNILLDDEFQPKIADFGLVRLLPGDQSHVSTKFAGTLGYTAPEYAIHGHLTEKVDVHGFCDVVLEIISGWRSNHMQETEYLLEQAWKFHEAGMHVKLVDETLDVSEYSEEEVKKIIEIALICTQSPPNLRPSVAEIVVMLLSDRSADRRTPSRPNFVSMDTITIANSSMTTGSSASNATNNFTDITGR >Solyc06g068150.3.1 pep chromosome:SL3.0:6:42354556:42355715:-1 gene:Solyc06g068150.3 transcript:Solyc06g068150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAETLHLRYSSSSSFNTRSHSSINGFQFENMEMEDDNKITDMKKRPPSEFGDSGWLRNTFFMRLTARDVFGVVKNHPIPCIFATTLLFFMGVEYTLHMVPSSSPPFDLGFVATRPLHRLLDSKPALNTVLAGLNTGFVGMQMVYIVWAFLIEGRPRATIATLFMFTCRGILGYSTQLPLPEDFLGSGADFPVGNVSFFLFYSGHVAASVIASLDMKRMQRWKLSYLFDTLNVLQTVRLLSTRGHYTIDLAVGVGAGILFDSLSGKYEEKRKKELLAGSPDGSTNGAFHNSKLHENGEYLSVSAD >Solyc09g020110.3.1 pep chromosome:SL3.0:9:18431594:18443543:1 gene:Solyc09g020110.3 transcript:Solyc09g020110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAIVNLWMLLVCCILIAVKGGWDEEVGLLRRGGKQRQFDYFKLALQWPGTYCRKTRHCCSSNACCSRSNSPSVFTIHGLWTEYNDGTWPSCCSGRPFDQKEISTLLEPMRKYWPSLSCSSPRSCHHKKGPFWGHEGEKHGTCAYPVVLDEYEFFLTTLNVYFKYNVTEVLFEAGYVPSDSEKYPLGGIISSIQNAFHTTPELVCSGDALEELRICFYKNFEPRDCAHDTSSRGSCPQYVSLPAHGSWGFRSNTTAAS >Solyc04g026278.1.1 pep chromosome:SL3.0:4:17799045:17816406:-1 gene:Solyc04g026278.1 transcript:Solyc04g026278.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDVVGNCNDEESVRGSNMVQSELNTANPSGPLTYRTYNKDRDRENFAKMVVVRCLPFSFGEHPGFIAYIHETYNPSFQVYLTALLFNPQYKEYGAKALVEWIYMNLDIQPEEEPDLVTCQNSIKYFAKEMYDKYSFLDNVENPQTSTNQVGAHGRVTHKLGLDNSNKCEVVKYLEQGTDDITNDNGIPELLNWWRNRGAKYPKLSRMVKDVLAIQGSSRQLKLKV >Solyc02g087680.1.1 pep chromosome:SL3.0:2:50664289:50664922:-1 gene:Solyc02g087680.1 transcript:Solyc02g087680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FACT complex subunit SSRP1 [Source:UniProtKB/TrEMBL;Acc:K4BBS4] MNQVLIHDIFTQILSGLSGSKVTRPGKFRSSQYVVETSLQAEDGLLYPSYLYCIRGYIHVIDYVEFERHAVGTGNMHYFDLLARLKTEQDYFFRNIQRNEYYNLFYFIRLWKGSETMNLIEARATEGVLVLPNDEMMLLMHILSVLRMKHVGMAVVKR >Solyc03g081240.3.1 pep chromosome:SL3.0:3:53565056:53571611:-1 gene:Solyc03g081240.3 transcript:Solyc03g081240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEVVVSSEGDLEVIGGGDMVIETEAGGNMKETGAGAAVAAASSSILKWERFLPKMVFRVLLVESDDSTRQIVAALLRKCSYRVAAVSDGLKAWELLKGRPHNVDLILTEVDLPSISGYALLSLIMEHDICKNIPVIMMSSNDSVSTVYRCMLRGAADFLVKPVRKNELRNLWQHVWRRRAASGSSQGPVDESVAQQKVEATAENNACSNHSSGYKACVQRNRECIEKGSDAQSSCTKPELENEEENAENLPESVQPNREASLPNAADLVKELLHEANNRLRISENDGRAPTTDANAMTRGEDINSDDNWGHGRTIGQTSDEHPGPPTKQAIDLIGAFDNYLKCNSKSSGSDTRINKGDSSPLLDLSLTRSHPSGSVNQFTNEKRRLNHSDTSAFTRYVNRAMQSGQSTSSRTYNLQEYETDSDKQLCGHAIDYNSDTRGPMTRPQSVAPPSYAEPGPAEIGFPSPQQRVTPLPISVRGIRFEGPSSAYCSMIAPILRMPSGISPLQSPGSATPGESSYQANPFLALNCESRSSQKFHSQSDQNNSDSSAYNEGKRGHMSEPTTDCERFPSATDQTINSICCNGDLNHVHLSYGSNGNISLPPGKTPAEYWKEESLHTTDGNSQRSQREAALTKFRMKRKDRCFEKKVRYESRKKLAEQRPRVKGQFVRHVPSESSPGNS >Solyc03g117620.3.1 pep chromosome:SL3.0:3:68206544:68207548:-1 gene:Solyc03g117620.3 transcript:Solyc03g117620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIVSKHLVSVEKNQRGKGSNGGGNMSVESYEGSVMTVYSDNQPGVFIQVYEGERPMTEDNNLFGKFELKGIPLAQRGVPQSKCLFCMRGI >Solyc05g051060.3.1 pep chromosome:SL3.0:5:62092483:62098865:-1 gene:Solyc05g051060.3 transcript:Solyc05g051060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLEGVFVQQNSSSSKQTPDLSLHISPPNSSSSSTRTTHNNSLTELCLAHPTTTNNEENKSFSRNPFLQQSQNMNSYHGVSLLDPIKGIPIYHHHQDPKRSSSFGSIYHNNLDHISYSNNSYVTNVASSSPYNRIPIVANRFQNQQHIYYNGVGLLGSPSSHESNNFLMRSRFLPKFPTKRSMRAPRMRWTTSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEEDLLAIDKILDQRGPLDGCDEPSTTLWSNSSSSRERLSQANFNESNGLIRSSSFPSQQRFSHHIEECEYSRAMSYVGCSLDQKNPSLEFTLGRSDWVEKNHD >Solyc12g006630.2.1 pep chromosome:SL3.0:12:1100905:1105154:-1 gene:Solyc12g006630.2 transcript:Solyc12g006630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRIVGEKQNKGSMYVYNLDTGNPKPKPKPEPDLSTMFKKGISKGWPLRRSKTYRENHHQNNNVVITTTKGETTRKSVSSIEGQVIVNKEIELSKNPIIESRKSASCVIGTRKSLTHVELNVASMAAILQVKVLVTDMPGFMQVHAFKCARTTYDSLEKFSSKHMAYNMKKEFDKIYGPAWHCIVGSSFGSYVTHSTGGFLYFSMEKLYILIFKTKVQKTIES >Solyc09g010310.1.1.1 pep chromosome:SL3.0:9:3684609:3685739:1 gene:Solyc09g010310.1 transcript:Solyc09g010310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELEENKKEKYGQPPYFAQYPWLLICHGDAMHRQTYFSVSENRYYMKSIPEVKEKIIHAYVDEWLVLQNINSNDCYLWNLFSNEKIELPPLSTKGDISRCLLSAPPHDPECLVIFLIENEDENTNEDENKNNNVDKNEDENTNEDENENSNGDSNDDEDEDEDEDVSSDEDEDVKLLTFYFCKLGYNTEFHKQDVQSIIGDSRLGIWIIFQKKIYTLIGMQHILTYLDVDNDSGRITATPMTNESPIRLKSYYGYYKDYLIQSSSNNMLLCVQLMVGGRDFRMPCHLQVIRFDFTKERWMKAESIGEIAIFISLFMGTSTTCSTKGTNLKKDSIYFTYGRHLYVYNWITHSISLSLPCPHVSKTKNNHMHWLTLNQ >Solyc06g069440.3.1 pep chromosome:SL3.0:6:43306360:43316109:1 gene:Solyc06g069440.3 transcript:Solyc06g069440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDQGNYATQSGQNDNMLRPQMQQWSPASGPPPPPPPPPAAQASRPPIFPHGHPPGPPQVGQHGPPAYQHAHPGVGHPCPPFPVPTSGSDSTQFYPLLPPPPPPPPPSAQVHGSTPILQSHQVPVQHSQWNFSMHHVPPSKAPTAPRVLPPPPPLSQMLYRGSIRQPSPDNMQVFLHNLPRPSPPPPPPNLQNHGFFTPSQFDPTTHSRNHDSHVQPAVSGPRPPLPPSPPGDPPLPPSSPPLISTTANANSAKDEGSSVLDGGIAYSEGIPVNKNLDSDLPSSPHKPVSLAFPGEVSSVQLINSINPAPSHSAVDSDMEIEDDITQLDEDLQIHPLGAEKQLQGSLIEDILHQNSSVCGPSKSEEQRRDSPYRAPPSLYQPFLDDHLQISTSLEKKFSHPRGSPINKEVDLENVHSQLMEAASPFRLIQGYASDDSLDNDNENCLENLGRLTVPPPSEVVAIIPKTDTEKSPISSVKPSNSVAKDDPKSFGALKFEDSIDHSNGNRLSLKSDTAPEGLCLKNILDVNDNDNFDMLREDAKDKSPTRKVDEFGRLVREGVSDSDSDASRRYKQRHGKRGRSRRRSRSPYDRRRRKSPRKRKDRWDRSRSISPKRYRSRSKSPSRPGSTSGGDKIRRDRGYPQQCFSFLRGKCYHGASCRYFHVEPDKSDRSRSYRSKDQHQYLPLISKDSDMHNSKDSLTHKKIVTTLKKPVHNHGGFKSKDIPDMEMKDMKETEPSSDLYGKENQMGPADSLVIIAEVEKLPGDATGGMPSSVGCMGIHQSEDHVSDQMLLNAEEKPQEKCDSSVLELSSVQTSFMVPPVQLHQYVSANDLHPSDTLQEAPLSAPFPSFPQASSSAFAQQMPRDHNFPPPLNSAYIGSSPAYQTPFPHQPSPFAVPLSSSWNSLPPRPAQAQAPLTQFVNDSSGNAAGVQHSVPRVHFQPSLVVPRNDFYASTSPDLPQVGERHAFVQTQPIYSRGSPNRPPAFLGDSLALGEHPGPSSKSYPYMQQPHSGPQTASISRHLVEPGVSSSVSRYTSDLLDQNQAHRLPDFGGSRFSSHFNPYATTFDQPLTMKFSSDPLIHGRDMLPSSKYSAFSLSNMPIEGHPAESLGSRNITPPSAHTAEGMFFQPGGNQYDPLYDSIEPSTNLLKKSDPGPKLEVTDNLLKKSDPGPKLEVTDDSGVMLRLSGSNEPLDVEVTKGQKADGAIAFTIPAENDEFDETAEAEVGVVENRSPSDSNDEEDVPTGEVEIEQVKPSGEKNKSKESRSMRLFKISVANFVKEMLKPSWRQGNISKEVFKTIVKKTVDKVSGAMKSHQIPKSKSKIDHYIDSSQRKLTKLVMGYVDKYVKA >Solyc05g006370.1.1 pep chromosome:SL3.0:5:1020066:1020479:-1 gene:Solyc05g006370.1 transcript:Solyc05g006370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNLCKKLTYKESEDEGLVRRLAAPLHLPLMKAIFGASSSSSYEGDWRLPLHLPTMKAIDGACLFIFFQ >Solyc05g010620.2.1.1 pep chromosome:SL3.0:5:4853857:4856058:1 gene:Solyc05g010620.2 transcript:Solyc05g010620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLWCQSQNQNQIKKLHCFILKTIANPETFLLNNLINAYSKLNNTGYARQVFEEIPQPNQFSWNTVLSVYSKCGNISRMLDVFNRMPKRDGVSWNLIISGYASRGLAIDALEAYKLMLEDGGMSLNRITFSTMLILSSDNGWIRMSRQIHGQIVKWGFELYVFVGSPLVDMYAKAGLIYEAEKVFNELPERNVVMYNTMIMGFLRSGMVRESKSLFQDMPEKDSISWTTMITGLTQNGLDREALVLFRRMRLEGLPIDQFTFGSILTACGGLQAIEEGKQLHAYIVRTYHSENVFVGSALVDMYSKCRNIKYAGSTFSRMPNKNIVSWTAMVVGYGQNGFSEEAVKAFCDMQRNGVEPDDFTLGSVISSCANLASLEEGAQFHGRALVSGLISFITVSNALVTLYGKCGSIEDSHSLFDEMSVKDEVSWTALVSGYAQFGKATETIDLYEKMLEHGLQPDGVTFVGVLSACSRAGLVDKGKIYFESMVKEHGITPILDHYTCMIDLFSRSGRLVEAKDFIQKMPCTPDSIGWATLLSSCRTHGNMEIGKWAAESLLELDPENPASYVLLTSMYAAKENWAEVAQLRRAMRDKGVRKEPGCSWIKYKNRVHIFSADDKSSPFSDQIYAELEKLNAKMIDEGYVPDVTHVMHRVEESDKIKLLNHHSERLAIAFGLIFIPPGLPIRVVKNLRVCGDCHSATKIISKITQREILVRDAVRFHLFKDGKCSCGDFW >Solyc01g097050.3.1 pep chromosome:SL3.0:1:87895565:87901919:-1 gene:Solyc01g097050.3 transcript:Solyc01g097050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKSRLVLQLSPPLAHDHSMTCSSTIKHVTIFHHAQVEVYDTSELRRYMQQHNEVELSALGMAITTVVTVAEILKNGGFATEKKVLTSTVGMKDEAKGRMVQKARIEIVLTKSEKFDKLMTPSNTNSDHAVAQDGAATNKNTITNDTKEQTKK >Solyc04g077880.1.1 pep chromosome:SL3.0:4:62849007:62851412:-1 gene:Solyc04g077880.1 transcript:Solyc04g077880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEYLTEEEDEEMQQENGVNDDDDDDNNSNDDDIQILISREITDVRLNDQEVEELVNDNWNQEIRTHAIRYISRTGRQFRMSRRTIYRAAMYVDRFLAQMRLENGMLWAVRLLAVTCLALSAKMNDNIDDVPSLSEYPLGPYEINVNHITRMERLVLDQFSWNMNCVTPFDFGNFFVSRFCRDVTRLHITRITTARIIMIALRDLCLMNHRPSVIAAAATLLAVNRDFTIQELVMEINDLPINGFLQMDHNFDLAVTCLSLSAKMNENIDDVPPYPVGAYNINVNAIRRMEILVFC >Solyc02g087350.2.1.1 pep chromosome:SL3.0:2:50413476:50414354:-1 gene:Solyc02g087350.2 transcript:Solyc02g087350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLDVKYFRGSVAAVHSVLKHSSCPENVYFHFVASKDKDFQDLRKMVKSIFPSLSFKVYSFNEFRVKKLISSSIRQALDNPLNYARTYLAEIIQPCVERVIYLDSDVVLVDDIQKLWSISLTGSKIIGAPEYCQANFRTYFTNNFWSDPKLSNVFQGKKPCYFNTGVMVMDLGKWRKGDYTVKIEKWMKIQKEKRIYELGSLPPFMLVFGGEIERIDHRWNQHGLGGDNLVNSCRTLHPGPVSLLHWSGKGKPWVRLDQGSPCPVDLLWMPYDLYRLSSIDSLGDQERRAMI >Solyc03g019720.3.1 pep chromosome:SL3.0:3:62891623:62904415:-1 gene:Solyc03g019720.3 transcript:Solyc03g019720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKVELKRIENQTNRQVTFSKRRNGLLKKAYELSILCDAEVALLLFSPSGKAYHFASHDIERTILRYKNEVGLSKNSDQGPRAMEVWRTKIDDMTRTIHELEARDKHFAGEELSNLGMKELKQLERQLRVGVERIRSKKHKILHEENIHLQKQVKLYEVEGSSRILDTNPTPLEENEKYLQVHDSIESFHFTSICELAKIPLSISDAQKPLQKSAMATLGRLSRRVIASTISGHLRHHQSHNLIRRSLATEAQAAINESPDRVKWDYRGQRRIIPLGQWAPKIAVDAYVAPNVVLAGQVTVCDGASVWNGAVLRGDLNKITVGFCSSIQEKCVVHAAWSSPTGLPAETVVDRYVTVGAYCLLRSCTIEPECIIGQHSILMEGSLVETNSILEAGSVLPPGRRIPSGELWAGNPARFVRTLTHEEIKEIPKLSTAINDLANAHHSEFLPYSTVYLEVEKLKKSFGISI >Solyc07g009330.3.1 pep chromosome:SL3.0:7:4378948:4384637:-1 gene:Solyc07g009330.3 transcript:Solyc07g009330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTQALIGIKQTNGSFLGNTYNVTQYIKIGCNLLPTPINLNVTNLIFGRLGHIQYHTIEATIYLPQTVNISRINHVWQVGKVAIGMEPKIHEKTIRNYDSTEIIDLQTGTSISIRSARRHQARVAHGIFSIIGWGTILPIGVIIARYFKKGPIHWNEHDQWKHAHKTCQACGYILGATGWVIGIWLGNYSKYYSFPKHGAYGICIFTFATLQTLALRLKPHTNDELRTYWTQKDILVFSNTCKQP >Solyc06g008760.1.1.1 pep chromosome:SL3.0:6:2679301:2679606:1 gene:Solyc06g008760.1 transcript:Solyc06g008760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADKVQRMSSEHGVMIFSKSTCCLCYAVTILFRDLGVDPYVHELDHDSEGKDMEKALVRMGCNVSVPAVFIGGKLVGSTNEVMSLHLKGSLIQLLKPYLPD >Solyc04g012190.2.1.1 pep chromosome:SL3.0:4:4470420:4471818:-1 gene:Solyc04g012190.2 transcript:Solyc04g012190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHILEISVYAITNPGKQSKHKEVMLKIVTPMVISSSVIFLLVLIWVMKRQKKGFSKDVEKVTEIMTHQLVSYHVIQRATNNFDEFNLIGVGNSGYVYKGTLSSGTAVAIKVLDLENEQV >Solyc06g065900.3.1 pep chromosome:SL3.0:6:41416009:41420792:1 gene:Solyc06g065900.3 transcript:Solyc06g065900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSRRPSGPVLLKSAFERSISPTGRFCNTTTTATDAMSFASYSNSSFYSSPSTGCFNRSASPTRVNLHALAPVNSSPSVRFSIDRSISPRRSMAVSQRDQVVRKQIPKRTCLCSPTTHPGSFRCSMHKNVKNTPSISYSPSRLNARRSAMTNSLVRICSVEGDLVKRALAALIRPSSHHQRRRGSSLESFPAAASDPGCGSYYSGEMKAWCDLFLFVFVHSLCVFRRVWRSSFRFAMAFPVRSPYMRSSCFGIFASSFEVRRIIQILGLTES >Solyc01g066750.1.1.1 pep chromosome:SL3.0:1:74735379:74735528:1 gene:Solyc01g066750.1 transcript:Solyc01g066750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTHIIYVGKIHPLIFLGLGPSPAACHKIILIDSPTELPKCHENITIYF >Solyc02g077950.2.1 pep chromosome:SL3.0:2:43337935:43340430:1 gene:Solyc02g077950.2 transcript:Solyc02g077950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRPYPSREINLSQTVKKEDSRRHLANFVCLFFVSKRFPFFSSFFCERSKMVGECEKMVLISSSTTNQIWPQVFFLSLSQLLHLDLEYLYIGLVIIFTLNLSHIHSFNFSIDEKNNLMMDSNGTNAATSNNMEKPIQDPSQQQQQQPPPHLKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRIKRPSVNSSSSTTTTSHDIITTSTPNIVNPSHHHQLHHGVVHNNIDHLSTTNSQNHLNPLFYGLTHERSDLNIPFARLFNSRVSSHAGGVDPEGQVYSLTDNIPGLMDRRMGLGFSNSSGGVVNMGHENNNNNNNSSSSNYGHGGFNPIKQIQDVHVMSTSNCTTSTTSLLSSYPNMFGSSSSTSTMASLIASSLQQQKFMSNINGNSFHSLLTPNNYEELQMSRGENNNTNINNVHEGIYQIIKFMNKLLIHLILHFLGMVLGLILQIWGLIQSLLSSRTSTFKFVFFTFLISLSFLLTCVFPKCCCTRIIYTYPANEGSEQHNFFSLPSTKILSRPYIEEEEISGLHIDERNWIGVIMSAAKKKIIRVDEEFLMYFSECVLGFGWGLQCWSPHYKRT >Solyc09g090840.3.1 pep chromosome:SL3.0:9:70717674:70724089:1 gene:Solyc09g090840.3 transcript:Solyc09g090840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit B, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CWB0] MALTFFRGIQLNPSMLYPSSYFARKHGVLYCCMRSAQTQTATQEKEQTKLKGSTQSQPKAVDKFLKDFEAVIGIETHVQLSTLTKAFCSCPYNYGSPPNTSVCPVCMGLPGALPVLNSKVIECAVRVGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIASGGYLDVDLPLEYGGGHRKFGITRVHMEEDAGKLLHTDGGSYSQERTPPSYIRIPPPPPASHCNVIAIDVDLNRAGVPLLEIVSEPDMRTGIEAAEYAAELQRLVRYLGVGNGNMQEGSLRCDVNISVRPIGQLEFGTKVEIKNLNSFSSMSRAIDYEISRQVELHNQGQIDQIVQETRLWEEGAQKTVTMRKKEGLADYRYFPEPDLPGVSLTEEYVNSIRESLPELPEDKRRRYEKLGLSMQDVLFLANDINIADFFDATTAGGADIKLAANWIMGDIAAYMKNEKVTINEIKLTPQELGELIASIKDETISGKIGKEILFELIAKGGTVKGLIKEKDLVQIVDTAEIEKMVDKVIADSPKQLEQYRGGKTKLQGYFAGQVMKESKGKANPKLLNKILLEKLNAKTIGY >Solyc11g068550.1.1.1 pep chromosome:SL3.0:11:53534241:53534807:-1 gene:Solyc11g068550.1 transcript:Solyc11g068550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEGSRRGVKWSWTSAFVGAAAAAATVMTLSSKPRDPDFHLISIDLTSFKLNFPVVDAELILTVHVTNPNVTSINYSSTEMSIFYSGDHLGSARVKAGSQPPRSCQVLRLPARLSGLQLAHHGKEFVADVAKREMLLDATVDIEGFAKVMWWDHKFRVHVDSHVTVDPVFLDVIDQENKSALEVFVK >Solyc07g040730.1.1.1 pep chromosome:SL3.0:7:49583839:49584216:-1 gene:Solyc07g040730.1 transcript:Solyc07g040730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLRYKSYNDSRGQVERYSYSSDFCNGYSEPSSNPNPNGFRCYSASYASNNTQMELSKEVKFKKGKSSNGSVSKSWSFNDPELQRKKRVASYKVYTVEGKVKGSIKKSFRWIKERCTKVVYGWT >Solyc02g083180.3.1 pep chromosome:SL3.0:2:47229212:47233513:-1 gene:Solyc02g083180.3 transcript:Solyc02g083180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFLSWTRPSPEEQKACINKSGSFNYDKRFRGTTEKPAPLLKQDSELAKDGFSVNCARVLVGSGRETFEKGKAALQNWRHFGLNWAFVDPKAQIQSGTKFCVCVKEFFPWLMMPLQVVYVSENKNSKKGASFSFGSGTLQGHLLAGEERFSIALDENDLVWYEILSFSKPAHLLSLFGYPYVLLRQKYFAHQSGSAVKKHLSA >Solyc01g091670.3.1 pep chromosome:SL3.0:1:85138988:85140678:1 gene:Solyc01g091670.3 transcript:Solyc01g091670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWLSRSIHNAQQKNVEYRGTHENVGLIIGVTGVVGNSLAGTLSSTDTPGGPWKIYGVSRRGTPVCSIAKVTYIQCDVSKSTDVQAKLSTLKDVTHIFWVTSAFDLSTSKCCEINGTMFRNVLTCVIPNAPNLRHICLQTGGMHYMEIHRSIDGKLCVTSHDPPFHEDMKRLENVHNFYYTLEDVLFDEVSRKPTLTWSVHRPDLIFGFSPYSTLNIIGTLCVYATICKFIHIPMKFPGTEAVWDSYSNASDANLVAEHQIWAAMCAQGKNRAFNITNGDVFKWKHLWKVLAEEIGVEYVEFNATEKIISLSEMMKDKGPVWDKIVKDNKLIPTKLEEVGLWGFADMLLGGGTCRLSSVNRSKENGFIGFRNSINSFIFWIHKAKHNRLIP >Solyc03g078300.2.1 pep chromosome:SL3.0:3:51764971:51770414:1 gene:Solyc03g078300.2 transcript:Solyc03g078300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKYKLINFIIITYLSNTSIIFFSALALLSKLLFLKVAPNWVRLKSEMDIGLTVGGAFLSSTLQVLFDRLTPQCDFLNLFRGRRQDQRLLKKLHTNLLALRAVVHHIEIQQICDEDIRTWLNQLQDAVDTADNLLDEISYEVLRVSGEETSRFCYLDSMMPKLEETIETLQDLETQINCLTITLKAQLVTGKKDSPKRLPSTSLVDESGVFGRHQEVEEIISKLLSSDDDAHGSGDVVPIVGLGGVGKTTLARAVYNDKRIKQHFNITAWVCVSEEYDAFRITTTLLGEIIGSLDSKVSASNLNQLQIKLSHRLKGKRFLFVLDDVWNENYTDWDELRCPFVHGRKGSRIILTTRKQSVAMMMASEMIQLKSLSDEDCWSLFKTHSFENRDPNKYPELEVVGRQIVGKCKGLPLAVKTLAGLLRSKSTIEEWERLLQSEIWELPSDILPVLKLSYNDLSQVLKRCFAYCALFPKDHPFGRDEVVQLWIANGLITQGESDETIEDTGNQYFLELRSRSLIQKASDLNLLKWEARDVFLMHDLVNDLAQVVSAKLCLRLEDYPRTHILKRVRHLSYLRDCYGEFDKFKSLSGLEYLRTLIPVRINFYSFLSKKVVYDILPTLTSLRALSLSGYQNNEFPDALFINMKHLRYLDFSRTKITKIPDSVCTLYNLQTLLLLNCWGLVELPPEMGRLINLRHLDIRGTGISWNIPLQKSKLQILLLSYSTRFVVGAFSDSRIEELGELQNLHGSLSISELQNVVNGREAIKGNMKDKKHLEELSLSWSGINADDSQIEREILDKLKPDKNIKKLEINGYRGTKFPDWLGDHSFSKLVSLNLRKCRDCDSLPALGQLPSLKHLSVSGMHRILQLTQEFYGSVSSVPPFRALTNLVFKQMPELIEWHVLENGSFPQLKHLDLINCPKLIGELPKSLPFLATLRISGCPKLGVLPDGQVAMFGIHLSLIHQNFTSLQKLRISDMPNLVELPSEICGLTNLGELRISNCASLRIIRIQEMQQLIELVIRNCPDLMSLTILSLPVTLEKLHISRCGKLELEFPEDSMTGSCCNMFFEELRLENCESLRHLPFGFSLRVHTLIVYSCRHLQTLSFPHGIDTLEVERCGNLKALTVPKGIHLKFLHSMKISGCDNLTSFPQKLVAPNLKYLWVYDCQKLKALPDCMHELLPSLKNLWISNCPELESFPDGGLPFNIEILDISSCQNLITGREEWGLQRLPYLRCFRIYGSDETSILDVSWKLPQSIQTITIEGLPRLKTLSGKALEGFKYLQVLEIKHCPQLQSLSAEGLQGLTSLATLEIEDCCQLKSLPEVGLPSSLSVLKISSCPQFLSLPKKGLPSSVCRLEINDCPLLTPRLHNKKAEDWLKIAGIHTLLIDYELV >Solyc12g009090.2.1 pep chromosome:SL3.0:12:2409183:2418589:1 gene:Solyc12g009090.2 transcript:Solyc12g009090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGGLSQSGEEPKSWDELYNVNLMPSEIFLKFRKEIEGYRVGVNLEFYNAPYNEYLAKLVLKPLAPERRWKFIYEPLNHEVRLLSKKIPVTKFLNLQVGVGHSFKLQATGWKWKLTSCFGGDGISSIRNKTSLGLCPGVDFRFGWKADYVFPEVTGQVTLSSFALHFKETHLLNDILLALGTGEPLFNMNSGRLQASLDRDMLLIDIDISQQIIDLLENVEEIIEGENHLSSFHREELKGFSGVSSSGELSGKRKGNLGFNFLRRTFRVLHWQPNAGTTVNSQILTEVSQCVESINGVKEGRWKDTLSFYKPMLRVEQANSLEFPRDFLGISLQEQPNKYYMVIRGQRLIIEAESSIQTIMEKLQSYKTRVALNFEGFQYQLGDFQLRVGKVVTIHSENLRGIVMEMEYLPISSWETSHQIMGEFFDIWQEALGKRSLPGHFVHVEPNFSEYGLSDQYTSQHTAVQYASIMAQMIATAQSSQPMRN >Solyc01g080370.3.1.1 pep chromosome:SL3.0:1:79465122:79465444:1 gene:Solyc01g080370.3 transcript:Solyc01g080370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTRMALAKEVVVVVVVGCKRMRESRPRIGKHLEDDDEEELGTFLFQKAIFNFLSYISCSFFFVFLFLG >Solyc11g031980.1.1 pep chromosome:SL3.0:11:24440822:24441618:1 gene:Solyc11g031980.1 transcript:Solyc11g031980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAINDKFELIPISMTKKNTLDLQHEILNDRLSLERNRQKPEHKLLDWIKYYPADGKKFGMLMYPRLENRHSISAIRKMAKLVDTCLLKSSKDRQDESGSTKSKEDHSDFHH >Solyc08g005314.1.1 pep chromosome:SL3.0:8:253709:256499:1 gene:Solyc08g005314.1 transcript:Solyc08g005314.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGEGDRLSDLSEPIQLHILSMLPNCKQVVQTSVLSSQWRSHWKSVPASLNFDSTDDEDLPGFACTVNREIHYWRSCYKIKSFSVCPPTYDGSILDSDLYFWLYFATYIAKVEDFTLRFCDTGFPEAVYDFPEFAYTNTVLRNLVLQNCELKPFGNVKWSNLVSLSIGDAEIKEDVMEKILSGSPNLECLELDKVLGIRHLKISSVKLRKLIVTIYERLKYDNEHYDEFYSIEIDAPYIRHLELWGSCCNEMHFQLRNEQRTRRCLGYATRSSGKVGMGKHSS >Solyc08g015698.1.1 pep chromosome:SL3.0:8:5556165:5559380:1 gene:Solyc08g015698.1 transcript:Solyc08g015698.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLALADSTAQATHTALYVAGKRGGGRLNRRGVHRGGGKRWQHSLDHNSSSGRSRPDQDNKRGVDRMAESKTAPTPMVARPPSTLDNIQYAVNRVSQSIHAPTEQKFQALKQILRYLKGSSRRGLLFQKRNLELSVYSDSDWVNDKDDRCFATGYLLFLGPNLIYWCTKKQTRVSRSSTKADYRAMAARVAEAMWLHHITDALGLPPF >Solyc06g005740.2.1 pep chromosome:SL3.0:6:776483:777643:-1 gene:Solyc06g005740.2 transcript:Solyc06g005740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFDMYDPTSIWMGGQCHNLIIKCGNDELDSILWNAFDALLAFMILILISNTAHNMTIKLCDHFSLLIQINHLYGLKIQRNVKPLPFSNYNYMIATSL >Solyc05g005900.3.1 pep chromosome:SL3.0:5:686804:692342:-1 gene:Solyc05g005900.3 transcript:Solyc05g005900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAISLSFSLDPQTQHNYHHRFHHNTSFNSHKASIFTPKYTFPFVISSKSHTSNLIIPLSTSQSSSSSSSTSPSSVFQTPLQTGRFLTNQELEKLESLGKYRYFQELESGSLWVRVMREEEMDVTVWLLAESFSDSMLMPKGYVKFMAYLVKQYMIERRALMPYTATLLGFYRENGEDADLQLAGTVEVCFDKRGANANSPTPTPPKNSPYICNMTVDKLLRRRGIGWHLLKASEELISQMSSSREVYLHCRMIDTAPLNMYRKAGYTIVETDNIFILLALQRRKHLMWKVLPDSESLSEVDECTSSVDT >Solyc01g106130.1.1.1 pep chromosome:SL3.0:1:93988204:93989337:1 gene:Solyc01g106130.1 transcript:Solyc01g106130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPNIKRKQKTSSKEESTYVEPCQSLPEKLLNILKKQPRLSKQVQSIGTVGISKSWRCVSKKCDSISQSPWLELSNEPQCYCKTQQHTFSISFEEAGCYWWNGRRRPRYDIWKHFHSSYSPWWISEGHKLPIDYCFLNSSRAYFYWNTSSSGRKKSFLFPCSSNGCGTCCFPGFVVSKLGENQKWIKQESSQNGLIDPNDPKGQLIQFSNAIIFQGKFYALSLQGTLAVIEEVENQFQVTRLSRRRAIPSSYSRHFIEYLVESNGEILLIFLISERSNGVVDKVEVFKLKIEDFSWLRLESLGDRTLFVGIKCGISVPASQVGCRNNCVYFTHRYIDGWRLYDMGSGCISPCYDNAGSEIKDPVWEEPIIGKRTSRR >Solyc12g099870.2.1.1 pep chromosome:SL3.0:12:67814187:67816286:-1 gene:Solyc12g099870.2 transcript:Solyc12g099870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSKISSLLQFFTLLYLFTVTFASTEETTALFKWKATFKNQNNSSLASWTPSSDACSDWYGVICINGRVNTLNITNARIIGTLSAFPFSSLPFLEYLNISRNSLFGTIPPEIGYLTNLVYLDLHMNHISGSIPPQIGSLAKLQIIRLFDNHLTGSIPETIGYLRSLTKLSLSINSLTGSIPSSLGNLTDLSFLFLHVNHLSGSIPEEIGYLRSLTRLDLRNNFLIGSIPTSLGNLKNLQVLLLNDNNLIEEIPPSICNLTSLTILYLSRNNLKGKILQCLGNITTLQLVMMSQNNLSGELPLSICNLKSLQVLNLGRNNLKGAIPQCFGNMSDHLEILDMQHNNLSGTFQSNFSIGRALKSFNLHGNKLEGKIPRSLVNCQRLEVLDLGANLLNDTFPMWLGTLPELQVLSLRSNKLHGPIRTSRFMKNLFPKLRIIDLASNAFTAELPANLFQNFEAMKRVDQTVEDPNNKEGGYYQDSVAVVTKGLELEVDSILSLYVTIDFSNNRFEGRIPSIMGDLIALRVLNLSHNGLQGYIPPSLGNLSSVESLDLSANHLEGEIPNQLTSLTFLEFLNLSHNHLQGCIPTGRQFATFENNSYEGNDGLHGVPVSGGCSRLPKTNNMTELEQESDSTFLSELNWRVVLMGYVCGLIIGFSIAYLMVSARNPDWLCRIAEELEYKILMRRQKNQQGCQKHYIR >Solyc02g082950.3.1 pep chromosome:SL3.0:2:47116964:47121365:1 gene:Solyc02g082950.3 transcript:Solyc02g082950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGRPVLFGSSLKNKGMCIQLSFQLLVVTITAVLVLTVLSMSRGIGQAPKLVEKQTQISSLSSCNFYSGKWVFDNQSRPLYNGTNCSFMDDGMACQKFGRKNLDYLYWKWQPNDCDLPRFNATAMLEKLRNKRVVYVGDSLNRNQWVSMVCILESEIPNHLKYVNYNGSLVTFKAIEYNATIDFYWAPLLVESNCDDPSYHRVEERIVRIDSIEKHARIWNDADVLVFNSYLWWRLNLKVLWGSFESANAKYEYLGMLRTYELGLQTWADWLDTHVNRSKTRVFFVSLSPTHNRGEDWGKANGQNCYDETEPITNREYWGSDSDPKMMKLVESVIKKLNEKSGFKVELLNITQLSEYRKEGGLTQDVGALISKDIFERMLLHRNDANCNAKGFYTYDAFITAARSFAAFGTTGNTNTRKKEIAAFLAQTSLETTGGWGTAPDGPFSWGYCFKQEQGSPPDYCVANQQWPCAPGKKYFGRGPIQISYNYNYGPAGRAIGVDLLNNPDAVANDAVVSFKTALWFWMTAQRPKPSAHFVITGKWRPTGADLSAGRVSGYGLITNIINGGIECGKGSNPKMENRIGFYKRYLESILGSIWTVLNKRLLASKINYYIVK >Solyc03g094010.3.1 pep chromosome:SL3.0:3:57144131:57147785:-1 gene:Solyc03g094010.3 transcript:Solyc03g094010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BIS6] MNALAATNRNFRQAARILGLDSKIEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVADIPYGGAKGGIGCNPKDLSVSELERLTRVFTQKIHDLIGVNTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAIVTGKPIDLGGSLGREAATGRGVVYATEALLAEYGKHVKDLTFAIQGFGNVGAWAGKLIHERGGKVVAVSDITGAIKNSNGIDIPALLSHKEKTGKLIDFAGADVMNSDELLTHECDVLIPCALGGVLNRENADNVKAKFIIEAANHPTDPDADEILSKKGVVILPDIYANAGGVTVSYFEWVQNIQGFMWDEEKVNRELKKYMTRAFHNLKGMCHSHNCNLRMGAFTLGVNRVARATQLRGWEA >Solyc01g049793.1.1 pep chromosome:SL3.0:1:46460756:46461178:-1 gene:Solyc01g049793.1 transcript:Solyc01g049793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHFLIALFPGQVQINSYLQFSKQFINLRIGVTLTTSLSTFMAKKINIPYSLFWIQHAIDFDV >Solyc12g019750.2.1 pep chromosome:SL3.0:12:11305049:11313039:-1 gene:Solyc12g019750.2 transcript:Solyc12g019750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPSKVVHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAVKALQFYLNVQPSIRGRNVYVQFSSHQELTTMDQNVQGRGDEPNRILLVTIHHMLYPITVDVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQVQQSSVSARNSLQGRNIYDGCCQLDIQFSNLDELQVNYNNERSRDFTNPNLPSEQKGKSSQQGYGDMYSFQGSGVHPGAYCLSHYHIIVNAKYHSSLLTVIFLLGFPGGFPQMGNAEAIAAAFAGGLPPGISGTNDRCTILVSNLNSDRINEDKLFNLCSLYGNIVSIKILRNKPDHALVQLGDGFQAELAVHFLKGAVLFEKRLEVNFSKYPNITTGPDTHDYSNSNLNRFNRNAAKNYRYCCSPTKMIHLSSLPQDVTEAEIIAHLEEHGPIVNSKLFEMNGKQQALVLFEKEEQATEALVCKNATSLGSSTIRISFSQLQSI >Solyc01g080280.3.1 pep chromosome:SL3.0:1:79383392:79388900:1 gene:Solyc01g080280.3 transcript:Solyc01g080280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamine synthetase [Source:UniProtKB/TrEMBL;Acc:K4AXS2] MAQILAPSAQWQMRMTKSSTDASPLTSKMWSSVVLKQNKRHALKSSAKFRVFALQSDNGTVNRVEQLLNLDVTPYTDKIIAEYIWIGGTGIDMRSKSRTISKPVKDASELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVICDAYTPAGEPIPTNKRHKAAQIFSDPKVAAQVPWFGIEQEYTLLQPNVNWPLGWPVGGYPGPQGPYYCGAGAEKSFGRDISDAHYKACLYAGINISGTNGEVMPGQWEFQVGPSVGIEGGDHIWCARYLLERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTLSMREEGGFEVIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDQFSWGVANRGCSIRVGRDTEKEGKGYLEDRRPASNMDPYVVTGLLAETTILWEPTLEAEALAAQKISLKV >Solyc07g055362.1.1 pep chromosome:SL3.0:7:63547227:63548431:-1 gene:Solyc07g055362.1 transcript:Solyc07g055362.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSQEILTKNYVYQRQTHANPFAKKLALGLAKLEEDKWAKHRKIIQPAFQVEKLKHMLPAFYQSCSEMISKWEEIIPKETPFELDVWPDLQLITAEVISRTAFGSSYEEGRIVFELQKEQAEYVIDIIRSVYIPGTRFLPTKRNKRMLEIENKVQTTIRSIIDKRLRAMEAGEASKTDLLGILLESNMREIKQHRSNDFGITTAEMIEECKLFYFAGQETTSVLLAWTMILLSLHPEWQARAREEVLQVFGNEKPNSEGLSRLKIVSTFRQLIM >Solyc12g100340.1.1 pep chromosome:SL3.0:12:68083858:68086246:-1 gene:Solyc12g100340.1 transcript:Solyc12g100340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTYFLVFPLFLLVLSLLLHSGPHGFGFIQYVDPADAAEAKYQMDGQASQGRQLKIVFGEESRKKAQKMRAWNVEVIGVAATMIEELLPLGTITLLGIHDLHLPATMIITLHLREGNTQGYLVVNGRADDTMNLGGIKTSSIKIERVCNGADESVIESVAFSAAPPYGGPEELSIFVVVKEGMNIISPDTLKKRFSRALQSNLNPLFEVRWVKIVEMLPRTTSNKLLRRVLREQWKQHVQIHSKL >Solyc01g094930.3.1 pep chromosome:SL3.0:1:86204987:86214995:1 gene:Solyc01g094930.3 transcript:Solyc01g094930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPYLEAVVGFMILMYIFESYLDVRQHAAHKLPTLPKPLVGVISQEKFEKSRAYSLDKSYFHFIHEFVTIIMDSSILYFRILPWFWKRSGEFLVFLGLNAENEIFHTLSFLAGVMVWSQITDLPFSLYSTFVIEARHGFNKQTVWLYFRDMIKGIALSIVIGPPIVAAIIVIVQKGGPYLAIYLWGFMLVLSLVMMTIYPVLIAPLFNKFTPLPQGELRLKIENLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSKDLFQSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRAFEFQADAFAKKLGYAAPLRAGLVKLQEENLSSMNTDPWYSAYHYSHPPLVERLAAIDESDKKTE >Solyc02g069680.3.1 pep chromosome:SL3.0:2:40086620:40091309:1 gene:Solyc02g069680.3 transcript:Solyc02g069680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLNSIFKKKTSPKEALRTSKREMAVATRGIEREISSLQMEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQIVNLQGSRAQIRGVATHTQALYASTSMSTGMKGATKAMSAMNKQMAPAKQVKVIREFQKQSSQLDMTIEMMSESIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKKVENVAPPSSDTAADVEELEKRLASLRRI >Solyc09g092520.3.1 pep chromosome:SL3.0:9:72053343:72055598:-1 gene:Solyc09g092520.3 transcript:Solyc09g092520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4CWS8] MGSFTHYGFLMLALLFSSCMVTYGGNFYQEFDFTWGGNRAKIFNGGQLLSLSLDKVSGSGFQSKKEHLFGRIDMQIKLVAGNSAGTVTTYYLSSQGPTHDEIDFEFLGNVTGEPYILHTNIYAQGKGNKEQQFYLWFDPTKNFHTYSIIWKPQHIIFLVDNTPIRVYKNAESVGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWAQAPFTAYYRNYMAQSFSPSQFSDQKWQNQELDSNGRRRLRWVQKNFMIYNYCTDIKRFPQGFPPECRRF >Solyc10g055640.2.1 pep chromosome:SL3.0:10:57053968:57067510:-1 gene:Solyc10g055640.2 transcript:Solyc10g055640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDDRRVHPDCRNASNPYHECSEYCFKLIAEIKKQMSKAETGVLQANTVDVHPSSVVSSDGGEGAPDERDVVGSHSDADDQADGEDNMGQDAANLTGRKKKLFELRLKMNEARKANQSAMVAEKKKTEAPPESRGMSKQKWIEERKKKIGKLLDANGLDMSKAYMLDTQESAEVKYKKWEKEPAPAGWDVFNQKTLYDAYKKRTKNVAVDVDEYNRMKEADPEFYREASSLQYGKAPKLSDDKIERMVKELKDRDEKRQSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Solyc11g040330.2.1 pep chromosome:SL3.0:11:38086502:38095244:-1 gene:Solyc11g040330.2 transcript:Solyc11g040330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRMRSHAMFSLSFLYWMLSVSPYDGPLYDSSAFSECKQYPEKPLYAGGILKDNLPEFTRADGITTVNLPIFKLQDITPGTIYSFSTWIKIKNADSAVITASVGDQDSDELCVGTVDAKPGCWSFLKGGFVASSLNISSIYLKNSDEQDLEIEIASASLQPFTEQQWLLNQQTKINMARKRAVTIHVSNKQGVRLENASLTVEQVSKDFLLGSAIAYTFIGNIPYQNWFLERFNAAVFEDEIKWYTTEPKQGQLNYTLADELLEFVRRNQITVRGHNIFWEDPKYIPAWVQNLTDSELKSAVNSRIQSLMSKYKDEFVHWDVNNELLHFNFYEQRLGPDATHEFYRTVHQQDPLATLFLNEFNVVETCDSSSTVDKYIAKIRELKEDRMSMNGIGLEGHFGAPNPPRIRATLDKLATLGLPIWLTEVDISDTFSKETQAIYLEQVLREGFSHPAVDGIMLWSAIRRNKCYRMCLTDPDLNNLPTGNVVDKLLKEWDTGVLKGQTDEHGSYSFYGFLGEYKLTASYDGKVVDAAFSLSPSHETKHFSVQL >Solyc03g118550.3.1 pep chromosome:SL3.0:3:68918688:68922866:1 gene:Solyc03g118550.3 transcript:Solyc03g118550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDTEKLEDLKHFVELCKENPSILQNPSLTFFKTFLESLGAQLPPSVKSEQCGEEHHDELDEDIVESDVELDNTDIVEPDNDPPQQMGDSTTEVTDENRDAAQISKAKALDAISEGKLDEAINHLTEAILLNPTSAILYATRANVFIKLKKPNAAIRDADAALKVNPDSAKGYKVRGMARAMLGLWKEAASDLRVASMIDFDEEIAEILKKVEPNAHKIEEHCRKYQRLREDKRLRKIERDSQQRQAEPKAANEKSKKKEQQSEHEASDPDSASDLNYGKIVGIHSVSELETKLNAVSKGSRLAILYFTATWCGPCRFISPFYTSLPEKYPKVAFLKADIDEARDVASRWNVSSVPAFFFIKNGKEVDKVVGADKNSLEKKIAQHAG >Solyc02g081920.3.1 pep chromosome:SL3.0:2:46239319:46248124:-1 gene:Solyc02g081920.3 transcript:Solyc02g081920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTILIQQPTNFFHQPELHHQLWRGLQHGCVASLQKQPILVCNSNKRNRPLRVSSSANGAVTSSTLEAYDSSPSPSAFPLFTPPSQPQDTPASQLELADPDFYKIGYVRSFRAYGIEFREGPDGYGVFASKDVEPLRRARVIMEIPLELMLTISKKLPWMFFPDIIPVGHPVFDIINSTNPETDSDLRLACLLLYAFDCKDNFWQLYGDFLPSADECTSFLLATEEDLLELQDEKLASTMREQQNRALEFWEKNWHSAVPLKIKRLAQDPERFIWAMSIAQSRCISMQTRIGSLVQEANMLVPYADMMNHSFQPNCFFHWRFKDRMLEVMINAGQKIRKGDEMTVNYMAGQKNDLFMQRYGFSSPVNPWDVIHFTGDAKIHLDTFLSVFNISGLPGEYYHNSKLSNDGDRFVDGAIIAAARTLPTWSDGDLPPIPSLERKAVKELQEECHQMLAEFPTTSDEDQKILDSMPECRRTFEAAIKYRLHRKLLIEKVIQALDIYQDRILF >Solyc01g095990.3.1 pep chromosome:SL3.0:1:86976471:86985084:1 gene:Solyc01g095990.3 transcript:Solyc01g095990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAGVIAISPICKESMVIPPHFLHSQESILIYVAMSGSMVPMRVLEYDSIDSVKLQIQTCKGFVVKNQKLVCGGRELARSNSLIKDYGVGDGNILHLVLKLSDLQVINVKTASGEEFTFNVERSRDVGYVKRQIAKKKGALVDSDEQEVLCNGERLEDQRLIHDICKNNDAVIHLFVRKSAKIRARPVERNFELSIVAPQLNDKVTENRSGSEVDNKLLVSREPPDRASILEPIIVNPKIEVPLVISDLIGSTLEGLDRGHYPIRSSEGTGGAYFMLDATGSKKISVFKPIDEEPMAVNNPRGLPLSVDGEGLKKGTRVGEGALRECAAYLLDHPKNGRRSFSGEALGFAGVPPTAIVKCLHSGFNHPDGITPKIGSLQQFMDNNGSCEDMGPNAFPVGEVHKIAVLDMRMANADRHSGNILMGKGEDGQVVLIPIDHGYCLPESFEDVTFDWLYWPQARQPFSSETIEYIKSLDAEEDIALLKFYGWDMPLESARTLRISTMLLKKGVERGLTPFTIGNIMCRETLNKESVIEEIVQEALDSVLPDSSEDAFLETVSRVMDRCLDEIAY >Solyc01g109610.3.1 pep chromosome:SL3.0:1:96423658:96427255:-1 gene:Solyc01g109610.3 transcript:Solyc01g109610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSHEHGNGVAENGHSLMRHSPYQPGFKLSLQWLDLRVFYVRISKCELDDLTAEYLTVNHVPLNRDTLLEVNGARTGIYSDGVSTVLRRDRYDKKSEEVTFVSTDSISMTGSVRFEVYDRDVVVLYGSLELCDSNGFIGESENHGQSWSINCETDVLAGASSSFLKGNQHLGTDLVSPVIEVYVAGCFSGKPIILTRTLELGHRKKQQRQGMLESIPEYEATESQYHVSSSYAMQVTDHERQKQEHDEYNHYSRMEYIEGSILPHRQIVMALFSGRIQIPRRQSLK >Solyc07g054740.3.1 pep chromosome:SL3.0:7:63089222:63094707:-1 gene:Solyc07g054740.3 transcript:Solyc07g054740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALANEKSVSDGKIWGICKLPFWHSTSSSLAASSSSIASSSSSSFRQQHNGISVDNHSNIHAPLKVSSVAKSLLPTRRKLSLDPQNKLYFPYEPGKQVKSAIRIKNISKNPVAFKFQTTGPKSCYMRPPGGILAPDESLIATVFKFVEPPDNNDKQIGKKSRVKFKVMSLKVKDDVDYVPELFDERRDEVAVEQVLGVVFLDAERPCPALEKLNRQLAEAEAEVEARKRPPEDTGPKIVGEGLVIDEWKERRERYLARQQVDGVDLV >Solyc07g007510.3.1.1 pep chromosome:SL3.0:7:2201217:2203464:-1 gene:Solyc07g007510.3 transcript:Solyc07g007510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEVKLRLPDSSAHQKVLSLFSSHHKKTHHQRNTFFDGAAGELSSRRAVLRLRFYENSEKVKCMVCLKAKAVIIDGVSRVEEDEEELDPKIGYECVSNPRKLMEVDSRVLKRAREEFHVGEEGFIGLGGFKNVRNVFEWCGVELEVDETMYDFGTFYEIECESLEPEKVKAMIEAFLKDNDIDYSYSEVSKFATFRAGKLP >Solyc12g056190.2.1 pep chromosome:SL3.0:12:63101098:63103854:-1 gene:Solyc12g056190.2 transcript:Solyc12g056190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSSQVSWQSLSSTQDFRYRENIPLLKAHNAKNTKLHEQRIKIRSIKSRKRSLIAHCSMVNPNVDTSSFSPSNTIRKLYSSINNKDFNQLALLISEDCFFDDFSFPQSFHGKKEALKSLEQLTTSMDQNTEFSIDNIHEGVDLTAIVNWHLEWKKKEVPFSRGCSYYELSRDGEKLLIKNAQVITESSMKPKILAFYNMITSIFDEFPEIVSRFVKNHQVAYQVLLNSYKFIVQPLISPIFVWYKKLWTSIIIFVGFTTKLVQFIIKYLRQ >Solyc02g031740.3.1 pep chromosome:SL3.0:2:27318136:27319339:1 gene:Solyc02g031740.3 transcript:Solyc02g031740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHYVGNHISEVLENGTKFRIYPSAFNIVWGKDGRYWKSPKEEKDPATLVQVSWLEVTGLCDKVEKDTKYEVKFKVKLTPDSFGFNELPLYFMVKYGSKQSWKKIYLTKDANVESNGSYVGPNNLTITTTDSRSGDSNLCFGLYEVWSGKWKGGLIIEEVIIQKMNQ >Solyc06g034040.1.1.1 pep chromosome:SL3.0:6:23657574:23658017:-1 gene:Solyc06g034040.1 transcript:Solyc06g034040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:K4C4M8] MADYYGQPQHTQHQFFHGGQQPRSHQMVKAATAVTAGGSLLLLSGLTLAATVIALTIATPVLVIFSPVIVPAVITLFLLFSGFLASGGFGVAAVSVLSWIYRYVTGKRPPGADQLEQARHKLATKAGEMKDKAQEFGQQHITGTHQT >Solyc03g093565.1.1 pep chromosome:SL3.0:3:56389426:56392383:-1 gene:Solyc03g093565.1 transcript:Solyc03g093565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQFYPKPAVNCVTEESPQQPGNDPATPLFSPEHYYQIQQMIQRGSMEPDIPTTASLTTVNNVDLSHNASGSNTASNPTPIPSSMPLPEASIPLPPGNLIRHSHIIKVAPKWFIDYVGKGELNLFILLLISIKDSFYEFDIATGNDNDKLIGRLLYLTMTRPDIAYAVQLLSQFMHKPKESHMLAALRVIRYIKNSPGLGMLMSSASSHSLTAYCDSDWEACP >Solyc11g028175.1.1 pep chromosome:SL3.0:11:20647268:20650142:-1 gene:Solyc11g028175.1 transcript:Solyc11g028175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFSQFFGECTMAKNIIIDKVIGESGASNSNAIDQSQTIESKVKKGRKKRSRAWDPFTRKTDIDGSEKAVCNYCKKEYFANTKEHGTTSMLTHINKCIKMPYNIDIKQSRLAFQQMIGGNNGDVVVVPWKFDQEECRKALCRMVIIDEKVLFDEEKRKLMAVFKETQQRVSLTTNTWTSIQRINYTVITAHWIDKNWTLHKRIINFCSITSHRGEDLGKSISKCLHEWGLHRIFTVTVDNAGSNSVAITELSKQLTKWGTNLMGGSHLHIRCIVSIERVRQAVRYIRQSPARSKKFQECCEDENLAKKSLCLDVPTRWNSTYMMLSRVIEYEGAIVEYADRAIGLTLYLKFVDKNSTATLLSSDCEGVKRIIKFLEMFFSLTLKISGSRYVTSNLHFFEFFQVGVYLNQLISNEDQVLSKMEENMKENGVLDPRHKFSTLGFALKKMFGEKGAAIENGVGTYMEALFNEYTNPISNDKSGQFSSTGVDTSISSSIGEFGNFLEELHKHKYEKGSASSKSELVKYLDEDTEIEKSDFDVLIWWKVNSPRFSFLSEMARDVFPVSSVASECAFSTGGCILDSFRSSLTPRLVQALVCLQDWLRSEPQPISIEED >Solyc11g032170.1.1 pep chromosome:SL3.0:11:25814523:25814761:-1 gene:Solyc11g032170.1 transcript:Solyc11g032170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVALLGEFQTHKLSRLMQHAFNKSWRSSVGCSSMSIPFNTIGLDKYQID >Solyc01g007090.1.1 pep chromosome:SL3.0:1:1648003:1652533:1 gene:Solyc01g007090.1 transcript:Solyc01g007090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSTNQENHSVSTKWWNKLKYIPRKIQKKIGKIAKNTKQIGKDDPRKIWHAFKVGLALTLVSLFYYTEPLFHNFDQPVMWAILTVVVTFEFTAGATISKSINRGIGTALAGAFGLGAKYLAELIGREGALGTFTRFYPHIKRRYDYGTMIFVLTFSLVAISGYRIENIFELAHRRISTIIIGIFTVMFISIIIRPVWAGEDLHKLASINLEKLASYLEGFGSEYFHISEIKSVEGNKNNEKGLHEALLSIIGSKANEESLANLAWWEPPHGGFKFNHPWKQYLKIGSLVRKCACHLLALNSHINSKSQAPNEFERRIEEVCKKMIMESKNALKEFALSIKTMAQPISYICNTKNVIDDLKLTLGTSKTFFRYDESRVMDCVPTASVVSLLIAVTKCVDEISEAIEELSSKARFEKKSMSPATASRSHRPRILHRGTVNPIVEDEMNGGDFVSIEIGDNVDSKGEVVVEEVNQVNNTINATKEESFVIWISGSTAMAIVEEMITPKKIGDFMDLVDIKLYNNIPFVESTKGVLTVLEEANQVNNTLFKTEEESIVIGIRGNTTTTKVEEMEMTENKVELTDLTDIKFNNSIQFLESILMTVTTIVEEMKTTEKRRFCKFSR >Solyc04g051450.3.1 pep chromosome:SL3.0:4:50499996:50506166:1 gene:Solyc04g051450.3 transcript:Solyc04g051450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSRCMKRKAGDVHEKYSDKENVASKKATCRIGRQNLKAAGECRNLSAGENDVTLPLQAAKVSCQKLPGRTTCSPATKGGAAEGSQQLNSYKKIKLQLFPINRSTRQRLEKDGCNPFQELTLSAQKKISSVIKHLNTKWSSTSLGLGVLLLFPYDTNTENITSQKRWSSKDTNVSAGEVHAAIGSPAIFRLRYDWFSDLKLKAFEVPDISQPSAMHSQSRGNKRIFAAAMDTANDRVEGAKLKNEELFEPICKNEHANVTENQNMALNIPVDHMVDEVTKQNVLSKMENPWNDILTNLSIGGLFSEASMPGKTSNSENKLDLQPIQLVSDISTGALLAEASLQGKLSTEMKQENRVDLEPPPFSSYFSTGNFLSEASSQGKISDFNLASKKTSGWKETSECGHHIDSKFSWDFNFTNLSIGGFLSEVSLLEKVKKHDQRNEDKPSSQDAFVAACMNSQREISKSLPHESHSSILDAEETCHAFPVRKASSGNGNAISLCGTAGPDNSRSFRIPSSSNAAEDQSSQQPKTTLFSRSWVVLNEDSSLGLKGNIKWEDSLGPFDLGQPILRPVSGGDNVSLSEFVK >Solyc01g094190.3.1 pep chromosome:SL3.0:1:85628027:85632350:1 gene:Solyc01g094190.3 transcript:Solyc01g094190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKILIVGGTGYLGQHLLQEFTATQNTLPYALSLAFTYHTNPPPERLLEAIPHALPFHVDLRTGNGFDDISQNFGQPDVVVNCAALSVPRACEVDPTAAMAINVPSALVKWLSSFSNGGTLLIHLSTDQVYEGTKSFYKEDDETLPVNVYGRSKVAAEQFISANYSNFAILRSSIIYGPQTVSPVPKSLPVQWMDSVLAKGEAMDFFHDEFRCPVYVKDLVTIIWTLTNKWISEKEPMQLLLNVGGPDRVSRVQMAEAVAHIRGYNLSLIKSVSSSTVNRGVKSPADISMDTNRLIQTLNMSPTSFRNGVKLTLEAEFASAS >Solyc02g062250.3.1 pep chromosome:SL3.0:2:34395473:34403740:1 gene:Solyc02g062250.3 transcript:Solyc02g062250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4B6B4] MPSSSSRYGAGGDKVKASHILIKHQESRRKFSWKDPEGRVISNTTKEAAVSQLKSIREDIVSGKAKFQDIAATHSHCSSAKRGGDLGSFGKGQMQKPFEEATFALKVGEISDIVETESGVHIILRTA >Solyc06g009860.1.1 pep chromosome:SL3.0:6:4057814:4058638:1 gene:Solyc06g009860.1 transcript:Solyc06g009860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFPLHVYAIMTYAKGSPLKWRFATVSFYCIQGIQQDKPKVASCGIGVTACILVLGLHRYGKTGIPVYDGSCTEWAA >Solyc01g050043.1.1 pep chromosome:SL3.0:1:48108711:48110940:-1 gene:Solyc01g050043.1 transcript:Solyc01g050043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGNSMIYLLLYVYDILIAANNITEINFLNKLLSKEFDMKDLGAAKKILGMLISIENWYIEKVLERFNMHMSKPSYKCISLWMMWGICQRYMTNPRKRHCEAVKWILRHLTGSHDVGLTFRKVKSSSQLESLPFVLIDRSSQGSYALTDG >Solyc09g089540.3.1 pep chromosome:SL3.0:9:69713544:69715543:1 gene:Solyc09g089540.3 transcript:Solyc09g089540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLSHVLAFLLLASLFQSLMARDLSDGIEVLEILENEIQDAFCSGKQSWPELVGKPAEYAKKIIEKENPIAHVSVLFPGMPRPGNYVCGRVFLVVDWEAIVKITPRMG >Solyc07g056370.3.1 pep chromosome:SL3.0:7:64370927:64375696:1 gene:Solyc07g056370.3 transcript:Solyc07g056370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDTVTNGEVFDDAPVEIAVDETTDAASKTSALKQKIAALEQEKTQLLHENDVIKQRIEKLKSSIEESQNEKDELLKKVENFESENKALGSVAARAAQMEGEVSQLQHDLITAMSDIQGSNSELSEVKSALEGLKSTVNEKSVKLEAVESEKNLLLSKLEKLEASGNHQKEEVEGKEGEIRILKKHIEELKGTVVNNEEWEEEKKGLHLVKEELEKRVKEMIEKAAELEKKLMEKERIIAERFVASNINGIPVGDDSVGFLGGDVNLPVVAASSVVAVAVIGVICYLRYGRKAVCIIRAMAMLLPFLPRPSRI >Solyc06g063060.3.1 pep chromosome:SL3.0:6:39928497:39929966:-1 gene:Solyc06g063060.3 transcript:Solyc06g063060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLHKLWDDTLAGPAPESGLGKLRKYNSFAGRSTCSAPPSPTKLGLHHHGGGASDDLMPVSRSITILRSNSTCGRSGNSTPDSGSAPSSPAFSSAPNSPFAPSTPRRKYKKQLKGKANIEPCSANYDWIVLSAWDR >Solyc04g014330.1.1.1 pep chromosome:SL3.0:4:4608961:4609287:-1 gene:Solyc04g014330.1 transcript:Solyc04g014330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSNCSILFAIIALLFLSSITTSHGARSLLQLPNLPTIPSLPKPTLPQLPNIPNFPAALPPLPTLPTATPLPSLPTLPSVPKMTLPPMPSLPNMPAIPTLSPPPSN >Solyc02g032210.1.1.1 pep chromosome:SL3.0:2:28492421:28493323:-1 gene:Solyc02g032210.1 transcript:Solyc02g032210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLSNSFSIYLCLWRFSFSMGIVNEDDGGGGGRKEESCITDSECECVDDEEHEKDETFRKNPYMCEHSVEHYYYLLPRARRSKIFTRKKPLIVIPSQSTSQEKGLEIRNSLKRKTNEIVDVGLPMEKKKTENLFDEKQSKSEDAKKKRRKVKPIIDPPVLPDELKEKISGMGVQISQVKLVIQKALYDTDLSYQQMRLSIPVNQVVSKDFLTPQQKMVLETKNVSNKKTKIQFNLIEPSLEQTKIHLTKWDRSNSSSYVLLNNWMQVVERNKLKPEMVVQLWSFHQHLVPWLALVLVPI >Solyc11g072600.2.1 pep chromosome:SL3.0:11:56068300:56071320:-1 gene:Solyc11g072600.2 transcript:Solyc11g072600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDLNLNAIYDGKHSLADESGSSNSSARNVEASSSAGDDETCSTRAAGDMFAFNFDILKVGGGGSGSGGGETRRSCSNNDDEEGYDENRSDFVTQQLFPMDNNAELNRTHTSRRPDWVDPSVDPPNTVSFREVQQMGRLQQQQQPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKNLSKEEFVHVLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSAYEGEINSNPQSEGSQQDLDLNLGIATSSPKEVERSSSFQYHPYDMQEASKSQMDKSGSPIVGSSHYKALPAVTSEQAHLWNGAYSNLIPNYEERVSGKRIDVGTSHGHPNWAMQMHSQVGTTPLSMFSAAASSGFSTPATTASASQISGPNNPNTLNLSFASYSSPSTNTAQYYYQIRPQLPPP >Solyc05g018010.2.1 pep chromosome:SL3.0:5:19461667:19462964:1 gene:Solyc05g018010.2 transcript:Solyc05g018010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVTSAIVVGPYMLEKLTEHHCWSIFKQKAFVDGEVPEEIMSMEKGLLKCVKFVRIFNLSKSGMWELTASFGKLIHLRYLDLSDTNIKSLRDSICKLYNLQTLRVNNNYYLE >Solyc00g007030.2.1 pep chromosome:SL3.0:2:32765966:32770213:1 gene:Solyc00g007030.2 transcript:Solyc00g007030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSVQDLLPPSLDSTSQPPSLFDGTTRLYINYQCPYSQRVWITRNVKGLQDMIKLVPIDLQNRPDWYKEKVYPKNKVPSLEHNNKVIGESFVLVKYVDYNFEGPSFMPDDQEKQKFAEELIAYSDTTFVPEVYRSFAKDARKLAGAQFDYLEKALHKFDDGPFFLGQFSQVDIIYAPFIERFHVFMPEGFNYDITTGRPKLAKWIEEMNNLDGYKQTKVLEQEKMVEYYKNRFLPKA >Solyc04g015820.1.1.1 pep chromosome:SL3.0:4:6115816:6116043:-1 gene:Solyc04g015820.1 transcript:Solyc04g015820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTTIAIFALFIVLSSLCFNPINSSRDISFDNPLTQRNLLSQKTSDIHPDVAANCRICMEQCGSCTCCIAKLKH >Solyc01g097190.3.1 pep chromosome:SL3.0:1:88000291:88025314:1 gene:Solyc01g097190.3 transcript:Solyc01g097190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPDATDALAVREKVNKFLKAACSGDIELFKKLAKQLDDGKGLAGTVADVKDGNKRGALIFAAREGKIELCKYLVEELKIDVNEKDDEGETPLLHAARQGHTTTVQYLLEQGADPAIPSASGATALHHAAGNGHVELVKLLLSKGVDVDLQSEAGTPLMWAAGFGQEEVVKVLLEHHANVHTQTEDENNVCPLVSAVATDSLPCVELLVKAGADVNVRTGDATPLLIAAHNGSAGVINCLLQAGADPNAAEEVNILSAPLLSINEDQSDGFSGLTGGFSALTGFQDGTKPIQVAAASGSREAVEALLPVTERIQSVPEWSVDGVIEFVQSEYKREQERAEAGRKANKSREPIIPKKDLPEVSPEAKKKAADAKARADEAFNRKDFATAIDTYTQAIDFDPTDGTLFSNRSLCWLRLGQAERALSDARACRELRPDWAKGCYREGAALRLLQACPSSPGRFEEAANAFYEGVQINPDNMELVTAFREAVEAGRKVHATNKVKTLMEAACAGNIKIFKKLAKGLDRGKGLAATVASVKDGKERGPLTLPLRKDNLRCARHIQLVKLLLSKGVDVDLQSDAGIPLMWAAGLGQEDAVKFDSQTGDQLWQPIHFLRGAVSKGANVNIKTGEATRYGETTPLLIAANNGNAEIMYCLLQAGADPNATDEDGNKPIHVAATTGNRAAVEALLAVTPQIQSVPEWSVDGVIEFMQSDYRRKQGSIEAGGEENYGAILRCLRTRLEDEFKGLKQECIIPKKDLPEVTPEAKKKAADAKAKGDEAFKRNDLPRAINAYTQVPIRLIGFLLNFQLYPFPSSYPLPDAD >Solyc02g093440.3.1 pep chromosome:SL3.0:2:54952872:54956023:1 gene:Solyc02g093440.3 transcript:Solyc02g093440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVIEHKSYARVGLLGNPSDVYFGNTISFSLGNFWASVRLEPSIDLVIVPHPSHDLVQFNSISHLVNRLQNEGYYGGVRLLMAIVKIFHNYCKESNITLHDGNFTLSYDTNIPRQTGLSGSSAIVSAALSCLLDFYKVRHLIKVEVRPNLVLNAEKELGIVAGLQDRVAQVYGGVVYMDFDKNHMDELGHGIYTPMDVDLLPPLYLIYAENPSDSGKTMDDITIAAVSQGIVNISVHSTVRQRWLDGDKFIRSTIEEVANIAVEGRKALLEKDYNKLAALMNHNFDLRRRMFGDNALGAMNIEMVEIARKVGAASKFTGSGGAVVVYCPDGTSQVKQLEDACHKAGFTFQPIKVMPSFLNETDLQTLKSK >Solyc07g055420.1.1 pep chromosome:SL3.0:7:63600025:63601473:1 gene:Solyc07g055420.1 transcript:Solyc07g055420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVLFDTTNRTVWQSFDHPTDCLLPGQNLVSGQKLTASISADNSSQVCSALSKKRSEKRKAGGFLDLEPILPGILTRFSYNGLKIITQDFSRMLGKGGFGSVYEGTLSNGTKIAVKHLDGVGQGKESFLTEVKTVGGIHHINLVKLIGYCAEKSHRLLIYEYMVNGSLDRWITQENGLTWNTRKRIISDIAKGLSYLHEDCSHKIIHLDIKPQNIL >Solyc01g060240.3.1 pep chromosome:SL3.0:1:69851247:69856124:1 gene:Solyc01g060240.3 transcript:Solyc01g060240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGLKGRSNHVQPAVGDHKRVTTSTGNKYGGLAPKKKPLISKTGLYASKVQELIKNQRWL >Solyc10g074640.2.1 pep chromosome:SL3.0:10:58258640:58261909:1 gene:Solyc10g074640.2 transcript:Solyc10g074640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNRLHQFQNFWPTANNSITHSNPLFHEKVCCPNLEVLLIDKANSTSVLCSHQLPVAYFSKLVQLKVYSVVELRNLMSLSVARGILNLRLLQIVACISMEEVITEEEQQGEEIMINEPLFSPVGRVVPLRSAKAEAFLYEYDFPFLRVVWILNCPEMKTFVQ >Solyc02g062470.2.1 pep chromosome:SL3.0:2:34702360:34709697:1 gene:Solyc02g062470.2 transcript:Solyc02g062470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKLVKVPTIDFSNHQDLKPNTPLWESKKIQVFEALQEYGCFEAIYDKVPKDIREETFSISKEIFEFPLETKLKNISEKPTHGYMGMIPQLPLYESLCIPDLLNPKSLQSFANIFWPQGNQHFWYVYLCFYFALAEVFKKVEIVLLLSTPFARNLVKSYSNPLLELDEMLKRMISENLGLKNHIDELLNANYILFRFTQYKGSSIASGDENNKAAGLGGHTDGNFLSIISQNEVNGLQINKNGEWIDVNISPNSYVVLSGDSFTAWTNGRLHSPVHRVEMPRGSDRYSIQLFSLSKPGHFIEAPKEMVDEEHPLLFKPFEILGLLGYGATEAGYTTPPSDLFKAYCGV >Solyc04g025610.2.1 pep chromosome:SL3.0:4:21640025:21646107:-1 gene:Solyc04g025610.2 transcript:Solyc04g025610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLLCAFLRINAIVLQITKFDQLNLKIISTKEKLYNPLSISQTTASHEIHETQILKNLDKGKQVCSLSLSLKQEQQIVHSRIEK >Solyc05g009420.1.1.1 pep chromosome:SL3.0:5:3585440:3586381:-1 gene:Solyc05g009420.1 transcript:Solyc05g009420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPEINMISDFEAGVKCLQNPSMVSRFFSLSPIEKVPQVYSFWKWGALILAILATFSSLIRKIKLLFIYVRRIKPSAEPLLQYLGEDFDISDDDDEDDKCSSAAAPSSDDEDLLDQQIDEDFTVAGSCFYFREKGNLRFRRRRNSLERFPWTEFSAGKNVVKLWDSLALGLDYEYEDLSNSVVSLWDLNQEEKIGNLFTGSSKVPSVAMASPSMVLSSEVKNDRNGVVLAAYDTRMRSNSPAIYADWGKGSGKVVGVNGSGVGKVYVRNESAGKLTVGDLRNVKTPLEKIDGDTWWDADAVIVEEKFDGSKN >Solyc01g101225.1.1 pep chromosome:SL3.0:1:90921911:90927866:-1 gene:Solyc01g101225.1 transcript:Solyc01g101225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKRVIAICQSGGEFDTDRDGFLSYKGGDAHAMEVDGKLDYNDFKMEVAEMFNCSLATMSVKYFLPGNRKTLITISNDKDLKRMINFHGDSDTAEIYVMTEEAVDPDFSNMPGSRSSRTTLSEMAVPVEAPLSVVEDIVDDPNESGLLLDANFDVVGDTNNVDDTLTIGAELPGPISFAAANYDEKNAKAAQQWQNDITGVGQRFNSVHEFRETLRKYAIANQFAFKYKKNDSHRVTVKCKAEGCPWRIHASRLSTTQLICIKKMNPTHTCEGAVVTNGYQATRSWVASIIKEKLKVFPNYKPKDIVSDIQKEYGIQLNYFQAWRGKEIAKEQLQGSYKEAYSQLPFFCEKVMETNPGSLATFTTKDDSSFHRLFVSFHASLYGFEQGCRPLLFLDSIFLKSKYQGTLEKGLRESIAEIFQGEDVFHGYCLRYLSEQLIRDVRGQFSHEVKRLLVEDFYGAAYAPKPEGFQRYVESIRSISLDAYHWVMQSEPISWANAFFRGMRYNHMTSNFGELFYGWVSDAHDLPITQMVDAIRGKIMELIYTRRTESNQWVTRLTPFMEEKLEKESLRFSSIHALMPNGTKFEVQGDTIEVVDMDNCDCSCRDWGLTGLPCCHAIAVMGCLGRDPYDYCARYFTVDSYRSTYSESIHPIPSLEKPKRKDASQAAVTVTPPPTRRPPGRPTTKKVGSNEVTKRQLQCSRCKGTGHNKSTCKVVLLES >Solyc09g010690.3.1 pep chromosome:SL3.0:9:4016165:4020431:1 gene:Solyc09g010690.3 transcript:Solyc09g010690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI015 [Source:UniProtKB/TrEMBL;Acc:G8Z253] MGQKLSCGQQSNEHGLFIAVQNGEVEKVEAMVDENPNVIRLKTLRGKLSALHVAAVNGQIEVLCMLLDRGVNPDILNRHKQTPLMLAAMHGNVSCVERLIQLGANILMFDSLHGRTCLHYAAYHGHSDCLQSILASAHSAPVAQSWGFARFVNIRDGSGATPLHLAARHGRPGCVRILLSNEALVCASSGGYGRPGSTPLHLAAREGSLDCVRELLAWGADRLYRDSSGRIPYIVALKNKHEACAALLNPSSPEPLTWPAPLKFITELDAEAKALLENALIEANKDREKLILEKTAVSQISLSHCDSGLESDDFEASDFELCCICFEQACTIEIQKCGHQMCAHCTLALCCHNKPNPASNSEKVPLCPFCRSDITHLVVVQNKIDTYEEVLSPSRPRKSRTSFSHAEGDSSSSSSSNTSLKVLSPLTSFGKLGCRHSGKISAERIEVFAKP >Solyc11g042422.1.1 pep chromosome:SL3.0:11:37218844:37225600:1 gene:Solyc11g042422.1 transcript:Solyc11g042422.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITATSEGYDQGNNMCRDQATINAATRILDQQQTSQGLSISTAAHVRFGLKKQTSERTFRHKFFSASGIEDIRETMEEIAMWAIKHLQTMQNFRKLDWKNAPVNQHRWQSLLLPMEPTPPLPISPTSAASLGLYSIWPLPVLTSSLLSTELLSACINQVNMLPLSKMHTQVHFWHSWSWFTHLTRGHGASGFLRFILGE >Solyc02g089160.3.1 pep chromosome:SL3.0:2:51681489:51684812:1 gene:Solyc02g089160.3 transcript:Solyc02g089160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP85A1 description:6-deoxocastasterone oxidase [Source:UniProtKB/TrEMBL;Acc:Q0NZY6] MAFFLIFLSSFFGLCIFCTALLRWNQVKYNQKNLPPGTMGWPLFGETTEFLKLGPSFMKNQRARYGSFFKSHILGCPTIVSMDSELNRYILVNEAKGLVPGYPQSMIDILGKCNIAAVNGSAHKYMRGALLSLISPTMIRDQLLPKIDEFMRSHLTNWDNKVIDIQEKTNKMAFLSSLKQIAGIESTSLAQEFMSEFFNLVLGTLSLPINLPNTNYHRGFQARKIIVNLLRTLIEERRASKEIQHDMLGYLMNEEATRFKLTDDEMIDLIITILYSGYETVSTTSMMAVKYLHDHPKVLEELRKEHMAIREKKKPEDPIDYNDYRSMRFTRAVILETSRLATIVNGVLRKTTQDMEINGYIIPKGWRIYVYTRELNYDPRLYPDPYSFNPWRWMDKSLEHQNSFLVFGGGTRQCPGKELGVAEISTFLHYFVTKYRWEEIGGDKLMKFPRVEAPNGLRIRVSAH >Solyc03g005880.3.1 pep chromosome:SL3.0:3:593720:595848:-1 gene:Solyc03g005880.3 transcript:Solyc03g005880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4BE11] MEISGAGGLFSTYSICSNAAGIAGNLCAFVLFISPIPTFRRIIRNKSTEQFSGLPYIYALLNCLICLWYGTPIVSPGIILVFTVNSVGAVFQLAYILIFIIYAERTKKLKMLGLLFGVFAAFAAVVSISICLFQPPNRQTFVGYLSVISLISMFASPLFIINLVIRTRSVEYMPFYLSLATFLMSLSFFAYGMFKQDPFIYIPNGIGGVLGIIQLVLYWRYSRPNEEPTRPLLESNA >Solyc06g068240.3.1 pep chromosome:SL3.0:6:42414542:42419167:1 gene:Solyc06g068240.3 transcript:Solyc06g068240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALLSEFGTEIVIPICAVIGIVFSLVQWYLVSLVKLSPEQGGCSPRNNKNNGFNESLVEEEEGINDQNVVVKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAVLIFVFLGSVEGFSTKSQPCTYNKEKMCKPALATAIFSTISFLLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYITINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGIDHDFTAMCYPLLISSMGILVCLITTLFATDFFEIKAVKEIEPALKHQLIISTALMTVGIAIVTWTCLPSSFTIFNFGTQKVVKNWQLFLCVAIGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVAIFVSFTFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPEVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGLAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGALVSGVQIAISASNTGGAWDNAKKYIEAGASEHARTLGPKGSEPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGILFKIF >Solyc09g065480.2.1 pep chromosome:SL3.0:9:63822652:63827362:-1 gene:Solyc09g065480.2 transcript:Solyc09g065480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDESSKKTKLSWPKSLVKKWFNIKSKAEDFQADDIVYGGVDDEWRNNLSERQASTIKKSKTDKSSRRNADRFHRSKIDLDTSEVTDVNNYRVFVATWNVAGKSPPSNLSLDDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPARKWLALIRKTLNSLPGASGGYRTPSPVPNPVVELDADFEGSVREEAPSFFHRRSFQTLSRSMRAMESEMSMPRAQLDRRFSVCDRGVFGGRPSDYDPYAQWGGSSDDENCPDDYSPVTTHYSPISYSGSITLEDRERQPSKSRYCLVASKQMVGIFLTIWIRSDLRDDVRNLKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICSHLTSGQKEGDELRRNSDVLEILKKTRFPRVHGIGDDNSPQTILEHDRIIWLGDLNYRIALSYRTAKALVEMRNWRVLLENDQLRIEQMMGRVFNGWSEGRIYFPPTYKYSSNSDRYAGEDTHPKEKRRTPAWCDRILWYGQGLYQISYVRGESRFSDHRPVYSILLAEVESINRHRIKKNLSCASSRVEVEELLPPSYGYGGYYY >Solyc12g055740.2.1 pep chromosome:SL3.0:12:62678217:62683690:-1 gene:Solyc12g055740.2 transcript:Solyc12g055740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLAVFLSLIWALTLLYGEMFSFWVPFLSCSWPHPSTSSSMTDGVDHQRDYVKIAVLTDPQLMDRTSLHLAPKSFALEVAQFYTDLYMRRAFLSSILPSKPDIILFLGDYFDGGPFLSDEEWQESWSRFKHIFDIDMLEQTTNIKLYYLAGNHDIGYAAFHSRMPEVIKRYEKAFGERNYQFTAGKVDFITIDAQTLDGHPQNNITPATWEFVKNVSNHLSSNPRVLLTHIPLYRPDQTACGSYRSSSIINQRINRAAQDKEILYQNYITEKGTNDLLDSIKPALILSGHDHDQCTVIHKSKYGSVEEHTLGTISWQQGNLFPSYMLLSASSLILPNGSMSADAISTKVCFLPVQLYIYIWYILLFVITLLIAVFWPTSGVLFPNYLDGFLRNVRGLISSSFWGGGKKLKSEDEIYEYEEIWDAEGSMHLIKKTFKVSSTCSSDSASVERGNAIMRSVARKQETDTSNPADVTLHIGSDTAVKALPRTNKSATKMVIRRLVRTLCIITIVAAFNIPLYMMLLFKDWIDK >Solyc04g005810.3.1 pep chromosome:SL3.0:4:523104:523783:1 gene:Solyc04g005810.3 transcript:Solyc04g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Thioredoxin [Source:UniProtKB/TrEMBL;Acc:K4BNI7] MGANNSSSWKQTNNNALASTLPMKNQVIHFHSSTTWKIHFDSLKQTNKLIVIDFTASWCGPCRHMEPVINDFASTYTDVVFIKIDVDELDDVAQEYGVQAMPTFVLIKQGKIVDQLVGADKDGLKKKIEINKA >Solyc02g076680.3.1 pep chromosome:SL3.0:2:42390861:42395710:-1 gene:Solyc02g076680.3 transcript:Solyc02g076680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKQLDFNAPLLSVRKIASSLAPNERALNKKTEDKVPPNRQQSLPVKKSDWELSEVTKPVAVPFVWEKIPGRPKDDNEARTSLRVERSSSPRLPPGRLPETVRFYSGERPRTHNIYRSPAEGIPWMDHAALLDSLVESIYTRGDRESEDDDYSDGPETMSPTESLSLNCSVSGLSGHQTSDLQPSGTFSIDSQTRDFMMNRFLPAAKAVVLETPQYVHKKPVSAEQPMPVKKLVPVERKPLVKPLESNPVSYYSSHPADAGSETEDDVPENSDKKPSKGWKFFPRICVKNSLCLLNPLPGLKVKTRAPTPSPQAVKRVSGTKPKMAQSPTSYAHEVKKLTRKAYSGPLEKNACDTINKQRFHSGVLSRELYKADNRSLSGQLSNPNDSCKLVGISPGRRSRSGAISPYRNVAPPSPFNEGTRFLGVPKEMESLWASRFDSFRKGCYTVKDKAVPQRMGTGKFFDSPSEVVEKTLYIDSVANVRISAPNAASLKPKGIVNSPDKNMKTLVENRKVLENLAAMSPIQGARHTDVLEKNSKQIVEKEFSLDLIEASSISISTHKGPADQELLKLKQNVDTVSGALESSKVHPCGNLGTENEDNQNAKDSKDSNLNSLDSTLPPPLPKSPSESWLWRTLPSIPLRTPFLSFNSKKQNQKSHTDGTKWETIVKTSNLHKDHVRYSEELYTLGSCQQSKS >Solyc04g007490.3.1 pep chromosome:SL3.0:4:1160127:1166547:-1 gene:Solyc04g007490.3 transcript:Solyc04g007490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDEGDPDPDPVSILQTIENEHEDLTDDKRKEIKLIKTELWFLGRFLLGHWECSKSLQVRIRSLLVFAEDLYRSNFDADPSYVLRSIEHFNYFIPINYWESEESLVPVTTPLNHVHMLAYIDNVVQNFKDLLIVRLVKLPDVQSQVESVVKELRALKKFIFFLGEICISTEQIKCWQTFWLHVRLVTTRAAILLYLPCYDRFSHMMQDNLMCSQIVCDDELLKYILKLLSFGSTHVKLYLNKAADEFMDFLLHVHMQLGADSWTSDQNYTFTEQINCLRALLKELPLINDIQDEMRIHFFKRLVTLVIHAGLTVYSGGDWDQKMLLLYGMIRSVKTEICHKIREWVTSHLPKNDKLGFSNCLLASLKEFLSGHSASLASVKDQIEVVHEELKFFEPFIMRLAEQANNKHNELQNLVGRVIDKAYEVEYILDSFAISDVPLTFLRMWLLEIIREIELIKTELTKPKEKNMTSASHATDGELVGFTDVCKTIRDQLVGGSQELDVVSIVGMAGSGKTTLARSFINDDIVVSHFDFFAECRVSQEYTREDLLFSILSSANSGLTDISKRGADILADRLRKTLLPKRYLLIIDDVWAVQAWDDLRLCFPEAKKGSRIILTTRLKEVATYAKCVTEPINLRSMKDSESWLLLQKKVFGEEMCPEELKEVGQNIAFKCNGLPLSIVLVAGLLAKIDKTERCWTRMELSFGERVQDGAKDLVKLSYEDLPNKLKSCFLYFGAFLEDREISVSKLTSLWIAEEFIKNNEDKCLEDTAEDYLSDLIGRNLIMVSKRRSTGKIKACRVHDLMLDFCKEKAKEDNFLLWLKRDRDSNPPRFYSERPMHRRLSFYSNRDDLSEWRPSCSHARSILFRELSDNACSSMRHASFIFGNFKFLRVLDLEVVDVDSFPTELNQLRYLAVQTTKNSIPSSIENLWNLQTFIVKRNGGQVWLPDTFWKLSKLRYVSISDGALFASRGAQESCGGNFLKLDNLETFSSIYVSRVNNMERMVRRTPNLRKLRCVFTDLGRWGKNENRFPVLDSLSQLETLKVVFVGISEVGPSRLNFPENLKKLTLCKFPLPPEEISTIAKLVNLEVLKLRQVAFEMGEWEVRDQEFSQLKLLELENLKLSKWEVSEESFDRLEKLVLHGCLHLKAIPDGFQDLNCLRYIEVKSCSEDVADSARIIKETREDNGQKCDVKIFS >Solyc01g017180.2.1 pep chromosome:SL3.0:1:23805239:23805879:-1 gene:Solyc01g017180.2 transcript:Solyc01g017180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWRVQNENFILDCTRIFMKAFHLILFYGSLIFPECILIFGRIVFVMIDSTSGQKHIPWLYFISSTSLHKGPSVVMERRTYDKLFAKFPNEQFQQNLSISYFLCSTLCIPLSVEYIKCTEMAIIEFLLFVLIDTLGGMFLCGANNLITIFVAPQCFILCSNLLSRYTKKDLRSNEATMKYLVMGEASSFILVHCFSLLYGSSGERLSFKK >Solyc07g042830.3.1 pep chromosome:SL3.0:7:56462081:56481111:-1 gene:Solyc07g042830.3 transcript:Solyc07g042830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWRITLEGIQYKERQEQLSKFGLDGSAYATEDKAVDDRTVGHNPERLSLLKGGIVYSNAIVTVSPTYLKETLCSGWLSGALMRNRDKYSGILNGIDTEMWNPATDIYLPAKFDAGKTEGKRICKKFVQKGLGLPISVADQKPLVVCITRLVAQKGLHLITHAIKHVEELGGQMVVLGRASDDRVEREFEGLAELHNKGSNIRILLMYSEELSHMLYAAADMVLVPSMYEPCGLAQMIGMRYGAVPIVRKTGGLADTVFDMDNQSHTEIANGFVFEGIDEGSLNCALGRAFSYYQEKPNEWKAVVQKVMRIDNSWNNTAGKYIDIYNSVRVR >Solyc05g007730.3.1 pep chromosome:SL3.0:5:2205110:2207814:-1 gene:Solyc05g007730.3 transcript:Solyc05g007730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYNTDASEAWTTSSMKAQEFNDGRRAHTTKLAVTAMSATAAETATFPVDLIKTRLQLHGESLVSSRRTSAVRVVAEILRNDGVLGLYKGLSPAIIRHMFYTPIRIVNYEFLRNFLVPADHTLSLSSKAIIGGISGVIAQVVASPADLVKVRMQADSRIASQGLQPRYCGPFDAFNKIIQTEGVRGLWKGVLPNVQRAFLVNMGELACYDHAKRFVINNNIANDNIYAHTLSSIMSGLSATTLSCPADVIKTRMMNQAADKQGNCKYRNSYDCLVKTVRVEGLKALWKGFFPTWARLGPWQFVFWVSYEKFRQIAGLSSF >Solyc03g078655.1.1 pep chromosome:SL3.0:3:52738860:52741018:1 gene:Solyc03g078655.1 transcript:Solyc03g078655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTTLRFTIREFAIISDLNCSDNGADFCFDTDQPNRIIAEYFLVNSPITKARLAEAFKAKIKFRNIEPTAMEIKILQLPPPIDQSISQAPQIECLDHNKATEPDDFQKPPIITRRKGKEEVIECSSPIRKKKKQSVLVISLNKSSTKAIKIYTRRSMSRKAIRSQSININSVEKHSDVGTSHNYKRREQKGVQDIIQMGQSKESTCITILLNEFEAFKKSVKDDFAYLRKIIEDNFKTMLKAINSKESDVNVVDDHQESRIEDFHHQPTYSPYEPQSQSRKSKDQEFQAIVENLGDNLKDLNSNSALLDQVDLGDNLNDLSGTASPDQKLLDATVDA >Solyc08g062490.3.1 pep chromosome:SL3.0:8:51219349:51221359:1 gene:Solyc08g062490.3 transcript:Solyc08g062490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDANNSSANMFDGSFTPLLNSPDSDDHFSNNLINFELSDIFEIDNWPVQQPDPTLVPHYSNYAANQVVNTRSSTTYHEEPSNNVGSSSERREVKDKVAFRTLSQIEILDDGYKWRKYGKKMVKNSPNPRNYYRCSVEGCPVKKRVERDKEDSRYVITTYEGVHNHQGPSLF >Solyc07g052770.2.1 pep chromosome:SL3.0:7:61346154:61350023:1 gene:Solyc07g052770.2 transcript:Solyc07g052770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASSSKVCKYDIFLSFGGEDTRRTFVSHLYNALEQRGIHAFKDDERLEAGQSIFAELLKAIEDARFAVVIFSKSYASSRWCLEELVHIIKCKNELEQAVIPVFYDVSPADVRHQNSPSVDSFFQHEVKYKDDMEKVQRWRGAFAEAGNISDEAECVKKLVDDIFPKSLQIISPFRESLVGMRSQLEKVIELLSMESNEARSIGISGMGGIGKSRLAHVLYERYRHLFEADCFLGDVGELHLKNGLAWLAQVVIHKLLGEKMSVTSEHEGMIILKNRLRWKKVLFILDDVNHREQLEFLVGGTEWFGMGSRIILTSRDKHLLISHVGDNVYEVQLLSEDEALELFSTHAFREKSPKEDFMKLSREVVEHACGLPLALKVLGSSFYRRDKKHWRHIIDRLKRIPHKDILGKLRLSFDGLEKDEKELFLDITFLNFAFLDMEYLARPDFDLYVELLRRDPNRGFLIDYLIEKSLLSIDLNNGIVMHNMIREMGENVIREEYANSRIWLPEEICDLFDGKLITEKVESLLIPEYYYFEENLVDYSNTFKRMQSLQILIILTYSLHCPITYLPSSLRFIDWIAYPSISLPESFEQSQLVMLRLPESCLVELLPISKKLSNLKHLDLSNCYELTKSPNFCDMPVLETLNLQGCENLEEVHPSLGHCRMLTYLILSYCDKLKKLPKFVCMNSLKHLDLSNCTRSSWIRSLSPSLSGLRELKLDDCEILESIPDTIRNLSDLSISGCNKLTTLPDSLFESQQLEYLYIHRCSGLVKLPSSLGVQKNLGSLEMDRCENLQKFPSSIWMESLQRLKIFNLPKLDTFPEIKGDMHCLEALTLTSTGIREVPSSIGNLSGLTSLNLSGCEDLVSLPDNLCNLMNLRKLNLRGCKSLEKLPENIGDLHQVSVLSLPKLHLITPYRLGGLPEELRGLHFLNGLDVSGSNISCLPKSFKGLFPLRKLNEQFCENLNELPSGELPQI >Solyc05g012500.3.1 pep chromosome:SL3.0:5:5738781:5745789:-1 gene:Solyc05g012500.3 transcript:Solyc05g012500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDKQVDNDPLPGGGGGGAAEFTGATTESSWSLGGGDDESDNVYFFGTSSTDRESSILTEFGWNFQPHGNRDVRAGGDGSRFDRIDEDLAGNSTTTTASVSASVSITEPTVTEKITDEPVSSSCSDDPPEKSTASGSSSASRPPSDTASKVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNTKCTVKKRVERSSEDSSIVITTYEGQHCHHTVGFPRGGLINHEAFTSQLTPLPSQFYHPSGVQYPHELVPMSSPAAPESHTMPGETGPEPIRLPETSQPDATQQPTDEGLLGDIVPPGMRSR >Solyc03g071650.2.1 pep chromosome:SL3.0:3:20423801:20425985:1 gene:Solyc03g071650.2 transcript:Solyc03g071650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FIFLLDYTTVDTTGSHLRGHSFFVIWFGLNDSSLVLKNSSSRSRNDDKVGFFENDCDIFDGNWVWDESYPLYLSEDCMFLDEGFCCSENGRPDNFYTKWRWQPKDCNLPRQDTTLYSATCERNMCVSLCIQAFSLQISFNVGPVLARARRKHGENDHQVLGLLYLTVYPFEARQL >Solyc09g090835.1.1 pep chromosome:SL3.0:9:70715435:70717173:1 gene:Solyc09g090835.1 transcript:Solyc09g090835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIYLKESNHLIMPSSQFLTSCLEGLLHIDFYERFLRVINHPPLEIALSLRLECAKHGVRDHPEPGEDYSSSCSHARNKKKANQCSDHVEGRQGSLRQGLNESSFQLSLGTSDLDLAELLQASPPLVATRTFDRARKLLSLCNQSASATGTPVQKIVYYFADALQHRIDKRDEENAIYWRGRY >Solyc05g054980.3.1 pep chromosome:SL3.0:5:65607525:65618181:-1 gene:Solyc05g054980.3 transcript:Solyc05g054980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDLIGDLARLDAELLQLPDVSSFAIKDNPFVAQKLFDQWLSLPDTAPLVKNLLNNAKAGGPLSATGASSSTNVATSSSLPSMFPAGSTPPLSPRSSSGSPRTTRPRAGPSMLGSPLKLVNEPVKELIPQFYFQNGRPPTNELKERCLFRINQFFYGHTDGLQMDEFKPITKEICKLPSFFSTVLFRKIDVGQTGIITRDVFVDYWVNGNMLTKDIATQIYTILKQPDLKHLIQDDFKPVLRDLLATHPGLEFLRNTPEFQERYAETVIYRIFFYVNRLGDGHLTLRELKRSDLIAAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVPRKFTSKVEGKMGYEDFVYFILSEEDKTSEPGLEYWFKCIDLDGNSILTRNEMQFFYEEQLHRMECMGQEPVLFEDILCQMVDMINPEDQSYFALRDLKGNKLSSSVFNVLFNLNKFMAFETRDPFLIRQERENPNLTEWDRFAHREYIRLSMEEDAEDASNGSADVWDESLEAPF >Solyc06g054150.1.1.1 pep chromosome:SL3.0:6:37060858:37061475:1 gene:Solyc06g054150.1 transcript:Solyc06g054150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLSIFRSGVNRIPNCPIGILNLINICLLLIVSIPTIQGMRMHPLSLNPKIGRIDSNFSQQQQHVPNSAMKKLRRLPHVFNYFLELPFRSDADVAVEEKEGFFRFVAKIELGGAWNGQVRAQAVEIYPGVTKIVVRKGNGDGDDDEKLNVDTWRYRLPASTMPEMATAVFVDGELVVTVPKDDHGHGEFDNRGVWRDVDQLVLVQ >Solyc03g007070.3.1 pep chromosome:SL3.0:3:1631522:1646255:1 gene:Solyc03g007070.3 transcript:Solyc03g007070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSAGQLKPNLDSENDTGKASPGDSGGTSGMRLVVPLQGVVQGRGGLILGSLIPCALFYFLQFYLKRHRTTPSSSNPPSPSTSSPNLSDLQRSSSRLNLSTRGSVGRVFLSSRASLVAAPNDSPYYVGMDRFRADPYDELDNPDGVIDLGIAENRLSLDLIEKWISSNVNIGSGGDGLNINGILTYQPFDGMAELKVAMSGFMSQVMGEKVSFDPSRMVLTSGATPAIEVLCFCLADHGNALLVPTPYYPGFDRDIRWRTGVDLIPVYCRSSDAFMVDITTLDQAFNHARKRGKKVRGILISNPSNPVGNILNREMLYRILDFAREKNIHVISDEIFAGSNYGGEEFVSIAEILDEDDADRDRVHIIYGLSKDLSVPGFRLGVLYSFNENVVAASKKLTRFCAASAPTQSLLVAMLSDAGFIKDYMRTNRERLRKVFDLFVAGLKQLGIECMKSSAGLYCWVNMSGLIGPYNEKGELELWEKLLNVAKINVTPGSACHCIEPGWFRCCFSTVEEKGIPVVMERIRKVVELVRPSD >Solyc05g015800.3.1 pep chromosome:SL3.0:5:12075398:12077417:1 gene:Solyc05g015800.3 transcript:Solyc05g015800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTNNSYFKITTQSIVASTLNSIKPNKSTLHVRMAHNTMPISVTHDKPKLVVPSIVTPHEIKHLSEIDDQGSTRFHVSVLMFYKYNSLMEGNDPAKIIKNGLSKTLVFYYPLAGRLIEGPNKKLMVNCNGEGVLFIEADANVELEKLGDSIKPPCPYMDLLLHNVPGSDGMIGCPLLLIQVTRFTCGGFVIGFRVNHTMMDAYGIKLFLNALSELIQGASTPSILPVWQRDILRARSPPCITCTHHEFDEQIESKIAWESIADKLIQQSFFFGNKEMEVIKNQLPPNYGCTEFELLVAFLWKCRTIALDLHPDEIVHLTYLINIRRKLLNFELPSGYYGNAFITPAAISKAGLLCSNPLTYAVEMIKKLKDHMNEEYIKSVTDLIVIKGRPQLSKSWNFIISDNRSGGFDKFDFGWGEPIFGGVPKAVSLISFGVPVKNERGEKGILIAISLPPLAMKKFQEVVYNLTLRNMQGVNIISKM >Solyc01g102690.3.1.1 pep chromosome:SL3.0:1:91302325:91304487:1 gene:Solyc01g102690.3 transcript:Solyc01g102690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQQRLVESLQQFRHILKTCIAQRDLFTGKSLHTLYIKALIPPSTYFSNHFILLYSKCGLLTAARRAFEATPEPNVFSFNAILNAYAKEAQPHLAHQLFDKIPQPDIVSYNTLISAYADLGYTLPALRLFLDLKDTGLVMDGFTLSAAITAANDNVDFITQLHSLSISAGLDSYASVNNTLITYYGKNGHLDYAREVFASMGEIKDEVSWNSMIVAYGQHREGIKALALYKEMELRDLYLDMFTLASVLTALTSMEDLRGGLQFHGRLIRMGFHENPHVGSGLIDLYSKCSASISECKKVFQEIPYPDLVLWNTMISGYSQSELCEEAVACFRQMQLAGHQPDDCSFVCVISASSNLSSPSQGKQIHSLAIKSSIPSNRISVNNALIAMYSKCGSVQDARLLFDRMPEHNAVTLNSMIAGYAQHGHGTESLLLFAWMLESNIKPTNITFISVLSSCAHTGKVEEGKKYFGLMTDKFGINPEAEHYLCMIDLLGRAGKLEEAETLIETMPYNPGTIGWGSLLRACRTHGNIELATKAANHCVQLDPSNAAPYVMLAHMNACLGRWEEVASIRKEMRDKGVRKQVGCSWIEVANRVHVFVAEDSSHPMIKLVYKFWEEMSKKLKQEGYTPDLRWALMRDDGTRQEEKERSLWHHSEKLAVAFGILSTKDGEPILIIKNLRICGDCHNAIKILSGMTGREITVRDCHRFHCFKGGACSCGDYW >Solyc05g007100.3.1 pep chromosome:SL3.0:5:1672968:1676510:1 gene:Solyc05g007100.3 transcript:Solyc05g007100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFSLSASPASGFSLNPTKTSSYLSFSSSINTIFAPLTSNTTKSFSGLTYKAALPRNLSLTCRHSDYFEPQQQQQQLQGASTPKVFVGYSIYKGKAALTVEPRSPEFSPLDSGAFKLSKEGMVMLQFAPAAGVRQYDWSRKQVFSLSVTEIGSIISLGAKDSCEFFHDPNKGRSDEGRVRKVLKVEPLPDGSGHFFNLSVQNKLINLDENIYIPVTKAEFAVLVSAFNFVMPYLLGWHTAVNSFKPEDASRSNNTNPRSGAELEWNR >Solyc02g032980.1.1.1 pep chromosome:SL3.0:2:30061098:30061475:-1 gene:Solyc02g032980.1 transcript:Solyc02g032980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSKAEGDFPFVKWITKDFKGVRFVESDLQYLYDNMDPVVAVIAERDGEVMIPVWDLDTGGNYLLKLKKDKDGVFGLYEDWIEIVAKRRGFEGNEAIGFYVNQVTLELTFTVINPTNNVAGSSK >Solyc08g077300.3.1 pep chromosome:SL3.0:8:61322192:61327462:-1 gene:Solyc08g077300.3 transcript:Solyc08g077300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UMP-CMP kinase [Source:UniProtKB/TrEMBL;Acc:K4CN90] MDLHKEGDTGSAKQKKVKIVFVIGGPGSGKGTQCKRIAQQFGYTHLSVGEILRQETSSGSETGHMVQKIMKEGKLVPSDVTVRLLQQAMQGIDNDKFLIDGFPRDEENVKAFEDLTKMEPEFVLYLDCPQDEMEKRLLSRNEGREDDNIETIRKRLKVFVESTLPTIEYYESKGKIRKVDAGKSIDEVFESIKVIFSPGKDNKMPPSKHKCKCLIL >Solyc08g005575.1.1 pep chromosome:SL3.0:8:429515:430602:1 gene:Solyc08g005575.1 transcript:Solyc08g005575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGGSTLCLTNIFTFTLHLLEQIVLKESSSPQDMLKSLFHVNYLYWLETNAGIKSSSVANDCRPGGRLQMSLEYVEREFNHVKTDGEVAGWVTDSLIARPLPVRIRLDYAAESSVAEDGEDLYLSLVIV >Solyc09g065590.3.1 pep chromosome:SL3.0:9:63980149:63984359:1 gene:Solyc09g065590.3 transcript:Solyc09g065590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNRWSEKNLNMNLPESPKAPMEFLSRSWSASALQVCKALTTTSSLSSSSSLPTKTNNNNNSVVVTIPENIISVVEEEDSANKLAGNTFSFASSATSQLVLDRIMSQSMHNGQEISPLTSGRLSHSSGPLNGSLTEETDSPPVSPSEEFEDVVKYLKANSTLQPLFTNVRTGYTGSAVGLAPNTPGGKTVGRWLKERREKKKEESRAQNAQLHAVVSVAGVAASVAAIAAATASASSTAKDEQMAKTDAAVASAAMLIAAQCVEAAEVMGADRDHLMSAISSAVNVRSHGDISTLTAAAATALRGAATLKARALKEVWNIAAVIPIEKGIGGGTGRNNDQNHSNNNNNYCEGLDIEENFLGVCNQELLARGRELLKRTRNGDLHWKIVSVYLHRSGEVMLKMKSKHVGKTITKKKKNVVTEVYKDVPAWPGRHLFEDGEKRRYFGLKTEIRGVVEFECKNQREYEMWTQGVSRLLSMVAERKKRFQH >Solyc01g096680.3.1 pep chromosome:SL3.0:1:87578804:87588001:-1 gene:Solyc01g096680.3 transcript:Solyc01g096680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITDTVSSPLSLSKSATTTCSSLLQELQEIWDEIGESDSERDKKLLQVEQECLDIYRRNVDKERKYKAELHLSLAEADAEISKLVSALGEQVSLPLSGKMKGTLKQKLAVIDPVLKDIRTKKHERIKDFLNIETQIAAICAEIAGNDTVISPTDTQVSEQDLTVKRLGELKSHLQELQSEKNLRLLRVNSYMSAIHELTVVMSLDFKNIIANINPSLANHLNVQSKSISNETLASLTSEVNSLKQLKQQRLDRLQDLGSSLIELWNLMDMPMEEQLRFNHVTSLVSSSIDEITRQGSLAIHIVEQAEVEVERLNALKTSKLRELIFKRQNELEEIYRNVHMDVDSETARQILIQLMESGTFSSDLSNLLSSMDDQVVKAKEQASSRKEVLDKVEKWKHASQEESWLDEYEKDDNRYSAGKGVHINLKRAEKARILVSKIPSLVENLTAKIKAWEKERGMPFLYHKAPLLHTLEEYIISRQEKQEEKRRSREQKRLQDQFAAEQETIYGSKSAKKPLGNANTVPGTPNSRRMSTPSGRYGASIGKEKRGSGHVIPINYVSLAKDDQKCG >Solyc04g080420.3.1 pep chromosome:SL3.0:4:64679251:64681586:-1 gene:Solyc04g080420.3 transcript:Solyc04g080420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVSYDEVDQIMVEAWGGIGGLEWNYKFKSPIKEILINHGDVIDSIMFITMNERGDRIESPRFGGNGGRRDKVVIKETSLEFLVGIKGRYGNYKGNLVVKSLSFVTNAKNIYGPFGKEDGTPFSFVIKEGGAIVGLHGLADSYLDAIGVYVNKLTVPKKDEKKLEPNEPIVEEIEIHDKMDVMKTIVPRSAGPWGGCSGKGWDDGVFCTIKQVQVHEALHSSVISAIQIEYENKLDKSSFWSQLHGHEHGAKKTTKINVDGTDEFFIGIEGYYSPLNDNGGQDTIRQITFYTNKGKYGPYGIEIGTYFSSSAARGKIVGFHGKSGLFLNAVGVHMEYF >Solyc01g099615.1.1.1 pep chromosome:SL3.0:1:89671765:89672345:1 gene:Solyc01g099615.1 transcript:Solyc01g099615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDVRSFWVSGRHLLSAKKN >Solyc07g007650.2.1 pep chromosome:SL3.0:7:2298207:2305941:1 gene:Solyc07g007650.2 transcript:Solyc07g007650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLRDWVLSQLITKSVASSRPLLASDNFLSEEHPDQGFDHPAHTADLITTTRLANTIQSSNNDNQEHTENTNNFHSQQRIGEDSFQSDFRVDEKPSPVVKIEALQITFLRLLKRFGLSEDNLLVSKVLYRIQLASLIRARESDLKRANLKIERARVIAAEQEAAGRPQLDFSFKILVLGRTGVGKSSTINSIFDQSRAETNAFKPATDHIQEIVGTVNGIRVSFIDTPGLLPPSPSNIRKNKKILHSVRRYLRKQTPDMVLYFERLDLINTGYSDFPLLKLITEVFGPAIWFNTILVMTHSSFNLPEGTNGYPVNYESFVTTCTDLVQHYIHQAISDTKLENPVILVENDPNCKTNNAGEKILPNGQVWKSQLLLLCICAKVLSDVNTLLDFEDSLKVGPSNVGRLPSLPHLLSSFLKHRAQIRRGGAENEIDEVSLLDSDDEDDEYDQLPPIRILTKSQFERLSGSQKKDYLDELDYRETLYLKKQLIEEARRQREKRVSSSEGKAAPDDESDNQQEGPPEPVLLPDMAIPPSFDSDCPIHRYRCLITSEQWLARPVLDPNGWDHDVSFDGINLESSAEIRKNIFASVNGQMSKDKQDFSVQSEFAAALTNPGGPTYAVGLDVQSANKELICTIHSNAKVRTLRTNVAECGISVIPFGDKYFLGAKCEDSFTIGKRLKFNVNAGRMGGAGQAAYGGSFVATLRGRDYPVRNESLSLSMTVLSLNKEMVLSGNLQTDFRVSRGTNMSVSANLNNQKMGQVSIKTSSSERMEIAFIALFSIARALLRRKRNDQLIEDSLVFSLGAAFLSFQHVMKLSAKQYGYEQRLICFRYCLINFIESRIDKKDTFFWCLVCSSFVSE >Solyc10g009230.1.1.1 pep chromosome:SL3.0:10:3215047:3215595:-1 gene:Solyc10g009230.1 transcript:Solyc10g009230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWASMSLSLAAPAPFATTKPAANCLTPGAPRKFQLYSQLRNLDLAYTAEQKQKIQKKSQTNHLGYTAEQKQRFWKKSQTTNIQQAEEERVQVDLKDEEKKLTGFDVLQALEKATARKMKKKRHGRDSSSLSSSRKVSEQAAEDEAMADYGSKIVRPLSIREDWGTRLDELEKRLNSFKMHNC >Solyc05g006490.3.1 pep chromosome:SL3.0:5:1111908:1117489:-1 gene:Solyc05g006490.3 transcript:Solyc05g006490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIVETPAVKHKMGDSVVSRPTLEVSVSFGRFENDALSWEKWSSFSPNKYLEEVEKCSTPGSVAQKKAYFEAHYKRIAAKKLEQLEEETRQVEQEMEPLSPEVTEPKSGDVTENGNSDGDFSSSNGESSSVDEQQMSVVNLKNSDAVDEPKEDITVGVECDNLLVTEAKELTISGIDESKDDTSVDIECFSPLVTEAKEGTISGIDESNEDISVDLECDSLVVTKTKEETILGTCDQGVLNKAEERNLENVCQDSVVETPQANTEAQKASLKKSKTPNANVKHVPRKVYTPDARVSVGTKKKLTSPVAKSSRISTPTSKQVPTSMVITPSQPSVKKVTGMSTQRSNTTPLAQRKKLVPGSFVSPSQSSNKKLNGATPSQSSNKKLNGASPSQSSNKNLNGATPCQSSNKKLNGATSSRSSSKTLNGAALQRSVNSPVLEDKRRVPTSLHMSLSLSSPNSTASTNTMRRSLFMETMGDKDIVKRAFKAFQNSYSQGRSVGDMTYDIQDQVSSKESEQKISTSSTQKDSERLRKTPDKVITLKGQSGTRSASSSSGAPKDAGVEKKRVNSIRASTSSRIDRSTDKWKEEVTKGKIKRPGSNR >Solyc01g016880.1.1.1 pep chromosome:SL3.0:1:22612248:22612559:1 gene:Solyc01g016880.1 transcript:Solyc01g016880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNFRGLSAAHPTCSDCRCSSQLAHTGSTPCNLQAARNSGSRAVVGHTYALEVVSSPSPPVACPPQSCAVGRATAAVGRCRSISLTQGEFIEPSEQIFFVSI >Solyc05g008800.3.1 pep chromosome:SL3.0:5:3035757:3037285:-1 gene:Solyc05g008800.3 transcript:Solyc05g008800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANTWRSTFDKGLVFSSVLLSYTPASNRGRMPHVHLQRLAEQRTGSQSTSNNPGRLTIRQPVADSMYVQSEHSIAVSEHSAHETRPIFDDDLENGRWRH >Solyc04g076240.2.1.1 pep chromosome:SL3.0:4:61264680:61265105:-1 gene:Solyc04g076240.2 transcript:Solyc04g076240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKDKPRVLTEAVTQLKELRKNVAQQLELSSLFIPSENDVVIINYCDNINDERTVKTTICCEDRPSLNRDLSSAIQSVQGRVIKAEMATVGGRTKAELVVVLGKANGGEKDVGQLKRALKAVVENRALGFGSNVMLGRRFG >Solyc02g090350.3.1 pep chromosome:SL3.0:2:52540466:52551920:-1 gene:Solyc02g090350.3 transcript:Solyc02g090350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYYCIPLALLFAWTLFQGLNLLVALTSNRSKKLPPGPFPLSIIGNLHLLGIQPHRSLAKLADSHGPIMHLKLGQITTVVISSSDMAKQMLQKQDLAFSSRSIPDTVQVDNFHMFSVVWLPASGPQWRTLRKILNSHIFSVNKLDATQHLRYKKMEELVVYCKRSSQMGEAVDIGGAIFRTMLNLLSNTLISKDLADPYENSGKEFKDLVEGLMIDMGKPNWVDYFPVLKLLDPQGLRRYNSHFGKLLKFFNGLINERMDLRKMNGQKSSDVLDTLLTATEDNPQDIDRKHIATILLDLFNAGTDTSSNVVEWAMTELLRSPDIMKKVQAELVQVLGEGNLMEETDVARLPYLQCIIKETYRMHPPAPFLVPRKVEQDVELCGYTVPKGSKVLINVWAIGRDSTLWEDPLVFNPDRFKDSKLDVRGQDFELIPFGAGRRICPGLPLAIRMVPVLLGTMLNTFKWKIEGDIAPNDMDMQEKFGLTLAKLRPLRAVPPGPFPLPIIGNLHLLGNQPHKSLAKLADSHGAVMRLKLGQITTVVISSSDMAKQVLQKQDSAFSSRSIPDIVKEENFHMFSVGWLPASHPQWRIFRKFMTSHIFSINKLDASHHLRYKKLEELVGYCKKSSQIGEAVDIGGAVFRTMLNLLSNTLFSKDLADPYENSGKEFKELMEGMMKEMGKPKLVDYFPVLKIVHPQGLSRYNSHLGKLLKLFNGFINERLEIRKSPSYQNTDVLDALITSSEQNPQEINHMHIATLCLDLFDAGTDTSSNIVEWAMTELLRSPDIMKRVQAELVQVLGEGNLMEETDVARLPYLQCIIKETYRMHPPAPFLVPRGVEQDVELCGYTVPKDSKVLINVWAIGRDSTFWKDPLVFNPDRFMDSKLDVRGQNFELIPFGAGRRICPGLPLAIRMVPVMLGSLLNTFKWKIEGDIAPNDLDMQEKFGLTLTRLHPLRAVPLPL >Solyc05g008870.3.1 pep chromosome:SL3.0:5:3091918:3100230:1 gene:Solyc05g008870.3 transcript:Solyc05g008870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSCRRALVEAIHSSPTQAVIYLSGGASQALGWLMSVPGASNTVLESVVTYSRMSMIQLLGKVPAQAASSQTAEEMALLAYNRALKLSKPGSPVLGVGFTGALASAQPKRGDHRFHVSTRTSDRFWTSTVTLTKGLRTREQEDGVSSQYLIKAIANASKVPGTFVPDLTESEVPDEYEKNFDEEEELKQLLSGIICFKVYPFSSDSSNVERKIILSGSFNPLHDGHLKLVEIATSICGGGYPCFELSAVNADKPPLEIPQINDRVKQFEKVGKTVIVSNQPYFYKKAELFPGSAFVIGADTVARLVHPKYYGNDYGKMLEILLGCKNTGCTFLVGGRNVNGIFKVLEDFDIPAELKDMFVPIPVEKFRMDISSTEIRKTQGLL >Solyc10g086535.1.1 pep chromosome:SL3.0:10:65447533:65447825:1 gene:Solyc10g086535.1 transcript:Solyc10g086535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLKINLSFHIFGEFNNTDVSGKLILDNAHTSDTKVMLLLNTLANDDEVKWLADNHFQQA >Solyc06g008320.3.1 pep chromosome:SL3.0:6:2192179:2200128:-1 gene:Solyc06g008320.3 transcript:Solyc06g008320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVSGRFKLGRKIGSGSFGELYLGVNIQNGEEVAIKLESVKTKHPQLHYESKIYMLLQGGTGIPNLKWFGVEAEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQQCLCHRLRACQKV >Solyc03g065330.1.1 pep chromosome:SL3.0:3:43653668:43654937:-1 gene:Solyc03g065330.1 transcript:Solyc03g065330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNNKLHQEETSNASKAKGFLVYSRPESVQVRKTNMFRPTMPYYQKILVAPISHQPRTFNSTMNESPLFPPQIAENPLLYATPMSIHPNNLNLVWRWHCRAMQPSSHGHHWSQNLDFTVEPKFLGLTNNRGNETNNGKLYQPDVDSECIESPSSIKNPNISPDHSRSLKSPLSVLIIP >Solyc01g107240.3.1 pep chromosome:SL3.0:1:94752332:94755893:-1 gene:Solyc01g107240.3 transcript:Solyc01g107240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCAFFLRNGSKTHHSLQHLWKRSPSVESWNIKNHIVHHQLQHSKVYSRLPHSFYRKTKELELSNPSFLAFFSGVSSSASKFGLVGWYLEKLNSRPIITKSITCGLILTAADFSSQMIAGSLLEQYDLTRTLRMAGYGALIVGPSLHFWFNFLSRCFPKRDVITTFKKIALGQTVYGPAINSVFFSMNAVAQGESSSEIVARLKRDLVPTIVSGLMYWPICDFITFKFVPVHLQPLVSNTFSYVWNVYLTYVASQQKVSMA >Solyc07g045180.3.1 pep chromosome:SL3.0:7:58417073:58420143:1 gene:Solyc07g045180.3 transcript:Solyc07g045180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEYCGEQRSIVYCRSDSACLCLSCDRNIHSANALSQRHSRTLVCERCNSQPAIFRCVEERVSLCQNCDWLAHASSGTCSTHKRQALSCYTGCPSAVELSTIWSFILGDPSVCDSTCEQGMGSMSITDCQPGDSQHPQVKEKSQDISSDEAKDLHNLVKSAPFMGSSMPSLDNELPNVELLVASTNLTWSKVKNSGTKGYNDPFYDDFNMDEVDLSIENYKELFGVSIDNCDQLFKNEDIDDFFGMKDMSVAESSFQGVNAVEVVHTL >Solyc09g008200.3.1 pep chromosome:SL3.0:9:1653017:1655519:-1 gene:Solyc09g008200.3 transcript:Solyc09g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKEETKAEVAEKKNDAGEKKSGGGDAVAAGGKKDAGPTVIVLKLDLHCEGCAKKVKRSIRHLEGVEDVKTDCDGGKLTVKGNVDPAWLREKVAMKTKKTVVLVSPQPKKDAGAGAGGGDKKPDEKKAEEKKPEDKKPKEPQVSTVVLKIRLHCDGCAHKIKRIIKKIDGVEEVKVDSEKDLVNVKGTMDLKELIPYLKDKLKRNVEIIPPKKDDGGGEKKEGGGGGGEKKEKEGGGGGGGEKKEKEGGEQKAEGSKGVETKSEANKLEYYGYNAQTHYAMPVYNQSYMNQDYGLTMYDPGYNAHTGYAMEYGYHQPSYVPPPPPTTYLNNAQMFSDENPNGCSVM >Solyc05g018793.1.1 pep chromosome:SL3.0:5:23785097:23785595:-1 gene:Solyc05g018793.1 transcript:Solyc05g018793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLAKIEAKDLFEGKVKILRIMAVLDPMEEWLGREAQAFENLRTATGEHSLDKLHNILSDLGLMGVNSESFSQLKGKVPLRRGWDEHSTTYCMGGNVHVRLREKGGG >Solyc12g044780.1.1.1 pep chromosome:SL3.0:12:61021781:61022938:1 gene:Solyc12g044780.1 transcript:Solyc12g044780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRQRKKFFSEEIIIKILNGLPLKSLARCSSVSKNWRKYVAEIYRSRLQWPKPYLFGFFCVEKRLQSRFFFSSKESPLLIGNSLDESIDFISERVYIVASSNGFLLCNKLRSRQRVYYVYNPVTRQRFDLSRTEMPLKDPYVGFIVKETDESVSFTIVRYEVTSPVSRMKFRFQYSLTIESYSSETKEWTANSLIEDVPFPLYPSRDEISSSSAGVFDRVFFWLDNYGQWMTVYDSVNEDFRALELPERRTVIYPGYCCLGLSGGKICLASTGWTTITCWQLNNFPSRDAVWARKYTVNVASVVEKCEQDFGLGGGSSLARELRNMIFHPALSHMLYLQIRSMVISYDLETNTAEFVYDFGEAWRKTIHYKLFSYEWPQWPRLQ >Solyc02g087660.3.1 pep chromosome:SL3.0:2:50654183:50659084:-1 gene:Solyc02g087660.3 transcript:Solyc02g087660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLRDVYHVVAATIPLYVVMILAYISVRWGKLFSPEQCSGINKFVAKFSIPLLSFQVISGSNLYKVNLKLLLADFVQKFLAVFLLAILSKLKPKGNLTWIITGLSVSTLPNTLILGIPLIKAIFGDAAAELLAQLIALQSLVWYNLLLLLFELNATKESYVMSPSEVAVELEVPGEPELEEDGEEEATDRPPRKKTIMVILLTVGRKLIINPNTHATLAGIIWSSIHFRWGVNLPKIVEQSISILSDGGLGMAMFSIGVFMASQDSIIACGTKKAILAMALKFVLGPVLMAISSIVVGLKGKLFRLAIVQAALPQGIVPFVFAKEYNIHPTILSTGVIFGMLIAIPIALAYYFLLEI >Solyc03g093610.1.1.1 pep chromosome:SL3.0:3:56458291:56459019:1 gene:Solyc03g093610.1 transcript:Solyc03g093610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQLPTSTELTFFPAEFPVYCRSSSFSSLMPCLTESWGDLPLKVNDSEDMVIYGFLQDAFSIGWTPSNLTSEEVKLEPREEIEPAMSTSVSPPTVAPAALQPKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYESAEEAALAYDKAAFRMRGTKALLNFPHRIGLNEPEPVRVTVKRRLSESASSSVSSASESGSPKRRRKGVAAKQAELEVESRGPNVMKVGCQMEQFPVGEQLLVS >Solyc10g086100.2.1 pep chromosome:SL3.0:10:65172272:65173495:-1 gene:Solyc10g086100.2 transcript:Solyc10g086100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLTLVVVFLLLASLIQPLTAQSGCPGVRKETWPELLGVPARLAREIIQKENPRLTNIGNVQNGSPVTQDFRCDRVRLFINILDFVVQVPRIG >Solyc01g009480.3.1 pep chromosome:SL3.0:1:3636696:3640739:-1 gene:Solyc01g009480.3 transcript:Solyc01g009480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSAETKPNISSNFKLIDGRNLCYKERGVPKEKSNYRIIFIHGFDSSKERDFLAPQELMNSMGVYIVQFDRAGYGGSDPNPKRSLRSEASDIEELANHLELGSKFHIIGFSMGSYPTWSCIKHLSHRLAGVAFVVPIVNYQWPSLPESVLKDDERKKWYKRMTLVARYAPKLLHWWMIRKTSQSSSNGGAKPRYFTDKDLELLKNAPGFQFLTADKLKSRSVFNNLRSDFLVAFSKWDFDPLELSNPFPENDKRPVHLWHGCEDRFINLKLQRHVSERLPWIQYHEVHDGGHLLIYDTAVCEAILKSLLLGEDTPLYTPQLDS >Solyc04g017850.1.1 pep chromosome:SL3.0:4:8458377:8459044:1 gene:Solyc04g017850.1 transcript:Solyc04g017850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCKDNEHEAFLSLCLSRFVFPCIVGSPIFSIAFNMARGMRLALSPGVLPSIYRDLGSLRIVMIETGRRNKDKIEIHKLNLWSSLFFVQVWAWERMISLQLERENEEWTIVGGQNLYQEMESYVRCLRVSEFVCLDFQEPYRPNRVAMQFGYDQDFPKWIPRSP >Solyc12g055970.2.1 pep chromosome:SL3.0:12:62902468:62907004:-1 gene:Solyc12g055970.2 transcript:Solyc12g055970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKVFLMLCYMSSLFLLFPNLVFGSHNYGEALSKSFLFYEAQRSGYLPRDQRVQWRGNSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTVTMMSWSIIEYGKQMSESGELSNAIDAVKWGTDYLLKAHPEPHVLYGEVGDGTTDHYCWQRPEDMTTSRAAYRIDPSRPGSDLAGETAAAMAAASIVFRNYNPAYAEELLTHAYQLFEFADKYRGKYDSSITVAQKYYRSVSGYADELLWAAAWLYKASNKPYYLNYLGENGDALGGTGWSMTEFGWDVKYAGVQTLAAKFLMQGNAGNHAAVFEKYQEKAENFMCACLGKGNQNIHKSPGGLIFRQRWNNMQFVTSASFLATVYSDYLASAGKSLKCASGPVSSPELLDFAKSQVDYLLGDNPRATSYMVGYGNNYPRQVHHRGSSIVSVKIDPTFVSCRGGYATWFNRKANDPNLLTGAIVGGPDAYDNFADQRDNYEQTEPATYNNAPLIGVLARLHGGQSEYSQLLPGILTQAITCSTLIRPSHLLPLILTTDIAIEQKETTSWVHEGKTYYRYSAIVTNKSSKTLKNLKLSVSQLYGSLWGLSKYGDSYVFPAWINSLPTGKSLEFVYIHTANSPAVVSVSSYTLD >Solyc10g049770.1.1.1 pep chromosome:SL3.0:10:46602841:46603224:1 gene:Solyc10g049770.1 transcript:Solyc10g049770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHVASILEGDWSSLSGMCFTEEAYFMALLLGNCSFPNELPINSNYYGVSSGYESNINMFGSSGGREHSSFFFPPPSHESHYSSNSRPILMRNDSSITTERGVMDTNNPIESNEYLVNNAPFDENMA >Solyc01g020100.2.1 pep chromosome:SL3.0:1:27648714:27649634:1 gene:Solyc01g020100.2 transcript:Solyc01g020100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCYKHVTMHIIFVVAVRSILVSFSNPSVHDLDHRYSINPNCLVKVTSGEKHIYGASNYIYPKCLTHSIWPRKLNHQENKIGFVASTRLGHCHITIALCKKTKDGEEIAYIMDLHGPARDYNMSSCDPTINIEELSITIVGHSLGGCLAIISAYDIAEIGLDLCKDGHFSRTRVGNIRLEQRLERLGVNVLRVVSKHDFESYCHVDEDIILYHNMSPSSIKKTRNLTYITDLEVHLELLN >Solyc07g032130.1.1 pep chromosome:SL3.0:7:35665044:35665834:-1 gene:Solyc07g032130.1 transcript:Solyc07g032130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITNNNVSCSRNSTSIKEMGVDISPMKTLLELFFKLSFSYEQPRSTPVDKARKLKKSESYLKAKEHLDLVLHEKNEKSEELLVACQSLKEAKKKVKELKVLRDTAKKEVEDVEFKVLEVEQEFNK >Solyc03g118525.1.1 pep chromosome:SL3.0:3:68875961:68876441:1 gene:Solyc03g118525.1 transcript:Solyc03g118525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAHAGVVLSFILAVYTLQSSRDKLEKYALLPLSSCCLSVSTRFVRETVAETSIPGVVVAKVE >Solyc04g082110.3.1 pep chromosome:SL3.0:4:65980675:65984845:-1 gene:Solyc04g082110.3 transcript:Solyc04g082110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAPSTDDEFDLQSERFDDSYSLSADISESETCSSTSTFSCRQQDAFTSLSSSSTLHFNSNSGFAELPTVMLPVVGGRHVIMPGEKPDKPEIELSALITPLSDVFVITEVELMKERFAKLLLGEDMSGGGKGVCTAFAISNAITNLAATVFGELWKLESLAPQKKSMWFREMDWLLSVSDSIVELVPSEQEFPGGGTFEVMVTQPRSDLYVNLPALKKLDAMLIGMLDAFSGCEFHYVDRGILVADGEDVEAYPCSPSSHRPSSRLEEKWWLPFPKVPPKGLSEETRKRLRQCRECTNQIFKAAQAINASTLSEMEVPKDYLEGLPKSGKASLGEILHRYITADHFSPECLFDYLDLSSEYTTLEIANRIEAAMHVWKQKCEKKNLNRSKSGKSSWGGTVKGLVGLVERYQLLSQRAENLLRNLKLNFPGLPQTALDMTKIQYNKDVGHSILESYSRVLESLAFNLMARIEDLLYVDDATRRRAAEESAAMLDQRGFFNAQSLQNQVPCASNFARNNSLPSSKAFSSLDIVAESPERTAHSIGHTVLSSPRAEKHKALSF >Solyc09g014830.2.1 pep chromosome:SL3.0:9:7030393:7030692:-1 gene:Solyc09g014830.2 transcript:Solyc09g014830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTEHLRWNAYKGESTDEKLFIYYKDNIYISIENEDRISYFLICAIYAGDSSIIVAQ >Solyc07g063970.3.1 pep chromosome:SL3.0:7:66427058:66429871:-1 gene:Solyc07g063970.3 transcript:Solyc07g063970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKKNMPAVWFTLKRSLHCKSGPSDVHDPKTRKELSTILTKKGGGRSGCSRSIANLKDVIHGSKRHLDKPPSCSPRSIGSSEFINPITHEVILSNSRCELKITSFNGFQESGNGGGGGVSTNDGSTFVGTLRPGTPGPGGHPTMHYFNSGCRNTPTRRSTSFLVDKEGHTSSIASKTRYSLDNDVNGTSSGVTCHKCGEQFGKWEALEEHHLSKHAVSELLEGDSSRKIVEIICRSSWLKSESQVGRIERILKVHNTQKTLARFEEYREMVKNKASKLPKKHPRCIADGNELLRFYGTTLACGLGMNGSCCLCISDKCCVCRIIRNGFSTKKELKGGIGVFTTSTSGSAYECIEMSEDDPSLRKALIVCRVVAGRVHRPLENIQEMAGQTGFDSLAGKVGVYSNIEELYLLNPKALLPCFVVICKA >Solyc02g076930.2.1 pep chromosome:SL3.0:2:42605699:42609191:1 gene:Solyc02g076930.2 transcript:Solyc02g076930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNTSNFYSTYIKLRFFTKLKRFLRIKAKSKEPSDHQPTKESEIFIVIEEKGIMGKESDKDNGWMSLQKSVKKLHFGNCDEKEVAVKEIIELAKEDLKRRKFMAELGLIPPLVAMVVGGSDQAVLRRQRLAVQALTELANGSFTNKALMVEAGILSKLPKKTDNLDGNTRQEFAELILSISLLANTQFNMDSSRIIPFVVSILDSSNSSVETKCTCLGTLYNISSVLENSASMATNGTVTTLLRLSSLKEVSEKALATLGNLVVTLMGKKAMEESPMMPESLMEIMTWEEQPKCQELSVYILMILAHQSSIQREKMSKAGIVPVLLEVALLGSSLAQKRALKLLQWFKDERQIKMGPHSGPQVGRMPIDSSPMSPRAVDESKKLMKKIVKQSLYKNMETITSRANGGGDSSRFKSLVVSSSSKSLPY >Solyc03g114930.3.1 pep chromosome:SL3.0:3:66268916:66275650:1 gene:Solyc03g114930.3 transcript:Solyc03g114930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVQNYITPCQAYLPNASSSQVDVMHQLGWFRSGHNRRAPGCVIRSENVTETSTSSCQDRLGRRQFLAVGPTIVPLLLMTSQIPTSFAAGNKKGFLPVTDKKDGYSFLYPFGWQEVVVEGEDKVFKDVIEPLESASVNLVPSSKEDIRDFGSPQQVAETLIKKFLASPSQKTKLIESSERVVDGKTYYTFEFVAQAPNYTRHALTVVCIGNGKFYTLTTGANERRWGKMKDRLQTVVDSFQIFNV >Solyc03g083700.1.1.1 pep chromosome:SL3.0:3:55079337:55079909:-1 gene:Solyc03g083700.1 transcript:Solyc03g083700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSKTLIFPILAIVLLITQTATGTKESYTNFIKTKCNVTTEPTLCVKTLMPYACTVKNNPRILCIEALKVAIKGTRSAGVIVSNLEKKEGISKYEAVAFRDCVEAVKSAEDELRKTVKIIGHLNGAEDKESQLHNAISYTSSVLTNVQTCIYGFDELEEKANPNVETGISRSMGVIIDLSSNALSLITHL >Solyc09g005790.1.1.1 pep chromosome:SL3.0:9:547897:548508:-1 gene:Solyc09g005790.1 transcript:Solyc09g005790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNNEDDFLTLSLSINSFPNLGLSPLSTTSTQSTAEEKNETITPPYPWATSRHAIVHTVDYLVSKEITIISGDVQCKKCQQQYKIQYDLQDKFNEIAKFIFKNKKNMHDRAPNIWMTPILPNCKYCNQILPNCKYCNQRNCVKPIISKDVSINWLFLLLGQTIGCCKIDQLKYFCKHTNIHRTAAKNRLVYLTYMELCKQLDP >Solyc02g068903.1.1 pep chromosome:SL3.0:2:39354019:39354380:1 gene:Solyc02g068903.1 transcript:Solyc02g068903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEKINSTTSCVDNSKDEELLLEKLTQTNNWEWVFALHKYKGLWCPTRLVLPLVSIEKINLSFQSNYENINDFIILATLPKSASLTIMIIINFQSTKTYYLLLILMN >Solyc12g049440.2.1 pep chromosome:SL3.0:12:61987445:61992005:1 gene:Solyc12g049440.2 transcript:Solyc12g049440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRPLLPVAGRWSIADLWATTVAVLRVLLFDADLDFDSAISCAIVKMMIRWLFVLAMAFKFFGRIWGKCFRHKRYRKEFEDLPFDVTIQILKYLGAKQSAKMCVINKRFKSIVSDDHLWMYFLQEEDPCGLFAESHLRSLRTLPSAPSLSWMTIYGQRAQVPRAVIIDGGLGYARFGWSKDSAPSRTSEISLALHNSYPVFSGNLSLL >Solyc10g053970.2.1 pep chromosome:SL3.0:10:54241521:54248182:-1 gene:Solyc10g053970.2 transcript:Solyc10g053970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFAMPCFEGSSTHDERRERKSDFENSEDERRTRIGTLKKKAINASTKFKHSLKKKGRRKSDGRVSSVSIEDIRDAEELQAVDQFRQALILDDLLPGRHDDYYMMLRFLKARKFDIDKAKHMWADMLQWRKEFGADTIIHDFQFQEQDEVSKYYPQGYHGIDREGRPVYIERLGKVDPTKLMQVTTMDRYIRNHVREFEKTFAIKFPACTVAAKRQIDSSTTILDVQGVGLKNFTKSARELIMRLQKIDGDNYPETLHQMLIINAGPGFRLLWSTVKSFLDPKTTSKIHVLGYKYQTKLLELVDVSELPDFLGGNCTCADQGGCLRSDKGPWQNPEILKMIGEARRARQVVKVVNSEGKVVYAKPRFPMQSKGSDTSTAESGSEAEDIGSPKAVRNYSHLRLTPVREEAKGIGMSGYTANLSGYDEYVPMVDKAVDSVLKKQTSFHKAPIAKGILPPADSQKPAGGLNAQILGFLMAFFTTVVMLFRSLLCCITKKFPDPSIGQDQAVQEFTFDANSNEELRPPSPTPAFSEAQLLSVVLKKLGELEDKVSTLQEKPSEMPYEKEELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDGQEAAKFQKKKFCF >Solyc05g013440.3.1 pep chromosome:SL3.0:5:6493848:6511743:-1 gene:Solyc05g013440.3 transcript:Solyc05g013440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Amine oxidase [Source:UniProtKB/TrEMBL;Acc:K4BY65] MASAQEKTTVSAPSRNASAASETEWNAGGTVTDVSDLSSTRSASSAAPSTLTAVIDSIDRPSAQNQQPAVKGLPTLLRAQTHHPLDPLTAAEISVAVATVRAAGSTPEVRDSMRFVEVVLVEPDKSVVALADAYFFPPFQPSLLPRTKGGPVIPSKLPPRKAKLIVYNKKSNETSIWIVELSQVHAVTRGGHHRGKVISSKVVPDIQPPMDAVEYAECEAVVKDFPPFRDAMKKRGIDDMDLVMVDAWCAGYFSDADAPNRRLGKPLIFCRTESDCPMENGYARPVEGIHIVVDMQNMVVIEFEDRKVVPLPPADPLRNYTAGETRGGVDRSDVKPLLIVQPEGPSFRVNGHFVEWQKWNFRIGFTPREGLVIYSVAYIDGSRGRRPVAHRLSFIEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFYWHFYQDGKIEAEVKLTGILSLGALLPGEVRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKSGESHNQVVEVNARVEPPGENNVHNNAFYAEERLLRTELEAMRDCNPLTARHWIIRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYSREEMFPGGEFPNQNPRVGEGLATWVQQNRSLEETQIVLWYIFGLIHVPRLEDWPVMPVEHIGFMLQPHGFFNCSPAVDVPPSMSDSDIKENGTVAKSCHNDGVMSKL >Solyc01g090080.2.1 pep chromosome:SL3.0:1:83616827:83619330:-1 gene:Solyc01g090080.2 transcript:Solyc01g090080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFTNMKLQARKAVRAYFVRKWTIEDLMNNDEIPEHACASLRTVQSFLRLMVPSAFKLPKMYSFHIILDGGIYLFHAISGVSYSLMCLVELFFWIFLAIDLGSGRAIHSPFFCSKFTLSVLYITIESVASYVVNPRSIMQRTKVSLLMFAACNMGASFGLYIEYLFGMNQILQLCAEKGFLLIESIPCFFSSLVVSLYAGSTLAIGTFWIESLLSRERREIYINCLTYPFSLMLATYVVRTFEVINIHVAHWMLKVCVVLALFLGYVVVYSQEILYDAHFREINFVNRTLTIFFHLPGIVVHAARLCIGANIEQHRQN >Solyc12g007010.2.1 pep chromosome:SL3.0:12:1446992:1453412:1 gene:Solyc12g007010.2 transcript:Solyc12g007010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLQAPSSPTLTFHQYCTSQSKAGLYVAEKSFIQSTLSGSCFLSSQRQVKGLNFSYTCSRLSSVTAKAASNGACAPSVSGQTADSEVLKALSQIIDPDFGTDIVSCGFVKDLLVDENLGEVSFQLELTTPACPIKDMFEQKANEVVAELPWVKKVNVTMSAQPAKPIYAGQLPAGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLADMGARVGIFDADVYGPSLPTMVSPENRILEMNAEKRTIIPTEYMGVKLVSFGFAGQGRAIMRGPMVSGVINQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIQPALSASGDSGMPEVVADPQGEVSRTFQELGVCVVQQCAKIRQQVSTAVSYDRSFKAIKVKVPDSDEEFYLHPATVRRNDRSAQSVDEWSGEQKLQYSDIPDDIEPEEIRPLGNYAVEITWPDGFNQIAPYDQLQMMERLVEVPQLTPA >Solyc08g067520.2.1 pep chromosome:SL3.0:8:56609333:56626492:1 gene:Solyc08g067520.2 transcript:Solyc08g067520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKQLFSLLLICIAVATTPSIAAEDAITVVTCSTVYSRLEPCLGYVLGGGLSVPSECCNGIKSLHRAARTTADRQRFCRCLKNVRSNATNTRISRASQLTGICKVNALFKIRPDFDCSKQLFPLLLLICVAVAATATDAAVTCNTVYTDLEPCLGFVLNGGLTVPSACCGGLKSLLVAAGTTADRQSACKCIKSLASSANGVQIGRATQLPRICKQLFPLLLVYAAVAAAAATAAKDALVTCNMVYSSLEPCLGYVLGGGLSVPSECCGGSKSLLSMGCTIDDRQSACKCIKSAGSNANEAQLSRAATLPRICKTNVPFKISPHVDCSKQPFPMLLICIAVVMATITATTSQDATLTCNTVFTTLEPCLSYVLGGGLSVPSECCNGIKSMLNGAYTIADRQSACKCIKSVVSSAAPGPVSRAAKVPGICKANVPFKISPH >Solyc10g055190.1.1.1 pep chromosome:SL3.0:10:56391076:56391645:1 gene:Solyc10g055190.1 transcript:Solyc10g055190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4D1B2] MEKIKLVLLLFFMGFMAQGVELGPNAVEKWFDKLPHAKQKVTKFHFYFHDIVSGKNPSAITIAQSNMTSKSPTFFGSVAMIDDPLTVGPEPNSTIVGRAQGIYGSADQNEAGLLMTYNIVFTTGKYNGSTLSVLGRNPIFNQYREMSIIGGSGVFRLAQGIATAKTYWFNTTSGDAVVEYNVIVLHYSH >Solyc03g115075.1.1.1 pep chromosome:SL3.0:3:66386670:66387983:-1 gene:Solyc03g115075.1 transcript:Solyc03g115075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENSKPRSVLDSLGEEIVRIVTPVSICMLLVVILVSVLNNDSDSSGPSFTSIATVAYSESSSDSNWDKLKGALLNALVFVVVVTAVTFLLVLLFYFRCTKFLKYYMGFSSFLVLGFMGGEICLFLIGKFRIPIDCVTFALSLFNFTVVGVLAVFMSKTAIIITQGYLVVIGVLVAYWFTLLPEWTTWVLLVAMSLYDLAAVLLPGGPLRLLVELAISRDEDIPALVYEARPIINPDSVQRGAVVQRRVWRERRDNDIGSDENLDSRSNLNSTVYSSLESSTGLESLPSIGERNAVDVEDGVDMEDGQVSSSDSELAAPLIQHRINVRMNLQEGSSDDFALEGIGLGSSGAIKLGLGDFIFYSVLVARAAMYDFMTVYACYLAIIAGLGITLMLLAFYQKALPALPVSVLLGVLFYLLTRLLLETFVVQCSINLLMF >Solyc12g088890.2.1 pep chromosome:SL3.0:12:65069463:65072046:1 gene:Solyc12g088890.2 transcript:Solyc12g088890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIKSRLGAMISSSNLFHKTHYEILGVKEDANLEEVRKAYRSAILCFHPDKQQNASETSSSESLTENKFLDIQRAWETIGNPRSRALYDAELLTLRQDAAISEDVSLDDLTVQDSGDIIELSYPCRCGDYYFIDSFELAQTGCSISRDGEMVSLLTSKSLPTSVVLPCGSCSLKVRLLINGNSRLPIDVHL >Solyc09g015530.3.1 pep chromosome:SL3.0:9:9627518:9637087:-1 gene:Solyc09g015530.3 transcript:Solyc09g015530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRILAIIFILLIVSETSNAKLFDSRKLVDLAPIQSTNSSSEQISASNTPVEGEKEVDGSTVNDNKSVIAKEKPENPKGNNDPPKTRPEELNSTLVNDGRSQTENQNPKDPQVIDDAPKDLKDQNSTVVNDGSSEAKTEQPKDPQGRNGPTKIDPKEPNTTVVNDGSSKADKEKPKDPQPQGGNHLPKLDPDGSKNDKNVTVETPPPVLKKENEEKKKIDETINSEVNTNQSCQKATKMCRIGQTLLACIQTPQNGSTELLLVVQNEGGKGVKVNINIQPPLAGSPQLPIKIPKHQVEKIDISSILGKGAEIMLNAGDDSCRLQLDQRVSVENILQQVSLYSKRVTPIYGAFFLFLVALLFGGIWACCKLRKKRHQDGVAYQELEMGMPESASAANVVAADGWDQGWDDDWDEENAVKSPGGHTYGNISANGLTSRSSKKDGWENDWDD >Solyc06g074090.3.1 pep chromosome:SL3.0:6:45936914:45942921:1 gene:Solyc06g074090.3 transcript:Solyc06g074090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESQLVHPPLFTYISMITLLTLVPPFVILMWYTNVHADGSVLQTYNYLKENGLQGLIDIWPRPTAIAGKIIICYALFEATLQLLLPGKRVEGPISPTGHRPVYKANGMAAYTVTLITYLSLWWFGIFNPTIVYDHLGEILSTLNFGSLIFCLFLYIKGHVAPSSTDHGSSGNIIVDYYWGMELYPRIGKHFDIKVFTNCRFGMISWGLLPITYCIKQYEEYGSLSDSMLIHTIITLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVFLPCMYTSPGMYLVKHPVNLGPQLAISILVAGILCVYINYDCDRQRQEFRRTNGKALVWGKAPSKIVASYTTTTGETKTSILLTSGWWGLSRHFHYVPEILASFFWSVPALLNHFMPYIYVIYLTGLLLDRAKRDDERCKSKYGKYWKKYCEKVPYRVVPGIY >Solyc01g104610.1.1 pep chromosome:SL3.0:1:92947258:92950574:-1 gene:Solyc01g104610.1 transcript:Solyc01g104610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKRMQPEMKVALRPFQFSGGDDGDDNSNNQFIKLLFLFLKCIDRLSPVEDVFNWSFLTKKDFNTNITIKEVSSNRKNKKNVEIFFWHMTTPNDVPGDLSGELLDWVPWDTVNEILASFPDVTYNYLRAVNVTGVMGQFYWPVLLRMVIDPNVGGDDSLDDNKISAGITVSRTYI >Solyc06g068560.3.1 pep chromosome:SL3.0:6:42606756:42613881:-1 gene:Solyc06g068560.3 transcript:Solyc06g068560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQEDAVSFEGQLRRDEKPKDRISGLISRQFYWLRKLIEELHWSFVSSVVILYGINQGMSLGLSRISTQYYMKDEQKLQPSEAQVYVGIIQLPWVVKPLWGLLTDTLPILGYRRRPYFILGGFIGVVAMLSLSINQNLHLALALLCLMAGSAGVAIADVTIDACVTENSISHPSLASDMQSLCGLSSSVGQLFGYAISGFLVHLIGSKGVFGVLSIPAGLVILVGMMTREAHVHNVAYKRASQKFMDAGKAMWMALKCENVWRPCIYMYISLALSLHIHEGMFYWYTDAKDGPSFSKEMVGSISSVGAVGSLLGVLLYQNAFKNHPFRGVLFWTQLLYGASGLVDLILVSRVNLRFGIPDYVVVVSDAAFSHMIGRLKWMPLLVLSSKLCPSGIEGTFFALLMSIDHIGMLTASWGGGLLLHAFDVTRTQFDNLWIVIVIRSILRVLPVFILFLIPSSDPNASILPSEMLKSKKGDDMLENQNMEMAPLVSSVDQHLVDT >Solyc11g045335.1.1 pep chromosome:SL3.0:11:31123272:31124892:1 gene:Solyc11g045335.1 transcript:Solyc11g045335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRTHIEDYEEQVEDALKHTHVKEFTFWEKVANTLAKYPELMEGFNEFIERYERVVGFLAKWDEEQDKDQDEASPQRINLEQVVTFVKKVKVAICLNDHPDLLEDFTKYLPESSFPMLKLYLHELTIFFSSL >Solyc12g042070.2.1 pep chromosome:SL3.0:12:58025978:58026628:-1 gene:Solyc12g042070.2 transcript:Solyc12g042070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVWQFGAVLLPEYWRPRAWPDNIELVQLLIDKVAEQCGPYHFKLYFVYLDPPPLSPSLYEHINGARLKLISYDESVDICEMCFVVSKESIQIF >Solyc01g089910.3.1 pep chromosome:SL3.0:1:83495166:83497816:-1 gene:Solyc01g089910.3 transcript:Solyc01g089910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKVRRDYPKEDFLRYSNFEKKGQELFGEDQGTANMMYRVARASEFLVITGIGINELKITKKALVWPLQKCRIIDVTPVNYTFEVNAMSAEKLPFLLPAVFTIGPCVDDRERLNKYAKLLSHHERDSHDVKDLVQGVIEGETRVLAASMTMEEIFKGTKDFKKEVFDKVQLELNQFGLLIYNANIKQLVDVQGHEYFSYLGQKTQMEAANQAKIDVSEARMKGEIGAKEREGLTRQNAAKIDAETKIISTQRDGDGKKEEVKVKTDVKIYENQREAEVAEANSVLATKKAGWSQQAKMAEIEAQKAVAIREAVLQQEVERKNALTKTESLKAQHLSKATVDYEIKASSSCHNTSLLVQEANSVLYKKQKEAEAVLYENRKTAEAKKLAAEAQTYAVQLAADAALYAEKKEAEGLKGIAEAEGVYVRSLMSALGGNYNALRDYMMIEKGMFKDIAKFNAEAIKGLQPKISIWSNGGTNGQMVDGTSSGHAGIKELASIYQALPPLLETVHEQTGMSPPAWMGSLSVSANPASSSQSA >Solyc03g116800.3.1 pep chromosome:SL3.0:3:67557301:67557791:-1 gene:Solyc03g116800.3 transcript:Solyc03g116800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATAMRPNAAKLLVNCSTPVSFLQPPSAAGVVFLVPKYVRLTSSFKTFMIARASDPKTDQASPSTDEPGLPFLPQEDSNFLVKLGVGSVAGGAAIKYGSVIFSEITTPNISLALFMITAPMIVAVVLLFLQSRTDSTSA >Solyc06g083850.3.1 pep chromosome:SL3.0:6:49193220:49195499:1 gene:Solyc06g083850.3 transcript:Solyc06g083850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRDVGATLPPGFKFCPTDEELICHYLYNKIANEKGLVLNFSLVEIDLHTCEPWQLPEVAKLNSSEWYFFSFRDRKYSTGFRANRATTSGYWKATGKDRIVLDPQTSNVIGMRKTLVFYKNRAPNGIKTDWIMHEFRLEIPHIPLKEDWVLCRVFYKNKGENNNNCTNKLSSQNKCEAIVTSSNVSQSPIYMNQHSLNCDIKYQNSPHQIIQNSNIIHHHLFDLLVKDHLETTRLTECSQEDDQYGLLFDMNFEESYVQDEQIHSSLEGMRFDDENSAVLI >Solyc03g034105.1.1 pep chromosome:SL3.0:3:5829184:5839604:-1 gene:Solyc03g034105.1 transcript:Solyc03g034105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAATRVVRYLKKSPGLGIFLSSDCDSTLTAFCDADWASCPNTRRSVTGEAEYRSLASTVAEIVWLVGLFTALNVKIPLPTTSFHSKVTQLNSSNTNMRSWGPSRPPLNVVVGRLAMTMY >Solyc08g014010.3.1 pep chromosome:SL3.0:8:3601942:3609448:1 gene:Solyc08g014010.3 transcript:Solyc08g014010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIQRRQVTISLLLVVILMALNINSLHGGLIGPERKLVEIEKQTGSDDTVRIDPLKNFKKYRGGFDITEKHYWSSTIFTGIYGYAIAVIWLLCGLGYGLFLVASTYCCKRNKRKLKKRSTCCYHKHDCYLWIIVSAIFLTVLAIIATGLVLGGNGNFHSRTDKVVDIIIDTADGASDTIYTTTKAMREMNSGLEGTEIGQEASNFLIPTSRRLDTQADDISREARKNRRLIEKLLNIVYIVTIVIISLNLVALIALSVFGILKFRTTLKFFAGDTCTALENFQSDPINSSLSSILPCDELVSAESVLLDVSQGVHQMVNEVNQKLSIEYGNVAQICNPFSGPPQYNYEPGNCSSTTIRVGDLPRIFKMLTCTDPTCTGGVMISPRDFNTVEAYTTAVQKILDVYPGMENLTQCDTVFNAFDDIIDNHCKPLKKSAHLVWGGLVFLSVVMVTLVLVWSFQAHHEENRNNLDSSIKPHSVEADMMEFNTGSNPVSDM >Solyc02g083390.3.1 pep chromosome:SL3.0:2:47367405:47371264:1 gene:Solyc02g083390.3 transcript:Solyc02g083390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVKGRHSRLGPRKSSNSTLIFGGIIVLSFFALILLAFRIISFHRGSQKAHDLTSIAHNTVQRQDDDDDGKTDQWVEVISSEPRAYVYHNFLSKEECEYLISLAKPHMQKSSVVDSSTGKSMDSRVRTSSGTFLARGRDKVIRDIEKRIADFTFIPVEHGEGLQILHYEVGQKYEPHYDYFLDEFNTKNGGQRIATVLMYLSDVDEGGETVFPAAKGNYSAVPWWNELSVCGKGGLSVKPKMGDALLFWSMKPDAVLDPSSLHGGCPVIKGNKWSSTKWMRVHEYKA >Solyc08g068820.3.1 pep chromosome:SL3.0:8:57985856:57990853:1 gene:Solyc08g068820.3 transcript:Solyc08g068820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEEDRALEEQLEIQLDEQKDALHSLTEALSSDPSDPELLSVHEELVQSIKDSEEGLLHLKRARLLLEVDASLHGFKEQPADVEVEPLDPTENEEEPLVDEEYAVGSKCRFRNNDGRWYNGLIVGLEGSHFAKVCFRTPTSENMVMCQFFLQQRCRFGSSCRLSHGIDIPISSLKKYIPIKWDSSLAGSCIWARSDSKAGLWKKAELGSWDEKLNLGHIVFCDDGSSTMLGAENIELSEHAEVSDEEESYSSSEENDSSDYEDDSPEGLGFVGSTALQCGVQNETTLFAKWENHTRGIASKMMANMGYREGMGLGSSGQGMVNPIPVKVLPPKQSLDHAIKGEHEDKEHKKRSRGGKRKRERKFAAAARAAKEAEESRPDVFSLINTQLAVHEETMNNSSGNRRPSKAKGGEKKEDRRALVAYDDEIKGLKIQAQKLEEMVQRNRNEKPVYDAAMRKLNETRKAIATAEAAHASASNAVHSKEKEKRWLKF >Solyc01g094380.2.1 pep chromosome:SL3.0:1:85768260:85772924:1 gene:Solyc01g094380.2 transcript:Solyc01g094380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNEFWLRPAFQNNSPKWKYFKKKTTTKLTKSLTIFFFLLVVSLLFFAGWFDRAKYTNHFYKKLATPTIQPQILEFPLDCNAWNQTNKCPINYPTSYKPLNPNNSTCPEYFRWIHGDLKPWKETGITREMLEKGKKNAHFRLIILDGKIYVEKYKKKFIQTRHLYTMYGIVQLLRWYPGKLPNLEIMFDTDDRPVVRSKDYRKPNSGPPPLFRYCSDWHSLDIVFPDWSFWGWAETNIRPWRSVIKEIKEGNKRTKWKDRVPFAYWKGNPHVTPIRKDLIKCNITDKQNFNTLLYVQDWNDQSKKGFKESNLANQCTHRYKIYVEGWAWSVSEKYILACDSPTLYIKPRYHDFFMRGMIPQKHYWPIRENNKCGSLNFAVQWGNNHTHKAEAIGKAGSDFIHEDMKMEYVFDYIFHLLNEYAKLQKFEPKIPLEAVEICSESLACTSQGIWRKFMEEGLEKSPSYTNPCTLPPPYEPQEIKSFVEQKIKATQQVEAWENDFWSNQNNKQLL >Solyc12g096400.2.1 pep chromosome:SL3.0:12:66310865:66313067:-1 gene:Solyc12g096400.2 transcript:Solyc12g096400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRAKETAPAGKEKRGVSPSHPIPNYMRSPNSSTTNSPVRKRADSTTSNKNVPNYLKPTMSSSGDLNIHNKPTLDRRRSFDKPPLATLQKSANPKERILRSSSSFSGKSSTSQKPTTDRLSRASHMSKDATSKQRGTSTNARPGTIKKSTTTGIISKKQEAGRTIHNGTHKTRNNQDGHNSSTPKASITNSSHAVEDAILQAETDEDDIYKSSNDQDSHNDLTPKASVITDSLHATEDVIPQAELSEQEDNEELPVTDTESDVIIDHSEAAASDAGENNSAIEDQEEHNGNVINAETDLENQETNKMEESEEKQLVEETSTSNTMEPEEITNDPNKHHLEDNTVKVEDETQEVKEEDGGRNQGKETVMEEEREAVQQTNTIVASSRPQRQVVQGKKESVVSNNVIEETASKLREQRKNRVKALAGAFETVISLQEPKGVN >Solyc06g010035.1.1 pep chromosome:SL3.0:6:4792278:4794892:1 gene:Solyc06g010035.1 transcript:Solyc06g010035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTDEATTTAENEVTSLNHNHPLYLQASDAPGVILVPIKLTGQENYALWSRSMKLALRGKGKLGFIDGSCRKTAFKGALEEQWEKCNAIDPYMPQAKKVWEDFEERFDRSDLTRIYHLWSEIATLKQGTDSVTSYYSKLKDLWAELDVMIPSPGCNCEDSTIYVRHLRSHRLLQFLMGLNKSFCSIRSNILSRKPTVTVNEAYAAAAQEENQRALGVSEKTRDPLTLLAGKPQTYNPRPKKFVPPGTICDHCGFKGHYKNDCYRLVVYPTGFQSKRKGTDGYKNDYKTAEGFRPDFKPNAHFTRNSDDFHDKGKQAEGHMTPPQYQDSVDRMQRAGTSDCVANMSGMASLNSKTSRFYEWIIDSGATHHITPNEETLNTISRVQGNSSDGVQVPTGSRCDIKGIGNAQVLEDHSFSPPLIISFAPPTECSTVPIPDPIIENTPNILTNESPSIQPSEEPLQIIDQTQSRPSRTIKPPGWLNDYVTSSKAKPPSVSCSYPISDSLQYSHCPLLIRHI >Solyc07g042020.3.1 pep chromosome:SL3.0:7:55002030:55008035:1 gene:Solyc07g042020.3 transcript:Solyc07g042020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGLKVPLISLILIGFVCASIIILAYVKSPFLSYWTSSQDRVFQISPDYKVKDEEVLKERNRSGQSEENVNFESAISHSSMKDKVTEEPITNTEIEGSVGIPLNFTQKGGDLKALGEKNSSREQENEVAAPLDSAGVAIENMTSDSKDHGCNYAKGRWVTDDSRPLYSGFRCKRWLSAMWACRLTQRTDFEYEKLRWRPRDCEIEEFTGVKFLKRMENKTLAFIGDSLGRQQFQSLMCMITGGEDRPDVLDVGREYGLVKARHAVRPDGWAYRFPRTQTTILYYWSASLCNLTPINASNPLTDYAMHLDRPPAFLSRFLPRFDVLVLNTGHHWNRGKLNANRWVMYVDGVPNTNRKIADINGAKNLTIHSIIKWVDSQLPKYPGLKAFYRSISPRHFFNGDWNTGGTCDNTTPLSGGKEVVQDESSDAGAAAAVKGTAVKLLDITALSQLRDEGHISRYSIRSTPGMQDCLHWCLPGVPDTWNEVLFAQL >Solyc09g063095.1.1 pep chromosome:SL3.0:9:61382148:61384161:-1 gene:Solyc09g063095.1 transcript:Solyc09g063095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRSGARLGENFMSYGGWKRVVVIGLSVKNKIEFFDGSIVVPTDITLQRAWSRCNDMVLSLLLNSLSKEIVEFVLCSQRAKILWSDLKDRFGQANGAKLFQLQKF >Solyc06g083890.3.1 pep chromosome:SL3.0:6:49226011:49232551:-1 gene:Solyc06g083890.3 transcript:Solyc06g083890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAPWYLNAERPSLKHQRKWKSDPNYTKSWYDRGAKIYQADEYRKGACENCGAMTHDAKSCMDRPRKLGAKWTGKHIAPDEKIEQFELDYDGKRDRWNGYDAASYAHIIERYEARDEARKKYLKDQQLKKLEEKNNKEDEERGDSEDEDFEDVLKVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDMDPNEKFYAGDNQNRVSGQALEFKQLNIHAWEAFDKGHDVHMQAAPSQAELLHKNYKVNKEKLKSQTKEDIMEKYGNAASEEILPRELLLGQSEREVEYDCAGRIVKGQEMSIPRSKYEEDVFINNHTTVWGSWWKDHHWGYKCCKQTIRNSYCTGAAGIEAAEASTDLMKANIARKESAEDTHAPVEEKRLTTWGTEVPDDLVLDQQKLAEALKKEDEKRREERDERKRKYNVKYNDEVTPEEMEAYRMKKVLHDDPMRDFLH >Solyc10g051170.2.1 pep chromosome:SL3.0:10:51606940:51611558:1 gene:Solyc10g051170.2 transcript:Solyc10g051170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLVHHMELLCPITDNHKDKVAFKDRIIMLNFLRENLINLPREALEDFDTAIINVGLLVYSICESKPSAVVDFLGDIQSIQALIYFLTWKSFHYNLPKIDGLGSIDTIIDHLKEFLSRYAESLSSIRSQLQKIKQQLEQHDGFGSLAMQVIAKAYVVDHLVVSSINKDILKWCLFLWFGDIIEEITLLMGSMSEEMVGFDEVVQKLRQQLSSGSSKLDVISIVGMPGLGKTTLANKLFFDQFVVSHFDVRAQCCVSQLYIRKDLFFVDTIISDKLPENELADKLRKLLMVQRIILTTRLGDVAYNVKTVSDNHFLRMFTPEESWMLLKNKIAQKCGGLPLSVVLVAGILETMEKEKHCWEQDEEIQVSKLTWLWTAESLVKSHKEKLSEDIAEYYLRRNLVMVFKKSCDGKTMTCRIHYLLLEFFKKKAKVAEDSSLSFSYNRWNLETLIVKGLGKRVTLPDTLWKMVKLRHLHIYNHDVFNKKNALREMDGLRTLSSAWYSCVEETNMVIAKIPKFQKLRCGVFSCNSCSPAFNILTEPEMIKFSWGRAGATELNLPSSLKKLRLSIGCISMTHEHFPHLKFFKLQDLSFFEWNVSSDACPCLEHLVLTRLRHLEQIPSCFEEMMTLK >Solyc02g014370.1.1.1 pep chromosome:SL3.0:2:16466867:16467163:-1 gene:Solyc02g014370.1 transcript:Solyc02g014370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPLQSKSCKCHFFNSRNHKKSDPIVDLIRKELARITTIKREAFIIPGDVDDVSINVGVDLDVDVGVDVDVDIGDVGGGDRVDDVGGIYDIVEGMGC >Solyc05g010360.1.1 pep chromosome:SL3.0:5:4507531:4508974:1 gene:Solyc05g010360.1 transcript:Solyc05g010360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKHACQSVPLDVLSSLPDNVIDEILMCLPLREAVRTSILSTKWRYKWCRIPDLKLDYKLWQSKVDKRSVLKKFTKIIYHLVTSHDGPISKFILSIRGLEDSPVLDKLIYFLSRNSVQHLELDISGYYKHKLPSSIFTCLRLMHLSLSGCSIQLAPRNFKGFDTLICLELRNMTISSKILENLISNSPLLDTLMLHQNVYFGIIEINAPKLRAFEFNEMEGSICLKSTPNLQDLTLWHKNYHVGSRNHFKSFYHLEYLVLNGHSLKVLAVAAGEVTTRLPFDLNSLKQLCLDEIYLRELDVVSSAVFLIKSFQHLQILEIQVEDYDNDMSALQCLELEAFSDVKFNHLRKFELSCFTGSDREMQLIKLLLAKSPVLENMRIHPLPDYDNASEKLKNEIPVLLNTLQRASPQVEVVYVFGS >Solyc05g009630.3.1 pep chromosome:SL3.0:5:3822545:3827409:-1 gene:Solyc05g009630.3 transcript:Solyc05g009630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVAVKFLLENLTQLLIDNADLILGIQGEVENLLTDLNYFNAFLKEAAKSRRENEVLKELVKKIRKVVNDAEDSIDKFVVEAKRHDDKNKFAQWFHITHVARAKGVADEIKSIRERVKEIRDNDAYGLQAITLDDNFNRGDEERKAPVVEEDDVVGFDDEAKTVIDRLIGGSDYVEVVPVVGMPGLGKTTLAYKIYKDPKVEYEFFTRVWVYVSQTFKRREIFLNIISKFTRNTKQYDDTPEDDLANEVKELLGKGGKYLIVLDDVWTMEAWDRIKIAFPNNGKRNRVLMTTRQSNVAKRCNDKPHDLKFLTKDESWELLEKKVFHKEKCPPELELPGISIAEKCMGLPLAIVVIAGALIGKGKTTREWELVAASVGEHLINRDPENCKKLVQMSYDRLPYDLKACFLYCGAFPGGSQIPAKKLIRLWIAEGFIQYQGPLALEDVAEDHLNDLVNRNLVMVTQRSCSGQIKTCRVHDMLHEFCRHEAMMEENLFQEIKQGQERSFPGKQELATYRRLCIQSLIPEFLSMKPSGEHVRSFLCVGSKKIDMPPNEIPSIPKAFPLLRVLDAESIKFSRFSREFFKLFHLRYIALSTDKIKTIPADFGNLWNIQTLIVETQQATLDIKADIWNMTRLRHVCTNASATLPSTKRPKSSKDNLVNRCLQTLSTIAPECCTAEVFTRTPNLKKLGVRGKIDALLESSKDGSGSGLFSNIGKLGCLEYLKLVNDTRLSSKPLHLPPAYIFPQKLKKLSLVDTWFEWKDMSILGLLPELEVLKLKENAFKGQSWEQEDGGFPRLQVLWIERTDLTSWKASSGNFPRLKHLALISCDKLEELPAELADVKNLQLIELQSSSESAARSARAILKRNQEKEQDGDKGTGFKLSIFPHDLGL >Solyc05g009513.1.1 pep chromosome:SL3.0:5:3701032:3702375:1 gene:Solyc05g009513.1 transcript:Solyc05g009513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLYYINYFGLLHLIINKRDSSEFACEIVAKIQINPSFTVDHCKKKKPLQHLIYDYGAADDAPNITLVSDKDIDEQKSFHRFAGDRINNLLGSIDGVFFIEGEIGDDILCALWNPANREVRYLPGAPISFEWFALGRHLVFGLEPITKDYKVLYYNECEEYAAIYSCSMDSWKFFKHNTYVFENTSRCEQNMYNTADYLNGCYYWLLNERNNKYRILSFDFGNEAFAKMEGPPRGNEDYTWSGTLMLLGDSLGILNFVEGCINDVWVMIQPGVWNKFLTFHLTTRTKSFYDNCFICVTKSSRLASYNVVTNKTRLLEYRHPGLKSNPACGGCGVYYYKESLVKIKRQGNSELHLNRCFTKMSNKH >Solyc08g067170.2.1 pep chromosome:SL3.0:8:56197123:56199344:1 gene:Solyc08g067170.2 transcript:Solyc08g067170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNRADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQHMHQPSEHDYHCLKRILRYIFGTLGRGLLIRPEDLELRGFSDSDWANDKNDRKSTSRFLVFLGTNLISWCTKKQPKVSQSSTETEYRALALLATETMRGEAIAECLSELQSESHENQQKALLTLVSITKVSPQNRNLLAQTKGVVSTLLSLSMSPSSTTIQLLALSVLFNLSLNPNLKQTLADMEKILFLNSVILSSTSAEPSRIAASLLCSLAMLDKNKAKFGVAGTVEALVKVISRPRGPASHHFLSTLAELVQFHGNCTVAVRSGAVPVLIKLVEDSDCQDLAGTSLAILCLLARFEEGLTALKEMDKIVALLIEILKGKCTLSKEGAADILLRLFDESEGCIRDALRLPEFSSVLADLSVRGSVIAREKASLLMKKLMEANMDVVYGDTGNKTAAYLQWI >Solyc05g053520.3.1 pep chromosome:SL3.0:5:64447420:64449831:1 gene:Solyc05g053520.3 transcript:Solyc05g053520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRSDMKGFYKQTKKNGGIAKPTHSKSSLVATTTNKSVTPKLAPARGSNITRSPALISHGSPDLQADGYDENEELLRQFDTNMTYGPCLGLSRLDRWERAKSFGLNPPTDVEPILRSKVRNECLWDGMV >Solyc03g113970.3.1 pep chromosome:SL3.0:3:65510146:65527333:1 gene:Solyc03g113970.3 transcript:Solyc03g113970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVDMFEEGNSTSHTETTNSNTPPFTRLLQILVAFLLALWSASPIQVEDESHSELEEILTDQNRINSQKKLDPCESRCLCLKFSHEIVAPLVFTGECIFPNGTKLELVDAATGQQVRHGPLASSAQIEICVLNDENWTIEELNSHIMVQTRGCDQNPYLRLEGGVVSVNEIKFKHTPKHMKKLDVVKLGARVVDETEVIEAVTGPFTVKDQRLKSKKRYPPSPTDHVWSLEHICKYGAFHKRLTESGIKTVEDFLIELQNNPQRLRHILGRSMSENYWKKVTKHAKTCNLDGRKYLYHHLETEQKFTVAFDVAGQVMWLDSGCGLLHFNMLPENQKAYAQKLVETAFANWENVEKSDNEISTIVSPPPRIGGMSSCHQGNLVTVDECQSLEFQNTSGTTETYRSAECSTSYAFVDRQSVSDEMSVAITSPGHSGFGPWGYQNSPNDIISAISESGDWEELMQYLSSDAIQFDDFLYRELGQTNNSVAETIATQFHQLQHGVAMDLLQNQFMVSENVHNEDSTNTNQQCPMNNVSIVPEASTCKDKYKKIWIKITSIVKWLALNSHNHRSTCRQDHKHFLLTLLSLPLRRSPSTFSFHSSPTSTNLSCRTTTPAAKPLHPLLPAPRRSSASFFPSLPFFLCTINDPLQNTTDRLSSLSPSNQQETLLAASVKHELELAEKKFLFTKGNPEKDIQAPKLRILKLMFLSEVSVPVLTGKEIKGEGGNAIELVLVDDNTGEIVESGPEASAKVEIVVLRGEFGDDDGGNWTVEEFGSNVVREREGKKPLLAETVHVRLNKGIGSVDKIKFSHSAIYMKMGMFRLGARFVDTFNSIQVKEARTESFTVKDGRQQYHEKHDPPSLSDGVHRLKNIGRGSKNRLCDVLNLKPKMLEETISHARRCITNERTYSYIDLQEKEGVVFNIVGETNAQRLLVSACENWDHVVTVDNETFFMQYPSQLLPTMNPSNSPSREVPSTSNNVENLRTSDGHCSTSFQRNVSSVIPARVASGISQNDPDSVSISNQEFSNSPIYSPLVQHFWIDQCNYQLEDIYFGFDTHQPHDASASTVNVHNRWKMLLKIFRQSSMRRRAAALTDIQPLKKQRVF >Solyc06g072810.3.1 pep chromosome:SL3.0:6:45042180:45046972:-1 gene:Solyc06g072810.3 transcript:Solyc06g072810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFHSLARRELQALCKKNKIPANITNVAMADALQSLEFVDGIEEVLKTCESDVANSSMESPGKSEALASVPRTGRRTTQRKTIKHDSETMQTTTRSHCRTRGTVVRDIDEAKKDMLETPALPTTRRRAATTSVRVKLESAMKECEPKEEIVDQVEEEKKDVPKTPAAALTSQRKEVKAKSSVRQVYSTRRSVRLAGKPTQESSTQEDEKSGTLTFDAVSEETEESLEVNSELHSAHKSEILDKKGIDLKSSESLDMKNESDTLSVQNSNTLVQNKIGMEDGVQQDNASDLEVVVLDTKAKEGSEEVALGCNNDGSGEVPMEESEIVAEAKEEIDFQNNSQNLGDDTKSNSDITKQPNGQDESHGDTSDFMAENDGEEEGHFDSDVAGKQELIGEQPIAVSVNPDTNIDSHEVDLFEQSNGEEEAKMRASQQKCVTNMQANIDFLEVNLFEQFNGEVQAKVGGSQHKCLTNMEDAGEVAGEEDLMEEYDVDRTEANMDAPADSLELAGQEESMEEYDADCYEANVDAAADALEVAGEEEQPMEEFDVDGTEASVVAPADAQEVAGDESMDDSEIDYVEATVESAAHSLPPLPAPEPVLTLALDTMQNPSALTSTNSELITQTPVENSSAVTSIGQMLVTDNKENLVCTKENKGTAGDNLQNLSLRKLTKMLKDLNISKNPSGKEAVTRSALQKVPENRLISENEN >Solyc04g028560.3.1 pep chromosome:SL3.0:4:14829960:14842947:1 gene:Solyc04g028560.3 transcript:Solyc04g028560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNGAKLAEMIRREGASSLKNVFESTFQLASEFEIKDLGQLKDLLGMEVARSNEGTMVSQRKYILVLLKETCMSGCHPAKTPIDPNYKIQNKEGNSLDQRLGLFFGEAQDRCIESFVNADWEGFNCGEAANFGTPQWLAVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSSKHRNIFWFLYFYVCDDSVPRSLLPGVRSSRLRDRQKEEREFLVKKAFVEDIEKESDLVTVLLQKSFSDYAMLWDVDMLPSSGKESELHKNVSADASKGNDQSDNNDSQDVLDQMSLNMENYSDFYVDDDVSCEFEIDTGTLPCIACGILGFPFMALVQPSEKSAKHLFPEEFQNKEESGVLKHVESDNHRCMFEDYNRVDRIQRNGVHSLNHDEVPLYAQPSESAVSPHEGQTSQSHHLSHTDNAAPTSKVDLEEECDVSRGLVRPQIFCLEHAIQTEELLHSKGGANVLVICHSDFQKIRGHAAVVAEEIGTAFKYNEIPLANASQGHLSLIDLAIGQEEQNKCAEDWTLKLNINLRHCVKVQRNCPLKKLKHALILGGLFSDTTHSSDSLSLLKWRSRKVRSKRKLNHSTESTLFANVQIAKVVSGSTVDMQNVRKGNITIQYSRKKYKPKDCSSAQISRVFMDPFNVPKEVSLADAKILGSTRRLRDENAGTASLEERFFNSSDGKPRLRYEHEMLLQNKDRNGDLLAPQEQNLLVTPSLMVEFDEAQAELCTTEKFSLKDKTCDTNSNSCHTENKTMAAETSGETDIAHVHTPACTSIYVVQSTAYNENLEENDMTETVIRDKSDHPTEEDFERYHHSGDDKAIMTRSPMPVNSSGSCIDGPSRSCDKKIEDQDSQQFGLGGETSDGETLLKSMEQEIQIHNSVKDIVVCDHVTSSTKHSQSGDDISEQHTKESNNDTTSAVLLWPTGKNGGCELDLLTDYGCSVSGFVRSPCEGLRPRVKKNVRGSRVESKEFLEKKPIGNKVKRSLYSSIIPKDKKEEKGSHRCNLEGCWMSFQTKVELQLHKQNRCPVEGCEKKFTSHKYAVVHQRVHKNDRPLKCPWKGCTMTFKWAWARTEHFRVHTGERPYKCKVEGCGLTFRFVSGYSRHRRKTGHYVDAAN >Solyc04g063290.3.1 pep chromosome:SL3.0:4:55433099:55435353:-1 gene:Solyc04g063290.3 transcript:Solyc04g063290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGGGERGGFGRGFGGRGGRGGDRGGRGRGGRRPRRETEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEFQIIDTLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGGIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWKETRFTKSPFQEYFDILAKPANKVIVYATEEAAPERVEA >Solyc01g068155.1.1 pep chromosome:SL3.0:1:77071863:77074984:-1 gene:Solyc01g068155.1 transcript:Solyc01g068155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPRCALDKKNPLSRIGEGQIVVGSSLTSGSPIQGIPPNSLLIVCMEFCTLEKQAVEVFWLSRIILVEAAFMNLIYWSFTAMFMCNFDEGMLLEILLSGRLEITQKGLYIKDLG >Solyc08g005312.1.1 pep chromosome:SL3.0:8:243110:247676:1 gene:Solyc08g005312.1 transcript:Solyc08g005312.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERQKKVMMAAEGGDRLSNLPESILLYILSLLPNSKQVVQTSVLSSQWRFLWKSVPVSIEFDFSDGPKAYREKHILAYTLPKLHRQIMAAAREGDRLSNLPESIRIHILSKLPSKQVVQTSVLSSQWVSHWKSVPASLKFESTDDEDVSGFVRSVYRELFYWESCDKIKSFSICPSTYSGHVMDSDLYFWLHFSTYIAKVEEFSLKFCVKAFPEIVYDFPEFAYTNTVLRNLVLQNCELKPFGDVKWSNLVSLSIGDAEIKEDALEKILSGSPNLECLELDKVVGIRLLKISSVKLRKLIVTMYERLDEFYCIEIDAPYIRQLELKGSCYNEMHFQLRNV >Solyc01g091165.1.1 pep chromosome:SL3.0:1:84740123:84741005:-1 gene:Solyc01g091165.1 transcript:Solyc01g091165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIWDSLRGDRASKHCETTMEQSVTWTSWQQVLLPASQQPLQSCHQQYHAVGCTQRHQHQRYHLEGYAVCLEHRGTRDRLTQNEILQEQMLDPNSQHPRIYTRPLHLHQVSDFK >Solyc05g007680.1.1.1 pep chromosome:SL3.0:5:2173098:2174417:1 gene:Solyc05g007680.1 transcript:Solyc05g007680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALKAINSITSPTRITKFTFLRNPTWFQPKPIFQHLKTKRIRLFPAKSSSKPNTNQENAADESLINDSISRIQPQPTSNQPPSPSISSFSRGLVFDLGQKDSWDSSEIGSPVVKRYLSDDEERWYMWYHGRDSNGKDSIGLAVSSNGVHWERGEIVLNCGDNWWGFDTQSIRPCEVVIMSSAKVRANSSVYWLYYTGFGSEKIETLKDLDIPRFGNGDGEIYKSLPGLAMSQDGRHWARIEGEHHSGALFDVGLDGEWDSLFIGSPKVVYHSSGDLRMYYHSYDLEKGCFAIGIARSRDGMKWLKLGKILQGTSGFDELGVLKPHVIRNRKDGKYMMVYEGVDGNGRRSIGMAMSLDGLKGWRRVEECDPLLKRCEEGGWDSEGVGSPYLVEMDGDDEDHEWRLYYRGIGKDGRSGIGMAMSQGNEFKSFQRWKGFHL >Solyc09g082430.1.1.1 pep chromosome:SL3.0:9:68593008:68593298:1 gene:Solyc09g082430.1 transcript:Solyc09g082430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQEYQQMIPIPTRKASLIPCNSWIGLAASIKGLYGQLLHYPTNLSIKKCDSLRIGASDEDVPLDTLIDPAKAEASIWLIEEMHRKTTSPHFIARL >Solyc04g080750.3.1 pep chromosome:SL3.0:4:64937499:64939820:1 gene:Solyc04g080750.3 transcript:Solyc04g080750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSILNLIVFGVISWTTLFLLARKLFPNRSFDFCNRLVSTIHAILAVILSSISVQDWNCPLCPLASRSSHKQMRALGVTVGYLIYDFVCCLFDKQVKIDNLIHHLVSVIGLGAGLAYEWCGSEMVAALCVTEISSPFLHMREILKELGYKDTDFNLAADVLFAVIFSCARMIGGPYLTYVTLFADNPILIKAMALGLQLVSAFWFYKIARMIMYKFSKRNKAKIVHSN >Solyc09g007630.3.1 pep chromosome:SL3.0:9:1202696:1207909:1 gene:Solyc09g007630.3 transcript:Solyc09g007630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEPLMKIWSFDLVAWRGFLFSALILNFIFACQLFFLQPLVSALDAKPGDAAALFERVAQNVKVKKYSEALNDLNSAIEADPTLSEAYWHRASLLRQLCRYEESEKSYKKFLEMKPRDSAAEKELSQMHQAKSALDSATNLLDTDDIKKALEYIDKVVLVFSPACSKAKLLKVKLLLADKDYSGVISEAGFILKEDEDNLEALLLRGRAYYYLADHDVSLRHYQKGLRSDPEHGELKKAYFGLKNLLKKTKSADDNVSKGKFRLAVEEYKAALALDPNHSAHNVNLHLGLCKVLVKLGRGKDAISSCSEALELDGELIDALVQRGEAKLLTEDWEGAVADLKEAAEKSPQDRNIREVLMRAERSLKLSKRKDWYKILGVSKTSSVSEIKKAYKKLALQWHPDKNVDNREEAEEKFREIAAAYEVLGDEEKRTRYDQGEDIEDMGSGMGGGGFNPFGGGFGGGFGGGGQQYTFHFEGGFPGGGGGGGFGGFHF >Solyc02g077800.1.1 pep chromosome:SL3.0:2:43222462:43224932:1 gene:Solyc02g077800.1 transcript:Solyc02g077800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNNNINITVHTGEMRPTGHSIFFGVEICIQNLKSRSINTIGESTGIRIVLTTIIAVSTTISATTTMATTASSITVGVSTTSAAIAFTFGILPSNVTTILGSVPRVKAMVINTLTGDNLRSCDDRDGGSGCYKCGEDDHYASECTNRVLTFSFGKYT >Solyc09g055790.1.1.1 pep chromosome:SL3.0:9:44937928:44938107:-1 gene:Solyc09g055790.1 transcript:Solyc09g055790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREDSEQRKAPSKQIGRGVPSAQRSKAHFPFKVKRHIKKGWPVKSSVPSRTKFRINKGS >Solyc11g028275.1.1 pep chromosome:SL3.0:11:21195038:21218689:1 gene:Solyc11g028275.1 transcript:Solyc11g028275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEHLTNDLRNLADNKNPNLDKTDIVNLGDDESVRENPRLSTDIVSHRFPINSEYCPVKQKTRKFKPDLSLRIMKEVMKQIELKRTIHIQTAKRVIHIQMLRRPLYSNAERAIHIQTAERAIYIQMPRRPCIFKLAIIFKSQEGHSYSNCREGHSYSNAKKAIVFKCREGHSYSTAERAMHTQTAEKAIHIKLPREPLIFKLPRGPFIFKCQEGHCIQDAERAIHIQIAERIIHIQTAEKAIHIKLLRGPSYSKAKRAIISKCREGHSYSNCREGHSYSNSKNAIRAIHIQTAERAIHIHTAEKAIHIQTAEKAIHTKLPRGPSYSKAKRAIILKSQETRNQRRTQQSTLQARHTPSSSSFPQTRMVLAPNGATKNHCSQAHCRLEFAAPRKSLVEVKILFENGPSETVYSNYTAGLEELAVRVPNLLEPWTEHMYA >Solyc01g067210.3.1 pep chromosome:SL3.0:1:75429866:75441585:1 gene:Solyc01g067210.3 transcript:Solyc01g067210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLSTIDLEHGWGIIERGITKLKNILEGLPEPQFNSEDYITLYTTIYNMSSKNPLNNLSQQLYDKYREAFEEYNITTVLPSLREKHDEFMLRELVKRWLNNKVMIRWLSRFFHYLERYFIPRRSVPGLNEVGVTCFRDQVYQELNGKVRDAVISLIDQEREGEKIDRALLKNVLNIYVKLGMGLMDFYENSFEAAMLKDTSAYYSRKASNWIIKDSCPDYMLKAEKCLKQEKDRVSHYLHSSSETELLQKVQHELLSVYATQLLEKEHSGFHALLRDDKVEDLSRMYRLFSKTPRGLDPVANIFKQHVTAEWTALVKQAEDAARNKKADKRGVVGLLEQVSVRKVIELHDKYLAYVNSCFQKHILFHKAFEETFEIFCNKGVAGRSSTELLATFCDHILKRGGSEKLRDDAIEETLEKEKADNRFYLQVVKLLAYISDKDLFAEFYRKKLARRLLFNKSANVEHERSIITKLKQHCGGQFTSKMEGMVTDLILARENQASFEEYVSNNPIANPGIDLTVTVLKTGFWPSYKSFDFNLPTEMASALLLFNASDRLSYQEIMTQLNLSDDDVVGLLHSLSCAKYKILNRQPSTKTISPTDVFEFNSKFTDKMRRIKLPLPPVDEKKKVIENVHKDRRYAIDALIVRIMKSRKVLGYQQLVIECVEQLGRMFKPDVKSIKKRIEDLITRDYLERDKDEPNLFKYLA >Solyc09g089670.3.1 pep chromosome:SL3.0:9:69911920:69914999:1 gene:Solyc09g089670.3 transcript:Solyc09g089670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMSFVGRLLFVSVFVLSAYQEFSEFGSDGGPAAKALAPKFNVLSKHVATHIGFELPHVEMKHLILGAIVLKGLGSLLFVFGSTLGALLLLIHQAVASPVLYDFYNYDVDKKEFVQLFFKFSQNLALLGALLFFIGMKNSMPRRSSSSSSKKKGPKAKAN >Solyc02g072070.3.1 pep chromosome:SL3.0:2:41912385:41914886:-1 gene:Solyc02g072070.3 transcript:Solyc02g072070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPSFKHLLMFWIIVLLEYLTLAQFPDYHSVKIPTTWINSNVSSINVTKPLTWPFYSFNQSSGPFMRILMLKDIEKDMGYACGFASNGEDDAFVFSIGIVRLRQDFLGAQRWTDLELVWFANRNHPIHENGTLQLLQDGDLVLKDVDGTLVWSTGTANKFVSGIKMMETGNLVLHDMYNQSVWQSFDHPTDALLPDKPQMYFKFLVRGERDSFDESYVKAVNGTLALYISSTEPNEPNAVFSRPSRMKYLRYDYDGHLRAYTEGSDQTNDLLVDFIGLCDYPTACGSLELCSNGICSCPGPFIKSNDRENNGCVEASPVECDGKSSHRMDRASDVYYFNYVDTDAAALRGTDEESCQELCLKNCSCKVVLFRYFINFSSGDCYLPSPVLSLINDGKGRRVYESSAFIKLPNDAEKGKSTATRRISIIAGLTGGTVLLIALTVGILIAFNRKHTLQDNIDDYSGEISGLVRFSYEQLKMATGNFQKKLGQGGFGLVYEGVLRDGQKVAVKVLDGFGQGKKEFLAEIQTIGSIHHVNLVRLIGVCAEKEHTILVYDFMSNGSLDKWIFGTTSTQFSIDWQIRRKIIHDIAKGLAYLHEECMQRIVHLDVKPQNILLDENLCAKVSDFGLAKLVDKDQSHIVTRIRGTPGYLAPEWCSAFITEKADVYSFGIVAIEILCGRKNVDYSHSLEHPHLLSLFMEKAENNQLIEMIGNYSDDPQCNTSEVIHMMKLAVWCLQNDFTLRPSMSMVVKVIEGTMDIESHLDYTVPNSQTIAAIKRVADTTTNLFPSILSGPR >Solyc10g018850.2.1 pep chromosome:SL3.0:10:10759519:10766821:-1 gene:Solyc10g018850.2 transcript:Solyc10g018850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRECCENPPALSSSSGNGCVLKVGSFNAYVSGPSTSKLAILLVSDVYGYEAPNLRNIVDKVAAAGYYVVVPDFFCGDPYTIEKTLSIWIQSHGTAKGFEDAKQIIAVLKDKGISAIGAAGFCWGAKVVTELAKSDYIQAAVLLHPSLVKVDDFKEVKAPIAILAAEIDKISPPELIIQFEEVLSSKPEVDKFVKIFPGVKHGWTVRYNVENKEAVQHAEEAHRDMLDWLTKYVKYSQKGSGLCNVRGPHSSM >Solyc11g008810.2.1 pep chromosome:SL3.0:11:2995238:3004151:1 gene:Solyc11g008810.2 transcript:Solyc11g008810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMLGLRSVLFAVVLHIVVLSAAADIKNLKIWPMPLSVSYGHRNLQLSNEFVLKTEGSKYPDASGILKEGFSRLLDVIKVAHVVDANFSYDGSSPVLKGIQVVVLSPSDELQYGVDESYNLTIPEKGSPAFAYLTAKTVYGALHGFQTFSQACHFNLTTRAIEVHQVPWTIVDRPRFSYRGLLIDTSRHYLPLPVILKVIDSMAYAKLNVLHWHIVDTQSFPLEIPSFPKLWNGAYSSSERYTVADASEIVRYAGRRGINVLAELDVPGHAKSWGIGYPSLWPSKNCQEPLDVSSDFTFKLIDGILSDFSKIFKYKFVHLGGDEVDTSCWTLTPRISKWLKQHRFNGTGAYEYFVLRAQKIALSHGYEIINWEETFNNFGNKLSPKTIVHNWLGGGVAQQVTAAGLRCIVSNQDKWYLDHIDTTWQNFYSNEPLTNITKPEQQRLVMGGEVCMWGEHIDGSNIETTIWPRAAAAAERLWTAYDNIAKNPEQAARRLAYFRCLLNQRGVASGPLSGGGRAAPDEPGSCYEQ >Solyc07g054100.2.1 pep chromosome:SL3.0:7:62626782:62627959:-1 gene:Solyc07g054100.2 transcript:Solyc07g054100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKLKELGHAGTLAYNVMTTLYAKLGYLEKLQSLVLEMEDNGIFGDLVSYNILLNVYASIPNVSEMEKVLMKLEADPLLIDWSSYAIAAKGYLKAGNREKANESLTKCEHRLMGKREKLGIDMLITLYTSMGNKDDVHRIWNKYKQKVKRHNSSYHCMIRGLEKLDDLDGAEEIFAEWETNRVHFDIRIPNLLISAYCKKGHMEKAISIIEQLEESGKYANGSTWNRLALGYCVQNDMDKAVDTMKKAILASQPGWKPHFQSLAFCVKYLQSKGDTQGEEELKDLLRVRGLCSKEFERSLDKYIEIGYPKRMISA >Solyc07g039287.1.1 pep chromosome:SL3.0:7:46999130:47000269:-1 gene:Solyc07g039287.1 transcript:Solyc07g039287.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQARGWHRRPVGLVGMLDGCLMGCMVWSCYAVVCFQKHAGDVSGASEAKAGGRRGRPVGLVGVLRGRLMVCMACPCYIVGRPQNHVVHVCEAIEAKCGRLTACMAHSCYAAGHLQKHASDVCGATMRHRWNAFGRVGNSRATATANSKRAQWKVYYKPCKEGIDVVVGHLMSGVGVDVGCALTKPFTLDPCFEQQQHSLHAISVEDEALSRVVARKNPLCDGVVCEQRLKFFYTVDAVGMGPSPASNYPK >Solyc08g068450.1.1.1 pep chromosome:SL3.0:8:57681846:57682217:1 gene:Solyc08g068450.1 transcript:Solyc08g068450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLNMSDMARRHRGEISMLKGGVRVSEGGSMAERHYGKTYTSNDGSDDNPWVGGCVLMMTEGYYAIDNFRKYYKRQKERDMKLKVLREENQQREDRILAENESCFPIHPYMHSTPLSGTRLD >Solyc05g040025.1.1 pep chromosome:SL3.0:5:49730666:49741535:-1 gene:Solyc05g040025.1 transcript:Solyc05g040025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEFILTLVFEGKPMKKAGLEKCTTQPTPMAYLAITRPDIQFVVNRVAQRMHQPSEHDYHCLKRILKYIFGTLGHGLLIRPGDLELRGFSDSDWANDKNYKKSTSGEAEYRAFALLAAETIRRDTLNYYLEAFESVHFYHHNLFYVLHFGNSND >Solyc11g061720.1.1.1 pep chromosome:SL3.0:11:48551133:48552341:-1 gene:Solyc11g061720.1 transcript:Solyc11g061720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTIKSSDLILNNLRVIKLLGKGAMGTVFLVHDIFHDPSALSPFALKAVDKSNFSSNAIHRRALWETTLLSRLQHPFLPTLIGFTENSDFICYAVPYCPGGDLNVLRYTQSDHVFSPSAIRFYLAEIVLALEYLHTLGIAYRDLKPENVLIQQSGHVTLTDFDLSTTLTPKKNLEFYSDPENNDILSTQSQPQTFKFSKFAKSMVKCKSNARNGLRKVKSARVSPVSRRNRSSFYERSNSFVGTEEYVAPEIIRGEGHEFSVDWWALGILCYEMLYGTTPFKGKNRKETFRRILMTEPEFIGRKNDLADLIGKLLEKDPTRRLGYRRGASEVKEHEFFRGMKWDRLTEVVRPPFLPLRDVTEEEKKGGITITEYFQKLKAPPSPLWSPSHDEWTNNISLTEF >Solyc05g032665.1.1 pep chromosome:SL3.0:5:46424026:46433607:-1 gene:Solyc05g032665.1 transcript:Solyc05g032665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEMKRRKGDMQEEDLQRCLIGYCGKTIRKKTTLVDIRKWVSANWKKVFGVNIYELKYEIFMVEFLDKHMAETIQVYARRVD >Solyc06g009210.3.1 pep chromosome:SL3.0:6:3149636:3152414:1 gene:Solyc06g009210.3 transcript:Solyc06g009210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein L19 [Source:UniProtKB/TrEMBL;Acc:K4C3V1] MVSLKLQKRLAASVLKCGRGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRLRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMENIHKTKAEKAREKTLSDQFEARRAKNKASRERKFARREERLAQGPGGEKPVQPAAPAAAAPAQPAQGSKKSKK >Solyc06g084160.3.1 pep chromosome:SL3.0:6:49418025:49421447:1 gene:Solyc06g084160.3 transcript:Solyc06g084160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKADGSDGSLVLIKQGAEQRVFESTFVGSRRCIIKERFSKKYRHPILDSKLTLKRLNAEARCMTKARRLGVVTPVLYAVDTVMHTLTFEYVEGPSVKDILLGFGLVGVDEERMADITTQIGNAIGKLHDGGLVHGDLTTSNMLLRSSANQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAAYRKSSKQWSSTLNKLAEVRQRGRKRTMVG >Solyc02g071450.3.1 pep chromosome:SL3.0:2:41458957:41461334:-1 gene:Solyc02g071450.3 transcript:Solyc02g071450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTPEKLNFGKSLLVPSVQELAKQHLTIIPDRYLRPEQETPVISAGAEVPVIDVQKLISGDSMDSELQKLHSACQQWGFLQVINHGVTPLLLEDFKREVIELFKLPMEEKKKLWKQEDSLEGFGHAFVVSEDQKLDWSDRFGILTLPPHIRKVDLFQKLPSKLRDIMEAYCKEIKSLATSIICQLAKALRMDEEEMRDLFNDGMQTLRMNYYPPCPEPDKAIGISPHSDADAITILFQLNETEGLQIRKDDVWVPIRPLPNALIVNIGDMMEIVSNGVYRSIEHRAIVNSNEERLSVATFCAFNLESELGPAHSLVGPCNPPIFRRVPMHKYLQDFFARKLEGKSYLDSMKVEAKDDKS >Solyc05g024135.1.1 pep chromosome:SL3.0:5:30486792:30490397:1 gene:Solyc05g024135.1 transcript:Solyc05g024135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYGIIDWAGSIDDMKSTSGYAFLFGSSICSWLSEKQSAVAQSTAEAEYVSASKATSQAIWLRRIFEDIETILVDGRTIHASNTLEDTTVHALRHLAQIMVVGKIRYGGSRNFIVLGCSMKKGTIDDVLSPIESYHMNDPSGTRIKQETELQQIITIICTREQKASQGTTDGEVFDSRKLQEDEVMEALKYVCRLIPHKYKPVIRLDIVLRENPSKRGICKIASIQKDLFQAQSYIKLASQDKIQSWDMVSFTYTQTCCKTSSVWLENNSISSLLTPGHRWIIILGWFKFYGIHLSEIDEVGHCSVGMLLNIENPRRWLVCNELYHVHQ >Solyc05g012030.1.1.1 pep chromosome:SL3.0:5:5246702:5247625:1 gene:Solyc05g012030.1 transcript:Solyc05g012030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKIIQCRNNSGEIDVFEATNYFSTTHEDYYNNNNQIFHHNKQNLRGGRMSFDMPMMRNSSSSLVVPSQTATNYNQHIMDHQKPIMMKQKDQKKYKQPSSPGGRLASFLNSLFNQTSSKKKKSLKDIQEDDQSPSGRRKRRSSISHFSFRSSSNITTTTINTTTTNSTSSDSKSSSNSGFRTPPPYAPTPTKSYKDFKSLAYADHHNKKSNTSSDYSWLDEKLIKNNGVYNKINNTNNGLLLEKNKTYNKVVDDHDIDDGDSESSSDLFDLPNIDLDYYSSSGLPVYETTHMDNIKRGAPIPSGVI >Solyc06g076580.1.1.1 pep chromosome:SL3.0:6:47706228:47706491:-1 gene:Solyc06g076580.1 transcript:Solyc06g076580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLAYSSLGKQKSRGLNKIVHTIIDQTCLVLCCRRGGFIYVELNCDKDDESNLHFMKCSKKKRGYYNTTITSFNMKFYSKYINYAIR >Solyc11g056420.1.1.1 pep chromosome:SL3.0:11:45655827:45656123:1 gene:Solyc11g056420.1 transcript:Solyc11g056420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQGKKVAIGFQSYDLTRIANIRVADMVLAKAAFPGCGIERTMPFFLEKPPHQLSIFRTTQGFSVQLSYLNHFEISLLSLCNFPRPGILTMTEASILN >Solyc05g056360.2.1 pep chromosome:SL3.0:5:66547458:66550703:1 gene:Solyc05g056360.2 transcript:Solyc05g056360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNPNAEEFKPTNNEKEVVPFNPQGNELVVYQPPLLPFHVSQPQCHHLGYFYYYQQNVPFYWIHDDLYHQLENTPQTQSFLAKGEIFSDGVKEKWVWVEKTHQDQSFVDKDEIFSDGMNKNWKNKKPFLPPRLMRTPASVWKPKGFVMRKPQQENGSSISSCKSSAALFSWDKTTVMIRNIPNQFRREPFMLFLDHYCSLNHWEYDFLYLPMDFRTNNNVGYAFVNFTSGCAASEIREVLRYFKWTSVETPTGIFSSRKICVVSWARIQGKDLLVKHFSQSKFICDTDEYLPVVFSPPRNGSSRLTAPMTIGNLAVGPSVSSSSVTTN >Solyc02g081710.1.1.1 pep chromosome:SL3.0:2:46113771:46114280:-1 gene:Solyc02g081710.1 transcript:Solyc02g081710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSILMGYGPSYIALLVGRCIAGVGVGFALMIAPIYSAEVSSPSSRGFLTSLPEIILLGYLITFFQLSIVTTYGFSILVLVQLLGFRYRALGAGIGVAVNRLTNATVSMSFLSISEAITTGGAFFMFAAVSLVALIFFYFMCPETKGKSLEEMESVFTRSKSSDNFKK >Solyc07g062350.2.1.1 pep chromosome:SL3.0:7:65237731:65238099:-1 gene:Solyc07g062350.2 transcript:Solyc07g062350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAIFMLLVTMLVCVAPCFEARTQLNNMDKEVTNLASSAPYKEGHIYGNLNTGPSPGVGHFGPPPRIGHVYGNLNTGPSPGEGHSGPPPKIGNVYGNLNTGPSPGEGHSGPPPKIGNVYGN >Solyc05g050150.1.1.1 pep chromosome:SL3.0:5:60990705:60991262:-1 gene:Solyc05g050150.1 transcript:Solyc05g050150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNANFSPFSGPSPILHFCTVCNGMFHTYSGFVNHIQITHPLSSEQDIILHSSVYASVTFLSGNPLSTEPAAPRNVRQERNNNIVIMPTQPSNLNHPMISRDRALPIDRQLMARRNWFVPIRRGTTPIMDREQMERRNMVESSREAIVDRTIPLIDQLDVPISSNADEIINIDEEHIDLDLELRL >Solyc05g052920.3.1 pep chromosome:SL3.0:5:63944777:63954705:-1 gene:Solyc05g052920.3 transcript:Solyc05g052920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGEGKDEIKPTLSPYEEAMEALSSLITKRSRADKSNKGDKYELLFDYIKILELEEPIKKMKIIHVAGTKGKGSTCAFTESILRNCGFHTGLFTSPHLIDVRERFRLDGLERATDEVPMPTYFRFLALLAFKIFAAEQVDVAILEVGLGGKYDATNVVENPVVCGIASLGYDHMEILGNTLGQIAGEKAGIFKRGVPAFTVPQPDEAMLVLEEKASELDVHLEVAAPLDASVLSGLHLGLEGEHQYINAALAIALCSTWLQRTGHVEINYLKEMTHLPEQFVKGLATAALQGRAQIVPDQLIESESSGDLVFYLDGAHSPESMDVCAKWFSLAIKGDYKQHNSYASNHGHNELGPSHDSVEISHHEASKKSSTQEFDIKSNISGVHFQKALFVPNVSVYYKVGTSASTADTQVDLSWQLTLRRIWENLVRGEKGNDVKNTDHTYEEVTDDSEKGAQSCENSKVFPSLPVAINWLRDTVRKNRSGRSQVLVTGSLHLVGDVLRLVKKK >Solyc11g011873.1.1 pep chromosome:SL3.0:11:4813134:4819467:1 gene:Solyc11g011873.1 transcript:Solyc11g011873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDLFKEIFSFLHMIAPPSTDYFKNNITKAMDKLEQFDLQGTSKLSADMTLIPRRGIARASRELHVLPPSPRDDLISVIWLSGKKSLFENLIKQAPSKALPSACLGEQPFMFVYATVKQSWQPLAVMPSSNRLVCGSETTGFCIAKQQLNATVNNQLHHQTYLAMSENLIHRNFGYNAIEMTKGLKGRYLFHVKSEVDTRISSKYHFPEVVCSKWTINLSSPSNRPVKSLKVLVAVEADDPVVMDASNALLLSMLMIVGLLGVLDSVLRSLNSITTTSDMLGLRFAGDCVHINAISMTFFTSCSLYSLGSNVSSTSFTGVPVS >Solyc10g012040.1.1.1 pep chromosome:SL3.0:10:4343170:4343364:-1 gene:Solyc10g012040.1 transcript:Solyc10g012040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQFKVNVQIHFFFQKIRSIQQLKDKINLFLRFDEAAVHLFFCFSVYSNTGSGSFDENSYLLLQ >Solyc10g054990.2.1 pep chromosome:SL3.0:10:56159880:56161045:1 gene:Solyc10g054990.2 transcript:Solyc10g054990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHLINYADMELLSIIMEKVTDCLMHPIARGIGYLFYYKSNIRCMHKNCKKLKNIKIKVQERAEVARINLQRISHNGEAWLTSADTTTEHVKTVRQGTTEVERGCFYGWCPNLKSCYSVSRRAKKITLELIQLQSEVTSPNTFFFDRPVQSHEVYLEVMAALKDEGVTMIGICGMGGVGKTRLANKIRQKAKQERMFNDVIIVIVSQQSDPKRIHGEIAKGVGLTLKGDDMLSRGDRMCTRLVDQNSHILIIYMSAVILMVQAFNFKLSKVWTVSPNKTTC >Solyc01g005400.3.1 pep chromosome:SL3.0:1:279104:283739:1 gene:Solyc01g005400.3 transcript:Solyc01g005400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSNNIDEEEIERKEEPTPLEKHVMFFDINKDGVIYPWETYKGFRKIGSGVLLSTVASIFINVGLSGKTRPGKWPSPLFPIEVKNIKFAKHTSDSDIYDTEGRFVPEKFEELFHKHGRTNANALTGEELDELLKANKQPKDFAGHIAAKSEWKILYLLCKDENGLLPKETIRSVYDGSLFEQMAKEKQSKKHRGKSSS >Solyc09g030470.1.1 pep chromosome:SL3.0:9:31431761:31432022:-1 gene:Solyc09g030470.1 transcript:Solyc09g030470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKLKKLKKLKISPKYSSLRLSDVPLFPLFPSSIQKMKSVST >Solyc12g009450.2.1 pep chromosome:SL3.0:12:2714592:2719595:1 gene:Solyc12g009450.2 transcript:Solyc12g009450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASIASLIRTMELLLTSDSPMLSLTFDLREEIIELHKKVSSIEAFLKNSEKQIHNHMAMTELEARIKGFANVAEDKIEFGLREAMITEDEMQRGKAHEELRESLQRVAKDIDCVQKESKKIQHHKGNQASTLSLLRDTSSSEMLPNLDVSNNMVGRDKEKERMLEELRGGSKDELKVIPIVGMGGIGKTTLAKQVFNHPSIQSRFDVRAWATICKEYNVKEILLSLLQSIINIDDKVYSRDEAELADLLQKKLKCRRYLIVIDDIWCYKAWDDTRQCFPIDNNGSRILLTTRHTEVAIYASSSNLHLKMNLMNADESWNLLKSKAFANESFTPELETIGEKIASKCQGLPLTIVVVAGLLSKSKRTKEEWENVAENIKSFVTKDPNEQCLRVLGLSYNYLPNELKACLLYFGIFPEDSEISVKRLVRLWIAEGFLKLEGDFEEEAKNRLQDLVDRCLVLVSQRSADGRNVKTCRIHDLVHELCLREAQSQNFLFIRNDKTEYVPQVGYRWISIQERQQTGVVQNEHGYRSLAHKHCFWLIRTPTDDDNSPLRRIRSIFLFAAPSLINNSNLELGHLNLIRVLDLNSMNFSSFPLQILGLFLLRYLSFSTRNSFGIPRGLRKLLNLQTFIVRGPVSSFIKFPELIWETTELRHLKLRNFYLPDPPSSSIDGERNLFWSNIQSVSGLIPYCCTKNILSRFQNIKKLCIRGHVYDYRVHEEDMDLRHLVDLHHLETLSIKVDRYQVSHWSSRFHKLPVYVPSAIHFPTKLKKLKLVGTRLSWEELNIVGQWPNLEVLKLKPNACRGLKWHPIEGGFPRLKFLLIEGTNLTRWKATNDHFPALEHLVIKHCFHLVKIPIEFADIYSLQLIELQNCKAKLMASTVRIQEEQEYLGSKPVDVRSYNDLAECELNGSDSDSD >Solyc08g029260.1.1 pep chromosome:SL3.0:8:37267642:37269222:1 gene:Solyc08g029260.1 transcript:Solyc08g029260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKADFFWILPHVRSFLAVSPEIFIINATFIFLIYGFVFSTSNKCEYPLLVSKVGCLGLLSVACLGGKRALGYGGATIVLLHNLKQHRVWTTENRSMGGHLILLGTFHVWFVPGFLEIFDDFESIVIIPLPTCGMLFMISTYDSIGMYLAIEPQSLCFYVIAASKRKSRFPTEVGLKYFIIAGFPFEILLFGYD >Solyc12g006190.1.1.1 pep chromosome:SL3.0:12:736989:738206:1 gene:Solyc12g006190.1 transcript:Solyc12g006190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNKLFSGKKRTSAAEGRTNHSPGRHGRPKYASFCMPERLDNPPLGRVGPPKSISFSMPEQSNNPPLGRHRPVKLTSFSMPERSENPPLGSHCPPESASFSVPGRLNNPPLDLHGPREPASVPVRLDNSTLGRHGPPKSASFSVPGRLNNPLLDRHGPREPAFVPGQSDNSTLGRHGPPKSASFSVPVRLNNPPLDRHSPIEPTPVPGWLDNSTLRRHGHPKSASFSMLGRSEIRSSSSSALKIIHVGGVAEYYYMATPASRILNNYPSFILAKPEVFRKPWDSVVHKDEILIPGQKYYVIPKCTLKKLRRRIKKNNHSFISQSSQDSTKSQHIISIIKTKTSSDKNNARNRRVRFFGIDCNQDSSCSVSLEMINKENEEEHCGKIKANRNVSTWKPTLAMINEK >Solyc08g066500.3.1 pep chromosome:SL3.0:8:55270176:55276623:-1 gene:Solyc08g066500.3 transcript:Solyc08g066500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVTSSCKDKFGMDSGKYVRYTPEQVEALERLYHECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKESSRLQGVNRKLTAMNKLLMEENDRLQKQVSQLVYENSFFRQQTQTAALATTDNNSCESVVTSGQHNLTPQRPPRDASPAGLLSLAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCSGVASRACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVMSTGNGGTIELLYMQLYAPTTLAPARDFWLMRYTSVMEDGSLVICERSLNNTQNGPSMPPVQSFVRADILPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLSQRTTMAALRHLRQISQEISHPTVSGWGRRPAALRALGQRLSKGFNEAVNGFTDEGWSMLESDGVDDVTILVNSSPSKLMGANISYANGFPSMSSAVLCAKASMLLQNVPPPILLRFLREHRSEWADSGIDAYSAAAVKAGPCSIPVTRTGSFGGQIILPLAHTIEHEEASKYIINIFSLLKQFMEVIRLESIGHYQDDMIMPSDIFLLQLCNGVDENAIGTCAELMFAPIDASFADDAPLLPSGFRIIPLDSKADASSPNRTLDLASTLEVGPAGSRPTGDHSKNSGSAKSVMTIAFQFAFEIHLQESIAAMARQYVRSIISSVQRVALALSPSRIGSLPGLRSPPGTPEAQTLARWICQSYRFFLGVELLKSASGGSESILKEIWDHSDALMCCSMKALPVFTFANEAGLDMLETTLVALQDISLEKIFDDNGRKALYSELPQIMQQGFACLQGGICLSSMGRPISYERAVAWKVLNEEEDAHCICFMFINWSFV >Solyc04g007070.3.1 pep chromosome:SL3.0:4:790864:796155:1 gene:Solyc04g007070.3 transcript:Solyc04g007070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVVNFLVENLLQLLVENVKLIGSAKGELENLLKISQQLKGFLDDAAKYGHTNSEQWKVLVEQIHKTVYRAEDAIDKFLVQAKLHQDKNIAERTFDWLGNQIKVRNFAADIKGIHDQIKDIRNNNQALQATPVLELPKKGEVTQGPSLENDAVVGFDDEANKVIKRLVEGPLDSVDIIPVVGMPGLGKTTLARKIYNDPKLTYEFYSIVWVYVGQEYKAKDIYLRILKFFKKNIEDHLNDDVDTLAKAIGGYIKKGGRCLIVLDDVWEDDVIDHVMKVFAENKKGHRIMMTTRDTRLGFFANKEPHKLKFLETEESFELLVMRVFGRGGCPNELVVTGTEIARKCGGVPLVVVVIAGALRGRSDKKDWERVEKSVVQYLGEHTEDSCLKYVKMSYDYLPREVQMCFLYCGVFPRGFDIPCWKLIRLWIAEGLIKPQPESTLQVEEIAEFYLTDLLNRNLVIIMQKRSDGQIKTCRLHDMLYQFCKKEASNKWLFEEPDQSKLDPDTCRRLCIQPSNLSDFLSTTPFAEHVRSFYCFSSKQKPINLSPNETKLIHKAFPLMRVMDVESLRFIFSKDFKNLFHLRYIAISGDFKSLPPTFGKFWNLQTLILNTSTLEPTLEVKADIWNLLQLRHLHTNIPAKLPSPTTTTGKPSCLQTLSMVTPESCEKEVLAKACNVKKLSIRGQMAAFLGAYKGGINNLKELQCLEHLKLLNDVLFMNKALHLPSTFSELVHTVKKLTLTNTRFTWSEAEKLGTLESLEVLKFKENAFTGDFWEPKSGFSALQVLWIERSELESWEASVVNFPALRQLVLISCDKLDAVPLELADIPSLVEMRLDNTSKAVKSAKNVRDSKTSKGMKLKLSIYPPEN >Solyc09g056013.1.1 pep chromosome:SL3.0:9:46919231:46924228:1 gene:Solyc09g056013.1 transcript:Solyc09g056013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDPSDFLSSTSTTRTKIQTANGEFIPITQAGDDAQTGRIIGRGIERGGLYYVNEVTQQGNTLLAQGSPEYQIWMWHRRLEMMDHDPPTQVSNTADVNSETSVSAPSHQSTPMTTTEHPESTSVEYILDLLTETGMLGSKPADTPIVANHGLQVIEGAKATDKEQYQKIVGKLIYLAHTRPDIAYAVGIVSRFMHLPQIHHMTAVMRILRYLKGTSSTGIYFRKNDSLDIIAYTDADWAGDRDERKSTSGYFTLVGGNLVTWRSKKQKVVALSSAEAEFREIVKGITEILWIRKLLNELDFKVLAQFFHQHPSISWIKMQMFLKSQIAKSSMLGTQKRNATGCTVFPKISNSLREGILDQQQLLTTIVKHHWNMLMKLKA >Solyc10g008610.1.1.1 pep chromosome:SL3.0:10:2685080:2685349:1 gene:Solyc10g008610.1 transcript:Solyc10g008610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFDGLTTRIQDNTIRVSPPPFLFYIMLTTLHLLHHQSLLNLASSTNPSMASSIYFCQFCCRSLHVASRAHPIYIFYMKLEAPKLVL >Solyc12g035193.1.1 pep chromosome:SL3.0:12:39308820:39314699:1 gene:Solyc12g035193.1 transcript:Solyc12g035193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDGSTDETHGRTGGVVSRGGHVKSNCYQLIGYPADFKAKKKVNAAIGEKVCDDQIVTQDQLMQLMKMTQMLNVLNMIITNQPHRSAHMAGNPTKLMNWVVDSGCTDHMIRSRQHLHDEIIMRNAGKVHLPTGESASISHIGSVHLNEGTEMNGECETPTTAIIEHSNDEPSHSEVVLRKSHRTVRPPIWQADYVLPGKAARNCLYSIGDVVDYNSISVPYKRNDHQLILETKTMLKDTFKIKDLGDLRYFLGIEFARNKDGIIMHQRKYCLELISDMGLSGSKPIRAPIELNQKLTSAEFDLYFPQESKTDKLLKDPSIYQKLVGRLFYLTITRPDIAFTVQNLSQYMHEPNISHMEAAIRVIKYVKQSQGLGILMSSSSTNQMTAYCDADWASCANTRKSITGYLVTYGNSLISWKSKKQNTISRSSAEAEYRSLASTVAKIVWLVGLYKELGINLELPACFANNIRAIVSSSFPRYQLEYFLIKFVYHFILWSEYVYLSSRMGCYSSVGGTDIPPLQWILFDWSMLECIHLREPECDPSYYLHKWDDCKCHLSKVAIFRASEPLTFLR >Solyc02g081200.1.1.1 pep chromosome:SL3.0:2:45809630:45811966:-1 gene:Solyc02g081200.1 transcript:Solyc02g081200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGGRKKKAGISQNQNQNQGAVGNSHSPVVNGSVDLDSSIFSKRAHELKEEGNKRFQAKDYVGALEQYENALKLTPKTHPDRAVFHSNRAACLMQMKPIDYDSVVSECTMALQVQPSYVRALLRRARAFEAVGKYEMAMQDVQILLGADPNHRDALEIAGRLRMALGPRPEAQQDLQSRPSPAALGASAVGAAPIAGLGPCLPARPMSKKPAPLGGASAISVNNKPEKPYQVTPAENGPHAKVQLPKVVLKPANGPSRPHADRNKDGQREKASLSASSAVHGHSKDVAIRWRPLKLVYDHDIRLAQMPVTCSFRVLRDIVSKRFPMSKSVLVKYKDSDGDLVTITCTAELRSAESWVDGLLPKDPDADKTGAIGLLRLHVVEVSPEQEPALLEEEEEKPVESEGSKGDDSGSHSSISDLVVETVDNESNKAEKVTITEKAATAENPDCKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSEALEETVTSEEAQVLFDKAALKFQEVAALAFFNWGNVHMCSARKRIPIDDSASKEMMATQLQAAYDWVKEKYSLAKEKYEEALLIKPDFYEGLLALGQQQFEMAKLYWSFILAKKEDLSNWDPTETLALFDSAELKMKAATEMWEKIEEQRANELKDPSTSKKDELLRRRKKQASGPESEASAVAGPAEISADEAAEQAAVMRSQIHLFWGNMLFERSQVECKLALDGWKKNLDTAVERFKLAGASESDISTVLKNHCSNEEAAEGSKQMVESLNTDAAANHKNDASQA >Solyc05g021550.2.1 pep chromosome:SL3.0:5:28068512:28071024:-1 gene:Solyc05g021550.2 transcript:Solyc05g021550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLLNMMFEIFKCFVFLMNEASFNHGEREALRSCIKALNFWATEIWWELQDFTLNILKRILDELIINVSLFQKWALVQYGEL >Solyc01g090360.3.1 pep chromosome:SL3.0:1:83935727:83936330:-1 gene:Solyc01g090360.3 transcript:Solyc01g090360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:K4AYX7] MKAIAILVLVVLAVFQLAMVARGAITCGQVDANLAPCVPFLTQGGEPGAACCSGVRTLNGNTQSSDDRRTACNCVKAAANRYPNLKDDAAQSLPSKCGISLTVPISRTVNCDTIS >Solyc12g099580.2.1 pep chromosome:SL3.0:12:67664949:67667578:1 gene:Solyc12g099580.2 transcript:Solyc12g099580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4DHX9] MKSYVEWYPIIIMLAVDFALSICNILLKKIIMDGMNHFVFITYRQSISTVFLAPIAFFLERNKRPKLTLQILCYLFLSAIVGASLTQYLFLLGIQYTSATFACAFLNMVPVVTFLMALLFGLETTNMKDRSGRAKVIGTLICLGGAFLLTFYKGKPLINFSHLKDLSQTLEEPISSSKRNVQWIFGSMILFAGTILWSSWFLIQAKIGKKYPCQYSSTVIMTFFSAIQSAILTFSTDRTLSIWIPKEKIIDMLSVVYTGLIGSGLCFVGMSWCVKKRGPVFTAAFSPLIQVMAAMLDVPILHEQLHLGSVVGSVIVIVGLYFLLWGKNKEMQKVSQETEEKKEKELNFQVHEANDEPEIP >Solyc05g021580.3.1 pep chromosome:SL3.0:5:28079584:28081497:-1 gene:Solyc05g021580.3 transcript:Solyc05g021580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNTGSTTAFLLGESCLLQVLLVAGHNLVRLVRSLEMTFSSPNIPPEILATLLNLDGDA >Solyc04g049530.1.1.1 pep chromosome:SL3.0:4:42394095:42394343:1 gene:Solyc04g049530.1 transcript:Solyc04g049530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPEILLGSTQFSTVVDMWSVGCVMIEILTRELLFDRNTKVSQIYQVFSMLGGIPNDTIWREFFSISKGEQGEFLKTIQPK >Solyc10g085630.2.1 pep chromosome:SL3.0:10:64871999:64881666:1 gene:Solyc10g085630.2 transcript:Solyc10g085630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMHKLKALLSLSKPFRRNDSSFILSNHYCRQFSAQPSYADYLQEQVLVEGRAKSRAAILNRPSALNALTPSMAGRLSRLYESWEENSDIGFVMMKSNGRAFCSGADVVTLYELINEGKVEECKKFFQTLYKFVYLLGTYLKPNVAILDGVTMGSGAGISLPGMFRVVTNRTVYSNPEAQIGFHPDAGASYYLSRLPGYLGKFNKILLISRFLCGATLVREYLALTGEKLNGVEMIACGLATHYSHNERLPWIEERLGKLITDDRLVIENSIAQYGDIVYPDTRSVLHKFEKIDKCFSQDTVEEIIEALERDAAESHDEWCNTALNKIKEASPLSLKVALKSIREGRFQPLDQCLVREYRISVNWVSKRMSDDFCEGVRARLVDKDFAPKWDPTRLEEVTNDMVDRFFIQLDELEPELNLATAIREPSM >Solyc09g073030.3.1 pep chromosome:SL3.0:9:66129556:66130758:1 gene:Solyc09g073030.3 transcript:Solyc09g073030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKESESQGQGVCEKIFKLAMNISPTFRSFRRNSPLFVATTKPKINHQRNYTANISKPVAVVDQLELKRQQIPSQGKCHQNFSTTSNNMVLVEYNHIVGENSTTTRKFKGKSMKDVNKSSVKNMITINHHHEKNEGPKDDNDRFSNYIDHVKSKMRSISSFDDKYG >Solyc03g112440.1.1.1 pep chromosome:SL3.0:3:64329162:64329623:1 gene:Solyc03g112440.1 transcript:Solyc03g112440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Oleosin [Source:UniProtKB/TrEMBL;Acc:K4BK98] MAEQHSRTQQQQQMQQIHPTEAIKSLLPQKGPSKSQILAVVTLFPVGGALLCLAGLTLVGTLIGLAVATPVFLIFSPVLVPAALTIALAVTGFLTSGAFGITALSSLSWMINYMRRMRGTGGEQMEHAKRRVQEAAGHTGQRTGQKETSRMRD >Solyc06g075580.3.1 pep chromosome:SL3.0:6:47096187:47103012:-1 gene:Solyc06g075580.3 transcript:Solyc06g075580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSQRRGHVSRSPSQTPRSSEKVVRDLRLAEGNMSGRHDKDKGVNVQVIVRCRPLSDDEMRSNTPAVISCNEGRREISAMQNIANKQIDKTFVFDKVYGPTSKQKDLYDSAICPIVFEVLEGYNCTVFAYGQTGTGKTYTMEGGGRKKVVHPIQNGEFPSDAGVIPRAIKQIFDILEAQSAEYSVKVTFLELYNEEISDLLAPEECSKFTDDKSKKPLALMEDGKGGVFVRGLEEELVSSANEIYNILEKGSAKRRTAETLLNKQSSRSHSIFSITIHIKEYTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHIPYRESKITRLLRDSLGGKTKTCIIATISPSIHSMEETLSTLDYAHRAKNIKNKPEINQKMMKSALMKDLYSEIERLKQEVYAAREKNGIYIPRDRYLQEEAEKKAMSEKIERMELDSESKDKQHMELQELYNSQQLLTTELSVKLDKTEKKLQETQHTLADLEEKHRLAITTIREKEFLITNLLKSEKSLVEQAFELRAELEHAASDVSNLFSKIERKDKIEHGNKVLIQKFQSQLTQQLEVLHKSVASSATQQEQQLKHMEEDMQSFVSTKIEAMEELRGLLDNLKIRFGSGIKTLDGLAGELNGNAHSTFDRLNTEVSNHSSALREFFEEIALEANTLVNDLQKSLHSQEEKLIAFAAQQREAHCRTITTSRSFSQITGNFFKTLDTHVSQLGDIVEDALTVSDQKFSELEKKFEECAANEERQILQKVAELLEGSNARKKKLVQTAINDLRESAYDRTSKLKQEMSTMQDSTNSVKDEWTNYMGKAECHYLEDTASVEKGKKEMEKVLQNCLQKAKLGAEQWTNAQRSLISLEERNVAFMDKIVSEGMNANEELRAQFSSGVSSTLEDTDVASKSLLCSIDNSLQLDRDACGNLDSMIVPSCGELRELKSGHHHKVVEITDHAGQCLSQEYMVDEPSCSTPKKRAFSIPSAGYIEELKTPSFEELLKSFWDGKSQKQANGDVKHIADDAHSLRDSRLPLTTIN >Solyc03g123925.1.1.1 pep chromosome:SL3.0:3:72137591:72138076:1 gene:Solyc03g123925.1 transcript:Solyc03g123925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTTQELNESIGRADDEFFENIEQYQSLVGKMLYLTLTRSDIAFSVQTLGQFLQQLKKSHWEAAIREMRYVKREPELGILLSIHKTNKLSVFCDADWASCPNTRRSVSGFLVKHTDSLISWKSKKQNVVSRSSAEAEYTSMANPVSRGSMGDCVDERVRE >Solyc12g088880.2.1 pep chromosome:SL3.0:12:65062927:65067533:-1 gene:Solyc12g088880.2 transcript:Solyc12g088880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKVVLVTGASGYLGGRLCRELFNAGHHVKAFVRRTSDLSSLPPPTDGGSSGGTLELVFGDVTDYQSLLQACSGCQIIFHAAALVEPWLPDPSRFISVNVGGLKNVLQAYKETGTIEKIVYTSSFFALGSTDGYVADETQIHSGKFFCTEYEKSKAFADKVALDAASEGMPIVPVYPGVIYGPGKVTAGNVVARMLIERFNGRLPGYIGQANDRFSFSHVDDVVDGHIAAMDKGKPGKRYLLTGENASFKEVFDIAAMVTQTKRPSFGIPLLIIEAYGWISVLFSKFTGKLPLISPPTVCVLRHQWAYSCNKAKSELDYHPRTLKEGLSEVLPWLKNLGMIKY >Solyc01g105280.3.1 pep chromosome:SL3.0:1:93401882:93406248:1 gene:Solyc01g105280.3 transcript:Solyc01g105280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLCCFNSKFSKLAGGRSSCSSGKGRSNQGPTKYGFSLVKGKANHPMEDYHVSKFVQLHGHELGLFAIYDGHLGDSVPAYLQKHLFSNILNEEDFRNDPHRAILKAYERTDQAILSHSPDLGRGGSTAVTAILINGRKLWVANVGDSRAVLSRRGQAIQLSIDHEPNTERDDIENRGGFVSNMPGDVARVNGQLAVSRAFGDKNLKSHLSSDPDVTNADVDAETDLLILASDGLWKVMSNQEAVDIVRKVKDPEKAAKQLAIEALVRESKDDISCIVVRFKG >Solyc06g060360.3.1 pep chromosome:SL3.0:6:38472761:38474801:1 gene:Solyc06g060360.3 transcript:Solyc06g060360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMSSSSFMRQLSGKEGWKSTSRRWGGGGGGNWKQMEAGFNNMCGGGNGYNGGLVMRKRVMVVVDQSSHTKHAMMWALTHVTNKGDILTLLHIVPHSSSSSSSHCANNKGFSSDSSSSSAAHLASSLGSLCKACKPEVEVEALVIQGPKMATVMSQVKKLEVSVLVLGQKKPSSLFSCLCGRSSEEEFVEQCINTLDCLTIGVRKQRQGMGGYLISTRWQKNFWLLA >Solyc03g093650.3.1 pep chromosome:SL3.0:3:56700761:56707943:-1 gene:Solyc03g093650.3 transcript:Solyc03g093650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTEKAFENKNKNSIGIIFRYADGKDILLMFLGTIGAIGDGISTNCLLVYVSQLFNSLGYGKTQQNDHNFMEQIEKCSLYFVLLGLGVMVVAFMEGYCWSKTSERQVLKIRYKYLEAILRQEVGFFDSQEATTSEITNGISKDTSLIQEVLSEKVPLFVMHTTVFISGVVFSAYFSWRLAIVALPTIFLLIIPGLIYGKYLLYLSGKSFKEYSKANGIVEQALSSIKTIYSFTAEKSVIERYSLILDGTIKLGMKQGIAKGLAVGSTGLSFAIWALLAWYGSHLIMHNGESGGRIYAAGVSFVLGGLSLGMALPEVKYFTEASVAASRIFDRIDRVPEIDGEDTRGLVLEDIRGEVEFRNVKFTYPSRPDTVVLKDFNLKIEAGKTVALVGSSGSGKSTAIALIQRFYDASAGAICIDSVEIKSLQLKWLRGKMGLVSQENALFGTSIKENIMFGKVDATMDEVVAAAMTANAHNFITQLPEGYETKIGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQAIVGRTTLVVAHKLSTVRNADLIAVVSNGCISELGAHYELMEKDGQYARLAKFQRQFSSIDQEQSAEPRISSVARSSAGMRASPAVSASPLRIEDSPIQASPHPPPSFTRLLSLNLPEWKQGIIGILSAIAFGSVQPVYALTIGGMISAFYSPSHEEMQSRIQKYCMIFIILCLVSVVLNLCQHYNFAYMGERLTRRIRLQMLEKILSFEAAWFDEEQNSSGALCCRLSNEAAMVKSLVADRVSLLVQSTSAVTVAMVMGLIVAWKLALVMIVVQPLTILCFYTRKVLLSTMTAKFVKAQCRSTQIAVEAVYNHRIVTSFGSIDKVLDIFDEAQDEPRKEARKKSWLAGIGIGSAQGLTFICWALDFWYGGKLVNAGEISAADVFKTFFILVSTGKVIAEAGSMTSDLAKGSTVVASIFSILDRKSLIEGSNEAKNNSMGTKMTGRIEMKKVDFAYPSRPDRLVLHEFSLEVKAGTSIGLVGKSGCGKSTVIALIQRFYDADKGSLKIDGMDIRLLDLGWYRRNMALVSQEPVIYSGSIRENILFGKLNASENEVVEAAKAANAHEFISSLKNGYETECGDRGVTISGGQKQRIAIARAIIRNPSILLLDEATSALDVQSEQLVQEALDQLMVGRTTVVVAHRLNTIRNLDSIAFISEGKVLEKGTYSYLKDKRGAFFNLVNLQST >Solyc03g117630.1.1.1 pep chromosome:SL3.0:3:68208808:68210772:-1 gene:Solyc03g117630.1 transcript:Solyc03g117630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSEGKAIGIDLGTTYSCVGVWQNDRVEIIPNDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVPGPGEKPMIVVQYKGEEKQFSPEEISSMVLTKMKEVAEAFLGTTVKNAVVTVPAYFNDSQRQATKDAGAISGLNVMRIINEPTAAAIAYGLDKKSSSSGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTVEIDSLYEGIDFYATITRARFEEMNMDLFRKCMEPVEKCLKDAKIDKGHVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGDQKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQIFSTYQDNQPGVLIQVYEGERPMTKDNNLLGKFELKGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKEDIERMVQEAERYKEEDESMKKKVEAKNALENYAYSMKNTVRDEKFSGKLDPSDKQKIEKAVDETIEWLDGNQLAEVDEFEDKLKELETLCNPIISKMYQGGAGGDMPMGGNADTGTGYGQGGSAANGPGPKIEEVD >Solyc01g103090.3.1 pep chromosome:SL3.0:1:91632395:91640873:-1 gene:Solyc01g103090.3 transcript:Solyc01g103090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEPISVEEDDKQATGLQRRVEPISSSSAEVALENEPDEDVEWEYVEDGPPEIIWQGNEIIVKRNKVKVKKKDQDPVIVKEDPNRPTSNPLAPQSEVYADYKNASSLSAQQLLENVAVQTPNFGTEQDKAHCPFHLKTGACRFGSRCSRVHFYPDKSCTLLMKNMYCGPGFAWEQDEGLENIFPENSGGTCFFRRFSWRLLANSVSCFAKLVVKLWLSPVRDVSGVDKVLRYLYTDEEVECSFEEFYEDVHTEFLKFGEIINFKVCRNSSSHLRGNVYVHYKDIDSAVLAYHSINGRYFASKQITCEFVSVTKWKVAICGEFVKSKLKSCSRGTACNFIHCFRNPGGDYEWADLDKPPPRYWLTKMAALFGYADESVYDRRLEQKNSKRMLNSYKTLASDSDSYGSLILRDYSRTIVGSVVVAIRHRYDSRERRSRSRESRSSRIHYDDYDFHKRIHQERGDRTDKKQRKILDKKQFEEGTGQHEEETNQHGKNRYHSSDSDWDLSDREKEGVKRHHSTGRKSRNHHNEKMGLQHRNDHIKKRVSGSDSGDDLLEKKRYGDAGSGNSEKGSRHSKEDRNTDSSSEWLDEKRDRSSRHKKRRSSSRRHNGVPSSPDRWDKNSGSHDNNTTGDWTAAITDKRKPSSDKRVHSDRDHPYHHNEDIGERGRWEPDEGATGTHSKSSKKSVIDDSEDHNVESELNDDGDYSGGTTRGSSRSINRKRRGNSDRMDSAKKSKRYKENDRAHNGKDRSYTGHLSS >Solyc11g068780.1.1.1 pep chromosome:SL3.0:11:53707023:53707436:-1 gene:Solyc11g068780.1 transcript:Solyc11g068780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKNKKIWNCITSNGTAGCGCSKPKLSEIIQPKPKPRPEPEPNAHSSSTSNSDSPSPTIMPAKIVGSVAVVKDSDDPFGDFRRSMLQMIMEKEIYSYDDLNELLNCFLQLNSPSHHDIILQAFMEIWNNGKNYIAN >Solyc03g005820.3.1 pep chromosome:SL3.0:3:553358:558030:-1 gene:Solyc03g005820.3 transcript:Solyc03g005820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYKATYNLLYLKVLLKAFLTHSSHSTYQHILYVSFPILGKTKKDNSMEEVQQKLLDIPNEEICEDENSNIESSGSTNCCSYFAKHKWWYQVAIFTVFTIIGEVVTSLLVKTYFTKGGKSIWLVSLVQNAGFPILLPFLYTVSLKNHSQIEVIKGNQEPHICIIFLVYAVLGVLLGGVSVFNSIGLKYLQVSTHSLTSTTRLGFSIIFSLFHNAHKFTPVIVNSLVLVTAASVILVVHNNESGETSSGKFLLGFFSTIGGSLMYSLTEFSYRKIIKAREFIDIIEMSIYQTLVASIVILLGFFISGDWKNLSMEMEKYQLGKLVIILSFIAMSCQLNVVASIGLVFKVNPLMSNVFNNLGAPITPIFAVVFLHDKIKGLKLVVMFLAFWGFGSQIYQQYLNYMEAKEELIEQNSREVSSS >Solyc01g081175.1.1 pep chromosome:SL3.0:1:80334111:80335494:1 gene:Solyc01g081175.1 transcript:Solyc01g081175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTRNLTSFFSFPFKFINVEGIVEIQAPQKLSSGLLHSQPKSTVGSPACFPFSAKRKALNITTIALP >Solyc04g082230.1.1.1 pep chromosome:SL3.0:4:66058465:66058830:1 gene:Solyc04g082230.1 transcript:Solyc04g082230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPSKKLSNIIAKWRKGKKGQFVVYTKEGKRFVVPLYYLNHPIFKVLLEMAEEEYGSNVNGPLQVPCENELMEYILCLLRTKLGNVEIGEAISSIGTCRETNVSCNFSSLQCDSLVESMS >Solyc10g052773.1.1 pep chromosome:SL3.0:10:53388938:53392672:-1 gene:Solyc10g052773.1 transcript:Solyc10g052773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVPIFIKHNGVWESNYSFVNFSVNGVLITSGCNFKELVSVIANQLEKDLDTNLIDIKYIVKDGYPPMTVHSDMSVRVYIELKKMNAEFTMYPLCVTFKDKCTAGSCSNVNLATVSSDGIQSENKPDTYLPDVVEMISPVRGTNCNGIQGDDKGIMNNQLDTVEIMNSVHGEDSNGVRGDEEGIINNVDIVEPISSVHGEDWNGMLDDDRGIVLIDNPRHQDVVEGQLYLDKETIVNVMRHYAIRNNFQFRVERSSSTSYCLLCPEENCSWCFKSSSLHKSKLFKIRMFNDVHTCSIEERLQSRLSSAGIIGGMIRNKYADSDSVYSPADIIRDMKKDYGVDLTYMRAWRSKQKALKLLRGNKIESYSKLPSYLHMLTHTNPGSIAELQKSEGGSFLYVFVSLDASIKGWKYCKPIVIVNASLLESAHRGTLIMAYTQDAAVNNYARDQPILHLLEYMTKLVQDWHYANKINALETTTKLGKKYEDIMKENYTTSQRMTVKPSSHYVYTVIDDEKQFEVNLRERTCTCIRFQMDEMPCPHALAVITFKSMDAYQYCSVFYNKDHLLKTYDISTYPVPDESIWDIPREVLEEVVLPSTGKIRPGRPKRLRI >Solyc03g007180.1.1.1 pep chromosome:SL3.0:3:1755969:1758236:1 gene:Solyc03g007180.1 transcript:Solyc03g007180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADINVNSVLTSGEDMAEKALSKRYEGLVAVRTKAIKGKGAWYWAHLEPVLIQNPETNHPKAVKLKCTLCDAAFSASNPSRTATEHLKRGTCPNFGAVLRPISQLPPLASPTSQNNHRKRSSPQTGTCSNSQQFGVVNTSPRFCGEMGYSPLQTAQAIATHTGLNQQHLMLSGGKEDLDALAMLEDSVKRLKSLKSSPGPALNKDQVDSAFNLLADWFYESCGTVTLSSLEHPKFKAFLNQVGLPQVSRKDFVGEKLDSKFDEARVESEARIRDAAFFQVSSDGWGRDICKYGEDTVIKFIINLPNGTNVFHKAVYKGGLVPSEYAEEVLRETIKGLCGNVVQRCVGIVGDKYKSKALRNLELQNHWMVNLSCQLHGFISLLKDFGRELPLFKIVTDNCLKIANLFNSKSQIRNHFRKFRSHGVELAGLIRVPSADCNLSKNYGPVIAMLEDILSYARILQLIVLDDSYKVSCIEDPVAKEVAEMIQDVGFWNDVEAVHSLVKLIKEMTDDIEVQRPLVGQCLLLWEELRAKVKDWCAKFSVAEGPIEKIIDTRFKKNYHPAWSAAFVLDPLYLVRDASGKYLPPFKRLTHDQEKDIDKLITRLVPREEAPTALMELMKWRSEGLDPLYAQAVQVKQRDPVTGRMKIANPQSSRLVWETCLKEFKSLGKVAVRLLFLQATSCGFKCNWSFMRWVSLQGQSRVGMDRAQRMIFIAAHAKLEKRDFSSDEEKDAEMLTTANGEDDMFNEVFVDAPSV >Solyc09g057960.1.1.1 pep chromosome:SL3.0:9:52787848:52788633:-1 gene:Solyc09g057960.1 transcript:Solyc09g057960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLHYSFLLILVFCICTAVSVNPLGNFCYDASKSNDAKTSANIGKVLAELVSVSAKDTFSTTSYGDAKNQVYGLYQCRGDVSSNDCSSCIRDAAKEIRKSCPDQTDARIWYDYCFLRYQAKNFFGQAETVPGIFYWNINFVSDPDFFNKKLAQLKNQIIEQAIVQKNKGLGKGKIKISPFLTLYALMQCTRDLQKIDCAQCLAVAVGNFPTTCLNRKGCRVLYSSCYVRYELYPFFFPLEPKEKLANVSMDYHTFKMSEP >Solyc02g031810.1.1.1 pep chromosome:SL3.0:2:27487123:27487398:-1 gene:Solyc02g031810.1 transcript:Solyc02g031810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNLYRLDLSSNNITVDAGTSITFPCLAILDLSSCELKNFPCLLTNVKNLSCLDISNNKIRGQIPKWFSNMRCDALRFLNLSYNSLKGI >Solyc01g100400.3.1 pep chromosome:SL3.0:1:90319356:90320921:-1 gene:Solyc01g100400.3 transcript:Solyc01g100400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVKFPLLQIPSINFFASPTRISRHRRFIFMASQSYFDSPSVATTDYPVPLSPPLPTLSKDIEPNRALTASSKSALFSLSRSHVIFEDEWIIAVNKPQGIYCESVLSSLPDLLNDTGANVSELHLANRLDRDTSGVMLITKLHKVAAKFVKAFTDHKVRKTYLAYCVGLAPKWEKITVKSGHGRSKYGAWRVYAASDAGRKLPGGSLVKDMETSFEVLSVNGLGCFKEVSDLHKDEDIVIVQEKSVIGCDLKKDEILVRASPRSGRTHQIRLHCQYLGIPIRGDVRYEGVYEWKGNIYDSHELHAESLSFEHPITGKSMLLQAPLPSWAIQPLRSQFE >Solyc07g043395.1.1 pep chromosome:SL3.0:7:57236586:57237819:1 gene:Solyc07g043395.1 transcript:Solyc07g043395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLQCMCYAYLMYFTLYSWGMVMYASVPSIGLLFDFQVYPEVHDPWFAVYVIAFISTILENMSESIPEGGSVKTWWMEYRALMMMGVSAIWLGGLKAIYDKIVGTQGEKLYLSDKAIDKEKLKKYEKGKFDFQGIGILALPLIAFSVLNLVGFIVGKRGSKEGPEILMTNETHVVSKGILEIKYRVPSLSELYQEGVTPFGIFLELQN >Solyc01g066400.2.1.1 pep chromosome:SL3.0:1:74090622:74090954:-1 gene:Solyc01g066400.2 transcript:Solyc01g066400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QGSLAPLKAYIGRPWKEYSKTIIMQSNIDAFIDPSGWSPWNTTNFGKHTCFMQSIRTENQVLHLTKKSQHGEVTKREFQETLLTNSLTAISSTQETLGYPRVIFPLSLAL >Solyc02g086060.3.1 pep chromosome:SL3.0:2:49483090:49491116:-1 gene:Solyc02g086060.3 transcript:Solyc02g086060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSPKPLSENRGSSHSATMRQTPLQIIHVVGNFMRIWSVYSMYRYLSQTGASVVLFMFCCLLPSCIWFLVAQKPWKGRALANTQVVPSVVNGGITALYFILWGKGLKSCGPVRAILGEYSGAVLGVLSGVLYGRGGHVWKKVGGLLAMLASFYFLSQGWAMATYSPFPFSNASSDDEAHTEQLVGMKEMLFPILAGILSALRRVIARRVSLKNQLKRRLHAITLTSATCFLFPVAMWDMIIGSNNVELPFSAWAFSSTILFGMILIFYIDSIAEESVQPIIGNYLSALTHDFLFCMHFPSLLLAFHFSIFTFYVVDHCKVAYGFLFSKALIGCGRMHHCHGDSI >Solyc10g079040.2.1 pep chromosome:SL3.0:10:60803085:60807642:1 gene:Solyc10g079040.2 transcript:Solyc10g079040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGETIKHPDEFYPLMKLMLLAKRVEKKTSVWLLQPHWAFCYATLRKVSRSFALVIQQLPSDLRDVVCVYYLVLRALDTVGGTMAFKVLMDQFHHVSTAFLELDTDYLEVIKDITKRMGEGMAKFLSKEVETIDDYNEYCHYVAGLCGLGLSKLFYASGREDLAPESLSISMGLFLQKISIVRDYLEDINELPKCRMFWPRQIWSKYVNKLKDFKYEDNSVKAVQCLNEMVTNALLHVEYCLVYMYNLRDPAIFQFCAIPLVINMGNLTVYYNNVVIFKGVVEMRRGIDTLLVNMHIIDQTRTMADVYGAFFDFCCIMESKVDRDDPNATSTLKRLEEISKTCRDSGTLLNQRFDVEKKEAKVTPKF >Solyc01g066790.1.1.1 pep chromosome:SL3.0:1:74790174:74790368:-1 gene:Solyc01g066790.1 transcript:Solyc01g066790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLMNKIYFLLLIVNIFMLLLLLLMLLLLLLLLLLLLLLLLLLLLLFFFVCIDYYTYFFEQLS >Solyc11g007160.2.1 pep chromosome:SL3.0:11:1589419:1602638:1 gene:Solyc11g007160.2 transcript:Solyc11g007160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGNISREQLKIIMHLLLPLCIYWTAEEMSVSIIADITTNALCPSKSTCSQAIYINGLQQMVVGICKMVVIPVLGQLADEYGRKPLLLLIVSTAIFPSAILAIDESKGFVYAYYVLRMISHIMSQGSIFCISAAYAADILDGRSRAAAFGWMHGILSLSHVLGNFLARLLPGTYIFEAFKLTPDVNQHLRWSSKAYQLVQDRYSSMRYALHVVTSSSTLKCICLVSFFYDMGMSGISSVLMYYLKSAFDFNKNQFSEILMMVGVGSIITQVFFTEILDLFSVLRILVFAAVISGTCIKRLSLRYIYEQALLYGLAWASWVPYFGALFRMVYILERPSTNALVSKASSSSDQGKTQGVVAGAEAIGSFLAPLVMSPLTSWFLSSNAPFNCKEPLSILEVSQPRETEIATRAPHVQSDTAAEVPQVQSDTVAEVPQVHTQLNDVADQAPQVQSNRCATCRKRVGLTGFKCRCGVTFCGSHRATSITASSSSITSIYPSLPNSTSTQSHWMVLMETPPNGVSSKIEVIDYYVETLERVLGSEEDAQMCIYNASCNPPYGFCCDIDDAVADEIAGMPGVLSVIPDPDFDSMVKDYSYTNGQLSSPSTSYTEGSSLFPPGTSKHWLVRMARPSVGVIRKGPMVDYYVQVLTKVLGNEKDAQMCLYHVSWQANYGFCCELDEACAQELAGVPGVLSVQPDENFDSDNKDYGGGNLKLSVDSQESTASKEETDVRTKKLFVTGLSFYTSEKTLRAAFEGYGELVEVKIIMDKISKRSKGYAFIEYTTLEAASAALKEMNGKIINGWMITVDVAKTNPPKYSKGRPGTTR >Solyc09g061510.1.1.1 pep chromosome:SL3.0:9:59591855:59592136:-1 gene:Solyc09g061510.1 transcript:Solyc09g061510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIELEGAGDGQVRTLEVEIHPAGVKMIVVTKGNADGEDEHELNVDTYWRYRLTTSTMPELVTVVFVDGDLIVMVPKYDQGRRQFDNGSRVV >Solyc07g005860.3.1 pep chromosome:SL3.0:7:677319:685174:-1 gene:Solyc07g005860.3 transcript:Solyc07g005860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYESEIALKKISTEEAKRAPLIQAEKNHGNTRRPRTREVSSRYRSPTPSASSGPRRCSSPNVTRIGTTASTLSLPKRAISAERRRPTTPLSPTSPSPSTPVQDTSAEELLSRKMTGNRLAESLWPSTMRSLSVSFQSDSFSLPVSKREKPAPHALCDRTLRPSSNVVQRQETPPGSRKATPERRRSPLKGKNSSDQAENSRPVDSLNARLVDQHRWPSRTNKKVPSGIMNRSIDLSDKNSKIAPNTRPVTPTLRRLSLDGYSRPLQKSASELLSLISVDDNSLSMQKSRPSTSLDRTVSTNPVARSQTVSAPGSRLPSPNKASVLSSSASRGVSPSRTKSVPSTPSRGPSPSRIRPSSPSKQQPKTATSVLSFIADIKKGKKAANHIEDVHQLRLLYNRHLQWRYANARSDAALHTQKAKTEKTLYNVWRNTSDLWTSVIKKRIALQQVKLRLKLFAVLNEQLTYLDEWASIEGDHTSSLSHAIQDLQACTLRLPITGQAKGDIESVKEAVCSAVDVMQAMGSSMRFILSRVEGMNCLVSELADVAAQERAMLDECEALLASTAAMQVEEYSIRSHLIQLKQAWRNDEQLILGN >Solyc06g034170.1.1.1 pep chromosome:SL3.0:6:23862455:23862781:1 gene:Solyc06g034170.1 transcript:Solyc06g034170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVYTSFQERATFITHGNMARLAKKSGGPVLARICGTVAADEKRHENAYTRIIEKLLEVDPNTTIEAIASMMRKRITMPLHHMNDGQDPNLLDHFSKTGHLHNSPLC >Solyc01g098555.1.1 pep chromosome:SL3.0:1:88920232:88925555:-1 gene:Solyc01g098555.1 transcript:Solyc01g098555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISMDWSPVRDSTSDFESFEYDSSNIYKMTTVFVDNKVLVTCPFCGLVLTHLLLVEVPELSKKIDRIITSHVESHRLASSSRTVEAKRKGSPITSVMPKKPKKADP >Solyc01g017235.1.1 pep chromosome:SL3.0:1:23813834:23814152:-1 gene:Solyc01g017235.1 transcript:Solyc01g017235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGTKQEQEGSTEEDHYPFPSLFLEEGLDPDKKLKKLMIYDNTSNSPIYNYQDSYLNTNNTGNLENCKLQIANA >Solyc04g008660.3.1 pep chromosome:SL3.0:4:2289156:2300082:1 gene:Solyc04g008660.3 transcript:Solyc04g008660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMAAFIKLEDSPMFQKQVRGLEQTTDDLRDRCQKLYKGCKKYMEVLGETQNGDIMFAESLEAFGGGLDDPLSVSLGGPIIMKFISALRELATYKELIRSQVEHVLVDRVCQFLSDDLRDVKESRRRFDKAASTYDQARERFSSLKKNARDEVVTELEEDPSEESFTCLFSLRKKNEVKGVALGGTCFYEVCPAFCIPLFYISAYLSRKTFEVIRSQNWELEFYSFFCDRSFITQSPLLREAVSILYVNAITNVDAKKKYEFLESFSAIMDAHLRYFKLGHDLLSQMEPFIHQVLTYAQQSKEQASIEQDKLAKRIQEFRTQAELNHLRGSSNLGTSTSSIASNGVGMNSDKNIEAIMQSSAEGAVSNITKLPC >Solyc02g093443.1.1 pep chromosome:SL3.0:2:54956998:54958272:1 gene:Solyc02g093443.1 transcript:Solyc02g093443.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHNHISLLSNNRGFLNLSGHSHHLKREVQTASTTSQSFDMLPITASCASTCTKTIWGATDTNLEELKMMIEDSQTSLARMSGRINGYLANPKKALAEEGATSRGDGILPRPRGVAIDDSPYDSVPSR >Solyc06g065300.3.1 pep chromosome:SL3.0:6:40839984:40841467:-1 gene:Solyc06g065300.3 transcript:Solyc06g065300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSTMYWGVPLSAHLVVIMGTQYYNGREYAYTDYPVTNLLQMMGHASRPLVDISGKCVILCHAPRKDYYYKFLYEAFPVESHLQYYLHDSLDAEYRTWTFMYRRLTHNPNYYNLHGVSHRHLSDHLSQLVDNTISDLEASKCITVEDAFLLSPLNLGMIASYYCISCTSFSSSMTSKTKLKGLLEILASSLEYEQLSIRPGEEELIRRLINHQRFSFENPKYTDPNMKVNALLQAHFSRQVVGGNLASDQQEVLVSATRLLQALVDVISSNGWLSLAVLTMEVSQMVTQGMWECDSILLQLPHFTKELAQKCQENPGKSVETVFDLVEMEDDERRELLEISDLQLMDVAQFCNSLPNIDLTYDVLGSDNLKVGDNVNGGLLLVIQRATNYFFASPVEAGTRAYTLYFISDSYYLGCDQEYIFTLEVQKLVNN >Solyc03g043970.2.1 pep chromosome:SL3.0:3:7800274:7803507:1 gene:Solyc03g043970.2 transcript:Solyc03g043970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKKKFKESFILHCDAGDRLFPVVVGCLLFLYLFSFAHQRNAQSGETHLSTNQRAQFSILEEVEEENIQLPQPRKRSTRAAKQKPKQPTTFIDEFLDESSQIRHVFFPDQRTFVDPRKDYGNDTYYYYLRRIWLDTEGNPIQAHEGGILYDSRTKMYYWYGEYKNGPTYHAHKRGAARFCISSLGSSTELELTPVQFLAEQRRLQSLLFIPIFVVDVIGVNCY >Solyc03g111630.3.1 pep chromosome:SL3.0:3:63745156:63750899:-1 gene:Solyc03g111630.3 transcript:Solyc03g111630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSEGFLTEQQREMLDIAPVNVEVLSSSPKSPTLKSPGAKSSSILLSDLHVKASGSGAGIAVRHVRRTHSGKHIRVKKDGAGGKGTWEKLLDTDVESHLDRNDPNYDSGEEPYELVGTAVSDPLDDYKKSVVSIIEEYFSSADVELAASDLKELGSTDYHPYIIKRLVSMAMDRHDKEKEMTSVLLSSLYADVINPTQIRQGFYMLVESADDLAVDIPDTVDILALFIARAVVDDILPPAFIARVGKMVPESSKGFQVLQTAEKRYLSAPHHAELVERRWGASTQFTVEEVKKRIADLLREYVESGDIAEACRCIRQLELPFFYHEVVKRALVLAMEIQSAEPLILKLLKEAAEEGLISSSQMVKGFSRMAESIDDLSLDIPSAKMSFQSIVPRAISEGWLDASSLIATGENGQANGPDDEKLKQYKKQIVSIIHEYFLSDDIPELIQSLEDLGQPEFNPIFLKKLITLAMDRKNKEKEMASVLLSALHIEIFSTEDIVNGFVMLVESSEDTALDILDASNELALFLARSVIDDVLAPLNLEEILNKLPPNCISGAETIRTAQSLRSARHAGERILRCWGGGTGWAVEDAKDKIQKLLEEFESSGVLSEACHCIRELGMPFFNHEVVKKALVMAMEKKNDRMLDLLQACFSEGLITINQMTKGFGRINDGLEDLALDIPNAKDKFTFSPLIEKEAVPIEERSAA >Solyc09g009175.1.1 pep chromosome:SL3.0:9:2504815:2505678:-1 gene:Solyc09g009175.1 transcript:Solyc09g009175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNFFVVVRQDLVYAYDWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHHFLGDPDSDIKNAKNFCGRPSRPCLCIRLAITACPTNLEGQTSLEASIPLISMIFVCYSTPFFWVIRIPTSKMPIFFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYP >Solyc07g055120.3.1 pep chromosome:SL3.0:7:63375315:63382011:1 gene:Solyc07g055120.3 transcript:Solyc07g055120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPLFSSETETTKNTQSETEFIPKNLPNYNKPKNSHCSQTESLSMAAQLTCVTAINDLPDVILSNIIAVVSDVRSRNSASLVCRKWMVLERSTRSSLTLRGNVRDLIMLPTCFRSVTHLDLSLISPWGHPLLSPTAFADPFLIAQLLHHAFPAITSLVLYTRNPLILRILPPLWPNLKEIKLVRWHQRPQLSAGEELSMLFTENCPKLHSLDLSNFYCWTDDIPVALESHPTVAANLTSLNLLNSSFSEGFKSDEISSITRACPNLKEFRVACVFEPRGDRNDEGFTQEDAKFSASTLIEVFSGLPLLEEFVLDVCNNVRDTGSALEILNKKCPKLRLLKLGQFHGVSMPIESKLDGVALCEGLQSLSIRNVGDLNDMGLIAIGRGCSRLTKFEIQGCKKITMRGMRTLASLLKNTLVDVKISCCKNLGASYSLKALEPIQDRIQRLHIDCVWDSVEEFEDLDGYGYGFDLNVNDGGQASSNSAGSGDTFECEEDAFTFKQQKRCKYEKVNSHANGHSGQSWDRLQSLSLWIEVGELLTPLTAAGLEDCPNLEEIKIKVEGDCRLWSKPSGREFGLSTLLYYPMLSKMHLDCGDTIGYAHTAPSGQMDLSLWERFYLLGIGNLSLTELDYWPPQDRDVNQRCLSLPAAGLLQECVTLRKLFIHGTAHEHFMMFFLRIPNLRDVQLREDYYPAPENEMSTEVRADSLSRFEAALNRRPISD >Solyc11g071990.2.1 pep chromosome:SL3.0:11:55585960:55588840:1 gene:Solyc11g071990.2 transcript:Solyc11g071990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPPEWGDGGRGRRVVVIGGGVAGSLIAKSLQFDADLTLIDPKDYFEIPWASLRSTVEPLFAERSLIHHKDYLANGRLIVSEVTNITNKEVLTADGHQVTYDYLVVATGHYDPLPVSRTDRLEEYQTENEKIKEADSILIVGGGPTGVELAAEIAVDFPQKKVTLVHDGSRLLEFIGPKASDKTLEWLKNKNVEVKLMQSVDLSNNTDNSGGNRTYFTSSGETIRADCHFLCTGKPPGSEWLRETYLKDRIDNFGRLKVDENLRIKGHRNIFAVGDITDIKELKQGYSAQKHALVAAKNLKLLMSGGKESKLAIYEPRSSPKIIVPLGRQDAVAQFTFTTIIGLVPGMIKSKDLYVGKTRKKMGLQPK >Solyc10g008700.2.1.1 pep chromosome:SL3.0:10:2758645:2761130:1 gene:Solyc10g008700.2 transcript:Solyc10g008700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDPVTHSPRLDFLDLSSLFNSTRLNLSSLLGLQALVNPEIFKLANSLLLSHTHENQELLLQNQNSQGPELLLQKLQLLQNQSSIFQHNDQLHHQVSEIPNCTPQNVSSSSSQSMQGNMGHYMMNNDQMFQKNVMLPLQNYSYSATDVSENSMIQSLNNNNNNNNINNNQNFNFDTPLSSTEEEKESYCSNFNNFMKFEIPDSLDFDDLL >Solyc06g082140.3.1.1 pep chromosome:SL3.0:6:48095646:48096503:-1 gene:Solyc06g082140.3 transcript:Solyc06g082140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTMVTWCKSYRGWAHFSDDLPQSPLVISTLGFPFIFHQSSLPFASCSFSSSSVSSLRPGSE >Solyc08g079630.3.1 pep chromosome:SL3.0:8:63230382:63234805:-1 gene:Solyc08g079630.3 transcript:Solyc08g079630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSNSGVTVVGSDAPSDYHVAARTTENPTTQTVTSQIVVVSPTPAIAVSVGAGAGLTALSVKKKRGRPRKYAPDGSVNVLSPKPISSSVPSPVIDFSSSEKRGKIRPVGLVSKVHQQPKVDLETPGEWVSCSVGANFTPHIITVNTGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSETGGMRNRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQIVIGSFLAGDQHEQRTKKNKPEPIIAAVPLPETEDPYQSSVKQTTPTSSSFRVDNWSAPDSRNKPAEINVSLPA >Solyc04g071995.1.1 pep chromosome:SL3.0:4:59135791:59136956:1 gene:Solyc04g071995.1 transcript:Solyc04g071995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQKAIAVLPIPTIPTPAPPPTLNAYSRQLQPPSKLANLVDVSKSNRGAQSNLGIEVAQFGHGIVITQRKYALDILEDVVMLDAKHVDFPMNPNTKLISG >Solyc12g006320.2.1 pep chromosome:SL3.0:12:840856:847679:-1 gene:Solyc12g006320.2 transcript:Solyc12g006320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLPCPLRYSKMNSLFLLRKSSSSLTSKRVSINSISHIFSDKFSPRNALRVPQVQSFTTSLTVSAPPMNRVDGKFGYSVKGFHGGGCCLQAATATAMAMVELEEDCEEGLEISKLGISEEIVSALAQRGITSLFPIQRAVLEPAMQGSDMIGRARTGTGKTLAFGIPIMDKIIRFNRKKGRGRNPLALILAPTRELARQVDKEFYESAPILDTLCVYGGVPISRQMSTLDRGTDIVVGTPGRIIDLLKRGSLNLSDIQFVVLDEADQMLNVGFAEDVETILENIRQKHQTMMFSATMPSWILKLTKKFLKKPIHVDLVGDSDQKLADGISLYSIACEMRQKPAVLGPLISEHAKGGKCIVFTQTKRDADRLAGAMQRTLRCEALHGDISQSQRERTLSGFRQGQFNVLVATDVAARGLDVPNVDLVIHYELPNNSEIFVHRSGRTGRAGKKGSAILMHSSKQHRDVKGIEHDAGCRFTELPTIKVEAGAVEMYSEMGKDDGRFGSSGGGTMRSRSSDFGGGRSGGYGNKSSKFGREVSSSSVRTGGYSATGSGRSRGGFSGPSSGRSGKFGGGSGDTRGSKRLGGFRNFGGFGSSKSSNRSNNFAEEFDSGRSRRFGDSDHDRNNRSSRFDVFGDDNDSGEW >Solyc01g100170.3.1 pep chromosome:SL3.0:1:90112835:90118096:1 gene:Solyc01g100170.3 transcript:Solyc01g100170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRNRTLVFRKYRDALRSVRIPAGSSTSTSSGHGSGPVIELATTSLLNPNRSYAPLSTEDPGTSSNGPVTVGLPPAWVDLSDEITANVHRVRTKMSELAKAHAKALMPSFGDGKEDQRRIEALTHEITDLLKRSEKKLQRLSAAGLSEDSNVRKNVQRSLATDLQSLSMELRRKQSTYLKRLQQQKEGPDGVDLEMNLNGSHSRRDDDDLDDLGFNEHQMAKLKKSEAFTVEREREIQQVVESVNDLAQIMKDLSVLVIDQGTIVDRIDHNIQNVASTVEDGLKQLKKAERSQKRGGMVMCATVLVIMCFIMLVLLILKEIIF >Solyc03g096355.1.1 pep chromosome:SL3.0:3:59831532:59834843:1 gene:Solyc03g096355.1 transcript:Solyc03g096355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDESSSHIKQNICFSLGPRVSEKSSAFCLSKCRTKSNCRFNNVKSQSSSLPHRVYKQLLYNWSSSGASMSGTYKTRQNIVQSLIVSAKGTLKSNAAKLWDILLTLSQKGKAPLQVLLHIKLKAHEMLGIQSMKLLVPKVVLFFLRINTNKSFSYCLIMSLSICRCSHKFPVLSMLPQDDATSGGDTHIVPPSFEVETMVHEVDHMAPIPAYEADTMNKGLGGAKQVCTPLELNYKLTSVKYDEHINNELVEDDNILADPAKYQRLIGRLLYLTMTRPDIAFSVQVLSQFMHCPKQPHMYVALRVVRYIKKAPDLRLLMPAGDTIELTAYCDSDWGAW >Solyc01g098220.2.1 pep chromosome:SL3.0:1:88673006:88681235:-1 gene:Solyc01g098220.2 transcript:Solyc01g098220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERSSDGADRRKGPGKHVSGAVEQQASGVLPASTAPCGACKFLRRKCINGCVFAPYFGSDQGAARFAAVHKVFGASNVSKLLLHIPANRRQDAVVTITYEAQARLSDPVFGCVSTILALQQQVASLQSELAIVQTQVMNSRYAMANAYHNISQEQHIAILQPIPSALFVIYLASQAKRSFSKVSNGRSHVVAVLYAILWLVSIFNLAWCSIQAWECAPGKQFMWSVISLFTTSGMLFLEVSLIAFLLQGNHASGREALTQTFLISAIVVGLDISLKGLVQCGKIEKLLGKRKSGHRKGCANVDDTGILNGKGRGNRGIDPCYLFSQGLYLFGFGIQLFDVSNNGSQWALWVIHKLLLTGVYGLIFFMYRSTWRERLPARPAFQNYISIMFCVNATALLACALAAHGTGFGIWLYNITVICYHAMYLPLLYITFLADFLQEDDLHLENVYYSEMKDAGFFDVDWE >Solyc10g048140.2.1 pep chromosome:SL3.0:10:44315304:44316707:1 gene:Solyc10g048140.2 transcript:Solyc10g048140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREGATCGGYKLKNQAEAFEEMISEVPFWYRAGESTNSILNRSPKVEISQEQPPGLSVILLDKHDTILSGAKRKAITLIEKVVDKPSLSSAPLKNVTEWNCELCQVCTTSQDGLNDHFQGKKHKRKVAAIREHKDDKNCSIGLLPKKPKLTQPMERPCDDLISGEKLEEESSAINDNDPASLLIDDSATDLRKRTTHEKQEFPFWCDTCQIGTFSEIVMEAHKIGKKHKCKVENESYSIVLSPTKSQFIQLVEHPSDDMITGKKSEEESPGTNDNDQP >Solyc10g086650.1.1.1 pep chromosome:SL3.0:10:65552572:65554182:-1 gene:Solyc10g086650.1 transcript:Solyc10g086650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSNFIFIFIFLIQFFTIPSLADLPGTWELLVPDAGIASMHTAVTHYNTVVLLDRTDIGPSRRRLPPHHCRNDPNDPILKKDCYAHSLLLDLETNSVRPLRILTDTWCSSGQFLPDGTLLHTGGDLDGFRKFRKFTPCESSSSVCDWEELQNVQLSQGRWYSTNQILPTGEIIIVGGRAASSVEFFPPRETGAVEFPFLTQAEDRQHDNLYPYVHLLPNGHLFIFANNKAVMYDFTANNIVKDYPILDGGPRNYPSAGSSAMLALTQDYSSATIVICGGAQFGAYLQRSTDTPANGSCGRIEATGENPVWEMEDMPFARIMGDMVMLPTGEILIINGAQAGTQGFEMASNPCLNPVLYRPDEPLGLRFMTLNPGTIPRMYHSTANLLPDGRILLAGSNPHFFYKFGVEFPTELRIEAFSPEYLSADRANLRPVLVELPEKLKYGEDFGVAVTVELPVVGIIEVNIASAPFSTHSFSQGQRLVKLKVTSAIPDDAGKYRIGCTAPPDGKVAPPGYYMVFAVNQGVPGVARWVQIVV >Solyc04g077090.2.1 pep chromosome:SL3.0:4:62126109:62127665:1 gene:Solyc04g077090.2 transcript:Solyc04g077090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSKTAIVSGNIKDGKLKLRNEVLPLGRWPDHAQLLYQNRHNFKESGKPLSFKMYKDGSWMDFEKHAMDVLISAFVSGKAIIEVETEAGFKLLIDFYRMFGIDLDTGNELPISWIDVNGNNFIPKIFIDDSENENPMIEKRGTEVGSSSQLVIANPTESAPPKWARTRSMREEEYAYQTVKGYLLSSRSGVTITGIHQCMISGVREQVFKDNVEMLTRTRGNPKVVLAWYGTSSKNVDTIMHHGFELTRLEQGHRGIGIYLSPLESPQISEMMSDVDENGEKHMILCRVILGNPEKVELGSQQLFSSNLDNFDTGVDDLNNPKLYVVWYHNMKTHILPECIVSYKLDRHMPGQQNCGAHTEDRWCRLLFKLPNLLPLTKKLELKSLHNSYEVGKEVAHRHFISDIEAIVQDDQLMRSIITEFLPEMRFCQTCWDKKGIIVS >Solyc03g007740.3.1 pep chromosome:SL3.0:3:2271227:2274258:1 gene:Solyc03g007740.3 transcript:Solyc03g007740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4BEJ6] MADHAGEHEPVVESVMEKINEKLHDHNSSSSDSEDEKEKISSVDAVKAKIYRLFGRERPVHKVLGGGKPADIFLWRDKKITAGVLGFATSLWVLFELLQYHLLTLVCHILILALAVLFLWSNASTFINKSPPQIPDVILPEDIVLGVAAALRIEINRALEVFRDIASGKELKKFLGVIAGLWVLSILGNCWNFLTLFYICFVLLHTVPVLYEKFEDQVDAFAEKAEAEIKKQYAVFNVKVLSKIPRGPLKDKKLL >Solyc01g010502.1.1 pep chromosome:SL3.0:1:5413621:5421607:1 gene:Solyc01g010502.1 transcript:Solyc01g010502.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASVKAMYSASVVDKVTVGCKVAFQLTTDPPRMSFYWDKIPTKRRRSLLHRGGKERWKRICLPSSSFEPKENKYKVVSAEYHAQEGYIKYWIFTLGIDKSWRESQIIFSCVPSTSPSVCISGVIYQFIYESVINDYKSAIVAFDVKSENYEIIALWEEFGLHYHELIEVKGKLAVIDYDYESMQSGYMDMWILEQTPRKLSFILF >Solyc05g025630.2.1 pep chromosome:SL3.0:5:35287264:35287796:-1 gene:Solyc05g025630.2 transcript:Solyc05g025630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEQVNVPTDLPPQLLLIHQGQKDLKELHWHPQIPGMVISIAADGFNILMPSNIENVLPA >Solyc11g065490.2.1 pep chromosome:SL3.0:11:51221467:51230728:-1 gene:Solyc11g065490.2 transcript:Solyc11g065490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFAAAAAAVNFHPVTTRYGGALALQPSPSLRTSVSISNNAVNTNAARVASLSLSMNSSHNFTSGRRTFLMLSFAAVGGGGGDSDDNFNGGNGGGNGGGGGGGDGGNEESGDQKRNKKEALMALTEAGRSLDSLPKDLKAAIEDGRIPGSIVLRYFELEKSPLMAWLLRFGGFKERLLADDLFLAKVGMECGVGIFTKTAAEYERRRENFFNELEIVVADVVMAVIADFMLVYLPAPTVSLRPRMAAVNAGRIAKFFYNCPDNAFQMALPGTSYSLLQRIGAIARNGSKLFAVGTASSLVGTLVTNAVLNAKKAVNSSAAEEVENLPVVSTSVAYGVYMAVSSNLRYQVVAGVIEQRILEPMLHKHKLMLSAMCFAVRTGNTFLGSLLWVDYARLIGIQKAHDEAPSQKAHEA >Solyc04g024580.1.1 pep chromosome:SL3.0:4:30069272:30071462:1 gene:Solyc04g024580.1 transcript:Solyc04g024580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTIFQVSGMEKSYRPEACRNTAASTHAPSFSGLGTIGTGRSYLVRYLATNSYVPFITVMLNKFLDNKSKGFLLDEIDIDDSDDIDDSNNLDASEDINRDLDTELELLTRMHGLTVDMIPEINQFYITLKFELAKAMSPCIIWISKIHDLDDCERCSTRNILASTHIPQKVDPALIDPNKLNMCIKIRKLLIPQQRKHYFTLSYTRGFHLEKKMFHTNGFGSITMGSNARDLVSLTNEVLSISITSLG >Solyc06g051360.3.1 pep chromosome:SL3.0:6:34759770:34764965:-1 gene:Solyc06g051360.3 transcript:Solyc06g051360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTQEHHLHHLPTPYGTTTAPPPTPSAHSNHNLLSTSEAADSLSRLLHRIPPTLSLSFPGRRQSPPPTTVSPHLISLSDAKSILHSNLLFAAKQHGFFQLTQHSISSHLAQSAESESASIFNDEKQLCFPKNWPLGFDNDEDDDDDVVSGRSICLDESSSMELGFSSIHEFTSQMEKLGLELIEELACAVGFENPVREDRTRLCSLMWISDTESGSNNMPLSPGRIYPYVVGLNYQIRCRKYSMLADSSWVSVTPQVDSVMVTLGDIAQVWSNGKVKQVRGRPVPITNGEINSGSSNSHCLSMTLLVTLAHENTVSPLLRIKSLSLSEDDDGLGNNDSKTIAVEEESQMFNSFSFEDYAWRVYHERLILKDPLVRYRV >Solyc10g062010.1.1.1 pep chromosome:SL3.0:10:23928601:23928840:-1 gene:Solyc10g062010.1 transcript:Solyc10g062010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPPFFECPTHFNGLLADQELSRCSRRLLSRRSACYPNFSVSWGPPIAIAISYSTSNRNHKGPPREAKVGLAVSPIELY >Solyc10g076555.1.1 pep chromosome:SL3.0:10:59628281:59636525:-1 gene:Solyc10g076555.1 transcript:Solyc10g076555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGNFLHRVISYVENKLIVNGLANKQVIASMEAVSVLSTSVFIHAAVQTKRKIADQIEDVSKNFEVLTVELLKSYKNKRRYEN >Solyc04g050680.2.1 pep chromosome:SL3.0:4:47951383:47954119:-1 gene:Solyc04g050680.2 transcript:Solyc04g050680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSSTTKYETERSRTRRRNEIVLGKFTTNPTKWSYIINNTTFFGFCANLVMFGAFWSLFLELFLCSFGVYFWSFSRAALESIFGAFLVQFWSLFSELILCLLEMFSCCYGDFSLLFLVQFWCSFSAFWWWC >Solyc10g018460.1.1 pep chromosome:SL3.0:10:8109733:8110496:-1 gene:Solyc10g018460.1 transcript:Solyc10g018460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFAVQCSKCFKWWYIPTEERYEKIREHLLECPFYCEDAREWRPSISCNYLPDITQEKKYGHLISLVFLSLLRDGNKLYYDSTTGIRLRSIPEVKRYQHSEYASQGVKLEKFSFKTPRSLQQEYSNKRSPMPPTHSDAINGANAGMSMCMCM >Solyc10g044480.2.1 pep chromosome:SL3.0:10:26467720:26477844:1 gene:Solyc10g044480.2 transcript:Solyc10g044480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4CZH3] MLPTAPSLSLVLFLAAFIIFPPALASESDHKYQADDPVTLWVNKVGPYNNPQETYNYYSLPFCHASGNTHKWGGLGEVLGGNELIDSQIDLRFQKNVDKGSICELELDEMKVKQFKDAIENNYWSEFFIDDLPLWGFVGELHTDRISDNKHVLYTHKNINIKYNKDQIIHVNLSQESPKPLEAGRTLDMTYSVKWEPTNITFAHRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLETLERDVSEESGWKLVHGDVFRPPHYLALISALVGTGAQLALLVLLVILFAIVGTLYIGRGAIVTTFIVCYALTSFISGYVSGAMYSRNGGKSWIKSMILTASLLPFLCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVFGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSLMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFSSAASTAVYVYLYSVYYYYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGFLGSNLFVRRIYRNIKCD >Solyc04g051780.3.1 pep chromosome:SL3.0:4:51010170:51016331:-1 gene:Solyc04g051780.3 transcript:Solyc04g051780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVFLGMPGTWAGNMHEAADHYTTKIGGLPDWPIPLPSASVDLFKCSACRSDLSLLAQVYAPISHKSLNIEERVIYVFCCLMPECGSSTVSWRALRIQKSVNGEGLKSQSDKAVSSSASSVSDSKEECKRDISSSDSLEEDDDEDDDDIDLAELGRALSEAASLASQSKKQNHGRKLTAKTSSPVCADRVIDKKLPVVPCFYIYAEEEKLPKKDASVCSKNISSPIKDHESDPDTKEETWEVEDYEYDRALNADRVYLKFKKRVDAYPEQCFRYSYGGRPLLASEDAGNPGMCRLCGAARQYEMQLMPPLLYFLQEAVSEKEKHALDNWNWMTLIVYTCSQNCSNDNTSDDGWTVAEEVVVVQYE >Solyc12g099560.2.1 pep chromosome:SL3.0:12:67643333:67653513:-1 gene:Solyc12g099560.2 transcript:Solyc12g099560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQKQQQFLVSSVSELTSSSSSLFSKTEPVFARFSLDSGLPELRFGQGAELSDAVVFNVKISQLFKLGPVESLCVSEANKEKSHSRGISIQFRNEEESRAFHCAFEQWKKEVVVEECSLNNGAVSTSKSKFDDKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYSAVIENRADFLGRIVVDVGAGSGILSLFAAQAGAKHVYAIEASEMADYARQLIAGNPSLNDRITVIKGKVEDVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVQNGKMFPGVGRIHMAPFSDEYLYMEIANKATFWQQQNYFGVDLTPLHGSAYQGYFSQPVVDAFDSRLLVAPAVSHVINFSSVKEEDLYEIDVPLRFLSTVSTRIHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLPQPLYVMPGQEITGRLHLVAHKAQSYTIYLTLSALVGDMLQTSSVKLDLKEPYYRMSQPQSYSAAQDQNPSQLLQSDMQFPSRDDDGSILMQPPSPNELHSL >Solyc01g017040.1.1.1 pep chromosome:SL3.0:1:23705203:23705739:-1 gene:Solyc01g017040.1 transcript:Solyc01g017040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSTRVLPCKNIEFQGLFLSILFLHKLLSTVSICSLLFLFIVGSFSHELILILQCCINLNVSFDVQHCNTRQILPTSKCCRHRTSVFLSSLPARNFALWWSLPMLPILAERPLHKFQGSFHCFDSDSLTRSPLFDFAILSNLLACDNFFGVFDFSFGPLFQLDLFLTLGFSIFLSLS >Solyc03g122370.3.1 pep chromosome:SL3.0:3:71717904:71727417:-1 gene:Solyc03g122370.3 transcript:Solyc03g122370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLEKAILISFDESGAVDSALKAQAVGYCQQIKETPSICSICIERLCFSKLVQVQFWCLQCLHEVLRIRYSSMGPDEKSFIRKSVFSLACYESIDDKNLVRVLDGPAFIKNKLAQVMVTLICFEYPMIWPSVFVDFLSNLSKGIVVIDMFCRVLNALDEEVISLDYPRSQEEVAVAGQIKDAMRQQCISQVVRAWYDILLMYRNSDPDLCCSVLDSMRRYVSWIDIGLIANDAFVGLLFELMLVSGFPDQLRGAAAGCIHAVAAKRMDPKAKLTLLQSLQIRKVFGLVAEDNDSELVSSVSSLLTGYSTEVLECSKRLNSEDGKAVSTELLNEVLPSVFYVMQNCEIDETFSIVQFLSGYVGTLKSLAPLTETQSLHVGQILDVIRSQIRFDPAYRNNLDMLDKTGKEEEDRMTEFRKDLFVLLRSVGRVAPDATQLFIRNSLASAVASNGDVNVEEIEAALSLLYAFGESLSDETMKTGNGLLGELIPMLLSTKFPCHNNRLVALIYLETVTRYMKFFQENTQYIPLVLSAFLDERGIHHPNSNVSRRASYLFMRIVKLLKAKLVPYIETILQSLQDTVAQFTTIYAVTKGLSGCEDGSHIFEAIGLLIGMEDVPLEKQSEYLTALLTPLCQQVEDLLVNAKAQNPEESPAKITNIQQIIMAINALSKGFSERLVTASRPAIGLMFKQTLDVLLRILIIYPKIEPLRCKVTSFIHRMVDILGSSVFPYLPKALEQLLAESEPKELAGFLLLLNQLICKFNTGVQDILEEVYPAIASRVFNILPRDAFPTGPGSNTEEIRELQELQRTFYTFLHVIATHDLSSVFLSSKSRAYLDPMMQLILHASCNHKDILVRKACVQIFIRLIKDWCASPYGEEKVPGFRSFVMEAFATNCCLYSVLDKSFEFRDANTLVLFGEIVLVQKVMFEKFGNDFLVHFVSKSLQSAHCPQDLAEQYCQKVQGSDIKALKSFYQSLIENLRRQQNGSLVFR >Solyc12g040460.2.1 pep chromosome:SL3.0:12:54908614:54910955:-1 gene:Solyc12g040460.2 transcript:Solyc12g040460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMEERELDYLLVPFGIAIFVSYHAWLLTIIIRNPRRTVIGINSESRHHWVLSIMTDPIKNGVLAVQTIRNNIMASTLLATTAITLSSIISVFVSNKSSYTHSELLYGNKTSMMSSIKFFTILLCFLVAFLCNVQSIRYYAHVSFLATVPTFKERSDSIEYVARNLNRGSMFWSLGLRAFYLSFPLFLWIFGPIPMFVGCCVMSIVLYFLDTTTSFTRDLHCQSIREKRKETDVDA >Solyc06g017850.1.1.1 pep chromosome:SL3.0:6:14382008:14382262:-1 gene:Solyc06g017850.1 transcript:Solyc06g017850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLPKRVKRYPMIQNEDLALSKWIGFHIFPSAFLFFYSWSYEPEYRQKLTRLPNSLELRSTLYHQLRIGSFLKRFRHSQTSSIL >Solyc02g065310.1.1 pep chromosome:SL3.0:2:37063186:37064432:-1 gene:Solyc02g065310.1 transcript:Solyc02g065310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFYLVEKSTFHVLHYSKKPRTNIRHFEELSSEDEVENVEEKEDEEENVEASRKSKKVKTEPKESSAIDNEGCKMQSQQSSVIYDVRKTVMDKEKAIAYQRAKATFKSKNPFFISFMHPSYISRSSNHLCIMLRFARTYIPENCSNIMLRVAGRGSWPVTYSTGKAQAKISIGWEAFVLDNKLKVGDVCVFEVIKGTQIFMNVTIFRAAGSMPMQETDGQFVVSFH >Solyc03g098020.3.1 pep chromosome:SL3.0:3:61802987:61810168:1 gene:Solyc03g098020.3 transcript:Solyc03g098020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLTSQNLCKPRRFESHFVPYINAKTKLYLRLRNSEEYLSELKLKSLAFGTRRRRRGITAAMAVELVKSNEASTIILTSGASGRISALFSLQVLRSLFLLINAFVMLLLFPFRGRRRMASPATMMASSGSQEKGGIGKEEKAVERKGPVVRVPSKMVPWKSVVEQEVAARRSLAIRRVLQEDDRETLREFSLFVTARGETMFTQSWTPVSFKVRGLVFLLHGLNEHSGRYNDFAKKLNANGFKVYGMDWIAVMHHLASYRLIGMHTDTCAFTTNSLVLLTTEMKKYMDIWGLNFLQSAAFGAKMLHVKLLRIRHGGSDGLHAYVPSLDDAVNDMKQFLSKILAENPGLPCFCFGHSTGAAIILKAAIDPKVESRIDGVVLTSPAVGVQPAHPIFTVLAPIFSFLFPRYQFSAANKRGAAVSRDPAALLAKYSDPLVFTGSIRVRTGYEILRITSYLQQNLCKLTVPFLVLHGSDDAVTDPEGSKKLYEEASSTDKSIKLYKGLLHDLLFELEREEIMQEIIDWLNQRLSNC >Solyc03g120030.1.1.1 pep chromosome:SL3.0:3:70023402:70023905:-1 gene:Solyc03g120030.1 transcript:Solyc03g120030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNFEKSHCFIDNLKARIKKLAFKTQDTTKLFQRGDQVEVASQEWGYIGAYYTATIVSPIGNSLYRVRYKNLVNDDKSDLLVEIVNASEIRPVPPKHETLLVPEDIHMYDIVDVFDNDGWWIGFISGRHEENYKVYFPTTGENVAYPPHLLRFHQEWNKGNWISSN >Solyc09g018030.3.1 pep chromosome:SL3.0:9:12638191:12643795:-1 gene:Solyc09g018030.3 transcript:Solyc09g018030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKTAIHTVINGVQALGRGFDVNYDTRLLYCKGMGGSRVVEINEENTSDLWLYDDVIVQNVSKDISNFQESVGRHGTNVCSYNEMVEYFNKKANLPGHAPLGSFNVAFSYTGSKNIDTASTKTLCMDGFFIPLSNLQLMTSPLVLRDDVKRAVPTSWDPLALASFIENFGTHVITSVTIGGKDVIYVKQHISSPLSTVEIKNYVQDIGNQRFSSTGSLTSSGLLKYKVNASSIYFFAGDPSIFNNQGIYPQPTSAPYIPGNGKEDVTVSCRRRGGDDLEQSHTQWAHTIRSSPDVIEMSFVPIILLLEGVKGRDYLARAISLYLEYKPQIEELRYFLEFQVPRVWAPVHDKLPNQHRKEPVCPSLQFSMMGQKLFVNLEQVSVGRKPVTGLQLYLEGSKVNRLCIYLQHLVSLPRILQPYWESHIAIGAPKWQGPEEQDSRWFEAVKWKNFSHVSSAPIESPETFIGDLSGVYIVTGAQLGVWDFGSRNVLYMRLLYSRLPGCTIRRSLWDHTPNDKLRKQADSNGNESSIPGQNFIGNKLAKFVDMTEMSKGPRDPPGHWVVTGGKLGVDKGKIVLRLKYSLLNY >Solyc03g097340.1.1.1 pep chromosome:SL3.0:3:61082471:61083499:1 gene:Solyc03g097340.1 transcript:Solyc03g097340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSQESHLRSENSVTYDSSYPIYAMAFSSFTSSLTNRRRRLAVGSFIEEFNNRVDILSFDEDTLTLKPVPNLSFEHPYPPTKLMFHPNPSASLKTNDILASSGDYLRLWDVTDTSIEPLFTLSNNKTSEYCAPLTSFDWNEVEPRRIGTSSIDTTCTIWDVEKGVVETQLIAHDKEVYDIAWGEAGVFASVSADGSVRIFDLRDKEHSTIIYESPKPDTPLLRLAWNKQDLRYMATILMDSNKIVILDIRSPAMPVAELERHQASVNAIAWAPQSCRHICSGGDDGQALIWELPTVAGPNGIDPMSVYTAGAEINQIQWSAAQRDWIAITFSNKLQLLKV >Solyc12g098890.2.1 pep chromosome:SL3.0:12:67238385:67241585:-1 gene:Solyc12g098890.2 transcript:Solyc12g098890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCASFSLSFLHNACGDSKKIDFQFRPKLVTPIRVLTVEAKAKTRREDRTARHIRIRKKVEGTPERPRMCVFRSNKHIYVQVIDDSKMHTLASASTMQKPISEEFDYSAGPTAEVAKKVGEVIAKACLEKGITKVAFDRGGYPYHGRIEALADAAREHGLQF >Solyc02g079555.1.1 pep chromosome:SL3.0:2:44632533:44634526:-1 gene:Solyc02g079555.1 transcript:Solyc02g079555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMFDHADDNIPSNPVDSIEDHVEEINPIQSEVDASLENNLLEPQHSEITDDGDLTPARRTARNTRPPLWHKDYIISTKSNMTCSYPIANNLSYELLTPSYQNFIKGFSVIVEPTSFKEASKHQQWVDAMHQRKYALELISDCGLGGSKPASTPLESGVKLTTVEYDEATAKTDDPLYANVTAYQRLIGRLLYLTTTRPDICFAVQVLSQFMQKPKVSHWEAALRLVRYIKGCPGQGILLSSEDSNEMEAFCDSDWASCPNTRRSVTGYVIKLGNSLISWKSKKQHTVSRSSAEAEYRSMAAAVSEISWLLGVLKELNVNVIVPFLTVISLILQ >Solyc03g116830.3.1 pep chromosome:SL3.0:3:67567838:67584775:-1 gene:Solyc03g116830.3 transcript:Solyc03g116830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKYKMDPLLPEMNLYGNYSYLDSGFNDPSFIESLSLSHTSSIVNDDLLPEMISSSADKASKGRKSPPKHRHDGTSPLPLGMDWSPSPRVWDGHDTIWPHDSHTGWIYCATIPSWTISLRPRGSATVVFYRVQIGLRSPDGFTTTREILRRFSDFLKLSSKLKKEFPKKKLPPAPSKELLRMQSHELLEERRCLLEDWMMKVLSDIELSRSASIGIFLELEAAARSSFYELNQNVADVHSSISVAPSIQFLNSSDVSLLAGSSSIASDCGNDSAYGTPELGSPMEGMSQFHERDNAAIYQGFTHSEEVISEDAGMKHRDSKPMKDSEQGNQENHLKMPSTGSEIDVSTKRVTESVHVKWTLEPDISTELISDISNLLKLEPLRNTSQDHAEGADLQVVIQLDGQNKLNRILSTMQLRLATAKTDIEDLLSRLNQELTVKQYLATKVKDLEIELDSLKQSGQENLQQAVLTEKEKFTEMQWDMEELRRKCVEMELKLAAEQADKIRMESTQRIIVQENERLYQELDAAQQQNNSLQKLHLELESKSNTDVKLLVKEVKSLRSSHSELKQELSNLAK >Solyc03g115025.1.1 pep chromosome:SL3.0:3:66364233:66366350:1 gene:Solyc03g115025.1 transcript:Solyc03g115025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSVISVDKVKAFWHSQVHDEEKWNLNKATITDGKSFGIGLSQTVNKVKRRKGNWIAAVGKGVAKIDISLGYKELEAKKVARDDFTKLLRATALFAGSIILMRQYGDLMAI >Solyc07g041155.1.1 pep chromosome:SL3.0:7:52011659:52017941:1 gene:Solyc07g041155.1 transcript:Solyc07g041155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDLLTILQAHARGHAAYSYYRSLQRAAIITQCGWRRRVARKELRNLKMAARETGALKEAKDKLEKKVEELTWRLQFEKRLRAELEETKAQEVGKLQEALHAMQKQVEEANAKVVQEREAARRAIEEAPPVIKETPVIVQDTEKINTLSAEVENLKALLASEKKATEEARDSSRDAEAKHTELASKLETAERKVDQLQDSVQRLEEKLSNMESENQVLRQQALTMSPTGKALSTRPKTTIIQRTPENGNVINGESKPNSENQDMLIKCISQDLGFSGGKPIAACLIYKCLLHWRSFEVERTSVFDRIIQTIASAIEVQDNNDILAYWLCNTSTLLMLLQQTLKASGAANLTPQRRRSSSASLFGRMSQGLRGSPQSAGLSVLNGRMLGRLDDLRHVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAAAQQALFAHWQSIVKSLNNYLMMMKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEFVKAGLAELEQWCCYATEEFVGSAWDELKHIRQAVGFLVIHQKPKKSLNEITNELCPVLSIQQLYRISTMYWDDKYGTHTVSSDVISSMRVMMTEDSNNAVSSSFLLDDDSSIPFSVDDISKTMQQIDIGDVEPPPLIRENSGFVFLHQRSSYILLREWIPPKHPLLGLAGLEA >Solyc03g119160.3.1 pep chromosome:SL3.0:3:69333643:69334697:1 gene:Solyc03g119160.3 transcript:Solyc03g119160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLATSIYRCSFMLNPYPATSSFWPSYRRFTSKSSNQPPADSNDNHLQDEDDISNKALKKQIDKFFEGDEEAFPSIFEAILKRKLAGKSEESDKELMNELQAQPRRQHDAANGESDSD >Solyc08g043180.3.1 pep chromosome:SL3.0:8:22875423:22881145:1 gene:Solyc08g043180.3 transcript:Solyc08g043180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTQPNSISRRQVFFTGSNLLLSQLIPKSDAQTNSNSFLSGIANTKSWFQFYGDGFSIRVPPEFQDLTEPEDYNAGLSLYGDKAKPKKFAARFASSDGSEVLSVIIRPSNQLKITFLEAKDITDLGSLKEAAKIFVPAGSTLYSVRTIKIKEDEGFRTYYFYEFVRNEQHVALVAGVNSGKAVIAGATAPESKWAEDGLKLRSAAVSMTIL >Solyc03g006760.3.1 pep chromosome:SL3.0:3:1291040:1294696:1 gene:Solyc03g006760.3 transcript:Solyc03g006760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGIGKTTLAQIVYNDKRICEEFDVKVWAWVSDDFSVASITKSLLESATAKPFDTNSLEIIQNGLKNLFSSKRFLIVLDDVWCESCDDWNELLIPFFEGDKRSKIIATTRNEGVASITGMLAPYHLQEMSHDHAFGVRGMEMNPRLKQIGEEIVKRCKGLPLAIKTLGEGFLQPMAQITMEEVGNGNFTELQSRNQIPSSVNQLSLQSTKLLLRNCYYLIELPADMGKLLNLRYLDVSGTVLQKILLGLDKLVCLRTLPEFVVGCNVSSNRTLTEFTVDTNNGGTFNQESKGSGIGVLSNLFHLEGSLSILNLENVDNIWDPHGASLITKKHLRELLLQRSDSFEDSEKARMETDVLELLRPHHNIENVTIKGYSGTQLPTWTASPSFHKLVSLSLINCKGCRFLPVTRDSILYGYVGWKDQLLGYDGDREAFCNLLDLHLEECPKLRGELPDVLPYLVKLVICECQQLDSSLPRLAQLNELDLRSCHVRLISSPRVVTKLTSLQLSNLSNEYLPECFLASLRHLVIRHYDLVVSLSEEGQNLPRRLEDSELLPTTFQHLTIEKCPNLEFLPEGMMHINDTSLKVLEIFDCSSISSFPGGQLPDTLKTLTVWNCFNLEALPDIRTETMLLESLRVGNCTSLKHLPHGLNKLLNLSYFEVDGCHCIECFPLDILPQNLTKVLIIDCENLMFLPKWMQNLTSLQELQLSNCPLITSFTEGVFPTTLVSLDVKDCKNLMPMSEWGLHRLASLRRLTIHGISSNLSHFPQWLLPSTLQTLNIDHAVYTPSMSDVVRMLTRCYCLDSRREISFI >Solyc04g024995.1.1 pep chromosome:SL3.0:4:27013200:27019609:1 gene:Solyc04g024995.1 transcript:Solyc04g024995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNVEIGSDMLDRLAGKGWYFLLDGYSGYNKIFVAPEDQVNTTFTFLYGTITFKQMPFGLYNTQEAFYHRMMSTFYDMADETTQLFMEDLCVLAPSEYAPAFHSLATNQAPSFPRATHSSSSATMPLASVIKLESQMAKLLQHVRPWMKHVIEKFMTRVEKRMEKIMDHKRPSPTADVYSILMELASIPNNLNAFIPPLEIDHESPSTASIDYTVLDLLFKDEISSHDYSPMLGSAPAPLGHLRTQTDRV >Solyc05g056510.3.1 pep chromosome:SL3.0:5:66621208:66626571:1 gene:Solyc05g056510.3 transcript:Solyc05g056510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAFQQILTKFSQKPVGKYFNFVKPFSTGNDWSANFGGNGSTEDLGWDEGSNSWSTGLTKEHFDGEVVGRQMGSEPNARSAPSFGSARWTDEEMDLVKELHAENRKGKAFVDGWNERMKDMSVLMKQVMEPGARGSYLKDSEKTEMYKKHKENPELYTVERLAKDYRIMRQRVHAILWLKELEEEEEKKLGHPLDDSVELLLDTCPEFFNSHDREFHVATLPYKPDFKVMPEGWDGTTRDPDEVHYEISMKEDEMLYQEFVQRMNFNKMKMAKKVKCHKYSRRRPSNGWNFTVEMLGSRGKRGNGGGWKFVSQPDGSSRPLDEFEKMFVKRETPRRRKKILP >Solyc08g082950.3.1 pep chromosome:SL3.0:8:65712652:65716411:1 gene:Solyc08g082950.3 transcript:Solyc08g082950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAINNAEWRIRMADGSSENLAPESSLVTRMYLSFERFLKNLILIVMRFLEKSWNIGKNEPRKVIHCLKVGIALCVVSLFYYMRPLYNGVGGTAMWAVMTVVVVFEYTVGIHWIANQSGKRFEPIIMGVSIFILGPGLSVTNFFLSSLDLASAATFTRFIPAVKARYDYGTMIFILTFTLVSISGYRVANLLNMAHERVSTIIIGASLCVITSILIFPIWAGEELHKLVISNLEKLAESLDCCVAEFFSDSEDTTTNTKKMLAFKCVLNSKASEETMANFARWEPAHGLFNFQHPWGKYLKISASMRSCACCIEALTSCINQKDQASELLKNELTNTCQQVSSSTSEILKELALNMKTMRKSSRMDILLQEKSNAIQELETLMEQLSGLLFIQPKNDQEKPVIRTSSTNVIPLVEIIPTATFASLVIEIATRIEGVVDGVEELSKLAKFKLEDADMIIKQNKPVIKNIIN >Solyc10g007190.3.1 pep chromosome:SL3.0:10:1614079:1620639:-1 gene:Solyc10g007190.3 transcript:Solyc10g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPNPHSSPPVAVDQRRLQFTPVDLPLTPPMADAIPEGIVDLTSLTEASTGEKRKREGRLRSRVWQHFTKLIKEDGTCDKCKCNHCHKIFTSSTRSGTTHLLRHISEGICPAFKKVKKENSPSIFSYIGGSIDRKVGINPWKFDQELGQASFEQSIDAHDDLLPLGLDDIERQTCTASESDYVSQASMPVFSKLPQQPAVKSHPISEPWMTELKACVGKLVELTNGGVSKPTSADNKTCVAVTTPDLSITSVVKCLNEMEDIPQSSAMYLDALDLVRDPEERECFICLNPEPRRRWLQRMLHPNLRTFTPATLTAATSFRGFATRQTSSSLNDPNPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLATIVGSEEEARMKIYSVSTRHYFAFGALVSEELSYKLKELPKVRWVLPDSYLDVKNKDYGGEPFINGQAVPYDPKYHEEWVRNNARANERNRRNDRPRNFDRSRNFERRREMQNPGSSMGGGPPNMRNAPPPNMGMHQQQQPNMGGMHQPGMGGPPPNYGGGPPRNYGGAPPNNYGGAPNNQYNGGPNNGGGMPYQTGPGPNQNYASGGNHYQNPNMPPPSQNYAPNTPGGNLHQNQNMPGRDPNYQ >Solyc04g078300.3.1 pep chromosome:SL3.0:4:63144148:63147696:1 gene:Solyc04g078300.3 transcript:Solyc04g078300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding YREMGRVKLQIKKIENTTNRQVTFSKRRNGLIKKAYELSVLCDVDVALIMFSPSGRVSTFSGNKSIEDIMARYVNLPEHDRGRLHNQEHLQRAIAKLKCEADRTYQAPSSPSSVDSHIEEFQQEIIRYKTQVEDMERRLRMYEGGFCEITTVCEAQYREEILQETLKQVQARKQVLEENYHSPQTQNTTQPQMDFSGQNVNMVNNVATSDAIANSTFMDWVPHSQRDPHVQILNFLDSSGLLPFRDEADQHMLPPSLNQLHGVNVPAGTDHLSSNSRFDQNNPPRPSSFDGIIDVNNAPWPPLYTTGDDPFPVSQPRERAILELFLSQLTPVNQDHI >Solyc06g048910.1.1 pep chromosome:SL3.0:6:32023130:32028135:1 gene:Solyc06g048910.1 transcript:Solyc06g048910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIIFNAVGGFFVEVGKNVCKCICPDVEHTASFSSKLENLRKEMEKLSKFRDDIKKSVEGYEKNGYKSKLDVIQWIEDVHKLESEWETMQESMAAANKCTYKCCPKCIIGSEVSTQARIVQDQLFRLIEVRKNFGSNLVVENYQMKEVKFMQRPLIEGQSAATKNLHKILQLLEDNKAQIFWEVFGSRMRSRVRCQGGVGVRVEFRGRQVCIIGVWGAGGVGKTSLVNNLNNELLKNEVSSFKLSFGVVLWVTVPKPPIDIRTIQTEIASRLNLKIDSEGNVKSIASKIYRRLEQEKSFLLILDDVWEPIDLDDVGVPQLDDPSRSKVIITSRSLDVCKQMKIDAEMKVYTLDEDESWKLFIKNAGDHANLEHIQPLAKEIARECDGLPLAVTVIGTSMRGKTRVELWEDALESLRMCEPHNEDVKDKVYKVIEWSFDSLKSQDIELSSKQRSKHVKKKRGDIQNCFLYCSLYPAFIPTDELINCWWAEDCLGEHDTYEEVYNTGITMIETLKDACLLEIHNLDSVKMHDVVRDVSIWIAKSFGVEHNSVFQDGIGVSYSVKRISFVGNKVQRLPDNIMERPETTTLLLQDNNRLLEIPHEFFLAFPALRVLNLSETGITSLPSSINSLYQLHALILKNCHWLTELPPINNLRNLLLLDCENTRLHHLPQGMDKLTNLRLLNLPATDLEGIGREFFLNLSSIEMLNMMESKMVHPSTKFGATSFDEISSLHNLTSLFIRLDSSSIFNREHTWMSRLKRFRIEVGEIPFHVPFNMSTRTICISGSDIFRYGKLSGMLQFASHLYLQSCLGLKKLFVYNNFDGLKSLYIRSCSCSFNPAEEGSGTFDPLPNLEYLNLEYVYRLKSVSDFSQLLGLRFSKLRQLDMSNCSSLTCLFSVGNTFSIPKHLEEITITSCKQLVELFVERSSSQATLVKSDVPKVRKLVLKNLTKLGNLGEQQSMWEHLEVLTLMRCNEIRKLPLSIQTSKNIKLIRGASEWWSQLVWDNDKFKSNLEHCFRPLAI >Solyc02g071815.1.1 pep chromosome:SL3.0:2:41732506:41735189:-1 gene:Solyc02g071815.1 transcript:Solyc02g071815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLALLHNFSISSFLLILVLCSLVQIIEAQSYSRLLPQQEKNALKEIAEQLGKKDWDFDLNPCNGNTNWTTPKIDKISMYVNNVTCNCATPDGFCHVQSIDVALNYLSGTIPPEWASIKLEFMSVMVNQLSGPIPKYLGNMTTLRYMSLENNMFNGTVPKELGNMVNLQSLTLSFNNLTGKLPKEVNKLTKLTELRLSGNNFTGILPSFESLKNLQKLEIQASGFEAPVPPSISVLTEMKELRISDLTGSASEFPPLENMTGLTRL >Solyc09g098150.3.1 pep chromosome:SL3.0:9:72537506:72540243:-1 gene:Solyc09g098150.3 transcript:Solyc09g098150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Metacaspase [Source:UniProtKB/TrEMBL;Acc:K4CWY6] MAKKAVLIGINYPGTKAELRGCINDVKRMYNCLLNRYGFAEEDITVLIDTDDSYTQPTGRNIRKALSDLVGSAESGDCLFVHYSGHGTRLPAETGEEDDTGFDECIVPCDMNLITDDDFRELVDKVPEGCQITIVSDSCHSGGLIDKAKEQIGESHKQGDDDEGHGSGFGFKNFLRRNVEDAFESRGIHLPGRHHRREEEEENFAESSVIETEDGDQVHVKSKSLPLSTLIEILKQKTGKDDIDVGKLRPTLFDVFGEDASPKVKKFMKIIFNKLQKNNEQGGGGGFMGMVGNLAQEFLKQKLDENDESYAKPAMETHVEGKQEVYAGSGNRGLPDSGILVSGCQTDQTSADATPAGGESYGALSNAIQEILAESDGPVTNEELVSKARKKMQKQGFTQRPGLYCDDHHVDAPFVC >Solyc07g053430.3.1 pep chromosome:SL3.0:7:61994115:61998978:-1 gene:Solyc07g053430.3 transcript:Solyc07g053430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKFSFSLLLFSLISTLSTAQFGGTGSAPGGTGTTPAGTGSTPGGTVTSPSGVGSAPGGTTAAPGGTSAAPAGGTATGGGGGATVELWCVAKNNAEDTALQSALDWACGPGGANCGPIQPGGPCYDPKDIQKTASYVFNDYFIKHGMTEDACNFDDNAALISINPSHNGCKFPSSKNSSGSPSGSTNGGLSPSSEDLSSGSSILRRWMYILMAINLLFASQLIF >Solyc05g039990.3.1.1 pep chromosome:SL3.0:5:49430860:49432633:1 gene:Solyc05g039990.3 transcript:Solyc05g039990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFYSSTYHYRCLSLNSLQLSEVASPSSFVHFAGETKKPPKTPLPPSLPSVPISS >Solyc06g083160.1.1.1 pep chromosome:SL3.0:6:48739025:48739906:-1 gene:Solyc06g083160.1 transcript:Solyc06g083160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGFRRMKRVTDPLDEKMKARIVGSSGSEHSAHADDDDIASPSFSDLVFGDLENTAGDETPENDSDSELDVSMCDSIDRIEIMPSPVFRSEFDLFRNVIVSCATKGLEVFSCFKSNKSMLQRNVMAYLRNFGYNAAVCKTKWEKSGGLAAGNYEFIDITKSDSTTRYFVDLDFKAEFEIARPTIQYERLLQSLPNIFVGKSEELKQILRAMSDAARRSLKSRGLTFPPWRKHRFMQNKWFSSYRRTTNIIPTASSPAWFSPSKETNAAKCRTVGFHTTAVNGCLLFPATTRTR >Solyc08g079170.3.1 pep chromosome:SL3.0:8:62925819:62932095:1 gene:Solyc08g079170.3 transcript:Solyc08g079170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEAKAKGNAAFSAGNFTDAINHFTEAINLAPTNHVLYSNRSAAYASLGKYSDALSDAQKTVDLKPDWAKGYSRLGAAHSGLHHYEDAVSAYKKGLEIDPNNEGLKSGLADAQSAQARSRGPAVPPSPFGDAFSGPEMWTKLTADASTRGYLQQPDFVNMMKDIQKNPSNLNLYLKDQRVMQALGVLLGVKLSTRMPEEDDEMPEASTERKRPAETEHVKEEKRPEPEPEPEPMEVSEEEKEIKEKKAKAQKEKEAGNAAYKKKDFETAIQHYTKAIELDDGDISFITNRAAVYLEMGKYEECIKDCDTAVERGRELRSDYKMIARALTRKGTALAKLAKSSKDFEAAIEVFQKALTEHRNPDTLKKLNEAERAKKELEQQEYFNPQIADEEREKGNQLFKEMKYPEAVKHYTESIKRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFVKGYTRKGAVQFFMKEYEKALKTYQEGLKLDPQNQELLDGVKRCVEQINKASRGDLTPEELKERQAKGMQDPEIQNILTDPVMRQVLSDFQENPKAAQDHMKNPLVMDKIQKLINAGIVQVR >Solyc09g007020.2.1 pep chromosome:SL3.0:9:685115:691709:1 gene:Solyc09g007020.2 transcript:Solyc09g007020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFENTLFLFCFMILAIFHSCDAQNSPQDYLVVHNNARGQVGVGPMSWDDALATKAQRYADSRRGDCNLIHSGPGENLAKGSGDFTGRRASELWVAEKPNYNYGTNQCASGKVCGHYTQVVWRTSIRLGCGRARCNNGWWFICCNYAPFGNIIGQRVWRNSVRLGCSRARCNNRWWFISCNYDPVAIFHSCEAQNSPQDYLAVHNDARAQVGVGPMSWDANLASRAQNYANSRAGDCNLIHSGAGENLAKGGGDFTGRAAVQLWVSERPDYNYATNQCVGGKMCGHYTQVVWRNSVRLGCGRARCNNGWWFISCNYDPVGNWVGEPKMGLFNMSLLLMTCLMVLAIFHSCDAQNSPQDYLEVHNDARAQVGVGPMSWDADLESRAQSYANSRAGDCNLIHSGSGENLAKGGGDFTGRAAVELWVSEKPNYNYDTNECVSGKMCGHYTQVVWRDSVRLGCGRALCNDGWWFISCNYDPVGNWVGQRPY >Solyc08g078570.2.1.1 pep chromosome:SL3.0:8:62474322:62476139:-1 gene:Solyc08g078570.2 transcript:Solyc08g078570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAKLCRKFINFELVKKCSVQLLSKRQQNCFFSKYFSSTIKPHQEDEFNSKIIFISNQAQTEISSEIALFKYFQILNSGSNPSAYSLVNLIRSCTNLGWFSHGEQLHCCILKSGYVSNVFVATALVNFYVKFQLLVNAQQLFDEMTEPNVVTWNTLISGYVRSGKFTAALNLFIQLERSELCSDSYSFTAVLSACGSIGLVQLGKLIHSKIVKFGVECSIVVSNSLIDMYGKCSSVEESTRVFNSMMEKDTISWNSVIAANTRNKRLDQAFDFLRQMPEPDTVSYNEVISGVAQFGNIEDAVDMLSRMPTPNSSSWNSIITGYVNRGRAEEALLFFQNMHVGNVLMDQFTFSSILSGIANIAAITWGKLIHCCTVKYGVNETVVVGTALVDMYSKCGQMNEADILFGWLPVKNLITWNTIISGYAHNGSSDEVMRLFEQLKSVKELQPDGITFVNVLAACWHNRMSCEAAKDYFELMVNDYGISPMPEHCSSMIKLMGQEGDVSKAEKMIYELRFENCGLVWKAILGASVTCGNTEIAEVAAAKVIELEGDNEFVYVLMSNMYALHKKWIDVGDMREKMKEKRVKKEAGRSWIEVENSNTVTSVK >Solyc02g071530.2.1 pep chromosome:SL3.0:2:41491070:41500906:1 gene:Solyc02g071530.2 transcript:Solyc02g071530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIQGLLPLLKSIMLPINIKDLNGCSVAVDTYSWLHKGALSCSKELCKGIPTTKHIDYCMHRVNLLRHHGVKPILVFDGGPLPMKIEQENKRGRSRKENLSRAIEHENNGNMTAAYECYQKAVDISPSVAHDLIQVLKRENVCYVVAPYEADAQMTFLAISKQVDAVITEDSDLIAFGCPRIIYKMDKFGQGLEFRYSKLEQNKELSLTGFTKQMLLEMCILSGCDYLQSLPGMGLKKAHALIKKFKSYDKVIKHLRYNTAAVSPMYEESFRKAIMTFQHQRVYDLMTEDLVHLSELSDLGSQDLDFLEVAQGIAKGDIDPFTMMPFQKECNAAELVDSRTYELNDFKVEGERRKLDLPAQKNLLTNYFCTASLEAKQKFRAPRTSPILLNSEVGVSSAWADSRKGADSYKFGSLSMSSPNPLVDDDIHLKASMCLESKSQGILLEEEIENGLGRQSVPLQHSICKPCITLHKEHALDLSENKIRATKKKVIVRSSYFLKNNKKEDIQDDKSKINLVANDKSHSSIRENDYDSMSDAMDGAVVAAVKIAIPQSSFFQSNPSAQNGDAEQKENKRGIVRSSYFQKNLANESSQGNLDVAAEMASGDDCSERRLKKRKVTFIDTVQTDNASDECLEADTSGSQGDFNSNLDDSTKETKDGQRKFGSNISHLGHYSQISEKSMDNFVSVISSFRYTSNGSRASGLRAPLKDIKNTSTNRSASNMDLSKFVYKPTKQKQLSARHKV >Solyc10g006380.2.1 pep chromosome:SL3.0:10:993417:995940:1 gene:Solyc10g006380.2 transcript:Solyc10g006380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLNLMEKILVIEGARIAFTIWDVGGDHSSYEIIPIACKDAVAILFMFDLNCRSSLNSVKYWYIQARKWNKSAIPILIGTKFDDFVQLPLDIQWTIVSQARTYAKAMKATLFFSSATHNINVNKIFKFIMAKLFNLPWTVQRNLTIGEPIIDF >Solyc05g052195.1.1 pep chromosome:SL3.0:5:63317554:63317791:-1 gene:Solyc05g052195.1 transcript:Solyc05g052195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKWGRSNGAQKFKYRSMMFNIKDQNNQDFRRKVLLGKFPPSTITDLTQ >Solyc07g062720.2.1 pep chromosome:SL3.0:7:65537300:65546162:-1 gene:Solyc07g062720.2 transcript:Solyc07g062720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFFLRKSTISLSIFHLQFFIKLNLGVSSCCEVFCEIYYPQDCTRIMGERNTPHSNHFMTLGADQQSQAYIYPEPCMMHGSFTAFPHPNVNTTVPAPGSLGNFYVPHLPGHQEGALVYGRPPANGIQQWHYITNVGAAFAPPANYFYPYVAAPAALRTSPVPANHGLRDGLPVSGTQGSTGNNADNLGRNDPRMDSTRESSKQRDVAGNSGNLQHHSALAGPSTSAAPVITTANESDVSSNDSVSREHGGSDSTPFLENGTASATMDLPVPANHGLRVGLPVSGTTDDSVSREHGGSDSAPLLGNGATSATMDLPVPANHVLSDGLPVSGTTNDSVSREHGVSDSAPPLGNGVTSATMDFPVPANHVLRDGLPVSGTTDDSVSRESGGSDSAPLLQNGAMSATMDLPVPANHGLRDGLPVSGTTDDSVSRAHVVSGSALLLENGVQRSGSRSASGPDTVLQNNNNHLLQGNYVGQAYQFPGNPWLNMPSSSSGSTAPSWAWSQAAPITYPPVGAGGYVIGAGNMAMQGYQIPSSNGGLTGFMYPPIPQGSQQYHPHLSPNMQSMAGHTVSTYAQMTASPGRQLQLTSSNMGPLLPSNFRMYRPHQRELTIRGTNASHHNLRTGVSMLGVPRFRGVAIVDQHRDMRLDVDRMSYEELLALGERIGNVTLGLSEDIIAANLKTRIFLSTETPTPLENVASDEDQKTVCVICQSDYKDGEKIGILNCGHEYHEECVQKWLAVKNSCAICKSKALSTEKKDKEVVTTGGD >Solyc09g076010.3.1 pep chromosome:SL3.0:9:68119030:68131937:1 gene:Solyc09g076010.3 transcript:Solyc09g076010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSVRSGGVVKKKSSSGCLIIKKKDDRIGMGGGGGVGTSRGSQKVKKRPRMVESASESSEESLEPIRRKGGEKFHNGSVGSAKSGVESRDFGRNENIESESKRSKLDLFDFDEYDEFNEAMKWNAARTGSSSRNMMIEKSKHSNIDSSKERSDSDDDDEAHMPISLLRLKSRELSQEPIRFQGKNGVLKVMVNKKKKIDLSSHKDYDVESRKGSSSDDVVKKDLLRRASLHSDSKRPEKRPLSIKTEQAELKSQKSFLAKGIKSIDSENDGTDTSLNLAPPSSKTRRIKEESRSVAVEDVTPAKNKEGKLKRRGSMEKQQLQPACSKARVIKEENRSIAAENITPAKSKEGKLKRGASTEKQQLRERIRGMLIEAGWTIDYRPRRNRDYLDAVYINPSGTAYWSIIKAYDALQKQTEEDPGKRKLDGGSTSFAPLADDLINKLTRKTRKKIEKEMKKKRKDDAKNRDYMKSTMQESSEDTDDDQHEERLSSYVKKKGKFLKCKSHATDQETDGDTSDDSSKGGRSRQEVSGKSSIGAASSEIQGRKSRIIGRCTLLVRRSDKEQDSESDGYVPYTGKRTLLAWMIDSGTAKLSQKVQYMNRRRTRVKLEGWITRDGIHCGCCSKILPVSKFELHAGSTLRQPYQNIILESGVSLLECLVDAWNRQGESDREDFHTVNADSDDPDDDTCGRCGDGGDLICCDGCPSTFHQSCLGVQMLPPGDWLCPNCTCKFCNTGSTITEEGGGAVDELLWCSLCEKKYHKSCSLDMNAISSSSNNPSVSFCGQKCQELYDHLQKILGVKHEIEAGFSWSLIQRTDLDSDHSHHAFSQRVECNSKLAVALTVMDECFLPIVDRKSGINIIHNVLYNCGSNFTRLNFHGFYTAILERGDEIISAASIRIHGTQLAEMPYIGTRNIYRRQGMCRRLLSAIETVLSTLKVQKLIIPAISEHMHTWTVGFGFNSLEDSSRLEMKSINMLVFPGTDMLQKRLQNGETLEAGTNAGDSKHSVPWLPALIEKVDKDSDSPTKCDGNLHDQACIEKVDDGVGASDSPSTPVDLSDSALVRTESADCGSDIQISTKEATSVQCNVEKKLPESSTKSMPSSPSGASLGNADSGDVSSGPSTEVDDQSSEPVHQKLCISLDEASARNIEVEKQNEELLDNISIDANGKGLSADTKASCFKEPAAPSAEEEDETKISVCDSATCESTKPSIDVLSDSTQPSTPGMQNGRNVALKQTSDIKRLDDGDVSLEEGNLDSSSIGDGVNDNNGAEVSSSKPAIDSSVETFLNAAPENNTDCQLSLCPGSQACGLNPSDGCSGAKVSVDERIVHSDPGQAETHEVTVAGSCSGTVDSSADVSAELADEEKS >Solyc03g045127.1.1 pep chromosome:SL3.0:3:11608236:11609572:1 gene:Solyc03g045127.1 transcript:Solyc03g045127.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RYCPRNLLHYTSRAFIAQVVYEISSETEMLPVQKDDIPGSLGRLEPVPAYIKKNKRGLGAEKPKKAAVHPKCPEHFSDRKILKDGNKESVSFHSSECIF >Solyc03g078390.3.1 pep chromosome:SL3.0:3:52230348:52250744:1 gene:Solyc03g078390.3 transcript:Solyc03g078390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHQRPNTRSKGKRNRTDDCADVAAEIYRNVLSTRQVTKDDVNQLYMIWKPACQGCRVNNKDNPNCFCGLIPPQNGNRKSGLWQKTSEVVNALGSDPSDDCRASPETPAGLTNLGATCYANSILQCLYMNKSFREGVFSIEPDVLKQQPVLDQLARLFAKLHLYKMAFVDSAPFIQTLELDNGVQQDSHEFLTLLFSLLEQCLSRSSVLKARTIVQDLFRGGVSHVTRCSKCGNESEASSKIEDFYELELNVKGLKSLDDSLDDYLSVEELQGDNQYYCDSCATRVDATRSIKLRSLPAVLNFQLKRCIFLPNTTTRKKISSAFCFPEELNMTRRISEHFQSELIYDLSAILIHKGSAANSGHYVAHIKNENTQQWWEFDDEHVSNLGCQPFGKGSSHSAVKPSQTVQLDHSSSDVIIENGNGPDAGEREASKTDVTEVKTFSSCDAYMLMYVLRRPKNCDKMPIDSSGYIAEKEACTSSEVESHLPPHLYEEVEKLNDSYVDSCEQYKMKKESEVNCLTERRLEVRSILSKAAVQSPEEFYFWISMDWLRQWADNIVPSIIDNSSIQCIHGKVPVSKIGSMKRLSSEAWTMLFSKYGGGPVLAKDDYCIDCLFREAQSMALADNYRDRRTLMKELAEAALAGDCVDEKLYYISKPWLQQWLRRKNVDSPCEADAGPTASIRCPHGQLMPQQASGARRVLIPETLWNFTREIAMAVKPDDSVGCSTFFSDSEPCTQCSIQLSEVACFEDTLREFKLKQRHSHEKLAMGKAIPILPGIRYYLLPSSWLSKWKSYSNASGKSAPAELETLNDVIGFLICEKHSRLLERPPDLACKRGSILQKSPATDTLTIITDNDWKLFCEDWGGTEAKGITAEIDCLGNDFLGFSEDMEIFEEHMNLNDETIVGPESRKFIIKISPEVCEECIAERKSCELKRKLNYSDEDICVCFVRGKEPPKSVLEASVNSLEPNRRTSKRSRKTAFGNSVKLNVSGSTSVYQLKMMIWEAFGIIKENQVLHKGSLVIDGESACLADLNIFPGDVLWVTDSEIHEHRDIADELSSQKMEERKTEEGFRGTLLSSSLSSQFVSEASACPN >Solyc02g037590.2.1.1 pep chromosome:SL3.0:2:31637561:31637870:-1 gene:Solyc02g037590.2 transcript:Solyc02g037590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVNNELLISNCLNARRVPLPAQASSLNIALIRFCFVFNVSYCEAANERRTILMYASKLFRHHDLGCHVPPFLCLFSHYLEKIWVYLRPPPPLPLSSRR >Solyc10g009090.3.1 pep chromosome:SL3.0:10:3099612:3103054:-1 gene:Solyc10g009090.3 transcript:Solyc10g009090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKMSGNSGYKEYVAGLMAGIAIVITGHPFDTVKVKLQKHNTEARGIKYKNGLHCAARILKTEGVKGLYRGATSSFIGMAFESSLVFGIYSQTKILLQGGPDGGKPQPQAIVPSAAFGGAIISFILCPSELVKCRMQVQGADSVLPSSSRYGGPLECALKTVKCEGITGIFRGGFTTLLRESFGNAVFFSTYEYVRYHLHLQRKGASSESSQLIDIGVGIMSGGLGGIACWSAVLPLDVAKTIIQTTPEKNHTRNPFRVLKSIYARSGLRGCYMGLGPTIVRAFPANAAAIVTWELSAKLLGIKRDFN >Solyc02g070500.1.1.1 pep chromosome:SL3.0:2:40800592:40801035:1 gene:Solyc02g070500.1 transcript:Solyc02g070500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVIASHRENAEIYTDPVVCKQKSLELLKEINMPNGLLPLEDIVEVGRNAETGFVWLKQKKAKEHKYKKIGKLVWYDTEVTAFVENRRMKKLTGVKSKEILIWVTISDISISDPECKKINFATPTGLSRSFPVSAFEEEEEEEEKK >Solyc08g079545.1.1.1 pep chromosome:SL3.0:8:63173181:63177213:-1 gene:Solyc08g079545.1 transcript:Solyc08g079545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GSVTTSYQVPPLAIVDPSRFAVELAYSPGVSMATSIVTAAGTGGSTPGSGGGGAVYGQQHLMLSGGKEDLGALAMLEDSVKKLKSPKASPGPTLSKSQIDSALDYLADWVYECCGSVSFSSLEHPKFKAFLNQVGLPPLSRRDFAGSRLDGKYEEAKVESEAKIRDAMFFQIASDGWKSKNYGHVGEENLVNLSVNLPNGTSVFRRAVFTSGYVHSKYAEEIFMETISEICGNNLHQCVGIVADKFKAKALRNLEDQHRWMVNVSCQYEAFNSLVKDFGKELPLFKNVTENCLKLANFVNNKSQVRNSFHKYQLQEYGHAGLLRVPLRGYERSDFGPVYTLVEDTLSSARALQLVLLDESYKILCMEEQIARDLEEMMRSPHFWNELEAVHSLVKLIKSMAQDIQTEKPRVGQCLPLWEELRVKVKDWCSKFHVAEGPVEKVIERRFNKNYHPAWAAAFILDPLYLIRDTSGKYLPPFKCLTPEQEKDVDKLITRLVSRDEAHIALMELMKWRTEGLDPVYAQAVQLKQRDPSTGKMKIANPQSSRLVWETHLTEFKSLGKVAVRLIFLRASSCGFKCNWSVLKWVNAHSHSRVGMDKAQKLIFIAAHSKLQRRDCSSDEDKDAELFSLANSEDDVLNEVFVDTSSV >Solyc12g027720.1.1.1 pep chromosome:SL3.0:12:25926777:25926959:1 gene:Solyc12g027720.1 transcript:Solyc12g027720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKWMRLRGGAIEQLEKARSRLRKVETEAEQFRVNGYSEIEREKIGHVHCRGFCSHCKEI >Solyc01g057120.2.1.1 pep chromosome:SL3.0:1:59042967:59043047:-1 gene:Solyc01g057120.2 transcript:Solyc01g057120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPSRSFLWFSSCSSRKKREFSKVATS >Solyc12g038740.1.1 pep chromosome:SL3.0:12:51789532:51794830:-1 gene:Solyc12g038740.1 transcript:Solyc12g038740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDRSDDPQIKTNATIITADDDARSDQFLKVTIEVNDSFAFIGEDNEVSHEDQTAFIEKLGTFYREKAVEFKLPRFYGHPLNCLKLWKFVIKLGGYDQDLHYCSWTFHGFYEKLLLQYERHTTKIGVLQLPISPSPIDNEGSGYPISASGRAVRDSAARCRLGWQQKHLLGYGEVAESIVGCQVVDVGPPADWMKINVYATVPGLLREEVRIQSNPSGRLVIIGQPNQLDNFWGVTTFKKMVISPARINQLRTSADVSLHGCLHVHVPFAQQNI >Solyc02g067650.2.1 pep chromosome:SL3.0:2:38331776:38347369:1 gene:Solyc02g067650.2 transcript:Solyc02g067650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFAIFFLLLINSSLAANTNIYNVQNYGAKSDGKTDSSKAFLNAWAAACASNKPSTINVPIGKYLIHNANFNGQTCKSKAITMHIDGTLLAPSDYNVIGNEENWIKFEKVNGLSIYGGTFDGQAAALWACKNSNNKNCPDGTTALTFYNSNNIIMSGVKVQNSQKFQILVDGCHNVKLQGVKVSAPGNSPNTDGIHVKSSSGVSIMKSQIGTGDDCISIGPGTSNLWIEGIACGPGHGISIGSLGWKQQELGVQNVTVKTVTFSGTTNGVRVKTWARPSNGFVRNVLFQHIVMVNVKNPIIIDQNYCPNHQSCPHKGSGIKISDVTYQDIHGTSATEVAVKLDCSKSNPCSGITLEDVNLSYQNQQTEASCVNARGRVSGLQKPTNCLLKTNTNIYDVQNYGAKSDGKTDSSKAFLNAWAAACASNTPSTINVPAGKYLIHNANFNGQTCKSKAITMHIDGTLLAPSDYNVIGNEENWIKFEKVNALSIYSGTFDGQGASLWACKNPNNKNCPDGTTALTFYNSNNIIMSGVTVQNSQKFQILVDGCRNVKLQGVKVSAPGNSPNTDGIHVKLSSGVSIINSHIGTGDDCISIGPGTSNLWIEGIACGPGHGISIGSLGWKQQELGVQNVTVKTVTFSGTTNGVRVKTWARPSNGFVRNILFQHIVMVNVKNPIIIDQNYCPNHESCPHQGSGIKISDITYQDIHGTSATKIAVKLDCSKTNPCSGITLEGVNLSYQNQQTEASCVNARGRVSANTNIYNVQNYGAKSDGETDSSEAFLSAWSAVCASTSSSTIYVPRGNYLIRNAYFNGKRCQSNAITIRIDGTLLAPSDYNAIGNEENWIKFEKVNGVSIYGGTFDGQGASLWACKNSDYDDCPDGTTALNFYKSNNIIMSGVTVQNSQKFQISIDGCRNVKLQGLKVSAPGDSPNTDGIHVKLSSGISIMKSQIGTGDDCISIGPGNSNLWIEGIACGPGHGISIGSLGWKKQESGVQNVTIKTVTFSGTTNGVRVKTWARPSNGFVRNVLFQHIVMVNVKNPIIIDQNYCPNHQSCPHKGSGVKISDVTYQDIHGTSATKVAVKIDCSKRNPCSGITLEDLNLSYNDHPTKASCINVSGRVSGLQKPDNCL >Solyc04g079950.3.1 pep chromosome:SL3.0:4:64352626:64361298:1 gene:Solyc04g079950.3 transcript:Solyc04g079950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENQLMDSGVSQLNSNAFFIDPVRILNRSYTRFRVSPSTYYSRFFDSLNSAQSPKASEDFRKRKRKRKKKIQSLNEREQIADRRHQEVKPFLLKAHEALLEATDLLKVLRNLRNDGCAVGECKELSQETSELSFMELGGVWQAPLYEIVLNYQQDDKTLQNGGSPLAQSIEQRETPVFNNLVANEGSYDIEAEIFNHKYIIPKRSCFYMSDMQQIDSLIPAGSDCGFNLIVIDPPWENGSARQKVRYPTLPSRYFLSLPVKQLCHTAGALVALWVTNREKLRDFVENDLFPKWGVTYAASFYWLKVKANGMLTGELDLFHHRPYECLLLGYCDGKDTHSGNLTRSNPIPDNRVFISVPGDYSRKPPIGELLLDYVPGSMPARCIELFSREMIAGWTSWGNEPLHFQDSRYFVSKTTEN >Solyc01g099810.3.1 pep chromosome:SL3.0:1:89826751:89833430:-1 gene:Solyc01g099810.3 transcript:Solyc01g099810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYSNMGRLSRTIYVGNLPGDIREREVEDLFYKYGPIVEIDLKVPPRPPGYAFVEFEDPRDADDAIRGRDGYDFDGHRLRVELAHGGRGSSSYDRHSSYSSASRSGLSRRSDYRVLVSGLPSSASWQDLKDHMRRAGDVCFSQVFRDRDGMRGIVDYTNYDDMRYAIKKLDDSLFRNQFSRAYIRVDKYDKRHSYSRSPSPYNSRSRSYSRSRSPRRSYSSQSGSVSPRGKYSRRSVSISPSRAFSPALSLSRSGFRGDLGIAI >Solyc07g061720.3.1 pep chromosome:SL3.0:7:64811545:64813346:-1 gene:Solyc07g061720.3 transcript:Solyc07g061720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase [Source:UniProtKB/TrEMBL;Acc:A4GVL8] MVVLTKPAITHFPIVKNSFKSPSYINDIPVIDLSKANSKNLIVNACEEFGFFKVINHDVPMEFISKLESEAVKFFSSPLSEKLKAGPADPFGYGNKKIGQNGDIGWVEYILLSTNSEFNYQKFASVLGVNPENIRAAVNDYVSSVKKMSCEILEKLAEGLKIQPTNVLSKLLMDEKSDSVFRLNHYPPCPDIQEFNAKNLIGFGEHTDPQIMSILRSNNTSGLQILLKNGNWFSVPSDQNSFFVNVGDSLQVMTNGRFKSVKHRVLTNSVKSRLSMIYFGGPPLSEKIAPLPSLMEGKDSLYKEFTWFEYKKSAYKTRLADNRLVLFEKSL >Solyc01g100690.3.1 pep chromosome:SL3.0:1:90538325:90550138:1 gene:Solyc01g100690.3 transcript:Solyc01g100690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIQSRESDFEVDLESGGTTSDEDGSYNYDLTGEISNRESYKAGSEFRGVQSCNGSVRNQDGSSTYNKSLSADELSSVRRTEILSNKVKEEIEKLGDKKMNIDKSRKPKPAKPPRPPKGPALDASDAKFVKEISELAIWKRRRTERMKALKKIKKESGSSSRMNILATVITILFFLIIIFQGVLGSRV >Solyc02g083020.1.1.1 pep chromosome:SL3.0:2:47147255:47148115:1 gene:Solyc02g083020.1 transcript:Solyc02g083020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFSSKSNKRSISLPSRSHPATQIIEEELNKLKTWEFSASPTAAEAVYRGLIGLGEVHKCMIDLLNMPLTLQSLSQCQNKKWVDEILDKSVRFLDICGTTRDIVSQFKENVKDVESSLRRRKEDLSINNYTTFRKKMKKEAKSSVTALKRMDHEEVVDVMEVDDQLVSAVIRVLREVATMGISVFQILLNYLSASNSKPISKWSLVSRLVNKGGDQGNVNEIESVDVALSSLSKCGPNEVEKIQFVQRKLERVEAHFECIENGLDNIFRCLIRSRSTLLNVVSCQ >Solyc12g008330.2.1 pep chromosome:SL3.0:12:1734998:1738416:-1 gene:Solyc12g008330.2 transcript:Solyc12g008330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding IVSEKIPELVNIVDQTLAKRHEQKVSVQKFWLNLPSFHHINLSQVHDWVKTLVASNIKELILNVDGIVNNFNSLTEEFFAAEKLNVLDIQGFNLELPLEQGIKFPYLQKVSLSDTHFDEHFVQALCASCSALEDLRLASCQGLGSLQIARNLLKLKTVLLCCLAELTMVDIVAPNLENLYIESCRWMLQVVKITCGKTLKYLCLVNLFVTDGWLKDLLCNQPNLEEFHLRGCLKLQKVKISSDRLKYLSLSRCLDLIDIELHTPNLTSFTYECSVKLPTFKLMKASVLLELVLEFCVGGDKFDSHWYCMLMKFLGNFKQSKSINLQCLFPRGIVIPKDMRKNSDPPLFGTIATLHIEYGFLVNCSLDIVDSFLWISPQLNTLTFVRDSDLSCTLKFIYEDASAEDEKTFRSHKLKEVEMENFTCCPNFFENEMILMECACDYCTSKELHLLVNDDEEAIEDIEKLLLVIMLFGALKEVELMLEGSVEVSIPPVPFSFSCSV >Solyc03g078200.2.1 pep chromosome:SL3.0:3:51596504:51598579:-1 gene:Solyc03g078200.2 transcript:Solyc03g078200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLLDPILSGHNFALMSGTSMATPHIAGIAAMIKQYNPSWTPSMIASAISTTASTYDNLGDPIMAHGFDLYTLHTSAPFGFGAGLVNPSGALHPGLVFSAGYEDYISFLCSLPNIDSRIVKTATGGICGQLFENPSDLNLPSITITSLNGSRIVHRAVTNVESKAETYLSAVLPPKGVTVNIEPSWFTIAPQGTQDLHITFNVTQALDDFTFGEIVLTGSLNHVVKMPLSIFPISTKM >Solyc02g081460.3.1 pep chromosome:SL3.0:2:45971935:45980289:-1 gene:Solyc02g081460.3 transcript:Solyc02g081460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAAAISLCPSTPGWNLDRPFLTGQFYQETKISSGTSEYKGFSAESSSGADKAIGCYHATIQELIVIDDLLSTLIGIEGRYISIKKVRGKEDDITFQVDASMDLALQEFAKRLFPLCESYILINQFVETRSQFKTGLVNHAFAAALRALLLDYQAMVAQLEHQFRLGKLSIQGLWFYCQPMMGSMQALSMVVKKAAADNCVGSAVLNLLQSQAKAMAGDHVVRSLLEKMSQSASTAYLGILERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWQQRYSLKDEIPSFLANAAETILITGKYLNVMRECGHSIQIPVAEKSKLTSAGSNHHYLECIKSAYDFASGELLNLVKNKYDLMGKLQSIKHYLLLDQGDFLVHFMDTAREELMKKPDEISVEKLQSLLDLALRSTAAGADPCHEDLLCCVERTTLLKRLSTLKDLEISRSAPDSNDLEEPLSITGLETFSLNYKVRWPLSLVISRKALTKYQLIFRFLFHCKHVDRQLSGAWQVHQGLRKLDMQGTTVSVSSLLCRNMLKFINSLLHYLTFEASFTPSKGTTVPMLVCYVCLSLVLEPNWHVMLNRLQTAKSIDEVIQYHDFFLDKCLRECVLLSPALLKKVERLKLICLQYAAAMQRLITSSLDTTDNDTLSNDSPSIEKYKNLKLRTPYQMLRLAPENVTVLDSVLKFEKEFSFELHSLGPILSSGSRAEPYLTHLAQWILGVGKDQ >Solyc01g017530.1.1 pep chromosome:SL3.0:1:23883771:23884431:-1 gene:Solyc01g017530.1 transcript:Solyc01g017530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAGVHFVHGTRKWNPKMSLISLQSVRVFILQILGKQFLIVGTKNKEVDSVEWAAIRARCHYVNKKRLGGMLTNWSTTETRLHKFRDLRMEQKTGSLNRLPKRDATMLKRQLSRLQTYLGEIKYMTGVLDILIIVDQHEKYIALRESITLGIPKICLTNTNCDPDLADISILANDDAISSIRLILNKLVFTICKVVLAI >Solyc10g083920.2.1 pep chromosome:SL3.0:10:63747603:63754495:-1 gene:Solyc10g083920.2 transcript:Solyc10g083920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4D3H8] MLKNIIKRGHKKVLKSEVSDFGHAPPAGGRNSGNVSTSDVVVNHASRGNMVTNSIQSQQTPSVMTSAAPASGNIENLPLFRDVQISERQVLFFRKLQICCFQFDFTDTMKLVREKEIKRHTLVELVDYVQSGAGKISESNQEEMVKMISVNIFRCLPPASHENTGSENVEQEEDEPCLEPSWPHLQLVYELLLRYVVSSDTDTKLAKRFIDHSFVLKLLDLFDSEDPREREYLKTILHRVYGKFMVHRPFIRKGINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKSVAMYHQQLSYCIVQFVEKDYKLSDTVIRGLLKYWPVTNCQKEVLFLQELEEVLETTQAAEFQRCMVPLFRQIARCLNSPHFQVAERALFLWNNEHIVGLIAQNRNVIFPIIFDALQKNIDNHWNQAIHGLTVNVRKMFMEMDADLFDECQRQYSEKAARATELEEQRELRWQRLAAAATQGR >Solyc02g091160.3.1 pep chromosome:SL3.0:2:53195877:53197758:1 gene:Solyc02g091160.3 transcript:Solyc02g091160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISTILMRHKDSSCGHFDMQMIGTFLSFASRGDRVGLNQMLRRGISPNVQDYDKRTALHLAASEGHASIVELLLAYKADVNLKDRWRRTPLTDAKLYGHRDICRILEVCGGKDSNSDHPLLSSFLNKATNQIASHKLLNPSSLPRAIHFFLHDLVRSLHSGNVNLGDDMEKGLREQMNRGPTTLGNT >Solyc02g091740.3.1 pep chromosome:SL3.0:2:53636184:53643128:1 gene:Solyc02g091740.3 transcript:Solyc02g091740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSIRAPAARKATTLSVAVKCRPLTDREGGRDIVRVNNDKEVVILDPDLSKDYLDRIQNRSKERRYAFDYAFGPKSTNLGVYEKSIQSTIAGVIQGLNATVFAYGSTGSGKTYTMVGTKNDPGLMVLSLNTIFNRIKNDKSSDVFQVTCSYLEVYNEVIYDLLEKSSGHLELREDPEQGIVVPGLRCIKVNSADKILELLNLGNSRRKTESTEVNETSSRSHAVLEITVTRKQRDKYSNQVIRGKLALVDLAGSERACETNSGGQKLRDGANINRSLLALANCINALGKQQKKGLAYVPYRNSKLTRILKDGLSGNSQTIMIATISPAVSQYHHTVNTLKYADRAKEIKTHIQKNIGTINTHVSDYQRMIDSLQIEVSQLRKELADKETQLIAKPSEKASDDELSWLDTLSHETSENVQERINLQKALFEIEEMNISNHNELQNLDDAIAKQQAVEKDGAVVRALRARRQVILDNIRDNDELSNNYLKEIEANEKRRCELQAMIDEAISNNGNKTYLRVLSQYRLLGIANTELQLEIAMRDQIIHNQRETQKNLWSLLLSLGLDEKQITDLALKQGITIEDWTMTAQIQLSDRRQSPNTGSGTYTTLSSSPPTFQLGANVPLEQYFCSVPSSLRNRRPPLIHCRDEDHSSYYLHDYSPSENMTLSEGESCFKDGTSLHEVPNRHPLEFCSSYAPTRNQFSSCSESGMSVTPSLVDLRQQQMDVQSSSIRQQIRPSCHAEISNTDYFHHGTTMVHRVSRQGRFHSSPFTQNFASLNSNHLHPKLGQFNLQDMQVLPSCDTTSLSSNSREARPPRFS >Solyc01g111090.3.1 pep chromosome:SL3.0:1:97383990:97390365:-1 gene:Solyc01g111090.3 transcript:Solyc01g111090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTTRRPIQVVSTWVRRQPPKVKAFLAVITGMAALVLLRAIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKSQELTAMFLAVRLYCSFVMEYDIHTLLDLATLATTLWVIYMIRFNLRSSYMEDKDNFLIYYVVIPCAALALLIHPSTSHLFVNRVFWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDSRGHLLVALGHGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVMRLPSGVV >Solyc05g025550.1.1.1 pep chromosome:SL3.0:5:33261199:33261681:-1 gene:Solyc05g025550.1 transcript:Solyc05g025550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSVEQNPVSSPNHETPKTKELGNKNVYFSSVKSEVEEDKKEMDLNLDKQVRVEPYSLVTCVDTNSMGFNLSSESGTIRAHEPLRSSPTPQRIAATNVNPIGAQPRFPYHYVSTLPDRWQVVSSSGRLDLPIDPTIPSPNELVIDQDHPYISLRQPPFI >Solyc02g077470.3.1 pep chromosome:SL3.0:2:42965223:42973203:-1 gene:Solyc02g077470.3 transcript:Solyc02g077470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLPLLKLGTLALKTLSKPIGSRLKKQAGLHPKFRNFIVSMAQANHRITTTMQRRLYGHATNVEIRPLDEEKAVQAAVDLLGEAFVFSEWSMQYLDHTEHYLQSLFTGASVAGAPVITSPTSLTFRMSLEFSRKCTVAVAALYFEVQRSSRSEAKKEELRRQELEQMRERDDALSREVESLKSKIQELEQLARGRGLAGVFNFRPSQVEEGKIYNSKGILNGK >Solyc12g032933.1.1 pep chromosome:SL3.0:12:37291131:37293457:1 gene:Solyc12g032933.1 transcript:Solyc12g032933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLNLSMVAYLLTAGKFRHLPVVENGEVIALLDIAKCLYDAIARLERAAEKGKAIVAAVQGVEKNWGASISDSDSFIETLRERMFRPSLSTIISENPKTVTVEPNNTVLEAAKKMSESKTSSAIVAVDKKPKGILTSKDILMRVIAQDLSPESILVERVMTPNPECATTDTSIVDALHTMHDGKFLHLPVIDKDGILVAVVDVLHITHAAVATVGNTSGVNNEAANTRIQKFWDSAMELSPDDGEETRSICSLKFASEGAELRRCISYPSSSLSNTFAFKIQDRTGRMHRFNCNIGSMRDLITAIIQRVGDDIKRKNLPQILYEDEDHDKVVLATESDLTAAVEHARCAGWKGLRLHLDYSGKHGCRKGSRSNSLDTQSAWASAYSAVAAGAALFAGLGVIAFLRRSNN >Solyc01g016686.1.1 pep chromosome:SL3.0:1:21203094:21203447:-1 gene:Solyc01g016686.1 transcript:Solyc01g016686.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRQLVGSLNYFTITQHDISFAVQQVSQIMLTPRHIHLEAVHGIIRYLLGTSTCRLFFPSGSPNFSDSEWAGCADTSRSSLISWKSKKQDRVSKYLIEAEYRSMCAT >Solyc07g052680.1.1.1 pep chromosome:SL3.0:7:61263452:61263907:-1 gene:Solyc07g052680.1 transcript:Solyc07g052680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCYVGKATKIFIFIVTVLVVTGLILGFGLLRHHNQKGENKCSGDSCDQNQYQSPIVYPPPTTSTNTNNPISPLPISTPSQPNTPNPNLPQPPPPPSPDNPTPETPNLTPPPPPDTVVSTPPPLPPPAVSLTPPPTLSPPSPVTVSPGPVQS >Solyc03g064020.3.1 pep chromosome:SL3.0:3:39445455:39447434:1 gene:Solyc03g064020.3 transcript:Solyc03g064020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLYGDYNQKIDYVFKIVLTGDSAVGKSQLLARFARNEFNLDSKATIGVEFQTKTLIIDNRTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDLTKRQSFDHMARWLEELRGHADKNIVIMLIGNKCDLGSLRAIPIEDAQEFAERENLFFMETSALESTNVETAFMSILKEIYQIVGKKTLIADEGAEYAKSQSLKGTRIIVPGHHSDSAAQGAGCCISS >Solyc01g014430.1.1 pep chromosome:SL3.0:1:13489180:13489561:1 gene:Solyc01g014430.1 transcript:Solyc01g014430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIGMDINYIDAPMQRNFIVNSKKLVNHFKCLGDVVLDYVVTTHLYFKYSRLIPILITYLRCTFVNNECSAQSEVKASMFEHIFHASR >Solyc04g052930.2.1 pep chromosome:SL3.0:4:51215202:51220224:-1 gene:Solyc04g052930.2 transcript:Solyc04g052930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLMILIHLHHHLLQQKLNCLLKLLMLLQLNLSSKEPDEEAADDNNTDPSLPPPPLPAAQLSAQAPNAFTTQLSAQEAIVEEADDDDNTDAHPPPPPPSAAQLSAQVSNVVTTQLSAQSRNTVTMNEFASVIFANPNLDSDSNVPPDKIDRAYHAGKARRKGDCSSVNPVQEGVSKAPAADTKKLLMKKLLLLMIMIHPLHQQHKLEYCYSRLQQENNYCNEPNNAGSAIEEVIAEEADEAADEEANIADDTNPCPPPPPPPAVQ >Solyc04g072690.3.1 pep chromosome:SL3.0:4:59733698:59743266:1 gene:Solyc04g072690.3 transcript:Solyc04g072690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISLTAVVLLMTAVLMLSVELAAGYTFSSRLIHGFSDEALSFWASKGKSMTWPKRESVEHMRLLLSNDWKRQRLKLGSQKQLLFPSEGSETHFYGNELSWLHYVWIDIGTPNTSFLVALDAGSDLLWLPCNCVQCAPLSSSYYSMLDKDLNEYSPARSSSSKYLSCSHQLCELGPNCRSPKEHCPYTVNYYSENTSTSGFLFEDQLHLTSVGGHEHQGSVLAPIVIGCGSKQSGNYLSGAAPDGVMGLGPGEISVPSLLAKSGFVPRSFSLCFGKSNSGTIFFGDKGPENQRRSSFVSLDENYNTYVVEVQHYCVGGTCPKQSGFQALVDSGSSFTFLPSEIFTKVVTEFEEQMNVTRLAIEDFPCCYKASSQGLPNIPSMKLLLAANQSFVIQNPMFTISSSQGDNFYCVGLLPIEGMIGIIGQNFMEGYRLVFDWENMKLGWSRSKCQDIDGTAKVSPTPPPSGLTSNPLPTTEQQRNPGGHAVAPAIAGKATPKPSAASLLAISRHYTMSSLIFLLVVWLPYLI >Solyc12g016120.2.1 pep chromosome:SL3.0:12:6129630:6130006:-1 gene:Solyc12g016120.2 transcript:Solyc12g016120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDLAKAHFMEKQFQHEVAIGGSVSQFLQNQVVPLKLAVKLVLDVEHVHGLNLIHRDLKSDNLQIAADKSIKIADFGVARIQVDKMLEAAGRQKS >Solyc11g012060.1.1.1 pep chromosome:SL3.0:11:5004301:5005158:-1 gene:Solyc11g012060.1 transcript:Solyc11g012060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLYRKGTVHPSPPLISDQLSFLPVAILTLAAALSQEDKQVLAYLISCSSGDFSGNRRTTHKSNTSNPSPPTSNPVAGDFPGEVSGEFSGSRGNTHFKSATPNSGSEKSGGGNGSKSFSAVHAVAHGGVHGGADHLSSFNCYCFSCYMSYWVKWDSSPNRQLIHEILDAYEDGLQSKKEKSKKERRNKNGSSLKQNGSSLKQNGSSNGDVGSSTELKKSSSELSLNKNGSGSVSGFGSGQADPVEETSGGGGGGGYEEESGGVEKGTVRKFVSFLGERIWSVWT >Solyc05g006110.3.1 pep chromosome:SL3.0:5:826989:828948:-1 gene:Solyc05g006110.3 transcript:Solyc05g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAISLVSSSILLSSSSSPSVYLPISNSVSWVSSSSSYRCISSWVSPKRCRQNLICRAAEYKFPDPIPEFADAETEKFRDHLLKKLPKKDIYGDSVEEIVGICTEILNEFLHAEYGGPGTLLVVPFIDMADTINDRGLPGGPQAARAAVKWAQSHVDNDWKEWNSGKKK >Solyc03g019873.1.1 pep chromosome:SL3.0:3:62791565:62798214:1 gene:Solyc03g019873.1 transcript:Solyc03g019873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNSNFYSESEEETSHFDGTLDFNNSKIEEDPITNLTDPKDKKWGKGKDKIDDEEIAFHRMVSKGSWRFLLGD >Solyc07g017590.1.1.1 pep chromosome:SL3.0:7:7601046:7601210:1 gene:Solyc07g017590.1 transcript:Solyc07g017590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIYFSLTLSVANQCINTVWLSLSYELSIHSHSSSLFLCTSQQVSATTMLLFRI >Solyc02g083080.1.1 pep chromosome:SL3.0:2:47175845:47177438:-1 gene:Solyc02g083080.1 transcript:Solyc02g083080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSLPLYTNLETSDHLDDLVFKSGTYMKDKSIWKIGMHDLLTFLITLIKNAISDAASRNSDPSVSSFISFKDKTNLLASFFIFFLKEANLTMLSCFLLLTDDATSLTCSLHCETNSLIVPQTSRILMDCSDKSSNYFPCWERFKEADQGTQVLVFLYRGRFLLYQERLGEAGGVY >Solyc01g060260.3.1 pep chromosome:SL3.0:1:69911859:69914937:1 gene:Solyc01g060260.3 transcript:Solyc01g060260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSMTKSKQIFLPVIDNHHEYEDRAPCLGLSCIKPGCKFAKGKVDDYEGQTAAAGVLGNEYLPNYGGTNGGYSSENGDSPQYGGGTIDARPDYLFSKALQCFTDKHIYSSCEESYRLTETGELHVPPEYTDQYCKGPCLEETHHVLNCLGSILSQFRFYNKATVRAVEETIKEGCSYGPERGLFNVAEHILAYDNTAFRASKSSMLHSFVLMTLALIFFL >Solyc11g068670.2.1 pep chromosome:SL3.0:11:53598411:53609411:-1 gene:Solyc11g068670.2 transcript:Solyc11g068670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSQRRPAALDPVYYPVVALALILVACVDFCDAVTVVDVYRLVQYDLSGVPFGSRLATLNHHAGSSFFASSSTVDLSRTVLMLPVRELDLDLIKEYIGQGKLLGGLLLLLPPQFSPEKVETAVGRDENFDLLRNKVSELERLLIHANIPYPVYFAFEDDNINAVLAEVKRNDASGQPATATTGGYKLVVAASDPKKIASPTITNIQGWLPGLKPDGDSSQLPTIAIVASYDTFGAAPALSVGSDSNGSGVVALLEIARLFSVLYSNPKTRGRYNLLFGLTSGGPYNFNGTQKWLRSFDQRLRESIDYAICLNSLGSLGNELHLHVSKPPENTYIQQIFQGFSSVAEESGLQVGLKHKKINISSPRVAWEHEQFSRLRVTAATISELSTAPELLEGTGSLSDNRRSVSEASIIRSVKLVAESVARHIYSQEGKGTNIFADDGSLAVNPSYVRSWLDLLSTTSRVAPFLSKSDPLIMALQKELADHTAEVNVQHETLDETFTFYDSISGRLHVYQVASVTFDLLLLLVLGSYLITLFSFLVITTRGLDDLISLFRRPPSRKVKAV >Solyc05g010100.3.1 pep chromosome:SL3.0:5:4280691:4287346:-1 gene:Solyc05g010100.3 transcript:Solyc05g010100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQVDYTNLLNKSSVEFKEHSSQARISDTRNTKAVMESHEEKTLLGALSTSTTHSSDTISVEVAQANKREAKASSQVLSGHSSIMESKTGFSTQAVSDSGIQRGFSLQHELTSDRPPQPQHITHGEARRDEVHGSSSNFTSHEDALGSADRLQKSSTHYVGEFVEKVRHEISNTEILKEIKTSETKLSNEGEQHTQKVVGQRVCGDSQSNEDESRQSSLVSRAKGPSDEMWDVTEPSVQESPEIQVAEDADKDNTAIVKRSGRSLWNIIGDIVHLRWSRSDRHTLTSKSSRRSSSNQSTSSETWFSGAEDNNSENAKKIRRPNQESASLDWQQQKIVRSHSSGEASSSRAHMKYTSYSPIVLQSSSPSKTIQLPSAEDTTGKNFQGIYGAIVPEGGLPISSTPVRTSPVIEEIAEIGQAVPSSSSKGQAISPVSGEVSGSKVKDAEMRHGKFQRSHQFEKDRFDEWEDAFKLESDQRKIDEMFMREALMEAKKAADNWEVPVGAVLVHDGRIVARGYNLVEELRDSTAHAEMLCIREASSTLRTWRLSDTTLYVTLEPCPMCAGAILQARVDTVVWGAPNKLLGADGSWIRLFPDGDGEKSLEPTNKPPAPVHPFHPNITIRRGVLASDCADAMQQFFQLRRKKKEKEKESDSPQPPSCLPISRHHHPKFLSKIHDAFHIMFCL >Solyc08g080160.3.1 pep chromosome:SL3.0:8:63610358:63610957:-1 gene:Solyc08g080160.3 transcript:Solyc08g080160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAKGLMYLKARSELAMCPILDTLLTSLLDKSWPHLNSLTGDESYQSRDLHEASQQLAKPFYAEKVEPITSIPKQVGNMYTASLDAAFASLLHNKHY >Solyc10g011815.1.1 pep chromosome:SL3.0:10:4030512:4031199:-1 gene:Solyc10g011815.1 transcript:Solyc10g011815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSKKYITAEELKKHNKRDDLWISVQGKIYNVTDWIKQHPGGDIPILNLAGQEATDAFIAFHPDILFGS >Solyc06g049060.3.1 pep chromosome:SL3.0:6:32419868:32426189:-1 gene:Solyc06g049060.3 transcript:Solyc06g049060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSDDLCNIEGNGNGRGNNGASGAPSTSAATSSAYKLFGRQTSFHQMMGGGKAADVILWRRRRVSFGIIVAATVAWIIFEYSELPFLSVSSDVLLILIVLLFLRANYAAFRKKQLPALPELVLSEEMVNNAAASFRVKMNYMLLMAHDITLGKDFKLFFKVVIVLWLLSVIGSVISFFTLAYIGTIVFITVPALYNKFEDHVDRYAGKIHRQFSRHYKIVDESISRLPRSISKNKEL >Solyc03g082490.3.1 pep chromosome:SL3.0:3:53829671:53843310:-1 gene:Solyc03g082490.3 transcript:Solyc03g082490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAAAGVAAAAAARGVALPVSSAQAARKEWRAVSEQSVRNSGSEETERSRLGQSDERLIYEVQQGREPVDVDFCSITIDGTPNNDILQQRLLAVVKQKEEFHQMEVELRAQLIARSEMMEIRNSFDAQIKEHVTANVKLQDQIHERDQRNYELERRMEEKERELNAIRLDHEAAWAKEDLLREQSKELQTYRRERDNSEAERAQHIKQIHDLQEHIQEKERQFVELQEQHRIAQETILFKDEQIREAQTWMTRVQEFDAVQQGELRERTEQYNQLWLAYQRQFGEMERLHMHMQQLQLELAEARGGTYSEGSQVSNLNSKDASHLGQSNGSQLNASGSSTPGESSIGLQNGTVENAPSFASTGHVSTQADHVHGMPVAPSSVLGMTTYLPPGQIAALHPYVMHQQGIPPPLPSHVPQSHVGHFHSVPAVSSLQHWPNQQAVPEGSHISNHNQYTLQPQSTLPRSDSQYDHETTVNGQSLLNVNQGIETQDSVVPVSSEDGQELQSVDKNYLSGVQTHQTLHQISSQFNGALRLDSHEHNNETEVNNVNSSANYMLEPQGLRMGEFSSNADKSSAEISNNVRNSTESVVDTVSSAVLTETYVAGGQKNAYAVGKSAEVNLLDEKALLACIVRTVPPGSGGRIRISSTLPNRLGKMLAPLHWHDYKKKYGKLDEFVANHPELFVIDGDFIQLRGGAQEIIAATAAAAKVAAAAAAPSSYSSLLPPIAVTPMPQNHRLKRVPSVEPTSEKAVFKDYAVVRPANSSDNLQSQISNGASFNSTGGISNVKILTKPRDQMELNASEARTASSVQLNLGNGASADKNDMGSSQNKVSSHGRPGTNLVGRQGMQGFHQALEDRQTLDADDYGWAYTGC >Solyc03g123420.1.1.1 pep chromosome:SL3.0:3:71776606:71777082:-1 gene:Solyc03g123420.1 transcript:Solyc03g123420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSLSTWVIFILGIFFCLFSLPVQSQDNVNSPNLQPPPPPPPPPPPPPPPPPPPPSPLAPPPPPPNQRAPPPPPPPNQRAPPPPPPKIKTSEKEHSHHPQDHHKSNVKLSPPQKETKLNWGKRLGLMFVGIAAILQVCVVAFLIIKRRQLLKAHSGF >Solyc09g090040.3.1 pep chromosome:SL3.0:9:70068623:70070179:-1 gene:Solyc09g090040.3 transcript:Solyc09g090040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLILISVVFSVNFCSFVSAYDLDPLQDLCVAVQDSNQSAVFVNGRFCKDAKLANADDFYASGFNVSGMPTPTNLGFSVNALNVNRMPGLNTLGISMARADLEPFGLISPHIHPRATELILVLEGTIYVGFVVPDTRNPFKSRLFDKILHPGDAFVIPQSMVHIQYNLGTTNATHLSSFNSQNPGVHMISYQLFGSNPPILDDVLVKGFQLDKKVVDHLRAQFSSKS >Solyc09g091680.1.1.1 pep chromosome:SL3.0:9:71409469:71410611:1 gene:Solyc09g091680.1 transcript:Solyc09g091680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNLGDDVITCILSKLPVESLQRFKIVQKSWCSIINDPNFIKLHIDDSSSDINRQNILLISSKTTPSPCLKYNMLAFNIGTTCASSLSVNSQVVSLNPPNYLMSRDISYPLVSSCNGLLCVVYKFAIFIWNPAIRKYKTVQKTHRYISMLYEFESTLYGFVYDSICDDYKIIAIFVINSKDRHYVIGIYSVNNESWKKIDFVPIGYRLFDQNPVSLDGTINMMANDDNSGLDNKFVTISLFVANEKFIVTPVPLQYHGTRMKLYNFANRLYLFSVFVEMDFLLCSLEKDGERWTWTNVMKIPTMPSFVGVGEKEKWYLDDIICLKENENILWRKTNGEFIEYNVREQEVNEFTLKEISPSTDLSILFAGSLANLRIPWD >Solyc10g052835.1.1 pep chromosome:SL3.0:10:53683264:53684144:1 gene:Solyc10g052835.1 transcript:Solyc10g052835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTNLLSYRSRLQPLYSGMSMVTTICKPKTTLYNNIIVKGSSPPHVSELVLQLGKAFAMKDLGPFHYFLELRMMVVRLQYLILTRLDIIHDFIQNPNIQHDQGVKRILRYIKGTIHFRLRIISQSPCRLYGYPDDDLRGCTTPRRSTTDYSINLGANCISWTSKKQNTVARSIFETEHRELASTAAEMTWILYILYDL >Solyc09g009290.3.1 pep chromosome:SL3.0:9:2668962:2673899:1 gene:Solyc09g009290.3 transcript:Solyc09g009290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIMVLQCNSSSLFTQLWPMTVTATAYTCRFSCSNVVSHRFTRRINMGFRSFQEISTRNSVVNLRKAHFWEDPDDGSDSEEEEEEEEEREEDMDVESSFEYEENRAMDNSTNGLSNREDEFVKEVEQLLSPEEREILYHNQTPELDKISTEKWNPLHTFALAGQIRFMDRLLEEGLDIDAVDKEGQTALHHAVFGKKEAVISHLLRKGANPQVRDTDGVTPLHYAVQVGALQTVKMLIKYKVDVNAADNVGWTPLHVAMQSRNRDIAKVLLVNGADKYRKNKDGKTPLDISLCYGKDFKSYDLAKLLKLVNANREL >Solyc02g072447.1.1 pep chromosome:SL3.0:2:42219806:42227494:1 gene:Solyc02g072447.1 transcript:Solyc02g072447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAYSNFFISSLLLLLHLYLLETVRSDAVHTDESALLALKASFTLNSSHPLTQNWSSQSSICDWIGVTCGSRHHRVTALNISNMNILGNIAPQLGNLSFLVSLDVSQNNLHGDLPQDLSRLRRLKVMDFAFNNFSGEIPMWFGSNSSSISKLETLSVRNNRLQGNIPKEIGNLKNLKELILFGNQFTGTIPLSIFNMSSLEILGLSNNQLTGSLPVDICHRLHRIKSIGIISNHLSGHIPPGLSNCTELYELSLSYNSFNGTIPPEIVNLERLQFLNLGGNKLRGTIPANIGNLRKLQQLQLENNYMVGLVLGMELMVDIIILMGTSNLDILNHVAGLFNISTLIEVSVFFNKLSGSLPYASGYWQTNLELLNLGQNNIGGVIPTSISNSSYLQIVDLSINKFSGQIPNSLGDLRNLEYLDLFVNNLSSPHLSILTSLVNCRYLKEVILGDNPLNGVLPDTIGNLSSSIEIFDIYRTEIRGQIPLGIGNLSNLNTLFLSGNDLTGSMPTTLCDLHILQRLGLAVNRLSGSLPECLCKMSVLGLLSLYHNRISGPIPSCIGNATSLRNVYLSSNRLTNIPTSLWSLKDLLVLNLSNNTLIGSIPPELGNLKAITSINLSRNQLSGSIPSTIGDLQTLIYLSLAYNELQGSIPESLGKMISLESANLSNNILSGTIPKALELLRYLKNFNVSFNRLEGEIPSKGPFLNFTSQSFMGNGGLCGGLLFQPCVTRTFHHSRRSKLLLIILVSLGAAVMVLGSIGVFMLRRRRNRNIPNQAESFAATTLARISYIEIERATQGFDQCNLLGSGGFGSVYRAVFENGMTLAVKVFNLQVEGALKSFDAECEVLRNLRHRNLTKVISSCTNLDFKALLLEYMPNGSLELWLHSDDHFLNMIQRLDIMIDVAFALGYLHHGYETVVVHSDLKPSNVLLDEKLVGHLSDFGLAKLLGEGESIAHTKTLATMGYIAPEYGSVGLVSRRCDVYSYGIMLMETFTRKRPYDEMFQENLNMRSWVLPPFMPVVGKFG >Solyc07g032792.1.1 pep chromosome:SL3.0:7:41406744:41410338:-1 gene:Solyc07g032792.1 transcript:Solyc07g032792.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSICKSTEGSSNTSVQQDNIITIDNSHPYFLHPSNAPRMVLVNTPFNGKGYLGWRRRIHVLSSPAILDKPLPILSPGMDAYSTSHPQIPTTPPTSAVQEPLLPPTIPTPRRSDRQNKVYVDDLLFTGDDAQELSDLKSFLHSEFQIKDLGKIHYFLGMEIMRKPIGLIIK >Solyc12g088330.1.1.1 pep chromosome:SL3.0:12:64743157:64743810:1 gene:Solyc12g088330.1 transcript:Solyc12g088330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKHYQALVQLQKKSSKRSKNSTYNPYANRGLDKFSKLLANLEDKKQKIYSESNDISFVRFVFSNSNSIKPIVIRVKDKNQTSSSDIDDDKQMIKKTMQEVSSNISEERKVESKRRKYFRNLRLANLKKPTYYLPLAIILILVFLAVYGRCFAILCTSIGWYVIPIIKASSRRTKRRHVKKLSEENVTLCEGPIFPRSVMNGPKDHKLVARKHVHM >Solyc04g054700.3.1 pep chromosome:SL3.0:4:53004839:53017008:-1 gene:Solyc04g054700.3 transcript:Solyc04g054700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTEEKRFNQEEEDEEENGRGREAWERTYADERSWESLQEDESGLLRPIDNKTLSHAQYRRRLRTATAARIQKGLIRYLYIIIDFSRAAAEMDYKPSRMVVVARQVEAYIREFFDQNPLSQIGLVILKDGVAHCLTDLGGSPEAHIKALMGKLGTSGDASLQNGLDLVCDLLNQIPSYGHREALILYSALSTCDPGDILETIQKCKASKIRCSVIGLSAELYICKHLCQETGGMYFVALDEPHLKELVLEHAPPPPAIAEFAVANLIKMGFPQRTAEGVISICSCHKEAKVGGGYTCPRCKARICELPTECCICGLTLVSSPHLARSYHHLFPIRPFDDVSPSALKDFHKLPKNCFGCQLSLLNPGNLPGPQVACPNCKQHFCLDCDIYIHESLHNCPGCESLRNSKTISDMEE >Solyc03g007000.3.1 pep chromosome:SL3.0:3:1549477:1554895:-1 gene:Solyc03g007000.3 transcript:Solyc03g007000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWYRRSKVGVDAFRSFASKFSFSNSIQRTNQGNSLVPNALGKPSPSGSSRILRNSCFQYGIKQNQYSGAFFGGARRYYYVDRHQIQHFRPRGPHRWFQNPRIVLIVVLVGSGLVITVYMGNLETIPYTKRTHFVLLSKDIEKRLGESQFQEMKKSFKGKILPAIHPESVRVRLIATEIIEALQRGLRKEQVWTDLHYASDAVEPHETSGHETLMALSNSNPEANWSKDDEILDDSWVQKSRKKGQEKGSESATGHIEGLQWEVLVVNDPVVNAFCLPGGKIVVFTGLLEHFRTDAEIATIIGHEVGHAVARHAAEGITKNLWFAIIQLVLYQFVIPDVVNTMSALFLRLPFSRRMEMEADYIGLLLMASAGYNPRIAPSVYEKLGKISGESALRDYLSTHPSGKKRAQLLAQAKVMDEALTIYREVQAGKGIEGFL >Solyc06g009960.2.1 pep chromosome:SL3.0:6:4357194:4358911:-1 gene:Solyc06g009960.2 transcript:Solyc06g009960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCYNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLD >Solyc09g011715.1.1 pep chromosome:SL3.0:9:5007304:5009452:1 gene:Solyc09g011715.1 transcript:Solyc09g011715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKWGPITDGPTGTPTLAHSTLHRPIENETPLSLSGFSRDTQNLMVEDSEKDVKNVIEV >Solyc09g064170.1.1.1 pep chromosome:SL3.0:9:61526765:61526947:-1 gene:Solyc09g064170.1 transcript:Solyc09g064170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVIILSRLVVKSVLLTLVLWRKSDLGKLRLFLEYSTSFHVLLVLAYALTRVAWGTWNPV >Solyc10g085870.1.1.1 pep chromosome:SL3.0:10:65037326:65038786:1 gene:Solyc10g085870.1 transcript:Solyc10g085870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVLPTVQPHFVLFPFMAQGHMIPMMDIARLLAQRGVIITILTSHLNANRFKNVIDRAIETGLKIQVVHLYFPSLEAGLPEGCENFDMLPSMELGVKFLDATRRLQPQVEEILQEMKPSPSCVLSDMCFPWTNNVAHKFNIPRIVFHGMCSFSLLCLHNLRNWEDFEKIASDTDYFQVPGIFDKIELNKAQLANILIKDGDMKKIMDQIQRAEDEAYGIVVNSFDDLEKEYVEGLMNVKNKKIWTIGPVSLCNKEKQDKAERGNKAAIDEHRCLNWLDSWEQDSVLFVCLGSLSRLPTSQMVELGLGLESSKRPFIWVVRHMSDEFKKWLVEEDFEERVKGQGLLIHGWAPQVLILSHPSVGAFLTHCGWNSSLEGITAGLAMITWPLFAEQFINERLIVDVLATGVKAGMENPVIFGEEEKLGTQVSKGDIKKVIEQVMGDEMRRKRAKELGEKAKRAMGEGGSSYQNLTQLIQDVTEQANLLKC >Solyc03g083420.3.1 pep chromosome:SL3.0:3:54718523:54725060:1 gene:Solyc03g083420.3 transcript:Solyc03g083420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIGVYSTPKMEYVEGRCISSIHSSTSLKLWPMIGRTGFPIRRKRLEVNCSLPNTMSPLESEEDAATLSSSDSLEDEFSHVTKFKMSDFKIRNRVSIGLGGRGDEIVFEAMVNDPHSPLYKTRVVLRQLISPRAKRRGHRAIEVLKRLGRRKLMYHSYSMQVHGYICSSMIDENSSFTLVHGHHGSASLRHWLQRSDWLPTLEATLSLDQESVRRVGDDTIGGPAISRQLRLIRILMRDLLIGVNYVHSHGLAHTELRLENLHISAVDKHIKVGILGNASDFNEADPADNTSYDNMDRRRMMIAFDMRCVGFIMAKMVLRELMDPTIFAKFKAFLTKGNDPSCLREFLLHAVKRNSSVGNFGIQILDRNWGAGWHLLSVLLAPKPLDRISCLNALRHPFLCGPKWRVNPSIDLIRWSLGSTTVRIAEEYIYGQQQRSRLAHFVELMEMLNPYPKPKHWLGLLPGKWRLLYCTGRHIGLTLRQPSVRVLIGEVYLTMSKVSQPKTTFSAASHISFTVMAGRDWAHDKSGVGGELQVNSFFRLRAGRRLYLEEETISSKFPSATQDAQASVLKRLSSKKWRKAIPIYEIPSSLSVAKLVSDEIDVMMSLNKPLNGNMEVAQKAIQEVRTQIPPEMFDLSKIVCGTYLDSRLLVLRSVNGSALFFHRCTNDS >Solyc05g014720.3.1 pep chromosome:SL3.0:5:8848872:8894381:-1 gene:Solyc05g014720.3 transcript:Solyc05g014720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGRVIRVLNVAEKPSVAKAVSGILSKNQPGGIRVRDGRSRYNKIFEFNYTIRNQPCQMLFTSVTGHLMEIEFHERYRKWHSCDPLDLYNAPIRKFVPEDKLDIKRTLEAEARSCQWIILWLDCDREGENIAFEVLDVCRQANHNLTVWRARFSALIDREIHQSVQTLVQPNQLFSDAVDVRQEIDLRIGASFTRFQTMLLRGAFVLDFATDDRNLVLSYGPCQFPTLGFVVERYWEIQAHEPEEFWTINCTHNSDEGTATFNWMRGHLFDYTCGVMIYEMCIQEPIATVTKVKQQEKLKYPPHPLSTIELEKRASRYFRMSSEQTMKVAEDLYQNGFISYPRTETDCFSDKTDLRTMVQEQEGHPVWGTYAQRLLDPQSGLWRNPSGGGHDDKAHPPIHPTKFSAGETRWSQDHHRVYELVVRHFLACVSQPAVGAETIVEIDIAGESFSACGRVILAKNYLDVYRFESWGGSVIPTYSFGQQFTPTTLTLDSGVIRPPPLLSEADLLGCMDKAGIGTDATMHDHIKKLLDRFYAIKDSNTRFSPTKLGEALVMGYDDMGYELWKPYLRAMMECDMKAVSTGTKRKAEVLETCLQQMKACFSDARTNKEKLLEAMAIFFERSNRSSSGEQQAVGAVVRRCGLCLESDMVLRQKPDGNFMVGCLGYPQCRNVVWLPGSVSEASVTENTCITCSPGPVFKIQFKFRQQEIPPDYSVQHLGCIGGCDDILRQLVEICGTGSRNSSNPSGRGRGNPTAFSSAQRDNSRAQSSCAYCRQTGHLSNDCPSQASRDRTARAQEVDNGESSMPCNSCGAPCILRTANTANNRGRKFFSCQSSECNFFVWEDNLSGGRAERANTSASTSSGRGGRGRGRGQSGAHSSNVSFVSATGEPITVRCFICGDPGHFANVCPRRG >Solyc01g111050.3.1 pep chromosome:SL3.0:1:97365175:97368052:1 gene:Solyc01g111050.3 transcript:Solyc01g111050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRQGPATLEGATNRLFRNKIMSIDYHHIHEMDGLHPPPLVRGFMWCEFRRVNEGFPILNDYISVPNCTFRKDHGLDQWTRVFSPDVDCYDPLDPTGNISVKYDIVRWTEDGYQSHYPRSSYQAWSCIWEGRVKFLRLSLKFIVLVVSLRPKARITIQNYYKYRHIEKPGWQLGWTWAANEVIWSMSGAFATQQGNCSAFRSEIPHCCKRSPIIVDFAPEAPPENKLDGCCHGGLLAASAINPSNSFASFEMKVGNLRGNFTVHKPLNLTLMAPGPGYTCDEFMDTDPTTSLVIEGQRQEQVIRTWKSTCTYSTFLANNLPTCCVSLSTFYNPEVTPCNLCSCGCKLADNEAKSCIGLVTKQNSFFYRMKYFNFAHFAKSADFVVVYIYVWNRQRSSGPYVRELIQCTDHMCPLRVHWHIKKNYMNYWRVKLTVSNYNLGKNYSNWNLVVQHPGFSQQTTVFSFNSTMLSTVGVPDEVALFWGLDLYNDALLQAEEKQMGSVTTEILLLKDMNSFTLRNGWAFPRRIYFNGENCEMPLPQSFPMLPNGCSREKPCNSYLSMLLLSIYLTYKTLNF >Solyc08g007150.1.1.1 pep chromosome:SL3.0:8:1708751:1709710:-1 gene:Solyc08g007150.1 transcript:Solyc08g007150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CIK2] MTWPLLFLLFSSFACSHAQLTLDYYAKTCPQFDSIVRDISQQKQTEFPATAAATLRVFFHDCAVDGCDASVLIKPTAFNKSELDYDINHSLAGDAFDLITRIKTAVELACPGVVSCADILATATRNLVVITGGPHYKVPLGRKDSLVSNVSNVEAHLTRENATVDLMINKFQSMGFNVKDMVVLIGGGHTIGFVHCKEFAHRIFPTPDPTMNPVLVERLSKMCANYTNNSDMSAFLDVLSPGNFDNVLFKNLMKGIGVLGSDQLLYSDPRTKPFVELYANNAIAFANDFAQAMEKVSVYQVKSGQQGEVRKRCDSINHI >Solyc05g056020.3.1 pep chromosome:SL3.0:5:66252576:66257262:1 gene:Solyc05g056020.3 transcript:Solyc05g056020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit G [Source:UniProtKB/TrEMBL;Acc:K4C2S7] MESNRGNQNGIQQLLGAEQEAQHIVNAARSAKQARLKQAKDEAEKEIAEFRAFMEAEFQRKLEQTSGDSGANVKRLDQETFAKIQHLKAESESISNDVVQMLLRQVTTVKN >Solyc07g040880.3.1 pep chromosome:SL3.0:7:51091289:51092270:-1 gene:Solyc07g040880.3 transcript:Solyc07g040880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQNNETMPIDPNVDPYGYLDMVHNYDGSSHLVYTKDISINSSKNTWARIIPSYFHGGGFIVATVDTPIFQGLYASIASEIPRLPAAYDDCMEALRWVKTKPDDGSSAGVNVVYHVGLCAAESWDNLKPLEIKGLILTQPFFGGNERTQSEVILVTPNLNLNPNLFDQVKLLGWKMLVTGSDDDPLTDRQVDLVRVLKELGVQVEGRFTQGAYHGSENADPLKAKEFSLLVKEFISHL >Solyc11g061818.1.1 pep chromosome:SL3.0:11:48871517:48878648:1 gene:Solyc11g061818.1 transcript:Solyc11g061818.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDNFFIWIDVEQSVLNRRVDMRVDQMVKAGEIEPDSDFTAEDFCLQAIIYIEKILKTQRVPIIVGGSNSYIEKHVEDHVFMFKYKYGSCFIWIDVEQSVLNRKVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPELDRYLREETNIDGDDESKKIILQDLISSIKRNTRMLICNQLDKIQRLISEKMWSVHHIIATDVFKEDRKEDLDEAWTNIVLLPCLDIVKRFLKNDHHNIIIECEIEPDLDFTAEDFCLRAIIYIEKILKTQRVPIIVGGSNSYIEKHVEDHVFMFKYKYDSCFIWIDVEQSVLNHKVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREETNIDGDDESKKIILQALISSIKRNTREIEPDSDFTAEDFCLQAIIYIEKIMKTQRVPIIVGGSNSYIEKLVEDHVFMFKYKYDSCFIWIDVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREETNIDGDDESKKMILQASISSIKHNTRMLICNQLDKIQRLISEKMWSFHHIIATDVFKDDREEDLDEAWTNIVLLPCLDIVKRFLKNDHHNIIIECT >Solyc10g044517.1.1 pep chromosome:SL3.0:10:26718079:26718719:-1 gene:Solyc10g044517.1 transcript:Solyc10g044517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIKKNVRVRWKGTGSRSGLVRYCVVRDLCGGSLELAGFFSPFSVGEGRKDSEGYYFLVKKREAKIFGVFGSPENALVRV >Solyc04g011850.1.1.1 pep chromosome:SL3.0:4:4258220:4258528:1 gene:Solyc04g011850.1 transcript:Solyc04g011850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGSSSVVVIFTKSSCCISHSIETLIRSFGANPTIYELDTHPNGKQMEKALMELGCQPSVPAIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWV >Solyc02g063220.3.1 pep chromosome:SL3.0:2:35814890:35820017:-1 gene:Solyc02g063220.3 transcript:Solyc02g063220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:K4B6K7] MDTDLLSVTEGRGRLVRLMGCVKNYDWGPPGKESRVARLYACNSGNYVDQEKPYAEFWMGTHDSGPSYVVEGTENGLVNGKGEGHKLTLKNWIQNNPNVLGEKVVKKWGTNLPFLFKVLSVAKALSIQAHPDKDLASRLHSELPDVYKDDNHKPEMALALTEFEALCGFISLEELKLIVQTVPEIVELVGTAHTEQVLELNEDDGKEKGKFVLQSVFTELMSANKDVVAEVIAKLISRLHVKNQARELTEKEQVVLRLEKQYPADIGVLAAFLLNYVKLNPGEALYLGANEPHAYLYGDCIECMATSDNVVRAGLTPKHRDVKTLCSMLTYRQGFPEILQGTAVNPHVMRYIPPFDEFEVDRCILPEQSTTEFPSIPGPSIFMVVEGEGTLTSSSDEIIHEGDVLFAPANTNITVSTSSGLQLYRTGINSRFFEE >Solyc11g006110.2.1 pep chromosome:SL3.0:11:890897:891826:1 gene:Solyc11g006110.2 transcript:Solyc11g006110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKEHLQPHEVLIPLPLQGHITPFTHLAMKLASKGFTITFVNTESTHQQIAKAHDGFPLSFDWSANASQFVEGFVHVFQAHVDDFIENLVLSKPNPPISCIIADSFHVWGSTIAKKYNLVNVSFWTEPATVLTVYYHMDLLKSNGHFGCHDKCEDAIRYIPGVQAIEPADLPSYIQDADPSTVMHRFVFKCLEDAQKADFVIGNTVQELESSPISALQEKQPYYAIGPLSTSFTKRIISTNLLPASDYTNELAR >Solyc05g054030.3.1 pep chromosome:SL3.0:5:64859566:64870704:1 gene:Solyc05g054030.3 transcript:Solyc05g054030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSNSFLFGAPSNYSDPFSSPENGFIIKRKRRPAGTPDPDAQVVYLTAEMLMESDRYVCEICNLSFQREQNLQMHRRRHKVPWKLKKKEEEKNEMDQVIKKRVYVCPEPSCVHHDPCHALGDLVGIKKHFRRKRSNYKQWICQKCNKGYAVQSDYKAHIKTCGTRGHSCDCGRVFSRVETFIEHQDSCKPQSTTTKECHDMQIPKPIFLPTTTTHIPPHDQYSKILPNLDLELFTSPNYFNQNTHNFSSFVDQSDHHHHNNNYIVQNNDIEVKEIIEEATTQVTRLKSEANEILKIAMEEKAMAIEKRQEAKCLIELANLEMAKAMEIRQSVCASSSSSSHVMKIIKCSSCNNKQFQSVSSSKDATLTNNYYLSSSIYRR >Solyc04g080200.3.1 pep chromosome:SL3.0:4:64541706:64547567:1 gene:Solyc04g080200.3 transcript:Solyc04g080200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSCNNLHFIQVRRRGLTVKVPNVDSHGKPALIFKKLKDIYDTENIKCESECLQVVDRTLQAVEPEDNIFGGWANHEVNIQSDDKSDDCDFGEITLKQLKKKCKSKKRKLATPSSSMTCLKQPNGCYLPEEDDDLKVPLSHLKLGIFKKANGKRKCTNRNLFASPKEPVSVKIEEVFEPEISQQLRSVLPEVAEPIADTSESEFSMCQSSDSSNCSSNILMVSKLEPVTITHSEFPNVDSEENKPVLFAEEQQQLCTLNQISTDYLEHVESIYVFSASEVPAEVNNQEDGCNEENSQKELFAPARSMEIAAAANDQSADMYDCLTNCSDTVKISDQKPNNVVFHVPDATVPNSTITASLHCADDVCMFGDRDEEVLLSNKNISSVDEPADNCISSWNFQTCSGSDNCLPSLDKIDDKEQHTNSCFPDAATSFISGNCLGDENQLLKHSSIPEEKNMTVSSPPPDVVDQISAPELSPPPERLLSTRKAISPSSQERLCLAMNSIDLIDDLENYKCKEKLTFAGHEDSDRSCLADASTSKDSERSPRPNQAKVFIGPKQISRRLKIGKRSSPPKGNLVKRSSPLKSNLEGPRLSRSLPQLSTGCTSMKRCSESAIAFSQRQMHDIESLASKLMNELKSMKDMVEDKLLFEAYRTSSLKNDADEVKNAIKGATKVEETTRKWLSMMTRDCTRFCKIMKLTQNGSTDSKNSVHREGRKISFADEAGGTLCHFNYFEDSDPTLESDSVQEEDI >Solyc06g053330.3.1 pep chromosome:SL3.0:6:36167086:36172582:-1 gene:Solyc06g053330.3 transcript:Solyc06g053330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGESIKKKSKKKKKETHTVTMETIAENPNNISPMVGYFPSGYDPLRNTNDGVEELSTKLYRNVRRNNRLQVVVSPYGSQVDFVGTNYSGEATSAQLCTYSLGILDKETQTLKIVPIAANKIIRLEPRVRGSEVPDAEDPDTAKQELTAEERNDKMRELTQMYSSKKSIRQARKLDSLRQQEDTGNQEEFDRNIAGAINKEALEVTVATDSARNIPPHDLDATIPQLAYPLDRIISKGEWDFLLDIFELTEAGAEMTPDLYPSFVRNRSYKLEHIQDEDEKKRLAGILSFITHLVKFKDKHSMDGVSSAKHHKFPGILSQKFTSMFSISDSKRLPEEKVTLLINYVLVLTLFADDFRSDPSDIAKDLRINAVALRPFYEYLGCKLVREKNIVLATLPVPLVFPGVRRKRRR >Solyc12g019473.1.1 pep chromosome:SL3.0:12:10423054:10424712:1 gene:Solyc12g019473.1 transcript:Solyc12g019473.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLIAGSSMREINNLKTRLSAAFEMKDLGPTKQILGMKISRDRSAGTLNLSQELYIERVNDAKPRTTPLVNHFKLSKEQSPKTAKERDHLALVPYASAVGSLMYAMVHGGPWKEHWEAVKWLLRYLRGTSSTSLCFGKGKVTLQGFVNANLGGDVDSSKSTSEYIYTIGGTTVSWMSRLQKCVSLSSTETEYVAIDEARKEMIWLTDYLEELGKKQKWLDSANDEEDEYCYQCSSDEELRHFDYY >Solyc06g008900.3.1 pep chromosome:SL3.0:6:2838822:2844868:-1 gene:Solyc06g008900.3 transcript:Solyc06g008900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVGDHNSSDGANEKSLVISAQEKGSRNKRKFLSEFPLDVPIDTPVLSLTEFPRYELLEEKLLSTPNEASSLEGRCHQSNEKQGVETLQQADWDDTIACQLMELLFHNLSGTFQSAIKRIVECGYNEETAEWVLLRSGLYHGSKDAVSTIVDGALALLSREKELDTSTYLIFEDLNSLVEYTMLEMICVLREVKPDFTVAEAMWCLLICDLNLLHACSIERDLSVDSCSLESPRKSSSGSKPAQPKDAFVVSQLGLDKLQLSKPSMPIAKGLQSEIPCDDPVALLSNSIYSHLHGVDITANRSSARFPAAKSNSAGVSGESVLSITKAAILEEKNGTGRRGSSMISKKDMLRQKTFHFEKSYKGRMGKGSFKAKLTTWSSMVLDKTLNSESCSSGLAMKSTNSKVTTTVKCNGPLEVGSSHSSSTYPSIAPSSETASVPATQDTVCALPAVNTNISVPLTPDPKSSSNTPGSTPAPPKVLDYYAGIPYDESLGKYVPQNEKDKIVLLRIARIQTLQKELQGWTDWANEKVMQAARRLGKDQGELKMLKQEKEEAEKLQKEKQMVEENTMKRLSEMECALSNASGQSKMADSTLHRLKEENVSLKKEMDAATLAALESATNVHQAVAREQEMLKNCQALEMENGSLQHNFSTLKREAVHFEQELERAKTRQNQLKVLLEQEEREKRRVLQQADSLKAEREKRGVQSKMEEDNIRETTERNMQKCKEDIKKLESEISLLRYQSEGSKIEALRRGINHTRPQSPKLTKSLAVFEENLGSASVEIERECVMCLSEEMTVVFLPCAHQVLCAQCSVLHEKQGMNDCPSCRTPIKKRINVQFARSSRS >Solyc05g021485.1.1 pep chromosome:SL3.0:5:27945135:27946616:1 gene:Solyc05g021485.1 transcript:Solyc05g021485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKKYNKICVSEKGGESSKSELVKCLDEETEIGKSDFDVLLWWKVNSPIFPFLSEMARDVLAIAISSVASICAFNWLRSEPQHISIEEDLDFLEQLVEGLGDSEALQVFLVPGLLLGDSEALQVFLVPGLLLC >Solyc06g083425.1.1 pep chromosome:SL3.0:6:48885150:48885809:1 gene:Solyc06g083425.1 transcript:Solyc06g083425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAIIQPAIRSQQSSLCLRVSHWDEKVNFQILGAKRVSSFMTALPVLKLVQFLYIVLIGVLKILK >Solyc02g030133.1.1 pep chromosome:SL3.0:2:25679062:25681553:1 gene:Solyc02g030133.1 transcript:Solyc02g030133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAVMLYFNGRWDPSNKYINYLADGVLIHTESTFATLVSVIATQLSIDTSTSKVEIRYKIDERSPPIQIHNDMGVKVYLETKKEHRDMTRYPLCVTTTEPVNLETNAALIPLLYFSNTFNGIDEAIGLIGFRSCEEVDELEELAPGIIINPNHSLFEKDQVYKNKYVLTSALKRHSILNHFQFKTTRSSAIRQCLGESCSWSLRASSLNKSEMFKIREFESEHTCLLLHNSLSERLAIKSIVGSIIVGKYVEPDANYTPKDIQHDMLAEYGVRLTYMQAWRAKEAALELIRGDPIQSYAKLPSYFHILEATYPGSHIRFHKSEDDRFLYAFVALFTSIKGWEYCRPIVVVDGTFLKGAYKGTLLTANTLDAAGSILPLAYAIVDSENDSSWGWFFEQFRDAFGQRPEMCIVSDRHASIIKAVSTVYDEVPHFACMWHLLQNIMKNFRRSQQRVTELFYSMAKTYTMTEFNQCMTMVEKIDKRIKDYLLNIGYNKWSRVHAEVNRTWMMTSNIAESVNSRTRHAKVLTVLQLLEFMRQLVQKWNNNNRSKATFLGCHLGKKYENILRRNKTASKKLKTNKYVYTVLDGITQFTVCLHQRTCTCGRFQLDELPCPHALAVLTIKHTGYEKYCSAYYTRKNLLLTYQFQMDPLPNESTWNTPTHVLEDIVLPPHGKRPPERPKNKRHTQLREDGFKKAKITCSNCGQHDHNRKTCKNVRPYDQE >Solyc05g015310.3.1 pep chromosome:SL3.0:5:10347235:10351798:1 gene:Solyc05g015310.3 transcript:Solyc05g015310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIASFSLLRLPFTVSATRHRFFSAFIVAAGRHQNPNNVSRKLQQPNKNLLKARETINKHFSTLAPILSLEEKPQLSHDQAVGTVASSQANFMRVIVQHIPPETSVDPSGSFSKGSGEIGVELLCVVKAVLKKIKRRVLVGDKVLVGSIDWVDRRGAIENVFQRTTEILDPPVANVDHLLVLFSMDQPKIEPFSLTRFLVEAESTGIPLTLALNKSELVSQEEIKAWKSRLRSWAYEPIFCSVDSKRGLDTLQFIMREQTSVIVGPSGVGKSSLINALRDNKHILGAVEEKNWFDPILGSKWYEEQRVGEVSVRSGRGKHTTRHVSLLPLPDGGYLADTPGFNQPSLIKVTKNSLAHHFPEIRKVLKDSEPAKCAFNNCLHLGEPGCLIKGDWERYPYYLQLLDEIKIREEFQLRTIGTKRESDVRCKVGDKGVVQAEPRLEPKKYRRQSRKRVNQSLLDELDELDDEDDTLEDDNPILRALREENK >Solyc09g011160.3.1 pep chromosome:SL3.0:9:4509299:4521725:1 gene:Solyc09g011160.3 transcript:Solyc09g011160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSENGVRGEEDEVKMKEENEEKKKYELFMWGYLPGVLSHKSPLTSPVEVQLPDNGIDVQSWKDVCGGGCGFAMAISAESGKLITWGSADDQGQSYLTSGKHGEAPEPFPLPTEDPIMKAAAGWAHCVSVTEKNDVYTWGWKECVPSSKVVANFASGGSFEGDAIRKESGSTDQESPQSQGSKPIGGSVAHQDNKKPEETAKRRRTMTAKQELESPPLADESLSAPACIVELDPGVKITSVAAGGRHTLALSDVGQVWGWGYGGEGQLGLGSRIKIVASPHLIPCLDASSHGPDRSLGSPRGSITTGSQKRKALGSYIKSISCGGRHSAVITDTGVLLTFGWGLYGQCGLGNTNDVLRPTCVSSLLNTRIEAVAGGLWHSVCLCDHGRVYTFGGNQFGQLGLGTGTDHTETSPRLVDAPILENKNAKVVSCGARHSAIMTGDSKIYSWGWNKYGQLGLGDTIDRNDPCEVPTDYCMPKNVACGWWHTLLLAESRP >Solyc04g072480.3.1 pep chromosome:SL3.0:4:59577299:59581044:-1 gene:Solyc04g072480.3 transcript:Solyc04g072480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPNSPYTEILLNYLSSSFGMDAVDDANQLQETPKNEVMSFFESTPLLEDAAEIEKSLKEFVDRNTSSSGNLNGKTNRVVCITSGGTTVPLEKQCVRYIDNFSSGHRGATSTEYIPNTIYFLKAGYSVVFLYRRGSCQPFCSTLPDDPLLECFSVADDSSIEVDLLSNSRFSNLMSIFLLHYFLVDALHAETVKRAITESLTAVAEGILLKLPFTTIFEYLQILQLISVSLRDFGPSAIFFLAAAVSDFYVPWKNMALHKIQSASGPLDIRLAQVPKMLSVLRNEWAPMAFHISFKLETDTDILLAKANMALEKYKMHMVIANELSTRKEEVIVVTEQEKVTVRRDSTRAGAEVESPLVELVVDRHSTYIKKFDA >Solyc04g007910.3.1 pep chromosome:SL3.0:4:1574477:1581105:-1 gene:Solyc04g007910.3 transcript:Solyc04g007910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSMDFLVLLLLISHLVAFAFSANEDAFVGVNIGTDVSDMPSPAQVVALLKAQQIRHVRLFDADQAMLLALAHTEIRVIVSVPNDQLLGIGQSNSTAANWVSRNILSHVPATNITAIAIGSEVLTTLPNAAPLLVSAMKFIHSALVAANLDTKIKVSTPHSSFIILDSFPPSQAFFNRSLDPVMVPLLKFLQDTDSYFMLNVYPYYDYMKSNGVIALDYALFRPLPPNKEAVDSNTLLHYTNVFDAVVDAAYFSMSYLNFTNIPVMVTESGWPSKADSSEPDATLDNANTYNSNLIRHVLNNTGTPKHPGIAVSTYIYELYNEDLRPGSISEKNWGLFDSNGVPVYIMHLTGSGTVLTNDTTNQTYCVAKENADKKMVQAALDWACGPGKVDCTPLLQENPCYDPNTVFAHASYAFDAYYHKMGMADGTCNFNGVAKVTTTDPSHGSCIYPGSGGRNGTFTNSSSVAPSSDSASGCHSQYSHDVHTLSRSLIVGLLTLLTAASL >Solyc04g049080.3.1 pep chromosome:SL3.0:4:39454637:39458446:1 gene:Solyc04g049080.3 transcript:Solyc04g049080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRFSNTVIGFLNLFTLLASIPIIGAGLWMARSSTTCEKFLQTPLLFIGFIILIVSLAGFIGACFHVAWALWLYLFVMLFLIGALMGLTVFGFVVTSQGGGLDVPGKVYKEYHLQNYSPWLRKRIKDPQYWLTVRACILGSKTCANVITWTPYDYLTKDLTPIQSGCCKPPTACNYGLTTMTQEADCYQWNNDPNLLCYECDSCKAGVLEDVRRDWQKISVLNIVMLVLLIGIYSIGCCAFQNTKRAVSDYPHGENRMYKVRPRWDFYWWRWWHDRRHQLY >Solyc05g009980.3.1 pep chromosome:SL3.0:5:4169383:4183351:-1 gene:Solyc05g009980.3 transcript:Solyc05g009980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAESSSARSSGSSADSYIGSLISLTSKSEIRYEGILYNINTDESSIGLRNVRSFGTEGRKKDGPQVPPSDKTYEYILFRGSDIKDLQVKSSPPLQPTQPINNDPAIIQSHYPRPPTTSASLPAAVGGSLTDLGSHSAQPGHPGSTFQSGPPLYQPGGNMGSWGPSPPPPNAGAGGLAMPMYWQGFYGAPNGLPQMQQQSLLRPPPGLAMPPSMPPSMPQMQFSGFNSSLPTGGSSLQAPNFPEYPSSLMPTTTSLTSSSLPAATLPSTVPSLQPVAPVTETISSAISKKASVSAIPNSTLSASLPTLPPLSTSPDVNPVVLPVSNKPNPVPSPALSQSVSTIVETPTPSLITPGQLLQSGPIDVPSTQSMQTSQRDVEVDQVVPAPPSEPPAPAKTEAQPPILPLPSQTLREGDNSIKHRTNGAPYQPRYVNFRGRGGRGMGVSRPITKFDEDFDFMAMNEKFKKDEVWGHLGKSNREGDGNGSDEDISYNEYEDDLPKIDIQPVYNKEDFFDSLSSNALDHDSNHGRTRFSEQRKIDVETFGDFSRYRGGDGERGPSLAVQTLL >Solyc02g083670.3.1 pep chromosome:SL3.0:2:47536485:47542759:-1 gene:Solyc02g083670.3 transcript:Solyc02g083670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNKATYGTTTTLSIESSSPPITPKGSLPERILSKMLGFADFSKRNQSPSRSGSGTPRNYQVDEELPFDSSICHSSYRGVFVVRLAIMVMLAILIGMLTLLTWHFTRVYTTKSLNTLAFGLRHELLQRPILRMWNILNSTVEITTAQVKLSEYVIRKYSKPVDQAQQVEQLYESMKDVTWALFASRKALNSLTINYKNGFVQAFHRDHRSNNTFYIYSDLANYSISNTYDVNLLSSRQGWNDQTIHNNISAIWYREPLDPSTGERNGKRSIIPPDELINIAGISQVPDGAASWHVAVSKYTDSPLLSAALPVWDPSNKSIVAVVGVTTALYSVGQLMKEIVEFHSGHIYLTSQEGWLLATSTNSPLLMNTTKGPKLMMAIDSEDPVIRSGAECLQKDYGKRLTPSQEVHIENAKLGNQLYYIDSFYLQLKRLPMVGVIIIPRKYIMGKVDERAIKTLVILISASICILIIGCVCIFVLTDGVSKEMKLRAELISQLDARRKAEASSNYKSQFLANMSHELRTPMAAVIGLLDILISDGFLTNEQYATITQIRKCSTALLRLLNNILDLSKVESGKLVLEETEFDLARELEGLVDMFSVQCINHNVETVLDLSDDMPKLVKGDSARVVQIFANLISNSLKFTTSGYIILRGWCGSARGGNFPLNQKDSWSAPKVKLKRQESQGKKFSKKDNKMILWFEVEDSGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLDDIQVNKMGGEIKVVKKNGPGTVMQLCLQLNCPAEVAGQHFQFSFEEHKMRIQSSLILYNAVLPHIHQVLLALNGKMGRVIMSQWLERNGVHTWGASDWNELTQILQGISISRCHLKDTTCECLEPEDLSIQDPDASSPFVIVVDIGILDLSTSIWKEQLNFLDKYHGRAKFAWILYHDTSSTIKMELRRRRHLLMVNRPLYKGKMIQILEAIIKEKTLELQSFCNAPVEGDSHECLEIDPNHSDIACSDDSDKSDNGNDKCASAFLPEKKREENFVNASLSHYGTLNNYFIDFNSVDLEENAPDRTHLGQTRDGEHNLTSTSTKEVTNACSNKVAGQKSLAGLCILLAEDTPVLQRVATIMLEKLGAKVVVVGDGLQAVEALKPVPNSDECRNESLQEDDNSITSQAEGSHSLPYDLILMDCQMPKMDGYEATKAIRKSEMGTGTHIPIVALTAHAMSSDEAKCLQVGMDAYLTKPIDSKLMVSTILSLTKRKA >Solyc11g007940.2.1 pep chromosome:SL3.0:11:2168232:2175555:1 gene:Solyc11g007940.2 transcript:Solyc11g007940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEGGAESNYNSSTESQLEQSSPRKSPPPLPSQDHEEKATYVKFLLSNAEAGSIIGKGGSTITEFQSRSGARIQLSRNYEVFPGTPDRIVMVSGFLDDILKAVDLILGKLMDEFYAEDGGDVDPRSKFRLVVPNSSCGGIIGKGGATIKSFIEDSRAGIKISPQDYNFPGLHDRIVTVTGTLEEQMRAIELILFKLADDPYYMQSMNAPFQYAAVYVGMNYGYGPPNRVGGRYPNNRQQNKVAPLEVRDNSMTIGVADEHVGLVLGRNGRSIIEISQLSGARIKISDRGDFLSGTSDRKVTITGSQRAIRTAESMISRKVATISVRE >Solyc07g064060.3.1 pep chromosome:SL3.0:7:66478286:66486546:-1 gene:Solyc07g064060.3 transcript:Solyc07g064060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRRVENRSFKNQKMRNDEQKPPLEGGNVALGRSKNGVFPSSFRALSKIVSSGASTVASTVKSAASAIAERDNESTHDQVLWAGFDKLECEGGTTRQILLLGCRYGFQVWDVEDGDNVYNLVSRHDGPVSFVQVLPKLIASKNCDDKFSVNRPMLILCADVSFSGGSSSGERTETPCNGTFRHYNNQARTTFVPTVVWFYSMRSHSYVHQLRFRTVVHLVRCSSRVIAILQAAQIHCFDAATLEREYTIVTNPVVTGFPVSGNIGVGPLAVGPRWIAYSGSPVSVSNSGRVNPQHLTPSASFPSPAPNGSLVAHYAKESSRQIAAGIVTLGDMGYKKLSRYYSELRPDGNCSQPGNVCVKLSGAPNGHFQDVDSVGMVIVRDIVSKTLIAQFRAHKSPIAALCFDPSTTLLVTASVQGHNINVFRIMPGLSESTCATDPGSSYVHLYRLQRGLTNAVIQDISFSNDSRWIMISSSRGTSHLFAVSPSGGSVDFHTSDARRSACPNSSGVIATLAVQRAVISQQSIGGSGSPVTLSAVGRIRSGGNGWKNTLSGAAAAATGNVSSLSGSIASAFQYYNKNHNQYTDPAFLKLNYHLLVFSSPGCVIQYSLRMCSGLDSLTTTTPVVATTYESGVEVDTRLVVDAIQKWNICQKQNRKERGGNMDIYGEFGDSDNSKIFPERMKVENGLYSKISNTTTEEKRSSDERHHMYISEVELEMHKRQISLWAKPEIYFQSFVADEVKIGDIYAFGGETEIEEVPTHLVEARSKDLVPVFDFIQASKTQPGRVSVNSDNSQQSLPRLEVSNYCNLMANGVDELHHSMTGPRSKVHCGREVNGLDGLPMTSQTANGFVNSSESPKADAELDFVNNMKFVNNNLDGAALEDHFEDEVDGVRYSFITRKVSVSVDIVGGGKCREERGFRLLD >Solyc06g069470.3.1 pep chromosome:SL3.0:6:43337730:43343286:1 gene:Solyc06g069470.3 transcript:Solyc06g069470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPSVIPYIFLLLLVGCSARPLYPLPGRRNDRNKQPLQTFRAYNIAHRGSNGEIPEETAAAYMRAIEEGTDFIETDILASKDGALICFHDVILDDTTDIANHKEFADRKRTYDVQGVNTTGYFLVDFTLEELKLLRVKQRYPFRDQQYNGEFSIITFEEFISIALDAHRVVGIYPEIKNPVLINQHVKWPGGKKFEDKFVETLKKYGYKGSYMSKQWLKQPAFIQSFAPTSLIYISNQTDLPKIFLIDDTNTPTQDTNQSYWEITADGYLDYIKDYVVGIGPWKDTIVPCSNNYLQTPSDLIARAHARNLQVHPYTFRNENQFVHFNFSEDPYNEYDYWINKMGVDGLFTDFTGSLHHYQEWTNPFASGEKEATRLLNKIELMLSKFKYT >Solyc03g116730.3.1 pep chromosome:SL3.0:3:67501201:67505043:-1 gene:Solyc03g116730.3 transcript:Solyc03g116730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLSPPPSKSKPYPFSPLPRAVHRQGRSTQTTKNVNSLSCTSMTTKIGVVNKWNCYGLEGKVRFSRRVMLMPIVNAAVTQPVSENERESNFKRILLSDVVVTRPRDVLWGRKWNSMDMASAAVVVSMHLLCLFAPFTFNYAALGIAFGLYVITGLLGITLSFHRNLSHRSFKLPKWLEYFFAYCGVQALQGHPIDWVSTHRYHHQFCDSEKDPHSPYEGFWFSHMSWMFDTNTLTERCGKPNNVGDLEKQGFYQFIRDTYVIHPVALGALLYAMGGFPYIVWGMGVRIVWVYHITWLVNSACHVWGKQAWNTGDLSRNNWWVALLAFGEGWHNNHHAFEYSARHGLKWWQLDMTWYVVRFLQAIGLATDVKLPTDTHKQRLALADS >Solyc11g072960.1.1 pep chromosome:SL3.0:11:56404973:56407370:-1 gene:Solyc11g072960.1 transcript:Solyc11g072960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPAAQPTTPRKKMTKQLTGKRDDSALHSAARAGNLVAIKNTIEETDEEELAELLIKQNSAGETPLYVAAEYGYYEVVREMIMYYDLVAAGIKARNGFDALHIAAKQGDLDVVKVLMEAHPELAMTVDVVNTTALHTAANQGHLEMVNYLLEEQSSLATIAKSNGKTALHSSARNGHLQVLKALLSKEPGIATRMDNKGQTALHMAVKGQNLEVVEELTNADPSLLNMVDNKGNTPLHIASRKGRAEVVKLLLSQNETDTEVINRSHETALDTAEKMSQADTVAILQEYGVQSARVLKPQATNPARELKQTVSDIKHEVHDQLKHTKQTRRRIQGIAKRLHKMHREGLNNAINSTTVVAVLIATVAFAGIFQVPGQYYMDPTNLPAGHIIGEANISNHPGFLVFFVFDSIALFISLAVVVVQTTVVAIESKAKKKLMAIINKLMWVACVFVSVAYLALSFVVVGTRYWVMAVIVTVLGATIMASTIGVMLYWVIKHRIESSNKKSMRRNSMEYSDSALSDDNNEFKIYAL >Solyc01g073910.3.1 pep chromosome:SL3.0:1:81131117:81133004:1 gene:Solyc01g073910.3 transcript:Solyc01g073910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGLSLGDANTSSSPKSFFLSKKTVISTCNDHEKKKNLGFCMALGINSSSERVQQDIEDEENNTSEEGTNNTLPVQLDLLPLVPLPNPPTNLPQSHHWSSDNGSSENGSSGNGGLPAARGFDVNRLPAAGMDEVSSPNSVASSFRMDFGLFKSCVNIVGVGNKRNSESAGGEPERASSRASDDDENGANTRKKLRLSKEQSAYLEESFKEHHTLNPKQKLALAKQLSLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTDENRRLHKELQELRALKTSNPFYMQLPATTLTMCPSCERVASTTTTTSAATAPPITATTATTTTSDSIPKAIPFLNSRPRFFPFTATNNNPNHSHQSAAS >Solyc05g025560.1.1.1 pep chromosome:SL3.0:5:33881680:33881871:1 gene:Solyc05g025560.1 transcript:Solyc05g025560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTDRNFNTTFYDPAGGGDPILYQHLFWFFGHPEVYIPILPGSGIISHIVSTFSGKLVFECD >Solyc03g113995.1.1 pep chromosome:SL3.0:3:65522471:65524724:-1 gene:Solyc03g113995.1 transcript:Solyc03g113995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSQMLNPSYYSTRTTKPMPLLSLTCTVSARRGFFPSLSRTTLLPNSSTVQLPPSSSPNSPLRTTISTLADASGPDSTISPVLSSTKTNSIALPPSPLISFPGFWRTMMSQVRDSR >Solyc11g030903.1.1 pep chromosome:SL3.0:11:23584449:23587254:-1 gene:Solyc11g030903.1 transcript:Solyc11g030903.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ANVAYNVNPVADQFEQAFQTSTISNRLYSFFNKCWFFDQVFNDFLVRLFLHFGYEVFVKALNKGTIEILGPYGISCTFWRLAERIIQLQSGFVIEIIGNERDVSVHHSVCMLKECFPEDGEGENALTSSLSYSPTKLAKREAVIEEATPSSVSTLLILVLDSRSTGRSPVA >Solyc12g013680.2.1.1 pep chromosome:SL3.0:12:4509977:4511932:-1 gene:Solyc12g013680.2 transcript:Solyc12g013680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMHHLCSPTEAFALLDFKQSFQISDFPRCLDVTNLQYVDIPKTKSWNESTDCCSWDGITCDLLTGHVIGLDLGCSLLNGTIHPNSSLFQLHHLHTLNLAYNDFNISSIPHSIGRLTNLRHLNLSRSYFSGKIPTEISYLSNLVSLDLSSLLVYRLQLDQTTFETILQNLTNLEVLSLYAINISSPIPVNISSSSLRYLNLEFTNLRGTFTQSFFLVPKLENLKLGANDLLKGVLPKIHPSNTTLLELDISNTGISGELTDSIGTFSSLNILNLKGCRFSGSIPDSIGNLTQIRYLDFSYNHFTGHIPSTISQLKHLTYLSLSSNSFSGELPDIFSNLQELRYLYLSNNSFIGSFPSKIVSLTHLECLDLSYNLMNGTIPSSVFSLPLLGLLWLNNNRFSGLPDELKTNPTLVRLFLSHNQLSCSFPQSLSNLTNLSILDLSSNSITVDEGNQITFPNLKVLMLSSCELKDFPHFLTNVKKLQALDISNNKIGGQIPNWFSGIRWDNLYYLNLSHNSLTGHLPKFHFHNIGYLDLKFNFLQGPLPSSVCNMNYLQSLHLSHNNFSNSIPSCLGSMSSLKVLDLRRNNFTGSLPPLCAQNTSLRTIVLNGNQFEGTLPFSLLNCSNLEVVDIRNNAINDTFPAWLGTLQQLHV >Solyc01g103500.3.1 pep chromosome:SL3.0:1:91970308:91973854:-1 gene:Solyc01g103500.3 transcript:Solyc01g103500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVDTNMSEVGSERSNPWNNIHTSSEPSPSQTQVNREGQWKNFGTSMDAISFGFVATAILISMFLIMAIFEHLFKPSPPFSSPLGSRHSSLELGPIQKRASSETVPTRYSSDYTVMMPGQQYPTFIAKPTPLACPREGVYWPSHEHSFPFS >Solyc07g066580.3.1 pep chromosome:SL3.0:7:68105796:68111249:1 gene:Solyc07g066580.3 transcript:Solyc07g066580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfurtransferase [Source:UniProtKB/TrEMBL;Acc:C0LIR4] MASGILSRSLSLLKSSTFLPSTKQPQLFSSKKTFYYQSAPTYFSYKLVASVTCRMNSSRLATFSTQSVSSNEPVVSVDWLHANLKQPHIKVLDASWYMPNEQRNPLQEYQVAHIPGALFFDVDGISDRTTNLPHMLPSEEAFAAAVSALGIENKDGVVVYDGKGIFSAARVWWMFRVFGHDRVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYQRQAVAPITFLTKFQPHLVWTLNQVWKNIEETTYQHIDARSKARFDGVAPEPRKGIRSGHVPGSKCIPFSQMLDGSQTLLSNEELKKKFDQEGISLDKPIVTSCGTGVTACILALGLHRLGKTDVPVYDGSWTEWGGHPDVPVSTSEA >Solyc09g065330.3.1 pep chromosome:SL3.0:9:63629142:63632408:1 gene:Solyc09g065330.3 transcript:Solyc09g065330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S24 [Source:UniProtKB/TrEMBL;Acc:K4CUG0] MADKAVTIRTRKFMTNRLLARKQFIIDVLHPGRANVSKAELKEKLSRMYEVKDPNAIFVFKFRTHFGGGKSTGFGLIYDSVENAKKYEPKYRLIRNGLDTKVEKSRKQMKERKNRAKKVRGVKKTKAGDAKKK >Solyc10g054620.1.1.1 pep chromosome:SL3.0:10:55635622:55635792:1 gene:Solyc10g054620.1 transcript:Solyc10g054620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDSCTNFSSFPVPTGENYLTSLQCFHLYNCDGLTSLPSGMLEHCCYLESLRGPLL >Solyc08g008470.2.1.1 pep chromosome:SL3.0:8:2854753:2854998:-1 gene:Solyc08g008470.2 transcript:Solyc08g008470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVSVKTPPETPALRISVSDENHGRNASGSSRSEQVNPKTNSPAPRRPPSPSTSRAKPSPDRGSGKKKSPPEKVEIDESS >Solyc01g100060.3.1 pep chromosome:SL3.0:1:90038338:90041538:1 gene:Solyc01g100060.3 transcript:Solyc01g100060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRTDPLAKSIRGTNPQNLVEKILRSKIYQNTYWKEQCFGLTAETLVDKAMELDHLGGTFGGNRKPSPFICLVMKMLQIQPEKDIVVEFIKNEDYKYVRVLGAFYLRLTGTDIDIYRYLEPLYNDYRKLRRKSADGQYALTHVDEYIDELLTTDYSCDIALPRIKKRIITVGEVQLGREIGIEDETVTDTVGGSYIGS >Solyc03g062740.3.1.1 pep chromosome:SL3.0:3:34271576:34286877:1 gene:Solyc03g062740.3 transcript:Solyc03g062740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRFKQQHRINNPHGISIFCIHNMMIYVLLLDSTHYKIFFNNMIVFLKEIIRNTIFYHQSGFILATHTRFKPEVSLKSYHRTHSVPPYKIFCGLPFPRPFSTGSTKPFIFHLPLPQRSNKVTEFLFT >Solyc11g013345.1.1 pep chromosome:SL3.0:11:6335506:6335859:1 gene:Solyc11g013345.1 transcript:Solyc11g013345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSSYELNASVSSLEFLTLFLFRPLLAIVFVFSLLILGWFLAWKLVLVHVPLVQEIFGLRKKPVMPKPENRRRYTQIYNNMDSQSDASQW >Solyc03g005440.3.1 pep chromosome:SL3.0:3:326355:334339:1 gene:Solyc03g005440.3 transcript:Solyc03g005440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEDQLPFKVGQSAEAQSFENGFRGAWFRCKIQKISCRKGHWNALLEYFDYPDEKLTWTKLYQNPPYNVGKVKEKTQLMLRPQYPLVKVKTEVSNFSSTSETTVVVDGNWQAGDLVDWWANGCYWSGHLTKLLGNNEAELALTPPPVGEGALYEVSFKDLRPSLEWSPDFGWTVPTSQDGVRRCAQLVQPVNRALHSMSTGRTGYSSDLSFSIHSTANLSPASDDTDLAERPPIIDLPKEAAVNTLKKVRQSDICSSSHTGDESTKESFFSDKGSGSCTGVDLAKTTAGPTENFSYSSCPLKKFRTSDGVQLHSTGSDTTEAAILDLEELVNKIKWLKGLLELGKPMSNVAIPSWKFVEHHASFGNK >Solyc10g046770.2.1 pep chromosome:SL3.0:10:36835172:36840105:1 gene:Solyc10g046770.2 transcript:Solyc10g046770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLTAAVVLVTAAAGLTVVVPQATLLQSLGSLNHVPSQPPTSCYPHQFLQIKQPILVMMQVSLALRLSKSPATTTVHPVTTRPSITSQTCKEPLELKNSLEEEGWSVGRSPIHKAVTSSWDHQVLLKELQKVQRPAAKKDTSHSPFVSQVVLPSRCSKENVANIATPTPAGPSPHSAAIMFTVYTIALASFGSLHEYCFQTFSQFDVIYANDNEWEVVGQNNNFDSTQLAEPLMGIICRSYRWYSIETKPNVVVWNCRDTDIGFVSCEAKEMLDHLESVLASEHVVVKSGQFIVEVKPHVSHILAFAFDADVCCCYVPWRNKHILLSFQGGSCWYRKINKKYKNWRRMTREFIVLEVMY >Solyc05g054750.3.1 pep chromosome:SL3.0:5:65452931:65454715:1 gene:Solyc05g054750.3 transcript:Solyc05g054750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQHLIEKCLIFHMTKEECIEALSKHANIKSVITITVWNELEKENKEFFEAYTKSNNKNRAIEAEAEAEASTMIQNLLLDHDHTKKSDME >Solyc02g094255.1.1 pep chromosome:SL3.0:2:55481116:55482490:1 gene:Solyc02g094255.1 transcript:Solyc02g094255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIPVAQKKLAEPSIEVSCLCTNRRALEIEIGDTNQRNERRQSSNSPLTFAYMITFGAQKRAICLSIRINSIALGLIRAQSLQFKGRSSEHKLLKLQRGLPVV >Solyc11g065820.2.1 pep chromosome:SL3.0:11:51677809:51686619:-1 gene:Solyc11g065820.2 transcript:Solyc11g065820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSVFKLDELGREIARIALPAALALTADPIASLVDTAFIGQIGPVELAAVGISIAVFNQASRIAIFPLVSVTTSFVAEEDTITKVSSMPRDNEIQDIQSQDVEGLDTESQSNSENKELIPQNRSVYKSETTATSFEVVKPKPEKRHIPSASSALIIGAILGFIQAAFLISGAKPILSFMGVKHGSPMLKPAQEYLKLRSLGAPAVLLSLAMQGVFRGFKDTKTPLFATVAGDLTNIILDPIFIFAFHMGVRGAAIAHVISQYLISAILFWRLMEKVDLLPPSLKYMQFSRFLTNGFLLLMRVIAVTFCVTLAASLAARLGPTEMAAFQVCLQVWLTVSLLADGLAVAGQAILASAFAQNDYNRATATASRVLQLGLVLGLVLAVILGVGLHFGARLFTEDVNVIHLIGIGMPFVAATQPINALAFVFDGVNFGASDFAYSAYSMVTVALFSILFLFILSSSYKFVGIWVALTIYMSLRALAGFWRIGTRTGPWKFL >Solyc12g036415.1.1.1 pep chromosome:SL3.0:12:46704122:46704827:1 gene:Solyc12g036415.1 transcript:Solyc12g036415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEDIVRWGVIVCLMVSATRISIVILELIHTTNPKFLKGCIY >Solyc12g068070.2.1 pep chromosome:SL3.0:12:29126436:29130753:-1 gene:Solyc12g068070.2 transcript:Solyc12g068070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSWLWRRKSSPSGETESSGGSISSHFSDDQEPLNHNIQSPEVTSKTAPTIEELNETVKTLSAKLSEALETIREKEDLVKQHAKVAEEAVSGWETAEAEVLIQKRLVETANQKNSILEERISHLDGALKECLRQLRQSREEQEQNVQVTVAKTSSEWESRKSELENKLVQLQAELQNSKSKDSNVKDLQCKLEYVEKQNSKLKIELASISEELKLMTSERDLSTHAAETASKQQLESIKKVANLEAECRMLKAFVRKKSTVNHHKSSACSSAYVEPSTHSLSNTGEQLSIVENDRRNTSGLEPNNNYQNSSSFLSSALVSELSQYNHGKPHKRDLISSSLEINLMDDFLEMEKLAARPDIVYERSNGRGEPTLRTELGAAIRQAAEVEKLAKMEVEKLKLEMELTQCQGELTICKEQLEETMNNLIEVRTQLSMENDARRKLEAEFKATITKLKDLTEHAQKMEAEIIELETKLSMANVEKSKTEAEVKSTNTMLKNLVERLEETQIDVVELQGQLITANEAKRAAEAEVQAMNVKLKKLEFCLDETEVKCLGIQTQLEMVEGMKSGVEAELEAINAKKYVSESQLKATELELQTLLSKVDFLQEELSEERDLHQKTAAKLQKLEIDNSVIKSASQLQKGTIFGEFTINKDKEMAIAASRFAECQKTIASINWQLKSLAIMDDLLIE >Solyc12g027770.2.1 pep chromosome:SL3.0:12:26658978:26698474:-1 gene:Solyc12g027770.2 transcript:Solyc12g027770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVAKPFLLACNEPSRCFPNIRTILATTLNKNKKRVLPLRCKSLCLPSNGDWLEVPKEVENEEEFIVVNFYRFVFIEDPEEEGRDIHGRIYLNKQGINAQYSGPNKDALAYVKWVREDCRFSDVLVQISPSSNAHAFPRLKLRYKPSLLEGGISDLPLLDPSMRAAPLAPSEWRKRLEAVNNTNNSSHGNFNSSFILLDVRNGYEWDVGHFQGAQRPDVDCFRATSFGQSESEVIASDPLAAVDKERTDILMYCTGGIRCDVYSAILRKQGFKRLYTLKGGVSHYLESEGSVGWVGNLFVFDARLSLLPSTYKPAVEIEASTMLECSLDDSFAKCHICGSQVSELRHRNCANLDCNLLFLCCAGCVKHLRGCCCIQCTSASRLRPLLTGHQRYQKWHKYRDFE >Solyc06g062720.2.1 pep chromosome:SL3.0:6:39690962:39695296:1 gene:Solyc06g062720.2 transcript:Solyc06g062720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEGTDGSKTLLKPGSTREFGRGLGFTSDDRTVSRRQISFQIRKSPDQEDETKVNFEVVGRNPIWVYSNGDGEVRTFRKCERGEMENGDMFCLSANKSIWFTLKRIDNRDAKKELEMETQLAESLQSSLCPVGIELDLKNVDISGIDPVQEFGFLVMGHEFDGYPKRMIREMKNWNWFIEDEGAESDTDEGSDRKGSRRKRKKKGKGDDDEWTGESEDEKDLPTVSKKLQGSKYITRSKDKSTAKRNKPSTQQKTRPSEEEYEEDDEEEDEEDETLGGFIVDDVEEEAEVGDEEEEEEFDPDEDDEIQFLKENHTIDGKKVYVEKALTKTTRIYVGGLPLSLTQDDLKECFSSYGNVVGHQIVLDTRTRQSRRFGYVSFDKEEAVEKVEIKRADRAQHTSESQIYHGGSGSKSYYSDGGSEESFGGGYGGNMGRGYGGYGGYEGYGDYGGYGRFAGSYGVSPTGFYPGYGYGFWFNGAMYGAAGYEGSTYGIPSYYGGGSGYWNSGNISNDEGNRGYGGGGGNGAYAYGNASADNGRSVPAYYGGGSDDGSRGNGSNDGCNGGYGGGG >Solyc10g017920.1.1 pep chromosome:SL3.0:10:6148383:6149231:-1 gene:Solyc10g017920.1 transcript:Solyc10g017920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEQTIFIGNIPLMNSLGTSIVNGLYRIVINQILQSPGIYYRSELDHNEISVYTGTIISYWGGRSEIEIDRKVVIRYFSESYCKELQKKFFQQRCELGRIGRRNMNRKLNLDIPQNNILLLPRDILAAADHLIGLKFGMGALDDMNHLKNKHIRSVVNLLQDQFGLALVRLENMVRGTICGAIRHKLIPTPQNLVKCGPNPCGCDNTSNKLFHRT >Solyc03g121570.3.1 pep chromosome:SL3.0:3:71134980:71139724:-1 gene:Solyc03g121570.3 transcript:Solyc03g121570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Transmembrane 9 superfamily member [Source:UniProtKB/TrEMBL;Acc:K4BMV6] MDRQRSLWLAAIFISLLSSAHSFYLPGVAPRNFQTGDPLNIKVNKLSSTKTQLPYDYYFLKYCRPTKILNSAENLGEVLRGDRIENSVYSFHMRQEQPCKVICKQKLDAESAKNFKEMIDDEYRVNMILDNLPVAVLRQRRDGIKSITYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMYHKDPETDTARIVGFEVTPNSINHEYKEWDEKNPQVTTCNEKTKDLVPGSSVPQEVDADKDVVFTYDVSFQESNVKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRAPTNSGLLCVYVGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLLAGYSSARFYKMFKGTEWKRITLKTAFMFPGILFAIFFVLNALIWEEHSSGALPFGTMLALVCLWFGISVPLVFVGSYLGYKKPAIEDPVKTNKIPRQVPEQAWYMKPAFSVLIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILIITCAEITVVLCYFQLCSEDYNWWWRAYLTAGSSALYLFLYSIFYFFTKLEISKLVSGILYFGYMLIASYAFFVLTGTIGFYACFWFVRKIYSSVKID >Solyc12g021225.1.1 pep chromosome:SL3.0:12:14887722:14890489:1 gene:Solyc12g021225.1 transcript:Solyc12g021225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFCSLIGALQYLAITRPDIQFVVNRVAQCMHQPSEHDYHCLKRILRYIFGTLGRGLLIRPGDLELRSFSDSDWANDKNDRKSTSGPKSIDVARSHTGGDKVIVLLLLPKYEYRDVEEEEKETAEYFRVDSLD >Solyc02g061660.1.1.1 pep chromosome:SL3.0:2:33708848:33709435:-1 gene:Solyc02g061660.1 transcript:Solyc02g061660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSLENVSPLSSPPPSPPQVCDEEATPPAGTEVPSVGALPRKRGLMVIGGEGTSEDRAKRANVEPPVLAPAPAPAPAPEPPVLERTCFACDMVFDTMILFLRHMQSHQVLETSYVPDLNQNQVPNWTPPYEPIASPDDYNSSDISVDDDTADADAGADADVPAAPREPVYLLPDLNLPAPEEDEDDDEDEDGDE >Solyc12g062250.2.1 pep chromosome:SL3.0:12:31646938:31656296:1 gene:Solyc12g062250.2 transcript:Solyc12g062250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLELTHIFMHTNYTTSFYNQSHCSLKMCSLQLPNCRVFNDANFSSSSDKLQKCVVFSSFWGVELCFSDARLTASSAVKRRQYRPISAMSSSSDSPFNMNLNEYMVTLVKPLGIRFALSVDGKVFVHALKKGGNAEKSRIIMVGDTLKKASDSSTGGLIEINDFGDTEKMMNENAGPCSLVLERPSFPFPIHQLYLMDDIDILYNRGRVPIATWNKNLLASNLRTSCEGSGNSGFVVFSPKLLTLNGWNVLSNGDPIRQQGNLNGTPWLPFSPIINIFSEKDMIDSEWAHGNFPLEEYIKALDRSKGELYYNHDLGMRYNKITEQMYVGSCIQKESDVEILSDVGITAVVNFQSGIEAENWGINADIINESCQRFNILMINYPIREGDSFDMRKKLPFCVGLLLRLLKKNHKVYVTCTTGFDRSPACVVAYLHWMTDTSLHAAYNFVTGLHLCKPDRPAIAWATWDLIAMVEKGAHDGPATHAITFVWNGHEGEDVYLVGDFTDNWKEPIQAVHKGGPRFEAEVRLSQGKYLYKYIISGNWRHSANSPTERDERGNLNNVIVVGDVASVRPLIPQQKKDANIMKVIERPLTENERSAIAWATWALIAMVENGAHDGPATHAVTFVWNGHKGEDVYLVGDFTGNWKEPIQAVHKVGPRFEAEVRLSPGKYLYKYIISGNWRHSTNFPTERDERGSLNNLIVVGDVASVRPLIPQQTKDANIMKVIERPLTENERFMLAKAARCVAFSICPIKLAPK >Solyc09g059918.1.1 pep chromosome:SL3.0:9:57150498:57150984:1 gene:Solyc09g059918.1 transcript:Solyc09g059918.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFRLTNAPAIFCTLMYEILHPYLDQFVVSSIATPWINMRSTIKKSSKSYERTSSTSSGRSASPPSPSKGELRMDEAKIRAIQEWEAPMKVTKLIISGYSAKSANLTELLNKNKSWAWSKECQRAFEGLKAEVTEES >Solyc08g080740.3.1 pep chromosome:SL3.0:8:64067136:64069224:-1 gene:Solyc08g080740.3 transcript:Solyc08g080740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTGAPQKCKVCEKTVYIAEMISTSGVAYHNTCFRCNHCNGRLALSTYSTLDGVLYCKPHFEQIYKEKGGAPLKHSASLGRQNELNRSSSKVSALFSGTQDKCAACKKTVYPLEKVTVDGEMYHKICFRCVHGGCKLTTSSYAAFDGGLYCKPHFSQLFKEKGSYNHLSKNASMKKNESLINVEQEGSSTNTR >Solyc06g016820.1.1.1 pep chromosome:SL3.0:6:13822115:13822273:-1 gene:Solyc06g016820.1 transcript:Solyc06g016820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGFEIKYLPLFLMVYSPLAALFKFIQLNIQVVYSSASKSLVLKYYVELVNF >Solyc04g072775.1.1 pep chromosome:SL3.0:4:59820813:59829040:1 gene:Solyc04g072775.1 transcript:Solyc04g072775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPALRHLFTHLRSPATSIALSICPRLSIPIHTTLSSLFPLSSSSSSQPTAKHHAISKESTLVLKQDERLSVSGTVSALDPAVNTSTIAAIVTSLGGPAAAVGIIRLSGPSAVPIVGRVFHPKVKKKKRSSSEWRPSSHVVEYGFVSDSHGNVIDEVLVVPMLAPKSYTREDVIELQCHGSEVCLQRVLRACLEAGAKLAEPGEFTLRAFLNGRLDLSQAENVEKLISAKSVAAADAALAGIEGGFSSLVKSLRTQCMELLTEIEARLDFDDEMPPLDLNLIMDKIYGMLHDLDNALETANYDKLLQSGLQIAIIGRPNVGKSSLLNAWSKTDRAIVTNIAGTTRDVVEASVSVRGVPVTLLDTAGIRETDDIVEKIGVERSEAVARNADVVIMTISAAEGWTPEDTKLLERIQRSQFQTASGCSSPLILVINKIDCAPSDSYEWVNTCGFSFNKHIPTCAVNGQGIQDLEAAIIEIMGLNKIPVGGRRWTVNQRQCEQLIRTKEAFMRLKSSIEEDMPFDFWTIDLREAALALGQISGEDISEEILSNIFGKFCIGK >Solyc02g094740.1.1.1 pep chromosome:SL3.0:2:55934154:55934408:-1 gene:Solyc02g094740.1 transcript:Solyc02g094740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERERLQKMAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSAKNPTMPREPKFHLPQHKKIKSCESLNNMYIHSKNDLGCSNGL >Solyc10g049550.2.1 pep chromosome:SL3.0:10:46023116:46023882:-1 gene:Solyc10g049550.2 transcript:Solyc10g049550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSRQRLIVKAESSMQKIMEIIQSYRMKFAVNCEVRVGKVVQINSENLREIVIEMDYLLISSWGTSDLIMSEFFEIYKETLGKKSLPGHFVHTEPNFLKFGLSDQYTSQHTVIKYASILAQMTTTSQSS >Solyc04g076340.3.1 pep chromosome:SL3.0:4:61341252:61345445:1 gene:Solyc04g076340.3 transcript:Solyc04g076340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTFTPLSWLLWSGKHQEPKISNGSLNSSPESLLWESDTLKFPLDRRRDMTSSSRRVKRKWQSREERKIDREYDIVIVPSDGGCVSGSESEDSDWSVGWLEPHGPGFNSDDDLDDSFAVLVPCYGYGCANVEENAQDKFLQAIGNLKDLYDTENKKYMEHWVSSLRDH >Solyc04g072550.2.1 pep chromosome:SL3.0:4:59617800:59620051:1 gene:Solyc04g072550.2 transcript:Solyc04g072550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNEFFIFKTVKICWIKLLILSTFICYVTLFESYFNVSQKMHLKIRDEGSIKIFRNRQWTAVLVWSLFNFFLTVQSPLLTMLTRITRTGIHANGVKNPLVFSIDNFRFVLHCSTSAAPTHYLVDLLVDSLGFSKEEAVTTSSKVIRLKPSKNPQFVVDFFQKNDFDNTQIKNIVSKSPKVLFSNVDKTLKPKLEILQEIGLSGTDLYKFIIENDLFFSKGLDTFIRPSLDYLRNLLGSDENVVKIIKKSSWLLRCHVTKTIAPNVLLLHDIGLSDEKIRKFILQNPKRITRNLGCLKDVIHRVEKDLGIPRESRTFFNGIAAIVTFRQSTLAKKIDVYKSFGWSDEHIRTMTRNYPSCLSSSEVRICKQLTFLMNEVGCTSEYLASHSRLLTHSLEKRVIPRYRVLKILNEKHLKKGVGLFTAVSMTPSKFMEVLLLPYKDRIPIAYEAYMKSKQFLYGCYLVFLFCFCYCCLFDLMLYCHYLIVQSEMKTYSLLKPLVSKVGYHLPILTKSLN >Solyc02g085150.3.1 pep chromosome:SL3.0:2:48772160:48777712:1 gene:Solyc02g085150.3 transcript:Solyc02g085150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNSLTAWTPRENKKFEQALAVYDKDTSDRWQNIARYVGGKSVEEVKHHYAILVEDLKHIESGDVPFPKYKSEEAAEIAWQRASMAAGGINNKAQQSKQSDSWTDWVSSKLSQHQDDAKDEAQRLADRAKGTASNARDSVNSAAHETQRYGSQKAKEMADVASDKFGDAKNYASKKANQAMDAAADTASHAKERGKENAYDAYAYASEKTGQATKTAAEFAKEKAHGAYAYASDKAGQAKNIASDTAANAKESAKNKAHDATDFAKEKAHDAYAYASDKASQATNIASDMAADAKESVKHKAYNAKDVASEKAHDSMNAASGMGSSARNKASDAYGFASDKASDAKEIGKDKAYDAYGFVSEKMNRARDEASNKASDAYEYASSKADQTIRMATDRARDKAYAGYEGAKSKVHETYNSAKNTMNEQAKDKYETAKEKASDAAGNVGAKLRSASGNEL >Solyc04g076660.3.1 pep chromosome:SL3.0:4:61630728:61643563:-1 gene:Solyc04g076660.3 transcript:Solyc04g076660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGRRFKRMIKCLLCACAGQTGGCCHSGGSGTMSPIGVRLYIQDRHVMMDNGLVQVTLSNPDGIVTGIRYNDVDNLLEGLNDESNRGYWDVVWNSPDGGKTGVFEVIKGTNFKVIKETDDQVEISFSRPWDPSLQGKLVPLNIDKRFILLRGCSGFYSYAIYEHVGSSEWPAFSIGETRIAFKLRKDKFHYMAVADNKQRFMPLPDDRMPARCQRLAYAEAVLLNNPVEPELRGEVDDKYQYSCDNKDNKVHGWISMDPPVGFWQITPSDEFRSGGPVKQNLTSHVGPTTLAMFLSSHYAGDDLTPNFAQGEAWKKVFGPVFIYLNSVMPWEDPVTLWDDAKIQMQREVQSWPYSFPVSEDFPSADQRGSVSGKLLVQDKYISDDHIPANGAYVGLAPPGEAGSWQKECKDYQFWAKSDNNGNFSINNICPGDYKLYAWVPGFIGDYLYETPISITPGCSIDVDEIVYQPPRDGPTLWEIGIPDRTAAEFFVPEPNPKFVNKLFVNHPDRFRQYGLWDRYSELYPTDDLVYVTGESDYTKDWFFAQVPRRKEDGSYQGTTWQIRFKLDSVNQGGTYKLRIAIASATLAEVQVVMDNGILKVTISVPDGIVTGIRYNGVDNLLEARNPEENRGYWDVVWSSTGSTSKFERLKCTTYKVILKTKDQIELSFSRKWDVSLQNKLIPLNIDKRFIMLKGSSGFYSYAIYEHLEGWPDFHLDETRIAFKLRKDKFHYMAMADNRRRYMPLPDDRLPPRGQRLAYPEAVLLVDPIEPEFKGEVDDKYQYSCDNKDNKVHGWISMDPPVGFWQITPSDEFRSGGPVKQSLTSHVGPTNLATFLSPHYAGADLIPKFAQGEAWKKVFGPVFLYVNSVKKGEDPVALWDDAKIQMQHEVQSWPYSFPASKDFPSANQRGNVSGILLVQDKYTSDGRIPANGAYVGLARPGEAGSWQRECKGYQFWAKSDNNGYFSIKNIRRGDYNLYAWVPGFIGDYVYGTPISITSGCKINVHEIVYQPPRDGPTLWEIGIPDRTAAEYFVPEPNPKFINNVFINHPDRFRQYGLWDRYSELYPTDDLVYVIGKSDYRKDWFFAQVTSTSQSNDNVSKDCDHINWEAELSRNHLMCRRKENGTYQGTTWQIRFKLDSVHQGGTYKLRIAIASATLAEVQVRVNNPSTNQPLFTTGLFGRDNSIARHGIHGLYWLYNIDIQGNLLVQGDNTIYLGQPRHQSPFQGIMYDYIRLEAPPN >Solyc05g048770.3.1 pep chromosome:SL3.0:5:60273686:60288688:1 gene:Solyc05g048770.3 transcript:Solyc05g048770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEVTDRNLSTPTFFVAVHVGAGYHSPSNEKALRSAMKRACLAAASVLRKDAGGCIDAVTAAIQVLEDDPNTNAGRGSNLTEDGHVECDASIMDGDSGAFGAVGAVPGVRNAIEIATLLAKEQISGSSLLGRISPMFLVGEGARAWAKSKGVVLPSTLTEADESLVTEKARTQWKRYKAMLDDAKAVNDSPNIKMTNAKETNTTSESQPSDQFRGDGVGDQISLLDALDEDLISDTVGVICLDSKGHMACGSSSGGIALKISGRVGLAAMYGSGCWASSRGPFGAPFMVGCCVSGAGEYLMKGFAARECCISSSLSQAGPSSACKKVLQTIKENSQCSVDRSAGFLLVQAEPPRLVGGSLARLEAVEVAAVYSSSSFGIGYFGSSMDIPKVSVLRSKKQQNKAGIDEFAARINLVSKEQ >Solyc02g072180.3.1 pep chromosome:SL3.0:2:42064605:42070774:1 gene:Solyc02g072180.3 transcript:Solyc02g072180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATKSLTVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLKELRDHTDPNIVVMLVGNKSDLRHLVAVSTEDGKSFAEKESLYYMETSALESTNVENAFAEVLTQIYHVVSRKAMENGENGNGNVPSKGEKIDIGKEVSDVKKAGCCSS >Solyc03g033880.1.1.1 pep chromosome:SL3.0:3:5535382:5535936:1 gene:Solyc03g033880.1 transcript:Solyc03g033880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKKTAGRQKIPIEKLQDETKRKVAFSKRLSSLYKNASKIVRACNVDIGIVSSCPSGRTQYSYVHPTTTVVIDHFVNPTMELDLGTRLVAENERNIAIENNIRLNELDAREAAEKEKIRSLEQMNNARKKCWWESIDQIDAKNLTTFETKLNFAEGILKDQLKKLIETLSSSEAPLPPENEDS >Solyc09g057528.1.1 pep chromosome:SL3.0:9:49821414:49825231:-1 gene:Solyc09g057528.1 transcript:Solyc09g057528.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISLSPTEHDECNHDSRQQTIIFESVVASMNEMVELWKMNDPFWVDSSSYRRCFIHREIYGRKFSNQVLPPQTSTGRIESSKDCGIVSMTAVELIHNFLDPVKWMNLFPTIVTKAKTIEVLDSGTWGGSMQLVKC >Solyc05g013680.3.1 pep chromosome:SL3.0:5:6982741:6988957:-1 gene:Solyc05g013680.3 transcript:Solyc05g013680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTNNYSKNVFLLVLLIVFPFSSDAKTNSPCHFPAVFNFGDSNSDTGGLSAAFGQAGPPAGETYFGAPAGRYCDGRLVVDFIAENLGLPYLSAFLDALGSNFSHGANFATAGSTIRPQNTTLHQSGFSPISLNVQSYEFNDFLHRSQIIRNKGDVFCKLMPKEKHFSEGLYTFDIGQNDLTAGYFSNMSTDQVRAYVPDVIDQFKTVIQGIYSRGGRYFWIHNTGPVGCLPYVLDRLLITAGQVDKAGCAAPFNEVAQYFNAKLKEAVIELRKDLPLAALTYVDVYSVKYELIYHANKHGFEHPLQACCGHGGKYNYNINHGCGSKIKVKGKEIILGKSCKSPSKRINWDGVHFTEAANKWVFQQIVNVPTQILGNCKFAAIFNFGDSNSDTGGLSAAFGQAPYPNGETFFHAPAGRFSDGRLLIDFIAEGLDLPYLSAFLDSIGSNFSHGANFATAGSTIRPQNTTMAQSGYSPISLDVQGVQFSDFHTRSQIIRQKGNVFGQLLPNKEDFSQALYTFDIGQNDLTAGYKLNMSTDQVKAYVPDLLSQLSNVIKKVYAKGGRSFWIHNTGPVGCLPYVIDRFMITAAQVDKYGCANPFNEVSKYFNLLLKKTVVQLRKELPLAAFTYVDVYSVKYSLIGHAKKLGFENPFLACCGHGGKYNYNRFIKCGSKKVVNGKEIVIASSCKDPSVRVNWDGTHFTEAANKWIFDQIVNGSFSDPPIPLSLACNRVNH >Solyc02g005395.1.1 pep chromosome:SL3.0:2:8616023:8617469:-1 gene:Solyc02g005395.1 transcript:Solyc02g005395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKEILQSEILNYMLTISLFWLLEKKNEGYVAIMVGIFHSSDNKSSNSEMEITFDLSNYESYSSDVERTPVLPGISDIKEHTIVFDNEDEHQFEAIYFASGYKNISTK >Solyc09g059990.1.1.1 pep chromosome:SL3.0:9:57259487:57260230:1 gene:Solyc09g059990.1 transcript:Solyc09g059990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNTSLRTLMFNDKIDTPNLSDFLRVKQDHNNNLTGLTLGAVLSNVRESSSSSSLVHNSSRTLFDIIRDDPSNNSRKPRKWEHFRNKLRLKPNISIQHHSNPITPVENFTSASGSTSRILETAEIDGDETGVELEDQPARMSLMALLTENDGDESAYMIEDGDADEEEDELDVAGIETGTIAVADVVDVGAGGEYNNCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNNFILEVLDIF >Solyc01g013890.2.1 pep chromosome:SL3.0:1:10285862:10288804:1 gene:Solyc01g013890.2 transcript:Solyc01g013890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVHFSIEHIGLSLEPLIGAIAYENVALLKPSDQAPASSSVLAKIIPNYLDNKAIKVIEGDYTVGDKLLQQKWDKIFFTGSPKVAQIVMGAAAKHLNPVTLELGGKCPAIIDSLSSSWDKKIAMRRILSGKFGSCAGQACSGIDYILVDNIFVNELVKLIKLGIPKMLGENPKESHSISRIVIKNQFLRLKNLLDEPMVKKSIIYG >Solyc07g008340.3.1 pep chromosome:SL3.0:7:3121641:3128441:1 gene:Solyc07g008340.3 transcript:Solyc07g008340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDRLTRIAIVSDDKCKPKKCRQECKKSCPVVKTGKLCIEVTVGSKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFTNPPDWQEILTHFRGSELQNYFTRILEDNLKAIIKPQYVDHIPKAVQGNVGQVLDQKDERDVKEKLCVDLELNQVLDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVVRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAETPQEAAEEIESYARYRYPTMTKTQGNFKLKVSEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDVVEGSDIEMPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYQHPQFCSDVMKPLQIEQLMDQEVVNLSGGELQRVALALCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGTPSIDCVANAPQSLLTGMNLFLSHLNITFRRDPTNYRPRINKLESTKDREQKSAGSYYYLDD >Solyc02g086800.3.1 pep chromosome:SL3.0:2:50051992:50061116:1 gene:Solyc02g086800.3 transcript:Solyc02g086800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospholipid-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4BBI7] MAGGKMKICFSKIYSFSCMKCSFKEEHGQIGKRGFSRIVYCNDPDNPEQVQLNYRGNYVSTTKYTAINFIPKSLFEQFRRVANIYFLVVACVSFSPLAPYTASSILAPLLVVIGATMAKEGIEDWRRKRQDIEANNRKVNVYTENHTFQETRWRSLRVGDLIKVYKDQYFPTDLLLLSSSYEDGICYVETSNLDGETNLKVKHALNITSSLQDDGSFQNFKALVKCEDPNEDLYTFIGTLYYDNQQNPLSVQQILLRGSKLRNTDYVYGVVIFTGHDTKVMQNSTDPPSKRSGIEKRMDKIIYILFGTLITIAFIGSIFFGIETKNDISGGKLRRWYLRPDKTSVFYDPKRASLAAFFHFLTALMLYGYLIPISLYVSIEIVKVLQSIFINQDREMYYEETDKPAHARTSNLNEELGQVDTILSDKTGTLTCNSMEFVKCSVAGVAYGRVVTEVERALAKQKRDGAQEVGDTSNDVKESTNPAVNSEKSIKGFNFKDERIMNGQWVHEPHRDMIQKFFRVLAICHTVIPDVNKKTGEISYEAESPDEAAFVIAARELGFQFFERTQNRITLHELDHQSGKMVDRSYQLLHVLEFSSSRKRMSVIVKNAENQLLLLSKGADSVMFEQLSKDGRVFEGITREHLKQYAEAGLRTLVVAYRELDEKEFQSWEQEFLNAQASVTADRDALVDVAAQKIERDLILLGVTAVEDKLQKGVPECIDKLAKAGIKIWVLTGDKMETAINIGYACSLLRPDMRQIIITLDSQDILDLENRGNKETIAKASHDSITKQIREGMSQVSSSRGTTASFGLIIDGKSLSFALDKKLEKSFLELAINCASVICCRSTPKQKALVTRLVKVETHRTTLAIGDGANDVSMLQEADVGVGISGVEGMQAVMSSDYAIAQFRFLERLLLVHGHWCYRRISMMLCYFFYKNIAFGLTLFWFEGFASFSGRPAYNDWYMSLYNVFFTSLPVIALGVFDQDVSARLCLEFPKLYEEGTKNILFSWRRILGWMLNGVLCSMIIFFGITNSLVHQVFRKDGQPVDYGVLGVMMYTCVVWTVNCQMAISINYFTWIQHFFIWGSIAIWYVFLVVYGSLSPIISTTAYKILVEACAPSPFFWLVTLLVVVATLLPYVTYRAFQTQFHPMYHDQIQRKQFESLNSDFSEESSDRGKQKIDL >Solyc03g025613.1.1 pep chromosome:SL3.0:3:3046950:3049081:1 gene:Solyc03g025613.1 transcript:Solyc03g025613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIELIMFRQIERFIKTIYAADSLSCKPWKSGQIERRFIEVPFGATWVEATMRTYGFDTARRFFIDTVQLSPLQRPIKWESVATFSSPSSKNFVFRVEGGQTMELAIAQFWSSGIGSHETTIVDFEEFLEENRCLEVVFRKASASSALIVEARVSASVG >Solyc02g084980.3.1 pep chromosome:SL3.0:2:48648845:48651237:-1 gene:Solyc02g084980.3 transcript:Solyc02g084980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BB12] MAPNVFGLATKATGLAKAKSLSSRAYVTFLAGNGDYWKGVVGLVKGLRKAKSAYPLVVACLPDVPEEHRRILINQGCIVREIEPVYPPHNQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDLPDGYFYAVMDCFCEKTWSHTPQYKVGYCQQCPDKVQWTEDLGPKPSLYFNAGMFVYEPSLSTYDDLLKTLKVTPPTPFAEQDFLNMYFRDVYKPIPNDYNLVLAMLWRHPENVDLEKVKVVHYCAAGSKPWRYTGKEENMDREDIKMLIKKWWDIYDDESLDYKNSNVVMNAVDGEVEAQKIMEALSEAGVVHYITAPSAA >Solyc09g007330.3.1 pep chromosome:SL3.0:9:917682:930261:-1 gene:Solyc09g007330.3 transcript:Solyc09g007330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGEMAVNGKIQQKLTTPIGLGKALSVYFPGVDFHVGDPQFRLASELVRFFSAPSGEQFVSQVKEVDGMFLLPLDFQQFRKLCELQEFYTILESKSKDALLCMSAALHKVYFMKLGDDSFDDFVKINIRLHNYPQSMIALKNLKAAYIDRLVSVRGTVVKVSTVKPLVMQMCFVCTKCGTSITRDFPDGKFSPPPVCELHGCKCRTFNPIRSTARIIDFQKIRIQELLKSEHHEEGRVPRTVECELTEDLVDACIPGDIVTVTGIIRVINNYMDIGGGKSKGKNQGLYYLYLEVVSITNSKSQSMPEGSQDNPNARATDLLDLYSFSPKDLEFIVKFSEEHGSDVFRQILQSVCPSIYGHELVKAGITLALFGGVRKHSMDQNKVPVRGDIHIIIVGDPGLGKSQLLQAAASISPRGIYICGNATTNAGLTVAVVKDPLTSDYAFEAGAMVLADSGLCCIDEFDKMSAEHQALLEAMEQQCVSVAKAGLVASLSARTSVLAAANPVGGHYNRAKTVNENLKMNGALLSRFDLVFILLDKPDEVLDKRLSEHIMSLHAKSVEQPPVAKRLCTASLDVGEIDMNTKQGSLVARLRLDPKKDGGFVPLPAPLLRKYIAYARTYIFPRLSNALPFMFESFSYSLKRSFIFLSFGARMTKPAAEILQKFYLKLRDHNTSGDGTPITARQLESLVRLAGARARVDLREEITEQDALDVVEIMKESLYDKYVDEHGFVDFGRSGGMSQQKEAKRFLSALHKQSELQQKDCFSISEIYSLADRIALMVPDIDTFVDNLNSVGYLLKKGPKTYQVLSSSYSQTSRSRG >Solyc02g037540.2.1 pep chromosome:SL3.0:2:31557661:31561574:1 gene:Solyc02g037540.2 transcript:Solyc02g037540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMLANLAKERLWEPYRGLEKKIVNLKGKMELLGSRKVDIVATIEDAEFRSSKKRKTEVQTWLCNVNDKLEDFQSLEKEVQECGRFDRIKLANFADTMIEEAEELIKQGKFPQGVLHNIYEEKGEPLVTTNLKGQVFRQNLENISEILRNDEVSIIGIYGMGGVGKTTMAMNIHNELLQESRFSGHIYWVTVSQDSSIQKLQSGIAENVGLDLSCVNDEIKKAAKLFQALKRMNTFVLILDDVWNNFDVKKVGIPLGNDGGKMIITSRSLEVCRRVGCQKNVKVNPLSNVEAWDLFTEKLGHGNNNDVQVIPIEIEKIAMKVAERCAGLPLGIITMAGCMKGVNDIFEWKDVLQELEESSMMQDDMKSEVFPILHCSYTRLRDPRLQKCFLYCCLYPEDFEIPRVELVNKFIMEGYINARNSRQAQIDQGHAILNKLENVCLLESTEDVDENKCVKMHDLIREMAIKITSHPHHHDRFMVKAGMQLRKMPELREWSEDLDKVSLMRNCINQISPCELYKCLKLTTLLLQKNGLLREIPYSFFMFKPSLRVLDLSYTNIENLPDSLSTLENLNALMLKGCGELSFVPSLSNLKVLSELELTGTGIKQVPVGIPNLVKLKYLTMSGLKKLRSEPPIDMFASLSHLQRLMTPFSIRAMDLKRMKQLEEFGGKMFSLSDFNSFVANRECYGQPIFFRVTLNGLTSDCVGDLYEYPVIFSSKEVILKDYCLKGGNVVQPLREQSEAVINIPRDLQRLEVSWCDFVSSDNSFLSAMPSLINLTDLKIVKIVSCDGIECILRLPSNCQELIVPEGLGSLLKSLENLELHNLKDIVNLIDIQPNTEASFTALSHGSFSNLKKLRIEHCCQIKVLFPPWLWKNLHHLEHVVVSYCEGIEEIISENEEEELDQEASSQYLSSPSSLFASTDVILPKLRVVHLTELPALKSIYKGRMTCVSLEEIGLCGCPKLERLPFFHPLRDGEPLLIPHALRSIYSGTILKLRVCFDRISYMINYLKLVPPAESKLRLKRRAPAIPLCSKQSETNFRWKHLSIISEILGIDSATMVKNMGIAATEFVFFKVAK >Solyc12g027630.1.1.1 pep chromosome:SL3.0:12:24868847:24869035:1 gene:Solyc12g027630.1 transcript:Solyc12g027630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLFHHNIASTWIIFILCLLSNMHQSLCVKIVLFVITIASKFYTYEHVTSFDELFTTFDLK >Solyc08g021995.1.1 pep chromosome:SL3.0:8:34034877:34037138:1 gene:Solyc08g021995.1 transcript:Solyc08g021995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKMKTLCLIKEVSLNLLIRCVLTDRHFMLFDPSEVSGDRVVQRTMLELLNQLDGFSSDDRIKVNAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGDQLKALCVEAGMLALRRDATEVTHEDFNEGIIQVQAKKKASLKYYA >Solyc05g041480.1.1.1 pep chromosome:SL3.0:5:52813204:52813374:-1 gene:Solyc05g041480.1 transcript:Solyc05g041480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLSINIAMDTFEYQHSNGLLYKKLCRLYYELWSILIVTFIILITYFLHLTIVVQ >Solyc02g079240.1.1.1 pep chromosome:SL3.0:2:44406484:44406723:-1 gene:Solyc02g079240.1 transcript:Solyc02g079240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVIHQGVKDHQATRQGDGGIKTLKDSSCGAGAGSSSRQTWKFSGGVDTNALIKAAKAKKIKQAEESFRTVMFLTCWGP >Solyc12g006650.2.1 pep chromosome:SL3.0:12:1114868:1120771:1 gene:Solyc12g006650.2 transcript:Solyc12g006650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mediator of RNA polymerase II transcription subunit 17 [Source:UniProtKB/TrEMBL;Acc:K4DBK2] MNGNLEISVDKLPIKRLEYIEEQGAERFPSDIGYDEKTVNLIRRIDFAWAVEREEPSKKQKKSSSATSSKETSSSQPWQWQSLVENLRLAHQELQVIIDLIHTVEANDAVTVAGMTRPKQLPNEHLSDLAVSMATKLQCFRHLGKYFKQSAKALEQQVAREARFYGALIRLQQNWKVKWHRMVAAASGNEGFYIDLFDTSLYDPAVAFRPSSASVVRIEHDPAGMLAVNLPPNSCRTLQFEFLGASTPAGVIKHSRLRPKVSFEDSSGETKREKSDDEHVRETHSTLREVHRAIFDEQVFDLVNREAFNPALGADVTGIQENLLRLSIGQRASLSISLVPSADDGQTANAVGDEHPETAIVPFESVDASKQDEGKLDLKKLGFPNRISFEIYLQQLFHEHVFIKAKNRLSSLGKPEISSQPVKDGPNLLGHFCVSLAHRIFSNKVLAELESLVSRVPYVQLISHPTWHSRTSSWTLSMDVPESILHAGSLSHSSDYVKNVKFHFRTKVMVRDDCISLEGEGAPNIVGLFKGKPDSICPMNRYDCDLSDLPMVLLQQVASQVIRWLHEEALMVGIKANRDFLSLSFELEQGETLSLVAHVDPEDIQGCISWWLVMGDGFSEENKLQMDVNSGESETRKFLGYLSLEVLYSTLMDMVSVSSTAGH >Solyc10g054120.1.1.1 pep chromosome:SL3.0:10:54604092:54604424:-1 gene:Solyc10g054120.1 transcript:Solyc10g054120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVQSPSKRFTRGITLHVENNVEHSSLSLGLTHDFGDFSGSISKSNTMQKIRSKLRNEPNRFVDGGVKDHADVVAGSSKKMKVETDVYAIHNDKNEVVGFGSFEHHKVYV >Solyc09g011880.3.1 pep chromosome:SL3.0:9:5155903:5160857:-1 gene:Solyc09g011880.3 transcript:Solyc09g011880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPLLIGKVASETGQRGSEKWGSYEYVGRAGSVIPTTSLAGSEVSVDEIRSAASMSVPYSPSLHAPLISSPQSQSQPQFYEQGIVYQGGYYGDASGTTGDQRRQVLDEVEVRELLIDHVGHRCCWGSRPARTWKIHAIEDCNVYVGTLETFTEERDTVVEKELYSGGSIEGKDKGPETGIWELDLRSEFPVLFVPYKESRLRIPHSETIEKCSGCDGRGNTVCPTCNTDQDPGFYKEGQMTQCTTCYGRGLIAHKDGSDTICLNCKGNGKLPCVTCESRGLIKCQTCQGGGSLLTQKVAVVRWRTHSTRKVNATSGAASVPDDVFHRAKGVQLCNNQAYQCTPAYFADSYFLNKFSSEVIAERPSVPTTARIICERHIISVVPVTRVTMTDRNRSFSFYIIGNDREVYMKDYYPSRFCWGLCPCLEWLKL >Solyc05g006340.3.1 pep chromosome:SL3.0:5:990165:992536:-1 gene:Solyc05g006340.3 transcript:Solyc05g006340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIPCPLPSPSKQNSTNSDEFHQIYSDSSLALSRSSSCLYSQPSLPSIPSLTPLSTHSELLSNTFCASTFTGLSSSVFCLSLAGKHLYTGTSNGEILLRNQEKNHSVVVAQKQSKSSVKSIVIFGDKLFTAHQDHKIRVWKIDNHDHNQSNYKCIATLPTLNDRCMRLFSAKNYVEIRRHKKCTWVHHVDTVSALAISSDNTLLYSASWDRTFKIWRILDFKCLESVWNAHDDAINAIALSKNGYVYTGSADMKIKIWKKERGEKSVHTLISTLEKHKSSVNALALSTDGSILYSGACDRSIIVWEKDSGGDKMVVSGALRGHTKAILCLSVVDDLVCSGSADKTVRIWMKGIGKSYSCLAVLEGHNGPVKCLTASSDNSNSNSNSDDFGCGNSYVVYSGSLDCDIKVWKIWVP >Solyc01g109260.3.1 pep chromosome:SL3.0:1:96165241:96169808:-1 gene:Solyc01g109260.3 transcript:Solyc01g109260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEDRIGLVLARISELRVEITNCIHKASKKDEVESGNGEDPDEKTHEGDDEAVDCLLKIKDALESLEAQVSSLQALQEQQWYEKEAALAEIGYSQEKLLQTLKGYEGKDYQVIHEAIAFVSETVEDNNDLLLPPYPSRPSRTLVSDKGYGVHLPSARKLTQNGVTGSHNHNSRKDVDDANHERSEPKSPLRMVKFFLSAAAKTALTVVGVISVLTLAGFEPQIKKRDNQINVSNLFQQVANSKVPVVENGETQCVVKERVEIPFESVVATPDVNYGCG >Solyc04g051243.1.1 pep chromosome:SL3.0:4:50119997:50122987:1 gene:Solyc04g051243.1 transcript:Solyc04g051243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKVQAIVDWQEPRHVKDLKSAAALTDLLKKDTKWVWSERCDEAFQNLKEAIASESILKLPDFKLQFEVHTDASDKAIGGVLVQEVIDDTGYVK >Solyc02g063270.3.1 pep chromosome:SL3.0:2:35875464:35878605:-1 gene:Solyc02g063270.3 transcript:Solyc02g063270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEEPLLLNLEEDDVRVSRKVFIEELKEASRIALPMIVVTVSQYLLRVSPMIMLGHLGELSLSSASIATSLCNVTGYSVLMKNVYEKSSWIYFVEQFGMSSALETLCGQAYGAGQYRKLGTFTYSAIICLFLVCIPVSVLWIFTDKLLILMGQDPSIAKEAGKYAIWLIPTLFPYAILQSVVRYLQAQSLILPMLINAVASLCFQVAICWAFIFKLNLGIVGAALSIGLSYWLNVILLMLYVKYSSACEKTRASFSTDVFLTLGDFFRLAIPSAVMVCLEWWSFELIILSSGLLPNPMLETSVLSICFTTTSVHYHIPYSFGAAASTRVSNELGAGRPQAAKIALVAVLVLSATEVVLASITLFVVRNVWGYTFTYEKEVATYVAEITPVLCISIIMDGTQAVLSGVARGSGWQHIGAYVNLGAYYLVGIPAALFLGFVLHLNGKGLWSGLVAGATVQSISLSVVTGFTNWEKQAIEARHRIFSEKLATENQLIE >Solyc09g065570.3.1 pep chromosome:SL3.0:9:63957610:63962934:1 gene:Solyc09g065570.3 transcript:Solyc09g065570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIRLARQTERTRRSAHQEMELIARVRSPFVVEYKDSWVEKGCYVCIIIGYCEAGDMADAIKRTNGVLFPEEKLCKWLVQLLMALDHLHSNHIIHRDVKCSNIFLTKEHDIRLGDFGLAKILSSNDLASSVVGTPTYMCPELLADIPYGCKSDIWSLGKSLPFLACCCLYEMTSHKPAFKAFDMQGLINKINKCIVAPLPTVYSGALRGLIKSMLRKNPELRPSAADLLQHPHLQPYVLKILLNSDSPRQHKFPVQSSNSTYAKKKRLSEPRHAPVFNERELRRLSSDRALNPSISGMDSPCLSRRKKDLMSSVKKIFSEPSVESAPYDLGVKSSAISKLSAAVKTVRFNSNRNSIPHRRQSTPLKMSNAGSTRELLPVTNTLVSQPSRSTRRASLPSSRGAAKLESPYKHNVGLIHQVQSPDVSMNAPRIDKMVDPLASSEDHFLPIQRASPKSTQLSSPSPRYSDWSFTKDKCTVQVVDRTFPKPPLIDPIHGVAQIGSECSEHYPTGASSRSSSESRSRKFDTSSYQQRAEALEGLLEFSAQLLQQERLDELMVLLKPFGPEKVSPRETAIWLTKSFKENGV >Solyc02g082060.2.1.1 pep chromosome:SL3.0:2:46351739:46352380:-1 gene:Solyc02g082060.2 transcript:Solyc02g082060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVILHVYDLTKSDGQDAVNFGVVQMNRLLKDTISFGGMFHTAVQVYDNVEWAYGSCVIGSGVFSCPATKNPNYTYREKIVLGRTECSAHKVNQILKDLRDAWPGSKYNMVSRNSKHFCDEFLEKLGVPKLPNWANRFANIGDLAKDAAGIAVQAKEKASKLVFHPVDFALNMTTTSKNSKAIYNPSHEFRFRFNFAVNNFKVAAPVIRSDR >Solyc12g070117.1.1 pep chromosome:SL3.0:12:29625228:29625992:1 gene:Solyc12g070117.1 transcript:Solyc12g070117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTSLEYIHHVIKLEDTLVGLLSETLGLKPNHLQATQCDQGQTLLCHYYPSCPQPEDFGCTVYGPHKTSVLKEDLYYRYPLVQDLMGMRSMKPELWQKGHIESWLLMAKLLKVERDWLISHESVCPCWNGSKFSLSSEQYTCVKVLQEALKLHAFSILKSFLLGIRLLTSNILERLKVYIEHNSTAGHKLSYAQAKCKVSSYLRASSRGAFVSR >Solyc08g021990.2.1 pep chromosome:SL3.0:8:34031423:34034645:1 gene:Solyc08g021990.2 transcript:Solyc08g021990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEKEDEEDGANIDLDSQRKGKYVVLKTSTRQTIFLPVVGLVDPDNLKPSDLVGVNKDSYLILDTLPSEYDSRVKAMEVHEKRTEDDNDIGGLEKQIQELVEAIVLPMTHKERFQKLGVRSPKGVLLYGPPGTGKNLMARACAAQTNATSLRLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCSIFIDEIDAIGTKRFDS >Solyc07g048120.3.1 pep chromosome:SL3.0:7:59441307:59448897:1 gene:Solyc07g048120.3 transcript:Solyc07g048120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFELRRAREKLEQEQRERKLKAKLKLDREKKAKQEAIRQREAIEAVQRARRLDAAEAQAKATQQIEEELLAGRGVAFSRVLEAVPYEGAGDKIKLPPSCFTELSDQGAFDKGPLHFRLSVVHQSSLSDLKDAEQNKRTTHAGVLEFTADDGVVGLPSHIWSNLYPAVSPMVPMVEVCYVWLQKGTYAKLQPVEAGFSDIPNHKAVLETSLRQHATLSEGDVLTVNHGVLTYHLRVLELKPSSSVSVLETDIEVDVIGADPTAESTSQPVLQPLELGKLDSGVVAEGSYVYYKFQIGDDVWGKISSGDAEVEVKIESENQDGDTDLYVSRHPLLFPTQHQHGWSSHDIGSKALVLNSRDLGLGPGTYSIGIYGFKGTTKYKVSVSIRDKSNLKIGQQAVSSTLSADGDTVECQNCKHYIPSRSIALHEAYCRRHNIICQHTGCGVVLRRDEVENHVHCEECGLAFQKEEIEKHKKVFHVPLNCPCGIVLEKEKMVQHQSVECPLRLVTCRFCGDMVQAGTSAADVRDRLRGLTQHESVCGSRTAPCDSCGRSVMLKDMDIHQVAVHQKN >Solyc09g018160.3.1 pep chromosome:SL3.0:9:13376259:13389694:1 gene:Solyc09g018160.3 transcript:Solyc09g018160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPSQSPAFLFSSPEIPANLTVSDIGPQRWAVAEEVTQDILRRVQPTTVSENRRQRVIEYVQNLIRGSLGCEVFPYGSVPLKTYLPDGDIDLTAFVGKFFEDAFADDLVSTLEAADRNKDAEFSVKDVQLIRAEVKLVKCIVQNIVVDISLNQIGGLCTLGFLEQVDRLIGKDHLFKRSIILIKTWCYYESRLLGAHHGLFSTYALETLVLYIFHFFHTTLDGPLSVLYKFLDYFGKFDWDNYYVSLTGPVHISSLPEYVVGVPENDGGNLLLSDDFIQYCLERFSVPSKDGDLNSRKIQHKYLNIIDPLKESNNLGRSVSKGNFYRIRSAINYGARKLESILLQSEDNIVEELYSFFPNTMDRHDSGERPDVQNPRNDFCLAFPAPNFDPSQIEQGKSELSFASDGGTHGICKLNPDGSSCREDGHQKGTTEVHQSMLPLMAERHGKGSPLGYRLFGDAVDLASSIENGLSISTDRPEFGDSSIKSFQLTRGMPHHAPHMFFADTRAYNREMKNGIYHKKQYGNSEKNVSSGSSLPTYEGKVFTVHGLKHTLLDVKEAISSIPNSGGDHLNLDLASTSGAELSSKALSDLSADYDNYLKHLQYGLWFYEHALNIPALTVPQAPPSPYHMKYSWEAAQQPSYMNTNGFSHGSTNGVIPSQAFYPINPMLMHGMPYAALEEMPKQRGTGTYFPNLNHPPHGYRPSTVKGRHQAGLRSPRTNGRGTFSEMHTLGRSYHEQVQSESSADQSNVHPLSSPHRRGHHSMTGMVLPTERTVNFGSVGTGPLGTSISERSRQQRTVPSLTQQSSPVSPVPAFQRSNSVFSKELDRVTLKSSYHLKDEDEFPPLSF >Solyc10g078780.2.1 pep chromosome:SL3.0:10:60616764:60618079:-1 gene:Solyc10g078780.2 transcript:Solyc10g078780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAKQTAANVAASAKSGMEKTKAVVTEKTEKLTTSDPVQRQMATEKKEQRIHQAEMDKLAAKEQNAASRQAAATGGTTAYTATGGGITGTHGTATGHTGLGHTTTGTHGTTASHTGLGHTTGTHVPTAGHAGLGHTTGTHGTAGHTDLGHTTDHTGLGHTTGTRGTTPGHATGVMPRGLAAEHSTGTTGSDYLPGTTAGSGRDTVQGPNTGGLHGSDSAI >Solyc04g080840.2.1 pep chromosome:SL3.0:4:65002273:65006459:1 gene:Solyc04g080840.2 transcript:Solyc04g080840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLVSKFLKVYIFSLLMVVQLVFCIVTYDKKAIIINGQRRILLSGSIHYPRSTPEMWQDLINKAKDANLDVIETYVFWNVHEPSPGNYNFDGRYDLVRFIKTVQKAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKAAMQKFTNKIVEMMKSENLFESQGGPIILSQIENEYQPEKEVLGAAGEAYVQWAAQMAVGLNTGVPWVMCKEDDAPDPIINTCNGFYCDEFSPNKPYKPTMWTEAWTGWFTEFGSTIPMRPVQDLAFAVARFIQKGGSFVNYYMFHGGTNFGRTAGGPFITTSYDYDAPIDEYGLIREPKYSHLKEFHRVIKLCESALLSSDPTVVSLGNYQQAHVFLSGKGNCAAFLSNYDSNSAARVVFNNKHYNLPPWSSSILPDCSHVAFNTAKLSNLRHLFLDKVGARTSQVRMTPTGSQLHSWGAYNEDVFSLEDSSTFEAVGLLEQINITRDNSDYLWYITSVDVSPSESFLRGGQKPTLNIYSNGHAVHVFVNGKLSGSSYGTRKDTKFSFTGPVDLQAGSNTIELLSIAVGLPNIGLHYEEWNTGILGPVVLQGLNQGHKDLSSQKWTYRAGLKGETMNLISQHGASSIEWIGGAVATQRQQPLKWYKAYFDAPEGNNPVALDMRSMGKGQVWINGQSIGRYWTATANGKCDDFHYAGTYRQTKCQLGCGQPTQKWYHVPRSWLKPTQNLFVLFEEIGGDVSRILLVKRTTS >Solyc01g018048.1.1 pep chromosome:SL3.0:1:27182125:27183029:1 gene:Solyc01g018048.1 transcript:Solyc01g018048.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEKLRKQLKELLEAGNIRPLKAPYGEPKKDGSMRLCIDYRALNKITIRNKYPIPLIEDLFDRLGHAKYFTKMDLRKSYYQVRIADGDEPKTTCVTRCGAFEWLFVVVYLDDIVVYINTLEEHVEHLKKVFKILRENQLYVNREKCEFAQPKIHILGHVISQNELRMDEAKLQIIIGFISGYSVKAAPLTELLKKNRPWVWSEERQEAFEGLKTAVTEEPVLMLPDFTKTFEIHTDASDFSIRG >Solyc12g036325.1.1 pep chromosome:SL3.0:12:46257608:46261270:1 gene:Solyc12g036325.1 transcript:Solyc12g036325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQNLFMVKKSVSVLAVAILFFTLTDDTLCIYLNSSACVTQSCGDGVNISYPFWIPEKQPSYCGLPAYNVTCNKHKPFVHISQEEFIIKEVYYTNYSILLAKADVFDKENKCPVPRHNFSTRGTPFSLGPNTADLFFFYDCTLPYERETYDVNCARNATHHSFAVFHTELLEHYNYSVESCQDPVYALVETDSIDRLLEMNYTQVLQKGFFLQWDGSNCRNCRNSGGRCGAQSNEFLCICNDQTQPKTCFRGGRKIGLKIGIGFGTSAFTALMAGVIFLIYRRRQNRKSYAGSSLITRSILSYPSSMKDPEKANIAMGVHLFDYNELEEATNNFDSKNELGDGGYGTVYKGKLRDGRVVAVKRLYENNCKRVEQFMNEIDILTRLHHPNLVTLYGCTSRHSRELLLVYEYIPNGTVADHLHGGDSRRGSPSWNTRMKIATETANALAYLHASDVIHRDVKTNNILLDNNFCVKVADFGLSRLFPTHLTHVSTAPQGTPGYVDPEYHECYQLTDKSDVYSFGVVLVELISSLPAVDICRHRHEINLSNMAINKIQGNALHELVDSNIGFDTDDIIRSMITAMAELAFQCLQNDGDMRPSMQEVVEALLRIQRTNKTGKTDKGPDDDDAGLSKNNTSSVSPDSVTAKWSSNSTTPNGST >Solyc02g091440.2.1 pep chromosome:SL3.0:2:53371041:53373401:-1 gene:Solyc02g091440.2 transcript:Solyc02g091440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMALAKEHVIMSDTKMGMVDNYDQYYEGEFGINDHSSPELYGIHEEPPKSIFEECENSEKTSPKIAKNFALSSSNSSLSSPSSSNSNAQSVINFKGVYGNFMHSANGSLLSFEQSERFCPNPRMISNINQVEGSVWEDNNLHYQNCVTPKGSSNTSPRVINDNSNNNGIPFGWLNSEANASTTTHIDESRFNKRPSTEESMQTNKKQCSAGSKKGKPNNNNNNSIGTKDPQSIAAKNRRERISERLKILQELVPNGSKVDLVTMLEKAIGYVKFLQLQVKVLATDEFWPTQGGKAPDISQVKEAIDAILATQRDRN >Solyc02g062110.3.1 pep chromosome:SL3.0:2:34222901:34250277:-1 gene:Solyc02g062110.3 transcript:Solyc02g062110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSASVSLQSSNSTSLSIKTIFISPENITFNKVLLCNRNVSVSRKVFHIRAQLTTEIPAKVAKVSKKQDEGVVVNKFRPKDPYIGRCLLNTKITGDDAPGETWHMVFSTEGEIPYREGQSIGVIPDGVDSNGKPHKLRLYSIASSALGDLKPGAEVKITGPVGKEMLMPKDPNATVIMLATGTGIAPFRGFLWKMFFEKHEDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPENFRLDFAVSREQINEKGEKMYIQTRMAEYAEELWTLLKKDSTFVYMCGLKGMEQGIDDIMTSLAERDAPARSSFIRSAVRFRLDPSYRRSSYVATLRELLEQLAKERNPDGLPRFSLLLPRRKNGGWDLKLKLHCLDWGSKINLVRDAGKVEYITAKTGYTPRRKNGGWDLKLKLHCLDWGSKINLIRDAGKVEYITVKSGCFAKVGHVFELLLDAKQEDLTDEMVVLARQLKESSLMMNQSIKNTKKILDSTEKAVEHSLASTWHATSRAMDMYSRSFKTTCYQWLLIFVMVALLIRVTLQPTLCEGSRYMGISAASSVSEVMKLCIFDLRRGQHEGQELDKILYFFPADLPFPTQLSVIGLSEGLITFTRIFSPEAPCEVIEAEMHSHVFYEAERDIWMVMVVEKSESEAIWRTAALRDVLKEVHSLFVMFHGSIRALLDREPSGGLTRTHLYYFIMDYLSDFLSGKKLHLPNFRDSLKERGMVQMLTIGREAALEVQSLVRVLESCAGCKQSYSLIMFQDLLVSTTLSPDDLINLFTYTVMRLTPNALSAGASSWSYLRKGSSTSNASASLSTSSNSVLNRYNNSQDTSPVGVRSYQVVRPLQHEKWSKGKDAFLVTDIWGTEVGSSSPSSPTIWLKQTEERMYLCAFQHKSLTIILLIPVTSVHNGEQGLSVVKQQILENASPKIFKVEEKLSRGWGGENAYHVSGYRYLLVDGDKYISRASPPGKVTTLTKDSLVSMSKLREEVDLEKSRAKCDVSGCEKDQEICIRAKNGAWVISRLTRGKELYMVLEKANETLLYASEAVEKFSDR >Solyc06g025420.1.1 pep chromosome:SL3.0:6:11087488:11087640:1 gene:Solyc06g025420.1 transcript:Solyc06g025420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCVTSFNRAHSPRTGGDVMPCLTLPTVCAAQGQ >Solyc01g009977.1.1 pep chromosome:SL3.0:1:4524008:4525701:1 gene:Solyc01g009977.1 transcript:Solyc01g009977.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGELLTDPSEYRSMVGALQYLTMTRPNIFYVVNVVSQFMHDPHTTHMHCVKRIFRYLSGTLTYGLTLRTASPTSMVIAYSDAGWFNQRFQNHLKRLNIGLLHTLLLRLVGFATSFVSLMKEKQTSEAWTSNSLLYHLWCKCTQMYSCSSQHPHQDQHLQNPVESPCLCQYKVKYWMYLSDISEATSQLPNALSQGSPCTC >Solyc10g076620.1.1 pep chromosome:SL3.0:10:59674509:59675407:-1 gene:Solyc10g076620.1 transcript:Solyc10g076620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVERSLSMREVCGSIPRTSIDSSPPQPPLVRQRRTRVTRTGPQDGGETPAVGALRAIGGASGLTGQRERGETSAAGASRARHETSAHGPLGDQLRSSRVLRSATRGQP >Solyc02g071365.1.1 pep chromosome:SL3.0:2:41435165:41456286:-1 gene:Solyc02g071365.1 transcript:Solyc02g071365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKKKLWQQEDSFEGFGNAFVVSEEQKLDWSDMFGIMTLPLRIRKVDLFHKLPSDLRGIIEAYSNEIKSLAMIIVCHLAKALRIDENEMRELFSDGVQSMRMNYYPPCPEPDRAIGLSPHSDADALTILFQLDETEGLQVRKDDIWVPIKPLPNALIVNIGDMMEILSNGVYKSIEHRAIVNSNKERLSVATFSTFNLDSELGPAHSLIGTNNPPIFRRVVVQKYLQDFFARKLDGKSYLDVMKVELRKLGGSLKVPNVQELAKQQLAAVPSRYMRDDIENQSYSSILPQVPVIDMKKLLEIGDIDDDSELVRLHLACKEWGFFQLVNHGVNSSLMKKVKSEIRAFFDLPMEEKTKFEQEEGDLEGYGQAFVVSEEQKLDWADILYMITLPTHLRKPHLFPKLPASFRDALEQYSRTLKELAMKILYVMARALGMKTEDMNVLFEQDGTQMMRINYYPPCPQPERVNETEGLQIKKDGAWTPVPYLPDAFVVNIGDILEVRNKYICMFGVTKDICQRGKSYFLILTNGIYKSTEHRAIVNEDKERISIATFLSPNLDGDLGPAPSLLTPQCPAEFRRIGVADYFKGYFSRELVGKSYSTPEKLYFGKSLLVPSVQELAKQHLTNIPARYVRPEQETPVISTGASVPVIDLQKLISGDSMDSELQELHSACQQWGFLQVINHGVTPSLLEDFKREVIQLFKLPMEEKKKLWQQEDSLEGFGNAFVVSEEQKLDWSDMFGIMTLPPRIRKVDLFQKLPSNLRDVLEAYCKEIKSLARIIVCQLTKALRMDEEEMRDLFSDGMQSMRMNYYPPCPEPNRAIGLSTHSDPGVLTILFQLNETEGLQVRKDDIWVPIKPLPNALIVNIGDMMEILSNGVYRSIEHRAIVNSNEERLSVATFYYMNLESELGPAHSLIGPHNPPIFQRVSVHKYLQDFFARKLDRKSNLDFLKELAKQQLAGVPSRYIRVNDDENQLYNSSILLPHELAPVIDMKKLLKIIKDGDTELNRFHLACKEGDFSRLRFIDQTLFIMLHNYFFSVSLAKIYLVNHGVSSSLMEKVKSEIRAFFDLPMEEKTKFEQEEGDLEGYGQAFVVSEEKKLDWADILYMITLPTHLRKPHLFPKLPASFRDALEQYSRALKELAMKILYVMARALGMKTEDMNVLFEQDGTQMMRINYYPPCPQPERVNETEGLQIKKDGAWIPVPYLPDAFVVNIGDILEIVTNGIYKSIDHGDKERISIATFFSPKLDGDLGPAPSLLTPQNIGGLEEYSKEVNELSMKVLKMLGKALGIEEKEVEFLCFITKLLQLNEIEGLQIKKDEIWIPILPLSNAFVVNVGDALEVIFSDGIYKSIEHRSVVNSEKERMSVATFQNPRLDGELGPANSLINAHNPPKFKKI >Solyc08g044390.1.1.1 pep chromosome:SL3.0:8:21158977:21160569:1 gene:Solyc08g044390.1 transcript:Solyc08g044390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFFNTIIAFTFFFFFLLSCPSSTQTPPPPSSAHAAAAALRNRGYSLFASIIDSTNNYNFSGTIFAPPDFSFSANIRPSRPRPSSLLLRYHTLKPPLTWAKLFSNNEQVGVQTLYNNNCLFFFKTSTGHLSISSTQNSIGFVKIRQPDIYVDNHLTVHGIDGVLDPTSARKCSVQHQASVIVQSQRHRRSLLDHAIRALRRRRFTVAATALTIKRPELLTLASLTVFAPSDIAFFSQPRGFHYDYRHHLLPQRYRFGDLATNTPMVIQTLAPNKTLLVNFVDGVLTVNGVIANSTEVYRNRWIVVLSVSMSLDNAGYSLHYGSFVPSPSPATMDILFLDDTVNGSVKSPSPETMEIRYPDEITNPSVESPSPETMGFDYPYEINNPSVESPSPETMRFVYPDEINNGGVPASMDMYYPEERIDASVGRFQSPLAVIMDERITNFSTSVESPSPATMKDSWCVFGMEGSDLLCEARSPSPSKEDVIKEGVAEYAPSDVKDESVPLISEEDDRMDHNHEDHLNIANDVFFYL >Solyc12g005630.2.1 pep chromosome:SL3.0:12:333867:336810:-1 gene:Solyc12g005630.2 transcript:Solyc12g005630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b6-f complex iron-sulfur subunit [Source:UniProtKB/TrEMBL;Acc:K4DBA1] MASSTLSHVTPSQLCSSKSGISSVSQALLVKPMKINGHGMGKDNKRMKVKCMAASIPADDRVPDMEKRNLMNLLLLGALALPTGGMLVPYATFFAPPGSGGGSGGTPAKDANGNDVVVTEWLKTHAPGTRTLTQGLKGDPTYLVVENDGTLATYGINAVCTHLGCVVPWNTAENKFICPCHGSQYNNQGKVVRGPAPLSLALAHADVDDGKVVFVPWVETDFRTGDAPWWA >Solyc11g071810.2.1 pep chromosome:SL3.0:11:55460282:55466987:-1 gene:Solyc11g071810.2 transcript:Solyc11g071810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FAS protein [Source:UniProtKB/TrEMBL;Acc:B2YHV8] MSFDMTFSSSPSSERVCYLQCNFCNTILAVSVPCSNMLTLVTVRCGHCANMLSVNIGSLIQALPLQDVQKLQRQQYTNVENNSSNYKAYGSSSSSSSKFNRYSSIVSPQIEPKIPSIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLEGNK >Solyc05g054430.3.1 pep chromosome:SL3.0:5:65211067:65214046:1 gene:Solyc05g054430.3 transcript:Solyc05g054430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQSDNSVAFSAAELEFLAEDEMVEIVPNMRMEPLNLISGDFGPFRPQIAAQVPLWLAVALKKRGKCTIRPPEWMSVEKLTQVLEAERDSEKFQLLPFHYVEISRLLFDHAREDIPDIYMVRSLIEDIKDVRFHKIGTGLEIISKEVTYALRSCEESAGFFNKEAYTDLVTLVLIS >Solyc04g054780.3.1.1 pep chromosome:SL3.0:4:53177284:53186389:-1 gene:Solyc04g054780.3 transcript:Solyc04g054780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAQNRKVLHFRCSISSASISILLYCTSISLVPFSLFLIFLHL >Solyc10g018990.2.1 pep chromosome:SL3.0:10:11169780:11170669:1 gene:Solyc10g018990.2 transcript:Solyc10g018990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWFLTSTGYKYLNLIFLDTFSDLLPILSSSQKFVSIFLILCMDQKKFCIPQWNLIIEISRKCLHNVLRSEEMIHQNNESPLISTHLRSPNAQEFLYSILFLLLVAGYLVRTYLLIVSQASSELQPEFKRVKYLMTPSSMIELQKLLDWYPTSEPNSFWLKNLFLVALEQLGDSLEEIRGSSSGGNMLGPAYGVKSICSKKKDWNINLIEIIDLIQNPINRITFSRNTRHLSHTSKEIYPLIRKRKNVNRDWIDEKIESWVANTDSIDDEEREFLVQFSTLTTENRID >Solyc04g045300.1.1.1 pep chromosome:SL3.0:4:32222632:32222808:1 gene:Solyc04g045300.1 transcript:Solyc04g045300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLVADVLVRDIVKMCLAEIFSLAELLSSSTIKSHLYTIGNPGHIFGNGFIGTSINF >Solyc07g042633.1.1 pep chromosome:SL3.0:7:56240448:56247874:-1 gene:Solyc07g042633.1 transcript:Solyc07g042633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSAVIESEHQTLTHQQGAYVPSSWYQVGLAWRDAQNRVAAYALHRLFPDLPVHMSITEPYASLILQWEEDLFTGGIDIQAVNVVINSDFPKNSETYLHRVCITDF >Solyc05g056425.1.1 pep chromosome:SL3.0:5:66567288:66569673:-1 gene:Solyc05g056425.1 transcript:Solyc05g056425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEDLDELVRASEEYKNNNDMLLSPLIHSVVKHYEEYYREKSQYAASDVLGMLHPSWLSNLEDAFLWIGGWRPSMAFHLLYSKSGIQLEANLHELIRGFSKEDLGNLSGQQLGLIDELQHKTISEERKLSEKLAIVQESVADTSMVELSHVVSELMREQLVVHEEEEKKIGKNISKKEESLLDLLKKADDLRLSTIKEILRISTPIQSVHFLIAAAELHLRVHEWGKNKDALLSPHKWSCQTINDEGTSVRNYQNHTEMLDHKRRKMKFFFRADLES >Solyc09g042305.1.1 pep chromosome:SL3.0:9:22991770:22998294:-1 gene:Solyc09g042305.1 transcript:Solyc09g042305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SVDGGRESWPTMLVQFGQIWAPSNPRGTERLPADIVSSESDLYARRLWGLPHETATAIPASSGTTTCATVLTRAIFAEGCKSVAAGMNAIDLRRGITMAVDSVVTNLKSRARMIRFDVFCRFPYFLPCLCISVFALVVAVGSFWIPLLLMKRGGQVIYGGKLGEKSQTMVDYFQSIPGIPPIPSGYNPATWMLEISTPAA >Solyc12g013800.2.1.1 pep chromosome:SL3.0:12:4593694:4596399:-1 gene:Solyc12g013800.2 transcript:Solyc12g013800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTITRVGSDEDISVDKKNSVPFPTSASDNESSCFDCNICLDSAHDPVVTLCGHLYCWPCIYKWLQVESSGPGSVENPKCPVCKAHISNSSLVPLYGRGISSLESEPKKDQADVDIPHRPRAIRTMTPHNSDSPISRLHQRLRQTSVYPPRPSTFQHHRQYLPQAFGNYAALAPSSFGSTAMTSLFSPVVGVFGELFSSRLFGIAYPHPRSYLIRGRSGLRMRREEMQMDKFLNRLFIFLFCCSILCLLLF >Solyc12g042018.1.1 pep chromosome:SL3.0:12:57407535:57412319:-1 gene:Solyc12g042018.1 transcript:Solyc12g042018.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDMLIAGSSMMEINNLKTRFSAAFEMKDLGPTKQIFGMKISRDRSAETLNQSQELYTENVLSRFRSPKTVEEHDNMALVPYASAVCRLMYDMVCTRPDIAHAVGVVSTYMANPGKEHWEAVKWLLRYRRGTSNTSLCFGKGKVTLQGFVDADLGGDVDSSKSTSSHRQWPKI >Solyc08g068700.1.1.1 pep chromosome:SL3.0:8:57897000:57897740:-1 gene:Solyc08g068700.1 transcript:Solyc08g068700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:N-hydroxycinnamoyl-CoA:tyramine N-hydroxycinnamoyl transferase THT7-1 [Source:UniProtKB/TrEMBL;Acc:Q8RXB7] MAPTSQQPTPSPSLSDSLTTDASSDVTITGKIYTRVRLATKSDLSHIYKLFYQIHEYHNFTHLYKATESSLEGLLFKENPLPLFYGPSVLLLEVSPTPFNEPTNQAFKPVLTTFDLKFPVVEGQVEEFRSKHDDKSDAYIAGYAFFYANYSCFNDKPGFYFESLYFRESYRKLGMGKLLFGTVSSIAANNGFVSVDGIIAVWNKKSYDFYINMGVEIFDEFRYGKLHGENLQKYAHNKGKTEEETC >Solyc08g068010.2.1 pep chromosome:SL3.0:8:57135108:57159403:-1 gene:Solyc08g068010.2 transcript:Solyc08g068010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVPPSQCSASNIVFKTIHYDHPHKRALTYKKAYYLGTASCIRLIDEGKMMDALAISDRFLQNGASDQLLQLLIERGEENISGQSQGHSGNNNWSHSWQYCLRLKDKQLAARLALKYLHRWELDSALDVLTMCSCHLLENDPIKDEVVQMRQALLRYSHILSADNRFRSWLEVESQCKEDPEGLALRLAEKGAVSAALKVAESEGLSIELRRELQGRQLVKLLTADPLNGGGPAEASRFLSSLRDTADALPVAMSAMQLLPNLRSKQLLVHFFLKRRDNNLSELEVSRLNSWALGLRVLAALPLPLQQKCSPLHEHPHLILEVLLMRKQLQSASLILKEFPSLRDNNMILRYAAKAIVVSISSSSRDPRIPISTPKARQKTKLGTPTRSSFTSSLSNFQKEARRAFSWVQSGDKGTAKDRKRKSSGLMQSERVAWEPTTSIQEDRVTLFSADGQERLPAVAIAEMWMLTGDPKKDEAALLSMCSDESASAKGALDLCIGQMKSVLSSQKIPENATMETIGRAYHATETFVQGLFFAKSLLRKISGSTDLSSNLERSREADDASSDAGSSSVGSQSTDELSEVLGQAEMWLVRAELLQSLLGFGVAASLDDIADKESSEHLRNRLILDEKYSMAVYTCKKCKIDVFPVWNAWGHALIRMERYTQARVKFKQALQLYKGDAATVIMEIIGTIEGGPPVDVSSVRSMYEHLAKSAPAILDDSLSADSYLNVLFLPSKFPRAGRLKFFLEAFNDNFSNSTHFEEPRSNLDSVRYAECISYFQDYARQHLFDFMFRHGHYKDACLLFFPPNSVPPPPQPSSLAVVTSSSSPQRQDPLATDYGTLDLLCELCIAYGAMPVLEEVLSGRTSNVTTLDPSVNKHTTAALSRICTYCETHKHFNYLYKFQVIKKDHVAAGLCCIQLFMNSSSQEEAIRHLDNAKMHFEEGLSARHKAGESTKLITKGIRGKSASEKLTEEGLVKFSARVAIQIDVVRCFNDAEGTQWKHSLFGNPNDPETFRRRCEIAETLAERNFDLAFQVIHEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINVYANKHKERPDRLIDMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANAHPVLDMCKQWLAQYM >Solyc08g082890.3.1 pep chromosome:SL3.0:8:65666497:65667879:-1 gene:Solyc08g082890.3 transcript:Solyc08g082890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCAKEGLRKGPWSTKEDLLLTNYINQYGEGQWRSLPKNAGLLRCGKSCRLRWVNYLKPGIKRGNFSEDEEDLIVRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLTKKLRSTGIEPKPHKNLTKLKEKSRKQTKKEKSRKEVGKKSNDEKLKGQIAQVEKIKVFAPKPIRISCGISRNNSFENDTLSTTTCSSNSNFEKVDDGKDREKEVKLFPRELDFDELLEGGGFYDEFLMGESCNFSNKVEMNDNMVEKVYEEYLLLLSEIQEDQTEQKFSM >Solyc03g118650.3.1 pep chromosome:SL3.0:3:69006285:69008548:1 gene:Solyc03g118650.3 transcript:Solyc03g118650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aldose 1-epimerase [Source:UniProtKB/TrEMBL;Acc:K4BM14] MAEPKIIELNNGSMQVKVSNLGCTILSLSVPDKDGNLGDVVLGFDTLEPYQKGLAPYFGCIVGRVANRIRDGKFSLNGVDYSLPINRPPNSLHGGHKGFDKVVWEVAEHKEGEHPSITFTYHCRDGEEGYPGDLSVTATYTLTSKTTLRLDMEAVPANKATPVSLAQHTYWNLGGHNSGDILNNTAQIWANHITPVDQYTVPTGEIMPVKGTPFDFTSEKQIGRDIQQVGLGYDHNYVLDCGDKKDGLKHAAKIKDPKSSRVLDLWTNAPGMQFYTANYVDGVVGKGGAVYNKHAGVCLETQGFPNAINTPNFPSIVVQPGDKYIHNMVYEFSVE >Solyc07g052910.3.1 pep chromosome:SL3.0:7:61444423:61446526:-1 gene:Solyc07g052910.3 transcript:Solyc07g052910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLFGIFVCLLIVALDSIAGILGIKAEAAQNQEKHLRLWLFECKEPSHDAFVLGVAAASLLAIAHVLANLLGGCSVCATDDIKQASPSRKLSMACLVFTWIIMAIGMGLLVIGTMANNKSRASCGFSHHHFFSIGGILCFIHAIFAVAYYSTASMLLAL >Solyc01g020240.3.1 pep chromosome:SL3.0:1:27879981:27889513:1 gene:Solyc01g020240.3 transcript:Solyc01g020240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSDILSLVKLTRSGLVLLKLPFDKSPAVVDIVSQIFQSLESGILKSPLWCNRILPIQGTCCLDEKELKKIVTKLVEQFMNNRQETGDTVKFAVGYNRRGIEETEMKNLRNTSSDPDIFALLDRNKCFSVVAAAVKEVVPDSIVDLKDPEICVLVEVLPLSGVPDRTAIVGVSVLPRALVTTKPRLCIKALVSDTKETNKKKR >Solyc09g074810.3.1 pep chromosome:SL3.0:9:67068632:67069902:1 gene:Solyc09g074810.3 transcript:Solyc09g074810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGQLQTSCCDRLYKSFTKEGLRSQDESNKLKKAVHRNIERHRRQEMANLVTSLRSLIPIEFIKGKRSASDHMHAAVNYIKYLQKNIQELDNTRKCIISLDNQNKSSTIVDIFQQNNNCVTVNKCEDGMEILINVNNSNKEDIFSLSKVLRWLLKQGLNVVSCDNSKKDERTLIRIQCQVRKN >Solyc07g065240.2.1 pep chromosome:SL3.0:7:67211157:67214766:-1 gene:Solyc07g065240.2 transcript:Solyc07g065240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLLKFIITVLLSLLFIRYCNGGEFIESKSFIKFLVALDPNNVLNVDRNALLSYPCCYKLHGVKCDSRSEEVIEIRLENMNLSGVIDAESLCKLSNLRVLSLARNKIEGTVPESISSCKSLTVLDLSSNFLSGNSLVLMQSLTRIKNLKKLNISKNNFTSSQHITSLHALRRVTIKESSSSTTVSDDKKTKNHHVSLMVWVLISLGTVVVLVVLVFLYMRCVGTVKDKEVIKEVVDYPSPRKTPLDEVVDGVCDTEEKSSELYFFMEDVEKFTMDDLLEATANLTKQGICSSLYKVHISRSGVFAVKRLKKLQVGFKEFSRTMKKIGNLKHQNVLPLVAYYSSNDEKMLIYKYQNSGSLLTLFGNYAEGKWNFPWNLRLSIAVGIARGLAYLYRSSKKGNVIPHGNIKPSNILLNENGEPLISEYGYYKFLDPDKSCLYKDNGYTAPEKMLTEETDVYSFGVILLELLTGKVVEKTGLDLVKWVKSIVREEWTGEVFDSEVANYEMYAFPLLNVALKCVDRLPEERPTMTEVLEIIEEIVNDQEDISPSSMTSFESTPGSMKHVYAL >Solyc02g085820.3.1 pep chromosome:SL3.0:2:49251807:49261288:1 gene:Solyc02g085820.3 transcript:Solyc02g085820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADPH-dependent diflavin oxidoreductase 1 [Source:UniProtKB/TrEMBL;Acc:K4BB93] MVMEEKPKKLLILYASETGNAIDAAERLGREAERRGCPVLLLSVDDFDPSSLPDQEIVIFVVSTTGQGENPDSIKVFWKFLLQRNLTQTWLSRVNYAVFGLGDSGYQKYNFVAKKLDKRLSDLGATAVVERGLGDDQHPSGYEGALDPWMSTLWKALYQKDPKLFPKGPELMTSNMSLMDQPKVQITYHDVAEGTSNFSSIPDVKLLEMQIESTRFILPGKLSGKYSPECFLKMVKNDPLSKAGSGKDVRHFEFEAVSSSIQYEVGDVLHILPGQDAAAVDAFINRCNLNPTSYIRVQANDNKEIEQSYDLRNTLKVPVRLKTFVELAMDVASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYEYNQKERRTVLEVLDDFPSVQMPFEWLVQLVPPLKTRAFSISSSHSAHPNQVHLTVSVVSWTTPYKRKRTGLCSSWLAELDPQKSVLIPAWFQKGSLPSPPPSLPLILIGPGTGCAPFRGFVEERALQSQSGPTAPILFFFGCRNEENDFLYRDFWLLHSQKGGVLSEEKGGGFLAAFSRDQQQKVYVQHKMREESFKIWNLLTEGAAVYVAGSADKMPSDVLLAFEEIVSKEGGVPKEAAVKWLRALEKAGKYHVEAWS >Solyc01g067685.1.1 pep chromosome:SL3.0:1:76377875:76380671:1 gene:Solyc01g067685.1 transcript:Solyc01g067685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKREHSADAGANLANTNIVGTSHSPDTSSGIVFSLLSSLESTQWVVDTIASNHIVSRLDMLNPSTQLSKFGKEHLLNGEYADITHSESPQLSAKVSHPASLYMSIVQDSIPISIWHRRLGHIPLAVMKKMGSLPHRKIDSSITEDKLLDDPSRYHRMVGRLLYLTMTTLDIAFVVQALSQFMHKPKESHMIAAIKVIRYIKNTPGLRLLMTSASPQQLCAYCD >Solyc01g066840.3.1 pep chromosome:SL3.0:1:74869729:74871617:1 gene:Solyc01g066840.3 transcript:Solyc01g066840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S21 [Source:UniProtKB/TrEMBL;Acc:K4AWT4] MQNDEGQNMDLYIPRKCSATNRLITSKDHASVQLNVGHLDDRGVYTGSFTTFALCGFIRAQGDADSAMDRLWQKKKVEARQE >Solyc08g068350.3.1 pep chromosome:SL3.0:8:57507197:57514137:-1 gene:Solyc08g068350.3 transcript:Solyc08g068350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIIGDALRQAFMPKHEYECLREEDKAFNRLQRPFVICSLALISLAIIVCTVISLKIVFPVDPARRPFCGDYRIQPLSINFTNIAASGSEGGSGGGTAGDSDSFPGAFYLTNQEIVDYYWMVVFIPSTFIFAISAVYLIAGIMVAYAAPMRHGCLKVVENNYCASRRGGVRCLSILNVAFAIVFGLLALFLGSTLLTLGSSCSAPLFWCYEVASWGLVILYGGTAFFLRRKAAVILDESDFSGRNLGVEMLEANPMEVTPDVERRVNESFKAWMGPSFLSSDEEDESDNYQEVPALSRTNSSRLRQ >Solyc02g088910.3.1 pep chromosome:SL3.0:2:51470248:51473300:-1 gene:Solyc02g088910.3 transcript:Solyc02g088910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAEENREKRCFSSMQMEVFSRDLLHKFMNGNNNKFHEIPNEEDELELSLGLSLNGRFGVDPERAKRLKRSSSISNFVFSGGDESNGRCSFSVGPIARTCSLPVEGEEECRKRKELQSLRRLEAKRKRMEKLRVVKDKVDLDERPEENGGSNNGPVGNSLPLSQGSMASQGSGSSGISDFGSQPIQGPGDNSTGANTPTSIKPSEQEHEQKQVAKPPKITSEKPPTTCNGSASKEAKEMFKNYMLNMPCVSTMGKGPNGKKIEGFLYRYQKGEEVKIMCVCHGNFLSPAEFVKHAGGGDVANPLKQIVVNPSPLLR >Solyc02g088260.3.1 pep chromosome:SL3.0:2:51048390:51051988:-1 gene:Solyc02g088260.3 transcript:Solyc02g088260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYYPKGHHDDDGTEFDEYDPTPYGGGYDIALTYGRPLPPSEETCYQPSSASDEFDYDRPQYTSYAEPSAYGDEALDNEYQSYSRPKPRPTPSYRPSEETHTYEQPQADYGFQPGVNRPGGESEYGSGYGRKSEYEEEPTSEYGSGYGRKSEYEEPKPQYGSGYGRKSEYEEPSSEYGSGYGRKSEYEEPTPQYGSGYGRKSEYQEPSSEYGSGYGRKSEYEEPTPQYGRRSEYEEPASEYGSGYRKKSEYEEPSSEYGSGYGRRNESEEYGSGGYGRKPSYGQEEGERPSYGRPSYQAEEGEGYERPHYGRSEEDYRKPSYERRGDDDEGYGRKKYGEDNSDDDDEEKKHRYHHHRKHYDD >Solyc05g047714.1.1 pep chromosome:SL3.0:5:60113924:60116735:1 gene:Solyc05g047714.1 transcript:Solyc05g047714.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPQLFSPMRQHKTVGKTSRLTHQIPSTKMESLMPSQTIDSLMPSLTMSPTLTQQIEPSMDIPSIGISTSTNNDHNLSVNLPDSPTISGLLNTKDDDSKGLFFPNPSRLSPSADRTSSFSDESNGCFIMVKTTDPVDDIPTGLKLFIKNLHSLTPEKLNDSNFPSWFSTVSANLSAHRFMEYVDGTMEAPPSTLTVTVDAAPRAVAAAATAVTPNPNFEKWSVVDAQLRACLLAIISPSVQNHLHGLTSTAAIWNHLQLRYNSVSRTHIFQLKEQLHGVQKGNDSMQKYLDSVVTIVAALDRAKSGIPEQDSGQSVGYRGGRGRGSNRARGGPLVVVALHVVVGGLPTVSSTEAASRKVAAVL >Solyc05g014800.1.1 pep chromosome:SL3.0:5:9001080:9001671:-1 gene:Solyc05g014800.1 transcript:Solyc05g014800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4BYJ8] MAGEWKNLGSNAKRRSRSSKAGLKFSVARISRFLKVGKYVKRVGAGAPVFLAAVLEYLAVEVLELAGVAARNDKKTRITPRHIQLAIRFDKELYQFLRDVTIPNCGVIPKIHKILLPNNKSNTSKAVVAAQEEED >Solyc01g059886.1.1.1 pep chromosome:SL3.0:1:69041131:69042216:1 gene:Solyc01g059886.1 transcript:Solyc01g059886.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRNERRISYRKRLKGFLTKARELNTLCDVELATLVNSDYHDEPEVFPNHEVATSLFTKFIDLPEDKRSKNMKTYEMITEKRIEKIEKELEKVRKENKKMEYTNQMYELLNGEEMPNNRLPEYFNDLCYVIKKHLKLINDGIKEKTNKEGSTSNAPLPIDAPIDFDVTSFDMQWDPLLVPIYSQVLSEIPLLVSSTIPSGINFDGPRAPLNLSPPSMIPSNTPSQMLQFMFPLNNPPRMVPHVDLSQVPFLLSSQRYPEMAYPILPTTIAYPTPSPTITPPMSNLVFTQSAPQIDPLINIPPMSSSTPMGDNVDGSLGIPRSTSFSDLLSLNDDELMTLLDDTSFNINVQDPNHHNNNNL >Solyc05g018438.1.1 pep chromosome:SL3.0:5:21494763:21495368:1 gene:Solyc05g018438.1 transcript:Solyc05g018438.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFISNKTIKYEICLKNHATMFVDYLVDRCREFVKKGNDGTKEAYICANCGCLKKLYRMNSHSLYRPPIRSRFFRPRVHPHGDDNVPIISHHFMYRFVPIQYIRMPVCYNYS >Solyc04g011440.3.1 pep chromosome:SL3.0:4:3895042:3900191:1 gene:Solyc04g011440.3 transcript:Solyc04g011440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPTNTVFDAKRLIGRRFSDPSVQSDMKLWPFKVIPGPADKPMIVVNYKGEEKQFSAEEISSMVLIKMKEIAEAFLGTTIKNAVVTVPAYFNDSQRQATKDAGTISGLNVMRIINEPTAAAIAYGLDKKSSSTGEKTVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYTTITRARFEELNMDLFRKCMEPVEKCLRDAKIDKSGVHDIVLVGGSTRIPKVQQLLQDFFNGRELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTTLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERARTRDNNLLGKFELTGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKDEIEKMVQEAEKYKAEDEEHKKKVEAKNALENYAYNMRNTIKDDKIASKLSPDEKKKIEDSVEQAIQWLDGNQLAEADEFEDKMKELESICNPIIAKMYQGGAGPDMAGGMDEDGPSAGASSAGAGPKIEEMLTTHGHESTSQSSSNVAAVTDAANSLKAFLCAYEGSRWIIDTGATRHITSKLKTLINAKAVNTHADSNVHLPDGNQFAEADEFEDKMKELESICNPIIAKMYQGGASGPDMAGGMDEDGPSAGASGAGAGPKIEEVD >Solyc11g066350.2.1 pep chromosome:SL3.0:11:52388104:52391828:1 gene:Solyc11g066350.2 transcript:Solyc11g066350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGDRMAKKSSLGSIVRKRLSDITNSLPQTQQKSPIDVDKVSPDVSSMKDYINHLAKENVALVKIVQEKNKIIELSGLELQKMRIHLQKMQLQNWNLAQSNSHMLAELNLSRDKMKSLQHELVCKEVLLKSRKLEELQEQEQQKDKPTNDLQDEEFMDIDSQLNKHSKPKNGNRRQRATRSQSMGHSTTSQQAAEKEAAENKRRCLRRKSTNSKIQQPEPAAEDLFELEGLAVPFNSPVHIDGFVPSPLSGVEEVKHDKENVAQLSRRSSIGRPSRKAAEKVQSYKEIPVNIKMRRAA >Solyc03g032130.3.1 pep chromosome:SL3.0:3:4660826:4663387:-1 gene:Solyc03g032130.3 transcript:Solyc03g032130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVYIQFILTFGWKKFLLSPSPKPLLILSSQHTHNTQFISKGKKYLFVFFSLNPRSFFLIMKGGKSKGKADNKLGVKKSAAQTKKEKNAAKDPNKPKRPASAFFVFIFGGLQEAVQGKASRQQICCCCWQSWW >Solyc04g005310.3.1 pep chromosome:SL3.0:4:223154:225082:1 gene:Solyc04g005310.3 transcript:Solyc04g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQNKEEVLDKAVKDLAAVTDQYLSRLVKELQGTDFWKLRRAYSPGVQEYVEAATLCNFCKTGTLLTLDEMNATLLPLSDPSVEPLQINILDYILGLADLTGELMRLAIGRISEGELDFAEKICSFVREIYRNLTLIAPEMDDSSDMKQKMETMLQSVMKIENACFSVHVRGSEYIPLLGPADTSYPLLGMPDIE >Solyc11g064980.1.1.1 pep chromosome:SL3.0:11:50593635:50593901:-1 gene:Solyc11g064980.1 transcript:Solyc11g064980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKQYVDVFNRVDLRTYVCAYFVCNNLPFINMTHMFSGRPCRLFFIIQFDKRLLLLVLILINYVSVSKFLRSPILTLSSSFDLIKGC >Solyc02g089610.2.1.1 pep chromosome:SL3.0:2:52005578:52006969:-1 gene:Solyc02g089610.2 transcript:Solyc02g089610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:K4BCB2] MELPVSAIGFEGYEKRLEICFFELGNSYGPGCGLRSLSKDQLDEILTPAACTIVSSLANDEVDSYVLSESSLFVYAYKIIIKTCGTTKLLLSIPPILKLADSLNLKVKSVRYTRGSFIFPGAQPFPHRHFSEEVAVLDTYFGKLGAGSNSYVMGNADKQQNWHVYSASAEPADANNVNPVYTLEMCMTNLDKKKASVFFKTQSSTAAEMTEVSGIRKILPESNICDFDFDPCGYSMNAVEGSAISTIHITPEDGFSYASFEAVGYDFRAVDLSAMIERVLSCFGPAEFSVALHCDILGKELYTESGLDIIGYVSGEKTTEMLGKGGSLTYLTFSSGGSCGSPRSILNNCWSENEDEEMEKIC >Solyc10g007530.1.1.1 pep chromosome:SL3.0:10:1841643:1843124:1 gene:Solyc10g007530.1 transcript:Solyc10g007530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTTKKKEKKKEVIRLERESVIPVLKSRLIMTLANLIENDSDRDEFLKLCKRVQYTIRAWYNIQFEDLMGLYALFDPVHGSQCLDQQKLNDDDIDELEQNFLTYLFQVMDKSNFKIASDEELEIANAGQYLLNLPITVDESKLDTKLLSKYFARNPCDEKLPEFADKYVIFRRGIGIDRTTDWFIMEKIDMIIARTWKWLMKRTGGDKFFGKKIYKRNKKPRKKRIPSEEEQDFYVERIRIQNMELTLPNVLSKITIQEPTFERIIVIYRRASEEDEKPDRGIYVKHLKNIPMADLEIVLPEKKNPSLTPMDWVQFIASAVVGLFAVVTSLDMPQADAWVLFAILSTVIGYCAKIYFTFQQNMAQYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISYFILMEQGKATLIDLDTRCEELIKERFAISCNFDVDDAVQKLEKLGIVSKDEIGRYFCIGLKRANEIIGSTTEELVLKARQAQSGVTNS >Solyc11g040224.1.1 pep chromosome:SL3.0:11:38596450:38598208:-1 gene:Solyc11g040224.1 transcript:Solyc11g040224.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGFCSINTCLVLNRRSDSIFRHFPSSYPTSWSTQKRSSIRTMKCRCQSTGTDEPKTKVHSFDNASNLLTNLLEWWENWVNAYCRRGSD >Solyc05g055415.1.1 pep chromosome:SL3.0:5:65915210:65915815:-1 gene:Solyc05g055415.1 transcript:Solyc05g055415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNIYFDHGITSQIGLFWQQVRAPLLVPFLKIMVILCLAMSLMLFVDKVYMGIVIIFIKILRKKPEKKYKWEPIKDDLELANSSYPMVLIQIPMYNEREVIHILNLLT >Solyc01g067010.3.1.1 pep chromosome:SL3.0:1:75164881:75166353:1 gene:Solyc01g067010.3 transcript:Solyc01g067010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IILLSHILSLTPLTIFFFLKATFSVAIHIYFSKMKMKEIPTLPQDIIIEILIRLPLKTLLKFRSVSKSWLYLLSDAQFHKTHISFSTNNPKFTDYTLAAIPTLSGLGKICHVYTISSENSSVIVSKHGCPSKTLSLSAWILGSCNGLICLTSDSFNLMLLNPCTGKFSLFPDLMIEYEVGDGGVHIRYGFGYDASTDDYKVVKMFSFPRIDNEGRHVNMVSVYSLKGSSWSSIQGFDSGHVNGNVAVFANGVLHWEGCYDYVSGGVSSEIVTLDLAKERYGRIALPRYEGGGIHWTLGESRGRLVACCNYESDKADMWVLKEYGVEKTWTKVVTISSPDDRRVSISPLFVAENGDEVLVKLGTEVTLYNSRNASFKRIADYVSMDDFLQVQVTTYLESLASPHI >Solyc05g010517.1.1.1 pep chromosome:SL3.0:5:4724659:4725243:-1 gene:Solyc05g010517.1 transcript:Solyc05g010517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSEAAATHYFASQNPSPLPLDFNFMQNNLPSLHFSRYLTNILPSYPVNDFNNLIPSCISGNSTSDETDEQQLKIIDERKKRRMISNRESARRSRMRKQRQLDELWSQVVRLRTENHNLIDKLNHVSECHDKVLQENAQLKEETSDLRQMITDLQFNSPFPDLCELEDVPCTTAHLKAESSNLSITHSTNLLH >Solyc05g024450.1.1 pep chromosome:SL3.0:5:31736628:31737722:1 gene:Solyc05g024450.1 transcript:Solyc05g024450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDSSFDMESHDEFVEMNWEFSFEVGKHTKLKLGTPMIKNLIDEKRRISLGQKELGENFQVLKQEVHSFKKLMIESDSKNFNAIEPLSKKVNRNNDFEYEEFRGRGMNVDFDNDYSNNNINNCDQHVTLQFTY >Solyc11g063460.1.1.1 pep chromosome:SL3.0:11:49906137:49906352:-1 gene:Solyc11g063460.1 transcript:Solyc11g063460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKEVIFIIGAVGTGKSCFSVDLATHFRGKIINSDKMQVYKGLEIVKNKITHKEKKVYDTIS >Solyc04g017670.3.1 pep chromosome:SL3.0:4:7750509:7751813:1 gene:Solyc04g017670.3 transcript:Solyc04g017670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVPLSSQKQPPPWQVVVLVANHLDPKTLAISSCVCKSWLISMSLDQIWQPLCCSYYPSLSTLHNFNNNNDNDGSNVSYRRLFALGQRASNRRWKPPSKPCILLKNIIFALNIYKNSTCVVSLVKHGDQLNFDKKGIFRFDIDVEQYRRRSPGSATDIKNGDLGSFDTLGDVIIMWDVILEGYRGVFNVMNCKGKGRFVLGLEGWFSEELPPPGCCSIETVSGLVADLRLGLKLEDGKAMVENISAGILSIISWRYLFVEDALRYLQHFLSS >Solyc07g053190.3.1 pep chromosome:SL3.0:7:61774191:61779912:1 gene:Solyc07g053190.3 transcript:Solyc07g053190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Enhancer of polycomb-like protein [Source:UniProtKB/TrEMBL;Acc:K4CFL7] MPSVGGMRRTTRIFGTRVLRSGRRLSTSFEAKRAKHGDEWIGLLDNVGGGGGAAADATRCKKKGWLKKEVALNLEADEMNIDVDSKSMDEQETVEAPVVDTVSPKSYIDRMWGLVYTRKRKRVDLKRHDSVRGKVLTDVMRYGKQFIRKKKHRSAYAKDSDKSEDGQFSSDIVIVNTSYGSGYWVSCLLNCMLMYLRRSTVSLQQIFGFINSKPLRDVWSLQGILLLKDQTSRKIKTGACVISGVRCSVPVFTLDFSTVPCFFLYLHSSLLLRFVPMSYALVMQPTVAIDEVTVTNDMELVSCLTPVTLSELDVNTQSGHDVVAPGAYDSKKIEVVNTTVGLPKSTARHLQPRNSRNIQKRRSSLRSMRGRHSSFGTQNASGVLTSDRLRFRRDGLRFSSRTPHYELRSSRQKTSMPSVKELKSALVRLTQNIETASCSANILVTEPDKCYREEGAVIGMELSAAKQWILAVKIGGVRRFNLTTEKVMRPCSSNRVTHDLIWVGDSGWKLEFPDRQDWLIFKELYKGCSDRNVQPPAVSIIPVPGVSEVSGYAESNPPFFARPVSYITVKDDELARALARSTANYDMDGDDEEWLRNFNDQPSLENDHLSTDSFELLIDHFEKGFYCNPDDYSDEKAAVSSCPNKEKKEIVEAVYSYWSKKRKQNRSSLIKIFQCYQPRRTQVIPKSIFRKKRSFKRQGSKAGRGKHRPFLPAVVAENDAVQQQNAVLKVKEAKAAANKSEDLAVRMRQKAQQLMENADLATYKAMMALRIAEAAKIAKSKEAVAPIFLG >Solyc08g078450.3.1 pep chromosome:SL3.0:8:62374175:62377262:-1 gene:Solyc08g078450.3 transcript:Solyc08g078450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKDMRKFLRRIVVVLFFRVNWKKLFVIGTILTVFRVVFQIYSLPNPLTEWITSPPLDVASYQRLINHGNKSRELELSVVTSDNQLKMTKHLAPVVLLNSTARSNRLMRVMQRRARLLRRRRRKRQARILEKIVYPPPPVVPDHLQRFIASLTPNEALAYARSEIEKAPLVTDDPDLYAPLFRNISNFKRSYEMMELLLKVYIYKEGDRPIFHEPHLLGIYSSEGWFMRLMEENPYFVTTDPEKAHLFYLPYSSRQLQMAVYVPGSHNLRPLSEFLRDYVNMLAAKYPFWNRTHGTDHFLVACHDWGPYILKDHEELIRNTIKALCNADISEGIFKAGKDVSLPETAIRNIGKPLVNVGGKRVSQRPILAFFAGNMHGPVRPKLLKYWSDRDEDMKIYGPLPTGVSRIMSYPEHMKSSKFCLCPMGFEVNSPRIVEAIYYECVPVIIADNLALPFDEVLDWSAFSLIVSEEDIPKLKEILLSIPLRRYQVMQNNVKRVQKHFHWNAMPFRFDIFHMILHSIWSRRLNQFQESQIS >Solyc02g068140.3.1 pep chromosome:SL3.0:2:38740346:38744021:-1 gene:Solyc02g068140.3 transcript:Solyc02g068140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIYLKQRFGYFFHNRWLVFVAAMWIQTCAGLGYLFGSISPVIKISLNYNQKQIARLGVAKDLGDSVGFLAATLCEILPLWAALLVGAIQNFIGYGWLWLIVTRRTEPLPLWAMCILIFIGTNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLGGAIITQIYAVIHSPDHASLIFVIAVGPAMVIIALMFIIRPVGGHRQVRPSDGLSFSFVYSICLILASYLLGVMIVEDLVDVSQNAIIILTSILFVILIIPIVIPIYLSFTQEPRVVPEEEALVSRSGDQGPGRSEHYDGQEIIFSEVEEEKPKGVDLLPALERQKRIAQLQVRLAQAAAEGAVRVKRRRGPRRGEDFTLVQALIKADFWLMFFSLLFGSGSGLTVIDNLGQMSQSLGYENTHVFVSMISIWNFLGRIGGGYFSEIIVRDNAYPRHAAMAVAQVVMAFGHFFFAMGWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTIANPAGSLVFSGLIASSIYDREAEKQAHQSQIGLGSLNLASVLTSFLNIDEPLKCEGTICFFLTSLIMSGICIIAAVLSMILVYRTKTVYANLYGRSPT >Solyc03g044820.3.1 pep chromosome:SL3.0:3:10623454:10634036:-1 gene:Solyc03g044820.3 transcript:Solyc03g044820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKNHFLLVHGACHGAWCWYKVVTILRSEGHKVSVLDMAASGINPKHVNDLNSMADYNEPLMEFMNSLPQQERVVLVGHSLGGINISLAMEKFPQKIAVAVFVTASMPGPDLNIVAVTQQYSEQVEAPMDTEFVYNNGLDKTPTSLVLGPKDLATIFYQYSPPEDLTLATYLVRPGPWFDESVLLANTTLSKEKYGSVHRVYVVCEKDIVLKEQQFQRWLIKNNPPDEVHMIQDAGHMVMFSKPRELSSCLVMISQKYH >Solyc01g049760.1.1.1 pep chromosome:SL3.0:1:46270729:46271001:1 gene:Solyc01g049760.1 transcript:Solyc01g049760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFNLEIISSKVPFVAFPLCNDQVCHAKLIQDIWKTDVRVNVSECGVMEIDEFNGCITIVMGDGEQWEELRRIVKKWSDLAKKSMNKYGT >Solyc12g096060.2.1 pep chromosome:SL3.0:12:66117959:66123967:-1 gene:Solyc12g096060.2 transcript:Solyc12g096060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFGLGRNQRTFRPKKSAPSGSKGAQLRQHIDSTLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNLLYGTLTEFCTPENCPTMTAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIETQLDDESLFPQRLGAPFPPNFKDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQDLIDSIIVPY >Solyc06g051190.2.1 pep chromosome:SL3.0:6:34444352:34473050:-1 gene:Solyc06g051190.2 transcript:Solyc06g051190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDPLIEEIDVLDAPLPYSVETMLDRICKEQGQKPPCTGIRRRLSSIGEKGSLEMLKIISRRPIKKSLSAFLVYMIDRYPDCLSSSSSPFNCLLKRSSSPRLFPSPEGKRLQGESSSKSKLEMGLLACASPQKVARQLSFCEEPESNCRRTSPYVSQQLMILNELEFRKLFLVLSYIGCNKLEDVISPQIADDIVRKKNLSMTDFESEIWNAFGKACYAVSDRSKYLDWNCRKTHIYYCHIKQNGYCSFKGPYLNTLRTHLQRALGDDNVLIVKFVEDTSCANIILEEGILVGLRRYRFFVYKDDKERKKSPAMMKTKTASLKCYFVRFESIGTCNDGESYVFSTKTISQARCKFMHVHMVSNMAKYAARLSLILSKTIKLQTDLDSVTIERIEDILCRDENGCIIQDEDGEPRIHTDGTGFISEDLAMHCPKDFSKAEYIKDENYENFVDIVDLDDVNVERRVSVSRNRKPPLLMQCRLFFNGCAVKGTFLVNRKIGSRKIHIRPSMVKVEIDPTISSIPTFDSLEIVAISHRPNKAYLSKNLISLLSYGGVHKEYFMELLGSALEETKQVYLRKRAALKVAINYREMDDECLTARMISSGIPLNEPHLHARLSRLAKIERTKLRGGKLPISDSFYLMGTADPTGVLESNEVCVILDNGQVSGRVLVYRNPGLHFGDVHVMKARYVEELADVVGDAKYGIFFSTKGPRSAATEIANGDFDGDMYWVSINRKLVDSYTTSRPWIRMHSTPNAVSKKPSEFSADELEYELFRQFLEAKSKGANMSLAADSWLAFMDRLLTLRDDNVDDMHSLKGKMLHLIDIYYDALDAPKSGKKVSIPHYLKANKFPHYMEKGNSCSYHSTSILGQIYDHVDSYPDEDLCITEISKLPCFEVEIPQRCMTLWRGRYEEYKKDMTRAMNFDCELRITSCNEVIKKYKMLLYGAVEFEQTVRKTEDIFDEALAIYHVTYDNARITYSIEKCGFAWKVAGSALCRIHAMYRKEKDLPILPSVLQEIL >Solyc01g073640.3.1 pep chromosome:SL3.0:1:80898021:80899205:1 gene:Solyc01g073640.3 transcript:Solyc01g073640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative alcohol dehydrogenase [Source:UniProtKB/TrEMBL;Acc:Q9LEG3] MANKLRLEGKVAIITGAASGIGEASARLFVEHGARVVVADIQDELGQKVVDSIGSDKASYRHCDVTDEKQVEETVAYAVEKYGTLDIMFSNVGTLNFCSVLDMDVLAFDETMAINVRGSALAVKHAAKVMVDKKIRGSIICNASLEGILAGAASLAYIASKHAVVGIIKAAARELGPHGIRVNGVSPYGIATPLVTKAYGLDAALLEEAIYGNGHLKGVKLSTMHVAQSALFLASDESAYTSGQNLAVDGGLSSILKLQ >Solyc03g062905.1.1 pep chromosome:SL3.0:3:34694165:34694926:1 gene:Solyc03g062905.1 transcript:Solyc03g062905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACHQLLHCQIQSMWRTVFHLGSKLSNSHFRRLHPIYPLSTSLHKGYCRISEPIYAKNIDTDQVVRRDGLFDTPHTEIRSTNIDPSNGKLMLIDGTSIIYRAYYRLLVLILTTFTFNSEEIVIPSE >Solyc06g049020.1.1.1 pep chromosome:SL3.0:6:32352674:32353333:-1 gene:Solyc06g049020.1 transcript:Solyc06g049020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLKMNHPTTHSLIQFNKPNSVSDLKLNTCLSVSKQNKRRAFPHRVSSSLSLSNSCGGVVKEDQKTEGVLSGKIGEWMNESVVDIVKNLKQAPLLVEIYSEDGKGGVRIKTERAVEEDWPIKKSEWEKRSPDGLIFVGELGGEDEKLVEEEGEGITKAWGVVVQGKGMECSPACYLLKTSRVGAGIGMGLFCTHFCLAKVQNFRDTALMQFQNSWLLQ >Solyc03g058237.1.1 pep chromosome:SL3.0:3:25748186:25749252:-1 gene:Solyc03g058237.1 transcript:Solyc03g058237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKIPNNHLISLKKEDFTLVTSPAFGIPLLAVKVTTLAMKNSHSVTGDSFTNNSSLSNGSFYSNTLSESYQHLYNLFLANRTLLD >Solyc11g021040.2.1 pep chromosome:SL3.0:11:13277484:13278349:-1 gene:Solyc11g021040.2 transcript:Solyc11g021040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSFCIFFLVAHFATPIVCIRNPINQTPALFVFSDSIFDPGNNNYINTTTNFQANYLPYGESFFKYPTGRNSDGRLIPDFIGIFICVFPNCLKSINCGRPEHNCWLYFAAEYAKLPFIPPYFEIGKKHLVHRVKFASGGSGCLAETARGFVIDLQTQLKYFQNVGKLLQKKVGETESKQILSNAVYIFSTINNDMVAPLFANSSFPYSDTEYLQMIMGNLTSVLKFLSNEKVM >Solyc02g011870.2.1.1 pep chromosome:SL3.0:2:14147148:14147625:1 gene:Solyc02g011870.2 transcript:Solyc02g011870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHQQNHLLQIRSCWGIERDKC >Solyc01g108130.3.1 pep chromosome:SL3.0:1:95386323:95391438:1 gene:Solyc01g108130.3 transcript:Solyc01g108130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERNFIPVKRRTKSCKKRQQQVEPHTLKSTSHSSASTSTQTDPLSMIKTVQLDRKKMIPKKPRSVKSKAKQVKSNHPRKAESHSIKRKGSKRTRINDLYDDVEAKYSVMERAEKVLSSLPDEFPSFAKCMLPSNVAHGFWLHLPKSFCNMHLPSSDTTVILVDEWGNEYKTSYLLERNGLSAGWRGFSISHRLLKGDLLIFRLIEPCKMKVYIVRVNGQEVVDAALCLMYWETLNTSTELDLVRKDKRKRKKAKHLAEPFFVDLSKPKEHVQNDSHCTVDLNVSPSEHRSENNSEDLDSEVLQGSDVTNRLQSNEICCSETSFLHDNPHKSVDCR >Solyc02g085880.2.1 pep chromosome:SL3.0:2:49305404:49307482:1 gene:Solyc02g085880.2 transcript:Solyc02g085880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVVMLFLICILLMSLKIVYETLLSYYLIPKRITKIMEKQGVRGPKPRFLVGNILDMASLVSESTSNDMDSIHHDIVARLLPHYVAWSKIYGKRFIFWNGTEPRMCLSEADLIKELFSKYNSVSGKSWLQQQGSKHFIGRGLLMANGDDWYHQRHIVAPAFIGEKLKSYAGYMVECTSGMLRSLGNAVKSGQVEFEMGEYMARLTAEIISRTEFDSSYAKGKQIFHLLTILQQKCAQASRHLCFPGSRFFPSKYNRDIKTLKIEVETLLMEIIQSRKDGVEIGRSSSYGNDLLGMLLNEMQKKRSSNGFSLNLQLIMDECKTFFFAGHETTALLLTWTVMLLASNPSWXXXXXXXFLQLSMIINESLRLYPPATVLPRMAFEDFKLGDLNIPKGLSIWIPVLAIHHSEEIWGKDANEFRPDRFASKPFSAGRNFLPFAAGPRNCVGQSFALMEAKIILAMLISKFRFTISENYRHAPVIVLTIKPKYGVQVKLTPLTT >Solyc01g103335.1.1 pep chromosome:SL3.0:1:91846416:91852131:1 gene:Solyc01g103335.1 transcript:Solyc01g103335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKDGDKNILFSFFFWVTKFIVFDRTVTTLGFYTYRIPRVTPEEIEPVSLDGNDNQIVTTIKKNITGVTPEKIDSEPLAENNDGKLSSDNGDFSGEGPPKKGDEKKTYTQQKTKMQRRDTSQKKEFVGWGSKSLIDFLQFIGHDTREKLSPYDVTSMVIKYANENDLIHPIEKRKILCDIQLEALLGGKVVNRDIILSLLKSHFVENEERLQKNELAHDLEDNDTEMFVASKTEKKVEQNKRSSIWYSTAAQSQFAALIPENIKLVYLKRSLVLEMIKKPESFETKIIGSFVRVKLDSRDFELRNSHQLVQITASFSGIQPVSSDNCCCKSSIQVSNIAKNVCLNTLSDDEFSNEECDEFRKKVKDGIFKKLTVVELEQRAKILHEDITNHRIARELELALQNIPKVIPEEVESLDGDDNQMHLCSDEATLQKKIILE >Solyc07g032600.1.1.1 pep chromosome:SL3.0:7:40627680:40627964:1 gene:Solyc07g032600.1 transcript:Solyc07g032600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKENWHYNNGNWHNYSRVRYNDSGVQHNGGGVRHIGGGIQHIGAIDEKKDELKSSTSLYKLIVSSPYSYKKNSSSLIASYSIGSNSNCDVVW >Solyc04g082750.3.1 pep chromosome:SL3.0:4:66400173:66404043:1 gene:Solyc04g082750.3 transcript:Solyc04g082750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSENEGIEKKSFVVTGGLGFIGAALCVELVRRGARLVKAFDLRTHSPWSSQLRQCGVQIIQGDITDKQQVQKALQGSDCVFHLASYGMSGKEMLQYSRVDEVNINGTCHIIDACLHHHIKRLVYVSTPNVVYGGKEILNGNENLPYFPIDDHVDPYGRSKSIAEQLVLKSNRRPFTKNNGECLYTCAIRPAAIYGPGEERHLPRIITLTKLGLFPFKIGSPNVKSDWVYVDNLVLALLLASMGLLDDIPGREGHPIAAGQPYFISDGSPINSFEFLRPLLKSLDYDLPKTSLAVSHALLLGRIFWAFYSFLYPWLNSRWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVSSKEGMAATIAYWQERKRRSLDGPTIWAWLFCVIGMLGLFAAAYLPDYGPIPLIRAVHLFFFRSILALKVIFVLAAAAHVGEAIYAWNVAKTIDPANARGWFWQTFALGIFSLRLLLKRAKK >Solyc01g079600.3.1 pep chromosome:SL3.0:1:78563878:78568218:-1 gene:Solyc01g079600.3 transcript:Solyc01g079600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGLCLTGGGIQGMAGPIAIAGGLDVRSTQFSTSAVGRSSMSVEKTSSCRNKSWGFSFRYPLRSFWSGGKGRYDAIAVDDAVLMEEKNEEKNENWVLKILHIRSLQEEKEVGEEKDGGVEDLGEKVDGEGGDDIEDDSEENIKHDDGDEEECDVCCVDDDEKFKFDRKSFSKLLRRVTLAEARLYAQMSYLGSLAYGIPQIKPENLLRNHGLRLVTSSCEKREQALKVEKEKAEAEDQEKKENEIAQTQGEERSTTIPVEGNGKTSGNRISASTAYHIAASAASYLHSHTMSILPFKSSKTMPNKDSSETTVGCDDNIDAMNREVASFMVTSDSVTSVVAAKEEVKQAVADDLNSNHSSPCEWFVCDDDQSLTRFFVIQGSESLASWKANLLFEPVKFEGLDVMVHRGIYEAAKGMYAQMLPEVRSHLKSHGSRANFRFTGHSLGGSLSLLVNLMLFIRGEVPLSSLLPVITFGAPSIMCGGDRLLRRLGLPRSHVQAITMHRDIVPRAFSCNYPNHVAEFLKAINANFRNHQCLNNQKLLFAPMGEFLILQPDEKFSPNHDLLPSGSGLYLLNCPVSDSTEAEKQLQAAQSVFLNSPHPLEILSDRSAYGSGGTVQRDHDMTSYLKSVRNVIRHELNNIRKAKRKQRRRVWWPLVSPSGVNAGIVVRRYVESGSMGHGQINFAGILQSGKESLKRFSTLVASQHMHLLVVLLFPARLLVVGTFSMFNFR >Solyc05g013240.3.1.1 pep chromosome:SL3.0:5:6329972:6334549:1 gene:Solyc05g013240.3 transcript:Solyc05g013240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding ILPLDWPIISCLSASIMALFSPKSELLFLFFFIFSLSFNLSHSNKYDDVHDLLPFYNLPKGLLPNNVKSYTVSTKDGSFTVQLTHPCYVQFQDQLVYYQKDIKGKLSYGSVSDVTGIQAKKLFVWVSVTGISVDDQSQMIEFHVGFLSEKLPAKEFENIPTCTNKIKGCQHSAFASI >Solyc08g079990.2.1.1 pep chromosome:SL3.0:8:63486132:63488339:1 gene:Solyc08g079990.2 transcript:Solyc08g079990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCILIISFAFWLIFHFVVAQPQSSLQTYIVHVNKPDVQVVANSADLESYYNSFVPVEIVGSEEPTRIIHSYHHVVSGFAARLSAEEVKEMEMKDGFVYARVEKILALHITRTPNFLGLYQNVGLWQESNYGKGVIIGVLDTGINPGHPCFSDKNMPPPPEKWKGKCEFVGDVTCNKKLIGARNFVRGSTDPLFEKGGHGTLTSSVAAGNFVDDANVFGNANGTAAGMAPLAHIAMYKVCSDSGCSDVDTLAAIDAAIDDGVDVLSLSIGGYTAPFYDDGMAIGAFAAIQKGIFMSASAGNDGPLSATLSNVAPWILTVGASTHDRKIVATVVLGNGQEYDGQSIFHPTDCHTLFPLVYPGLLNQEAAICKSGSLNNTDVKGKIVVCDKSGGVTKLEKGKTVRDAGGVAMVLANLEIDGDGTLAYVDLLPTTNVGYSAGEIIKAYVNSTSTPLAGILFKGTSIGFKSAPSVSSFSSRGPSLASPGILKPDIIGPGVNILAATHVSAENKIDTYLTFNIVSGTSISCPHLSGIVALIRSSHPDWSPAAIKSAIMTTADQFNLEGQPILDQRNLPADIFATGAGHVNPSKANDPGLIYDIQPHNYIQYLCGLGYTDKQIGLIVQQTIKCSQQSAILEAELNYPSFSIILGPQSQTYTRTVTNVGDASSTYTVNITQIRGVHIVVKPTTLVFTKVNQQATYSVTFTQIGDITGHFVQGAISWMSNKYIVRSPISVKFE >Solyc02g085280.2.1 pep chromosome:SL3.0:2:48865143:48876861:-1 gene:Solyc02g085280.2 transcript:Solyc02g085280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKSSRTIQADDSAARGAKVSELREAVGPLSGRNLKFCTDVCLRQYLEARNWNLDKAKKMLEETLKWRSTYKPEEIRWHEVAHESETGKVSKGNFQDRLGRTVLIMRPGKQNTSSPEGNIRHLVYLMESAILNLPEGQEQMSWLIDFNGWSLNTNIPIKTARDIIYILQNHYPERLAIVVLYSPPRFFEAFWKVVKYFIDSKTFEKIKFVYPNNKDSVELMKTFFDTENLPSEFGGKATLNYDHEEFSKLMVQEDVKTAKFWGLDDRGDIFQKQRRGEKESAKQMAPKNLSFKGADIDEKSIRKDNAEELVIALAEAKADAIMSRLKTTDQLDENTHSTLLITADTTNNASSWPILIDLFGYILPTSIGIEVAVYEGIVREKPSSKEEARQFIKSYSGGQATVVGSVVVTNLTKGIRKGAWERSEVYFHDIPDEIIDSLLIDKSHPMEAKFFRFLKIVGVGFKARAESEGRLLYLKLGYSHEVELTVPPAVRVFCFKPNVVCCTGIDKYRVHQFAASVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >Solyc07g055025.1.1 pep chromosome:SL3.0:7:63304428:63306518:1 gene:Solyc07g055025.1 transcript:Solyc07g055025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGRLKNVVAAAAIEGLAEVRAKIFGHVINPTGHMKDDPLVMRDDSLTGLK >Solyc02g030430.1.1.1 pep chromosome:SL3.0:2:26324344:26324787:-1 gene:Solyc02g030430.1 transcript:Solyc02g030430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSCTKIILFFGVVQHIYSLFSLYTKRWKILKDSVPSLTLKSLSQTGRKSRIESFKAIKFQTQQIRGVLYKLEEVSDDPKVKIEANCLQIFELENFELLLDMTMWYYILFVVNSISKSLQSKDMHIDVSIEQLRGLVSFFITKKKD >Solyc09g089570.3.1 pep chromosome:SL3.0:9:69749949:69759457:1 gene:Solyc09g089570.3 transcript:Solyc09g089570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQKYQLPFKEGQTAEARCLEEGYRGAWFRCKKKESKENSFMSLCVFSTLSKLCCELHMLGFYLLRVLISIQEISLRGGHWSALLQYLDYPDEKWAWTELYQMGQLMLRPEYPPVHLKNKVSDVSSNSDATLVSDGTWREGDLVDWLCDDCYWSGQVTKLLDNGKAKIELLPPPLGEGKTYDAFVKDLRPSLDWSPERGWVMPASQVGESSRQYPNLLKLVSHGNNNVMQTS >Solyc01g007855.1.1 pep chromosome:SL3.0:1:1949916:1955096:1 gene:Solyc01g007855.1 transcript:Solyc01g007855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILLTSTGYEEVQSSVSHRIYSDCSRASGKEEQEHKDMLTCLAKCQTSSPAVAPHKKAKRESLFKHGHVGTRFKSIDLHSTAIVMYMVQQEEN >Solyc03g098500.3.1 pep chromosome:SL3.0:3:62218292:62221379:-1 gene:Solyc03g098500.3 transcript:Solyc03g098500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDKASVSKELNAKHAKILEGLLKLPENRECADCRGRAPRWASINLGIFICLQCSGHHRSLGVHISKVRVGNEKSNNYWEADLSASVDRSDIGKFIQTKYQDKKWASKYNPQPGQSDMIGETSDFGGKADVPRKARKYSLEEDVFSTQPPQVPTTTRSRGVSLDTMDEFLNLPPKNGLMSAPSVKHKEDTQDLFSLLYAPEGNQDRTIELMRPREEPVGSYTEALLHWIRVHG >Solyc02g065420.3.1 pep chromosome:SL3.0:2:37148911:37152571:1 gene:Solyc02g065420.3 transcript:Solyc02g065420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4B6X5] MDKQPGAMGTSAGLALRLGQAIFSVSSLLFMCFGVSFLSYSYAPFCFLVTTMGLVTPWSLGLAMIDTFLVLAKRPSNQPRVLTAVVLGDWVLSVLSLAVSCSTASVADFLIASGNVYCRDNICLRYQLSAAMAFLTWILSSASLLLNLWLLPTLY >Solyc07g007830.3.1 pep chromosome:SL3.0:7:2495592:2497968:1 gene:Solyc07g007830.3 transcript:Solyc07g007830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDFSAISQTFSQHLRGVAAFLAPTSPTQPEQESSAEKFSGIKSDLVEIGDSFKSDFSMSDSQKEHVASIVQFVPGLESLRQMVCHELSDLQFWMIYFVLLLPRLSGNDLELLSTPEASFSLTS >Solyc09g097960.3.1 pep chromosome:SL3.0:9:72416433:72419750:1 gene:Solyc09g097960.3 transcript:Solyc09g097960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNVGRIKLGSEGLEVSAQGLGCMGMSAVYGPPKPESDMIQLIHHAVNSGVTLLDTSDVYGPHTNEILLCKGKNVRRIKLGSEGLEVSVQGLGCMGMSAYYGSPKPEPDMIQLIHHAVNSGVTFLDTSNSYGPHTNEILLGKGLEVSVQGLGCLGMSDFYGPPKPEPDMIELIHHAINSGITFFDTSNSYGPYTNEILLGKALKGGMRERVELATKFGIHFADGKIEVRGEPEYVRAACEASLKRLDVDCIDLYYQHRIDTRVPIEVTVGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIIPTCRELGIGIVAYSPLGRGFLSSGPKLVEDLTNEDFRKHLPRFQGENLEHNKKLYERICELATKKGCTPSQLALAWVHHQGNDVCPIPGTTKIENFNQNIGALSIKLTSEDMAELESIASANAVQGDRYGSAAATYKDSETPPISAWKAT >Solyc08g082510.1.1.1 pep chromosome:SL3.0:8:65398835:65399953:1 gene:Solyc08g082510.1 transcript:Solyc08g082510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDWADLPIDLLHLIANRIEDLKDFIVFTCVCTSWKNASPKDKFDVFTPQVPLLMLADKDSDYRQFYSLSKKKVSSIFLPEARGRPCFPSEGWLCTMENNTGEMNLLHPLSRTQIHLPSRENLMASNGLGDEQIWTCIEKVVLSASPCLTSDYVLVVHYHANINHLAFWRPGDLNWTHIKCRRFGAITGINYYKGHFYFVTCMGQFWVFEVAGPTTSEPIVEPRLLFWSEDKTIFRRPSVQFYLVELSDALLFVIRFAHYSNGGHKTFKFKVVELDLIEGELKEKEIKTLGDSAFFLGFNTGACCIDSSKFSGIKPNHIYFTDDWNDILEGGGGKDTGVYNYEDGQIESIYPGLSLSLICPPTWIMPYPIM >Solyc03g114565.1.1 pep chromosome:SL3.0:3:65999915:66000408:1 gene:Solyc03g114565.1 transcript:Solyc03g114565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSDSEVEKTAQKDTERKKLLAVAPIAKPLAGKKKLSKRTFKLDRRVTEHKCLKRGVKEVVKSIRRGQSTFSLIEFIVKIKLSQN >Solyc12g013475.1.1 pep chromosome:SL3.0:12:4336569:4337303:-1 gene:Solyc12g013475.1 transcript:Solyc12g013475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRGWWAAHEEGRRVVKESFRGLQGRLMKDGRMDGYGAFIGAGGDMYRGWWAADRKHGFGDKLVLGSEICNKGKGAMFGEMGMSILENGEKGYEGDWETCYTSRSSKQEFSTGGGNGGQVLNRSSVRKRNVNLPRTCIWESDGEVGDIICDIVDNREASSMFSPCHSEYMLAICGSDALRKLPSPGKSGSVTDLSSKL >Solyc11g040130.2.1 pep chromosome:SL3.0:11:40036503:40043547:-1 gene:Solyc11g040130.2 transcript:Solyc11g040130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKRWVTIGVVVAIMASMKGLSSQLGFEKEIAIQWLKLMSDRLGNWAIPAYVALHTITLALCLPYAVFFEAGASLLFGFLPAVLCVFSAKILGASLSFSIGRFVFHSSSSAVEWVQNNKYFHVLSKGVERDGWKFVLLARFSPIPSYVINYALAATNVRFFQDFLLPTAIGCLPMILQNTSIGSLAGAAVSSGSGSNTSNLWSYIFPLLGILASILISLRVKKYSKDISVAETVPTDDNNNNRLTGRVKGKDSKKQ >Solyc11g007600.2.1 pep chromosome:SL3.0:11:1854198:1858018:-1 gene:Solyc11g007600.2 transcript:Solyc11g007600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIFSSSQALLNAHSGITNHVGFIWQQTRTPLVVQFLRLILYVCLALSFMLFVERLYMGIVIAFVKLFRRKPEKKYKWEPLKGDLELGNYSYPMVLVQIPMCNEKEVYQLSIGAACNLSWPANRIFIQVLDDSTDPTIKALVEQECRRWASKGVNIKYEIRDNRKGYKAGALREGMKHSYVKLCSHVAIFDADFQPEPDFLERSIPFLVHNPEIGLVQARWEFVNAEECLMTKMQEMSFGYHFTVEQEVGSTAHAFFGFNGTAGVWRMSALIEAGGWKDRTTVEDMDLAVRAGLNGWKFVYVDDLKVKSELPSTFRAYRNQQHRWSCGPANLFRKMAMEIATNKKVSVWKKFYLIYSFFFVRKIIAHVVTFILYCVVIPATIFIPEVQVPRWGTIYIPTAITVLNILGSARSMQLVVYWILFENVMSLHRTKAMLIGLFESERVNEWIVTEKLGDALKTKKGSKALKRLQFRVGERVHVLELFVGLYLLFCGWYDYSFGRNKFYVYLLLQAMAFFVVGFGYVGVFVHE >Solyc05g014070.1.1 pep chromosome:SL3.0:5:7759324:7759835:1 gene:Solyc05g014070.1 transcript:Solyc05g014070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRILKKPKNAKRKNKEVEGLSDSVASKVWEAGIDKLEEGEELQCDPSAYNALHAFHIGWPCMSFDVLRDSLGMVRTEFSHTAYCVAGTQVSFLHSMLLPFIHCSPFLSLSFFSFFSF >Solyc12g019430.2.1 pep chromosome:SL3.0:12:10262402:10266093:-1 gene:Solyc12g019430.2 transcript:Solyc12g019430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKASPLSDQFRVFEKLEGSTGFGFLPRIIEVRKGEPAIILMTQNTLSRCGIKSTVIYSELSSGLCVSFAKSNGWVFGGSAMGVLTAASPIQIIVGELVANGQVPTTTNQQQIPGSIGLGVTQHIITVKTGEDVSSKILSFIHSSPRAVCIVSATGELSNVTVLQADASGGMATYEGLFKILTLSGSFLPLENGDRQSRMGELSVIVRSFAAPAALMDPKFVGPSRGMHSGLPTVLTGHPKGKRSGNRKR >Solyc04g049310.2.1 pep chromosome:SL3.0:4:41419902:41424255:1 gene:Solyc04g049310.2 transcript:Solyc04g049310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAEEVQGGSVLSLRDNVIGIVQDTMIGEVDDINFNDPDYNLEEDDEDYHDVVVERRGMKAKGRPKENTNGRSDGVGPSTTGILIPQVHQNAESDYEDSDELLEGGTDSKDEVHT >Solyc03g120470.3.1 pep chromosome:SL3.0:3:70335154:70341453:-1 gene:Solyc03g120470.3 transcript:Solyc03g120470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAKSFRHGRGFMFVNLKPCVCTNKMPQISSLRRLFSSANHHALLTSPLTPKPPLSLSHLFKIKQPPFLHTHTHKPCRLLFAAYSRKTKNIERPGSLSGSRRRSSSSSSNKENKGKSVMEESSSSSAIAESVGFNKRRAEGKDKNDGPRKNLQLKVRKLNPVNTISYVQILGTGMDTQDTTPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGLPGLLLTLAGMGEEGMSVNVWGPSDLKYLVNAMKSFIPNAAMVHARSFGPPIDSSGATDELFVPINDEVVKISAVLLRPRYSKVSKTTKAGSSELDDSLVGENLSAERMQSTAEFALKPGDLAVVYICELPEIKGKFDPKKAAALGLRLGPKCRELQLGNSVQSDHQDIMVHPSDVLGPSVPGPIVLVVDCPTPSHLQELSSIHSLTPYYSDPSKQSKEMCKEVDCVIHLSPASVTCTTEYQQWMSRFGEVQHVMAGHQLKNVEIPILKSSARIATRLNYLCPQFFPSPGFWSLQQLKSLPSVSKGPSEFSLPASCQVITAENLLKFHLRPYAQLGLDRSGIPEITSRPKIIEDLITEIPEIVDASEHITQLLHHGNNIANGGSTTLQANNVVIEEPWLHETALPSCLEGITREDMEIVLLGTGSSQPSKYRNVTSIFINLFSKGSILLDCGEGTLGQLKRRFGIEGADEAVKGLRCIWISHIHADHHTGIARILALRRDLLYETPHEPLVVVGPRQLKIFLDAYQKLEDLDMQFLDCRQTTEASLRTSDSGENKDANGSVGVQNDQKNGSNLFAKGSRMESYWKRPGSPADASAAFPVLAMLKRILREAGLEALISFPVIHCPQAYGVVLKAADRTNSTGKKIPGWKIVYSGDTRPCPELVAASHGATATFEDGMVEEAIARNHSTTQEAIEVGDAAGAYRIILTHFSQRYPKIPVFDETHMHKTSIAFDMMSVNLADLPMLPRVLPYLKLLFRDEMIVDESDNVNVATAAAI >Solyc08g082530.3.1 pep chromosome:SL3.0:8:65406114:65413822:1 gene:Solyc08g082530.3 transcript:Solyc08g082530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSVNMSFSTGNNFISARSILHPKPNIQLQSSFVIKSPFQKSYTNPIFHRNFRKRSHFYHSPYAILGKWRSNSKSSEEGASNNEDFVTRVLKENPSQVEPKYLIGNKLYTLKEKEDLGKKGLLNGGVLEILKRLNIKGMVKNGSDEGSLMKSGDVFLKDILREYKGKLYVPEQIFGANLSEEEEFEKNVEDLPKMSLKDFQKYMKFDKIKLLTFKEDTGASLGLGSRDFIVELKEMPGEKSLQRTKWAMKLDQNQAQALLEEYTGPRYEVEKQMMEIGAFSSYAIGKIVELKFGGIRMWFNGWKLFAFQSWVGKLPEYPNPAASKISSRVMVELGMLTAAMTAAAVIVGAFLASAVFAVTSFVFVVTVYVMWPVAKPFLKLFFGLIFGILERVWDKVGDAFTDGGIFSKLYELYTFGGVSASIEMLKPIMLVFVTMVLLVRFTLSRRPKNFRKWDIWQGIEFSQSKPQARVDGSTGVTFNDVAGIEEAVEELQENPELFDKLGIKPPHGVLLEGPPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFSESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLGATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLEILKVHARKVKLSDTVDLSSYAQNLPGWSGAKLAQLLQEAALVAVRRGHNSILHSDMDDAVDRLTVGPRRVGIELGHQGQCRRAITEVGTALTSHLLRQYENAEVERCDRISINPRGQTLSQVVFHRLDDESYMFERLPRLLHRLQVFLGGRAAEEVIYGRDTSRASVNYLADASWLARKIITIWNMKNPMAIHGEPPPWVKRVKFVGPRLDFGGSLYDDYDLIEPPINFNLDDDVAKKTEELICDMYGKTVTLLRQHDTALLKTVKVLLNRTEISGDEIDLILSHYPPNTPTSLLLEERDPASLPFVDEKQEQHNNIEYSLSS >Solyc10g052715.1.1 pep chromosome:SL3.0:10:53236974:53237405:-1 gene:Solyc10g052715.1 transcript:Solyc10g052715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQYNTIKTQKRDFEPFESGIVLQNCTIKATSDLEKLDNVTTYLGRLWGIFSRTVIIESYIDKLNSVISRWSYYLEYKNRGLGDVRKGRVTWASITTDLNIASNLTVRHFIKGIEWMPTNILRYLDFD >Solyc04g012140.1.1.1 pep chromosome:SL3.0:4:4438761:4439009:-1 gene:Solyc04g012140.1 transcript:Solyc04g012140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLLNLLSAGHLSSMCPSHSEYSESCNILGFAPSLGIFAPTTAVALTSLLIVHAFEASAAAPPLPPFFDLLPAANVLQPPI >Solyc05g014310.3.1 pep chromosome:SL3.0:5:8116163:8118712:-1 gene:Solyc05g014310.3 transcript:Solyc05g014310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVAMSLNPLVRLPLSNSRTHEEFSVLKHSVVSTTNRRIQKRKLLVVEAKGRKGGMAARQYQRMAPPMPKIEDDGNPKFVIFIRMANVYLWYPLNIITGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYNDEKEIKKLAMKQHRVLKSATEFRYGYKLVENNNLRAALSTSDVIELPTPDKLKTVVDKVKDFFGDAKESFGKLTALPESSEEDSPKDNTPAKK >Solyc01g014147.1.1 pep chromosome:SL3.0:1:11679274:11681501:1 gene:Solyc01g014147.1 transcript:Solyc01g014147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTISHYAYCFDITGTLIHSYPETLSSKKSADCFSWDGVYCDYTTGQEIEINLNCNRLQGKNELDGKVLQSLINCTYLEVVDLGNNELSDTFPKWLGDLHDLKKIVINLSRNRFEVHIPSIIGDLIGIRTLNLSHNRLEGHILASLRYLTVLESLDLSSSKISGEIPQQLASLKSLEVLNLSHNHLVGCIPKGKQFDTIENSSYQGNYGLRGSPLSKDCGGDDG >Solyc03g097090.3.1 pep chromosome:SL3.0:3:60872584:60876572:-1 gene:Solyc03g097090.3 transcript:Solyc03g097090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLSLQAEAGDRLSCEKRVPEKFKRKKDVLNVVEKVTKKGSARRLKKPYLHGPSHGKVSQKTKAAAQISMDEFDWTDTIKECPVYRPSKEEFEDPLVYVQKIAPEASKYGICKIVSPLGSSAPAGVVLMKEQKRFKFTTKLQPLRLAEWNNNDMITFFMRGRNYTIRDFEIMANKATARRYCISGCLPPAYVEKEFWKEMTVAKRGTVEYGINIDGSAFSSTFSDPLGSSKWNFKILPRLQRSTLRLLVNEIPGVTDPMLYIGMLFSMFAWHVEDHYLYRQFLYIFLTPFPLSINYHHCGAAKTWYGVPGHEALQFENFIRHRVYNEEILSENGVNGAFNILQERTTMVSPKILLQYGVPVYKAVQMPGEFVITFPRAYHSGFSHGFNCGEAVNFAIGEWFPFGAAASERYALLGKVPIIPYEELLCAEAMLLSKSLASRPYCTSDLIDVRCVMTSFSCLLRSYHRARWCLEKLRTSLKMCSKPRGSFTCILCKRICYVAYLECKCFAGPICLFHDFETFNCLCGSSCSLFVTEDISTMEAVAQMFEAEEGMRYEVEQKMKSLPYLWIQTLFPRIQGKYRPYCEIMSSSIQNVDTGIKMSMIRRSTAQGKQMRNMKKKRINGA >Solyc01g009280.3.1 pep chromosome:SL3.0:1:3236292:3253968:-1 gene:Solyc01g009280.3 transcript:Solyc01g009280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:G8Z292] MDLDSSMASEADQDPVQNNGPTLVNKSSNAGESAPVETPNATPVAGPRCAPTYTVVQSVIEKKEDGPGPRCGHTLTAVPAVGEEGSPNYIGPRLILFGGATALEGNSAAAAAPSSPGGAGIRLAGATADVHSYDVLTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQHRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSIAVLDTAAGVWCDIKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLVYMYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAASNVQDGRITGRYGFGDERTRQTIPEEVNDGSVILGSPVAPPVNGDMFTDISTENAMLQGCRKLGKGVEYLVEASAAEAEAITAALAAAKARQQGNGEVELPDRDRGAEATPSGEEEPALIKPDSNNSTPTGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENATAARKLLDRQMSVNSVPKKVIAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEHPHNVHLIRGNHEAADINALFGFRIECIERMGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENIQRPITMEAGSIILMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPALSSPENSPERMEDTWMQELNANRPPTPTRGRPQTANDRGSLAWI >Solyc04g081140.1.1.1 pep chromosome:SL3.0:4:65256919:65258580:1 gene:Solyc04g081140.1 transcript:Solyc04g081140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIKVFPFLILIGQLLLLLPSHQNSAYAAAGGKWDLLMSNIGISSMHMQLLNNDRVIMYDRTDFGASNISLPNGKCRNNTNDLALKIDCTAHSVEYDVSTNSIRPLMVQTDVWCSSGSATSDGTLVQTGGFNDGEKVVRVLKPCNGNKSRCDWREIESALIQSRWYATNHVLPDGRQIIIGGREAFNYEFYPKTLSTNNIFNLTFLQQTNDPREENNLYPFVFLNVDGNLFIFANNRAILFDYTSDTIVKTYPQIPGGDPRNYPSTGSAVLLPLKNLEAQIIQAEVLVCGGTKNGSFLRAQRGKFLGALNTCGRIRITDPNPQWVMETMPLARIMSDMVILPNGNVLIINGAAAGTAGWELGRSPVLSPVIYRPDNPVNSRFEVQNPSTIPRMYHSTTILLRDGRVLVGGSNPHGLYNFTGVLYPTELSLEAFSPSYLDSDSANLRPQIISPASRRKVKYGGQVNIRFIVPGPINRNLVKVTMVAPGFNTHSFTMNQRILVLSSGNVTQVGTSTYKINSIFPNSSKLAPPGYYMLFVVHQDIPSEGIWIRI >Solyc01g087380.3.1 pep chromosome:SL3.0:1:82189150:82190435:-1 gene:Solyc01g087380.3 transcript:Solyc01g087380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECHEKYLQFQVDDLPYSCPTCRGDNYKSINLNNAVPELWKRRDVADGDLIATLRAGAGLPVDDEIFSISPFSEDENSAPLVDYQHKLSLKFSPTCLVDKYPQKSKECGKDSGEEKGLAGQNGHPDALSGGYIAGDVKNDELQAYGELNNFSSPVGSLTEGICSFNEAGIKYHKFIDEVTENMDKRTVQRNDSKPQHLDGDDVGIQKSMPKISKGPNDKKNSMSFLGSSFFPFSNLYESFFKTMVSP >Solyc06g051830.2.1 pep chromosome:SL3.0:6:35598641:35603295:-1 gene:Solyc06g051830.2 transcript:Solyc06g051830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVISTLAAVVASQSMISATFSIVKQSLALGCFPRVNIIHTSKHEGQIYSPEVNCILMILCVTLVLGFKGGVELANAYGVVVIWVMIITTFLTALVMLIIWKTNIILILAFFLPYIIIEGCFMTSLLNKIPQGGWVPFAISAFFLTIMLSWTYGRSKKKEYEADRKMSLPDLDQMLSRYSTYRAPGICFFFTDLGNGIPPIIHHYIQHTNSVREILVIVTVRTLPTKTVLVEERYNVGKMGVEGVYRCLIQFGYKDSQSMEGDDFVTLIITKLKDQAEFTNEIRKIDAAAEREPVFVLGRTILKANKGWFARFTIEYLYRFLQKNSRAAISALQIPPDRTLQVGMLYEI >Solyc03g058230.1.1.1 pep chromosome:SL3.0:3:25747218:25747373:1 gene:Solyc03g058230.1 transcript:Solyc03g058230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRHREKSIGIKQISKKVALWSHKLINELDKQMGEFQDRSPMDHQFRSIKA >Solyc08g076650.3.1 pep chromosome:SL3.0:8:60706226:60711314:1 gene:Solyc08g076650.3 transcript:Solyc08g076650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRSYSNLLDLISDDSPTFGRGGRKLSRVATVAGVLSELDDESRSNASDAPSSVTQERMIIVGNQLPLRAHRRQDGEEGWNFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIDPSQQDDVAQTLLETFKCVPAFIPPELFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVINPDDDFVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDEILRALLNSDLIGFHTFDYARHFLSCCSRMLGISYQSKRGYIGLEYYGRTVSIKILPVGIHMVQLQSVLDLPETETRVAELRDKFRGQTVLLGVDDMDIFKGISLKLLAFELLLSQHPDQRGKLVLVQIANPARGRGKDVQEVQAETYATVKRINQKFGRTGYEPVILVDKPLQFYERIAYYAIAECCLVTAVRDGMNLIPYEYVICRQGTEKLDATLGLNPTAPKESMLVVSEFIGCSPSLSGAIRVNPWNIDAVSEAMDSALIVSEAEKQMRHEKHYRYVCTHDVAYWAQSFLQDLERACRDHVRRRCWGIGFGLGFRVVALDPSFRKLSVEHIVSAYKRTKHRAILLDYDGTMTVRNSISKGPNAEVISILNSLCRDPKNIVFIVSGKDTKTLTQWFSSCETLGLAAEHGYFVRPNHDAKWETCVAVVDFYWKQIAEPVMSLYTETTDGSFIDSKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAARLLETMQKKGTVPDFVLCIGDDRSDEDMFEVIMGAVASASLSPVAEVFACTVGQKPSKARYYLEDTTEILRMLQGLASASENSAKHVSISPQRVIIDRE >Solyc03g078030.1.1.1 pep chromosome:SL3.0:3:50403896:50404057:-1 gene:Solyc03g078030.1 transcript:Solyc03g078030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWGSNLGPQIPTHVRLPPDHRPMLCISVFNLLNIHRLDSILLSYKGVHLTNIN >Solyc03g095960.1.1.1 pep chromosome:SL3.0:3:59095252:59096241:-1 gene:Solyc03g095960.1 transcript:Solyc03g095960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALRKLSTLKSSRFLSSLAIQNPICSSLTILDVPSCTNYDERINKAGREGDFTTVHQLLNKRARDGFFNTNNTFKFIYTTNVSILDDLLEIIARLDNGFPRKSSYDCLIARLSKMHCISEAMRVAKAMVSKGHEVNNVTFHPIVNALTKKEEFEEAWRVVAVMKSCGISPDLTTYNFLLTGYCFAGNVASAAGVLAKIEEEELGADTRTYDALVLGACRAGKLDAALAVVRRMLDDGVPPLYSTHAHIIRALLKYNYYEQAVEFVRSYAGRDAKLDAENFGILATRLITRSKLEEAKKLVKEMSERRLVMGPRLKDFYELYVRSDGLR >Solyc03g044280.2.1 pep chromosome:SL3.0:3:8712348:8713477:1 gene:Solyc03g044280.2 transcript:Solyc03g044280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYGWWSTEKYIMVFEKMGKDSRFLKNITTRNQMYVLGLNRLADLTNEKYKTMSCWAFSIVAAVERINQIAIGDVITLSKQELVDCGTTYDDDCNGGLMDYVFQFIISNGGINTESHYPYKGIDHVCDLI >Solyc01g058030.2.1 pep chromosome:SL3.0:1:64958912:64965311:-1 gene:Solyc01g058030.2 transcript:Solyc01g058030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAHQPSNRQEKELPIIDLMGERSQVSKLIVKASENLGFFKVINHGVPQHVIKAMEDESYDFFSKPTSQKQRAGPANPYGYGCKNIGFNGDHGEVEYLLLHTNPLSNNTISNDPTLFRCAVNGYVEGVRELACEILELMAEGLGVPRTSVLSNFLRDSHSDSLLRLNHYPPLVQLVNNTNSIGFGEHTDPQILTILRSNDVPGLQISPRDGFWVPVSPHPSTAFCVNVGDALQALTNGRFLSVRHRAMVNSCRRRMSMAYFGAPALNARIVCPPELLSPHEPNLYRPFTWDEYKKATYSRKLGDTRLHFFRAQSDHDDLTE >Solyc06g008790.3.1 pep chromosome:SL3.0:6:2717660:2724883:1 gene:Solyc06g008790.3 transcript:Solyc06g008790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR resistance protein-like protein [Source:UniProtKB/TrEMBL;Acc:A1Y9R1] MEKRKDNEETNNSLVLFSALSKDIADVLVYLENEENQKALDKDQVEKLKLKMAFICTYVQLSYSDFEQFEDIMTRKRQEVEKLLQPLLDDDVFTSLTSNMDDCISLYKSDAIMMDEQLDFLLLNLYHLSKHHAEKIFPGVTQYEVLQNVCGNLRDFHGLIVNGCIKHETVENVLPLFQLMAERVGHFLWEDQTDEDSRLSELDADEPNDRVSRLFKLAHLLLKIVPVELEVMHICYTNLKASTSAEVGLFIKQLLETSPDILREYLIHLQEHMVSVITPSTSGARNIHVMLEFLLIILSDMPKGFIHHDKLFDLLDRVGTLTRDVSTLVHDLEEKLRNKQGNDQTNRATLDLLKDIELLKEDLKHVYLKSPDSYQCFFPMSDGPLFMHMLHLHVNDLLDSNAYSVSLIKEEIELVKQDLEFIRSFFVDAEQGLYKDIWARILDVAYEAKDVIDSIIVRDNGLLHLLFSLPITIKKMKLIKEEVSDLHEKILKNRGLIVVNSPKKPVESKSLTTDKIVVGFEEETKWILRKLTSGPTDLDVISITGMPGSGKTTLAYKVYNDKSVSSHFDLHAWCTVDQGCDEKKLLNKIFKQVSDSDSKLSEDIDVADKLRKQLYGKRYLIVLDDVWDTTTWDELTRPFPESKKGSRIILTTREKEVALHGKLNTDPLDLRLLRSEESWELLQKRAFGNESCPNELLDVGKEIAENCKGLPLVADLIAGVIAGREKKRSVWLEVLNNSHSFILKNEVEVMKVIEISYDHLSDHLKPCLLYFASWPKDTIMTIYELNGFLGGEGFVGKTEMKSMEEVVKIYMDDLISSSLVICFNEIGEYPTYQLHDLVHDYCLIKARKENLFDRIRSSAPSDLLPRQITIDDKEHFGLNFVMFDSNKKRHSGKHLYSLGINGDQLDDSVSDAFHLRHLRLLRVLDLDNSFIMVNDSLLNEICMLNHLRYLRIGTEVKYLPLSFSNLWNLEILSVNNKESTLILLPRIWDLVKLRALFVSACSFFDMDADESILIAEDTKLENLRILGELVISYLKDTKNIFKRFPNLQVLQFELKESWDYSTEQYWFPKLDCLTELEQLSVSFESSNTNHIGSSVATNRPWDFHFPSNLKQLLLSDFPLTSDSLSTIARLHNLEELSLYDAIIQGEEWNMGEEDTFMNLKFLNLCLPTLSKWEVGEESFPNLEKLKLQGCGKLEEIPPSFGDIYSLKFIKIVKSPQLEYSALKIKEYAEEMRGGSELQILGQKNIPLFK >Solyc04g007550.3.1 pep chromosome:SL3.0:4:1237663:1241312:1 gene:Solyc04g007550.3 transcript:Solyc04g007550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRLIASLLRSSAQRGAGSGGGPISRSSVANSIARPASRASPKGFLLNRAVQYATSAAAPASKPSTPPKSSGSEVSGKITDEFTGAGAIGKVCQVIGAVVDVRFDDGLPPILTALEVLDNQIRLVLEVAQHLGENMVRTIAMDGTEGLVRGQRVLNTGSPITVSDQNSLSICGAVPVGRSTLGRIMNVIGEAIDERGPITTDHFLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGEKQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKMTVARARKIQRFLSQPFHVAEVFTGAPGKYVDLKESINSFQGVLDGKYDDLSEQSFYMVGGIDEVIAKAEKIAKESAA >Solyc05g014460.2.1 pep chromosome:SL3.0:5:8307239:8308548:1 gene:Solyc05g014460.2 transcript:Solyc05g014460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDFKPLEPMDLITKSVDELRKIIKDKNEKAQKRNLGGKVRRMLTTNENPQEEQRWTNSTRKKSVNMEGHTSEVFACAWSPERSLLASGSGYATARIWYIGDGPFNSTIPNVLVLNHLDSQATEENKDVTSLDWNREGTLLAIGFYDGQARIWKRSGELVSTLNKHKGTIMSLKWNEKGNYLLSGSIDTTAVIWNVKSGESKQQFGFHSGQLLDVAWRNNDSFATSCADSVIYVCKVGDNKPVKKFSGHQVTTES >Solyc02g090820.3.1 pep chromosome:SL3.0:2:52939910:52942863:-1 gene:Solyc02g090820.3 transcript:Solyc02g090820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQRTAPAPFLLKTYQLVDDATTDDVISWNEIGTTFVVWKTAEFAKDLLPKYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFKRGQKELLTAIRRRKTVTSIPAGGKSVAAGTSASPDNSGDDIGSSSTSSPDSKNPGSVDTPGKLSQFTDLSDENEKLKKDNQMLSSELVQAKKQCNELVAFLSQYVKVAPDMINRIMSQGTPSGSSLEELVKEVGGVKDLEEQGSYNDNDDKEDDDEKGDTLKLFGVLLKEKKKKRGPDDNIETCGGRGKMMKTVDYNGPWMKMSSTAGESSKVCN >Solyc08g067185.1.1 pep chromosome:SL3.0:8:56233824:56234716:-1 gene:Solyc08g067185.1 transcript:Solyc08g067185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCPTRKLSDNRNWKLDVTLALLFSHCTRKTILLFSIAADVFYYKLVVHAALEALGSEDATDVAFRLHEILETPLISWQFISKFGEEAPYNLLSLYRLQIVL >Solyc02g082650.3.1.1 pep chromosome:SL3.0:2:46852760:46856467:1 gene:Solyc02g082650.3 transcript:Solyc02g082650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRKEKKNIFPCLVLFHISNIQVIYLYYFRLVIRVINFLINLRE >Solyc03g051697.1.1.1 pep chromosome:SL3.0:3:22370473:22370484:-1 gene:Solyc03g051697.1 transcript:Solyc03g051697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NTG >Solyc01g107740.3.1 pep chromosome:SL3.0:1:95077190:95082372:-1 gene:Solyc01g107740.3 transcript:Solyc01g107740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMISGIQGQLLEITVVSCNKLKDTEWISRQDPYVCLEYGSTKFRTRTCTDGGKNPTFQEKFVFTLIEGLQEINVVVWNSNTLTYDDFIGSGKIQLQKVLSMGYDDTAWPIQTKTGRHAGEVRLIMFYANANKPATSYAPSYTAPQPHTPMYSAPSYAAPAAAYQTLSPYPAYPPHSAAYPPTPYPPPQTTAYTPVYPPPSAYPPISYPPHSAYPPASYPPPTQDYSYSPGPYPPRPY >Solyc03g118860.3.1 pep chromosome:SL3.0:3:69133570:69136893:-1 gene:Solyc03g118860.3 transcript:Solyc03g118860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTAIYLPSSPSCRYFNTQFSVPKSLTLALSIQLQSRNLKISNCLSLNKPNNQSTNASGETAAGSDTLRIILAAGGTGGHIYPAIAIADDLNVLDPNAQILFVGLQTGMESTAVPTAGYSFEPIPAAPLGRPFFSLYNLFVLPFVLVKSLIKSSQILKEFKPHIVIGTGGFVSFPICLAASLKGIKLAIQEQNSVPGIANRVLSLFAYNVFVAFNSSVDWFWQKNKCVVCGNPVRLSLRQYASKAVGRRHFFSNAVVGKGDGKVVLILGGSLGANALNVAILHLYSEMLNERKDLFLIWQTGVLAYDEMESLVKFHPRLYITPFLHSMDLAYAAADLVVSRAGAMICTEILTAGKPSVLIPSPNVAEGHQFHNACLMADLVGSRVITEDELDSLTLKSSIEEILDDERLMTEMSERALKTAKPNASVEIAKHLLTLVNSSIKF >Solyc03g111593.1.1 pep chromosome:SL3.0:3:63720236:63721144:-1 gene:Solyc03g111593.1 transcript:Solyc03g111593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFKFLFLRGKLVDAYRFLHKDTDMECGFSWSGNPVGKYRGKRMRIDYFVVSDKMKDRIVSCEMHGRGIELDGFYGSDHCPVSLELSVKDDADTKQG >Solyc08g006160.3.1 pep chromosome:SL3.0:8:880426:883682:-1 gene:Solyc08g006160.3 transcript:Solyc08g006160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHVVAGTGTTAAALIGNPRRRSSPAAQSSLHLPLNSHQNRSNRRRMFSPIYASVSASPAPVTKPDDLVDTILSKVTQTDRGVLLTRDEHKSVAKVVQELQRFCVDEPVKCPLIFGEWDVVYCSNPTSPGGGYRSAFGRLFFKTNEMIQVVEAPDIVRNRVSFSLFGFLDGEVSLKGKLNVLDEKWIQVVFEPPELKVGGLDFQYGGESEVKLEITYIDEKIRLGKGSRGSLFVFQRRKP >Solyc08g007010.3.1 pep chromosome:SL3.0:8:1582990:1588437:-1 gene:Solyc08g007010.3 transcript:Solyc08g007010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSALSPTFSTNPSASSTSLSKTRRITQKNPKFSVFTTPKFTPISALSDPYVLQIAETLEDSLPSTSSTPLQKLRISSSDTLLSTPWPSRKDEPFRFTDTSFIKNSRIEPIQPPSLSSLDVSVDTLLPTLSIVDGYIMDSLSQLNEFPSGVYVGSLLRVDSEAILKRVSEYEFSSKGDLFWLLNGVGTPDVVLVYVPEGCKVETPLLLRYISVEGSDKESKALPFSNPRVLVLVEKGGEISIVEEYVGGDTDKCYWTNSVMEVVVGEGAKVSHSYIQNQSFNAAHIKWTWVQQESTSKYEHIEVSTGGKLSRHNIHIQQVGPDTVTELSTFHMCISDQTQDLHSKLVLDHPRGVSQQIHKCIVAHSSGQAVFDGNVQVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEEDQLFYFRARGVDAETARKALIFSFAAEVVDRFPNASIRKKVETHIRELLDPSRPSR >Solyc12g044545.1.1 pep chromosome:SL3.0:12:60509107:60509604:-1 gene:Solyc12g044545.1 transcript:Solyc12g044545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSRICLTSDMWTSAVSNGYMCLTTHYRVLIFRHVPPPHSGAVLGPLLIEFIKEWGIEKKIFTLTLDNASCNKGPFGCRQSFRRKLE >Solyc02g071285.1.1 pep chromosome:SL3.0:2:41349200:41352965:1 gene:Solyc02g071285.1 transcript:Solyc02g071285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPLLKKKVIKKRVKQFKRHQSDRRITVKVLGSPLFCIVIWFKSVESMMIFSQITDLTVINIKDHHLSAEVASRTNLLIILNYSPLFTFTLFFAYDYFVAGCFVLWAEIKQKNRSCDTVGFSINKLSVTVNPAYIPPIFQPFLCIFSSVQHFTRLYRHFCLMFLSTLVKNVICFTCLGPSHVCEVYLVEIIFIYRTTDKLAQTKGY >Solyc11g005260.2.1 pep chromosome:SL3.0:11:218982:221530:1 gene:Solyc11g005260.2 transcript:Solyc11g005260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLTGDIPPNQTIYIKNLNEKVKKEVSFSSSYRGMASSAKTPGLDKPNPAEDWTVVLPRRGKQKRIFHKVIIHERQKQEQVWTPADIETNPERESKLMQKIQTCMRKLESSSFWLTFLDQLETPEIFDRFLKAVGSEGKMQMVIYGIGSIESYEPPRLQLSLAILMKRMFSWIGEVEVFDPVISLAESRVLTALGCSVLTVNEQGRRQALRPTMFFMPHCDAELYENLLEANWRHDLLGNMILFGNSFEAYEQHVSECKNLRLADSRKHIIAIRQFVNELPIDSRKQIVAFRQFVKERSIDPFSDDQFRAFHGSSWHFFDIDPHSDLCDAKP >Solyc04g079820.3.1 pep chromosome:SL3.0:4:64215269:64219471:1 gene:Solyc04g079820.3 transcript:Solyc04g079820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLCVKLFEASRKYKMKRHKAEAIGMVVDSFEASHEGIKTIDIQKRCEFSGTSHLCIYFVTWNMNGQVPCEDIAKLVGEDRKYDLLVMGLQEAPRNNICKLLKNTLADTHMLLGKSVMQSVQLYVFGPKNSEQFTREVKVDKHEVGGLGWLIRRKKGAVAIKISYKGIQMVFISCHLSAHARNVEERNLQFKHISNSLFSKNKNPYAKSAQLTVWLGDLNYRLQGINSYPARDLIHENLHQMLTSKDQLLQEAERGEIFNGYCEGALDFKPTYKYDIGSSSYDTSHKVRVPSWTDRILFKIDSDNVNATLHSYEAIESIQSSDHKPVKAHLCLKLKK >Solyc01g017245.1.1 pep chromosome:SL3.0:1:23814585:23814842:-1 gene:Solyc01g017245.1 transcript:Solyc01g017245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGTEKKVSAPLHLALGRPHKITVLAMPYFLFHFFWNNHKHFFDYRSTT >Solyc11g065980.2.1 pep chromosome:SL3.0:11:51881963:51896984:1 gene:Solyc11g065980.2 transcript:Solyc11g065980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRNIRLRREYLYRKSLEGKERLLYEKKRKIREALEEGKPIPTELRNEEAALRKEIDLEDEITAVPRSTIDDEYANASEKDPKILLTTSRNPSAPLTQFVKELKIVFPNAQRMNRGGQVISEIIETCRSHDFTDVILVHENRGVPDGIVISHLPFGPTAYFGLLNVVTRHDIKDKKSMGTMSEAYPHLIFDKFSTKLGERTVNILKHLFPVPKPDTKRILTFANQSDYISFRHHVYEKHGGPKSIELKEVGPRFELRLYQIKLGTMDQDEAQIEWVIKPYMNTSKKRTLLGD >Solyc09g014590.3.1 pep chromosome:SL3.0:9:6230419:6231472:-1 gene:Solyc09g014590.3 transcript:Solyc09g014590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSFVTNPLKKMKLFLVSLFLLLFLSLCLSEKCNQNDKKALLEIKKGLGNPYDLITWDPNTDCCTDWAEVTLSCDEKTNRVTSINLFKIEDAGYLSPALTKLTFLRISQTNISGPVPEFLSKLKKVTYINLSYNNLVGTIPPSLSQLVNLEFLRLDRNKLTGQIPDSLHKLAPKLTYLYLGHNQLTGIVPTSFAGWSFDTIDLSRNMLEGDISFLFGKDKTTFEMLLDHNKFEFDFSKLTFGERLERLDLNHNKIYGSLPTITSKNPWQLLNVSYNRLCGKIPQGENMQRFEIYEYFHFHNKCLCDSPLPPCKLMIPMEEATM >Solyc01g009400.3.1 pep chromosome:SL3.0:1:3540574:3549443:1 gene:Solyc01g009400.3 transcript:Solyc01g009400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKALALVVVLFFVGFFGECYGELRVGFYKGKCGITDVEVVVKKVVETWHFTKREKDIAAALLRMQFHDCFVNGCDASILLDGNNSEKKAGPNSSVRGYKLIDAIKEALEARCKGLVSCADIISLATRDAVVLSGGKWYNVETGRRDGKVSLASNVDLPSPSISVSDSIKVFGKKKISPIDMVYLLGGHTVGNTRCSLIQDRIYNFNNTGVRDPTMSNWLFSELIKKCPRVSSFDNNVPLDMKTPSFVDNSFFGEIQKGNGVLKIDQQLALDGLTKKIVGDIVKDPNFFTNFGEAMVKLGRVEVLIDGQGEVRTSCRVVNKKVGFYEGKCGLTNVEGVVQNVVNTWHIEKKEKDIPAALLRMQFHDCFVKGCDASILLDGFISEKTAVPNSSVRGYELIDAIKEALEAKCQGLVSCADIISMATRDAVVLGGGKWYDVETGRRDGNVSLASNVNLPAATISVSDSIKLFASKNLTQYDMVYLLGGHTVGIARCSLFKDRIYNYNNTGGPDPSMSPWLLAELKERCPRISLFFDNTYPLDVKTPSLVDNSYFQEIQRGNGVLQIDQQIALDELTKNIVDDIVSDPDFYTKFGEAMVKLGRVEVLIDGQGEVRKSCRVVNEKPFIFGGFH >Solyc03g025610.2.1 pep chromosome:SL3.0:3:3033926:3041615:1 gene:Solyc03g025610.2 transcript:Solyc03g025610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVREDLQNRVDLLRKQADSYDDKGPVIDAVVWHDGELWRAALDTQSLEDESGCGKLADFVPLTNYRLEQKHGVFSKLDACTCVLNVYNEGNILSIVTDSSPHATHVAGIAAAFHPEEPLLNGVAPGAQIVSCKIGDSRLGSMETGTGLTRALIAAVEEQFWHQLPQSRGYFTIGLEIGTAVQVSKLVFSWNNFWLGIRILSNQRTTQILATVHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNNGPALTTVGAPGGTSSSIIGVGAYVSPAMAAGAHLLVEPPTEGLEYTWSSRGPTVDGDLGVSISAPGGAVAPVPTWTLQRRMLMNGTSMSSPSACGGVALIVSAMKAEGIPVSPYTVRKALENTSIPIGALPEEKLTAGQGLMQVDKAYDYMQKVQNLPCVWYQLLRLEASTLGSLYIVTNLQRFNNIYLASLESLSTLGPGASSLDKI >Solyc01g098400.3.1 pep chromosome:SL3.0:1:88796542:88799257:-1 gene:Solyc01g098400.3 transcript:Solyc01g098400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQIQRKLAEYTASLYNEKILDEQFIQLQQLQDESNPDFVVEVVSLFFEDSERLLNELAKALNQSNVDFKKLDAHVHQLKGSSSSIGAQRVQRVCISFRNYCDERNVEGCLKCLQQVKIEYTLVKNKLETLFKLEKQFVDAGGSFPVV >Solyc08g060830.3.1 pep chromosome:SL3.0:8:45671456:45673664:-1 gene:Solyc08g060830.3 transcript:Solyc08g060830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLQKFTCTYSAETLQGAGFCAT >Solyc12g056160.2.1 pep chromosome:SL3.0:12:63069971:63074252:1 gene:Solyc12g056160.2 transcript:Solyc12g056160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQHCPSPMKATSNGIFQGDNPLDFALPLAILQICLVLVVTRGLAFLLRPLRQPRVIAEVIGGILLGPSALGRNKGYLNAVFPPKSITVLDTLANVGLLFFLFLAGLELDVKSLRQSGKKVLAIAITGITLPFVLGVGTSFILRGTINQGVNATAFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGDNLSAVVPLWVFLCGCGFVIGASLIVPPIFKWISQRCHEGEPVDEMYICATLAAVLAAGLVTDVIGIHAMFGAFVIGVLLPKEGPFAGVLVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGVQSWGLLVLVIFTACFGKIIGTFIVSLLWKIPKNEALALGFLMNSKGLVELIVLNIGKDRKVLNDQTFAIMVMMALFTTFITTPLVMAVYKPAKMPSKGDYKHRRIERKNPNTELRLLTCFRSSRNIPSAINLLEASRGTERGERLSVYAMHLMEFSERPSAILMVHKARHNGLPFWNKSQRSANHVVVAFEAFQQLSQVSVRPMTSISSLSDMHEDICITAEKKNIAMIILPYHKNLRLDGSFESTRPDFHLVNKRVLEHASCSVGIFVDRGLGGTAQISASNVSFSITVLYFGGHDDREALAYGTRMAEHPGVELTVIRFLVESDSSEEIVTIHTDAATLVSADEEFLAAFRTSISDDSSIKYEEKTIRNVSETITILRDYSRCSLFLVGRRPNGVVPLALSQRTDCPELGPVGSLLTSQEYATTASVLVVQQYYDKLYATNPHQRDVASVPEN >Solyc04g079110.1.1.1 pep chromosome:SL3.0:4:63785121:63786083:-1 gene:Solyc04g079110.1 transcript:Solyc04g079110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNMRVREQELKQSNQENQKTEPPQSGGGAAASPPSASSSPAHEFSFTISLHPTNSTKAPENKTKQNLNPNLNPNTNQNPSAIDLTPADEIFFHGHLLPLHLLSHLPVSPRSSTNSIDSSIPISKSESKIKKSIDDDDDDNSYYVLNHSFHHHPETTNSFHIPKNQKPKSKSFSIFGLPKRKKSEKDEKEKQRKLKFDVSEVLKRYMRMVRPFLSFGSRKNVQFHRQSYSFSGNLSFRGKNTIHSNKGIKRGAYSAPVSMKNSPTNSGLLVATPGGNYGNSSSSSSSDSTMEELQSAIQAAIAHCKKSSSSMENKKINIS >Solyc04g011430.3.1 pep chromosome:SL3.0:4:3885224:3888906:-1 gene:Solyc04g011430.3 transcript:Solyc04g011430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC14 [Source:UniProtKB/TrEMBL;Acc:K4BPJ6] MASQASLLLQKQLKDLCKHPVDGFSAGLVDESNLFEWSVTIIGPQDTLYEGGFFNAIMSFPENYPNSPPTVRFTTEIWHPNVYSDGKVCISILHPPGDDPNGYECANERWSPVHTVESIILSIISMLSSPNDESPANVEAAKEWREKRDEFKKRVSRCVRRSQEM >Solyc02g031780.1.1.1 pep chromosome:SL3.0:2:27408648:27408827:-1 gene:Solyc02g031780.1 transcript:Solyc02g031780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNLVVKLRIKGLALILTLIKMNEKCSLFRHTWILKCLSFFGFMHYFGVIKIVMLLLYD >Solyc06g072030.1.1.1 pep chromosome:SL3.0:6:44535729:44535908:1 gene:Solyc06g072030.1 transcript:Solyc06g072030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSSSFPPPNPFSDFVNFAADVKTSIYFFSIYFLHLGWFSFGCSLIEIYDMLEGVVK >Solyc10g078610.1.1.1 pep chromosome:SL3.0:10:60504139:60505014:-1 gene:Solyc10g078610.1 transcript:Solyc10g078610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINFQTHHHQKTEISMKKVTKSIKGRRRRSNNSNINSNSNKFVGVRQRSSGKWIAEIKDTTHKKIRMWLGTFETAEDAARAYDQAAFLLRGSNTRTNFVTTRVSADSQLAYRIKNLIDSKKIAKQNKQGNSVFGSTCSEKIQESHEKVLNSSDDKTFYNKPTTIANPMTQSQTSCDRLYEPSLTTCHHLNSSEAEDNDFYKPDMMSCSSSCSSQPQVSWGFEFAEELLDFRVMDESISEMGCTEFERMKVERQISASLYAVNGVQEYMENVHDIDDLSLWDLPPLCSLLS >Solyc07g047670.3.1 pep chromosome:SL3.0:7:59020656:59028272:1 gene:Solyc07g047670.3 transcript:Solyc07g047670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pescadillo homolog [Source:UniProtKB/TrEMBL;Acc:K4CEX3] MPKHYRPAGKKKEGNAARYITRSQAVKYLQVSLSLFRKLCILKGIFPREPKKKVKGNHHTYYHTKDILFLKHEPLLEKFREMRAYEKKIKKAVSKKNRDLAERLLTRKPSYTLDMLIRERYPKFIDALRDLDDCLSMVHLFAALPAVEREKIPVNRVHNCRRLGHEWQAYIARTHKLRKTFISVKGIYYQAEIDGQKITWLTPHALQQVLPDDVDYNIMLTFLEFYETLQAFVNFKLYHSINVKYPPILDPRLEALAADLYALSRYFDNRASMAESSTTSFSETDVSKDQVEGPNYDESELRLAQLQQQIPSNEPGALMHLVQNATETDEDDSDTRECKTLFKDVKFFLSREVPRESLLFVIPAFGGSVSWDGEGAPFKETDQNITHQVVDRPTQGHKFLSREYVQPQWIYDCVNARILLPVEDYIVGRIPPPHLSPFVDNEAEGYVPEYAETIKRLQAAARNEVLPMPGVGKEDLDDPQNLLVEGVIDRAEAIEAATKKRKMSVLQKQYHDELKKELRSTQISTASDGNKESVPEHVDAGEDSLPDLSQISKDADSMSKIVMPRKKQKLLKAMEIGKERKQASVDRLKERKRKIEEGKKSGK >Solyc03g043910.3.1 pep chromosome:SL3.0:3:7644307:7650699:-1 gene:Solyc03g043910.3 transcript:Solyc03g043910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLARSIANTLNLDDDTNVVVPPPNPDHSTDNPKPENHQQTEDSSSPTSPSRGVKEDLSELTKTLTSQFWGVASFLAPPPQSDSYKPIDNSKSDLHEEESDPAAGIVGLQTDFAEIGGKFRSGISSLSSNMAVSEITKMASNLLQLGPEEEESYDMEKGVVGVTEEVVAFVRDISMHPETWLDFPLPENEDVEDFYLSDAQQEHALAVEQLAPRLPALRIELCPIYMNEARFWEIYFVLLHPRLDKQDAKLLSTPQVLKARASLAQELHKRTKPIEEDWPVKRTSESNDRNNSRHEEIVSVPSTALSADVVHEMSSVESSTFTAVPVPATEKQPVLSSESQVVDKPVVEGELVTHGNVEKQPVISHEIQIVDKPVVEGGLITHSNVEKQPVISREIQIVDKPVVEGGLITHSNVEKQPVVSSEIQIIDKPVVEGGVATHKNVEKQPAISSEIQIVDKSVVEGGLATHKNVEKPQSVSTIKLQESDGDDGDDWLKEEGTEFVGVSKTTIPIDNEEDVSFSDLEEDDMDVPENV >Solyc02g055393.1.1 pep chromosome:SL3.0:2:3139356:3140348:1 gene:Solyc02g055393.1 transcript:Solyc02g055393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIVKGFKRGEPTFLATLVGGVESCFEAVPLSQCIEQVLSENRDVMPEELSQRLPPQKEVDHQIELPSKASFGGPVFFQKKKERTLRLCIDYRALNKVTVKNKYPIPLIVDLFNRLGQAKGFTKMDLRKGYYQVWIAEGEKPKTTCVTRYGAFEWLVMPFCLTNAPAPFCTLMKKLFHQYLDQFVGIYLDDIVIYSNSMKDHVEHLCKVFKVLRENDLCVKREKCSFTQLTVQFLGHTISHGEIRMDGDMVEAIKNWEAPTKVLELRSFLGLANYCQRFIFSYLAIAAPLTDLP >Solyc12g005470.2.1 pep chromosome:SL3.0:12:276675:286068:1 gene:Solyc12g005470.2 transcript:Solyc12g005470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMAKRLYFLRFKRKKYGVLLRVLFSLWFILEGICPVMGVRPLRERYRSWGDEWLLVRKDENELGPFSAWNITGTYRGSWRFLDSTNSSSRFPDFRKSNGNSVLELISNPTKITGVHYIQGAIIFHDVFDNEHGVGAAQIRVEGVYIWPFRQLRMVAYSGKDGEFGQEDDYLLSNPYHLLGVFSSQIFQESSRDKIWKKKHSPFYEMEKNCNIEIAAQIARVSSSSNDAARDHYQLEGLIESPSVDADGDCFSPMLLNATSVNIEVYYNKAVNYTLMVTFISFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKANRPMNSVEGWEAMRRELSVLYSRFYGILLGGLLFMYEFHKFLRPILLLLHSFWLPQIVTNVIRDSRKPLHPHYILGMTITRLAIPLYVFGCPHNFMRIEPDKNWCICLGVFIVLQASILLLQHYLGSRWFIPRQILPEKYNYYRRFDQGANHATDCVICMTAIDHTQRSNNCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Solyc06g065825.1.1 pep chromosome:SL3.0:6:41374722:41377580:-1 gene:Solyc06g065825.1 transcript:Solyc06g065825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVHSVNHNRLTGTFPYTVSCTLSMQYQERNDGNMSTEKSPLEQTSPAVASSCRKKKSESATFLEDVKDHIDEFIHASMDEHASCFKKTINKMFGMSKIVAERNAEAKEVESSLPLQTVVSE >Solyc01g094830.3.1 pep chromosome:SL3.0:1:86115323:86117901:-1 gene:Solyc01g094830.3 transcript:Solyc01g094830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSALETLCGQAFGAGQIELLGVYLQRSWIILVASCFCIMPLYIFSTPILKLLGQRDDIAELAGKFSIQIIPQMFSLAINFPTQKFLQAQSNVTILAWVGFMALAMHIGVLFLFIKVFRWGVTGAAAAYDVSAWAIALAQVVYIVGWCKDSWKGLSWLALKDLWPFVKLSVASAVMICLEIWYFMTIIVLTGHLEDPVIAVGSLSICMNLNGWEGMLFIGINAAISVRVSNELGSGHPRAAKYSVFVTVAESLMIGIFCMVLIILTKDHFALLFTSSAKMQKAVSKLAYLLAVTMLLNSVQPVISGVAVGGGWQALVAYINLACYYVIGLPLGFLLGYKTSLGVQGIWMGMIFGTFLQTIILCVIVCRTNWNEEVAQASERMKKWSGISEESDIK >Solyc08g044250.1.1 pep chromosome:SL3.0:8:22477709:22477960:-1 gene:Solyc08g044250.1 transcript:Solyc08g044250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRKIGLRMKSFILLGRRGFIDGTDEKMHLHWKYRELVKVIMLPICVKKIRNYWL >Solyc03g033650.3.1 pep chromosome:SL3.0:3:5263899:5271895:1 gene:Solyc03g033650.3 transcript:Solyc03g033650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETENKIAIGKERNTADHCRISNSESVNNQGEAGSEGKKGSSSSSSSLKNPGKSCKGCLYYSSTYKSNSLNPLCLGLSRSIPQVPQYIVEKTEMQASKEGKYLTDFRYSCIGYSVYLDQKARSADGQGVQTELPLCAGLEVLVDRKVSSAEAAPGHARKREDSNGVPQPRSHKPTHSTGNDFLTRFTRNANLVALGVAKNLQKVGNRIKEGVDDIFYRRPK >Solyc06g065660.1.1.1 pep chromosome:SL3.0:6:41184883:41185860:1 gene:Solyc06g065660.1 transcript:Solyc06g065660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDQECEIMWKRGRTLGQGGFGFVSLASTTHSSPLIPPLIAVKSCRLSHSQSLEDETEFLRMCQESPHVIRSFGVKVTQEDGILLYNLLLEYASAGSLADRLLNNDQLGLPLLQVQKHTKNVLLGLRFIHRKGIIHCDIKPHNILLTSTDDDDDDTEEVAKIADFGLSLTLEQSWTQKQGMRGTQRYMAPESLLKQEYGPEADIWALGCTVYELITGTPLWESSNSDPNFDDVWDRIKYEEPNLENDKLSTEAKDFLRYCLIKNPKSRWSAGLLLNHSFLKSADSVLPPKKRKRQHGYRSSLQRPNQKRAFRTQPHIRHLVIEQ >Solyc06g048630.3.1 pep chromosome:SL3.0:6:31494635:31500861:-1 gene:Solyc06g048630.3 transcript:Solyc06g048630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDPSNPNSKQLNQTPPFSATFRPSHHRRAHSEVNFRLPEDLDLVSDPFDASAGSFEEIGSEDDFFSTYMDIEKLGSGSNPTDVPGFNNAGAGSSGLVADCGDGERNFTRPRHRHSNSVDSSSLLLSESIEAKKAMAPDKLAELWTIDPKRAKRILANRQSASRSKERKARYITELERKVQTLQTEATTLSAQLTLFQRDTTQFTNENTELKLRLQAMAQQAQLRDALNEALKQEVERLKMATGEMSSSDAYNLGMQHIPYNQSAFFSHQPQSGASESQNIQMQQFHSLQDSLLTPHRRLLAGHGQGLPDTMQQDPLGRFQGLDIGGRGLHLVKTETPSISASESSSTL >Solyc03g097875.1.1 pep chromosome:SL3.0:3:61629469:61633242:-1 gene:Solyc03g097875.1 transcript:Solyc03g097875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWTSVKTLAMHLVVPHGHSNPFSRSNEPQRDHTPRPLFRRFSCAITNHFLGDPDSIVKKANIFCGNPSRPWICIRLALTDNPTLFQENHFLGDSHSNVKNDKKFCVRPSRHWICSWLALTANPTHFKGQMSPKARFAITANPIHFQGQMSPEERIPPILTIFTWLCIRMALTTSSTHFRGQTSPVARIPLISMIFVIRILMSKMPKILVDFRQDLGYASGWPSRPIHPIFKVKRAANPDWTSCPIRPIFQVKRASKRVNPPFQIFLSAIANHFLGDQDSDIKNAKKICRCPSIPWLCSQLSLTASSTYFQGQTSPKARIPSILMVFVCYSKLFLGQSHPFLRSNEPEAFIPLISMISKCYANHFLGDPYSIVKNAKKFCGRLSRPCLCSQYALKANLTHFQGQTSPEARIPPISISSNHFLGDSYFDVKNAKNFGGLPSRPWLCIRLALKTYPPHFQGQMSRKACIPQFRLFSCAIASHFLGDSDSDIKIPKIFMEVRQNFYYEADRPSRLVQPIFMVKQSPKRAYPHFNDFHMLSKTIFWVIQIPTSKMPKQKNSTSIKTLAMKPVKRVLERAYPPFQRFSCAIANHFLGDSNSNVKNAKKIVNILKTLSMQPKTIFWVIRILTSKMQKKIMDVPQDLCYASGCPSQSVRPIFKVKQAPNRLALTAIPTNFQGQPSPAVHIPPTLTIFECYSTPFFGDPDSNVKNDKKFCPIVLLSNPTHYQLQMSPGATLAMQPVGPHGQSTHFHGQTIPKARMPPISMSFVCYNKPFFGKPFVKVLQDPGYASGWPSRPIRPILNVKRAPKRAYPPFR >Solyc01g105050.3.1 pep chromosome:SL3.0:1:93227088:93231041:1 gene:Solyc01g105050.3 transcript:Solyc01g105050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CAP10B description:Chlorophyll a-b binding protein CP24 10B, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P27525] MTTTSATAVLNGLSSSFLTGGKKTQALLGAHVTARVTTPKRFVVAAAAVAPKKSWIPAVKSGGNLVDPEWLDGSLPGDFGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFDNDSQSIDWATPWSKTAENFANFTGEQGYPGGKFFDPLALAGTLNNGVYVPDTEKLERLKLAEIKHSRLAMLAMLIFYFEAGQGKTPLGALGL >Solyc08g007410.3.1 pep chromosome:SL3.0:8:1973825:1978351:-1 gene:Solyc08g007410.3 transcript:Solyc08g007410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFADLERLQTRILQRITNLELSLLPTGDTPLSAASTAVADDTTTVDCLSSILLGNGVRDFCFKRVASDYYDWPLEARRDVLGAASVHHLCKSIVLVNTQAPSNITDCSDRNNSKYYVVVVQYTARFNAETVKNFLYTLNDGKIAKKKFNMRLAPEETSIKLTGFEHNGVTCVGMKTDIPVILDEAIAKLSPDFFWLGGGEIDLKLGVRTSEFIKFVKPFIVNCSGA >Solyc01g017950.2.1.1 pep chromosome:SL3.0:1:26467974:26469212:1 gene:Solyc01g017950.2 transcript:Solyc01g017950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKIYNEVDIHVDIHIDVHVDIIFDVHIIIHVYIDIDVDVNIDVDVDVDVHVYINVHINVHIDINVDIDNYIDINIQVEVHGDIQVDVHSDNHIHLDIHIDVHIDIDFHADVDIEIDVDVHIDIDIDVEVHVQVDVHIYVNIYVHIDVLVHVHIDVHIDIYIDVDVYIDIDIQVEVHLYAHINVNIHIDVNIHIDIHVDIYYNVDHDIHINVYDYIEFDINFHIDIDVDAHVDFNTKAHVHIDVEIAIHINVNIDLHVSFHVCADIDVYIHVHIKVHIDVNICVDIDVHIDVYVEVHIHIDVHIDVHHDFHDDNDIDVDVDVDMNVNVDIQVDYDIDVDVDTDVYVNIQILLDFNVDIDINLYIHIDIHVDVHLDNHINIDLDVHVNVDIDVHVNVLLMLTFTMTLTLTLYSR >Solyc07g064890.1.1.1 pep chromosome:SL3.0:7:67001621:67002343:1 gene:Solyc07g064890.1 transcript:Solyc07g064890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene responsive factor [Source:UniProtKB/TrEMBL;Acc:G5EM37] MRRSRAAAAARQVPATEVPVPAPVAGEHNGSGGSKEIRFRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARTLRGPKAKTNFPLPSSHHLPPYPHHHQFNQSINPNDPFVDSRLYSQDHPLVSQRPTSSSMSSTVESFSGPRQPPRQQTAASVPSRKYPRSPPVVPDDCHSDCDSSSSVVEDGECDNDNIASSSFRKPLPFDLNLPAPMDDFSADAYADDLHCTALCL >Solyc02g092495.1.1 pep chromosome:SL3.0:2:54204022:54206632:1 gene:Solyc02g092495.1 transcript:Solyc02g092495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQPKLNIKTNFRPINYFDRSKKQTNTEQKYLGKNPRKKHDNVNANIQPKLLMLSSRNNILQTLSNYFFLNSINALSQSNSPQICGTKPSRFFTTIETIASSPSIKLILPLNK >Solyc03g005720.3.1 pep chromosome:SL3.0:3:506849:508756:1 gene:Solyc03g005720.3 transcript:Solyc03g005720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPISKNMGTSLKPFQLLEINIISAQDLEPMSKKLKTYAAAWVHPTRKLATGVDVNGGHNPTWNDKFVFRVDEEFLRHENSAVHIEIYSVHWFRDSLVGTVRVLVGNLIPPIRTHLRTHHHGMRFVALQIRRPSGRPQGILNIGVSLLDSSMRSMPLYRQMSTSAVAYRDLMEDRNTPHTHNNEIKQNNDSNVDVPKPILRRIKSERSERIALDDISIGNNSSIVAIPSKTKGVDHEKESSILSISFEPPIHMMKKKGKASSVINGAELINEKSKLENKKIKTSSVLSDSIVSKGSSIYDKPNPKPKLKLIELEMGPKYEPINEKGPCYDPPTTKEIDEQSITKSSMKIYGNEFGGPKGPLTESEIGPSASIVAAALVERGYLLDDKRSSVLDGWSIDESTEGLRSKLERWRNEIPPVQNRGTGSSSYRSTGRHPRRRSSGGSSLFSCFGNICGYECQCMCGKPKKKSVSNKKYKSPSTASRSWF >Solyc05g014220.1.1.1 pep chromosome:SL3.0:5:7983142:7983423:-1 gene:Solyc05g014220.1 transcript:Solyc05g014220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANCSVLLSTLNGSYSSEKTLMIENFKISVTFMTLLQPIAVDDNWVDSQFGVASSSVFFSMKRCYGVLQKLVQLLKQFSLKMMIWRTKAPPK >Solyc04g026227.1.1 pep chromosome:SL3.0:4:18213054:18219932:-1 gene:Solyc04g026227.1 transcript:Solyc04g026227.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEELKEGLSNLSDTELTDKIARLKKSLFSNIGVRLPMEEDELEWRKRVGSGQSTFAVWFLNRLEAEAESKPANAFQEEIHEEPYKSPVDKGEQVLNVDLKGWDPSTRSTENIASFFVEKSVKAAPVNSEI >Solyc08g061773.1.1 pep chromosome:SL3.0:8:49572575:49574927:1 gene:Solyc08g061773.1 transcript:Solyc08g061773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQASISSIKRNTRMLICYQLDKMQLLISEKMWSVHHIIATDVFKEDKEEDLDEAWMSTFLQPCLDIVKTFLKTIITILLLSKKILKTQRSNSNIEKLVEDSVFISKYKYDSCFIWIDVEQSVLNRRVDMRVIKWSKQITLKESDGPSVSLKWTDI >Solyc01g088640.3.1 pep chromosome:SL3.0:1:83276022:83282940:-1 gene:Solyc01g088640.3 transcript:Solyc01g088640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSSRIRSSSGSGSGSRPKRSKLKLSSFFCGGASTSQLDHEFLQETRTLNPVQRCIAQGRVELEKKVSPRLNKFALTLLLLNCVLAIQSRYDDVVQLEDYPQPLVGDFSRNSIAESSSTFSSEVGHATQPETESSSESTSNLSHDAFVEYNLTNAEVNINNTCISNEKHSISDQVNSYLFITFTQYCKWKQPSQESVSSQARDSLAAAVSTESLTRLNTEDSHTVPEECRSSCSQGDSMENHGDVHIESSSDSGSISVTSDSIPRHQFLEGDTSEEATTSGSGFLLLDSELGVHSSQDVLHVDVVSISSNMLSSNIADIGSQETRRNSRRLFWDAISRRSLRRHSDSPTIVFATGHADDVGSRDRWLIDLNGDLHYDGVGFDSGYGAGRNYRRSEQRQTTRSEISERVLEGLGNRVPQQNLCSSGLHPDGTCSCEPLLTTEEFSTLASISRIIILAEALFERPKFGFSASLNVLDEIHQQSFTLSLSTLSLPAPDSVVDSFPVKSHKKLGAVDNAPTDIQQYLNAEEKENKEIKRSRGKQKIKNRKLFMGKNINSLTASKFSHDNEFRCHICLAEYEEGDKLRVLPCRHEYHMHCIDKWLKEVNRVCPVCRCNVCENPAIGSVSNSEAS >Solyc08g077400.2.1.1 pep chromosome:SL3.0:8:61392778:61393245:-1 gene:Solyc08g077400.2 transcript:Solyc08g077400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding INQHIQNYNFCVTSLQAIPITHVTNLQGVGTVAVELALQNATNTILTIEKMLSSKEFGPFAMNCLRDCLELYADAITMLVDAFVAFLAEHFDIATVLMRTVMDATSTCDEEFTEKKGESTVLAKENYNLFQLSDISSCIIKQVSSVPSQLSHVSP >Solyc04g072360.2.1 pep chromosome:SL3.0:4:59463502:59466262:1 gene:Solyc04g072360.2 transcript:Solyc04g072360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEGSAQQTYKVEETNKKMKRVEDQSRISKLPDSLLILILSLLPTKDAFTTSVLSKRWEHLWPSIDSFFFSCKDKSQRKNFISFVDYVLDHSTCSKIKNFHLNFTHLSKYEQRVGFVDDIVDFTISRWVPTNEVKNKNKLLFPISRWLGTAVEKNVENIILLSDSYENDSIDLPDTIYKCSSLITLDLTCCILDKEIVIEWKSLKTLRLNDITLDDDRIVNILSGCPALEILEFLEFSGFRHMEISSSNLKRLKFKNHLSYDNSDDLSLDIFAPHVQHLEISGYMYDLRCRLVNVSSLVSVKLTFQMNWTWFDQGPDEHHVIKTLIEDYLQKLRNATELTFGTCFIEVLMQFDKMSLPELKCKCLTLDWHITEPYFYGAAIMLRASPHVETLNITMETTSLDLEQCPFELGYLAKEHDIHFLSSFEFPNLKNVKVVNASKICLKEHLEWDNDDLFKLSEFLLKNTKVLEKFVIISRRKNCSICSMDCASQYSSRLATKLVCCPRSSTSSMIICKEGAFCD >Solyc01g095560.3.1 pep chromosome:SL3.0:1:86649245:86656160:1 gene:Solyc01g095560.3 transcript:Solyc01g095560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESAATKGVELEEHLVDTDEEDNGDENSRTLFFKELNLILIISFLSLSSEQVDFDASETNENVKANQIHKVVSGSRLRKRGGINKYRASEPETQRVSTKFRDCRHRRKI >Solyc03g077950.2.1 pep chromosome:SL3.0:3:50058381:50061144:-1 gene:Solyc03g077950.2 transcript:Solyc03g077950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCRVMIAIGILSSEISKFSIILGSLLRGIIRISPFANRLSFDAPPAVQRLRCLANYEALRFSNPILSLGQTLVARMKERSANNSGKYISVHLRFEEDMVAFSCCIYDGGDKEKIDMDAARERGWRGKFTKRGKVIHPGAIRINGKCPLTPLEVGLMLRGMGFGKSTSIYLASGKIYDSERHMKPLLEMFPLLQTKEMLTSYEELAPFQNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLLGHRRFLYGGHSRTIRPDKRKLALLYDNPNIGWKSFKRQMLNVRAHSDSKGIEIKRPSDSLYSVPCPDCMRRSNKTEVLKSSLAT >Solyc02g083160.2.1 pep chromosome:SL3.0:2:47218018:47219606:1 gene:Solyc02g083160.2 transcript:Solyc02g083160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLGNIFLRYSVAIFLFRVIIWSSNNYKLMVMADVPAIFVFGDSTVDVGTNNHLAGSLATANNPYYGIDYPHHVATGRFSNGYNPADFIARHLGDYTESPPAFLALVQKQSTFKSGILRGVNFASAGSGILDDTGNKGFNLYNLGARKFGICGIPRIGCLPGIRAATPGGACNETLNGYAQIFFNTTLSLLQDFSSANPGMNFSLGNYFLMTTGVIDNPAASGFVEVEAACCGTGPYKGWFKCTENSDLCKKRDEYLFWDWFHPTQRASEMAALSLLYATGQEFVTPINFTTLANIQH >Solyc07g049220.3.1 pep chromosome:SL3.0:7:59636306:59642073:1 gene:Solyc07g049220.3 transcript:Solyc07g049220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQILGKLPRKPSKSSSSPNDSSQNEVSPFSSVNSNSNRYGVTDSSSNSKGLGNVGKTLKSTSSGMSELTSNGNHVPVKPNQGKKSVRVNGQVGPPVRNSVLSYAVLPRFRDALGSEKQNLLIRKLQMCCVLFDFGDPTKNVKEKDVKRQTLLELVDYISAVSSKFNEVTMQELTKMVAANLFRTLPSFNHDNGLLDMFDPEEDEPTMEPSWPHLQVVYELLLRFVASSETDAKLAKRYIDHSFVLRLLELFDSEDQREREYLKTILHRVYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCAAMYHQQLMYCITQFVEKDFKLSDIVIRGLLKYWPVTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFRQIGRCLNSSHFQVAERALFLWNNDHIRNLIVQNREVILPLIFPLLEKNTRGHWNQAVQSLTLNVRKIFSDADQALFDECLEKFKEDERKDKETQEKRELTWKRLEDIAASNFVSNEAVLISRLASSVVIASTTN >Solyc02g078160.3.1 pep chromosome:SL3.0:2:43502292:43504151:1 gene:Solyc02g078160.3 transcript:Solyc02g078160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVMARRPLSHRILTCHNRESEFSGDHAINISDTIFSFLDEEGSSTESVTDDVYVEDENEEKENVEYNQFWETQRQLLQSVLCRTTTLESQIRSITKQTLKEASKNCSCKMVNNISITTSCPNCLMKEVCTSLQNAGFNSAICKSKWKSSPDIPSGEHTFIDVIDNSSTMKGEVRIIIELNFRGEFELAKASEEYNRLVKCLPEVFVGKIERLLSVIKILCNGAKKCMKDKKMHIAPWRKQKYVQAKWLKTCERMSTTSKSPLSAEEHCYSNRLSRPKASMLTVDLLGNIPSLCRNAVEVV >Solyc03g082410.3.1.1 pep chromosome:SL3.0:3:53780252:53780350:1 gene:Solyc03g082410.3 transcript:Solyc03g082410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNCNLPENKSQSSPLSKMHYLFYIHMRTYIL >Solyc04g082770.3.1 pep chromosome:SL3.0:4:66408626:66414086:-1 gene:Solyc04g082770.3 transcript:Solyc04g082770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQQQPPAQGSGSSAAGSGVPFFNSPFGDTTFTKVFVGGLAWETQSDTLRRFFEQFGEILEAVVITDKNTGRSKGYGFVTFRDPESTRRACADPAPIIDGRRANCNLASLGRPRPSVQFGRLRSPTPFPAGLPAARGAYAGSFGYQQPVSYGYQQGLMYSPYGYATYGTDYVYPQRVFTILMEVNSTFLYMVYLELLMQQCILTAS >Solyc09g064310.3.1 pep chromosome:SL3.0:9:61706310:61708893:1 gene:Solyc09g064310.3 transcript:Solyc09g064310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSLVNLGSKKLIKKHEFVRVIIQCLYSLGYRKSAVCLESESGISYKSNEFETLESYIRDANWDACIETLNRLNGLTNETRASALFLVLKQWFLEYLNLGEDSLALEILQKKMSGLEVGRDKVHRLAFGLLSLKELGLDKEDPNGIYEFRKELLTELEKVLPPPITVPERRLDYLVEMAVWSQLEKCVFHNSVDAITLYEDHHCDSSQFPSKTIQILSNHVNEVWYVQFSNNGSYLASSSSDNTAIIWKDELEMEE >Solyc09g011370.2.1 pep chromosome:SL3.0:9:4704415:4707717:1 gene:Solyc09g011370.2 transcript:Solyc09g011370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLTEVDLVVGEFKTHLEVPSSNLRHYVLFSNRLKGNHGCYLILRTVNAIPQIADIVEEFAMVIEVTQMKEIEYGGFLAVKMDNLPAELLLDILGRIKRTTDRNSVSLTCKRFNKLDNGLIISIRVGCGLHPVCDALTALCNRFHNLEKVEIIYSGWMSKLGKQLDDNGLLILSRCCPLLKDLVLSYCTFITDAGLSYLASCSKLKSLKLNFAPRITGCGILSLVVGCKALSVLHLIRCLSVSSMEWLEYLGKHEMLEDLCIKNCRVIGEGDLIKLGPTWRKLKRLQFEVDANYRYMKRYDRLAVDRWQKQSIPCENMLELCLVNCVISPGRGLACVIGKCKNLEKIHLDMCVGVRDCDIVCLAQKSSNLRSISLRVPSDFSLPLLQNNPLRLTDESLKAVAQNCSLLDTVRLSFSDGEFPSFSSFTLNGILMLIQMCPIRELALDHVYSFDDIGMLALRSAEYLQILELVRCQEITDDGMQLASQIPQLCTLRLRKCLGVTDDGLKPLVGAYKLDFLVVEDCPQISERGVQGAAKSVTFKQDLSWMY >Solyc12g056490.1.1 pep chromosome:SL3.0:12:63380215:63384806:-1 gene:Solyc12g056490.1 transcript:Solyc12g056490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENPSSNSMEPQTPFDPNSNMNPKTPSKTNLIPGFNSYHPSPSRSIYSDRFIPSRTSSNFALFGLPLSPKSSNTEDSNSGYTSLLRTALFGPDSGNVVNPVTPEKGVRGNGRNLKRPNCNIFRYKTETRQRLDSLLPYEFDDQMRGVSTSPVKVPRKVPKSPYKVLDAPALQDDFYLNLVDWSSQNVLAVGLGSSVYLWHASNGKVVKLCDLENDDNVTSVGWAQRGTHLAVGTSNGKVQLWDASHGKMTRTMEGHRLRVGALSWSSSQLSSGSRDKSILQRDIRAQDDYVSKLSGHKSEVCGLKWSPDSRELASGGNDNRLFVWNNHSTQPILKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNTHLSCMDTGSQVCNLVWSKNANELVSTHGYSQNQIILWRYPTMTKVATLTGHTYRVLYLAISPDGQMIVTGAGDETLRFWNVFPSPKSQNTESEIGASSLGRTQIR >Solyc05g010740.1.1 pep chromosome:SL3.0:5:4936802:4938575:1 gene:Solyc05g010740.1 transcript:Solyc05g010740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTLVFYKGRAPRGEKTNWVMHEYRLIPNHPTEHTRYQDEWNVCRVLQKSNAGGKRYSSNNNTLRIHVNPYTTLDQINPNTICISSQIMMPQVEHNTFQLAMGKSSSSSSSAHTVINHPDIQEVFRGVSSSNLMNLHPTLQSQMNNNLGGGNGFTISGLNFNLGGATTSQPGPPPPRPRQDVSSSHVMMTAHDDQAGYGEDMSRFMVLKNSSHDLDNCWGMIYVVRILQKIAYQTL >Solyc00g007040.2.1 pep chromosome:SL3.0:2:32750021:32754028:1 gene:Solyc00g007040.2 transcript:Solyc00g007040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVHVCCMKIVYFSFLLIWMFMGIFFYSDRSVKHLLPPSLDSTSQPPSLFDGTTRLYMNYQCPYSQHVWITRNVKGLQDMIKLVPINQQNRPDWYKEKVYPKNKVPSLKHNNKVIRESLVLVKYVDCNFEGPSFMPDKYTDLSQMMHGNWLVRIDHVFVETHHVLFVKCFLCKLTVLTLVLGAQFDYLEKALHKFDDGPFFLGQFSQGFNYDITTERPKLAKWIEEMNNLDGYKQTKVLEQEKMVEYYKNRFLPKA >Solyc06g007515.1.1 pep chromosome:SL3.0:6:1503161:1505021:-1 gene:Solyc06g007515.1 transcript:Solyc06g007515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLRNEADLLLIRESETFLDYALAANSGFRVSGFWAR >Solyc11g032020.1.1 pep chromosome:SL3.0:11:24453595:24454447:1 gene:Solyc11g032020.1 transcript:Solyc11g032020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIITQFSRFKNIQTCPILAELLPLKNVLLISTINLRPPKNLRDRDDFTIRVRICRMWNTINLKKNGELISMDMIFIHEKGNLMHGIIRKNQVNKFKDKLNEGSVFIIKNFKVVERIGGYRPVQNSVKIIFFASTTIKNLSEDIVEIPINGFEFINLDVIDSSVNNCNQEFV >Solyc10g024333.1.1 pep chromosome:SL3.0:10:13538766:13545657:1 gene:Solyc10g024333.1 transcript:Solyc10g024333.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GQKTRHYLGFVLLKALEIRPVTGWRVCMNYRKLNAWTEKDHFPMYVMDKMLDRLEEKGWYCFLDGYSGYNQIFIAPKDQQKTRFTCPYGTFAFKRMPFGLCNAAATFGDV >Solyc01g095290.3.1 pep chromosome:SL3.0:1:86477783:86481836:1 gene:Solyc01g095290.3 transcript:Solyc01g095290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSASLHQRPLHNPLNDPNPLSPPSSQTSQKSTSFLQSRTTLLLLLSLLVILGVFYPYIEFPQNGFLSYASKSSPFESKWRDYSLSKAAAFVAKNNTLIVCIVSEAYLPFLNNWLISIVRQKHHDKVLVIAEDYATLFKVNERWPGHAVIIPPVLESQAAHKFGSQGFFNFTSRRPRHLLQILELGYNVMYNDVDMVWLADPFPYLEGQHDIYFMDDMAAVSADEDTNALTSPCIVLISLMVKPLNHSHGLPPPGKKGRPYICSCMIYARPTNGAKLIMKKWIEEMQIQPWSRAKKSNDQPAFNWALNKTVEQVDMYLLPQSGFPTGGLYFRNKTWVKETKGMHVIIHNNYVVGFEKKTKRFRDYGFWLVDEFSSESPLGRLD >Solyc12g005860.2.1 pep chromosome:SL3.0:12:490505:499055:-1 gene:Solyc12g005860.2 transcript:Solyc12g005860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aconitate hydratase [Source:UniProtKB/TrEMBL;Acc:K4DBC4] MYVSSSVHIKPNFFTSFPVSKSLSKSTGAYCSSGYSSLSFSSTFRSLRWRYGVDWKSPISLTSQIRTAAVTPVLNNFRRKLTTMASGNPFKGILTSLPKPGGGEFGKYYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVKKEDVEKIIDWENTSPKLAEIPFKPARVLLQDFTGVPAVVDLACMRDAMNKLGSNADKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFRNMLVVPPGSGIVHQVNLEYLGRVVFNREGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLSGKLRSGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVSMIEAYLRANNMFVDYNEPQHEKVYSSCLYLDLAEVEPCVSGPKRPHDRVPLKEMKSDWHSCLDNKVGFKGFAVPKDAQEKVVKFSFHGQHAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACDLGLNVKPWVKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDLDESVSSAISENDIVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGVGKDGNSVYFRDIWPSTEEIAEVVQSSVLPDMFKSTYEAITKGNNMWNQLSVPATSLYSWDTSSTYIHEPPYFKDMTMDPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAAKYLNERGVDRRDFNSYGSRRGNDEIMARGTFANIRIVNKLLNGEVGPKTIHIPSGEKLSVFDAAMKYKSAGQDTIILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIVPLCFKAGEDADSLGLTGHERYTIDLPDNISEIRPGQDVTVQTDTGKSFTCVVRFDTEVELAYFNHGGILPYVIRQLSQQ >Solyc01g105165.1.1 pep chromosome:SL3.0:1:93339648:93342146:-1 gene:Solyc01g105165.1 transcript:Solyc01g105165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLLTSPAAISRLAASRTVNCNCSPLISQFHRHFSTRSTQFVLMSQLARFHLRAVCNGEVRGLNRFGSVSLSGSLAAANLRPVQKDLRSVSRSAASFAASGGGNDNPRGNGGGGGGNGDGATDGGKENPSVVAETGEDISALSSDVIILDVTGMTCGGCASSVKRILESQPQVSSATVNLTTETAIVWPVSDAKVVPNWQKQLGEALAKHLSTCGFKSNVRGKSFLEMSNHCPSW >Solyc08g075032.1.1 pep chromosome:SL3.0:8:59321726:59324272:-1 gene:Solyc08g075032.1 transcript:Solyc08g075032.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRHNTSPSPYSNYETLPIPTILRGDCQPILESFVKNKIVPSFGCGIPFRRLSRGSSVLSVMKFIPRLARQSSFAAFDKVENSQEVQTQNLG >Solyc09g065305.1.1 pep chromosome:SL3.0:9:63588880:63589728:1 gene:Solyc09g065305.1 transcript:Solyc09g065305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHHAAIVVVTTAVATAECRISQLKLIITVPLTHVQLRINLAGKTSLYKWGSQQRLKLVQMILS >Solyc04g017775.1.1 pep chromosome:SL3.0:4:8114711:8125341:-1 gene:Solyc04g017775.1 transcript:Solyc04g017775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding RFRNFLSTNFGRKDLGSLNYFLGIKLLASDNSQVLATIKLSTPLEPNVWFSPTDETLSMPLFIGHLYFLCCSHNYSIHGCTSLYLLSFYSAILRYVKGSMFHGLHFSANSSLELKTYFDVDWGRDPLIDVLLQVIVLFQEILLYCGEARNKPYHPDLAPRHVKDLRSFLGLANYYKKFIAGYSKKVAALIDLLKMDTKWLPFEAHTDASDKAIGGVLVQEGHLVAFESRKLNDAEQRYSTHEKEMVAVVHCLQVWRVDLLGTRFVVRTDNVANIFFKTQKKLSPKQARWEEFLAEYNFMWEHKPGKHNQIDVFKEVTKETGAEVARIV >Solyc04g079047.1.1 pep chromosome:SL3.0:4:63730258:63742581:-1 gene:Solyc04g079047.1 transcript:Solyc04g079047.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNFYRFWILRLVVYIRYVGIGKDNELQHFYYFLASESEPTTNPLLIWLTGGPGCSSLSAIVNEIGIYIASVIFLDQPVNTGFSYATNDAAYKYTDIQACEYVDEFLRKWLDDHPQFISNPFYVAGNSYSGMTIPVITQLISNRNAEGKEPLINLQGYLLGNPLTFSQEKNYHIPFSHGMGLISDELYKFSIILQFDWRKLVKYWANDPKVQEALHVRKGIIGSWMRCRRNISMSSGNYTITLNNVIPYHANLSTKGYRSLIYRYVGVGKDEAVQLFYYFLESETEPTTDPLLVWLSGGPGCSSVIAIVDEIGPIRFVEQVYNGSMPSFELNPHAWTKIANVIFLDQPVNTGFSYATNSAAYKYTDVQACEYVYEFLRKWLNDHPQFISNPFYVSGNSYSGMIIPIITQLISNGNIAGKEPLVNLQGYLIGNAVTISPQDEKYHIVFCHGMGLISDELYKSLEKNCRGEYTNINPKNTGCANDFRNFKQLVKNINVEHVLKPFCTNDDDPKDVYQMTSERRLLDDKLISLQREDKCDSDWRKHLRYWANDPQVQEALHVRKGIIESWIKCRRDISEGSHHNYTLTVHNVVPYHANLSTKGYRSLIYSGDHDYMVPFQDTQTWIKSLNYSIDDDWRQWNVNNQVAGYTRSYSNKMTYATVKGAGHTACMDKPEECFVMFKRWISDDPL >Solyc12g015800.2.1 pep chromosome:SL3.0:12:5801374:5804481:-1 gene:Solyc12g015800.2 transcript:Solyc12g015800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTRRYFLTPISLCHSSIVVSFPSISPSSTTAAAVAVGGGDYAVGNRNRYSRASNISDSSVLIRMAMRISRAKWFIFLRRVFHYQNGYSRSDLGSNPFNSITWMMMECISLSIQIILSVYTLSVSKYEKPVWPMRIWAFGYVFGCILSVLLLYSRYWAFYIRPQRHDSDIEQQRSSHDDQSRRASSLHMMERFKTSMELFFAIWFVMGNVWVFESRLLMSYHRAPKLHLLCISLLTWNAVAYSFPFILFLLLCCCVPMLSTFLGYNMNMASVDRGASDEQLSTLPTWKYKSEIGNKEEDLTNSAPQDENSECCICLAKYGDKEDIRQLPCSHIFHLKCVDQWLKIISCCPLCKQQLER >Solyc10g009470.1.1 pep chromosome:SL3.0:10:3558181:3559101:1 gene:Solyc10g009470.1 transcript:Solyc10g009470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCGRRFYSSQAIAAHTRCHFKDGWVKGTPQRNFFVSFFDFQHDFTLISSIPQPDAHSANVERFPPSLTSSSRGQPQVPMHHLRLPDANTLCAFKASLNKEEEEVILILRDFPETEKRIKKAKH >Solyc07g049495.1.1 pep chromosome:SL3.0:7:59894238:59896185:-1 gene:Solyc07g049495.1 transcript:Solyc07g049495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNLSICLWGVAVPSVLQAAASSLQRLSSDEKRLILGWNVRRLIGRYKNNEKRASWKLVKAYSHHRSLVGYAKVHRRPADVLEQHGRYSEAEELLELDPSLHMVDSKK >Solyc11g066200.1.1 pep chromosome:SL3.0:11:52194375:52196329:-1 gene:Solyc11g066200.1 transcript:Solyc11g066200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEIICNVDVPSAYVGLRILLIDPDSTSLSNIAAILEEHSFKVTAIEQAIVAPSILREHIKQFDLIMVDANMLEMDYLEFVKSTQLIKDKPIILMSSEVTIEMIKEAPTQGICFIYEKSLISSLKVKDIWKHVRWHDKKANEESQHYNAKQVNLMDNISCPTKMQDLKGKGKENCSATYLDQELDSLMEKDAAKRSKRMRTGPKNVLERMCVQNLTKECLTYRLKKYRSQKRQVADAQPVTSTIFNEEHPSKVFNSSKSSADVNELFQGAYRPQPLEFIC >Solyc07g041470.2.1 pep chromosome:SL3.0:7:53336697:53343168:1 gene:Solyc07g041470.2 transcript:Solyc07g041470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQRKYALGLVSELGLTGCRPASTPLEFNHKLTSTEFDECTGKVGNTEDTLLGDFVNYQRLIGRLFYLTMTRLDIAFMVQVLSQFMHSPKTSHMEASIRVVKYIKGTVGLGLFMPSSKSSELTSYCDSDWAACVESRRSVTGYVVKFGSVVISWKAKKQNTVSRSSAEADLTSMATTVVEIVWLKAQVRGVSNIDLTTEEGGDHVFVWQIWEEENNRWMEENHTLYLDHFIHNEFMSKIAEQPSNLIIPLLRYQKEWLAWALNQEESTARDDILADDMGMGKTIQAMALVLVKREMGQAFSDSNLLSPSPYMLPPVKGTLVICPVVALIQWVSEIDRFTTVGSNKVLVYHGAKREKDMDKFAEYDFVITTYSTIETENRKNIMILHSMKWNHIILDEHVTDFCILVTLRTDSLDANEEDYYISLREE >Solyc10g079740.2.1 pep chromosome:SL3.0:10:61366132:61370691:1 gene:Solyc10g079740.2 transcript:Solyc10g079740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDIVGGPDPSAITSVTAESSKTTGFDANRISEVKAWLTSQFDAVGKDVPDFEYTPRSIAELHKIATLSQAKTQASAIVANDYRQKAAEYRSQAARIREILESVGLAQESLPSNVVSSAHVLAKVANLLDVRDTELSSFLVAVADLSLRKTAVEEKRAKVQQESKVLLEYTRKAIARLTYLKRTLSQLEDDIAPCEAQMENWKTNLAIMESKERQYLQEYGYYKAVLNRVGYTPEISHGVLVEMAEHKKDLEKKTKPILDTLRSYQDLPPDKALAALAIEDKKRQYAAAEKYLEDVLQSALASSE >Solyc01g104433.1.1 pep chromosome:SL3.0:1:92739287:92743307:-1 gene:Solyc01g104433.1 transcript:Solyc01g104433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDFSLTFVYSEFFFFPCDSSSTLITISYYGLRDRFIYPRLGNLVSRQFRRTQELSHINMSRTFIERQALFSAIGNRDIECFVVVVSDMSSIPPRPISLGGIVASSPPSDTNIKQPLRQWKNAEKIFRLTSSPIRDLIGYGYESGESYRLEDAKLQGENEKSIKMKGYINLKHNESSVP >Solyc12g099500.2.1.1 pep chromosome:SL3.0:12:67607763:67608122:-1 gene:Solyc12g099500.2 transcript:Solyc12g099500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMIDDQRRGPPHAALLAVVVIAVMIVPSLIGENGEAITEFISELLTPIGLLLLPIILLLTIQFLSSDSGSFVSSIFSSGEPNSIHRASGSPVGVALVLLLVLFLLYNPFSLFGGDDED >Solyc06g054100.2.1 pep chromosome:SL3.0:6:37018150:37021617:1 gene:Solyc06g054100.2 transcript:Solyc06g054100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYIVQGVLGLSDLAVSFYLKDDYCRAVISGFSSLPWLVKPLYGFISDSFPLFGYRRRSYLVLSGLFGALSWFLMGHFCRQQVWCCFLHTYWFSFCFFLSCHKFLLVLVGFSYLTCGVRFVT >Solyc04g058190.3.1 pep chromosome:SL3.0:4:55220719:55239430:1 gene:Solyc04g058190.3 transcript:Solyc04g058190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEDKGKSAGAIVPPGFLAENGQTLKKLKCHLVEDKDGDMNLDGSSDVVCRTYKRRKRTKVVEDGFVVGHSAGQSTNKSMNGPVDTALNKSSCMQASVAHMEPHGLLNDSGDLLVRNWKGAALKQMFQSLESDGGLKGCIQEALASHSEASCAVEAKESGKCCEDGNRGSLPSQPVSYGIQNGTKAVPGGSVDEPKSRTVTEFCQHMFLDIVKSEKFAQLCHVLFENFEGMKADKFFDISRIHSRMKDGSYEGSSLLFHSDIQQMWTKLNEVGSEMISLSRSLSEISTGCFRAQVSGSVHENTEDIKEELVAKMEQAETNGVNKRCACQCCGEKADSGDSLACDSCEEIYHLACVEPSGKEIPIRSWYCPECTAKGMDSPHDNCVVCERLTTSSSVIVENEVEDLTSEDMVQELEDSTNGLVDGELKLCEGVEDSPFCNVCRTVVSNDNVRICGHSFCPHKFYHERCLTRKQLDASGSCWYCPSCLCRACLNDCDDDKIVLCDGCDHAYHIFCMQPPHTSIPVGKWFCKKCDVQIQRIRRARKAFESSENEAKKRKEQCGELGVPKGKEKEALNESGGMEMLLDAAQTLNYQEDLAALGSKD >Solyc02g080130.3.1 pep chromosome:SL3.0:2:44994562:44999251:1 gene:Solyc02g080130.3 transcript:Solyc02g080130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRIAIHWFALTVTIVLSLLVQPSFSIYCDEDDCYDLLGVTQNANSSEIKKAYYKLSLKHHPDKNPDPESKKLFVKIANAYEILKNEATREQYDYALAHPEEFFYNTARYYHAYYGHKTDTRAVLVGLLLIVSIFQYLNQWTRYNQAIDMVKKTPAYKNKLRALELQRTGGMTNRKKGNKPMDKKVEEELGKELNLDIKGTEKPSVWELIGIRFILLPYTLGKLLLWYGCWFWRYNVKHAPYSWEDASYLTRRSLRASLDSWISIDESTKEDLIERRLWEKSNFESYVAEMRKESKRRR >Solyc02g036480.1.1.1 pep chromosome:SL3.0:2:31317822:31318592:-1 gene:Solyc02g036480.1 transcript:Solyc02g036480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQQKIHPVPEPEQVAPPPKPSAPLVPRGSSRSENGDPERQQSPPLLKRSLTPYSPLKSPKKKRGCCVRCLCWTCCLFFLLIVLIGIAAAVIYLVFQPKLPKYSIDSMRSTQFNLNTDTSLSATFNVNITARNPNKRIGIYYENGSHLSVWYKGTNLCQGKLPKFYQGHRNTTQLNVHLTGQTENATNLLQLLQEDQQAGKIPLNIRVKVPVRIKLGKLKLMKWKFLLKCSLNVDNLSQDIIRIRDNKCKVRFRF >Solyc03g111680.3.1 pep chromosome:SL3.0:3:63801218:63808236:-1 gene:Solyc03g111680.3 transcript:Solyc03g111680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRRHSVDVPISKTLVALRRVKSLRDPTTNSISKFSAMVDKLNWETHSSNAITLGFENRQEVGYNEDTTALGGNGFVLNGNQEEYAGDQELNSNKGNGKSQLGSSPMDPWNGNVEMSNIHQPNEVERGNKLSSKRLGHSYRDQGMAMAGITSSNAWEEGNGSSKESNEGAVPAKDVYYATKKNCKHKKHYRSSRTAASNIFSRVGSPYFSVSDAPNESSNYVISLYGNKDVDNVESDNGGCGISSCWLGTPKFRGSSPLTHMEERPLLSAGIGETLLALQRRCSTRDKNEFASPSESPRYLSQKCRPKSFREMVGQNVVSRSLLNAISSGRINPFYLFHGPRGTGKTCASRIFAAALNCLSPDAEKPCGLCRDCVLYFSGRSRDVKEVDSLKINKMERVRLLVKNAVTPPISSKFKIFIVDECHLLREETWTSILNHLEELSRHVIFIMITPDLDKLPRSAVSRSQKYHFSKIKEVDISNRLHEICEDEGIDFNQDALDFIACKSNGSIRDGEIMLEQLSLLGKRITMPLVYELIGAVSDDELLELLHLALSSDTSNTVKRARELMRSRIDPMQLVSQLANLIMDILAGKCQRSACEVKDRLFSGHISEAEKQQLSHALKVLSETEKQLRMSKNQTTWLTAALLQLSSVSSSVDAKDGSSCLRTVYEQDPDGHLCSTSSTSESLKHRTSCACESMESCKRGMQDDKETLASIWCKATEMCGSNSLANFLRRGRLSSICLKQGLAIAELEFYCPKDISKAEKLWKPIANALQRTLCCNVEIRINLVPGWFPKKYSRMKRLSYRLFNCSLGKAHSTMERISNASEISDSASKRVIMVDKVVETCSSECLSQNSQICCHGREIVTIRNSDGNALSIGSDTPQILLTDGSLQTHQLESDSLKERSTCRCRDLFTIESEKKTSCFPRTVGLLKRSRSSNASHMTFSITQPQSNLVLSIPSKTPCQSHIPCSSLNNHSSGDLDISKESKSRYWRTALLPFRKALQLRHQHENPPQEWILPYSAAN >Solyc01g065970.1.1.1 pep chromosome:SL3.0:1:72540375:72540623:-1 gene:Solyc01g065970.1 transcript:Solyc01g065970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLREARVSNLKNLGISVFEKLGVSDFDELGVSGFDKVGVSGFRVLGVSGFEKLEVSSLEELDISGFNKLGVLGFEKLGVSGF >Solyc01g088050.3.1.1 pep chromosome:SL3.0:1:82774933:82776369:1 gene:Solyc01g088050.3 transcript:Solyc01g088050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYLSCKAESSISISNSQSCTATPKKTHDQEKEKPIKIQEFNYRDLEAATNGFSDQKLLGRGSHGLVYKGMLRNGRLVAVKRSSRIAPRIRNTSTSGQENCNEVENEIDILSKLQSPRLVNLVGFSIDSHDTLLVVEFMSNGTLYDVLHSNSRPLSWGRRMKLALQTAKAVDILHSLSPPVIHRDIKSANVLIDRNFNARLGDFGLALRCHLDDFRLRSTPPAGTMGYLDPCYVTPDNLSTKTDVFSFGILLLEIISGRKAIDVAYSPPSIVDWAIPLIKRGKLLAVYDPRIPPPKDPSLRKQLAVVAAKCVRPCRERRPTMKEVAECLSGLSKLVPLHSWNGFTNPCLMVETVGRPVESRTSQLNLRGKGSKQRDWDGGDASLAMPLRNSPRVYSDLGLRSNLMDLMAGKEGQSEFRGEGDGVEPKSKSISRALSCRYVSGSVVGRRNNESLVHSNGRGGTSRFRRNNPVGEHSDRD >Solyc01g106520.1.1 pep chromosome:SL3.0:1:94271047:94272174:-1 gene:Solyc01g106520.1 transcript:Solyc01g106520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIDRRKQKTSSKEESAYVEPWRNLPEKLLNFLKKQRRRSNLIESIGPAGVTKSWRCASKKCSSIHTFNLTFEESGCYWWHGRTRPRYDPWKHFHCYSPWSIVDGERIPIDYCFLNSSRGGYGYWDTPPSDRKESFLFPYSNYGSDRCCFPTFVVHQLGQNQNWMKQERNQNGLIDPNDPKGKLIQFCNAIIFDRKFYALSLQGTLAVIEASHESQFQVTRLSRKQAIPSNYSKWFVEYFLESNGEILLIFLISERSGRIVDKVEVFKLQIEDLSLLKLDSLGDRTLFAGINCCMSVPASQVGCRNNCVYFTHHSIDGWRLYDMRSGYISPCYDDAGSEIKNPTWEEPITGKSS >Solyc01g014230.3.1 pep chromosome:SL3.0:1:11820216:11831053:-1 gene:Solyc01g014230.3 transcript:Solyc01g014230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISMLKMLLNNISCFCHLSSSDHMSGELVRRYYCKIEDILKLVKPILDSIVDVEEASSELLLKAFAGLAQHVDELRELFETWEPLCSKIYFVLQAEPLIGKIRTCSLEVLELLKSSHKSLPADVTLTTLELYILKIKYVDYELILMTITKVIKAQVEGLGASPDNFAKIADCLSLNSNEELLIELVALEKLKENAEQAEKTEDVEYIEQMITLVSHMHDCFVTVKQSQSCTTVSIPPDFCCPLSLELMTDPVIVASGQTYERAFIRRWIDLGLTVCPKTRQTLGHTNLIPNYTVKALIANWCEINDVKLPDPMISLSLNQPSSLITHADSGASRDNHVFPLTRDKHSLSPDSTQSLGSPRKTLILSSVNQREESSPSHLRSSSEDSLPGVAGNIHAFDVERIIMKSEDRMAHSGEISSHGHSTLAVDEQLSSGHNRTTSAPSTLANSNFSPVIPSDGNKLSSQPEAAAVASGDVVVDSKPAASIPRREPEFPSTLETRPRNQAIWRRPSERFPRIISSPTVEKRADLSELEEQVKKLVEDLKSTSIDMQRTATAELRLLAKHNMDNRMVIANCGAISLLVNLLHSEDMKVQEDAVTALLNLSINDNNKCAIANADAIEPLIHVLQTGSDEAKENSAATLFSLSVMEDNKIKIGRSGAIKPLVDLLGNGTPRGKKDAATALFNLSILHENKARIIQAGAVKYLVDLMDPATGMVDKAVAVLSNLATIPDGRAGIGQEGGIPLLVEVVELGSARGKENAAAALLQLCTNSNRFCNTVLQEGAVPPLVALSQSGTPRAREKAQALLSFFRNQRHGNTGRG >Solyc08g079610.3.1 pep chromosome:SL3.0:8:63221742:63223812:1 gene:Solyc08g079610.3 transcript:Solyc08g079610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNAVKGLYLSCDIPMAQFIINMNASLPQSQKFIIHVLDNTHLFVRSDMAGMIRSAISDFRDANTYEKPA >Solyc11g011170.2.1 pep chromosome:SL3.0:11:4238296:4244635:-1 gene:Solyc11g011170.2 transcript:Solyc11g011170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPNGIIYYSAKSPQHPKVHTHSQGTEHSKHNGYSNMPRLKFSNKRFWEACGKLSNRVIFRGSPVLCLSTETRDTETECARNSDDCSNISSVQENDQATTVGKVIPSSQAIAEACKFAYNDAKFVNERAKNDIVLLSREIMRMDARARQDVAFLGSEFLKLDARAREDTEKVDHNVKKRAERIQHVATILKNIAQTRLKKAADRHWSDGALEADLRRADFVAKQRAMEDSLMALEFVKNIHDRMVSKMCKLKRSSIDTEKTRHITLEKNGKTLEFLPGEVSADRLTAIQEAYWDIASALSEADGIDYTDPEELELLVATLIDLDAMDGKSSVSLLAECSSSPDVNTRKALANALAAAPSMWTLGNAGMGALQRLAEDDNPAIAAAASKTILELKRQWEIGEGDSWRFMVDEVSPGDVGSQDDNNGTY >Solyc10g079565.1.1 pep chromosome:SL3.0:10:61207282:61207576:1 gene:Solyc10g079565.1 transcript:Solyc10g079565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSLVSLISKKIIKPSILTPPTKISHQLSFVDQVIMNLHMYYPYAGRLNKDNGNYVDCNDMGVVLSHIHVHCPMSQIFRQP >Solyc12g088740.2.1 pep chromosome:SL3.0:12:64974159:64980187:1 gene:Solyc12g088740.2 transcript:Solyc12g088740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGQQNTVGSLQETLGFNHGSTPSEGGVDQQICWSNLQNSAQNHLPDYMVSSNATDIPFFNPMSQERQNVTRGSLGESSSSIAPNSASWSEQKADHGWSSAMTTYNGPSLICNEQQCGSSNIMSLNDFFSQGSSSSTIPHEINGNPAFEGRSDNNDDGCQVMECTPYNSVRPGKERMSSACTYLGNGCSTDDSGDGRPESSSDSRRFCKRKTLEGYLAQSSGSGSSDYIPLAENSIWHSGTASHSMSTGANISAPTESVRSINMSEHVIPRLGLTMGGAVAVTPVGTPASRSAESSHRNFRVRIDGSPQQDYLPSNIFPDVDNVGNVNRSSEQQLPELLPNIPLDLRSVPAADSGNTQRQPVVMHASSLHRRAQTRWSSASSSRTGSSSSSARERNSVTFEEPNSRIVSRNISQHPMFIPSTNGRNLNQNPASLNLAGRNVSVAGNVASSSGVQSSSPTRVLHRSPQYHRRLSELVRRSLLSPASAGSGGVNGNSRPLRLSSPISQEMELPGNHEHRTSSALERHRDDAVGLSNSSRTLVAAREGRSRLVTEIRNVLDLMRRNEGLNVEDVMILDHSVFFGMPDIQDRHRDMRLDVDNMSYEELLALGERIGDVCTGLSEETISSRLKQRNFISIKTEQPEEAEPCCICREEYSNGDGLGTLECGHDFHTDCIKQWLMQKNLCPVCKTTGLTT >Solyc02g062710.1.1.1 pep chromosome:SL3.0:2:34991103:34992461:1 gene:Solyc02g062710.1 transcript:Solyc02g062710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVSIMSTWTVKAAGRSVIDDCEIIELTPWDILELQIEYGQGGVLCYMPTSQQMKDITKATNTTSLMDHLRVSLSRVLDFFPPLCGRLEAEEEQSGGFFIKCNDAGVQFNHAVADGVTVDDIMDQSKCVPHVVRDFFPLNGVRNIEATSKPFLGVQVTELVDGIFIGCTANHSLLDGSSFWHFFTSWAEISRGFNVISQIPFLKRQFPFEIDNFSNRICIPNERINSNSDSTHEHDPPALQDKIFHFTKESIAKLKSKANLEMKTTKISSLQAVLAHVWQSIIRCRNLDHNEETTFEVPMDMRKRLNPPLPEGFFGNAIYPATITVKAGDLLKDGGFEWAALQINEMIASHDHEKFKRIYENWMKDPEITKLGDLPRNYFMLHNSPRFNYNKYDFGWGKPIAQRGGMSNMLEGKIDVSPGIEEGSMIFEICLSPKTIQALEEHKMFVAME >Solyc12g005465.1.1 pep chromosome:SL3.0:12:273254:274339:-1 gene:Solyc12g005465.1 transcript:Solyc12g005465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFLLSQICFFLIFLRFITCGSFCEANHTFYVGGESGWVLNPSEPYNHWAERNHFQVNDTIVFKYKLGSNSALFVYKEDYYNCNKEDPIVILEHGDSRFTFDGPGTFSFISGHKDNCEKGQKLMIVVLSPNQTKAQTSLSPTPAESIDPVLLPAPAPAKSGAPLGFVSWSFSITLMMIIATYLCI >Solyc07g065580.2.1 pep chromosome:SL3.0:7:67416919:67418762:1 gene:Solyc07g065580.2 transcript:Solyc07g065580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYAPTSGVNNMTNVNTGVDQLARRLLEVQLPMANLTRIMRGILPTNAKINDGSKESMQKLGSYYINRITKKAKERCNMERRKTVTAEDILWAMINMGLTIHARLLAQYLNRYHEYNSVSYYNVRKPHPNEPIPGYPYPHFPPNVLFYDPVTAALVTSRDFEMAAENDGSPSEASTSIVMPAYPFRQLG >Solyc03g007870.3.1 pep chromosome:SL3.0:3:2399854:2401037:-1 gene:Solyc03g007870.3 transcript:Solyc03g007870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVILKLEYFDEKIKQKAMKKVSGLEGVESISIDSKEKKLTITGNIDPVSLVSKLRKLCHTDIVSVGPAKEPEKKKDDGAKKDEGAKKDDGKKDDGAKKGDDKKGGADKTKEEALPVMKAFPAPIFYHYNQHPYQHYQTPVPAYYHQRSVEEDPNSCVIC >Solyc02g069970.3.1 pep chromosome:SL3.0:2:40326289:40330154:-1 gene:Solyc02g069970.3 transcript:Solyc02g069970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVHIYVSIFLSVLDNPKSAIFATKFSSNNMLVVLISLWIIPRSDPV >Solyc06g084820.2.1 pep chromosome:SL3.0:6:41687592:41690457:1 gene:Solyc06g084820.2 transcript:Solyc06g084820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPILVIIFISFILFIIKPYINSKPNKLPPGPTGLPIIGSLLKLGTKPNQSLAELAKKHGPLMTLQLGSLTTIVVSSAKVAKEILHKHDETFSARIVPVAVAAQPNPEATLAWVNGDHMWKKKRRFLSTQMFNNQRLDLLQELRHQKAEQLVSHIRSQCESGSAVDIGRVAFATTLNLISNTIFSIDMVDTEFKTAHEFKELVWTVMEDAGVPNLSDFFPVLKWLDLQGVRKRIKPAYLRLHEIFEENIEKRIEARAIGMKKKGDFLDILLDQCEDDGSGFGTNIKPLMVDLFIAGSDTSAITTEWAMAELLRKPEELNKVRQEIMEQIGLERAVKESDMDKLPYLQAVVKETMRLHPAVPLLLPHKAQNDIQVLGFNVPKYSQVFVNAWAIGRDPKSWERALEFLPQRFIESNVDYKGRDFEFIPFGAGRRICPGIPLAIRMVNLMLASVIQPFKWKLPDGMAPEELNMEEQFGVSLRKAVPLVAVPSMEEK >Solyc03g080065.1.1 pep chromosome:SL3.0:3:53379273:53383124:1 gene:Solyc03g080065.1 transcript:Solyc03g080065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVSYYNQFITVWNQLYGSIDPTCGCICHVAAKMLLRFEEEKTQAFLLGLDDAQFGATRSQIFGTRPLHVLNEAYYLVSQEESTSRLCVTVMIEPMGWHSRLKLNPHHPRNTSAPIAGKLVTLLNGRGGRGGGRGGRGGRDPPGREQSAGRGGDTAAHADGPMPVPAAAFGNSQSGVLPGLSTEQMTRLLTMLDTPAQSGNNTGTVHALSPDWLIDSGVSHHMTGNFPSLYDIMSVPKCAIGLLDGTRVMANYCGSDRVLTTEIGRGTARNGVYVFQSQAFVSASRVDLVELLHKRLGHPSPAILCSPPFNKSLSDIKQLESVLTPPFYHGVGALVETSCVGTPQQNGLVERKHRRILNVARSLMFQASLPVEFWWECVRTAVYLINRTPSRLLSGKRFFLLRLLLPTPPSTSYTPPVQHADFPVVVSTPSPNPAAVPTTQPNTIAVKQPEPTSATEPTATVSPVDPGVVPPPAWASGCVRHPPGYLSKYVCQSATSVPPITSPSTALRSGSYALHFSTWRRLLGYLNLCRRHLSHSLRIWDLKYFLGIECARSSTGLVLCQRKYALEILQEAGLTDCKPVVTPLPPGHGLATSTSVPIHDPGKYRRLVGRLIYMTITRPDLAYSVHLLSQFMHEPKVDHLNAAMRVLRYLKGHPGQGILLRADSNLQILAYCDSDWATCPLSRKSVSGYFIMLGGSPISWKTGNRLQSLVLPQKLSTGPWLTLVTKFGGFSISSDVSESRLLHFRANPVFHELMKHVDIDCHIVRECVRRHELSTHYVPTRLQRADLFTKPLSHPSFSFLLSKLGIHDVHAPT >Solyc04g005100.3.1 pep chromosome:SL3.0:4:80670:84188:1 gene:Solyc04g005100.3 transcript:Solyc04g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNEFFIQSGNKEHEPQTYVKGFMLFGVRMMEGAAATGGSFRKSASMNNLALLDMEQQHQDHSNTGYASDDVVHPSARSRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNNNRRRRRSSLFDITTDTVQGAAKLEDNKQMNDCRMSVFRLESPVKLPVTGESSSEIGMNKSMKPIRPIPALPVPPSSKMADLNLNLSTSALVTVAGNENSPSPPRHSTAFQPAMSGGFNGSTSGDSIISVA >Solyc08g013990.3.1 pep chromosome:SL3.0:8:3493431:3504513:-1 gene:Solyc08g013990.3 transcript:Solyc08g013990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGSDSTWVGRKPMRRLGGMSDALSIAAELGFSVPPPPSQEEIQNLSTTTGENGDDLIRVLKELTAVQRKIADLQVELQGRKEDKNVAHLTHVSEMEKKIETLQRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMRAASDYGALTASVTDFQWSQNFKEPPTVWGEMLRPIPVALASSTRFFEAMSAMRESIATLHALRVGHSSSLSTTPSNDPSQTTLGDTDCVTPPPWRTESSFDDLAVGNLRRQESEPQEGSEIEKGDSMSHRRLSWPPSVKNI >Solyc01g095730.3.1 pep chromosome:SL3.0:1:86798232:86814328:1 gene:Solyc01g095730.3 transcript:Solyc01g095730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHEEWAEPSGLLPNGLVPDAGPVIRVLDSERWSKAEERTAELIACIKPDQPSEERRNAVADYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDLTTFSNNQSLKDTWAHQVRDMLEKEEKNENAEFHVKEVQYIQAEVKLIKCLVENIVVDISFNQLGGLCTLCFLEEVDHLINQNHLFKRSIILIKAWCYYESRLLGAHHGLISTYALETLVLYIFHVFNNNFAGPLEVLYRFLEFFSNFDWDNFCVSLWGPVPINSLPDVTAEPPRKDGGELLLSKTFLDSCSSVYAVFPGGQENQGQPFVSKHFNVIDPLRVNNNLGRSVSKGNFYRIRSAFGFGAKRLARLLDCPRENIIYEVNQFFMNTWDRHGSGQRPDAPEAELSHLRLSTPDDIPESQNFSVTSSGKKVKKVEGANPPNVSSQHGNHSSGTFSRMNDFSVSSYSENQKNHGNLRSSRVSDQVQKETTSSQVLHSDKIQRESKSDQIANDIQGRFVFARTRSSPELTETYGDGNNQGRRGRAPETAKTQPTLSRQDSSYKRRNQGSENVAGQSGRSLNDSMPRHVPSHQSHDPITESNCVSNSFHQESGIDVPNEELSSAGGTHGMHQEEQDLVNMMASTSIHGLNEQIHFPFNWASAQLPFPISPSFLTSMGYNQRNMPGVPTNIPFTNPAFSNMPYPHGLISPHLNQYFPGLGFNPTSEDPVDRNIENFSSMEMNSGEAENDFWQDQDGGSSVGFDPENGNYETLQSEFKQQSVHSGFNFVPSTWVSGSGNPLGAQQKYMKEKHGPIREEHSDNIQFQDSRLNDIYAEERMASSRFSSSAHSSSMRSKTSSESSWDGSSAKSSKSTRERRGKKTGASEPTTGYGKGKMMSDHVSDQAEEDDQDWNSVSNAGTEMAERNQGPHSVISMHLARHVPEHEIAQTSDSDTMIPITPMLIGPGSRQRTTDNSGVIAFYPTGPPVPFLTMLPIYNISPEAGTPDSSTSHLGGEECLDHSDSAHNFELSEGLDHSEDLTPSSSFRGATSMEPPGERKPDILNSDFASHWQNLQYGRFCQNPRHTGPLVYHSPVMVPPGYLQGRFPWDGPGRPHSANMNVFTQLMSCGPRVLPISPLQSASNRPPNVFPRYVDEIPRFRSGTGTYLPNPKVSVRDRHSSNTRRGNYNYERNDNHVDREGNWNMNPKSRAGGRNYNRSQSEKSNSRVDRLASSDSRADRSWSSHRHDSVPYLSQNGQLRGNSSHSGPPNVAYGMYPLTAMNPSGPTSNGPGGSPVVMLYPFDHNASYGSQGSQGEQLEFGSLSSAGFSGANEQPQPGEGNRQRGAFEEHRFRAVSGQRSSPDQPSSPHHQR >Solyc01g058010.3.1 pep chromosome:SL3.0:1:64953102:64957748:1 gene:Solyc01g058010.3 transcript:Solyc01g058010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSSCISISTMKPCCRILSSCKGSSFIGKCNHFINDNLSNPHCKLDDIHTVSDYATRAIGIIGSNRSFFCGSDSNWRHFRLNKETRCYSVDANVASDGRNFSTSIEAQVNEKRFNKFYIQGCLNVKPLVIDRIESGKDVAKVEEEIRTDINNGSGVYVKHPDNYLNGECVSESPHEKELSEVEKEAWNLLRGAVVNYCGFPVGTGAANDPADKQPLNYDQVFIRDFVPSALAFLLNGEGEIVKNFLLHTLQLQSWEKTVDCYNPGEGLMPASFKVRTVPLDGRNGEFEDMLDPDFGESAIGRVAPVDSGLWWVILLRAYGRITGDYNLQERVDVQTGICLILNLCLSDGFDLFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSSREMLSINDSTKSLVSAINNRLSALSFHMRDYYWLDRKKINEIYRYKTEEYSTDAINKFNIYPDQIPSWLVDWIPEIGGYLVGNLQPAHMDFRFFTHGNIWTIISSLGSHEQNESIF >Solyc01g095740.3.1 pep chromosome:SL3.0:1:86810208:86828846:-1 gene:Solyc01g095740.3 transcript:Solyc01g095740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKLLPQLRFFNPTFPLTHFPTMKCNSTLKPKTIPAFSRMFPHKLKYRSIAFPILAAQEQELRRFSSRSGRPRPGSGADVRVSKSLIEDEAELSDWVSGLRSDSSFTKTQVYSESDDNEGDRERGRGRFSNRRNGGEDRGEKRRRDDDFSGPGRRGGGPMQSNSRNGGRFGSEYSGGRGAGRGGMQSNSRNEGRFGNDRGSRYEGGRGDGGRDRKESSSRGGRFGSDVRSGSEGRRSGGRDRMESFSKGGRFGSDNGRESEGRRSGGQDRTESLPRKGGRFGSEMASSSDNRGKSRIGSGYGRDMAAQGGNGRLGRKEGAGMGRGSSMLLDEDDTDNEDDEEEEENGYKGFRDLIDSEEESEESDEDNEVEDEKMASLEEDSPRASSPSSHGKSDSHLTETRFDQFPLSPLSLKGVKDAGYKTMTVVQEATLPIILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPNTRDQKRPPILVLVICPTRELATQAAAEANTLLKYHPSIGVQVVIGGTRLALEQKRMQANPCQILVATPGRLRDHVENTAGFATRLMGVKVLVLDEADHLLDMGFRKEIERIISAIPKQRQTLLFSATVPPEVRQICHIALKRDHEFINTVEEGSEETHAQVQQMHLVSPLESHFSLLYALLKEHIADDVNYKVLVFCTTAMVTKLVAELLGELNLNVREIHSRKPQSYRTRVSDEFRQSTGLILVSSDVSARGVDYPDVTLVVQIGVPADRQQYIHRLGRTGRKGKEGQGILLLAPWEEFFLSTIKDLPVSKAPVPLLDPEAKKKVERALAHIEMKTKESAYQAWLGYYNSNRSIGKDKYRLVELANEFSRTMGLDNPPAIPKLVLGKMGLKNIPGLRSK >Solyc05g006690.3.1 pep chromosome:SL3.0:5:1362219:1363929:1 gene:Solyc05g006690.3 transcript:Solyc05g006690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEWVIKVNDELMHVEDLTTVEAEHWKKRSIYRVPVGVADVSKKAYKPQVVSFGPYHHGTDHLKTMEVHKHRALLHFLKRSGKSLTCYIDSLQQVAQDLKDAYALLDPVWQNDTNAFLRLMILDGCFMLEVLRTAAADSLLIDQSQHPQQYDYAPNDPIFSNHGKLHLMPYLKRDMLMLENQLPMLLLERLLAIENQEEKIEGLLDGIQQEDEQCINKLILDFCNPHSRAKGLGKCLHVLDVYRKSILWEDPTLGKTRPRKAPKVSNQSSGDEIIRSAMELHEAGIVFRMSKTRSLKDISFHGGILKLPLIVVDDATESMFLNLIAFERLHVGAGNEVTSYIFFMDNIIDNAKDVSLLHSNGIIQNAIGSDQAVAKLFNSLSKDITLDPDSSLDLVHKLVSDYCKLRWNEWRANLIHTYFRSPWAILSVIAAIFLFALTIVQTIYSIYPYYYPPRS >Solyc07g056060.3.1 pep chromosome:SL3.0:7:64079935:64092945:1 gene:Solyc07g056060.3 transcript:Solyc07g056060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTEGLDESAINWIKQGSEVKQSCSSSNTRSPLSEKLDDRYPISRSPLACYTPNSHVLPPLKFHSASEELNGHYTEEEEEALSTNSCQIERAGLSNRNGSTINRGVLQGNLRIEVPATPVGKLVDLGTPSAPPIMDIGADEAHSELASGLSTSGELAGTEHNSMKAFSETPEESWYRNGLGISEGLSGTQDSNRINIPNVAQVLPETESRGGDKASVIETNALPYSQQGNSVGFPSRYDTSQNGWQVLLAYDACIRLCLNAWARGCVEAPEFLRDECQMLRNAFCLQKLLLQPRCMQTTVSIHKTNGQTLPLKVRKIVGKVRVEVRKLRIVPKRKLKSTNSMRGAISLHAGADYVRHVSSLVKNGINSLKIHSTLLTCEESFRCLVLLKSSTEDTKFEPNSAVTLIPGSGDHHDLRALIKICKKRKMGHPLKYAYDDLARRSDRDVEILISPYKITHASIFSFPENQGDALLLEVQDMKKSTLGRTSIPVSAVADNNNDKIRWWPIYHDDNECVGKSGPIAETLAYDLLLEASMRAQQFCARSLRSGEPWNWLLTEFSEYYGVTDTYTRLRYLSYIMDVATPTKDCLELIHELLVPVMKARSDRSMTRQEKSLLLDCETEIEGLLATVFENYKSLDESCPTGLADMSAPLPDTAAPALAPAVQIYTLLHDILAQDAQMTLRNYIQTAAAKRCRKHMMETDDFLSINLDGFVMDSVTISTAYSKMKNLCSNISNEIQADIKIHNQHILPSSIDLSSITASVYSTELCKRLKNFLAAWPPSSPSPHVNELLIAAADFERNLDSWNLSLVQGGVDSRGLFHSYIMVWIEDMQLHLLELCKAEKVLWSGVVTNYSTSPFAEEMFEKTKQMLTEYEVVINRWPQYTIILENAVANVERAIIKAMEKQYNEILTPLKDSIPKKLGMQLGTFLNTIKRILDVLHCKLEDVLKSWASYLPANGEKKSNFGEQLNGVTVLLRTKYKNYMQAIIIKLASNTQSNRCTRLQRILEETKETDGEAEIREKLQMLNSQLSDSISNLQEVFTSAIFIAICRGYWDKMGQIILKFLEGRKENRVWYSGSYHALGVLDDIFASQMQRLQGNALQEKDIEPPRSIVEARAILCRDTSNYYRDRLSGLSLVKDSFSISPFCGVSLFLSFSGV >Solyc02g090620.3.1 pep chromosome:SL3.0:2:52792572:52805363:-1 gene:Solyc02g090620.3 transcript:Solyc02g090620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BCL1] MAEDICFFAKDSIIIKPAKKSPALLRMVVVAFTLIFGIYICSICLKQTSLENTSKYLNIEVMEKPCHNYDMDQSQIPYVHYPKPKTFSRAECSCNPVRRFAILSMQRSGSGWFETLLNSHMNVSSNGEIFSVKYRRENASSILRTLDTVYNLDFFTSASKNHCSAAVGFKWMLNQGLIEHYKEVVEYFNRKGVSVIFLFRKNLLRRMVSVLSNSHDRYAKLLNGTHKSHVHSHEEAGTLAKYKPEINTTLLITDLKRMEVSATEALDHFNSTRHMILYYEDIVRNQAKLVDVLEFLRLPKMDLSSRQVKIHNGALWKHIKNWDDVNKTLSGTAYEKFLRGDY >Solyc11g022540.2.1 pep chromosome:SL3.0:11:14426966:14436456:-1 gene:Solyc11g022540.2 transcript:Solyc11g022540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSTARSLVIFVIFSLFLAYPSLAKYQADEPVTLWVNKVGPYNNPQETYNYYSLPFCHPGDGGHKWGGLGEVLGGNELIDSRIDIKFKRDVEKSTICELVLDAAKVAQFKDAIESSYWFEFFMDDLPLWGFVGEVLPDRNRDNKHVLYTHKNFLIQYNKDQIIHVNLTQENPKPLEEGRLLGMTYSVKWVPTNITYERRFDVYLDYPFFEHQIHWFSVFNSFMMVIFLTGLVSMILMRTLHNDYAKYAREDDDLESLERDVNEESGWKLVHGDVFRPPQNLALLSAVVGTGAQLTTLVLLVIIFAIIGMLYIGLGEELLLQPLLYVMLLHPSLPDM >Solyc08g081170.3.1 pep chromosome:SL3.0:8:64363299:64367882:1 gene:Solyc08g081170.3 transcript:Solyc08g081170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFTLLSPPPFPSLLHHTLPPLQFKPFISLHHLRLKHPTTLTTVSAIGADGKYYPTPSDDDPPEAPEDSMHGVNKFQQIQRQAAKARKQQEELFKKEQSIFVNALADVEDAPDNPLVHDSDSGDDLFGEIDKAIALKRKDFVKQGLLKPNPKKSALVEVEVEGIDELLPEEVVDLEEISELTGLTEISEGEESEEDRSDFEVSDDVVKAEFSDLSSFDIDFDEYGKAKPRIAEPKFRMSLAELLDESRVVPVSVYGDLEVEISGIQHDSRLVESGDLFVCCVGMKTDGHLYLSEADKRGAVAVVASKEIDIEETLGCKALVIVEDTNAVLAVLAASFYRHPSKSMSVIGVTGTNGKTTTSYLIKAMYGAMGLRTGMLSTVGYYIYGDNKLESPHTTPDAVLVQKLMAKMVHNGTEALVMEASSHGLAVGRCDEVDFDIAVFTNLTRDHLDFHGTEEEYRDAKAKLFARMVDPARHRKIVNIDDTNATFFVAQGNPDVPVVTFAMDNKSADVHPLKFQLSLFETQVLVNTPQGILEISSGLLGRHNIYNILAAVAVGIAVGAPLEDIVKGIEEVDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDYVRELGPRRVITVFGCAGESDRGKRPIMAKIATDKSDVTILTSDNPKTEDPLDILDDMLAGVGWTMQDYLKYGENDYYPPLPNGHRLFVHDIRRVAVRCGVAMGEEGDIVVVAGKGHEAFQIEGEKREFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >Solyc08g079850.2.1 pep chromosome:SL3.0:8:63404171:63411616:-1 gene:Solyc08g079850.2 transcript:Solyc08g079850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLKILLIFIFCSFLRPSIQSDLETYIVHVESPENQISTQSSLTDLESYYLSFLPKTTTAISSSGDEEAASMIYSYHNVMKGFAARLTAAQVKEMEKKHGFVSAQKQRIFSLHTTHTPSFLGLQQNMGLWKDSNFGVGVIIGVLDTGILPDHPSFSDVGMPPPPAKWKGVCESNFTTKCNNKLIGARSYQLGNGSPIDDNGHGTHTAGTAAGAFVKGANIFGNANGTAVGVAPLAHIAVYKVCSSDGGCSDSDILAAMDAAIDDGVDILSISLGGSTKPFHDDGIALGTYSATERGIFVSASAGNSGPSLGTVANEAPWILTVGASTHDRKLKVTVKLGNSEEFEGESAYHPKTSNSTFFPLYDAGKNESDQFSAPFCSPGSLNDPAIKGKIVLCLRSISLLRVAQGQSVKDAGGVGMILINEQEEGVTKSAEAHVLPALDVSNADGKKILAYMNSSSNPVASITFHGTVIGDKNAPIVASFSSRGPSVASPGILKPDIIGPGVNVLAAWPTSVDNNKNTKSTFNIVSGTSMSCPHLSGVAALLKSAHPDWSPAAIKSAMMTTADTVNLANSPILDERLISADLFAMGAGHVNPSRASDPGLVYDTPFEDYIPYLCGLNYTNREVGKVLQRKVNCSEVKRIPEGQLNYPSFSIRLGSTPQTYTRTVTNVGDAKSSYKVEIVSPKGVVVKVEPSALNFSTLNQKLTYQVIFTKTTNISTTSDVEGFLKWNSNRHSVRSPIAVRSNLETYLVHVESPESLISTQSSLTDLDSYYLSFLPKTTTAISSSGNEEAATMIYSYHNVMTGFAARLTAEQVKEMEKIHGFVSAQKQRTLSLDTTHTSSFLGLQQNMGVWKDSNYGKGVIIGVIDTGILPDHPSFSDVGMPPPPAKWKGVCESNFTNKCNNKLIGARSYQLGHGSPIDDDGHGTHTASTAAGAFVNGANVFGNANGTAAGVAPFAHIAVYKVCNSDGCADTDVLAAMDAAIDDGVDILSISLGGGGSSDFYSNPIALGAYSATERGILVSCSAGNNGPSTGSVGNEAPWILTVGASTQDRKLKATVKLGNGEEFEGESAYRPKISNSTFFALFDAGKNASDEFETPYCRSGSLTDPVIRGKIVICLAGGGVPRVDKGQAVKDAGGVGMIIINQQRSGVTKSADAHVLPALDISDADGTKILAYMNSTSNPVATITFQGTIIGDKNAPIVAAFSSRGPSGASIGILKPDIIGPGVNILAAWPTSVDDNKNTKSTFNIISGTSMSCPHLSGVAALLKSTHPDWSPAAIKSAMMTTADTLNLANSPILDERLLPADIYAIGAGHVNPSRANDPGLVYDTPFEDYVPYLCGLNYTNRQVGNLLQRKVNCSEVKSILEAQLNYPSFSIYDLGSTPQTYTRTVTNVGDAKSSYKVEVASPEGVAIEVEPSELNFSELNQKLTYQVTFSKTANSSNTEVIEGFLKWTSNRHSVRSPIALLLIQ >Solyc11g073030.2.1 pep chromosome:SL3.0:11:56452995:56453859:-1 gene:Solyc11g073030.2 transcript:Solyc11g073030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASNSNVFQLKSIFTFLVILALTITSKTSARRILDEEPIVATDESTPTSGVSAVAGAGPATHADHPATDDHIFSFFMHDILGGSNPSAIAPNGAVLAVENGVPVTSGNSGIISNNNIPFLTGLSGTTPNVVQNNIIGGGNGYPALNMAQLGSGITFQKLMFGTLTVFDDELTQGHELNSGLVGKSQGFYVSSSEDGTSQTMAFTVMFHSGSYSDSLSFFGVHRVRVSESHLAIMGGTGKYVNAKGFATVKTFPAANQETDGVQTLLHITVYLDY >Solyc01g020404.1.1 pep chromosome:SL3.0:1:30326692:30327020:1 gene:Solyc01g020404.1 transcript:Solyc01g020404.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITLETSDDDEFKLDKSKVLRSQVIKNIVQYVDCASNVIPLTNVYDKIMFYLLLEADYLNDKEMLIIKGKTLEEVRKEFCTKNDFILEEEEESPKRILGL >Solyc11g039740.2.1 pep chromosome:SL3.0:11:43103745:43106433:-1 gene:Solyc11g039740.2 transcript:Solyc11g039740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNECTKPKKQSRAAKPESDGVSPDLSSYESACEEDPELGRFDSALKARTSLALNSIAVNSDYNSLSLESLRDVTLCFLDMNQGVVNFILESKKDIWKDPDIFDLVKDYLDSSIHIMNFCSSLDDSLERARNSQSIIQVALTKFENEINGNQGDSQLLFTETLQQFKSFKAAGDPFTAKFFSSFQAVYTHQNALLTKLKSKMSKLDKKLNRVKTWKRVSNIIFATVFVSAIICSIVAAAVTAPPMVTALAAAASVPLGTVGKWINSMWNKYEDELKRDRGILNSMEAGTFLVIQDLVNIQVLVDKLQIIFEGLLHSANFAINGADSVTSAMEEVKKNVNGFSETIELLSDHAKKCSQDISMARTVILRRIVSQPSSSNQGSGAYVAAKDLSPHITVPCGTLLS >Solyc05g014880.2.1 pep chromosome:SL3.0:5:9083953:9086788:-1 gene:Solyc05g014880.2 transcript:Solyc05g014880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGKNLGSNAKRRSRRSKAGLKFPVAHIARFLKVGKYAKRVGAGAPRFLAAVLEYLVVKVLENAKIAARNDKKTRITPRHIQLAISNTSKDVAAAQEEED >Solyc07g053550.2.1.1 pep chromosome:SL3.0:7:62101065:62101508:1 gene:Solyc07g053550.2 transcript:Solyc07g053550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQALPYKSSCISLTPRVDRHHRVSNINSLLYVKGSKEELNNVVKDNAVIVVGRRGCCMSHVVKRLLHCLGANPAIYEIEEDDENEVVDELENIIVAGGSDRKDTGRLQFPAVFVGGELFGGLDRIMAAHITGELTPVLKKAGALWL >Solyc08g078040.3.1 pep chromosome:SL3.0:8:62029663:62034745:1 gene:Solyc08g078040.3 transcript:Solyc08g078040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISSILQHAKSHSSSKISTYIQRRLFSNDVRKENIVIVGAGIAGLASALSLQRYLSAYASNSVFFIFQKNIFTFFVNFNVRFGIRSLVLEQAESLRTEGSSITLSKNGWKALDAIGVGDELRSQFLELQGVVIKSDDGKELNSFGFKDEDKSQELRVVERRVLLETLASKLPPNSISFSSKLSNIETSENGTNTLLQLQDGTRVSAEVVIACDGVWSPTAKWMGFRQPKYAGHIAFRGLGYFPEGQPYEPKVNYTYGSGLRSGFVPASKTKVYWFVLCNSSSPGPRITDPSILR >Solyc01g006730.3.1 pep chromosome:SL3.0:1:1328022:1332072:-1 gene:Solyc01g006730.3 transcript:Solyc01g006730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTCIGPKLGNNGFLQSVTAAVWKTRQQQHLPLANKGDSNSHKTQEHSSVGSSLNVDGNDANRFGGTQSTPPPHLKISGNDTNEKNSSPNVSNKPVEGVKQNKPSHVKRVSSIGLKIDSVLGRKTGNLKEIYSLGRKLGQGQFGTTYLCVDKVHGREMACKSIAKRKLNTEEDVEDVRREIQIMHHLAGHPSVVQIVGAYEDAVEVHVVMELCAGGELFDRILQRGHYSEKKAAELARVIVGVVEACHSMGVMHRDLKPENFLFINQDEDSHLKTIDFGLSVFFKPGEIFTDVVGSPYYVAPEVLRKHYGSECDIWSAGVIIYILLSGVPPFWEETEQGIFEQVLRGELDFVSEPWPSISESAKDLVRKMLVRDPKKRLTAHEVLCHPWVRVGGVAPDKPLDSAVLTRLNQFSAMNKLKKIAVRVIAESLSAEEIAGLKEMFKMIDTDNSGNITLEELKKGLERVGADLKDSEITSLMQAADTDNSGTIDYGEFIAAMLHLNKIQKEDHMYAAFSYFDQDGSGYITKDELQQACEKFGMSNIPIEELMREVDQDNDGRIDYNEFVAMMQDTGLGELGSRRR >Solyc01g065690.3.1 pep chromosome:SL3.0:1:72092529:72095230:-1 gene:Solyc01g065690.3 transcript:Solyc01g065690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQQMEKPRVTEIQVRMDCNGCVQKIKKALHSVHGIHDLYIDFPQQKITIVGSADPEKIVKAIKKTRKSAIVCSHIEQADPPTEPEEAEPAESEAPTPDSTNPPSEAPPAEEPPHEPPKDPPTQENQPAEEKQTHEGADNAKTQSGQPSKPRDVEEVHVIYHYPPDYGYRYNYSQGMSSHEPPRDHGYRYNYGQNVIHEPPQDHRYRRYNYGQSMSQEALRDHGYNRYNYGQSMSYEPPRDNSCRYHYGQSMSHEPPQRDSSFRNNQARPAGPEFRHEMPPPGQLQGDSGFRINHVRPIGPEFRPEMPPPGQPQGDSGFRNNHTRPIGPEFRPEVPPPVYATHSYNSYQPSPYVTGYEYIRSPPRYTQYTRPEHYSEDYHYGNNSNGTISSVFSDENPNACTIA >Solyc03g098030.3.1 pep chromosome:SL3.0:3:61815397:61818316:1 gene:Solyc03g098030.3 transcript:Solyc03g098030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWLALAANNILEDGQNHEVDYQTTSAQIQNLTLFVSSWNVGGIAPPSELNMEDLLHDENNLADIYVLGFQEIVPLNAGNILVQENTSISMQWNSLIRTALNKTDDIRLHHKAELGESHQKVYPLKREGSFTSNSPHFECIISKQMVGIFITIWARSPLLPYIRHTSVSSVGCGIFGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDKRERNANASQILSRTQFSSDPFQCLPRKILQHDRVIWLGDLNYRICLPEPTTRSLVNDRQWSTLLQNDQLKAELREGCTFEGWNEEEIEFAPTYKYNLDSDDYYGCNNQKGKKEKNRTPAWCDRIIWFGKGLKQRQYSRGESKLSDHRPVRAIFTAEVKVQCQSTENFVKMFS >Solyc08g065410.2.1 pep chromosome:SL3.0:8:53435507:53436309:-1 gene:Solyc08g065410.2 transcript:Solyc08g065410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGDGGVGSIREVSVVSGIPASTSTERLEILDDEKHILSFKVVGGEHRLNNYKSVTSVNEFEKNGKAYTIVLESYIVDIPQGNTGEDTKMFTDTVVKLNLQKLGVVAMAAMHGHE >Solyc01g091870.3.1 pep chromosome:SL3.0:1:85284503:85301327:1 gene:Solyc01g091870.3 transcript:Solyc01g091870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAFGKKLKETQIQEWQGYYINYKLMKKKVKNYVQQIEVSEQSREYVLKDFSRILDKQIEKIVLFLLEQQGELASRLFILGQEHDVLVQQQDGSKLSELQQSYRDVGRELLQLLFFVEMNAIGVRKILKKFDKRCGYKFTNYYVKTRANHPYSQLRQIFKHVGVSAVVGTISRNLADLQDNKGNFTSIYDHPGLPFQDPVISSINQAVDRLTNSTDFLHYLGKHALILPEELPTPSADHAANERYHLMSLLLNLANAFLYMVNTYIIVPTADDYSMSLGAAATLCGAVIGSMAVAQVFSSVYFSAWSNKSYMKPLLFSSIVLLVGNTLYALAYDFNSIYLLLVGRLFCGLGSARAVNRRYITDCVPLHLRMKASAGFVSASALGMACGPAVACLLQTNFKFLNITFNQDTLPGWIMALAWFIYLLCLWTTFREPPMEEIEDALLPKSNSEEIQKPVTSIVSAYKLLTPSVKVQLFIYFMLKYAMEILLAESSVVTTYYFIWSTSNVAVFLACLGLTVLPVNILVGSYLSNIFEERQVLLASEILVCLGIVLSFHVVIPYSVPQYVCSALITFVAAEVLEGVNLNLLSRVMSSRLSKGTYNGGLLSTEAGTLARVFADSTITLAGYWGMSRLLNSFGISPISMASNNQDLPEATVQNVLEQDTLKWVFVGGKGGVGKTTCSSILGILLSQFRSSVLIISTDPAHNLSDAFQQRFTKSPTLVNGFSNLYAMEIDPTVEKEDSISSDGMDDFLSDLANAIPGIDEAMSFAEMLKLVQTMDYSVIVFDTAPTGHTLRLLQFPSTLEKGLAKVMSLKSRFGGMLSQMTRLLGVDEEFGEAALLGKLEGMKDIIEEVNRQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKFEIDTHNIIINQVIFDEEVVESKLLKARMRMQQKYLDQFYMLYDDFNITKLPLLPQEVCGVEALKEFSHHFVTPYQPSLARGSVEELQNRVAALKLLLKDAEAELERVQKGKQKI >Solyc03g059080.2.1 pep chromosome:SL3.0:3:29811197:29813306:1 gene:Solyc03g059080.2 transcript:Solyc03g059080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCEKGKDVYLRRSSTFLKNNMICCGGAEEDSYISGPPVNQNTAPPKAGNPQSYGAGSERGEARSGGAAPKSGPPQKILPIEVPEMSLAELNRLTANFGKKTLIGEGSYGRVFAAKLSNGQQAAIKKLDTACSPEPDSDFTAQLSMVSRLKHEHFVTLLGCCLTANNRILVFEFATMGSLHDVLHGRKGVQGAEPGPVLTWNQRVKIAYGAAKGLEYLHEKVQPPIKHRDVRSSNVLLFDDFTAKIADFNLTNQCSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNEYPPKAIAKMAAVAALCVQYEADFRPNMTIVVKALQPLLNAKPAGAQPHA >Solyc11g044540.2.1 pep chromosome:SL3.0:11:32723828:32735535:1 gene:Solyc11g044540.2 transcript:Solyc11g044540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLAIKSQCLFPNQTHFKNRPSELVLPETVFPKFRNGPRYRNCILAKAEDKARENSERQLEELTTSSGTCDPLCSVDETSSQEFEATYQPKTDFLKAFAILSAAVTGTIAINQSWVAANQDIAMALLFGVGYMGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSNDIALSQLSHATAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPITLLWVVGFVTFFLSSILDNLTSTIVMVSLLRKLAPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQVSTLPTMKDLFLPSVVSLAVPLALLSLTSEVNGKGQNSAEVLASEQMAPRGQLVFAVGLGALVFVPVFKALTGLPPYMGMLLGLGVLWIITDAIHYGESERQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGILRELANYLDAHIPSTELIASSIGVVSAIIDNVPLVAATMGMYDLSSFPQDSEFWQLVAFCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYMRKVSGFAFAGYAAGIASYLAVHNLDISLPTALAQIPFLHGS >Solyc04g064870.3.1 pep chromosome:SL3.0:4:56043901:56045429:1 gene:Solyc04g064870.3 transcript:Solyc04g064870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSIVGGGNKYRDYLSDEELKNTKWRNGPPTYDVVDKLFEQERTHVWAEGSVEDKVQRLLKTWEMELVHKIDPNEMKSVDPTKFKKSVNGRKGLTPEEAVKLGGGYNTFLQTSLPENLRVYNPEDETFESSQNVFRSIFVRGFAIEVLHVYSGPPEIVYKFRHWGYMDGPFKGYVATGQLVELFGIGIFELEKESNKIVKAEFFFDRGELLGPLMKGGKNGESTSEMALLEDSKCPFMK >Solyc09g091350.1.1.1 pep chromosome:SL3.0:9:71097110:71097529:-1 gene:Solyc09g091350.1 transcript:Solyc09g091350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYFLVKVLFMLQLFIMMHNSHGCKPSFDIHNDLPKNTPRLKFHCASGDDDLGYHYPAIGSDFHWSFCATPSTLFFCHFWWNGKDLSFDVFNTLFGCVTDGHVPDYVVNCHWQVKADGINLGYFDNDVQQIIYTKYRDW >Solyc02g062610.3.1 pep chromosome:SL3.0:2:34871866:34876894:-1 gene:Solyc02g062610.3 transcript:Solyc02g062610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSCATVGTEWLNPVGTRLALPGRNNHFRGKCELSRRGFTFNGIVAAGASVMTTSVVAEPSKGLERLPFKPEGYNYWTWRGHKIHYVVEGEGFPVVLIHGFGASAFHWRYNIPELAKKYKVYALDLIGFGWSEKALIDYDAFIWKDQVVDFLKEIVKEPSVLVGNSLGGFTALIAAASLTDEVKGVTLLNSAGQFGDASSAAEGTEETALQKFILKPLKEIFQRVVLGLVFWQSKQPARIESVLKSVYINSSNVDDYLIDSITRPAADPNAGEVYYRLMTRFISNQRKYTLNSVLRQLSCPLLLLWGDLDPWVGPAKANQIKEFYPNTTIVNLKAGHCPHDEVPELVNEALLDWLSATITPASSLETVSET >Solyc10g047495.1.1.1 pep chromosome:SL3.0:10:40922067:40922072:1 gene:Solyc10g047495.1 transcript:Solyc10g047495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding N >Solyc09g065655.1.1.1 pep chromosome:SL3.0:9:64087921:64088244:-1 gene:Solyc09g065655.1 transcript:Solyc09g065655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLCEFLGFVLGFVLCVSRCTYGESSKCLTVYKEGGAPAVFKSPKCPRWKLPEYGSEQWSKLPNARCQTALHQGRRKSQEDRILCALDIHIPFPSKFSISTGRFFVY >Solyc02g086180.3.1 pep chromosome:SL3.0:2:49563327:49565967:1 gene:Solyc02g086180.3 transcript:Solyc02g086180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYLKLFVEETSFYNRLVLGTFLPESWWGPLPHMLQGWLRNYIGGVLLYFISGFLWCFYIYHLKRNVYIPKDAIPSKQAMLLQISVAMKAMPWYCALPSLSEYMIENGWTKCFARISDVGWPTYIINAAIYLVIVEFGIYWMHKLLHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVVALFLVPMHFTTHIVLIFVEALWTANIHDCIHGKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPVEEDAKKM >Solyc10g007090.3.1 pep chromosome:SL3.0:10:1458262:1458911:-1 gene:Solyc10g007090.3 transcript:Solyc10g007090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNSISNSLKSPMLLPQTSLDTNKWWIIVINKLIDVEEAKNQMLFSLPMIVVTSCFYFINLVSVMFAGHLGKFELAASNLGNSWAEVTGLSLMKISFILGERRNDLTKKVGMKMRCVGD >Solyc11g012900.2.1 pep chromosome:SL3.0:11:5682601:5690594:-1 gene:Solyc11g012900.2 transcript:Solyc11g012900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAAVAGISKDELFGQFFDALEKSHYFRSLPDGNDDQAQLDKASRIFHTALEGNILLSLAEPSVPRSKISPKMVDGGMVLPRIYTGRAGNKAMQSKLYPDAIELYSFAIALCEDNAVYYCNRAAALTQIQQYEAAVQDCQKSIAINPNYSKAYSRLGFVYYAQGKYRDAIDKGFTKALQLDPNNDSIKENIRVAEQKLKEEQQRRWNDQSSTSSSHGQDSNHQPAGAPRSHAMPPPFGSVSFDGNSIPDLTNVFMNMTRDAFQGQHGPDRPEGSNNTDSTNDPVIRISRSVNLGFGEQMPEELTGTLRSVMEMFSGAQPPENPRDNMNGRSTPN >Solyc02g030270.1.1.1 pep chromosome:SL3.0:2:25954974:25955603:-1 gene:Solyc02g030270.1 transcript:Solyc02g030270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLVEWTEPEEVTTEKLFPHLEEIEIHNCSQLTTTPCSFPGLEELRISNVSSYQPLENICSRDNSSGLTFLHTDGLLELACLPDNLLNNIKNPVYLAIYKCPNLVHDVPRGRGFGPFLRVLDIKECTNLSTLPDDLQTLQSLAMLWISRCPKITSILSLEGLTTLEELRISYCDELASLPNEMLLSCMSLKSLSIENCVNLTSFPNLQ >Solyc03g019675.1.1 pep chromosome:SL3.0:3:62936948:62939262:-1 gene:Solyc03g019675.1 transcript:Solyc03g019675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIYRSLLSPLLCRQLNLLSPKTLKHLCQILRQPQPANIFLQLFPSSANTTQIHLYLYNYRQGYLQRLESLHSSVSSKWHPAIAATDMKNLSAANCLVSEQNENNDEEDWGFVDTHPGLTEGQGSGGSRISFRGFIEMLYPEDTTINIYTLGKFLDWENGVMGATGVDLELREGIAEEERGATSETATASGSTFDQIDGRILLLDGKLGKKGSANSRCHVYLSRTVAAITTPTCDFVN >Solyc04g076960.3.1 pep chromosome:SL3.0:4:61982120:61991522:-1 gene:Solyc04g076960.3 transcript:Solyc04g076960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGNILGFATGSYSGWYKIFPFTLNTACTINCANLKAAFILDIIFIATTTCISISAANEQPLDPSRGSSHTGEEIDESSHGQEEAFLWELFGIFKYFPGVVWVILLVTALTWIGWFPFLLFDTDWFGREIYGGEPNDGKNYSAGVRMGSLGLMLNSVLLGLTSLFMEKLCRKWGAGFTWGVSNVVMSLCFIAMLIITAVRSNIDIGQGLPPDGIVIAALVVFSILGIPLAITYSVPYALVSSRIEALGLGQGLSMGVLNLAIVFPQIVVSLGSGPWDELFGGGNSPAFVVAALSAFAGGLIAILAIPRTRVERPKIFA >Solyc07g054145.1.1 pep chromosome:SL3.0:7:62638300:62644348:-1 gene:Solyc07g054145.1 transcript:Solyc07g054145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGLLLRGPAICLSSLKFTQHFHNHFYPSKFFRSTSYPKCNCIKNKDTQIVPEGFSVLKSDTPCDRGSLWSSMAFYLFSVHVPLSFGGLSAITSILHRSVLDPQTEALSLVVLQMLELIVVLLLLRCTGNPQYKLRDFFQEKQSTSERNWLLASALGFVFLVVLVFITSIIADTLVGTKEVNNPILKEILSSGPISTTSCILVYCIVTPLLEEIVYRGVFLTALSSTMKWQEAVIVSSVVFSAAHFSAENFIQLFIIGLILGCSYCWSGNLKSCIVIHSLYNALTLLITYTS >Solyc10g047540.2.1 pep chromosome:SL3.0:10:41018550:41022226:1 gene:Solyc10g047540.2 transcript:Solyc10g047540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVYEELDVVKGEVEKLREECRTKTEMTESLRKAHIDQLSKLQEAKLEIDRQANELFVKSEEIFEIKKLYDDIKSNLHEKESCVQNLSSAHEKQISVESDIQKLDEENRIDQFKTSKVEWVREKSLRRPPNKRDEEIGELRNILQTKEALFKDTKCKNLQLEQENQDLRRSLKELQESQLQGTPSTSVLKKLKRCMSELNGKEKHIDELEKDKRVNKLEESSDCSQRFSQNTEQEKRQYYQIAEDKDNTIEILQTKISCLEQKLLLEEDMTCKEVQKLEVLNKSLSDSRQTKENGCCEDVELVRHLDKILENPEEENEGTDERTPLVELNR >Solyc02g093695.1.1 pep chromosome:SL3.0:2:55077663:55079006:1 gene:Solyc02g093695.1 transcript:Solyc02g093695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLVVVSFLVSMVIIISTIVGTSTRLPFLIDSKTVEPSQYFTVTGCNNDCDIACCYCDVTKQPPLCVQCCREER >Solyc03g122250.3.1 pep chromosome:SL3.0:3:71617008:71621115:-1 gene:Solyc03g122250.3 transcript:Solyc03g122250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWFKSIGASGLLFLLWLWLFQTTEASVHDYNVEKFVSKGNAFVVHGGSEGIYSSVPNLTESPSYSSNTDSFIRFEKIIFRRPKEFSNFSSDPVHAIVFEVDDRELIGGSAYGGQRAICCTVDLAKLGVCTQGQIIHRPSASNPGWPKVFSASFSIDEVDVALQSRSIPITKTGMYNVYFIHCEPNLKEITVEGKTIWKNPTGYLPGRMAPLMNFYGYMSLAFVLLGIFWFSQYARFWREVIPLQNCITLVITLGMLEMAFWYFDYAEFNETGVRPTGTTVWAVTFGTVKRTVARLVILIVSMGYGVVRPTLGGLTSKVLLLGGTFFLASEVLELVENVGAVSDFSGKARLFFVLPVTFLDAFFIVWIFTSLSATVNKLQARRLLAKLDIYRKFTNALAVTVVVSVGWMCYELYFKSTDVYNERWRNAWIIPAFWQVLSFSLLCIICVLWAPSQNSMRYAYSDDGEEFDKDATFTLIKPSPLLPKDVRSQPEVRPTLGNSELSNGEVVEDKTE >Solyc08g079490.3.1 pep chromosome:SL3.0:8:63121341:63124974:-1 gene:Solyc08g079490.3 transcript:Solyc08g079490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRVTFTIPSGFLYGPHILQLDHGLLRLTIIRIGLQFLHRKMASFRTTTSFLVREIFRPSIHFPNVVRFIHISPAPYLETNLVVSHRILSRSLSFSPVRCAAASKGGADDGKKSPARLAQVQQLLHEAKERSQAAGNDPIPKITLDHVTVNFARSGGPGGQNVNKVNTKVDMRFNVENAYWLSDRVREKILQMEKNRINKDGELVISSTKTRTQKGNIEDALSKLQVYYELLINLPVSQDIIDAASYVPPPPSEEQVKRITKLAAIGERKRLDNKKAQSQKKAMRRSRDSYD >Solyc02g088020.1.1.1 pep chromosome:SL3.0:2:50890621:50890773:-1 gene:Solyc02g088020.1 transcript:Solyc02g088020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRQSNCAIAAGGGCGCSRKDLLLVFIVYLMNQFPDNKFILCIYSSSWI >Solyc03g093460.3.1 pep chromosome:SL3.0:3:56173956:56177274:-1 gene:Solyc03g093460.3 transcript:Solyc03g093460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHKHMLILIIVPCSVAVLIVLLLVIYLCTRRREEIVSRDVETSFEVKERDGVEKDLLIKFEDGEDLTVYEILDAPGEVIGKSSYGTLYRASLLSIDRVTLLRFLRPACTVTMKEVVPVMELLGSLRHPNLVPLCAFYAGQRGEKLMVHPFYRYGTLAQLIRDGNGDAHKWHIICRISIGIAQGLDYLHTDLEIPIIHGNLKSNNILLDRHFMPYVSDFGLHLLLNPTAGQEMLEASAAQGYKAPELIKMREVSEETDIYSLGVILLELLTGKEPFYRKPNFDKDIYLPNAIQSAILDHRVADFYHPQILVSKTDDGQRAVNEDHVYEFLQLAVACCSPSPALRPTIKQVLSKLEEIGK >Solyc07g009260.3.1 pep chromosome:SL3.0:7:4313921:4315522:-1 gene:Solyc07g009260.3 transcript:Solyc07g009260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTKVILALLFCFLLVASNEMQVGEAKVCQRRSKTWSGPCINTGNCSRQCKQQEDARFGACHRSGFGFACFCYFKC >Solyc01g095470.3.1 pep chromosome:SL3.0:1:86590663:86599226:1 gene:Solyc01g095470.3 transcript:Solyc01g095470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-6-phosphate 1-epimerase [Source:UniProtKB/TrEMBL;Acc:K4AZT6] MGHYAAVWDHTAATEVTKDWNGIEQVVLRNPQGASARVSLQGGQVTSWRNERGEELLFNSSKSIFKSPKATRGGISACFPQYGNAGSLEQLGFARNRIWTIEDDPPSLFTYDPQGKSFIDLLLKPSEDDLKFWPHSFEFRLRITLASDGSLSLISRIRNINGKQFSFSFAYHTYFSVSDISEIRIEGLETLDYLDNLCQKERFTEQGDAITFESEMDRVYLSSPNRIAVLDHERKRTYLIRKEGLPDTVVWNPWEKKAKAMVDFGDDEYKQMLCVDGAAIEKPITLKPGEEWTGRVELVAVPSSFCDL >Solyc12g017515.1.1.1 pep chromosome:SL3.0:12:6781637:6782515:1 gene:Solyc12g017515.1 transcript:Solyc12g017515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPRKSEKSCRSFRDKDKEDKEDEEKGGFVHKVKEFIEDVGDKIEEKVGFGKPTADVTAVHFPHINLKKAEIVADVLVKNPNPIPIPLIDINYLIESDGRKLISGLIPDAGTIHAHGSETVKIPVNLVYDDIKDTYRDIKTGTVIPYQIKIDLIVDVPVFGRLTLPLEKKGEIPIPHKPDIDLEKIHFQKFSSEETIAVLKLRLENKNDFDLAVNGLDYDVWLSDVNVGGADLEKCAKIGKNGVSYMDLPITFRPKDFGSALWDMLRGRGTGYTMKGHVNVDTPFGAMKLPI >Solyc04g076520.2.1 pep chromosome:SL3.0:4:61489629:61492511:-1 gene:Solyc04g076520.2 transcript:Solyc04g076520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGSGTTTTTMSPDVCGSSLLLSLPDDVFAIVSRSLSPRDVCNLGLCCRNLHDLAASEKVWLSQCEMLGVVPYRDVIEWRKGVCSYKALCHFFVSIKPLLGIWVHQNPELGNVVYVMPGFISAVGCRIIPQELGPLGLEDSPILWSPVFEVVSDFEGSAAFFLHGRENGTDYVYPGSLKKVERTCNVLLLEVEPQQYTSGGKLFHSKSFLHLSENEASRKICRSTNGVSVSERVTEQRATTVPFGRLAFVDRRKLLDTVTNQVRQTLPDAKDAILFPCLRREEADLRENMKLLYERRLLFMQMYDGGGGFTVWKAGSELPLHPSPVGLSEFRQNLDLISGCHASQTATDHESRSRKSLAGYVKHSLKYILKKSNSTNGKRDLLGKNSSGHGSKHAQLHEFLQPGDMHESKFALYKLPMRTPEVGQEYAGVWGGTFGWPPGRPSDDKPGKALFLLLLSYEESQGQKLLIATKILEGTHYVLHPNGSAMFIVNIEEPSNDPFPWCTDGHDSNPVDVKHAFMGEGIANGYGFRYPGSKPGSLFVIHDKMLAFIWKESRSVLALQRLDLQEVLSKGERIPALPPVSNFAYLTRSYSNVFANGLTSPRQNHP >Solyc05g047715.1.1.1 pep chromosome:SL3.0:5:60119011:60119598:1 gene:Solyc05g047715.1 transcript:Solyc05g047715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTPLILSCGTGLSLFVKHSATGILILLLYVNDIVVTGSSPTLIHDFINCMHHEFQMKDLASLKYFLGLEVERTNDRLLLHQTKYAGELIHRAGVDSCTTTPTPISPSRSTNGADVSFHNPRLFRSLVGGLQYLTVTHPDIQFAVNYVAQKMHSSTEKDFHTLKSILQYVKGTILYGLIYSRGDLRLRGYSDSD >Solyc02g030420.1.1.1 pep chromosome:SL3.0:2:26260850:26261170:-1 gene:Solyc02g030420.1 transcript:Solyc02g030420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLINDWNLENAPIDLFIVLDVTGNVNGTKLTLLKKVMCLVKENLRPFNQLTIVTFSSVPHRSFLLMVYPTRELEVILAINIKSLNCRIKKGLKMGDRVLQERHE >Solyc01g056230.1.1 pep chromosome:SL3.0:1:52597055:52597915:-1 gene:Solyc01g056230.1 transcript:Solyc01g056230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQPGSQLPQLGGGTELAELVEERDVMPPQRTATPLLGFQVSSLQKEALVHLQHGRRMLLLGRPIGVVPLKWYELTKLFMDNFTPNSQRQNYETQFERLVQNPDMDVATYNAKFCKFARYDPLLVSTEVYRVKRFVHGLVSCLFNALAPNMSTITYVEAVDLARKIEDKGR >Solyc02g088290.3.1 pep chromosome:SL3.0:2:51067164:51073449:-1 gene:Solyc02g088290.3 transcript:Solyc02g088290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPNQPSGGNTPISEPKDHTIKPSRGALECMVNSEIGAVLAVMRRNVRWGFRYAAEDDQLEYSLIQSFKELRKKIFSWRHEWNNVDPLLYLRPFLDVIQSDETGAPITGVALSSVYKFLTLGIIESADMNVDKALHQIVDAVTSCRFEVTDPASEEVVLMKILQVLLACMKSKASKNLTNHHVCNIVNTCFRLVHQASVKSELLQRIARHTMHELVRCIFVHLPDIESRVCADPETGKKQQEDNGCVDVAVGNDQTDEKTRKRDIACNGENPMMDPHGVPCMVEIFHFLCSLLNVMESIEIGSRSNPIAYEEDVPLFALGLINSAIELGGAAFANHPKLLALIREELFHNLMRFGLSMSPLILSTVCSIVLNLYHHLRFKLKLQLEAFFSGVLLKIAQSKHGASYQLQEVAMETLVDFCRQHMFVAEMYANYDCDISCSNIFEELANLLSKSTFPVNSPLSALNTLALEGLIAMIQGMAERIGQDSLVSDQGSFNLDGFRPFWVEICKDYNDPDHWVPFVHKMKQIKKKLLVGVDHFNRDPKKGMEYLQAVHLLPDKLDPKSVACFFRFSNGLDKNLVGDFLGSHEEFYIQVLHEFSRTFDFQDMNLDTALRIFLETFRLPGESQKIHRVLEAFSERYYEQSPDVLANKDAALVLSYSLIMLNTDQHNTQVKKKMTEEDFIRNNRRINGGNDLPREFLSELYHSICENEIRISSDRGADTPVLPPSHWIGLVHKSRQTSPFIVCDHGPYLDYDMFAMLSGQTIASISVVLDHVEQEDVWQTCIDGFLAIAKISASYNFDDVLDDLVVSLCKFTTLLLPSYTDEFIVTFAQDNKARLATLAVFTIANKYGDHIRSGWKNILECILSLHNFGLLPTRLFNDAADDVESTSDAYKSKPVAASPSTPHVPSLAPSRKSSGLMGRFSQLLYLDAEEPAPQPNEKQLAARQQTFQTIRMSHDTIFAESKFCKQSPFHSLSGPS >Solyc12g006970.1.1.1 pep chromosome:SL3.0:12:1399076:1399288:1 gene:Solyc12g006970.1 transcript:Solyc12g006970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISIHTFSCKVSIFTLPIYSLHNFNLFHNNSKIQSLKIESFSSLPPLLNQIVLSVVPFKVCDPILARFCF >Solyc08g079700.2.1 pep chromosome:SL3.0:8:63296814:63299269:1 gene:Solyc08g079700.2 transcript:Solyc08g079700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFFLISVSKVSLCVVLTKTTQHLKNKKHLVLQLHIIQKKIMGSEGNKFNDGTSFPPADPILCSNGCGFFGTAATNGLCSKCYRDFKMKEDHAAMAKVAMEKLVISRPQIESIGKVDFCSSTTSTAAERPVVEAATAEIGGSQPNRCLSCRKKVGFVGFKCRCGSTFCGTHRYPEKHDCTFDFKIKGKEEICKANPVVKADKIQRF >Solyc07g052840.1.1 pep chromosome:SL3.0:7:61419070:61419425:1 gene:Solyc07g052840.1 transcript:Solyc07g052840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPCNCSVSRSLSRSCSSSNAHSISRSCNYKLQNGPHSKGDNQQHRNYLIAAVAGCSVILLQEFPF >Solyc09g010010.1.1 pep chromosome:SL3.0:9:3446770:3447375:-1 gene:Solyc09g010010.1 transcript:Solyc09g010010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGDILFELLSEAIVLEPNHLKDMCCTKGLFALGHYYPACPQPEITPGTSKNADSDFLTVLLQDNIGGLQVLHQNHWLISNDKFKSVERRVLANKIGPRVSMACFFTTGLLPTQKLYGPIEELISDHNLPKYRETTVKEYSSFAAF >Solyc06g024240.1.1.1 pep chromosome:SL3.0:6:11611521:11611721:1 gene:Solyc06g024240.1 transcript:Solyc06g024240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSGPSPGVEQPTQNLYGQGKSDYLIKTKHCDGPNRCLRNVIFSQCCECQSEEIQPSAGKRWEKL >Solyc11g006700.1.1.1 pep chromosome:SL3.0:11:1299211:1301079:-1 gene:Solyc11g006700.1 transcript:Solyc11g006700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALQRYNFFNLCNARRRNLSSVADATLHKSDDEEHSVKGESKNSIILPYLHRAVQECAKQKTAMNGKSVHTQIIKCGYEADILTTNMLMNMYAKCGIVDFARKVFDEMSQRSLVSWNTLMGSCIQSRDEDEALRLFVRMQREGSQVSGFTVSGVLCACAAKFAVLESKQLHGFAIKVSAESNVFVSTALLDVYAKCGLMKDAFRVFDSMNERNEVTWSSMVAGYVQNELYEEAIMFFHRLQKSGIEHNQFILSSVISACAAMAALLEGNETHAIVWKTGFGANVYVASSLVDLYARCGSVDEAYIVFSNAEVKNDVIWNSMISGFARNARSLDSMTLFEKMQLAGFFPNERTYVSVLSACSHMGLVDKGRIYFDKMKKEHNLSPNLYHYSCMVDILGRKGLVEEAKDLIETMPFAATASMWGSVLASCRVCGNVEVAEIAAKHLFELEPNNAGNHVLLSNIYASKKRWGDVASTRKLLKDSEAKKERGKSWIQIKDKVHTFMVGERNHPSITEVYSRLDELLVGMEKLGYKGETEHDLHDVEVSRKHEFLRHHSEKLAFTYGLMCLPLNAPIRIMKNLRICGDCHSFMKFASKVTRREIIVRDVNRFHHFTNGSCSCGEFW >Solyc03g020010.1.1.1 pep chromosome:SL3.0:3:62686557:62687174:-1 gene:Solyc03g020010.1 transcript:Solyc03g020010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lemir [Source:UniProtKB/TrEMBL;Acc:O48625] MKINQLFFPFLILAISFNSLLSSAAESPPEVVDIDGKILRTGVDYYILPVVRGRGGGLTMDSIGDKMCPLDAVVQEHNEIDQGLPLTFTPVDPKKGVIRESTDLNIIFSANSICVQTTQWKLDDFDETTGQYFITLGGDQGNPGVETISNWFKIEKYDRDYKLLYCPTVCDFCKVICRDIGIFIQDGVRRLALSDVPFKVMFKKA >Solyc03g046455.1.1 pep chromosome:SL3.0:3:12862437:12866419:-1 gene:Solyc03g046455.1 transcript:Solyc03g046455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVSKNSFKIDELKKDLCKSFSMKDLGHAKQLLGLRITRLRDKRKINLSHKKYIERVGESINMKNVKPVSTPLAGHMKLSKKMCPTTREEKDNMTKVSYSSIVGSLMYAMVCTRPDIAHAVGVVSRFLHNSRKEHWEAVKWILRYLRGISDEFLCFGASNPILKGYTDVDMAGGAISWQSKLKKCVALSTTEAEYITATKAVKEMIWLKRFLQELGLSQMEPEEAFEATHEEINVFELQADPPGLQPSRSSAATVARISR >Solyc01g104360.1.1 pep chromosome:SL3.0:1:92651441:92651884:-1 gene:Solyc01g104360.1 transcript:Solyc01g104360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTSIRYRPAVRISGFHPGDPGSIPAVRISGFHPGDPGLIPGNGIF >Solyc09g065615.1.1 pep chromosome:SL3.0:9:64003603:64004893:1 gene:Solyc09g065615.1 transcript:Solyc09g065615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACITNCLLEWGLDNVFTIIVDNDSSNDVTVKEMSKKLSNWRINIMDGDNLHVRCTEHILNLIVQDGLKEIDVPTRWNSTYSMLDITQHFELTFERYSFYDIGYFNHLRTFGSDSSENKDGTSVEDGTTANILSSVDWKNVRGRMRTKQQFEKHKEVSGSSVNEPRFPILAEMFRDVLAIPISSVASECAFITGGRVLDPF >Solyc02g065480.2.1 pep chromosome:SL3.0:2:37189903:37195506:-1 gene:Solyc02g065480.2 transcript:Solyc02g065480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLISLHFSRFQELRNPSPRILGLRCSWQVFRLVLEKGVGERYLQMQVTNYKHKRSKSFPYTRGFKDNVHHSVEDFTQLNLDKGDRNDCSKSKKKQLSEEVKSSMKEEIMQLQRILEHQLTVRRSLEKELGYESLSQNIAQETNSMPEPTTELIREIAALESEKGRLEQDLLSLYSKRAYDQQNSSLYPPTRDDILKSPMYTKMRRCLQFSKSYTKVRRTNSCAQPDRQSASNPWKETTSTTEDRVRESVVHRSRSSLTQHLDLSKRASTTGDIPGKALRACQSQPSCMMEYAQNSSSNLISLAEHFGTRISDRVPETPNKLSEDMVRCMCTIYCKIANPCLSPTSSFSSMSAFSTKDQCDIWSPGSRKDSSFDVRDNPFHVEGLKESTGPYSSMVEVQCIYKDGHRLGDIEPLLQNFRSIVSRLEVIDPGKLSHEEKLAFWINIHNALVMHAFLSYGIPQKNVKRVYLLLKAAYNVGGHVISGNVIQNSILGCRVSKPGKLLRLLLSSGKKFKAGDERRTYAIERPEPLLHFALCSGNHSDPAVRVYTPKEVFQELEAAKEEYIIATFGLKKGQKVVLPKLVESFAKDSGLLPAGVIEMIQQCLPESFTRSITMIQQKNSHKNIEYVPRNFAFRYLIMKELVKCLV >Solyc10g084690.2.1 pep chromosome:SL3.0:10:64247140:64251081:1 gene:Solyc10g084690.2 transcript:Solyc10g084690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASRRLRDLQAQTGNKVCVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQLRKMELGGNEKLNKFMSQYGIPKETDIVTKYNTKSASVYRDRIQNLAEGKPWQDPPVVKESVKGPNSSSSRPPLSGSRIGNSGGNSGWDNWDSFDEGGDSNSSMRRNQTVGDFRSGGGSDGGPARSRSTDDVTMAQYEASAANKDNFFARKMAENDSRPEGLPPSQGGKYVGFGSSSGSMPRNNNNNSQGDVFSAVTQGFGRLSMMAASAAQSAATVVQAGTKEIGSKVAEGGYDYKMNETVNVVATKTTEIGQKGWGIMKGVLALASQKVEEFAEGPNPRDDSWQRSENERNGHYKESSQASKGWNSSGGAQSSGRQANAVSSASWDDWDSKDNKKENPTGGATSSNDDGWAGWDDGKDDGFDNFQRAPNNKHVAHGGKSESKWTDGGFL >Solyc05g054400.3.1 pep chromosome:SL3.0:5:65168726:65171172:-1 gene:Solyc05g054400.3 transcript:Solyc05g054400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDLSDKQGLGSEEMSSGVTSPETSQSNVKTCADCGTTKTPLWRGGPAGPKSLCNACGIKSRKKRRAFLGLNNEEKKSKKSVVVGHKNIEVQHHLNQSCSSSSNSDDSKSSNFVKNIVSSSLKKKLLPFGKEEVVMQRPRSRSTQKRKLGEVEQAAFLLMALSCGSFYTHGRMKIDKERKNEKCCTLGSKSSTLWVG >Solyc02g079310.2.1 pep chromosome:SL3.0:2:44476353:44487975:-1 gene:Solyc02g079310.2 transcript:Solyc02g079310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKYQSNSSTNKPVCSYKEAAMANAISSRRPSPTRLEGKFMGIFVCWILGFGSLVSWNSLLSIGDYYYALFPDYHPSRVLTLVYQPFALGTMAILAYNEAKVDTRKRNLAGYVLFTLSTVALIVLDLATSGRGGIGNYIGICFIVGCFGVADAHVQGGMVGDIAFMCPEFMQSFFGGVAASGALTSGLRLITKAAFENSNNGLRKGVMLFLAISAFFEFLCILLYSFVFPKLPIVKHYRSKAAAEGSTTVAADLAAAGIGIQPMEKANNDAKQSERLTTKQLLLQNIDYELNLYLIYVLTLSIFPGFLYPLVLIAMYNVWDLIGRYVPLIKKIELQSRKGLIIATLSRFSFIPCFYFTAKYGDQGWMIFLVSFLGLTNGYLTVCVMTAAPKGYKGPEANALGNLLVLFLLAGIFSGGKFVGILVCWILGFGSLIAWNSLMSIGDYYYALFPNYHPSRVLTLVYQPFALGTIVILAYNEAKVDTRKRILAGYILYTLSTFTLILLDLATSVRGGIGNYIGVCAIVGCFGIGDAVVQGGMTGDLSFMCPEFIQSFFAGLAASGALTSGLRLITKAAFENSNNGLRKGVMLYLAISVFFEFLCILLYAFIFPKLPIVKHYRTKAAVEGSTTVAADLAAAGIKTQSIEKTNSDAKQSERLSTKQLLFQNIDYELDLYLIYVATLSIFPGYALVLIAMYNVWDLIGRYVPLIDKIKLKSPKGLMIATLSRFLLVPCFYFTAKYGDQGWMIFLVSFLGLTNGHLTVCVMTAAPKGYKGPEQNALGNLLVVFILCGICSGVALDWLWIIGNGKF >Solyc07g064850.3.1 pep chromosome:SL3.0:7:66968522:66972231:-1 gene:Solyc07g064850.3 transcript:Solyc07g064850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Adenylyltransferase and sulfurtransferase MOCS3 [Source:UniProtKB/TrEMBL;Acc:K4CHG4] MDFNGVEATRIRQEIDHLKSKKRNIEQQISALEAQLNQLEVNSSTVCPQPLSNGDLSNSNGLSPDMIYRYSRHLLLPSFGVQGQANLLKSSVLVIGAGGLGSPALLYLAACGVGRMGIVDHDVVELNNLQRQIIHTEAYIGKSKVESAAATCRSINSSTQIVEHREAFRTSNALEIVSKYDVVVDATDNAPSRYMINDCCVVLGKPLVSGAALGLEGQLTVYNYNGGPCYRCLFPTPPPTNACQRCADSGVLGVVPGVIGCLQALEAIKVASLVGEPLSGRMLLLDALSGRFRNVKLRGRSLQCEACGDDAVLTRQTFSEFDYEKFTQTPLSTVPLKLNFLSADDRISTKEYNEKVRKGDAHILVDVRPSHHYKIVSLPNSMNIPLSTLEGRLPEISAALEKEVNKENGSNTSLFVICRRGNDSQVAVELLHKLGFTSAKDIIGGLESWTHNVDPKFPTY >Solyc03g083300.3.1 pep chromosome:SL3.0:3:54555352:54558162:-1 gene:Solyc03g083300.3 transcript:Solyc03g083300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKGANVGGGGPTAAAAAAAAQKQKSLLQRVDADIGNIVDNYGFIVNAARVNDPPVRNSQEAFMMEMRASRMVHAADSLLKLVSELKQTAMFSGFASLNDHVEQRTEEFTEQAEKTECMLSRIGEEAAASLKELESHYYSSAERTSSLPSYSQETMP >Solyc02g085600.1.1.1 pep chromosome:SL3.0:2:49067313:49068923:1 gene:Solyc02g085600.1 transcript:Solyc02g085600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAC19.14 [Source:UniProtKB/TrEMBL;Acc:Q9FYW2] MKVPFSTNDNVSSKPLVNSNNSFTFPAATNGSNLCYEPKSVLELRRSPSPIVDKQIITTNPDLSALCGGEDPLQLGDHVLSNFEDWDSLMRELGLHDDSASLSKTNPLTHSESLTQFHNLSEFSAESNQFPSPDFSFSDTNFPQQFPTVNQASFINALDLSGDIHQNWSVGFDYVDELIRFAECFETNAFQLAHVILARLNQRLRSAAGKPLQRAAFYFKEALQAQLAGSARQTRSSSSSDVIQTIKSYKILSNISPIPMFSSFTANQAVLEAVDGSMLVHVIDFDIGLGGHWASFMKELADKAECRKANAPILRITALVPEEYAVESRLIRENLTQFARELNIGFEIDFVLIRTFELLSFKAIKFMEGEKTAVLLSPAIFRRVGSGFVNELRRISPNVVVHVDSEGLMGYGAMSFRQTVIDGLEFYSTLLESLEAANIGGGNCGDWMRKIENFVLFPKIVDMIGAVGRRGGGGSWRDAMVDAGFRPVGLSQFADFQADCLLGRVQVRGFHVAKRQAEMLLCWHDRALVATSAWRC >Solyc02g070290.3.1 pep chromosome:SL3.0:2:40630534:40646849:-1 gene:Solyc02g070290.3 transcript:Solyc02g070290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGEEIEIAEENEFPSVIGRKYSPVVAHDNDSAVIEMSSVRPGSSSSLPNHDLKNVKVGVHPNMASEERDESLSNHSSNGPQRESKLELFGFDSLVNILGLKSMTGDQIPAPSSPRDGEDITLTLEQPKPTADKSGTLMGVFMPCLQNILGIIYYIRFSWIVGMAGIGESLLLVVFCGSCTFLTTISLSAIASNGAMKGGGPYYLIGRALGPEVGVSIGLCFFLGNAIAGAMYVLGAVETFLNAVPSAGIFRETITRVNGTDIAEPITSPSLHDLQIYGIVVSILLCFVVFGGVKMINRVAPAFLVPVLFSLLCIFVGIFSARHDHPAVGITGLSLESFKNNWGSAYQMTNNAGIPDPNGDIYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRAIPVGTLAATLTTTSLYLVSVLLFGAVATRDKLLTDRLLSATVAWPFPAIVYVGIILSTLGAALQSLTGAPRLLAAIANDEILPVLNYFKVADGHEPHVATLFTAIICIGCVVIGNLDLVSPTTTMFYLVCYAGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLVGALLCIVTMFLISWAFTIVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLIFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSIIDGDYHERAEDAKDACKELSTYIDYKQCEGVAEIVVAPSMSEGFRGIVQTMGLGNLKPNIIVMRYPEIWRRENLTDIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQRQYGTIDLYWIVRDGGLMLLLSQLLLTKDSFEGCKIQVFCIAEEDSDAEGLKADVKKFLYDLRMQAEVIVISMKSWEAEGEQQESVEAFSAARRRVASYLEEMKEQAQRDRTPLMADGKPVFVEEQQVEKFLYTTLKLNLMVQKYSRMASVVLVSLPPPPLNHPATFYMEYMDLLVENIPRLLIVRGYHKDVVTLFT >Solyc07g006910.3.1 pep chromosome:SL3.0:7:1761190:1764955:-1 gene:Solyc07g006910.3 transcript:Solyc07g006910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGFLMRKDVRKLLKRKDSDAGEKGRALEEARASLFSKLRAFGGGKRQQTTLWGPTVALTFNFFVSVSIILMNKLVLVTVGFNYPIFLSFLHYVCSWLIMAILNALSILSLSTPSKSTKYSSLLSLGIVMSLSTGLANVSLKYNSVGFYQMAKIAVTPAIVLAEFVLFRKKISFLKVLALTVVSVGVAVATVTDLQFHFFGACIAVAWIVPSAVNKILWSNLQQQENWTALALMWKTTPITLFFLVVLMPYLDPPGVLSFSWNFYNSTIIGASAILGFLLQWSGALALGATSATTHVVLGQFKTCVILLGGFLIFGSNPGPISILGAITALVGMSFYTYLNLQPSSSKQSLSKSKLGKENADGSHADESV >Solyc10g008550.3.1 pep chromosome:SL3.0:10:2650101:2654187:1 gene:Solyc10g008550.3 transcript:Solyc10g008550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Secretory carrier-associated membrane protein [Source:UniProtKB/TrEMBL;Acc:K4CY32] MAFNDNPFAEEANVNPFANGSSARASTVDIPLDSAKDLKKKEKDLQARETDLKRREEDVRRREEALAGAGVVIEHRNWPPFLPIIHHDIANEIPIHLQKIQYVAFTTLLGLAGCMVWNIVSTACMWIKGGDVGAWFFGLIYFLGIPSAYFLWYRPLYRAMRTDSALNFGWFFFCYAIHIVFCVLATAAPPFVFRQNSITGFIIAINIIGWNSIVGILYFIGAGLFALESVISIWVIQQVFTYFRGSGKAAEMKKEAARSTINTVM >Solyc07g008580.2.1 pep chromosome:SL3.0:7:3537739:3546868:-1 gene:Solyc07g008580.2 transcript:Solyc07g008580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCYRHKKLKEDDIAICQCKYDTSDPKSACVDRCLNVLTNTECTPGYCQCGDSCNNQMFQQREYAKTKLFRTNERGWGLFADENIKAGQFIIEYCGEVISSEAAKKRSYVYEAHEVKDTYMITLDTNYVIDSTRKGNFSRFLNHSCRPNCETRKWTVLGETRLGIFAMKDISVGKELTINYYFEWYAGATVRCLCGAANCCIFLGAKSQRFKEYNHVWKDGNDRGYENKLTLKLNSAYEETRPTIEEHGYCAHQ >Solyc04g071130.1.1.1 pep chromosome:SL3.0:4:58139424:58140557:-1 gene:Solyc04g071130.1 transcript:Solyc04g071130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSPLNRLPQDTLHQIFSHLTLREIIVSKCVCKCLNATLSSPAFLHLISTQQPPLSLLALRPSHRTHTHTHNNSSSHCALHVFDTMLNYWFRFPLSFLPFRSHYPITSSHGLLYLWAEGPTSVSPPGNNSKTLIVCNPLTRQFKLLPQLGSAWCKHGSVLVGSPNQVLVLTELAAIYFSGSTTSNNWLKFSSNLPSKPRSPILISDTILALCDVGSPWRSQWKLFRSTVKDLQFSQQWTRLEKHEWGDIFDIMKRPRLLAGKNDKVLMIGGLKSSYSLHSTCSTILILRLDMESLEWEEAGRMPPEMFRYFQDSSKFKVFGGGSRVCFSGKRVGRLALWEENERGKGEWRWISGVPGNSDGLYRGFVFEARLNAVP >Solyc09g018905.1.1 pep chromosome:SL3.0:9:17740666:17742717:1 gene:Solyc09g018905.1 transcript:Solyc09g018905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLPYARRWTRGIDRDTESHHVLIPIRDQLDRMTEDQWQRDTTSASHGEEGDPLFEHVRARGTRRERMGHRGRARGYALGRGAGGIPIPPDIEAETRDVEGIGRMSYESTIDVGDYLSDIAIHTTGPSSTVEESDNHRGYCSDS >Solyc08g054570.2.1 pep chromosome:SL3.0:8:10129051:10129903:-1 gene:Solyc08g054570.2 transcript:Solyc08g054570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAVAFKVYDLKNTGYIERDEVKDMVLAILEESKLTLANDTIEAMVHKTIEEADLNGDGRIDPKEWKELVRRYPSLIKNMTLPYL >Solyc01g005520.3.1 pep chromosome:SL3.0:1:350024:352716:1 gene:Solyc01g005520.3 transcript:Solyc01g005520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTISYTSLCSLLKLNQTNQKTPFSPSLSSPSSPSSLVKIKNQLCFSSNFCSHLNKASIFMVKASETEATQSEKEEEDKYEEYEVEIIQPYGLKFTKGRDGGTYIDAIAPGGFADKTGKFAVGDKVIATSAVFGEEIWPAAEYGRTMYTIRQRIGPLLMKMQKRYGKVEEVGELTEKEIIRAERNAGFISDRVREIQVTNARRKKEQKEQRERELREGLKLSKNGKYEEALEKFESVLGSRPTGDELAVASYNVACCYSKLNQLQAGLSALKDAMEAGFEDFKRIRTDPDLANLRTSEDFETLMKKFDESFINENAINAIKSLFGFNKK >Solyc05g052055.1.1.1 pep chromosome:SL3.0:5:63254160:63255014:1 gene:Solyc05g052055.1 transcript:Solyc05g052055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRNNHLFNYCSSLFPCHQQFGRQEDDYQEFIDQALCESDEFRMYTYKIKRCSNLHSHDWTSCPFTHRGEKARRRDPREYNYLPIPCPGYKFASCVKGDSCELSHGVFEYWLHPAKYRTHPCHAGTLCDRRVCFFAHTLEELRPETKYNWCYVYQYPLHIQPYPDILIEDISKGIWIIIPCNYHQSPSPSHDSTIVSEPENSSHQSQPKIHQTFQNRSDFSLFSTSHSKLIEELKNLEIGSTSHAKVNIIDDEKGKKPVELELEEENQNFNWVTDLLVDDTSL >Solyc03g006910.3.1 pep chromosome:SL3.0:3:1472750:1475146:-1 gene:Solyc03g006910.3 transcript:Solyc03g006910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLQGSDDLLIQILSNTCQQNKSSLDAVMDFASTDTITRTHIPPNINSQKKIISKRKLSTHSNKNDGIASDDHFKLKKIIHRDIERQRRQEMAALYSSLRSLLPLQYVKGKRSVSDHMHEAANYIKEMQGNIKELEKRRDLLMKSIRHGNENADKNNRFTDCTVTVSPWLQEGIEISISVDCEGKTFPLSKILGELLKQGLNVVSCVSAKADQRSLYSIHTEACDMNNIDHLALQQKVIDMINLDL >Solyc11g068420.2.1 pep chromosome:SL3.0:11:53441222:53445106:1 gene:Solyc11g068420.2 transcript:Solyc11g068420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein [Source:UniProtKB/TrEMBL;Acc:K4DA00] MSKLQSEALREAISVIKNDSAEKKRKFSETIELQIGLKNYDPQKDKRFSGSVRLPHIPRPKMKICMLGDAQHVGEAEKIGLEYMDVESLKKLNKNKKLVKKLAKKYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVSHQESLESKVNETKATIKFQLKKVLCMGVAVGNCDMEEKQIFQNVQMSVNFLVSLLKKNWQNVRCLYLKSTMGKTQRVF >Solyc06g036640.3.1 pep chromosome:SL3.0:6:27088932:27091774:-1 gene:Solyc06g036640.3 transcript:Solyc06g036640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQRSLERVVSQRALQIGSSYPCQICVLAFLSGVCLTSLFLVGLPISTFTSSTTLISHCNVKQDKLERWFGDKRPLSLLYSSWSNQSSNEGKSKAPHLENCKLSAQTNERLDTRLVNHTFPPWKGHFSSTPQGAYPPWIKGSDEENYPLTRKVQRDIWLHQHPLNCSDGNVKFLIADWERIPGFGIGAQIAGMSGLLAIAIIQKRILVTSYYNRADHNGCKGSSRSSWSCYFLPETSPECRDRAFQIMKQKEAWEKGIITIKENYTSKEIWSGRTPRSWGKPWSYLQPTTDINGSLIAYHRKMDRRWWRAQAVRYLMRFQTEYTCNLLNHARHAAFGWEAAQMVLESQFTDFPEGVEKAAAKHDIESFVWSSHKPWSPRPMLSMHVRMGDKACEMIVVGFKEYMHLAERIRKHFPNLKSIWLSTEMQEVIDQSRLYPHWTFYYTNVTRQTGNMTMATYEASLGRETSTNYPLVNFLLASEADFFVGALGSTWCFLIDGMRNTGGKVMSGYLSVNKDRFW >Solyc08g008400.2.1 pep chromosome:SL3.0:8:2810810:2814266:1 gene:Solyc08g008400.2 transcript:Solyc08g008400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EPPINVANTVLFVLAYDYSTEKFSIYVSDDRGSELTLFALAEAARFGVYCLPFCRENGVVERCPDAYFSSDNYFKNSQSHKIKLMYGNMITRINNVVEKGKVNEEYISYEDEQQAYTKYSSDGFTPHHHPSIIQEIMGLIGPISMQVSLKLWPMGIQWTWMGFVAQIIWAPGAFSKHRAFYGGPSSFVQQEMVQDHVVNKPIKAQTILEQAHQMASFNYENQTN >Solyc05g018820.1.1.1 pep chromosome:SL3.0:5:24000333:24000902:-1 gene:Solyc05g018820.1 transcript:Solyc05g018820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIECLASWLTPIILFCLLNLMIGTIFITSNLKTHKNTVTTTTPSRVKSFNFCFPDPFPSHNSQLDPTSSLLQRVKSINLSFSRPDQIPLYDDEIEPQIEVSHVTRSKSATCTEEKVQTRTILVKSASEKKMPEVDLRRPATTRETARDDEAVDKKADDFINKFRQQLKLQRLHSILRYKQMLNRGDPSN >Solyc03g095980.3.1 pep chromosome:SL3.0:3:59208970:59210106:-1 gene:Solyc03g095980.3 transcript:Solyc03g095980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSFSSEYCDVNDFLVQNYLPQCSHEGRESASRSHSEAEKRRRDRINAQLSTLRKLIPTWEKMDKAALLGSVVDHVKDLKDKTAEISNVLNTPTDTDEVSIEHLNEEEDNKGCLIKASFCCDDRPELFSELQRGIKNLQLRMMEADITSLGGRIKCVFMLSPNDNYVCINSLEKSLKAVLSRIAISPSTSNYRIKSKRQRFFLPPQFS >Solyc10g006610.3.1 pep chromosome:SL3.0:10:1127096:1130497:-1 gene:Solyc10g006610.3 transcript:Solyc10g006610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERAIPEASKSPMKATEGSIVEENKKALHFIEEVTTNVDEVQKRVLAEILSQNANVEYLQRHDLNGHTDRETFKKVMPVITYEDIQPDINRIANGDKSPIFCFQSTSEFLTSSGTSGGERKLMPTIQEEIGKRFHLRGLGMSVISQFVPDLEKGKGMYFWSIKSEAKTPGGLPARPVLTSMFKSPYFNNNRFSPYANYTSPTETILCQDSYQNMYSQMLCGLYQRKEVLRVGSPFASGFIRAIRFLEKHWSLLCNDIRTGTVNAQITNLSVRESVMKILKPDPELADFIEAECSKDSWQGIIARLWPNTKYVDVIVTGSMSQYIPTLDYYSNGLPLVSSIYASSECHFGVNLNPFCKPSEVCYTLIPTMCYFEFAPIHRDNGLINSISKSKSLNEKEQNQLVDLVDVKIGQEYELVVTTYSGLYRYRVGDVLRVAGYKNNAPQFCFVCRENVILSIDSDKTDEAELQNAVKNAVHNLIPFDANVVEYTSYADTTAIPGHYVLYWELSLNGSTHIPPSVFEDCCLTIEESLNSVYRQGRASDKSIGPLEIRIVEIGTFDKLMDYFVSLGASPDQYKTPRCLKSAPLVELLNSRVMSRHFSPTCPNWVPGHKQWYNMN >Solyc08g065690.1.1 pep chromosome:SL3.0:8:54003718:54005955:1 gene:Solyc08g065690.1 transcript:Solyc08g065690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKYIVCVALVVVLSICVNIEGRSVSKFLTEETVGTKWAVLVAGSNGWWNYRHQADVCHAYQLLKKGGLKDENIIVFMYDDIANNTMNPRPGVIINNPHGQDVYKGVPKDYVGEDVNAENFFNVILANKSGITGGSGKVLNSAPNDHIFIYYVDHGGPGIVSMPTGVVYANDLIDVLKKKHGSGTYSKLVFYLEACESGSMFDGLLPEGLDIYVTTASNPNESSWGTYCGVGDARDPCLVACPPPEFKGVCLGDLYSVAWMEDSDVQDRQTETLDDQYDRIANRTAANLTYGSHVMQYGDMVLSVDALFQYMGVASINHSHVSMNSYKSSSQNVEQRETELFYWQSKYDNAPEGSDDYFEARAKLINVVAHRSQVDNNVKHIGDLLFGVKYGNEALQTVRSSGQPLVDNWDCLKSYVEIFEAHCGKLSSYGKKHIRGIANICNAGIEREQMTAATVQACGPL >Solyc10g085200.2.1 pep chromosome:SL3.0:10:64594003:64598874:1 gene:Solyc10g085200.2 transcript:Solyc10g085200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKEAKSSYFDMPALDVSVAFPQATPASNFPPCTSDYYQLADLLTPEEQAIRMKVRECMEKEIAPIMTKYWEKAEFPFEIIPKFGALHIAGGTIKGYGCPGHSITGSAVAAAEVARVDASCSTFLLVHSSLAMLTIGLCGSEMQKEKYLPSLAEFDTVACWGLTEPDYGSDASGLGTTARKVEGGWILEGQKRWIGNSTFADILVIFARNTSTNQINGFIVKKDAPGLQCTKIENKIGLRIVQNGDILLKNVFVPDEDRLPGVNSFQDTNKVLAVSRVMVAWQPIGITMGVYDMCHRYLKERKQFGAPLAAFQINQQKLVQMLSNIQAMILVGWRLCKLHESDKLTHGHASLGKSWITLRARETVALGRELLGGNGILADFHVAKAFCDLEPIYTFEGTYDINTLVTGREITGLASFKPAPVRQKSRL >Solyc07g053280.3.1 pep chromosome:SL3.0:7:61875637:61881023:-1 gene:Solyc07g053280.3 transcript:Solyc07g053280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAATSFSITTSTPPSSSASSTKSLKPSLVGNLGFLSSSTPSLKPLRAQAAVSSSSSNSGGGALAARMVAAPATVKAPASFDFETSVFKKEKVTLAGNDEYIVRGGRDLFKYLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEIKSDIVVKIGLRKGSSSFAEARTAGFTEENGTLGDIYETISGSDLVLLLISDAAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQTHTFYYLQDIDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGVVESLFRRYTENGMNEELAYKNTVECITGVISKTISTKGMLAVYDSLTEEGKKEFEAAYSASFYPCMEILYECYEDVATGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRATRPAGDLGPLYPFTAGVFVALMMAQIEVLRKKGHSYSEIINESVIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYNLTQQALVAVDNNTPINRDLISNFLADPVHGAIKVCAELRPTVDISVTADADFVRPELRQSVN >Solyc07g054810.1.1.1 pep chromosome:SL3.0:7:63119526:63119714:1 gene:Solyc07g054810.1 transcript:Solyc07g054810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGIKSSPASSSSESGNQWWPRWFSGAGDSGKKAFMDDQKRRVRDAEKAEKIMHLILWGPK >Solyc01g059960.1.1 pep chromosome:SL3.0:1:69300313:69302040:1 gene:Solyc01g059960.1 transcript:Solyc01g059960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALFLDYTLSYMNLTSLYFKMATAKRTTVSIFIICFKKRKPPRYRRPKMVLSTTTIMKIAKEQLKLYTSQNHDAYSIIFSPLIADLGLSPQVTEEVELVLLLLVSAEMLANRQLDCARKLLNLCINFSLSAGNPVQRVVYYFAESLQKRINKGTGIPTIAAKIDAVNPNIMEDVLMDPRTDVIETEQMLPFRKVTQFTGIQSILDSVKSFKRIHLIDFGIKTGSQWTILMQALVGNGECPPEHLKITAVGTSLIRMQEVGKRLTSFADTLHIPFSFKTVVSDLRHINKDLFESKVGEVVAIYSDSRLWTLLAWPNHLQSLIQVCKSLDPCVMVVTEIEANTNTPIFIDRFNEALFYYSAIFDSLETCIGWNHQYRAVAQGVYIRRIIENVITSEGEEMVHRHEKLRSWRTLFKNFGIEEAELSHSSLYQAKLLAENSTCHGLCSLEMDGKSLIIKWKGTPIKSLSAWKFHQ >Solyc02g083775.1.1 pep chromosome:SL3.0:2:47605294:47605885:-1 gene:Solyc02g083775.1 transcript:Solyc02g083775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFMLQIGGRSPNKIVFAKNTEKIFQTDFHPAYDANGMIEFNEPVPFLTRNLQAFFSHFGVEGLVVSAVCAAAQAVVSPKQSQLLWYHLAIFFRDELLSWSWRRPLGMPLAAVVCSGNPNLVDLKQKVTTNVENVFGLINGIAPQYISEELSTCLLFGAIYIALEFLTK >Solyc06g060740.2.1.1 pep chromosome:SL3.0:6:38886574:38887488:1 gene:Solyc06g060740.2 transcript:Solyc06g060740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHKCKLCSRIFTSGKAMGGHMRSHLRTLPLPPKTPPQKQDSGGGGGRSESTLSLYSLKEEEDGEEIGEEKHLGYGLRENPKKSSRIVDPEFLDAGSVVHDRDQSETESTKKPTRRRSKRTRRMFVSDEAAVDDHPEVKKEKSAEFEPVSSFSDTSPEEDIAVCLMMLSKDVWRNSKFRDQNSKEKYQCEICNKVLKSSQALGSHKTIHKKNNSEEQNQGKSRELRLKNVDEKLHECPFCGKIFGSGQALGGHKRTHILSSSITASSSSKVGDSLMDSSSAKFPFGFIDLNMPAPMEDEDFSH >Solyc06g050525.1.1 pep chromosome:SL3.0:6:33299759:33300332:-1 gene:Solyc06g050525.1 transcript:Solyc06g050525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLDPLHFFLGVEVKYFEEGIHLNQSKYVVELLAKTEITLARLKPVYAKPNFKNFQRKRFSGISKILYTWDSKLFHNNRVDCMTTHLMIGEVVPPLGDQVWATSTEVQITSKKQTIVARSSVEVECRALAFTVAELT >Solyc02g086406.1.1 pep chromosome:SL3.0:2:49707005:49707319:-1 gene:Solyc02g086406.1 transcript:Solyc02g086406.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYSTNRPFWRFVFVGLHMYSGSPMRVLHSLKESLLRIYLVRPTVSFKIVDIESEDERLCTHASPSLRCRYCPLGFSFL >Solyc08g068740.1.1.1 pep chromosome:SL3.0:8:57938066:57938434:-1 gene:Solyc08g068740.1 transcript:Solyc08g068740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVKYYSTIFFSVMRKMRNYFFKVTLFLAPFLAFGLPSLVASPGTVVVVGCLRQCQGEEKRGGATWSSPPAALRWNLAAVTLAGRREKGRGKGEKRGGGKEEGDDGREEKEEGRGRREREGG >Solyc09g082920.1.1.1 pep chromosome:SL3.0:9:69038055:69038441:-1 gene:Solyc09g082920.1 transcript:Solyc09g082920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPINIFPKIFSQLSSLSNLHKLSQIRISSTQLIIHHRGARKYSSDDHPPEKPPPEFPAAPQPDKPSESSEAPSALDFNDDPTPQYRGGPYGSGAAIPQQSSKSKVRQPHGAEVKTPVPPDSDDIVSS >Solyc07g056150.3.1 pep chromosome:SL3.0:7:64125291:64129853:-1 gene:Solyc07g056150.3 transcript:Solyc07g056150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKCDLAHRRAVSTEEGEQFAKENGLIFMEASAKTAQNVEEAFIRTASTIYKKIQDGVFDVSNESYGIKVGYGGIPGPSGGRDGAASQGGGCCT >Solyc09g065755.1.1 pep chromosome:SL3.0:9:64264132:64269346:-1 gene:Solyc09g065755.1 transcript:Solyc09g065755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGGKGLLAGKTTAAAAAAANKEKDKKRPVSRSSRAGLQFPVGRIHRQLKSRTAAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >Solyc01g009660.2.1 pep chromosome:SL3.0:1:3830916:3833739:-1 gene:Solyc01g009660.2 transcript:Solyc01g009660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAQQYRANEKDEDELVLEDDKIGLKTKLEDDPNAPKEDKSASNYQTKVTDPTDSEEVGATPLVESFEKMSVKEETKPVKGVSMKNYLAEKFKPRDEDKALSEMISVKLSGRKDKTEGSEQVEPTETEKPEGVATQKGSTDEQRDAAAVPATTHVEGAGKSMINRLKGVASSWLHKAPPAQTPTSTTHGT >Solyc07g063905.1.1 pep chromosome:SL3.0:7:66379970:66380672:1 gene:Solyc07g063905.1 transcript:Solyc07g063905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLELMACCGCSSRLPPLEDIGPLVPGPAQPINRKIRRRRRGRGRPTSTVDSVPNNWRPSLSAISEDNALPPRNVKRKVITTSPPATKPRRHSSEDTRRIPVSALAPAFSPTPFLF >Solyc09g018070.2.1 pep chromosome:SL3.0:9:12743795:12749548:-1 gene:Solyc09g018070.2 transcript:Solyc09g018070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTMNESREESDDIISITSDEMAAAAANIELTVKQREEEYVHTYSSRSNNLQLFQRVSVNQVSGELLLLGKIACPVALTTLLLFSKNIISMLFLGHMGKTELAGGSLAIGFANVTGYSVMKGLCMGMEPICSQAYGAKRWSVLTQTYFKMFLLLLAVTVPITFLWLNVEHVFLRLGQDRVISKVAKGYLIFSIPELLASAHLNPLRAFLRTQYINTPATLVATCSTILHLPITYFLVTYLDLNVKGIALASVLYSLNMNIGLLLYLFLSKVAIKPWAGVTFISTFQGWRPLLSLSLPSLFSVCLEWWWYEIVLFLSGLLSNPDSCVAAMGILIQTTGTIYVFPFSLGLSISQRVGTELGAGNPDRAKLAAIIGICVAMALGFTAFGVTIAMKSVWGKLYTNEAEILALISGALPILGLAEIGNAPQTAACGALTGSARPTMGVRINLAAFYLVGLPCACVFAFKLNIGFRGLWLGLVASQFSCLIMMVYTLMQTDWKLQARRAEDLTKASQEKDDAGTNLVP >Solyc10g051310.2.1 pep chromosome:SL3.0:10:51834442:51839816:-1 gene:Solyc10g051310.2 transcript:Solyc10g051310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:Q42886] MVTSAIAGTSIVPVSSRHQTSFSSLLSSRSLRKNVVSVLRSPYSDSSDIGFSSKKLGIPLKFNEYESGAHTNSSYGVIVAKEGANPPIMPAVMTPVGALDLSTVLFRNRIIFIGQPVNSAVAQKVISQLVTLATIDENADILIYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGAAASQGALLLAGGEKGMRYAMPNARIMIHQPQSGCGGHVEDVRRQVNEAVQSRQKVDKMYAAFTGQSIEMIQTYTERDRFMSSAEAMEFGLIDGVLETEY >Solyc03g059155.1.1 pep chromosome:SL3.0:3:30064697:30066422:1 gene:Solyc03g059155.1 transcript:Solyc03g059155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYIVEFCHVNVYNVILRMSTMLFINPTICSTTTELAKLIMFKGPVMHENIDWNVKVQQRIKQLASDWRACFAELVTLFAMKHPTNGTGLESLRYLVVIHALQQLATPCSEHQLKKVKLVVHYAAHVIAWPPRITGAKSCLQKSTHCISLVSGSKKIALKLAANSRGSIITGEFKDTKQRFLFARIFWHTIVNNKTMVGRSSHTTQCTNLTAQSF >Solyc11g061930.1.1.1 pep chromosome:SL3.0:11:48947740:48948096:1 gene:Solyc11g061930.1 transcript:Solyc11g061930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKFQISVRQATIGNIDHPNRFNYWINPFFGGKRRRYKRNIIYFDSSQTLLQPQPIPRPQFDFGLEHYMTIKRSSVVHLKKPEIESNKRMKKIRFNNELDCQSEYPFLAEIGMLSIR >Solyc02g071310.3.1 pep chromosome:SL3.0:2:41358547:41361827:1 gene:Solyc02g071310.3 transcript:Solyc02g071310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMKPVASLLLVLNFCMYVVVLGIGGWAMNFAIDNGFVIGPGMNLPAHFSPIYFPIGNAATGFFVVFALIAGVVGVASVLSGLNHIRHWNIDSLPSAASAATIAWSLTVLAMGFAWKEIELNYRNSKLKTMEAFMIILSFTQLVYIAAIHGASSRR >Solyc10g008930.1.1.1 pep chromosome:SL3.0:10:2940352:2940735:1 gene:Solyc10g008930.1 transcript:Solyc10g008930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYVGEMRSRYKNSFITTSVAKSVSEHAIIVVAIRGCCMCHVVKNLLLGLGVNPTIFEVNNEDEDDVKTELSIITSGVGDGGGDGTTTEFPAVFVGGNLFGGLERVISTHISGELVPILKDVGALWL >Solyc10g012380.1.1.1 pep chromosome:SL3.0:10:5040124:5040573:1 gene:Solyc10g012380.1 transcript:Solyc10g012380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSLFKRANDLAILCGIHIAILIFSVGRQPIFFGMPDVETVVQKFMEANHPTAPRFYMKIKKTEEENKEKGKSVEDNIAHRQLEDFESPYLGSLLKLYQGLTEFEDLLNKEIDPTQLNQEIEKHEDPKIVSKMNVASSSYLPTDMLSP >Solyc02g014250.2.1 pep chromosome:SL3.0:2:16135701:16142505:-1 gene:Solyc02g014250.2 transcript:Solyc02g014250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREAVDDSSEDKFVSLFDFEKEYEKLSSEFSHNSNCVSRCLKKQLKDKTIENWLQKLNLWPMKLMTYWEDVKMRKLNSSNLDLCFYHPGIINFHHKIVKMMKDIMEKLDAIAEERSKFHLPENIIERQATSTHETGVTTN >Solyc10g085650.2.1 pep chromosome:SL3.0:10:64883316:64892221:1 gene:Solyc10g085650.2 transcript:Solyc10g085650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVILKNLSLCVLLFMSFLLFFEVNASHEINRKLQSVSNNVVKRILRPKFHFRPAKHWINGPMYYNGIYHLFYQYNTKGEVWGNIVWAHSVSVDMVNWINLEHAIYPSEVFDKYGTWSGSTTILPGNKPVILYTGIVDDNQTQVQNYAIPANLSDPFLRKWIKPDNNPLVVADESINKTKFRDPTTAWLGQDGSWRMLVGSLRKNKRGLAILYRSKDFVKWTKAPHPFHSSVNTGNWECVDFFPVSLEKTDGLDTSAIGDKIKHVMKASLDDNRWDYYTIGTYDRKRDRYIPDDAMIEGSHGLRLDYGNFYASKTFYDPSKNRRVLMGWCNESDVFPTDDIFKGWSGIQAIPRKLWIDPSGKHLVQWPIEELETLRKKKVELRNHKLNKGENVEVEGITPAQADVEVTFSFSSLENAEEFDPSWADLYAEDVCAIRGSTVQGGLGPFGLLTLSSENLEEYTPVFFRVFKDQDKHKVLMCSDASRSSVRNHKKMFKPSFAGYVNVDLTDKKLSLRSLIDHSVVASFGAGGKTCITSRVYPALSIYGDTHLFAFNNGTETVKIDTLDAWSMDKADINEANSSHEEFRRLQSSSSKLLKNLFRTEYHFQPPKHWINGLMNYNGIYHLFYQYNPKGAVWGNIVWAHSVSIDMINWINLEPAIYPSEVFDKYGTWSGSTTILPGNKPVILYTGIVDDKQTQVQNYAIPANLSDPFLRKWIKPDNNPLIVADESINKTKFRDPTTAWLGQDGRWRMVVGSLRKNERGLAIMYRSKDFMKWTKAQHPLHSSAKTGNWECVDFFPVSLENTDGLDTSFKGDKIKHVLKVSLDVTRFDYYTIGTYDTKRDRYIPDDTMIDGWHGLRLDYGNFYASRTFYDPMKNRRVLWGWTNESDVFPEDSIKKGWSGIQAIPRKLWIDPSGKHLVQWPIEELEMLRKEKVELRNYELNKGENVEVQGITPAQVDVEVTFSFSSLENAEEFDPSWADLYAQDVCAIRGSTVQGGLGPFGLLTLASENLEEYTPVFFRVFKNQDKYKVLMCSDASRSSVRNHEKMYKPSFAGYVETNLTDKRLSLRSLIDHSVVESFGDGGKTCITSRVYPALAIYGDTHLFAFNNGTEPVKIETLDAWNMAKSEKWI >Solyc01g014627.1.1 pep chromosome:SL3.0:1:14385059:14385500:1 gene:Solyc01g014627.1 transcript:Solyc01g014627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDYEPMLYLNNKGNAFIVVFLYVDDIIYSSSSISLVDEFMSQMTNEFEILLNSKPFATPMNDGAEMDDARCFRRIVGSLTYLAHTHPNIAFLGGGTSKFM >Solyc06g071395.1.1 pep chromosome:SL3.0:6:44070175:44071017:-1 gene:Solyc06g071395.1 transcript:Solyc06g071395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSGEVYMMILKTIATIPISIDLSLVPWRNVNVTKMRIAMKIASITKAIMIFCCRQERIKLIDREEEIRDETYPGDKKRKKDMTLPMIPTTVLVAATHPNSNNIYKMFQLILLL >Solyc11g008550.2.1 pep chromosome:SL3.0:11:2728095:2736748:1 gene:Solyc11g008550.2 transcript:Solyc11g008550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEYFSCLLPGRRSDSGNDVVADRNPVLLVSGIAGSILHSKSKKFGSFETRVWVRILLAEHEFKKKLWSIYNPKTGYTEALDDSTEIVVPQDDYGLYAIDILDPSLMVKCVHLTGVYHFHDMIDMLVKCGYKKGTTLFGFGYDFRQSNRIDKAMNDLKEKLATAYKASGGRKVDIISHSMGGLLIKCFISLYSDVFSKYVNKWITVATPFQGAPGCIHDSLLTGVQFVDGFESNFFVSRWTVHQLSSREMNFDLLSSLIFCKLIECPSIYEMLPNPDFKWEKQPEILVWRKKSKDGNTVVKLERYDASTSVTLFEEALKCNELNLNGKTVPLPFNLSILDWAASTRKILNDAQLPKGIPLYNIYGTSFDTPLDVSYGSEASPIEDITNVCHTMPHYSYVDGDGTVPAESAMADNCEAVERVGVQAGHRGLLRDEKVFELIKKWLGVSDKKKVHSTTSRVMDLYAGQ >Solyc02g038790.2.1 pep chromosome:SL3.0:2:32034739:32035559:1 gene:Solyc02g038790.2 transcript:Solyc02g038790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKHYQKEDCPSEVGSRSQQHTYQSINGSSYERRDCLKKSEERLSSKSLAIWKYPPKFHLNKKWRLVDGKESEEAIKQVNRKRTVIEAVFHNKFAFPPNQTPVVRTISVEEEPTHDLTILVATTVAALQEQGSLIDANLLVRLLLNLMNERSMDTDAVSLNAAHAGSVKFVPLTMTKPETSVNEQGAPSTANIREST >Solyc08g067900.2.1 pep chromosome:SL3.0:8:57010900:57011557:-1 gene:Solyc08g067900.2 transcript:Solyc08g067900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIYIYIYKTSILLSHSLLSADPTTSPNPKLKKKYLVYLVEMMGSKEGSVMVKNSNVFAALDTLRKKKKSDKEKSKGSSKKEQEPEVLWAPAPLTVKSWADVDDEDDDDYYATTAPLQSFVGSNESEKKSEPVEDLKNSIRK >Solyc12g010710.1.1.1 pep chromosome:SL3.0:12:3635258:3635680:-1 gene:Solyc12g010710.1 transcript:Solyc12g010710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLVRNTPLSFSRRVDRYEPLDQPQNMEGSMQNDEDRSHSVRRTIMKLKRSVKQRSSPIMLSSISYRRERARKRNIFLQSYKLESSKNLRKKKLKKIVLKVKCAMISIFSFMRAHTIKSCTNSNSGIGGVSPSRVIKCC >Solyc01g111780.3.1 pep chromosome:SL3.0:1:97866259:97884581:1 gene:Solyc01g111780.3 transcript:Solyc01g111780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGTWQPQEQGFKEICGLLEQQMSPTSDNCQIWQQLQHYSQFPDFNNYLAFIFAHAEGKSVDIRQAAGLLLKNNLRSAFQNMPLANQQYIKSELLPSLGAADRHIRSTAGTIISVLVQIDGVAGWPELLQALVSSLDSSDVNHVEGAMDALSKICEDVPQLLDSDISGLSERPITVFLPRFLLLFQSPHASLRKLSLSSVNQYIMLMPKILHLSMDKYLQGLFLLANDPAPEVRKLVCAAFVQLIEVRPAVLEPHLRNVLEYILQVNKDPDEEVALEACEFWSAYCDAQLPPENLREFLPRLIPVLLSNMVYADDDESLLEAEEDGSLPDRDQDIKPRFHSSRFHGSEDGEDDDEDIVNVWNLRKCSAAALDILSNVFGDDILPTLMPVVQAKLSCSNDEVWKEREAAVLVLGAIAEGCINGLFPHLSEIISFLIPLLDDKFPLIRSISCWTLSRFSKYIVQGTDHQEGREQFNKILMGLLRRVLDDNKRVQEAACSAFATLEEEAAEELAPCLEIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGGELNQPKYLEILMPPLIGKWEQLPNSDKDLFPLLECFTSIAQALGTGFAQFAQPVFQRCITIIQSQLMAKVDPVKAGLQYDREFIVCCLDLLSGLAEGLGSGVESLVSQSNLRDLLLQCCLDDAPDVRQSAFALLGDLARVCPVHLRPRLVEFLDAATKQLQNTSKLKETISVANNACWAIGELAIKVQKEISPVVLTVVSCLVPILQHAEGLNKSLIENSAITLGRLAWVCPELVSPHMEHFLQTWCFALSMIRDDIEKEDAFRGLCAMVKANPSGALNSLVFMCKAIASWHEIRSEDLRNEICLVLQGYKQMLKDGAWEQFMSALEPSVKDKLSMYQV >Solyc08g008000.2.1 pep chromosome:SL3.0:8:2492822:2503999:-1 gene:Solyc08g008000.2 transcript:Solyc08g008000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNHTKSSDTYAADISSIREAQVRIKPFAQQTPVLTSDTLDSIAGRKLYFKCECFQKGGAFKFRGACNAIFSLDDDQATKGVVTHSSGNHAAALSLAAKLRGIPAYIVIPKDAPKCKVANVKRYGGQVIFSEPSMQSREDTANKVLQDTGAVLVPSSNDRRIISGQGTISLEFLEQASDIDTLIVPISGGGMISGVALAAKAINPAIRILAAEPLGANDAFQSKSNGRITKLSEVNTIADGLRAFLGDLTWPIVRDLVDDVIVVDDMEPNHTKSSDCYAADISSIRAAQVRIKPFAQQTPVLTSDTLDSIAGRKLYFKCECFQKGGAFKFRGACNAIFSLDDDQATKGVVTHSSGNHAAALSLAANLRGIPAYIVVPKDAPKCKVANVKRYGGHVIFSEPSMQSREDTANKVLQDTGAVLVPSSNDGRIISGQGTISLEFLEQASEIDTLIVPISGGGMISGVALAAKAINPAIRILAAEPLGANDAFQSKSNGKITKLSEVNTIADGLRAFLGNLTWPIVRDLVDDVIVVDDKEIIQAMKLCYEILKIAVEPSGAIGLAAVLSDGFRKNPVYSECNHIGIVLSGGNVDLGVLWNSFDK >Solyc01g066880.3.1 pep chromosome:SL3.0:1:74935808:74937288:-1 gene:Solyc01g066880.3 transcript:Solyc01g066880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPPDQEQQPPQFPPLHYRTWVLKVSIHCPGCKRKVKKVLQSIEGVYTTDIDPQQQKVIVTGNVEAETLIKKLIRNGRSAELWPEPKPILKEKKPKKNENSEDDDDDHEDDEHNNNNNNEKPAEHGPPRHGVRFGGVETFTMDVKEVRPDERPPENIAVVERFPAPEQKAGGGGGAKKKKKKKKKKSSSGNNNANPNASAGSNGAPPGSESDAAKMGPNQMMDQANQGHSNQHPYPNPCDQHPHASYYVPQQHQSYIVSYNAAHPMVSSAPAYYYVPSSPYMQSDIYSKQQCTPLDSFEILSDENPHACYIM >Solyc04g082980.2.1 pep chromosome:SL3.0:4:66517931:66535553:1 gene:Solyc04g082980.2 transcript:Solyc04g082980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEDDAAIRRLEEAVVSQPGDPSLHFDLGVLLWDKGGELPDIKEKAAQHFLIAAKLNPQNPAAFTYLGHYYARVAVDSQRAIKCYQRALSLNPDDSIAGEAVCDILDATGKETLEIAVCREASLKSPRAFWALCRLGYLLVNQNKWSEAVQSLQQAIRGYPTCADLWEALGLSYQQMGMFTAAVKSYGRAIELEESRVFALVESGNVYLMLGSFRKGIEQFRQALQISPLNLSAHHGLASALLSLAKESIDSGAFKWGASLLEEASKVALASTSIVGNISCAWKLLGDIQLTYAKCFPWMDEGLGSGADENSFSSSILSWKRMCCLAVRSACCSYQRALHLSPWQANVYTDVAIASDLLFSLKENCKDDMSPWFVSEKMCLGGLLLEGCNSEFWVALGCLSDHSALKQHAFIRALQLDVSLAVAWAYLGKLYRQEGESQLAQLAFDRARSIDPSLSLPWSGMSADATARNLKPDEAYECCLRAVQIFPLAEFQTGLVKLALQSGYLRSPEAFGAIQQALQRAPQYPESHNLKGLVCEARSDYESAVASYRLARLAARVFARKLSKSYLADISINLTRSLCMAGNADDAIEECKYLESKGLLDVESLQLYALSYWKLGKYDLALSMAKRLASSALPTEHPLAAASVSFICRLVYHISGKELAIRNILQLPKRAFQSSRVRLVAFAIHALDESHQLDSVVSCVRESLSSNKEIAALDFLATLGLLVKHGSKDCLEVQKGVNYLRRALHTSPNSHLIRTLLGYLLVSSREWKDVHISARCFRVDPSEHQKKEGVKSSVQIFGAGAVACCNVGSGKKTLAMSICRENSTLECKTIKLLQKCVHQEPWDHHSYYLLVLNYLQKAREKKFPRNLCVVLERLINVALRSELYAKDDISSQYQKFHRYKLQSDSSSLALAFQECGKEIKTSWNMWIAMYNLVQGLTAAWNGEFIDAEESIAQACLLAGGESCLFLSHGVICMEIARQQSDSDFLSLAIRSLKKAKDSSSTPLPFVSLLLAQAEASFGSESKWEKNLIEEWSSWRPVGRGTRETVFPVADG >Solyc10g050600.1.1 pep chromosome:SL3.0:10:50086963:50087441:-1 gene:Solyc10g050600.1 transcript:Solyc10g050600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRSFEEDLSAIRQEKTSLQQKREKANKESMKQRFLQQADSLKGETEQLRVKVKSKRHNFRETVEKNKQKYKEEIQKCNSEISQLRFQFER >Solyc02g085790.3.1 pep chromosome:SL3.0:2:49202113:49209525:1 gene:Solyc02g085790.3 transcript:Solyc02g085790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKVLNPNAEVLNKSAALHMNINAAKGLQDVLKTNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAVMIARTAVAQDDTSGDGTTSTVLFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDKEILKMVARTTLRTKLYESLADQLTDIVANSVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCYIMTCNVSLEYEKSEVNAGFFYSNAEQREAMVAAERRSVDERVQKIIDLKNKVCSGNDNNFVVINQKGIDPTSLDLLAREGIIALRRAKRRNMERLVLACGGDAVNSVDDLTPECLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVILGAGAFEVAARQYLINEVKKTVKGRAQLGVEAFANALLVIPKTLAENSGLDTQDVIIALTGEHDKGNIVGLNQHTGEPIDPQMEGIFDNYAVKRQIVNSGPVIASQLLLVDEVIRAGRNMRKPT >Solyc03g112450.3.1 pep chromosome:SL3.0:3:64328717:64338946:-1 gene:Solyc03g112450.3 transcript:Solyc03g112450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEQVEQLKIQMQQWVNEAEKFINDIPPVQLYVAVGVVLSTLILLLISIISVELGTSFTDANNGSFNVRLFKGTASNTIVLTGLSGSGKTYLFYQLRDGSAHQGTVTSMEPNEGSFILHSEEDKKGKLKPVHVVDVPGHSRLRPKLDEFLPQAAGVVFVVDSVEFLPNCRPASEYLYEILTKASVVKKKVPVLLLCNKVDKVTAHTTEFIRKQLEKEIDKLRTSRTAVSDADISNEYTLGVPGEPFAFSQCHNRVIVAEASGLTGEISQLEKFIREHVKP >Solyc01g014100.3.1 pep chromosome:SL3.0:1:11514845:11515928:1 gene:Solyc01g014100.3 transcript:Solyc01g014100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLRTFAVTMLIFLVVFAPFVLSFHQFSQQVKGDFPDKENQEEMKKMGTEEDCARQIPTGPDPLHHNRNHPLKP >Solyc02g083470.3.1 pep chromosome:SL3.0:2:47416276:47422957:-1 gene:Solyc02g083470.3 transcript:Solyc02g083470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNKGNKNRKGKRPADASSADGDESGGGNRTEKSVINDSRFAALHSDPRFREPPQKKSKVTIDSRFNRMFTDKNFVSSKAPTDIRGKPRKSSAKNPLNHYYHIEEEKEEQGEKQKRQKEKLKVLESESDDDSEEEEEEEEEETKSDSGTSEEEIEDHKLKKVGAVSSESEEDEDEEVGSDNSLASTSDSASDSDSDDEMDEVSSEEEDTFIQKEDVPEIDKETKRLAVVNMDWGRVKAVDLYMLLSSFLPRGGQITSVAVYPSDFGLKRMEEEAVHGPVGLFDEEKAKDEDSDDDEEEEKDDDEMDNEKLRAYEMSRLRYYYAVVECDSSATADYLYKTCDGVEFERTSNKLDLRFIPDSMEFKHQPRDIATEAPADYEGLDFHTRALQHSNIELTWDEDEPQRIRTLKRQFNDKQLADMELKEFLASDESGSDDSEEGDDDTEDKSAKRKKKHDTYRALLQSGEGSDGNNEDDDQDMEVTFNTGLEDLSKRILEKKDKQSETVWEAYLRKKREKKKSRKGNTKDSSEDESSDSDQEPIDEPEDFFIEEPSAKGDKDSQRKSTRKGKQSLEASEEAEASRAELELLLADDKGGDPNLKGYNMKPKKAKGKKGKGTPVEDKLPTIDYEDPRFSSLFKSHLFALDPTDPQFKRSAAYARQLAQKQHKVEEEIVSAKQQPGVTRKLESATNEKLQSGDLPSRKEKHELSSLVKSIKMKSQQLSLSSQGKAVVKNEKSQAMSKNIDGEGGKKENEKSRARAKKKDEKRVK >Solyc02g067370.3.1 pep chromosome:SL3.0:2:38132853:38140737:-1 gene:Solyc02g067370.3 transcript:Solyc02g067370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTDNSKKVKTAVEENAQQIGTGVGSSIEKLQEVQDELEKVNEQASEEVLEIEQKYNEIRRPVYEKRNELIKSIPDFWVTAFLSHPALGELLNVEDQKIFKYLDSLDVEDFKDVTSGYSLTFVFKPNPYFEDTKLVKTYTFLDEGSTKITGTAIKWKEGMGAAYGVNPEMKGNKRPASEDSFFSWFSETQLKDIADGLSDEDNDDDDVDDEDDG >Solyc10g005470.3.1 pep chromosome:SL3.0:10:347036:348751:1 gene:Solyc10g005470.3 transcript:Solyc10g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLKTCGENYHQLVPSFISSKYQNANANYVVEPLKFAYRKKQMQSLVVKANNGERRTFLTLEEAGLVEVSGLSTHERFLCRLTISSLNLLKVIGEQEGCSIEELNAGKICDWFLKDKLKREQNLDSAVLQWDESDFQL >Solyc05g011885.1.1 pep chromosome:SL3.0:5:5099199:5109408:-1 gene:Solyc05g011885.1 transcript:Solyc05g011885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NRKKCPIFKQDHTLLVKNPHDLVPFLELKLYIDGQVPDFSSFTSPRLLATHMSFGSLPKSIQDSREIKLVYLCRNPRDQEGELLWSVLNHVLDYWKERIEKLNNKVLKKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNAALKVVRYIKNQAGQGVLLSKIKAQSKLQLKRLTEFLKEVNRNGKLSFGEESKVFFRGRKVED >Solyc08g079460.3.1 pep chromosome:SL3.0:8:63102534:63108114:1 gene:Solyc08g079460.3 transcript:Solyc08g079460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVNDFVFIFIFTLFVLGFSCIEPVCGNAEVRALMEIKSALDPESKKLSSWTSDGDPCSGSFLGVICNEHHKVTNITLTDKGLTGKLSPAIAELKCLSGLFLHYNSLTGEIPKELGNLTELNDLYLNVNNLSGIIPPELGNMESLQVLDLSCNQLTGSIPTEIGFLRKLSVLELQHNRLTGEIPVKLGIPGTLKKLYLGFNQLSGPIPSKLAAAPQLEVLEVQNNTLSGVVPPALRRLNEKFNCESNPGLCGTGFTSLRVCTAWDHVNVNQVGSDVPNNNGDPIDVPGAAHISPLPCNQIHCSRSSKFPQAIIVASVITVTLTLVVAVVFGIFRRRRLKQRVGNTSDASDDRLSTDQAKEMYKRSPSPLLTVEYSNRWDPMTPEKSCNSMFNDFLNGFKFNLEEVESATQHFTEINLLGRSNFSAVYKGILKDGSMVAVKSISVTSCKSEETEFMEGLSLLTSLKHENLVKLRGFCCSKGRGECFLIYDFASKGNLSQYLDVEVNSNHVLDWSKRVSIIKGIAKGLGYLHSTEADKPSMIHRNISVEKVLLDQQFTPLILDCGLLKLLADDVVYSALKVSAALGYMAPEYITTGRFTEKSDVYAFGVIILQVLSGKGLLDCSMRLAAESCNFENFIDPNIKDTFPISEATMLTKLAVSCTVEDPDSRPSMVLVNEELNRCSGG >Solyc07g043410.1.1.1 pep chromosome:SL3.0:7:57257178:57258626:-1 gene:Solyc07g043410.1 transcript:Solyc07g043410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:E5L3S0] MAMEENEQSAIAHVVFIPYAMTSHITPLVHIARLLAFHGLKVTIIAPPHNALLFQSSVDRDCLFWGSNISVRTIQFPSEEIGLPVGIENFIASPSMEIVGKVHYGFLLLQKPMEQMIRELNPNCIISDMFFPWTVDLAEELQIPRFSFQPGTFVHQCAWVFIRELKPYENHVSFSIPGLPLDIQMKVSEIEDFLKGETEYRKTVEDVLQAEIRSHGIIHNTCSELEPGFAQLYEKARGVKGWHIGPVALFINNYEAENSCCDPWKGYGDCFDWLENQQSKSVLFVCFGSMIRFSDDQLKEMAVGLKAANCPTIWVFKEQDKNGFCSKRLKEMKGENMFIIEGWAPQVSILKHGAIGGFLTHCGWNSILESLSVGVPLITWPLFSDNFYTDKLLEKLGLAIGIGADVWNPGFILSCPSLSGEKIELAVKRLINNSEESRNIRENAKLMAKKLKVATEEGGSSHAQLMGLIHEIKRCALKKSSL >Solyc03g078400.3.1 pep chromosome:SL3.0:3:52262050:52265796:1 gene:Solyc03g078400.3 transcript:Solyc03g078400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETARSSSSIEKNYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Solyc06g071670.1.1.1 pep chromosome:SL3.0:6:44285565:44286560:-1 gene:Solyc06g071670.1 transcript:Solyc06g071670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAQNFKSSIQIQPSFHCHLLPSSLPTIFKIRHKPQNPISLKFHPIQASNHKYITPTKQQQQEQDDGIPMEHIKTLVKFKSRHNYIRVLEVSRRADHPLAGSRLLLLDAPGNIHSISFLFKSITNAYYDVLATLPPILPPGPLGILGFGAGSAAKLILELYPQGVIHGYELDPSVISVGREYLGLSKVEKQYPDRLFIYISNALNASVKDGYSGLIVDLFSKGCLIPELQNPQTWEKLKGKLKKGGKIMVNVGGRCVEPEDSRKDGKVIMEETLMAMNKVFEGEVFVLNLGNRKQEDSSVAIVGGLPDLEKWRISLPKPLRFYVDMWNKYG >Solyc07g022800.1.1.1 pep chromosome:SL3.0:7:21718893:21719072:-1 gene:Solyc07g022800.1 transcript:Solyc07g022800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSFLGAVGLSLLMSALWQANDLCLIGPNLRNSCLCVFMTRNSFLIFIKNCSLDFLSI >Solyc02g011730.1.1.1 pep chromosome:SL3.0:2:14133360:14133563:1 gene:Solyc02g011730.1 transcript:Solyc02g011730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFCTSYFSWVKILSKILHFFAKNYYRSQLIQQLYYCSYLVISLGFTFFPIGILLGAVWANKAWGLS >Solyc03g044440.2.1 pep chromosome:SL3.0:3:9266274:9271699:1 gene:Solyc03g044440.2 transcript:Solyc03g044440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVEDALLQLQVTVKRASKTIYQVIHLAWANAVHSDGFDSDRLLVRKMTNKKFAYFHLISTLLEYFFLIMFCAAEAFLRKGLFKKRLSNHAKGKYGLMVRPECRMIVVLREITSVEEAEIAKLRVSNFKKLTKRKNCLVPHKLIKTTPIWNPR >Solyc10g050370.2.1 pep chromosome:SL3.0:10:49314282:49315796:1 gene:Solyc10g050370.2 transcript:Solyc10g050370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLLSDLEATRDDWLIRVRVCRQWDFINFKRSPEMISLDMILIDEKGTLMHAIIWKNQLNKFGDKLSEGFAVIIRIFKVSSLTGDYKPVQSNFKITFLQKTAIQKLQDDIVYIPKNGFQFIQPEVIRSRINNNILLSDDLTIKARITLWEDHGESFYPYVYPNDFGPYIVIITARTVKELRGELTFAITAASKIYVNLKMDNITALLHKFSKKSVHIVTIASGNSSIVPNAQDMFENWMTVAELLDSE >Solyc03g097910.3.1 pep chromosome:SL3.0:3:61722257:61726419:-1 gene:Solyc03g097910.3 transcript:Solyc03g097910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative dehydrogenase [Source:UniProtKB/TrEMBL;Acc:Q8H6B5] MASLGLAQRIRIGLDGNSGVENPVMGRAGRLNFGRKRVGFRIMALEGQSVEPDLSVTVNGLKMPNPFVIGSGPPGTNYTVMKRAFDEGWGGVIAKTVSLEADKVKNVTPRYAKLRADANGSAKGQIIGWQNIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIYRCEETGIDAFEINFSCPHGMPERRMGAAVGQDCDLLEEVCGWINAVATVPVWAKMTPNITDITKPARVALNQGCEGVSAINTIMSVMGINLDTLRPEPCVEGYSTPGGYSSKAVHPIALAKVMNIARMMKSEFGDKDYSLSAIGGVETGGDAAEFILLGADTVQVCTGVMMHGYGLVKTLCSELKDFMRKHNFSSIEDFRGTSLEYFTTHTDLVRRQQEAIRQRKAVKKGLQSDKDWTGDGFVQETESMVSN >Solyc11g018490.2.1 pep chromosome:SL3.0:11:8608873:8617003:1 gene:Solyc11g018490.2 transcript:Solyc11g018490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQLMWRNTATLIVVMMMVMVMMTVAVNVTYDGRSLIIDGQRKMLFSGSIHYPRSTPDMWPSLISKAKEGGIDVIETYVFWNLHEPQPGQYDFSGRRDIVAFIKQIQAQGLYACLRIGPYIEAEWTYGGFPFWLHDVPGIVYRSDNEPFKFYMQNFTTKIVNLMKSEGLYASQGGPIILSQIENEYQNKEKSFGEKGPPYVRWAAKMAVELDTGVPWIMCKQDDAPDPVINTCNGRTCGETFKGPNSPNKPSIWTENWTSFYQVYGENAVKRSAEEMAYQVALFIARKNGTYINYYMYHGGTNFGRSAAEFMITSYYDLAPLDEYGKL >Solyc12g010310.2.1 pep chromosome:SL3.0:12:3361494:3375721:1 gene:Solyc12g010310.2 transcript:Solyc12g010310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFIFAGWRTGVISIVGGTDGKDCWLWLNENWIRPHEKYKEKPAYIEPEDEV >Solyc01g095090.3.1 pep chromosome:SL3.0:1:86344611:86354705:-1 gene:Solyc01g095090.3 transcript:Solyc01g095090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGNTFAKTICSICFEDLKPVVEDLQSISLCGHVFHELCLQQWFEYCTNGKKKNCPVCKQTCTEKNTHRLFFQSVGDPNDPSLSQQPHDVEGGPPCELQNEVKRLEGKVLKLASTLEQQQKDIKEVNAELLSCKEQLKVEVALKNEAKKQKTASQQLLHVKSQELDQSTLECRRLQERSMALAKELAALKLVCDVNLGEEEVLKLASLGNQANSKETIDVLKKSLVIRNKSYKELMTKCNTLGRAEARSLSKLEKAIEKIDKLKARVQELEMAVEARDNENLRTLRASKNFEVESGKDVSKELTAVKCSNENQKKELADTAVHLHPVTGYGHDSRRGEKRKVMFSDENKDGGIFETSSCMHQVSNRKLNPLVNHKKAVHENILSRLKVSSGTADATQVKASYNKYELSGLKNCGKNSAVNMSPVTILDDDDLLPIKDFTQGEPSFHIRKGTSAPTSLAEIGDHCFSGGLLGPDGTNRHLGKWCKKVQNKGSTGLQGSGANSGDLISVGADGRGGRIKVLRSINQASLDKESTESIKRCKSGTKTGSSQSQGCLQIDHFFRRAGQ >Solyc03g034230.3.1 pep chromosome:SL3.0:3:5959125:5962226:-1 gene:Solyc03g034230.3 transcript:Solyc03g034230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLICPKPRRLGNINTHSNCQPLRNQAEVFISKGGEELFDLISKEDDIAARQYASDLSSSPPFFSGSPPCRVMNPLIHDAQFSNEKKHRVSSSPSPSTSSSSSPSSSCKGRCARARLGKPHSPNRVEGFDCLNSQMAAMA >Solyc04g050090.1.1 pep chromosome:SL3.0:4:45447129:45447449:1 gene:Solyc04g050090.1 transcript:Solyc04g050090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISMIKRRWNREEDELLQKLVEEHGVKNWSLIGQWIQDDTIIKAHAKFGNELSMIACLLSGRTDKAIKNHWNSFLT >Solyc11g033260.2.1 pep chromosome:SL3.0:11:26442821:26450889:-1 gene:Solyc11g033260.2 transcript:Solyc11g033260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSRLAILSRHFTSAADSASKAGSGSCGQNLGWIKISPEVSEALKHGTPIVALESTIISHGMPYPQNFETAKELEVIVRDNGAVPATIAILDGVPCIGLTTEELEILARLGSKARKTASRDIALVMAGRENGATTVSATMYLASKVGIPVFVTGGIGGVHRHGENTMDVSSDLTELGNTPVAVISAGIKSILDIPRTLEYLETQRVTVAAYRTDEFPAFFTQTSGCKAPARVESPEHCARVIDANIRLEQKSGILIAVPIPREHSASGSLIELAIQQALQEAREKKITGNAETPFLLARVNELTVGASLASNIALVKNNASIGAKIAVSLAQLQKRSYKR >Solyc01g096300.3.1 pep chromosome:SL3.0:1:87257710:87260347:-1 gene:Solyc01g096300.3 transcript:Solyc01g096300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQNNFSHSNVPQSFKFPLISSLALCFVFALFLFGLAVSLFILVVVHNPLFFLSYLFLFGLIAAFLLWNSISFRNNTTILHYLRSLPDADLTVARHGHLVKITGLVSCGNVSLESSYEKVGRCVYTSTLLFECGKLGLKPADVKESCFRWRLAYSEYKSRVAGFTLQVSSHDIMKYIRIYARIYSKQKTKMELKPCQEGVKSRTKIERDRMVTFFTKRELKNLINVLTLIVCLTPSFYLNGATTNVKAPSLEFTAELVNRLSFSWQRLSTDFYITDKNSGIRAFVKAGPDSRVIPLIKESRLVTTAKNCKVLASHLRKWLRDRNLSSEARLLRLEEGYVKEGSSISVFGILQSSNDVMVVTPPQELISTGCLWKKLLLPVDVDGLILAIP >Solyc01g104550.3.1 pep chromosome:SL3.0:1:92912231:92915511:1 gene:Solyc01g104550.3 transcript:Solyc01g104550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNKSENDNEVEIDLSLKLDAREEENEENQIVESSQLNEKTQPKDQELPKTHEELSMLEKEMKRMKEENKVLRKAVEQTMKDYYDLQAKFSIHQNNHKDHKSFLSLSGNDDTNEGQTKVPKILDILNTNRISSPTSLEDDTMDGDHELGLSLTLVSSNSTTSSKLVEILEEDQRKEKKDHPSINHQLQNKPQNLGGLTSHVTTASPPNRKSRVSVRARCESATMNDGCQWRKYGQKIAKGSPNCPRAYYRCTVAPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLVDSSISPLLNHNSNLNQPLNFPNYHHNLAPNYHHSSSSSPYNNFSNLRTNILNSSDPNNSSQGNLVLDLTKNMSNNNHQFPFASSSSNNHEIGHSNWMSKLSNYEGNNLFSGPKLQGEHNNNNNNNNNIPPMLAHENMSAIASDPKFRVAVAAAISSLINKDQSHSTGES >Solyc04g078190.3.1 pep chromosome:SL3.0:4:63070861:63073748:-1 gene:Solyc04g078190.3 transcript:Solyc04g078190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKQGFFSALKDEVVRGLSPAKSRGRSPSPSGSGLLRRRKGNQAPQPEVYISRSGSLRPCVETLSPLMEGPDPNGPEVGDSKSDRWWMKGQLCRAPSVSTSGSGLQRSDLRLLLGVLGAPLAPVHVSNNDPLPHLSIKDTPIETSSAQYILQQYTAASGGQKLQNTMYNAYAMGKVRMMASDIETATKVIKNRNSSKTAESGGFVLWQMNPDMWYVELALGSSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTANMFASAKCTGEKKINGEDCFILKLCADPHTLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQTNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSVVTLFRFGETAMSHTKTRMEEAWTIEEVAFNVPGLSEECFIPPAELRFSSIGEACELSQGERVKTTVSAAAAAAYRAKVAALDKSRDGGNVNNIVLKMNY >Solyc06g069565.1.1 pep chromosome:SL3.0:6:43436554:43440093:-1 gene:Solyc06g069565.1 transcript:Solyc06g069565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKFSIATNTVLMPTTLPSFTHRGAMKSVRSQPQLPPLLRPRRPAVPDFQGLDFPAESESPPASSDTKVSIMYLMNLTIAPTAKGVDFQAVLDSLPVKEESDSSKDESEDYVSNEDDNSTNDEDKDDVSNQRIVENTDYDLELEHDKSMWESYFRQVYERKFEFTTSNHMADGVGFDIKDYPGPPPLPKFFPLPSYLRIRKKYRMLRGYAESALEKYHDDTGTNHVIEGILNVKRGGSRDYIYYLIFLAKTDHEERYYIFQAMVIPAEKDNLDFLVVRKRRLKSIPVKVKPRQSPILIDLLMKREVGADAIGCKSHYVI >Solyc06g068630.3.1 pep chromosome:SL3.0:6:42657157:42662488:-1 gene:Solyc06g068630.3 transcript:Solyc06g068630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFPVVITSSCPFVVSSLTIYMANLSELPSHLISTVAERVKVIEDFIAFGAVCISWRTAATKDNFDVYSPQIPLLMLADKDDDYREFYSLSKNKISRIFLPEIRGRRCFPSEGGWMFTDSCTGEFNLFHPFSRTQIQLPSQNALKESLQKDAEITHYTIDKAVLSVNPSHTSDYVLVISDLTYGRGDLAFWRPGDVNWTKIVMRRNARFNSLFHYKGKFYLVDIYSLQR >Solyc07g025400.3.1 pep chromosome:SL3.0:7:27953138:27953560:1 gene:Solyc07g025400.3 transcript:Solyc07g025400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLPQEEQHVHKWQLLPSKQRMQTRENQFLNGRWDEH >Solyc07g041325.1.1 pep chromosome:SL3.0:7:52512236:52515774:1 gene:Solyc07g041325.1 transcript:Solyc07g041325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding WAPVIGPHILWKDKYSKLKDGYTKLEDRRNALRRGLSIYEEQVSKMQAENLSLRKNLEDEKVRTNNEVEEKIKESALRVSLESEVAGLKNEILPLKKKLVADDGGREIRELKEHLSERETKINELKELVKKERVRAESEKKKAELERKKADDLRTKLKILKTRANEERRLADTERKRAEVNRLSLENLKKEADQVKSKLSLVIFEFEDAKKKLEAERENTSKERKRADAAGMKTVEQKKIAEANRKMAMNEKSRATALFWQLEQDRQKVDNLKKEIGELMASGKMANIVSSEGTTVGTAQLSSELGPVAVDRDVTMVDVALNSDAAQRKLQKMELRVVDEKKCVKSEMKKVEKQRKAAEAYKKKASEEKDRADQLSEAVENYRKQVEELQKEIKKLISTRSLADCPLHMSDSNVHVETGKVKLLKKQLKFEKKLVKHVKKVAKLEKAHSNDTIQQQSLLSIKQEVVHFLRRLNMLDGCLFQDDEHDLEKVCSFNLKNNYSGLKARDMHRHLGNDSMKLAAVVSDPSKQKIKRSVPSLPICGGNNPESMSGINSKLEPLLRGSNKKV >Solyc10g085505.1.1 pep chromosome:SL3.0:10:64781342:64783103:1 gene:Solyc10g085505.1 transcript:Solyc10g085505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKFISTFLCFVLLHSSKTFGENFINQSDLETYIVHLESPDQLFSNSKDLLLWHQLFLPTNSNHSSRILHSYRHVFNGFAAMLSSDEVREMEKKRGFLSARPQRIFQLHTTHTPSFLGLHQNVGLWNASNSGKGVILGLFDTGIDPQHPSFNDNRMPKPPLKWKGKCELNVTTYCNKKLIGARNYVYPDRFPLDIDGHGTHTSSTAAGNFVDGANFFGNANGTAVGIAPRAHLAMYQVCYSYFCVEYKVLAGIDDAIEDGVDVISLSLGFAHSQSPLCYDTIAIGAYWAMEKGIFWTGSGTVENGAPWILTVGASTTDRKIKAVAVLGNGAEYEGESAFQPTNFSRKLLPLVNGKYCDLLHPIDVKGKIVLCDTNHESYREQIAKTVKSAGGAGAILMNNKEGGSTTLAVYDVFSMTQVTYKDGQEIINYMKSTPTPVATISYRGTEIGDKHAPTVAYFSSRGPCLQTWPISIGGETTSLTFNILSGTSMSCPHLAGVAALLKNALPDWSPAAIKSAIMTTADLVNLGNEPIQDETLEPADILAVGAGHVNPSKANDPGLIYDIHPED >Solyc12g056450.2.1 pep chromosome:SL3.0:12:63341933:63346259:1 gene:Solyc12g056450.2 transcript:Solyc12g056450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:UniProtKB/TrEMBL;Acc:K4DFX6] MAAQRKNVGILAMEIYFPPTCIQQETLEAHDGASKGKYTIGLGQDCMGFCTEVEDVISMSLTAVTSLVEKYAIDPNQVGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESASWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIVFESKIRASHMAHVYDFYKPILDSEYPVVDGKLSQTCYLVALDSCYKILCNKYEKLEGKQFSIADAAYFVFHSPYNKLVQKSFARLVFNDFTRNASSIDESAKEKLAPFSSLSHDESYQSRDLEKASQQVAKPFYDEKVQPTTLIPKQVGNMYTASLYAAFASLLHNKHKTLAGQRVVLFSYGSGSTATMFSLKLNEGQHPFSLSNIASVMNVKEKLESRNELTPEKFVEIMKLMEHRYGAKDFVTSKDCSLLAPGTYYLTEVDSKYRRFYAKKAAENGLANGH >Solyc01g066453.1.1 pep chromosome:SL3.0:1:74230545:74231264:1 gene:Solyc01g066453.1 transcript:Solyc01g066453.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKVEHKTMRVNGINMHIAEMGQGPIVLFLHGFPELWYSWRHQMQFMAAHGYRVVAPDLRGFGDTTGAPKGDFTKFTTLHVVGDLVELLNIIAPDRKVFLVGHDWGAVMAWALCLYRPDKIKALVNMM >Solyc01g067570.3.1 pep chromosome:SL3.0:1:76192801:76195117:1 gene:Solyc01g067570.3 transcript:Solyc01g067570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRLFSKPQPRPPYVIALEISQFDIVGFSSYYSVPPFPPIPAFSRFVKDWDDEEELLTAVRSLSTTDYINDPYHKYLGDVLEYIHALREYTGEGGICPAKPPIAEDLPKGLNEIGCSPSPTPVFNDYSRDLMDDTCPVCYEYFKDENEKTMHYENRSETMIKVDVQRDLIEGASVPGCHLKFPDSIALLSFSLCLNVISTGLVRLLVLKLSDSFEYLLFK >Solyc03g111425.1.1 pep chromosome:SL3.0:3:63507837:63516605:-1 gene:Solyc03g111425.1 transcript:Solyc03g111425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIEKVKGFEELKTVNLHSIHVNKLHTIARSLSVAPFAGLDGLFQFLEVVAVYVSHEKKTYGEQQQGTNYLAANGFSVSGVKNPTASNSVQYNLVILSLAQHWEICTLGQPVGGNLKRANAEAALSARRLF >Solyc11g072510.2.1 pep chromosome:SL3.0:11:55998986:56002542:1 gene:Solyc11g072510.2 transcript:Solyc11g072510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGEGEVVCVTGGSGFIGSWLVSSLLDRGYTVHATVKDLNDEKETKHLLGLEGAESRLRLYQIDLLDYDSIVSAITGAVGVFHLASPCIVDEVKDPENDLLSPAIKGTSNVLTASKELGVKRVVVTSSVSSITPNPNWPADCIMNEDCWTDIEYCKQNGVWYPLSKTLAEKDAWKFAKEKDLDIVVVNPGTVMGPIIPPSLNASMQMILRLLQAMELCCWCPQHALSLCLYSGCTDTYKDFFMGLVHVKDVALAHILVYENKSAKGRHMCVEAITHYGDFAAKVAELYPEYNIPRLPKDTQPGLLRAKDGAKKLMDLGLEFIEMEQIIRDAVESLKIKGYIS >Solyc06g071020.3.1 pep chromosome:SL3.0:6:43766268:43768001:1 gene:Solyc06g071020.3 transcript:Solyc06g071020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4C8H5] MVTLINRSSFFVILCTLFFSIEANIGEFDEVWRTRATQANKNAKESYNPNPEKVAANFNKHVHRSEEGSNSTRRDLHKYNGPCVATNPIDRCWRCDPHWAKNRQKLADCVLGFGHHTTGGKGGKIYEVTDPGDTDMVNPKQGTLRHAVIQPGPLWIIFAHHMVIKLNQELIMTGDKTIDARGQQVHITGGASLMLQYINNVIIHGLHIHDIKAGNGGLIRDSINHYGFRTKSDGDGISIYGSTNIWIDHVSMSNCDDGLIDAVQASTAITISNCHFTHHNDVMLFGASDSFKQDEILQITLAFNHFGQGLIQRMPRVRWGFVHAVNNDYTHWLMYAVGGSQQPTILSQGNRFIAPPNPNAKEVTKREYSPESVWKNWVWRSQGDLMMNGAFFVESGDPNHKFTTGPDMIHPRAGSDAGRLTRFSGSLNCIEGKPC >Solyc08g067480.3.1 pep chromosome:SL3.0:8:56560988:56565850:-1 gene:Solyc08g067480.3 transcript:Solyc08g067480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAASTMAVMGASSSWSRALIQISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASKIYAAESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSTLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPSKTA >Solyc06g067870.3.1 pep chromosome:SL3.0:6:42179471:42184247:-1 gene:Solyc06g067870.3 transcript:Solyc06g067870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLTVTHKLPVIDFTMENLEPGTNSWSKASKEAVCALEEYGCFVALYDKVSSKIHDDVFQALEELFDLPTQKKVQNKSSKPLYGYVGQIPFIPLYESMGIDNANTLEGIQNFTNFMWPNGNNDFSEALLAYSKAAAELEEMVVKMVFESYGVEKYYESHIKSVNYLARVMKYREAQVEEAKLGFVAHTDKSFMSTIHQTNQVNGLEIKGKDGQWFKVELSPSSIVVMAGDAIMAWSNNRIKSPHHRVMMGEEKGARYSIAQFSFMEDSLMVETPKELVDDDHPLLFNSFNHLDYLKFFSKEENRRLECALKSYCGV >Solyc12g021320.1.1.1 pep chromosome:SL3.0:12:15637084:15637560:1 gene:Solyc12g021320.1 transcript:Solyc12g021320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGRSNILLFTLKDSFKRAIFSFLSLGIVPDQCYELPESNGIFVKSIITSVTIHSIGRKRHLIRGEVFYPKGKPLSLETYLDHLSQIKNSGTHRSLPYKRVLQAIARQDFSGGFQAERLTEMLEERHGGERMGEILQSLTILLVKVFERKSTGRGKTD >Solyc07g065370.3.1 pep chromosome:SL3.0:7:67288657:67293458:-1 gene:Solyc07g065370.3 transcript:Solyc07g065370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSNDHCFVEWKEQFVSKERGHRVVHYFLKDISGEFILAVVGTERSVRHMFYVVSEEFLKAYAGEDSVYAGFRWRSRREVVNWLTSMLSKQHLQSDFSKSPKDDPMSPLFSVQSTDMTSNKVSITRNLRVHSSDIVWSGEPWICSKQLKHYPTFSRNGITIAVHAFVFVMAEKENQYLAYLEDMYEDRKGQKKVKVRWFHFNREVRGVVSLRNPHPREVFITPYAQVISAECIDGPAIVLTREHYEKCVAVFPNDLLTRVHFCFRQFKGNRVKPFELGKLRGYLDQSIFSCFSPDFFEDEEFSAKDDVKVGAKRPRKKYKEHQMTAYEESYKKFKNGFLSRKFYSNKHVGSELWYAPKLVVNEKIECLSQDSGIRGCWFRCTIEWIPTFRLAKPDKLGMRNSNRPTIRPSRSCDLEDLAFDVGAPIDAWWSDGWWEGIIVDADKSEKETYRVYVPGERMFLNIDRKNLRISRDWVGDRWVDIETNRDILSMISLIQETKISVSSSINSERQRASTMDHKIHASSVDEEAARGSTEARSVDHHSKHSIGVNNEKQEDDITEKRLTDNSSRDIDLIKDEKHATENKSDENDCNNSSSSGSDKHDLDCQLSDDNDSYNTDIDKDSNVEKSSEPEIDGGKCETEVMDIVA >Solyc04g049677.1.1 pep chromosome:SL3.0:4:42811455:42814687:-1 gene:Solyc04g049677.1 transcript:Solyc04g049677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSVRMDSIGPPTKRLVELNRHSIQDGQNGVRDGITGDGQGLSEEDESRINEDVEGGNETQRDLLQVQAVLQTQQQQPPGPLVRWERFLPLRSLKVLLVENDDSTRHVVSALLRNCSYEDDLEDLS >Solyc03g025840.3.1 pep chromosome:SL3.0:3:3264616:3267364:1 gene:Solyc03g025840.3 transcript:Solyc03g025840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGLKALPFSFGAHFIALIAAIMVLVWSIHFRGGLAWEAENKNLIFNIHPVLMLIGFIILGGEAIISYKSFPLEKQVKKKIHLVLHAIALILGIIGIYTAFKNHNESNIPNMYSLHSWIGIGVITLYGIQWIYGFVVFFYPGGSSEIRRDSLPWHVLLGMFIYVVAVGNACLGFLEKLTFLEVNGLAKYGSEAFLVNFTAIATVLYGVFVFLTILSQGPTADDHSYSAIA >Solyc10g047170.2.1 pep chromosome:SL3.0:10:39890485:39894553:-1 gene:Solyc10g047170.2 transcript:Solyc10g047170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRVNDNPENMATDISRVASSRRYSENGLPYVHKVGVPPKTNLLKEITETVKETFFHDDPLRNFKDQSKSKKLLLGIQAVFPILEWGRSYNFSKFKGDLIAGLTIATLCIPQDIGYAKLANLDAQFGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGSMLQQELDPVKQKHEYQRLAFTATFFAGVTQFVLGFFRLGFLIDFLSHAAIVGFMGGAAITISLQQLKGLLGIKKFTKKTDIVSVMKSVFAAAHHGWNWQTIVIGLSFLAFLLVAKFIGKKNKKYFWVPAIAPLISVILSTFFVFIFHAEKHDVQIVRHIDQGINPPSVNEIYFSGEYLTKGFRIGVIAGLIALTEAVAIGRTFAAMKDYSLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMSCVVLLTLELITPLFKYTPNAILASIIISAVIGLIDIDAMTLLYKIDKFDFVACMGAFLGVVFQSVEIGLLIAVAISFAKILLQVTRPRIVVLGKVPRTRVYRNMQQYPESTKVPGVLIVRVDSAIYFSNSNYMRDRILRWLTDEDEMLKETNQQKIQYLIVEMSPVTDIDTSGIHSLEDLYKSLQKRNVELVLANPGTMVIDKLHASGFADMIGEDKIFLTVADAVMTFAPKMEP >Solyc09g015740.2.1 pep chromosome:SL3.0:9:10881915:10882591:-1 gene:Solyc09g015740.2 transcript:Solyc09g015740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISRYSPNTESFNEILDILGRMKHFDELNKVLDEMSKRGNFVNEKTYGIVINRYAAAQRVEDAEDLELDLIAFQTLVVCLCRYKHVKEAEFLFFNEIIEFKDNIKTHNIILNGWCVLGNSQEAKWFWNDIVTSKCKPDKFTYGTVIKLLCKSGKISRATMLEKWCKPNVAICNCIIDGLCFKKSILDALEKNLKFEKGEGIKKNK >Solyc04g012010.3.1 pep chromosome:SL3.0:4:4349339:4352606:1 gene:Solyc04g012010.3 transcript:Solyc04g012010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSAVISLLQTLEQRTPELMIRDQTLESIHATAHYFHDVLENIRRFDHEKIKTLEEKIRVAASGAEDVVELKISQGSNWTFENLIQVAEKMNTTKQQVMDIVSHDVHQILELSVDSLISTPSTSYPILSDSDQLGLEDDLKIIIKRLTGPPSDIDIVTITGMGGIGKTTLAKKAYDHLTIRYHFDVFAWLTISQEFRCRNVLLEALHCILGKPDSFDSYPYDENELADLVQKQLKGRRYLVVVDDIWSSDVWDSIRGIFPNYNNGSRILLTTRENEVAMYANSCSPHEMSLLSAENGWKLLCDKVFGPNNDHPPELEEIGKEIVEKCQGLPLTISVIAGHLSKVVRTLESWKDVARTLSKIIASHPDKCLAVLGLSYHHLPSRLKPCFLSMSSFPEDFRFETNYGSQKARKRRFNGEIKTCGIHDLLREFCLTEAEMTKHMHVERTHPTLPTQKHNVRRFSFQTEYYSVDDCYKLLPPVSRSIYLFSKLNLPIVPSTESHLSSPIYRHDPIIHELFSHFNLLRVLSMNNKGVPFESFPLVITELFHLRYLQVHFEGDIPESISELQNLQTLISSGTFPFDMTLPMKIWMMKNLRYIRLDRPTYLPSPGTQSLVTGMPNLQEFSGHFTDEVFSGIPNLKRLIFHLPNNKRSYRCWQLDISRLTKLESFKYYGTFLTAYRVKRFYFPASLRRLSLTQCNEFFETNISSTVVMLPNLEELKLKQCGDMLKTWRLSDEDKFKSLKLLLLSGSSLKHWEATSDNFPNLKRLVLKNCYRLQEIPADFGEIGTLESIELHNCSTTADDSAREIVQEQEEMGNYFLKVYIHKSRRK >Solyc01g106635.1.1 pep chromosome:SL3.0:1:94324440:94326948:-1 gene:Solyc01g106635.1 transcript:Solyc01g106635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNLLRHQLEVPNWNQKTTFRMGDTVESSSTVATSVVSTNAMGNYYPTPNLAHQLPVKRFTSTNFLLWKTQFLPMICGCGLNHYIDIDGSEPAPPRVLDGDKPNPAYKVWVRQDQLAMSGIVASVSESMLPQSVGAETAQIYII >Solyc03g007660.3.1 pep chromosome:SL3.0:3:2190922:2196507:1 gene:Solyc03g007660.3 transcript:Solyc03g007660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRRIARPLVMMAKVKETTGIVGLDVVPNAREVLINLYRKTLEEIKAVPEDEGYRKAVESFTRHRLSVCQEEADSEMIEKRLGCGQVEELIEEAQDELKLIGHMNEWKPWGIPDDYECEVIENDAPVPKHVPLHRSGPLPEEFYNTLESVTSGKLETSKKDEPAIASGESQSK >Solyc12g005620.1.1.1 pep chromosome:SL3.0:12:329996:331729:1 gene:Solyc12g005620.1 transcript:Solyc12g005620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-inducing xylanase (Fragment) [Source:UniProtKB/TrEMBL;Acc:Q0PXC0] MEGPLGRSITQLKQLVVLNVARNSFNDSITEHFLNLTDLRVLDLSSNSFIFNVSATWMPRFQLEFISLQSCGLGARFPQWLQTQKELSFIDISRVNISGHVPDWFWNFSAKVNHIDLSQNYIGGQVPDFTERVHLTKLDLSDNNFHGPLPHFSPNMMTLILASNSFNGTIAPVCESLVMNNSLSLLDLSSNSLSGQLLDCWRYGKNLQGLNLGHNDLSGEIPRSIGDLANLFFLQLQNNKFSKNMPSSLKNISALKILDVSENSLSGKIPNWLGESLNTLEILKLSGNMFDGTIPREICQLKYLYTLDLSSNALSGVIPRCVDNLRTMSGEEEAPSFTHGPYADYRVQGRIVLKGYSYDIFFHWSYVVIDLSDNHLSGEIPEEIASLTALRSLNLSWNHFTGAIPRYIHKMQILEFLDLSRNKLSCTFPPDIIQLPLLVFVNVSFNDLTGEVPLGKQFNTFENSSYIGNPNLCGAPLSRVCSDNIHEDMIDCSINKNQEVHEQGESNNWLEEYSFYTSMVIGFNTGFLLFWVTLLLKKSWRYAYMRCLENMGNKIYVFAAIRWRKFQAAKTVTNCPN >Solyc11g066420.1.1.1 pep chromosome:SL3.0:11:52492495:52493649:1 gene:Solyc11g066420.1 transcript:Solyc11g066420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQGTSSSANNPQNIPLYSSNHHPNNNIQEDIFSSSSSSYQQNSFLFNLSLLKEKVHQVQSLATMFITPDNQTIIHPPPESISMIIANMGTLIQEIITTSSSLMFSCQKIVLDSTSLSQNSSRYREPSQNDVGHGQGQGQVDHLLQDYDWYVDNYNSNCNTHEDNKNHVTSSSTIIASSTISHDNYYSKEFGKKELLLSTSKGKVVNEENNYDIVELDASDLLAKYTHYCQICGKGFKRDANLRMHMRAHGDEYKSSAALSNPMKRINDLTSDGSLKSSSNTIKYSCPQEGCRWNKKHAKFQPLKSMVCVKNHYKRSHCPKMYVCKRCNKKSFSVLSDLRTHEKHCGDLKWQCSCGTTFSRKDKLMGHVSLFVGHTPLIKQSAR >Solyc12g077470.1.1.1 pep chromosome:SL3.0:12:20689003:20689179:1 gene:Solyc12g077470.1 transcript:Solyc12g077470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQKQNRKKYLLDQRLGVLFRVKTSGPRPGITSLPTNKNWKKFSSGYTCTYTFNTSF >Solyc01g068400.3.1 pep chromosome:SL3.0:1:77431672:77440214:1 gene:Solyc01g068400.3 transcript:Solyc01g068400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVRNLKIKTSTCKRILKELHSYEKEVEREAAKTADMKEKGADPYDLKQQENVLAESRMMVPDCRKRLEGALEDLKGTLVELEETEEKQGPEFEEARNIIADVEKLFESSEV >Solyc07g053130.3.1 pep chromosome:SL3.0:7:61710945:61714299:-1 gene:Solyc07g053130.3 transcript:Solyc07g053130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFSHIIKKKKNNMLLTQVVAGKVHVMQLSNFVLLLLILCGCDARSTIDGRSKLINGETLVSAGENFEFGFFSNDAGLNRYVGIWYYKLSPRTVVWVANWNDSIQHPIKNKAIVSIVVEDGNLKVLNSISGYTFISSGVEGNSGVKAELLDTGNLVLFDESGTKMWQSFRYPTNTFLPGMNMESLELTSWEGSGNWKYKFKVDQGDNKKYVIVENGGGTHWQGSVGGVAKSTAYKYFRFNDMPSFVTFFLSNNSVEARKTLFNNSVEETRTLFNNNRNFTPIVFSLLDKNDFVFQGTRLLMNSSGEIQFYFWDSKSGWSLLWSAPKDKCDEFRKCGKFEICNSNERQVCRCLPRFQSNPREDYGDGEYSSGCSRKSDNFSCKEDTFMDLSSMKFVYPEWTDTKINTSGDCLSHCLSNCSCNAYTYSNISGCWFWGSNLNNLQENYTGGYNLSVRVPPIEVRPQAPLNNTPMQTSSRNQRPLVISISVILGVMVLCGIIYMIYLKRVERSKGNNVEISSAFSQLLQLLDILIISIVLIIKAREIVLGMPMDYLPHRDSFDGDLITEDDKKRIDVPFFSLNSILVATQNFSNASKLGRGGFGPVYKFGDTFMQGKFLGSTDMAVKRLSSDSGQGVEEFKTEVMLIAKLQHRNLVRLLGYCVERNEKILLYEYMPNKSLDTFLFDHAFCQLLDWRIRFEIILGIARGLLYLHQDSRLRIIHRDLKTSNILLDEEMNAKISDFGLARIVEGKNTEANTNKVVGTYGYMSPEYAMEGLFSIKSDVFAFGVVLLEIISGRRNMEFFGDVNLIGHVWRLWMKDSALEVMDETIVESCDENEVLKCVNVALLCVQEDPAERPVMSNVVFMLGGESITLSRPNQPAFIARRNSAGASTSSSSSKLYNTSNNGLTITQQVGR >Solyc07g007015.1.1 pep chromosome:SL3.0:7:1801847:1806356:1 gene:Solyc07g007015.1 transcript:Solyc07g007015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVANSSLDFDMAASYIIKFLSITSEPASIVITGRGREMEKLSNLPQNHKGDLVLKHHKVSDADNKVVLSEFVNQEMLEIDDLSRLKNVLDGSKTGFCFAKKGSNENSLEADVDLSVQSLRGCFVHRTVSLNSRIFHCHVDSKWLFISSS >Solyc04g011780.1.1.1 pep chromosome:SL3.0:4:4227039:4227347:-1 gene:Solyc04g011780.1 transcript:Solyc04g011780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVMKLGASSGVVIFTKSSCCISHSIETLILNFGANPIIYELNTHPNGKQMEKALIELGCQPSVPAIFIGKELIGGANEIMSLNVRGKLKQLLIRANAIWV >Solyc07g007810.3.1 pep chromosome:SL3.0:7:2458786:2476189:1 gene:Solyc07g007810.3 transcript:Solyc07g007810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVCEAEGDREVPICPSIPSGEQTVWADASSVLQLACNDLRDGELIHAENFNLFAAMSALEIMDPKMDSGMVRTYYSVDEAIEYGAAPIPLSFDKTVDVQRFIDIMDHLLACEATWHKGCSLAQTVFSCLYLLRPDRTSSHALLHSYCRVIRATCNAVVCTVSDTRTNEEEDLFTMTHGLPLKVDGDDKCLTMLHAVEETIARQLRACKATLSKKRVTEDIEPLQNNPDMEEGFCKSLLCRLRFRKHFYHVVTNMKRPQGRGLELAKKHIACCFEELESMIKSAEFLKSISAPGTSEDGMKNETTATGCQPVGFDSTLNSRLSAPTPPRAIETISWKKAAEYFQKLLHELDIICSYSLDPVFEGVLRFVVEFQKFQPELVARAHLQHLLVQDGKLYGRDSVFAVICKASLLPEVAKNHDIQKNETVVQLGQLLITLLRVLCTNISWQRRKLGKILQDWRIIHVQLELAFRKECGDISATSSNENICMKICRQILIWVEEQTYWIASRFLMLGFELDLYSTGEYCMVYWYMYIILIKLAERTHMRAMTSNEISKKKGKKKRDLVKDGGKDNQLPPAILFLQCHVYLAEGLTMMLAALRNEQKIYLSTGPFNSEHEVSYYSFEETTAHARLSSLSMYNCFKETQRIAKELRSSFSNDFDKMAELRRIEQVAEHNSVALSLISRLGAVDASLKVQFEFSHHPFFASVVVKRS >Solyc12g006860.2.1 pep chromosome:SL3.0:12:1296802:1301875:1 gene:Solyc12g006860.2 transcript:Solyc12g006860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLKIIGVILLLSILMIRVFGYLWLRPRKIEKHFAKQGIRGPPYKFFIGNAKEIVSLMLKASSQTMPLSHNILPRVLSFYHHWKKIYGATFLVWFGPTPRLAVADPDLIREIFTTKSEFYEKNEAHPLIKQLEGDGLLSLKGEKWAHHRKIITPTFHMENLKLLVPGAASKVIEMLDKLTPNLKNGEIEIEVSEWFQTLTEDIVAQTAFGHSYEQGKAIFRLQAQQMVLAAEAFQKVFIPGYRFLPTKRNIKSWKLDTEVKKLLMRLIQERTDNWDKNEMQENNGPKDLLGLMIQASIKESLQLSSSINSPIHHNSSTHNHNHNHNNNHNNNPSMITPNDIAEECKTFFFAGEQTTSNLLTWTTVLLAMHPQWQDLARDEVIKVCGSRAIPSKDDLAKLKMLSMILNESLRLYPPIVATIRRAKADVDLGGCKIPLGTEVLIPILAIHHDQAIWGNDANEFNPSRFSEGVARAAKHPVGYIPFGLGVRQCIGQNLAILQTKLTLAIILQRYVLRLSPQYKHAPTVLMLLHPQYGAPVIFKQRLTHDPTFDKSS >Solyc12g008830.2.1 pep chromosome:SL3.0:12:2160257:2161260:-1 gene:Solyc12g008830.2 transcript:Solyc12g008830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLVSPYNNNYQFASSSTNSSCQNFFNISTTTNIQDQSGYDYQFHQPQHHHEVDNFASRSSGSHDHVDKKNKGLKLTLWKKGGQKVKNLKVEDQKQQIIETDYSSNSSSNNNIIPIRVCSDCNTTKTPLWRSGPKGPKGYTEYVIVV >Solyc03g025290.3.1 pep chromosome:SL3.0:3:2759960:2764468:-1 gene:Solyc03g025290.3 transcript:Solyc03g025290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVQNTVDTVNAAASAIVNAESRVQPSTVQKRRWGSCWSLYWCFGSHKHSKRIGHAVLVPEPVAPGPAVPVTENPNHSATIVIPFIAPPSSPASFLPSDPPSATQSPAGLLSLKALSINAYSPGGTASIFAIGPYAHETQLVSPPVFSTFTTEPSTANFTPPPEPVHMTTPPSPEVPFAQLLTSSLARNRRYSGSNYKFPLSQYEFVPYQDPGSPGSNLISPGSVVSNSGTSSPFPGKCPIIEFRKGEPPKFLGYEHFSTRKWGSRVGSGSVTPSGWGSRLGSGTLTPNGGISRLGSGTVTPNGGEPPSRDSYLLENQISEVASLANSDNGSEIGEAVIDHRVSFELTEEDVPSCREKEPVMSHSQPTLPMDVSNLLASEMRSGSSMAEEKTYGSPRKASESGEDECHRKHRNITFGSSKDFDFDNVKIEVLEKDSIDCEWWTSDKAAVKESGIQNNWTFFPVLQPGVS >Solyc10g055760.2.1 pep chromosome:SL3.0:10:57343055:57344528:1 gene:Solyc10g055760.2 transcript:Solyc10g055760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLPGFRFHPTEEELLEFYLKNKILGRTSRCDVIGLLNIYRHDPWDLPGLSTIGEREWYFFVARDRKHGNGGRPNRTTEKGFWKATGSDKKIIGLSNPKNIIGLKKTLVFYTGRAPRGSKTDWVMNEFRLPDTVSWPQDIVLCKIYRKATSLKVLEQRAAIEEEMKHVHSSPCSTTLQTMDAMSFGNEHHELTDKSPDLFTSISKDEVDDDRLSVAENKVSAETKEKSNCFRPKLLNGQDSLAELQVPKFSMDFSQDPVWMQLRSPWLDNFILTPSAFVHNF >Solyc02g032190.1.1.1 pep chromosome:SL3.0:2:28476845:28477132:1 gene:Solyc02g032190.1 transcript:Solyc02g032190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERSMELPSHLNKEIVANEEDLECTIREQDRFTPIANVVRNMRKILPPNAKIADESQLVIQECVSEFISFVTGEANNHASLSSTRQSPLKTCFGP >Solyc09g092300.3.1 pep chromosome:SL3.0:9:71907833:71912233:-1 gene:Solyc09g092300.3 transcript:Solyc09g092300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADILLTAVINKSVEIAANLLVQEGTRLYWLKEDIDWLQREMKHIRSYVDDAKAKEVGGDSRVKNLLEDIQQLAGDVEDLLDEFLPKIQQSNKFICCLKTVYFANKFAMEIEKIKRRVADIDRVRTTYNITDTSNNNDDCIPMDRRRRFLHAYDETEVIGLDHDFNKLQHKLLLQDLPYGVVSIVGMPGLGKTTLAKKLYRHVRHQFECSGLVYVSQQPRAGEILLNIAKQVGLTEEERKENLEHNLRSLLKIKSHMEEKMDLSGGDSFLQLEILHIQKPIGLSEVACTDDVSMPKLKKLLLIKIPYSNLRLSKRLAKLRV >Solyc03g117160.3.1 pep chromosome:SL3.0:3:67871424:67875242:-1 gene:Solyc03g117160.3 transcript:Solyc03g117160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIGVYDKINLDVSGTSWNIVKLKDLCSGFEENIEVKQYPTRIRFARFVPSWMGSPKAKVIVEIRGLRTTIIECMGNPYSKKKAAAENAAEGAMWFLNQAGYRLKH >Solyc07g015905.1.1 pep chromosome:SL3.0:7:5680938:5683408:-1 gene:Solyc07g015905.1 transcript:Solyc07g015905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVMKAIFGIVGAETVWVVVGSVCMFVGETVGAPREPHLKVAFHVLRYLKNDLSQGIFMTKHADCTITAYCDSDWAACSDSRKSVSGYIMFLGDSPIGWKSKKQTTISLSSAEAEYRAIRKVVGELVWLERLLGELTESCFMCYVHQVTPLLIGNVYESICWNSHRI >Solyc02g071470.3.1 pep chromosome:SL3.0:2:41463258:41463944:-1 gene:Solyc02g071470.3 transcript:Solyc02g071470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELFSRRKFCAQIIKNEISAISPKFSNKTSKSNTEHIFTAGDANLVVLPAITAEELKVVVVTFIVPARRMIVQTGSLRTVRIESGYHSHSGIQ >Solyc09g091630.1.1.1 pep chromosome:SL3.0:9:71341477:71341635:1 gene:Solyc09g091630.1 transcript:Solyc09g091630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYFSQWHNTFHIKIFRRSLNYVVNEICKLFVRIWRRINKIANSIKSDSSKV >Solyc12g017970.1.1.1 pep chromosome:SL3.0:12:8882232:8884097:-1 gene:Solyc12g017970.1 transcript:Solyc12g017970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKLKDKKWSYEKRSPLQDLNVIPRCKSKSSTIEAPRGRLPFLISSSSSSSSASSSSSSRTHFHKTPKVSSKISNPVPKSTCLRSKSTNENDLPRLITQKPKKNPPFLSGKKPISRKSNLSNPVKKSSYGSVRLGVKPKILKQKVEGNGSGEKIQLFDFDCNLTSFENCTPLGKLSSGSGIDCATLDHTCNVENSNTTSNTTKTPPIEASVSPEIQCGLSTGLFSAATPCYAAGHVLSGVTDKRKCRPRGVLTIGNLIDSSDCEKASCSEDRKCEGAGRNEVLNKSRRDSLIPLPALASMHWLLSPCRENNDVCCEGESVNEFRMLNGSATSELPYSTSICSGSTSDSVHNRAKARIVLVSPESTKLKDPSSDEMGRTLFPSYPLDISCCHNVEVPDEWKCSCSLVRENSPCSTASLSSGNVIQTPKSDLSSGKCGGFSWLRPGDHRDNVGDELDSVADFLHKTSLSPMTQPSALDPPNLHFKFNSSSWVSDSSLENISQSQMRISWRDGIVSQTLKIDDLDCCRCLSDDENVGYCYTDVKTNVGVESSPHGENDLLPESGSQSPEFVEHKHELSRNGKLRSPLPEANPCAESIQTEGGGLVSSAESDWTHSCNTQLYHI >Solyc09g059738.1.1 pep chromosome:SL3.0:9:55510405:55512425:1 gene:Solyc09g059738.1 transcript:Solyc09g059738.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISSVAIKTDNTWHMCIDYRDVKKITLPDKYPIPNIDELLDELHYEFTVMPFGLTNAPSMFQCAMIDLFILDLRKFILVFLDDILDPSLQPDFHLVDQKLYFKESLVLLDQSSIRQKLLPKSHDTPSVGRMEDIKKTLKRISSNFFWPRMKNYVKIFVQNYLVCQQAKYHALAHARLLQPLPIRERNLRRFHLTSLDDFPKLVVLIEFWLS >Solyc12g027810.1.1.1 pep chromosome:SL3.0:12:27184465:27184731:-1 gene:Solyc12g027810.1 transcript:Solyc12g027810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGLLASTVKSCPISSSISILLTGVYVISSIILVVELRFAGMVKDRVDEVSLTSLAIKALGCNIWYFIKLVYGSLTIALLVACVSGIG >Solyc08g074840.1.1 pep chromosome:SL3.0:8:59091664:59092881:1 gene:Solyc08g074840.1 transcript:Solyc08g074840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSDKPLENDSSGVPVSPPDNGINNDSNVESAGQKDKVMLEDVETEIDLSIDDVFPPPNVEYLNVSPESSNHKASMESGNGYKGLDDSIDLNFPSLEYQRSGNCGMNNLVSSSSNVVNNSMECGVAAEQQIRLKDLKKRSGASTSGRGEGTEMRRRNDENSVRVTNISENTREADLLELFCPLGHVSGVYVGIDQNTGTSRGFGFVNFVNREDAERGYKQAQWLFYQNLILRVEWAAPSVFFP >Solyc04g071365.1.1 pep chromosome:SL3.0:4:58453873:58454757:-1 gene:Solyc04g071365.1 transcript:Solyc04g071365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKAQNLLIEYEALSTRMGVVPSTEYVYNVTDDGRSFVVCLKNKTCSCGKFQYEEIPCEHALAVLKRKSIVADGFCLDLYKPKTVLKIYEIPIYPLPFFSEWVIPEAIMYDEV >Solyc09g057630.3.1 pep chromosome:SL3.0:9:50193041:50195091:-1 gene:Solyc09g057630.3 transcript:Solyc09g057630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLSTLLLLFTLASSSFSSAEISSQLGICYGQLGNNLPIPKNSVDLIKGLKAKRVKIYDTNPQILDALKGTNLQVSVMVPNELINNISTNQTLADQWVKNNIVPFYPHTMIRYLLVGNEILSSPPNTTWFNLVPTIRKIRFSVKKFGLGKIKVGTPLAIDMLESSFPPSNGTFRSDISEKVMKPLLHFLNRTKSFFFIDVYPYFAWASQPTVINLDYALLNSKNITVSDPGTGLVYTNLLDQMIDAVYYAMKRVGYPDVRLFIAETGWPNAGGVDQIGANIYNAATYNRNVIKKFTVKPPIGTPAKPGVVVPTLLFALYNENQKPGPGTERHFGLLYPNGTNIYGIDLSGKTPESEYKALPRARNNEPYTGKIWCVVSRSANASELGGAMSYACGQGNRTCDEIQPGGKCYNPNSLVSHANYAFSSYWSQFKSSGGTCYFNGLTIPTKKDPSYGSCKFPSVTL >Solyc07g049530.3.1 pep chromosome:SL3.0:7:59957577:59960265:1 gene:Solyc07g049530.3 transcript:Solyc07g049530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ACO1 description:1-aminocyclopropane-1-carboxylate oxidase 1 [Source:UniProtKB/Swiss-Prot;Acc:P05116] MENFPIINLEKLNGDERANTMEMIKDACENWGFFELVNHGIPHEVMDTVEKMTKGHYKKCMEQRFKELVASKGLEAVQAEVTDLDWESTFFLRHLPTSNISQVPDLDEEYREVMRDFAKRLEKLAEELLDLLCENLGLEKGYLKNAFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDEQWIDVPPMRHSIVVNLGDQLEVITNGKYKSVLHRVIAQTDGTRMSLASFYNPGSDAVIYPAKTLVEKEAEESTQVYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAMESDPIASA >Solyc04g008780.3.1 pep chromosome:SL3.0:4:2420848:2423570:1 gene:Solyc04g008780.3 transcript:Solyc04g008780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIMEDKNVVEEENSNYKGKVCVTGASGFVASWLIKRLLLSGYNVIGTVRDPATSNGDLYLFHGIEVFMSKSGNQKKVGHLWKLQGAKERLRLVKANLTDEGSFDDAIMGCEGVFHTASPVLGKATFDVTVEILNPAVDGTLNVLRSCKKNPRLRRVVLTSSSSTVRVRDEIDPNIPLDESSWSSVEFCKKFKIWYVLSKTLAEKAAWEFCEQNNIDLVTLLPSFIIGPSLPPDLCSTADDVLGLLKGKTDKFDFHGRMGYVHIDDVALSHILVYEHPSAHGRYLCSSKVLDNNQLVSVLSERYPSLPIPKRFKELDRPSYDFNTLKLEGLGMKFKSIEEMFDDCVTFFNERGLISSI >Solyc12g038540.2.1 pep chromosome:SL3.0:12:51468323:51500144:1 gene:Solyc12g038540.2 transcript:Solyc12g038540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNHNNDNLEHKLQAGKLFRHVNSLIVAHLRDNNLNQAASAVASSTMTPMNVEAPPNKLLELVAKGLAVDKDDTLRGVSTAIPFDPIVTAGYGSIPAPRAAYVDFSSMNNTKGPSKNIPKHESRHISEHKNVARCARFSPDGRFLATGSADTSIKLFEIVKVKQMKQPEARDGPVRPVVRTFYDHQQPINDLDFHPQNTVLISGSKDRTIKFFDFSKAVAKRAFRVIQDTHNVRSVSFHPSGDYLLAGTDHSIPHLYDINTFKCYLPLNFQDMGVNSAINQVRYSSTGGMYVTASKDGAIRLWDGVTASCVRSIDGAHGAAEATSANFTKDQRYILSSAKDSSVKLWEVGTGRLVKQYVGATHTQLRCQAVFNDTEEFVLSIDESLNEIVAWDSLTTEIVARWPSNHIGAPRWLEHSPVEAAFVSCGIDRSIRHWT >Solyc10g061940.2.1 pep chromosome:SL3.0:10:23406487:23423405:1 gene:Solyc10g061940.2 transcript:Solyc10g061940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGKRINDALDKQLDKSLASTSRALKDKTVPSTSTVTGKLHQRHPNHHMDHQRDTLSSSTPTTKNKCSDEESESDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSGQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMLGKYKNYDFGRCPRVYCSGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKPTQNYVPRVFGFKIHRT >Solyc04g015530.2.1 pep chromosome:SL3.0:4:5755498:5764856:1 gene:Solyc04g015530.2 transcript:Solyc04g015530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLRNPFILFTTFIIIIMSFSSCYSIKFLDDDHNINNLEGEFGNDFHFKAYPSNYFNTILDHEKNNNIKKNLEIISRFQRYNNMEKFNEEEDQAKVTTINVDSFGAKGDGSIDDTNAFQKAWKEACSSSHVVNFVVSQNKKYLLKPIKFYGPCKSSITMQIYGTLLASDDTSDYKKDSRHWLIFDSVQKLVVGGAGVINGNGKIWWQHSCKINKKLPCKVAPTALTFYKCNNLKVKDLKIENAQQIHLLIEKCVGVEVTKLVVTSPENSPNTDGIHITSTQNIQISDSTIATGDDCISIVDGSQKVLATGITCGPGHGISIGSLGGGNSEAHVSDIHVNGAKLYETTNGLRIKTWPGGFGSASNIKYQNVVMNNVKNPIIIDQNYCDQADGPCKAETDSAVEVKNVIYQNIKGTSATNDAISIKCSKKIPCEGILMENVKLLGGNGETPNGIWGNINNLTCKNVLPECQKNSKIV >Solyc09g074240.1.1.1 pep chromosome:SL3.0:9:66437508:66439424:-1 gene:Solyc09g074240.1 transcript:Solyc09g074240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPLLHLFIFLCLSFSYCCYGQIINSSSSSCPLDFTSLRKIIDQNSKRPTLNSTAQCQYIRQGLRLVQSEYLRRTNSFFPPMSSADSCWNSYQSLVNDYVQNFDIRSSCGFQTAWISQGCMNITTRFEFESKVSPAAITNIVSSCNQSLENNSPCATCTTSLSGLASFLPGPSVGNLFDCAAYPSVYAAAFANQFGPTDKGTAKCLFLLDVSVGSSGKGKKSVVVIVVVVVCVVVFAVVVFGYWFMWRKRKIGLAKKWNTKRLESNLSSRLDSISGSTTLIRYSFDEIKAATKNFSRLNIVGTGGYGNVYKGVLPGGIEVALKRFKNCSVAGDANFTHEVEVIASVRHVNLVALRGYCTATTPFEGHQRIIVCDLMKNGSLHDHLFGTRHEKLSWGIRQKVAIGTARGLAYLHYGAQPGIIHRDIKASNILLDESFEPKVADFGLAKFTPEGMTHLSTRVAGTMGYVAPEYALYGQLTERSDVYSFGVVLLELLSGKKAIMEFKDGQPTLVTDWAWSLVREGRALDVLEDSIPHLGPPEVMEKYVLVAVLCSHPELYARPTMDQVVNMLDAEIPVPTIPERPISLIADLDDIERSVSSGGNSGNLSTAAGYQPYIFERETPLADSDSVRARTLDQRSR >Solyc02g014325.1.1 pep chromosome:SL3.0:2:16254654:16258324:1 gene:Solyc02g014325.1 transcript:Solyc02g014325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEVPIIKSSNVNSLSREEEMFLNRMDIKELLEADWSSELQEYIVTVKSKVIEIHNYFGWYNIHLKVTDRTGDTTFILVNAVSEKLLDTLAHKLFNKLTTANNDVPVQVQSLCGKEFVFKLRLNHYNLKEGLENYTISKLWIPDDNLEVQYKLSKEEKGKNLSKNETDLKYQGTNG >Solyc03g078600.2.1 pep chromosome:SL3.0:3:52656524:52658375:1 gene:Solyc03g078600.2 transcript:Solyc03g078600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGFYLKLGFEYPGKLTRYVAITCIMAAMGGLNIGYDIGISGGVTSMDPFLKLFFPSVYRKEALDTSTNQYCKFDSQLLTLFTSSLYEAAFFTSFVASSVSKKHGRKVTMFLGGLFFLFGAVLNAAAVHISMLILGRILIGIGVGFANQDTFNVLFQLAITFGILVANIVNFLSDKISAGWGWRVSLGGAAFLGVDNINAELKDLLEASEASKKVQHPWEVLFRVRKYKPQLILSTLIPTFQQLTGINEVMFYAPLLFQTLGFKSNASLMSAVITGAVNVGATLISVYCTDRYGIKVLLLTDGVLMCVFQGLVAGLIGWKFITTGMIPTVLPQSYAILVVLCICIFVTAFAFSWVPLGWLIPSEISPLEVRSAAQSITVSMNMLFTFGVAQVFLKMLCWMKFGLFIFFAAFVFIMTLFVYIYVPETKNIPIEEMSRVWRDHWYWKNYTDDVDTQSPAKEVVF >Solyc03g095985.1.1 pep chromosome:SL3.0:3:59212029:59220473:-1 gene:Solyc03g095985.1 transcript:Solyc03g095985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLNSVLGFPSTANYWNLSGSASLQKVAHFPSTFQMRGLRRCVPVTRHNFYLLVAKSDRLETEVSDKDSENAERSYSEAITPTVNTSSFESSKTEGEVQPIGQTDSAVQEAEASNGSGTSANLEEEASSSSPKPTLKRSPLTAREKLRAARVLSRYNESKTSKPQMGSKLIEALRESEKGKMRSGLPEAPTNLFDDSKRGMPKPGWTFEFPGGIDVFLVVFSFVFISTVMFATTYIVWKVGAIHFNE >Solyc03g114710.3.1 pep chromosome:SL3.0:3:66092651:66094348:-1 gene:Solyc03g114710.3 transcript:Solyc03g114710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQLRKMSENHPHVLIFPYPAQGHMLPLLDFTHQLVNNGVYITILVTPKNLPFLNPLLSRNPSIKTLVLPFPSHPSIPAGVENVKDLPANGFLSMMCNLGKLRDPILEWFHNHPSPPSAIISDMFLGFTHEIATQLGIRRYVFSPSGALALSVVYSLWREMPKRKDPNDENENFHFPNIPNSPKFPFWQISPIYRSYVEGDPSTEFIRECYLADIASHGIVFNTFIELENVYLDHLMKDLGHNRVWSVGPVLPPGEEDDVSVQSNRGGSSSVLASEILAWLDKCEDHSVVYVCFGSQAVLTNKQMEELAIALDKSGVHFILSAKRATKGHASNDYGVIPSWFEEKVAGRGLVVRDWAPQVLILKHRAIATFLTHCGWNSTLESLTAGIPLLTWPMGADQFANANLLVDEHEVAIRACEGVQTVPNSDELAALLAEAVQGNKVEDRRLRASKLRKIAINGIKEGGNSFKELAAFVKHLREE >Solyc04g008790.1.1.1 pep chromosome:SL3.0:4:2424949:2425782:-1 gene:Solyc04g008790.1 transcript:Solyc04g008790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTTMAKINEDQVRKAVKALLKWKKLQSKKTLELQNLNSLSDEEENEEDEVVDDNFIYLQLTLKKIPPKELTTPHKITLPNPFFHPLESFANICLIIDDRPRKSHNSKTKLDVETVQKKLKSEGISITKVLKFTKLKSEYKSFDAKLDLYGSYELFLADKRVMNLLPGLLGKQFYKKKRKVPVPVDLRGNSNWKEEIERACSSTLLCLGSGTCSVLKIGRGGMENGEVMENVLAAIDGIVQFVPKKLNGVRAFHLKFSDSLALPVYEDFPDQSSKQ >Solyc05g041620.2.1 pep chromosome:SL3.0:5:53405873:53406644:1 gene:Solyc05g041620.2 transcript:Solyc05g041620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPEAGQLIALLLKLTNPKKMIEIGIIAIDLDRDAYEMELPIIKKANIEHKINFIQSSALSALDEHLNENDNRGIFYFAFIDAERVSYQKYHERMLELVKVGGIIVYDNTLWFGTVSMSEECVKETIKPNRQHIIESNKFFASNTRVQISHVPIGDGIIICWKLLKEYSFVLGITI >Solyc11g005845.1.1.1 pep chromosome:SL3.0:11:684300:684674:-1 gene:Solyc11g005845.1 transcript:Solyc11g005845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTDDALRLEKKDNAIEESHSENMVTTCPKSRIQVSQGAIGTIGGIPQYRVQIVNGCESKCGSIHIACGEFASSKLINPMIFKRVAINDCLVNNGARMRSGQTISFTYTNTYKYPMVVASSRCN >Solyc06g005610.1.1.1 pep chromosome:SL3.0:6:671396:671725:1 gene:Solyc06g005610.1 transcript:Solyc06g005610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYMDEKWKLSKEDPNNNSYNSSSKSSLRRSYSQHCPISSDPPLPRSYSQKTSSSSKSRLSKSSSQRSSNFKSKCSTMAKEQKAKFYIVKRCIAMLVRWNKHDKHGDS >Solyc11g072940.2.1 pep chromosome:SL3.0:11:56388283:56396735:1 gene:Solyc11g072940.2 transcript:Solyc11g072940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLPNSSLKGSASNPSDNTGRSFPSSFPPQSGAASPLYHHSGSIQGLHNIHGSFNIANMHGALGSRNTAINTVPPNGVQQSGNNLSGGRFSSNNLPASLSQISQGNSHVHSGMTSRGGMSVVGNAGYSNNASGVGGSIPGLLPTSAGIGNRSSVPGLGVSQILGNAGPRMTNSVGNIVGGGNIGRSISSAGLSVTNLASRLNMNANAGSGNLNVQGPNRIMSGVLQQASPQVLSMLGNSYSAGGPLAQNHVQAMGNLNSLGLLNDVNSNDGSPFDINDFPQLSSRPSSAGGPQGQMGSLRKQGISPIVQQNQEFSIQNEDFPALPGFKGGNADYAMDPHQKEQLHDNALSMMQQQHFSMGRSTGFNLGGTYSSHRPQPQLQHAPSVSSTGVSFSNINNQDLLSLHGPDVFQSSQSNYHQQSGGPPGIGLRPLNSSSNVSGIGSYDQLIQAQQYQQHQGPSQFSVIQMNDPDLTSLALGIDLTTLGLNLNSAENLYKTFGSPWSDEPAKGDPEFTAPQCYYAKQPPPLNQAYFSKFQLDTLFYIFYSMPKDEAQLYAANELYNRGWFYHREHRLWFMRVANMEPLVKTNAYERGSYICFDPNTWETIRKDNFVVHYEMLEKRPVLPQH >Solyc08g036590.1.1 pep chromosome:SL3.0:8:10922489:10924442:-1 gene:Solyc08g036590.1 transcript:Solyc08g036590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFFLVLNWIMHSLKGCFCLKKSRHLLETHDTLASETCFSVNDVEALYILYKRLSRSIIDDGLIHKEVFVQALFSCSHRQNLFADRLFDVFDVKRNGIIEFGEFVRSLSIFHPKAPQQDKITFAFKVYDLKNTGYIERDEVKDMVLAILEESKLTLANDTIEAMVHKTIEEADLNGDGRIDPKEWKELVRRYPSLINNMTLPYLEEVTVLFPCFVITSKVRDSQLVFGN >Solyc05g053730.3.1 pep chromosome:SL3.0:5:64611689:64615490:1 gene:Solyc05g053730.3 transcript:Solyc05g053730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLYRQLLRKTPAAQTLKPILRSISTTADSTSTAGQSHHNDHQRNHEFRPPSDYLNSWTAPKDPKEAEAKLARLRREYAKKVKEVRKEYIQEMELQRIEKMRKDEAKKEAQRIANEERKVAKAAEKKAKAKEREAAEEEFRKTLLKERQEKLEYWRMREENFSKKKKEKNDLLRRQSSMWIDEKELEKKTLEAIVDTVHL >Solyc01g079790.3.1 pep chromosome:SL3.0:1:78781895:78787008:1 gene:Solyc01g079790.3 transcript:Solyc01g079790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glucose-1-phosphate adenylyltransferase [Source:UniProtKB/TrEMBL;Acc:K4AXM4] MSVATDGRFALLRNNPAALTGTNLKIVKFCNGELMGKKLKYTKFQLRSNVVKPNICMSLTTDIAGEAKLKDLEAKKEDARTVVAIILGGGAGTRLFPLTKRRAKPAVPIGGAYRLIDVPMSNCINSGINKVYILTQFNSASLNRHIARAYNFGNGVTFGDGYVEVLAATQTPGELGKRWFQGTADAVRQFHWLFEDARSKDIEDVLILSGDHLYRMDYLHFVQSHRQSGADITISSLPIDDSRASDFGLMKIDDTGRVMSFSEKPKGDDLKAMAVDTTVLGLSPEEAKEKPYIASMGVYVFKKDILLNLLRWRFPTANDFGSEIIPASTKEFCVKAYLFNDYWEDIGTIRSFFEANLALTEHPPRFSFYDATKPIYTSRRNLPPSAIDNSKIVDSIVSHGSFLTNCFVEHSVVGIRSRIGTNVHLKDTVMLGADYYETDAEIASQLAEGKVPLGIGENTRIKECIIDKNARIGKNVVIANSEGVQEADRSSEGFYIRSGITVILKNSTIPDGTVI >Solyc01g106790.3.1 pep chromosome:SL3.0:1:94448850:94452405:1 gene:Solyc01g106790.3 transcript:Solyc01g106790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLQLLKSPFSSFSQSNSSRFSTIVQRRILGVRYQRKNPILKTFVDTKFRVSCRIQDNENQSNGEEPPESLFMKELRRRGMNPTSLLEETNTNIKEDEETKSREEDGGFYRRNALSTDSERNLTNQREQSMALNSEGLEGLIPRAKILLTLGGTFFLAFWPLILVTIAAFLGLYLYFGPSFVHYATNRSTDPPPYVDPYVLLEEERISQTAPRLN >Solyc10g005650.3.1 pep chromosome:SL3.0:10:512962:526229:-1 gene:Solyc10g005650.3 transcript:Solyc10g005650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRDLVTGAPSCGEPSSSSNPLGALANALIGSSSKTQERLKEIPTSVSTSSNGNFLAGVEEPFVSLPGSEFEHPLQPNIQGSQFLQGYRSADQNKLADAWDEIQRPQLPFPHGSQNMTNIPLEHARLQPDLNGPPQQVLSSFLHSFVNSGHGGVPFRPASLPLLGLSEGDKQCIRDRSTIMARHFFADKTEDFINGQVNALLSSLEIDNHVRARGPLPGRYPELEEYWNESLAMKPVPHVADGWINEFAQNRVGHADPNAWAQSFEQQHGANGWASEFEHEQSQLGMIGQMRGANIPNLAAMEQTRMLAHTLAQNSDPKFQNSKFLQFVSKMSRGEISIEENQFKPATVSSGDWAAEYEQKHNGGQSWADQFAHEELSRGPQGWVNEFSAERAQHGSVNDEWVSEFSKLNVNDDWADEFGRQVAEGAFGETSADGWAEAYDEYMNEQAALKQQSDASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPENAEGWRLLGIAHAENDDDQQAIAAMMRAQEADPANLEVLLSLGVSHTNELEQQAALKYLYSWLRHHPKYGSIAPQEQPISFYHADVARLFTDAAQMAPEDADVHIVLGVLYNLSREYDRAIESFKTALELKPRDYSLWNKLGATQANSVQSSDAILAYQQALDIKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMLEACDSRNLDVLQKEFPL >Solyc06g082860.3.1 pep chromosome:SL3.0:6:48554224:48558278:-1 gene:Solyc06g082860.3 transcript:Solyc06g082860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASFKFSASFFFIIFLIIFISSQISKVYSQGSSTDSCNTNLKLKSEILFDTTSFHCLTVWNQQDYILRYMRTDTNVWSYVLSAPNTNSYIAMGFSEKGKMVGSTAIVGWVSNDGTATMKKYFLGGQSPNQVLPDEGNLQLVNFTSSVVAENSRIYLAFQLNTEMPSNRLIYSVGPSGMLPSTADYRLTEHQDHISTSLDYNSGQSETKTLYANLRRSHGLLNMFGWGILMPIGVMTARYLRQYDPIWFYSHVTIQSLAFILGFAGVISGLVLNNRLQNNVNRHKGLGIFILLLGCLQAIAILVRPDKESKIRKYWNWYHYITGRVLILLATINVFYGINLGNAGSSWKAGFSVVLVILFITALMLEIRMWKRR >Solyc02g078510.3.1 pep chromosome:SL3.0:2:43736991:43738507:-1 gene:Solyc02g078510.3 transcript:Solyc02g078510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESVVQCLKFSNLATDSVYSQDLPWRTPSSFNDRRPLVVQLSHFDYGGIAYAVPDVQRDQHQPRVSKMYRFSSSSLGRLKDTVSMNLGVQNPTRFEVATALIHECGRAASKANNSGIFIPSLSCHLMNLHPPLPLNTIGNDVHFFNTRAATEDEIQVPHFVAQLCKAKQHPRYQLASRSLMEIILEPAEAQTQPQLLDLSPKELKELAEKYLD >Solyc07g048000.3.1 pep chromosome:SL3.0:7:59330722:59334387:1 gene:Solyc07g048000.3 transcript:Solyc07g048000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSSSPKDSSILYSPLSSPNVGALLKIKIISWSQETGLPVTIRVRIADRTFNLHKHPLFSKSGYFRRQLNESNEAELPSNFPGGAETFEMMALFIYGSSTLVDPFNVAALRCAAEYLEMTEEYISGNLCERFDIYLNQVVLQSWDDTLIVLQKCQMLLPLAEELLIVSRCIESLAFMACMEILDPERRRDHPVVTLDALASQPWSNETVKAILSQDLWIKDLIALPFPFFKRIMSSLRRQGMKEKYVSPIVLFYANKWVLSRKTHQYWQDARKDGKADNDVEDDDDDDTNEKVSKILQGILDLLPMGEKASKVIPVGFYFSLLSRSLQLGLTSESREKLQDQIASLLYLARMEDFLLPDSSNDSISSCIELTVMKSIFSKYVSFMELTHTPSPRNYIVAELWDIYLTKIATDPEWSSKRFLELIETVPLSSRQTHDHLYRALSTFLMAHPDMSQEEKGSVCKYLNCQKLSQEMCIEAVQNELMPLRLIVQALFVQQLNTQQAFKECSDSFRYAQCGEYSGSLSSTIYPNSKSQNLVESPYMEGSEGGSKTLSFLLKDSAMQRSEFSRKEYESTSFRIQNLEEELMSLKKTLQLQHISKQTETISKKVEPVSANFQSLKPYGLEGRTPSNKSVGQVTSCIGSVNFSSQKRYANRLLKIFRRISLFGRGKSRKKQGGNGLRPKILNF >Solyc03g116900.3.1 pep chromosome:SL3.0:3:67650010:67659122:1 gene:Solyc03g116900.3 transcript:Solyc03g116900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANSSPQPQFMTSPANKNHSNQPLIDDIEYDQIVVPDKKSWKNIFSYIGPGFLVSIAYIDPGNFQTDLQAGAQYKYGLLWIILLASFAALVIQSLAANLGVVTGKHLAEHCRKEYAKVPNFILWVIAEIAIVACDIPEVIGTAFALNMLFKIPIWCGVLITGLSTLTLLLLQQYGVRTLEFFIAFLVLTVAVCFFVELGYAKPDSSEVLQGLFVPQLKGTGATKLAISLLGAMVMPHNLFLHSALVLSRKIPRSVNGIKEACRYYLIESGLALMVAFLINVSVISVTGAVCNSPSMSPDDQEKCQDLDLNKASFLLKNVLGNWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLKPWIRNFLTRCLAIVPSLVVSLIGGSGGAGNLIIIASMILSFELPFALIPLLKFTSSKTKMGSHANPVAVSAATWVIGTLIMGINIYYLAEKLITSIKDGHLGKASKVLCGLLGASCLLGYLSSILYLAFRRNKEGTHLLALTGQEGLQVSELNNLPREDILRMQLPQQRTTN >Solyc05g015590.1.1.1 pep chromosome:SL3.0:5:11495181:11495522:1 gene:Solyc05g015590.1 transcript:Solyc05g015590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTYLKSIKFGDTTCSDPNIPNAPLSAAETPTIHFNLKFSITKKIREYISSSHDKNFIALNRNQNCVIGKKEQNQKKTSLLFTFAISFFSFYFDFFISLLSPFSLDEKSFLSV >Solyc02g082570.2.1.1 pep chromosome:SL3.0:2:46791404:46793393:1 gene:Solyc02g082570.2 transcript:Solyc02g082570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANVCDINHLDADVLLPPRKRLLAGLKKQNSDVYSSTPSPPTVNSPPCDFDIRLNNLLKSHFGDSNRSNEEIAEASRLAALEAVKAAKAARAIAEEKAAKAAKAVAAAKSALELVATLSDEGTSRDKHLKRNKMKKHVPVQTLYNKNKGTDDCRTDEELARTLHRAINSSPRILKNSTSDSRNQKHKRLKRSSPSEKSKLQTGSTSVEGNCPSTSNGNGFTRERESDRHISDKDLVRVDLNTKFNKSDLTKMENGEASHSSKADNVNMENKERESIISKEKVGDSVNDSCSIEKKKGRLKQKKLPLSICSFRDQASPKEDLKSKSSSSFDENINKGTTSNNPIFPLERPMWKCQTFKAPTCVEQNKVMQS >Solyc12g005097.1.1 pep chromosome:SL3.0:12:88708:89774:-1 gene:Solyc12g005097.1 transcript:Solyc12g005097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIFFVYVRQDLFYAYDWPSRLVRPIWKNAKIFRGPPSRPCLCIRLAITACPTHLEGQTSPEHTIFWVIRNPMSKMPIYFVDVRQDLVYAYGWPSRLVRPIWKVKRAPKRAYPSFRRFSCAIAHDFLGDSDSDVKNSKFFRGRPSRPCQCIRLAIMACPTHLEAHDFLGNLDFDVENANFFVDVRQDLFYAYGWPSWLVRPIWKVKRASKRAYPSFQRFSCAIAHLFLVDPDSNVKNAKFFRGRPSRPCLCIRLAITACPTHLEGQTSPEASIPLISMIFVCNNSTRFFG >Solyc05g010640.3.1 pep chromosome:SL3.0:5:4858902:4860056:-1 gene:Solyc05g010640.3 transcript:Solyc05g010640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAIEDFVGNGCLQGLVPMLLEEGWDDVPTLKIMNVDDMNELNMTKRQKDALEIRSYLHDRALMQYADKFEESGNSLTELQNLSTVDLTSKFGMKRGHVARFTDRTAASGGDSLSETYNLHMRRRTTSTNESLYRKDISPVTSRKLSMTRSLRRTKTGISLEQSMAELEIKGGHVFKGIVASMPDEPRACGCV >Solyc09g059610.3.1 pep chromosome:SL3.0:9:55154682:55156086:-1 gene:Solyc09g059610.3 transcript:Solyc09g059610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGSKAFLFLGIFLAIFSMISSEVIARELAETSMKPDNKNDYVHNDEYNDIDGYLGDERGEYKNGYKFPGGRYYPPHGGYKSPYDDYNNTYKPPHGEYNKGYKYPGWGYNTPPDGYNPSGGEYKSSHDKYKPPHGEYNNEYKRHGDGYKSPGGEYKPPYDEYNNGYKPPSGGYKPPYDGYNPPSDEHNPPHDKYKPPFGKYNPSSGEYNPNMTNINPLVMNINIQVINITPHVTNIKPQVMDTILQVVDTTLQVEATNK >Solyc07g054230.3.1 pep chromosome:SL3.0:7:62716923:62720174:-1 gene:Solyc07g054230.3 transcript:Solyc07g054230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNLLFGWRKASKCKNLIRRVQRRIKLLKNKRSCIIKQLRDDLSELLRNGHYEIVIDRVEQLSLDERKVAVYDLLESYCEFIMINLPCIRKNKDCPDDINEAVSSLIFASARLGDVPELAAIRKFFSERYGQRFEKSALQLLPGNVVSHQMMDYICTSSVSEEEKYRLVDEIARSCYQQGPLLIEYRREPPDELVNGTSSAAADPLIDDPSDSHQQLLPTANSTCYPTTKSRKDSVNSLKDNRNEFTGMEKRNLNAFILEQNVEKRAAESTTEEDLAELPEQLIYLDDIQEFESAVKKDMDFEDQRLFMFKAPFVPSRLKIDTRSKFKGIEKKFDSQNPKEGSKTSRKNRTISGKRTRRKSGSTVSDIDSTIYYGDLPESSPDSKPKRQNRRRSTRKSSVSESQRSYRTFAHDTKDQPCYVKFRSTMTFVKCIDDHSRCNETMENHCSLEHPCYYWITDKKGNVESPLRVPRRRVKTTKDYINQDQNVESMNEHSVNRDTEEVLKSQETCDSTMYSTSRMTYQRARDENQAPYLRAMTLPIERPKDCLVDNFLRSSSFPVQEPENGSYENRHVHPKLPNYDEIEATFLALKKEKLQKKC >Solyc08g066820.3.1 pep chromosome:SL3.0:8:55733260:55745562:-1 gene:Solyc08g066820.3 transcript:Solyc08g066820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTEGARHSLTANIPYSSLTVDHSLSITHLKPKIVELCKDLFNQWSNLDGSHFSVETVSGGITNLLLKVSVREDDGKHENMTVRLYGPNTEYVINREREMQAIQHLSAAGFGAKLLAVFGNGMVQSFIDARTLTPPDMSNPKLAAEIAKQLRKFHQVEIPGSKEPQVWNDVLKFYKNASTLQFDDGEKKIKYETILFPEVHNEIIELKELTDRLNAPVVFAHNDLLSGNLMLNEEKEKLYFIDFEYGSYNYRGFDIGNHFNEYAGYDCDYSLYPNKDQQFHFFRHYLDSDQPNKVSDKDLEALYVETSSYMLASHLYWALWALIQAKMSPIDFDYISYFFLRYNEYKKQKEKVLSLAKSYLSKPELGKRLM >Solyc04g080290.3.1 pep chromosome:SL3.0:4:64613519:64618484:1 gene:Solyc04g080290.3 transcript:Solyc04g080290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRRKNHSNSEQGGEDQQHKVKELRASLGQLSGRSAQFCTDACLKRFLEARNWNVDKAKKMLEETLKWRLSFKPEEIRWNEVAKEGETGKVFKANFHDRHGRTVLILRPGMQNTAALDNQMKHLVYLIENAIFNLPEGQEQMAWLIDFTGWSITNNVPVKSARETINILQNHYPERLAAALLYNPPRLFETFWRIVKYFMDPKTFQKVKFVYPKNKDSAELMKSYFDVDNLPTEFGGTATLKYDHEEFSRQMAQDDVKAAKFWGSDKHPPGPGGNGNYSAVEVAPEP >Solyc01g095800.3.1 pep chromosome:SL3.0:1:86853726:86857932:1 gene:Solyc01g095800.3 transcript:Solyc01g095800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSPEENPNPKVKSAAAAAKKKRRSSLSDIWNNKDAQALKQLVLQMRAQSVSNSTTPPSEPESDIQSLTQDDGEPTRFSSGPDYTAVLSDKLLLNVLSKLPEHQHISYSLVCKRWCKLSGKLVRSIKLLDWEFLESGRLSYRFPGLIDIDLVRACVKSRRNSGIVMSHRLVSVHLDSNSIHGGFVGKEGFLGQEVVDGGVKVLVEGCANLRRIVLINASVEGLGYLGEKCETLQELELHFSDDFALKGLFGSRNLQILKLVGCIDGLYNSMVSDIGLTILAQGCPRLLKLELVGCEGSYDGIKAIGQCCQMLEELILCDHRMDGGWLSALSFCSNLKTLKLQHCKVLDSCPGPDEHLGSCSTLDELHLHQCQLRDKQGVRALFLVCGTVRELVFGDCWGLDNTIFAAASVCRSLRNLSLEGCSLLTTEGLDSVVQSWKELERLKVVSCNNIKDSEITPELATLFSVLKELKWRPDSRSFLSSGLEGTGIGQKGGRSLRRK >Solyc06g051960.3.1 pep chromosome:SL3.0:6:35729326:35732751:1 gene:Solyc06g051960.3 transcript:Solyc06g051960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4C5Y2] MDYARLGKPKPKDLSRREILPKFEENVPNQRSKLKIVLIFFSILIIAAAISAAVVVTVRSTTKTGATVTHIKPSQAISRTCSRTRFKTLCLNSLHEFPGALTASDAELVHISVNATLQRFGRAFYMASDINSLVMDKHTRSAYESCIELLEDSVYLLSRSLTSVAPGAGESPPGNNNDVQTWLSAALTNQDTCTEGFADVRGNVKDQMATNLKDLSQLVSNCLAIFAAVNGNDDFAGVPIHNRRRRLMSTVSSAKNENFPKWLSRKDRKLLNAPVSTIQADMIVSKDGNGTFKTIAEAIKKLPDYSSRRIIIYVKAGRYEEDILKVGRKKTNVMFIGDGKGQTVISGGKSVSQNLTTFHTASFAATGAGFIARDITFQNWAGPGKHQAVALRIGADHAVIYRCNIIGYQDTLYVHSQRQFYRECDIYGTVDFIFGNAAVVIQNCSIYARKPMDFQKNTITAQNRKDPNQNTGISIHACKIIATSDLQASKGSFPTYLGRPWKLYSRTVVMLSYLGDHIHPHGWLEWNATFALDTLYYGEYMNYGPGAAVGQRVNWPGYRVINSTDEASKFTVGQFIFGSSWLPSTGVAFLAGLST >Solyc05g025817.1.1 pep chromosome:SL3.0:5:36927640:36928008:-1 gene:Solyc05g025817.1 transcript:Solyc05g025817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFDFTMIDEDNFIYVKKSKGKLVILSLYIDDILLAGNNLEYVKIVNSWLSKSFDIKDMGEANYILGVKIQRDCSKNFLSLSQEIYTKEILERFQMNTRGETLSLEMCPKSEK >Solyc11g008400.2.1 pep chromosome:SL3.0:11:2601376:2604384:1 gene:Solyc11g008400.2 transcript:Solyc11g008400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESENTKKMVTLKSNDDKEFEVEEAAVIQSEMIKNMIEDGCATSVIPLPNIDSKTLTKVIEYLNKHITKDEDEDENETDDKGKAVETGEEDDLKEFDEQFVSVDWEELFDIIMAANYLNIHELMELCCQSAADRLKNKSVRAVREMLKITNDLTEEEEQEIINDAPWAFEGPEIDDTVN >Solyc02g036170.2.1 pep chromosome:SL3.0:2:30671782:30675635:-1 gene:Solyc02g036170.2 transcript:Solyc02g036170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFAGGIETWKEYANMARTTFEEDFLWGMYCISTNKHNDHDQLKIYRHVYKDVVPLEQMKKYIDCKLIQTYKCNKKWIIALNPLPHSGSGSLIAGDPTCLTCKRRLHDPERFQFCSIACQVEAKWEKIAETKRKRKRKGIPCRAPLK >Solyc06g074740.3.1 pep chromosome:SL3.0:6:46420009:46431545:-1 gene:Solyc06g074740.3 transcript:Solyc06g074740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:VPS41 description:Vacuolar protein sorting-associated protein 41 homolog [Source:UniProtKB/Swiss-Prot;Acc:P93231] MSPKPSENGIDGDDERDEEEEDSEEEEAEEEEEDEPRLKYQRMGASVPSLLSADAATCIAVAERMIALGTHGGAVHILDFLGNQVKEFAAHTAAVNDLCFDTDGEYVGSCSDDGSVVINSLFTDERMKFEYHRPMKAIALDPDYARTSSRRFVTGGLAGQLYLNVKKWLGYRDQVLHSGEGPIHAVKWRTSLVAWANDTGVKVYDASNDQRITFIERPRGIPRPELLLPHIVWQDDSLLVIGWGTSVKIALIRTTQSKGANGTYKHMSMSSLNQVDIVASFQTSYFISGIAPFGDSLVILAYIPGEEDGEKDFSSTIPSRQGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDAEDHINWLLQHGWHEKALEAVEANQGQSELLDEVGSRYLDHLIVERKYAEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYIPTENPRLRDTAYEVALVALATNPSFHKDLLSTVKSWPPRIYSTTPVFSAIEPQINTSSMTDPLKEALAELYVIDGQHDKAFALYADLMKPDLFDFIEKHNLHDAVREKVLQLMMIDCKRAVLLLIQQRDLIPPSEVVSQLIAARDKCDYRYFLHLYLHSLFEVNLHAGKDYHDMQVELYADYDPKMLLTFLRSSQHYTLEKAYEICVKKDLLKEQVFILGRMGNAKQALAVIINRLGDIEEAIEFVSMQQDDELWEELIQQSFHKPEMVGVLLEHTVGNLDPLYIVNMLPNDLEIPRLRDRLVKIVTDYRTETSLRHGCNDILKADCVNLLVKYYKEAKRGVCLSDEVDDVSSRRGEKSVSHLGERTMSLKSVEVKSKTRGGGRCCICFDPFSILNVSIIAFFCCHAYHTTCLMESSISVGGKKEAGVAAQRTTSYDEYPNGVNDDYEDEDEEEEEEEDATSGALPMRCILCTTAAG >Solyc07g052930.3.1 pep chromosome:SL3.0:7:61452105:61453434:-1 gene:Solyc07g052930.3 transcript:Solyc07g052930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAGTYISTVGSKSNQRISLVFFCVFCVRAMERKLPLVQPEFNAGEALLLPLMESDEAFINGMYNGLSYQSLLSLNLDHSYYGEVKPFSANSSNFRPSFTNDMLCAGTTASSQIQQSSGTFHEFSTGDFAWLDKKTEINPDADQKLKYPKLEPVTDNLQLYPYNNEVLLCEPFNFFSNGVGYPSLPDLRCMEQPNYLDFSSSLVTTENRVVEPVRPVSEVMSNLLKNRQPYSSSTTRLRRQKLSEKIRCLEKLLPWDKKMDTSTMLEEAYKYVKFLQAQIDVLQSMPPLVEGGASSDQDRNGKSTSYGLNHEAKAISVFGTLARLNRQQLLQVLLNSPVAQTYLYSKGCCVYSVEQLVQYRTIAQRNAFYRRSLFLSGMLS >Solyc04g074150.2.1.1 pep chromosome:SL3.0:4:60233499:60234095:-1 gene:Solyc04g074150.2 transcript:Solyc04g074150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLTHNMLSSNIKGVANGFPLKIEVVKVVEKEVDFNIDFLKNMFHKVEWKALAEASRTMGYAELPENVDAAMVNSDDFLHKFHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >Solyc01g005130.2.1.1 pep chromosome:SL3.0:1:124770:125794:1 gene:Solyc01g005130.2 transcript:Solyc01g005130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SMKPPNFNLESEDESEVSSSQVASNTSAQEISPDPYKDNTTNSSCLTNSLNLQQESKAVTLDLTLGFNSGADTESKGNPEAGSEVVPHPPAAQVSRIFSCNFCRRKFYSSQALGGHQNAHKRERTLAKRAMRMGMLSERYASLASLPLHGSPYRSLGIEAHASVHQRASQQQERHMHAIRGGARFEQSYFGVPVYMEDDDMEMFWPGSFRQVDGVGSNVVLNSGQRSNINFVPMAPPPNTQPSLPDLNLKL >Solyc10g054440.2.1 pep chromosome:SL3.0:10:55366325:55368444:-1 gene:Solyc10g054440.2 transcript:Solyc10g054440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPALGCCVESAVSPPLGYSFLSTPEIFSSGVPPSTNAVPLTTHWSPELSSDLYRIDGWGAPYFTVNSSGDISVRPHGTDTLPHQEIDLLKVVKKASDPINSGGLGLQLPLVVRFPDVLKNRLESLQSAFDYAVQSEGYEAHYQGVYPVKCNQDRFVVEDIVKFGTGFRFGLEAGSKPELLLAMSSLCKGSSEGLLVCNGFKDAEYISLALVARKLQLNTVIVLEQEEELDLVIDISRKMAVQPVIGLRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVRKLKESGMLDCLQLLHFHIGSQIPSTELLADGVGEAAQVYSELVRLGAGMKFIDIGGGLGIDYDGTKSSDSDVSVGYGLQDYASTVVQAVRFVCDRKNVKHPVICSESGRAIVSHHSVLIFEAVSSTTTRSQELSSMSLHSFVEKLNDDARGDYRNLSAAAIRGEYDTCMLYADQLKQRCVDQFKDGNLDIEQLAAVDAVCDFVSKAIGASDPVRTYHVNLSVFTSIPDFWAIDQLFPIVPIHKLDEHPSARGILSDLTCGAYEEALGGLHNLFGGPSVLRVSQSDSPHSFAVTYAVPGPSCADVLRAMQHEPELMFETLKHRAEEFVHKEEEVEVSLANSLNQSFHNMPYLAPHSSCCFSGYYYCNDENIVGVGAECAIGEEEFWPYCVA >Solyc02g087315.1.1 pep chromosome:SL3.0:2:50397213:50399954:-1 gene:Solyc02g087315.1 transcript:Solyc02g087315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNMCNPKFPLSTLQQVSIAIGIGTCYVKVDDNVKKLCSVCASSPEVVLSFDGVSRDGQILVLLQDVHVHCIPGSSFAKIYFLYLCRVPKKGRAGTTSKYNISDDMHALPHKLWLKSRGSELLDIGCFLLEIGTKITGGQMDISLFVMLLRPTSSMVANALQSHKGSVLISQKEMERGAGA >Solyc12g042965.1.1.1 pep chromosome:SL3.0:12:59457597:59457887:1 gene:Solyc12g042965.1 transcript:Solyc12g042965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFVDSSWISPYSLFSAELFPPLVPQMHSSTHQQMDLRRTPIMDPPIPSITTFSTNSPILKSSTAASLLPQDSSMNNSANYQNKSVGFPQRSASS >Solyc06g062690.3.1 pep chromosome:SL3.0:6:39679763:39689353:-1 gene:Solyc06g062690.3 transcript:Solyc06g062690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISIKDRSDSDLLPAAAAALSAEDRALLVNALKNKLQNLAMEDADILTTLSPQVRKRVDALRELQGQHDELQAKFLEESAALETKYQKLYEPLYTKRYEIVNGIVEVEGVNVAPLEQGDNQGTGDGTEKGVPNFWLNAMLNNEILAEEISEYDEEALEFLKDIKWCRVNDAKGFKLEFFFDTNPFFKNSVLTKTYLMVDDELPVLDKAIGTDIEWYPGKCLTQKSFKKMQKNGLENVEPVIQVEDYESFFEFFKPPQVPEVDVGFDILDNSLEQLQYQMEHDYEIGSIIRVKVIPHAVALFTGELGEDEDYEVTEYDDEEEKYEKKSNGAQTEEGQQGVHVQQIAEQDMSTLDIYQSHLYPFTADAVVASYAEYRAGLEIKQKDNLQKFSGSPSLKLKSLSPQVRKRVEALKNLQGQPAALKALLLEEKAVLKAGYEKLHESLHTKSERDTLQALFLKEKTVLEAKYEKLHESLHMKRYEIVNGVVEVKGDNMETGDEKGVPNFWLTALKSYAKREEEDIKWCRVDHPKGIKLDFFFDTNPFFKNSVLTKTCHKRVNMAMAKDVTWTDIEWFPGECLTKKIVECNPNKRLITAKPMIRTVDCQSFFKQPPVTELPYMQADYEDYEIGSVDDFFFAWMHAVLWFTGEAEEDDSIYMQFDMEAYEYACRFMVDGIGSYEDTDMYMECDIPRHVKKLDNIEDDDDNEDDDEEEDDDDDDDDEYA >Solyc04g054990.3.1 pep chromosome:SL3.0:4:53462680:53464555:1 gene:Solyc04g054990.3 transcript:Solyc04g054990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSTQLNYFWFNLFILFFCFSISSIYGSEVDCVYTVYVRTSSKIKAGTDSIISLTLYNANGYGIRINNLEAWGGLMGPGYNYFERGNLDIFSGRGPCLNGPICKMNLTSDGTGSGHGWYCNYVEVTVTGVHRECRQQHFEVEQWIATDHSPYQLTFIKNLCRKTKSGEYLSVSAEDLPASVENLSFSGEDLPASVENLPVSGENLVVFDAAVM >Solyc09g048995.1.1 pep chromosome:SL3.0:9:34833636:34838776:1 gene:Solyc09g048995.1 transcript:Solyc09g048995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSRASSVFSHTIFPSSEARTTPPELSLHQSKANTDSDWAGSIDDMKSTSGYAFLFGSSICSWLSKKQSVVAQSTAEAEYVSASKATSQAI >Solyc08g036550.1.1.1 pep chromosome:SL3.0:8:11147517:11147777:1 gene:Solyc08g036550.1 transcript:Solyc08g036550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALAVSERLFQISQEIQEIENELGQRRFALRAFLRHLRPASPAVVGDRMRAANENIMHLETRRQMLRDEQRALIVQAVTLGDRRD >Solyc12g009415.1.1 pep chromosome:SL3.0:12:2693841:2694819:1 gene:Solyc12g009415.1 transcript:Solyc12g009415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAAITCLMRTIHQSMQLTGRDLHPFYEKLESLRGILEKSCYITGDLDALTSLEAEIAELAYSAEDMVDSESRNDRNLISRKIAPWILYFSLKRAVGRIDSMMNKWMEMQNMMMLDQLDSRERELEVVSIVSMGGIGKTTLSTKLYSDQRIMSRFDIRAKAIVSQELTAKNLKTKRYLVVIDDIWTTGAWDDIQLCFPDCDNGSRILLTTRNVKVAEYASSGKPPNHMRLMNLDESWSLLYEKVFVIDCFRPEFEKIGKEIASK >Solyc05g047440.1.1.1 pep chromosome:SL3.0:5:59743133:59743396:-1 gene:Solyc05g047440.1 transcript:Solyc05g047440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQSFLLGNLVSLCMKIINSVVVVGLYYGFLTTFSIWPSYFFLLRALVMEEGTEKKVSATTGFITGQLMMFISIYLRLCKQTTTTY >Solyc12g042177.1.1 pep chromosome:SL3.0:12:58153576:58158927:-1 gene:Solyc12g042177.1 transcript:Solyc12g042177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGGMMFARLDDSPMFRQQIQAFEEDAETLRDKCLKFYKGCRKYTEGLGEAYDKNIAFASSLETFGGGHNDPVAVSFGGPDMVQFAIALREIGTYKEVLRSQVEQILNDRLLHMASIDHQNGRSSEAF >Solyc01g112290.3.1 pep chromosome:SL3.0:1:98265820:98281313:1 gene:Solyc01g112290.3 transcript:Solyc01g112290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVAAAPWFRARLIPELKSRQSLLYCRNGPRRYPQSLCFRRRSFSIYASAGDEGAVRVRFAPSPTGNLHVGGARTALFNYLYARSKGGKFVLRIEDTDLERSTRESEEAVLRDLSWLGLAWDEGPGIGGNYGPYRQSERNALYKEFAEKLLQSGHVYRCFCSNEELEKMKEMAKLKQLPPVYTGRWASATEQEVLEELTKGTPYTYRFRVPKEGSLKIDDLIRGEYALDILEGTGMMRCRPIDTSMDPNVKLLPGRGIHLVILTVSVLSQFMTSPCNSHWETIVCILQYIKSAPDKGLLYEDQGHEHIIGADWTGSDRRSTSEYCVLIEESEYRAIAAAATCELGLDQTVSWNFDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPHFAHVSLILAPDRSKLSKRHGATSVGQFRDMGYLPQAMVNYLALLGWGDGTENEFFSLEQLVEKFTIERVNKSGAIFDSTKLRWMNGQHLRSLPSEELNQIIGERWKDADIVTESQGVFVQDAVLLLKDGIDLIPDSEKALSSLLSYPLYETLTSTEGKLILEDGVSEVAKSLLAAYDSGELCGALAEGQPGWRNWVKKFGKLLKRKGKSLFMPLRVLLTGKLHGPDIGATTVLLYKAGTSGSVAPQAGFVTFDERFKILREVQWESFSSNVPLSAGVVTH >Solyc08g015877.1.1.1 pep chromosome:SL3.0:8:6278340:6279733:-1 gene:Solyc08g015877.1 transcript:Solyc08g015877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMEFIDGVMEKVLYGCPNLEYLELDEFLGIRCLEISYVKLRELIIREYRNENHDLGLELIAPTLKYRKSWGGAVRYAS >Solyc12g040680.2.1 pep chromosome:SL3.0:12:55772239:55779983:1 gene:Solyc12g040680.2 transcript:Solyc12g040680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:K4DEW2] MGGSSTFVDGVLRWFHHRRHTNEDAILTQTHNSTDTHLQEKQHEFTITEDFDFTGLKLIKVPKRFHLPISSSSSSMDPTKKNALETEFFTEYGEASRYQVQEVIGKGSYGVVGSAVDTHTGERVAIKKINDVFDHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANNDLTHEHYQFFLYQLLRGLKYIHTANIFHRDLKPKNILANADCKLKICDFGLARVSFNDVPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLSGKPLFPGKNVVHQLDLITDLLGTPPPETVAKIRNEKARRYLSSMRKKQPVGFAKKFPNADPLALRLLERLLAFDPKDRPSAEEGLEDPYFHGLSNADREPCRPPISKLEFEFEKRKLAKDDVRELIYREILEYHPQMLQEYLSGADQTSGFMYPSGVDRFKRQFAHLEEHAGKGEHSAPILRQHASLPRERVPAPKDDTSSQNNDCEKRTVSTTLQSPPTQSEGSENYSARSLLKSASISGSKCVEVKRKNAEEEPIEEQNEEVDGLSQEVASLHV >Solyc06g084340.1.1 pep chromosome:SL3.0:6:49536695:49537779:-1 gene:Solyc06g084340.1 transcript:Solyc06g084340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHSPDDPVCVIKVEVSCCTACQRKLRKILLKISGVLEVCYDPRTNLATIRGNFNPLMLIEAIKKKGKKAELISYSKNPLSHNSRNSKEAANCHTKDYKGKAKVGDDTNCWNSSDDETCDDQDYYVSSKCEDFVSKKKYHKAEAYVAPQGVDPTICRDHYCKIHRRGGGMRDYVTQEERQKKYAMFMQMGGHPAGDMLPPYQYGHYGPDLDYGFYQPMSGLNNFTRTCTIM >Solyc12g016110.1.1.1 pep chromosome:SL3.0:12:6127143:6127388:-1 gene:Solyc12g016110.1 transcript:Solyc12g016110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQHRSYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVHPTIPIDCLPVLSDIMTNNRPTFSQFVKMLRQKS >Solyc05g047570.2.1 pep chromosome:SL3.0:5:59885630:59889015:-1 gene:Solyc05g047570.2 transcript:Solyc05g047570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Receptor-like protein kinase [Source:UniProtKB/TrEMBL;Acc:O64398] MSVAYRYSNHNRHHHHHHLLILFVLLLQVIVPIKSDNNEAEILLRFSKSLQKNDATANWNTKVSPCDKKTDRPNWDNVICENGFVFGLQLENKGLSGTIDVDALKDLPNFRTISVMNNNFEGPIPNLSKLAGLKTAYFTNNKFSGQIDNSFFEGMHWLKKLHLGNNQISGKIPSVFGQLPKLTELRLENNKFEGQIPDFNQERLIDMNFANNSLQGPIPHGLASLKPSAFEGNNLCDGPFSKCTSEPKVALWTIILVVIAVAAAVAAIVVVIIILRRGKQTPETETRPIPTPSGAAAGGATNQTGAPSAAELNKMEQGSNQAIAARDQSPEGTAVLNTNKRPEVQAVQQKLLFLKDDIEKFDLPDLLKASAEILGSGVFGSTYKAALSRGRVMVVKRFRQMNNVGKEDFHEHMRRIGRLSHKNLLPVVAYYYRKEEKLLVSEYVNNVSLAVHLHGNKSRGQPSLDWPTRLKIVKGVAKGLLYLYNELPSLTAPHGHLKSSNVLLNESYEPLLTDYALLPVVNLEHAQEHMIAYKSPEFKHNGRITRKNDVWTLGILILEMLTGKFPSNFLQQGKGSDTDLATWVRSVVNEDMTEVDVFEKEMRGTTNSEGEMMKLLKIALGCCDLDMKKRFDIKEAMERIEEVKERDGDDDFYSTRGLSDDYTQVSMNI >Solyc05g056550.3.1 pep chromosome:SL3.0:5:66661530:66663358:1 gene:Solyc05g056550.3 transcript:Solyc05g056550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYEVVKELGSGNFGVARLMRHKETKQLVAMKYIERGRKIDENVAREIINHRSLRHPNIIRFKEVLLTSTHLGIVMEYAAGGELFDRICQAGRFSEPEARYFFQQLISGVHYCHNMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSRPKSTVGTPAYIAPEVLSRREYDGKSADVWSCGVTLYVMLVGGYPFEDVDDPKNFRKTISRIMGVQYKIPDYVHISHDCKHLLSRIFVASPARRITLKEIKNHPWFLKSLPKELTETAQAVYYKRDNPTFSIQSIEEIMKIVSEARNPAPPSRPVPHFGWGTEEEEEVVEEEEEEEEEEDEYDKQVKQVHASGEFHIIHDDA >Solyc04g056520.1.1 pep chromosome:SL3.0:4:54371877:54377259:1 gene:Solyc04g056520.1 transcript:Solyc04g056520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFREATVIWRSRKPKYIYSLICSLNRDYRRRSNQIETRTTKSLNWDYRRRSNQTRPTQQRVLIGSIDEDLIKRRPAQQRVLIGSIDEDLIKRRPAQQRGTIIQSVDHILCLGFSRSLRGGTFKVIYLYVSLCLYSSKSSYSMMVWSIFS >Solyc04g050040.3.1 pep chromosome:SL3.0:4:45179572:45201173:-1 gene:Solyc04g050040.3 transcript:Solyc04g050040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASGTGDPVESFRNSVQLVKNAFSPIESGIKKVAKDFEHCWPGKAESCTSSGYGLDVKKISASKQGVVSDEKKKGLLIKLPIKMFVGMFGNNGQVDKGGNVVRKGLKEKYGGGKGDGSCVNCLQFDVAWSLLMNGFVQAVPIPFKTVKKRFQKVNQDTVRDDLKGNLRVNDVKEKKSSDQVVMDNCDRVKHKEENNLSFECFLGFLFDQVALNLQKFDLGVPQQECHSTEFNQIPPPANQLDHFKVLVSILEGKRADVNGFLGNLNFARVGGVPSSIVDVDSSAREDREDGVNDISGQEESTGNSARSLASGLLSIPLSNVERLRSTLSTVSITELIELLPQLGRPSKDHPDKKKLISVQDFFRYTEAEGKRFFEELDRDGDGQVTLEDLEIAMRKRKLPKRYAHELMRRARSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLNNAGLPANEDNAIAMMRFLSADAEESISYGHFRNFMLLLPSDRLQEDPRNIWFEAATVVAVPPPVEIPAGNVLKSALAGGLSCALSTALMHPVDTVKTQVQASTLTFPQIISKLPELGARGLYRGSIPAILGQFSSHGLRTGIFEASKVVLINIAPTLPELQVQSVASFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGAAIIGTWQQDGLKGFFRGTGATLCREIPFYVVGMGLYAESKKAVQQLLGRELEPWETVAVGALSGGLTAVSTTPFDVIKTRMMTAPQGMAVTSTMVALSILRHEGPLGLFKGAIPRFFWIAPLGAMNFAGYELARKAMDK >Solyc03g082530.1.1.1 pep chromosome:SL3.0:3:53889170:53889538:-1 gene:Solyc03g082530.1 transcript:Solyc03g082530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGHKHHPHFKSHVQLHLPSFHHHHHENKIEEFKEILPKGCVAVMVGQGEQQQRFVVPVMHINHPLFVQLLKGAEDEVEFHHDGPINVPCHVEEFRHVEDIIQHDNNNNHHLWCFKASSLT >Solyc02g079050.3.1 pep chromosome:SL3.0:2:44226143:44240394:-1 gene:Solyc02g079050.3 transcript:Solyc02g079050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METDENQRILPFQLQFDKPIASQIKIAEWNPEKDLLAMVTEDSKVLLHRFNWQRLWTVTPGKNITSICWRPDGKAIAVGLEDGTISLHDVENGKLLRNIKSHSADVVCLHWEEDGKKDMNSNTSSYEDRTSRFFPPPPRVPKMPGLVPGDSGFMDDGEDSFQELSNSSHQRYNILCSGDKDGSICFNIFGIFPIGTVNIHNLVVSSSLSSSNVASRLLNASICKVALSKDLCHLVVLCNGELITDEVDQGEGHHGLLGFHCLVLNTSIFSKRKTELHQVAQQASNIEDLSEVIRTSLSVMSKIWSDAMHTFHEKFNAVSTLIADHGLDSTPQDEFLSLLGGARASPPLHQFLENSLGEAGLKRVAKAVNGAGKELQLIVLDHLQPAAEIIGFRIGELRGLSKWRARYKGIGLDEKLMDNATERAGMLLVQVERFMRVLASVVQQFSNFFSWLLKSVKILMAEPSDQLPFNSELVIIFLKFLYDQDPVRQLLELSEVDSSVEVDLETMERIKQLAHFGGFSDLEYLKRTLSQEFQQMEACFKDAFEMPFSTISEKLLCEDLLPLFPFASSSKLKPYKVPASVSYYEDMLHDASDSEIHQHTLTSYISFKLPDDSLSVTNCIGIVRGLARDLSKVDSIRDPIEAALLCIPDGYHCIDLSLYKEGQIVLLLNETATTSESSGNAFMMILQAGDLSFVSLSRSTAPNSWKLHELQDSAIYLQLESEKVRSIPHSVVSPLAVSASRGVACVFAARKRALVYILDEDEDEVSDTE >Solyc08g061323.1.1 pep chromosome:SL3.0:8:48576802:48587373:-1 gene:Solyc08g061323.1 transcript:Solyc08g061323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILDELPFSFVEKEGFKKFMSKVQPLFHIPSRRTITRDCYEVYGELRINLKQSLREIQPRICLTTDTWTSVQRINYMCLTAHFIDRDWVLHKRILNFCPITSHKGEHLAESISNCLLNWKLDNVFTVTVDNASSNDVAVLELSKKLDMWGTNLMEGKHLHVRCMAHILNLIVQDGLKEIGPSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDGNFNSFLATDVCEDGSIAGSIQYEDWANGTPEKMNKMIFLASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFTIYVSKYGKGSKSQPSSSDSSDSSGSGISQNMSKNSLRTKLHMKKQKNDSGSVGVKSELDKYLLEDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSTGGRILDPLRSSLTPKCGLGDIDCIVAPSYN >Solyc01g034067.1.1 pep chromosome:SL3.0:1:35780747:35797163:-1 gene:Solyc01g034067.1 transcript:Solyc01g034067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRDSEVEARVEGAVSWQSRLQNCVALSTTKFEPIAVVEACKELLWMKRFLGELGCAQERIQKLKQELSKSFAMKDLGPARQILGMLNVRDRKARKLVLSQEKYIQKVLCRFSMDKAKVVCTPLAMHFKLSTKQCPSSDDEKEDMKKVAYASAVDMASDVDTRKSTSREGGDAFCVKFSFGEVVGTGFCSCSGEVRRERKLTGCGPFLEV >Solyc05g054355.1.1 pep chromosome:SL3.0:5:65127381:65128799:-1 gene:Solyc05g054355.1 transcript:Solyc05g054355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSPIFSIAESQHFNDDDYGFDAQIDYFQILKEPMKNKKHSHGKNGGKMLCSS >Solyc06g068700.3.1 pep chromosome:SL3.0:6:42702736:42709802:-1 gene:Solyc06g068700.3 transcript:Solyc06g068700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYPNCEYYIPYLIMEERNRRMWTQYALLLLAGCFVSQLYASSDVKFYESFDETFDGRWIVSQKEEFNGVWKHAKSEGHDDHGLLVSEKAKKYAIVKELDNVVSLNDGTMVLQYEVRLQEGLECGGAYLKYLRPQEAGWIPKEFSNESPYTIMFGPDRCGATDKIHFILKHKNPKSGEYIEHHLKFPPSVPSDKLTHVYTAVLKPNNELLILVDGEQKKKADLLSDYDMEPPLIPAETIPDPDDKKPEDWDEREKIPDPDATKPDDWDEDAPMEIEDEDAEKPEGWLDDEPEEIDDPEATKPEDWVDDEDGEWEAPKIDNPKCEEVPGCGEWTRPMKRNPAYNGKWYAPLIENPNYKGVWKPRDIPNPNYFKLDRPNFEPIAAIGIEIWTMQDGILFDNILIASDEKVAESYRMTAWKPKFDIEKEKQKAEEAASAGGLKGFQKMVLDLLYKVADIPFLGEHRSKVLDLLEKAEKQPNLTVGAVISIIIIIFTVFLKLIFGGTQQQPVKATGKAKKTDGAETSSSNKEGSTQKKEDQNEDAAAPRRRTRREN >Solyc09g090010.3.1 pep chromosome:SL3.0:9:70058882:70061614:-1 gene:Solyc09g090010.3 transcript:Solyc09g090010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMIITFLAIAAIFSSQLAYAYDVNPLQDICVAVQDFNTSVFVNGKFCKDPNLAKVDDFFTSGLNESGKVVRQNYGYSVHIVDVNNMPGLNTLGISIIRADFEPKGLIPLHTHSRATELITILEGTLYAGFLLPYENNFFKSRLFSKILNPGDAFVVPQGLVHFQYNVGRTNATILATYNSQNPGFTMIPNIIFASDPPIIDDALAKGFQLDKKVIKQLRKKFA >Solyc07g043470.2.1.1 pep chromosome:SL3.0:6:29016121:29016243:-1 gene:Solyc07g043470.2 transcript:Solyc07g043470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSFLYFFHICRYSIIYEYILHDYDISDFINELLLDLCCML >Solyc01g086730.3.1 pep chromosome:SL3.0:1:81560471:81561514:1 gene:Solyc01g086730.3 transcript:Solyc01g086730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDWVIGALINLLGSIFINFGTNLLKLAHDERNLRARMKPIIYFQAWRIGILFFTIGNCLNFISFGYAAQSLLAALGSIQFISNIGFAYLVLNKTVKIKLLLATAFVVAGNIFLVAFGNHQSPVYTTEQLGENYTNIVFLVYCIGLVLVVVFHHSIYKRGKGQMLVPFSYAVVSGGFWMARLNEGLSRFDAILIVPMFQIAWTFFSICTGFVYFEEYKVFDALRTTMFVFGMISVFIGIYLLAPEDDEVLKDNSLAVLKTSNSSQDVERLFISSDQIRDIEIIWTRYADENC >Solyc10g044730.2.1.1 pep chromosome:SL3.0:10:27599440:27599628:-1 gene:Solyc10g044730.2 transcript:Solyc10g044730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMPYLSKKWKSSTTSLPEKIKIINNMSSLFLFTSPTTNHLDLYPFFLPNLSRNRKIKKKTNL >Solyc11g012290.2.1 pep chromosome:SL3.0:11:5148486:5161854:1 gene:Solyc11g012290.2 transcript:Solyc11g012290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDQEIARGVENLLRQSDPNAVTSLNCVVQQLGAKLGQDLSHKAEFISDQINLLRSQPPQLISPPLMVKDHFTLLNHPQFANTQAQQQFYSHFALQQQHHHHQHQQQQLYFQHHVPPLMSPQQQQHRQPQVQIQAPAPVVARTAAAPAQHASSNVPSAPKESATSGTKRRGGPGGLNKVCGVSPELQAIVGQPAMPRTEIVKQLWVYIRKHNLQDPGNKRKIICNDALRALFETDCTDMFKMNKLLAKHITALDPSKQVDQAKRLKVEADSVATKVEQPVSSTVTISDALAKFFESEEKEILQTDAVKRIWDYIKLNQLEDPVNSTMIVCDPKLQELLQCESVSATELPEMLAGRHFV >Solyc09g091370.3.1 pep chromosome:SL3.0:9:71141069:71148759:-1 gene:Solyc09g091370.3 transcript:Solyc09g091370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSNSKSGRDLQSLVEAIKSSDVVENRVELLDELGELDLTEKSEVASLIESIQTLWEDFTCLDISQCKLNKTILHVAAKYLLSDISASLGQFLGLGAKAAVWCKKHLQMTLMSTQDSPEEEHSSLFYQLLLDLLGYSASIFAALTRYPSAVDKGLMSIIENFILEELDLIKGCISAVKAISSFGSDVQKIALEVLDALIRLCKVYSHGVNWDSYLKMEEERKVMESEEAESADHVNKIMKLTVEKLCELGILAANDGGNLVSLINLSWKGVVSLLQLGKGSLAVKLNIGDIILTLISLANGSLGCAAETWSSPLKEAVSAMEARRVFLPVKFYLINAVRIISQYPSEAFYVFKDIILSVIMISTFRIFLIKDDQLKFAGDAISEILEPTSFHMLNSFLNSAQVKSEQKFQILEWLFGDEIDLDNVTIGCNINQASCMSAIFAVSSGTMQGAKILFIGRVALFVNLLKNSPDIEDDARLGVARKLGWLLCICTDKDVYSSILVLELPTMSRTSQKQESNEPLFHFIINALKTFMIVTSSSQAWCEIESFLLENLFHPHFLCREIVTELWCFISRHADEVVVDDIVEKFCSLMKYTEAPEVALNPDSLVRKMARFLCVLVTSGPNSMVDKVYKTVVGFNTSNYSSITYLALLMEGFPLNALSEKLRSDAKQQIVTQYFNFLGSFGGTLPREGGSAVYGAPVFALSAALQFRLISISDAEMKTIKFLVAIIHKYRECSDIKIKDKYRRLLSETLGIISNMKHLYTSNEMEEVILALQNLFISGPALSDGKLFQCKPNLSSFMAGLGEIELEDREDNAVSSAVWELYHMLLRERHWALVHLAITAFGYFAARSSCNHLWRYVPEDAALSFDLLTGKEADEERFMSDLKTFLDKESACPKIKPCPDTVSMFAMDGQMLKETLKKIKDVDPKLMVCDPMEVDNEKQPNRKRKFPNRVTKGVELLRDGMKVMGDALSEWKHNHFDSTDIREKFLTHFSHLEDVVTHLVSLADSG >Solyc06g068910.3.1 pep chromosome:SL3.0:6:42838407:42844839:1 gene:Solyc06g068910.3 transcript:Solyc06g068910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLIIFIIHALMFVSASSDLGSDRAALLAFRSAVGGRTFLWNTTITSPCNWAGVQCENNRVTVLRLPASALSGTLPVNTISNLTRLRTLSLRLNRLSGPLPSDLSKCVELRNIYLQGNFFTGEISSSFSGLHSLVRLNLADNNFSGEIPSGFNSLTRLRTFLLEKNQFSGFMPELKFFPNLEQFNVSFNRLNGSIPKSLEVMPVSSFTGNSLCGKPINVCPGSKTQPAIATDGIDIGNSNNKKKKLSGGAISGIVIGSIAGFFILLLILFVLGRMKTGDKTRALDVETIKPPETEVPGEKPIEKPENEGVNNGNSVATAEAAVVLNSGEENWGGTGAKKKLVFFGDYYKAFELEDLLRASAEVLGKGTLGTAYKAVLEIGTIVAVKRLKDVSISESECKEKIETVGAMNHENLVHLRAYYFSREEKLLVFDYMPMGSLSALLHGSKGAGRTPLNWEIRSSIALGIARGIEYLHSQGPDVSHGNIKSSNVLLTKSYEARVSDFGLANLVGPPSSPTRVVGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPSHALLNEEGVDLPRWVQSVVREEWPSEVFDIELLRYQTAEEQMVQLLQLAMNCTVQYPNNRPSMAEISKQIEELQRPTSH >Solyc07g008930.1.1.1 pep chromosome:SL3.0:7:3936054:3936653:-1 gene:Solyc07g008930.1 transcript:Solyc07g008930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCCFSFKIGHSDKLKIIRVVHLNGYVEDFDHPISVSEVMGKTKKHFIFTQSQLLSTCLQPLNLDYMLQQGNIYFLLPHSTFQSCVSPIDLAPIAKRLSGIAKKPMVYNKDKPRKKKSSNGTTSQRVWDSPTSSPNRFSDEGKWVESEKGRLITYGMEQRMSTKSPKWEPILDTIRERSFNRRSESDLQEKNLEGVKFI >Solyc09g098100.3.1 pep chromosome:SL3.0:9:72491529:72503835:-1 gene:Solyc09g098100.3 transcript:Solyc09g098100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNEIEDMLDHLRRIKSGGNLDRVKINRIEKLEMVFKYHHVLLPDSIVKLKKKDKWIAKMVQWVLDGIPDECKTNLNLRRLELHLLEFFEGKISLSYNHELNDSDLSKYMDCVGKNLNDVLMILLQRARYDPPGENLEIHRFIKQLKIVQKKMRFLRYLYVIEINGYVNQEKLECLDTRMHFMAYNVGQLCLAILGYDISNFVDDDDDDDDDDDDDDDDDDDEDEDGDGDGDGDDILNKPPYLLFLIILVELEMKKIFLGELKASKFTQSRTFKDKKLPKGFSHHLHSLLMYLRNKKLEKFPNNNSPQNIDIAIEFLLVFLDADVGNHVINGNWLNEVMEKVGAIAGDVLYVIQKLLPSSIKKDDNSKISLCSIQIWEKTKDLKAQVETHYKSLKFTPSQFPTVGGWSFLDSLMRKLKEMSKSKSCLDFLMKPLLGNLEKELSALTSILEKDLSSLSSILSDVAKVHHEHEILQDLHRRTINWAYEAEVAIDSILAQYNVFWHIFCSLPTILKEIKQINVQVTQMWSADIALKPCYMVAPFEYFPTRHINPVTDEDIVGFGNDIEKMFQYLIRGTNDLDVVPIVGMGGQGKTTVARKVYNSDNIVSHFDVRAWCIVSQTYNRRKLLQDILSQVTGSKDKGYEDDILADELRKSLMGKRYLIVLDDMWDCMAWDDLRLSFPDSGNRSRIVVTTRLEKVGEQVKCHTDPYSLPFLTKEESCELLQKKVFQKEDFPPELQDVSRAVAEKCKGLPLVVVLVAGIIKKRKMEESWWNEVKDSLFDYLDCHSEQYSRATMQLSFDNLADCLKPCLLYMGMFPEDASINVSALLSLWLAEDFVQNIKSAEDYLMNLISSNVVMVSKKEYNGKIKYCEVHDVVLHFCLEKSREENFMLVAKGNHSQFQSFVWKQSRVSFNLIEENSKFASKTRKCSHQPLRSLITNGASFYIMSLSSWIHNLRLLKVLVLSSYEVDYVNSATLKPLNYLKYLGVRATTFYFDRESHLPHLETLIVKNDRSVMLRGCFWEMEQLRHVEINDAEFDKQGLFEGSSKLENLRILKNIVGFPIDRADVLSRRCPNLQQLQIEFGSFAEPLWLTLENLTQLQILDLSFRLFHMLSGLQLPSNLKMLVLRDADLENLTSFFAGLPSLEYLQLTNMYFPQSEWCLGDITFHKLKLLKLVQLDISRWDVSEESFPLLETIVIRWCHNLEEIPISFADVPTLKQIKLVHCKNKSLEDSAVRIKKDVEENEGNDRIDLIIKVSRNKLRCFVFEYLPASNLTPIIQK >Solyc01g067390.3.1 pep chromosome:SL3.0:1:75679304:75690235:1 gene:Solyc01g067390.3 transcript:Solyc01g067390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNTRAKSSSSEPLSDGSEEEQVNDLVNDEEDEEELEAVARVGGKNVSNSAVQRIFQAHYYVSVYVKFQEEDIAANEISKREKARLKDMQRRKKQKIQEMLDAQNAAIEADMSNKGKGRLKYLLEQTELFAHFAKGDQSTSEKKTKGRGRHASKITEEEEDEEYLKEEDGGLSGNTRLLAQPSCIQGKMRDYQLAGLNWMIRLYENGINGILADEMGLGKTLQTISLLAYLHEFRGITGPHMVVAPKSTLGNWMNEIKRFCPIIRAVKFLGNPEERRYIREDLLVAGKFDVCVTSFEMAIKEKSALRRFNWRYIIIDEAHRIKNENSLLSKTMRLYSTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKKDFEVVNSGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLIENAGKMVLLDKLLPKLKERGSRVLIFSQMTRLLDILEDYLMYRGHQYCRIDGNTGGEDRDASIEAYNRPGSEKFAFLLSTRAGGLGINLATADIVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKAVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAALDAKMKKFTEDAIKFKMDDTADLYDFEDEKDENKAHFKKIAGDNWIEPPRRERKRNYSESEYFKQTMRPSGPARPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRFLMQAHQKNQLKDSIEVEEPEDVGDPLTADEQEEKDKLLEEGFSTWSRRDFNTFIRACEKYGRNDIESIAAEMEGKTEEERLACLTFEQLNLMDGVLADYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTAPLFRFDWFVKSRTTQELARRCDTLIRLVERENQEFDERERQARKEKKLAKNATPSKRAVARQAAKSPPTSKKRKQSSMDDFVSSAKRRSDGTT >Solyc09g008540.1.1 pep chromosome:SL3.0:9:2033527:2034428:1 gene:Solyc09g008540.1 transcript:Solyc09g008540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNRTRIALQDDRILRALLWYQGETDTLNDDAKLYKSRLYKFFTDVRNDLNTPTLPIIQVALASKFGPYTEEIRQAQLETQLQNVKTVDANGLKIGPDFVYLNTPSEVQPFLGFGSAHNLL >Solyc04g051030.1.1.1 pep chromosome:SL3.0:4:49280600:49280911:-1 gene:Solyc04g051030.1 transcript:Solyc04g051030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPFTLDVFISKRFVSASITHRVTCKQVAVVGTNFKDIKAVLKSRSDIPACLSVGQILSDRAREADVYNASYTPRDRDKFVGKIRVVVQSLIDNGIDIKVYLD >Solyc04g014280.1.1.1 pep chromosome:SL3.0:4:4588043:4588333:-1 gene:Solyc04g014280.1 transcript:Solyc04g014280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASINYSITFVMVALLSLSNISTSHGARSLLQLPNLPTIPSLPKPTMPQLPSIASLPTFPSIVPKMTLPPMPTINPLPNMPSLPNIPTFSPPPSN >Solyc04g077890.1.1 pep chromosome:SL3.0:4:62856612:62857876:-1 gene:Solyc04g077890.1 transcript:Solyc04g077890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEDWDDIFTNLQMSAYKKPVSRRIQRFAARQFWAEEKTDIGGDNQDYVENMFRIEETIENAELTNQLSSRTTQHPWLLEARHTAIHHIVHTGGPFGVKKVTVYTAVVYVDRFLSSMPIQDERFDAAKLLGIACLYLACEQLEEDEVQPGLSDYSSSTKCCGRIITMMRNDVVEQFRGIVTFVTPIQFIKYFLSRFCRDISRKGYAKSKTIEIIMSTIGDLRLMSLRAFVVGAAAALLASNSNILTHEMIRDEINALPQNWLIPLDEVCSCYNRLLETNMHKLQINLN >Solyc08g044260.3.1 pep chromosome:SL3.0:8:22430419:22435281:1 gene:Solyc08g044260.3 transcript:Solyc08g044260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKPGILTEWPWSWLGNFKYIVLAPFVGRSIESLLNREDGSKIDIGYLIIFPFLLFRMLHNQIWISLSRYRTAKGDNRILDKTIEFDQVDRERNWDDQILLNGLLFYYGYMKLEQSHYLPIWRSDGIISTTLLHIGPVEFLYYWLHRALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFLLFSIPLLTTVVTKTASIVSFGGYITYIDFMNNMGHCNFEIIPKWMFSTFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPLYDYIYGILDKSSDTLYEKSLERQGKSPDVVHLTHLTTPESIYHLRLGFASFAS >Solyc11g071350.1.1 pep chromosome:SL3.0:11:55156324:55159419:1 gene:Solyc11g071350.1 transcript:Solyc11g071350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKNQENVGILKKFPEASNKMYSFGSNVKKIAKEDPRKLIHSIKVGLAIALVSLFYYFEPLFDYEGFGVSSMWAVLTVVVVFEFSVGATLGKGVNRGFATFLAGSLGVAVHRLATFTGSDKLQPIILGLSVFSIAAIATFLRFVPKLKARYDYGILIFILTFSMISVSGYRDPVVLDKAITRVTTILIGGVAAIMFNVIIYPVWAGEDLHNLIATNIEDLGISLEGYGTQYFKKIDVKSEEELERISLKEYKCVVYSKASEENLVNFAKWEPHHGKFRYRHPWGQYLKIGDLVRECAIKINALNSDLTSCNMTQEGRKIIQEPCTKMSIECGSALKEIAMSMKTMTLYPTIDSHILKAKSSSEKLRSIIRNGDLIEELELQKLLPSTRIASLMLDIVSNSIEIVNSVNQLATLMKFKILSSKPKRLGSKSRIPSGNIGEAHLVVNVE >Solyc07g043133.1.1 pep chromosome:SL3.0:7:56852597:56882340:-1 gene:Solyc07g043133.1 transcript:Solyc07g043133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGIVKRTRDNNRIIVCNVDFVCLLFALPPPQWIVDTRRFLILLEYSRERQANQQAFSSVLALKEVAKRLPLVFFTQILRRCPNQQPPNANVSPRNNIEFDPVIQ >Solyc04g072650.1.1.1 pep chromosome:SL3.0:4:59705862:59706470:-1 gene:Solyc04g072650.1 transcript:Solyc04g072650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPFTHAMQKHSQMEKSKSKSIVEGVELIFDSKLGTYGWFSCTSSGGEGVFGLRREKKKVKIEREYPPPIPGLVKTENVPSSQMPWVMKRYHTSDGRLIIKEEKVKRFEYFETHRTEGRLMLNLIPLNDDAGDSDDDNDAAADGGDVVEKDEIDRTAPEEGESALVNGGGAVIGGGGSGGVKCSSLCTFGVAAPAIRPVHT >Solyc12g010700.2.1 pep chromosome:SL3.0:12:3630821:3633704:-1 gene:Solyc12g010700.2 transcript:Solyc12g010700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDVIFGWRKATKCKNLIKRVKCRLKLLKNKRSCIVKQLKDDLGQLLRHGHYQIVFDRVDQLFMDDNMLVVYDLLENFCEFILINLPYIRRHKDCPNDINEAVSSLVFASTRLGNLPELPTIRKLFVQRYGKKFETSALELLPGNLVNHQIKQNLCIKSVSNEVKYKLVDEIARICFQKGPLLLEYRNESQHQQQVIGNKRREMRLKNSNIATEAHIVSIDCSSATDETSTDYLSAIEQTSIGSVHCLRNNEQERISNPVRCSDYSSQCTISSPKLVMKREFWRTNGFISGQTTDQLAYKDDVEEFESILSKDLNFQDQRLFMFRGPLFPLMLKMDTDHINVSISPLKKTRLIAMKDASFMTDDLEGCSFTFSSSAPAITRGQLYTRTRTMPVERPNDNLADNFLRSNSFPVIHKPSEESSLKSYVHPKLPDYDEIAAMFKALKKEKLQKKKC >Solyc07g063690.1.1.1 pep chromosome:SL3.0:7:66218953:66219294:-1 gene:Solyc07g063690.1 transcript:Solyc07g063690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPYGFEDHFPSMMERLGAEGFMRELCNGFCLLMDVSIGLITYESLKRNTMNLGLNDLRDDELIYMLAEGDLDGDGALNQMEFCILMFRLSPGLMDGSKQYMDDVGLIHFQP >Solyc11g012350.1.1.1 pep chromosome:SL3.0:11:5196844:5196996:1 gene:Solyc11g012350.1 transcript:Solyc11g012350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSRLRLLVSVLLMLMECRDIPAKWGSKSGWTKEQRNKERQNVKNMKKN >Solyc01g005480.3.1 pep chromosome:SL3.0:1:332991:337049:1 gene:Solyc01g005480.3 transcript:Solyc01g005480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPCLRQLSLTATANCSSPSLEGGVADDNIASSQPSPTVNLSRAYTLAVKTSSYGEIWSKVHHEVPSDLSVEVAQVEFQEEPLQLEDILKPNHECVEEALLHIKPEALNQLIAKYLDDSEQTARLCISFSQSVNQARRLYAPICRLLDVLPQEMESTGHSLSQAQCNWAFDIFLQFDSLNNPFPIHDTHNFNDMRHCYLELKRELDLLLQKSRSKVQLLRHSTKGSVVCLVAATVGVVITAVVIASHAFVALVAAPACTACIPSKMAKKELVHLAQLDVATKGIFFLHNHLETVNCLVGRLYDAVEFYKRLVRFALERGKDRYPIQEVVKQLHRKHSNFLEELLGLEEHLCLCFAAINKARGHLLNYLLHQNQDPG >Solyc11g028204.1.1 pep chromosome:SL3.0:11:20729282:20729906:-1 gene:Solyc11g028204.1 transcript:Solyc11g028204.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FTAEDFCLQVVFYIEKILKTYRVPIIFRGSNSYIEKLVEHPMFMFKYKYEKYFFWIDGGKSVFNRRVDMRVDQWSTQWMRCDRFSFQMHFTPKESDGPSMKETNIDREDETKQMIIRASISSIKCNTRMLICNQLDKIQRLINKKMW >Solyc05g005080.3.1 pep chromosome:SL3.0:5:81286:85806:1 gene:Solyc05g005080.3 transcript:Solyc05g005080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4BW39] MYGRDPWGGALEINATDSATDDDRSRNLQDFDKAALSRNLDETQQSWLLGPTEQKKKKYVDLGCIIVSRKVFKWTLGCIIAAALIAGFVTLIVKTVPKHRHHNPPPDNYTLALRKAIMFFNAQRSGKLPKHNNVSWRGSSALQDGKSDGSTTFKNLVGGYYDAGDAIKFNFPQSFALTVLSWSVIEYPAKYEAAGELTHIKDVIKWGTDYLLKTFNSSADTIDRLVSQVGIGDTSGGPDPNDHYCWVRPEDIDYPRPVTECHSCSDLAAEMAAALASASIVFKDNKVYSQKLVHGARTLFKFAREQRGRYSSGNEASIFYNSTSYWDEFVWGASWLYYATGNTSYLQLATTPGIAKHAGAFWGGPDYGVFSWDNKLFGAQVLLSRLRLFLSPGYPYEEILSTFHNQTSIVMCSFLPFFTSFNRTKGGLIELNHGRPQPLQYVVNAAFLATLYSDYLAAADTPGWYCGPNFYSTDVLREFAQTQIDYILGKNPRKMSYVVGFGNHYPKRVHHRGASVPKNKVKYNCKGGWKWRDSSKPNPNILVGAMVAGPDKNDGFHDVRTNYNYTEPTLAGNAGLVAALVALSGDKSVGIDKNTIFSAVPPMFPTPPPPPAAWKP >Solyc11g011920.2.1 pep chromosome:SL3.0:11:4848667:4855621:-1 gene:Solyc11g011920.2 transcript:Solyc11g011920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamate decarboxylase [Source:UniProtKB/TrEMBL;Acc:B1Q3F1] MVLSKIASESDVSVHSTFASRYVRTSLPRFKMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLMMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLEDGETAVGVGTVGSSEAIMLAGLAFKRKWQNKMKAQGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLTDGYYVMSPEKAVEMVDENTICVAAILGSTLNGEFEDVKKLNDLLIEKNKETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPDELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCQENARVLREGLEKTGRFEIVSKEVGVPLVAFSLKDNSKHDEFEISETLRRFGWIVPAYTMPPDAQHITVLRVVIREDFSRTLAERLVMDIVKVLHELDMLPARVKAKLAVAEEAAAANGIDSVAHHKTDREWELQVTEAWKKFVADKKKNKTMGVC >Solyc09g083230.1.1.1 pep chromosome:SL3.0:9:69315631:69316776:1 gene:Solyc09g083230.1 transcript:Solyc09g083230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFKEDEILQKRGKSTEIQSICSEASVFSMPNLPEELITEIMLRLPVKSLLQFRSVSKSWLSLISTSHFVKTHLLLSASNKDYIHHGVISKPSNTSRGVKDCSVSSLLYDSVPESFELDNPGKNPNAFPLLVGSVNGLICLAINLFYGFDCFIIWNPSIKKYKKLPYEEHIVPYFTFGFAYDEFEDDYKIVGIFPIYNYASLCRVEVKIYSLKSDSWKRVKNYKGAELLGDSAKFVNGKFHWLDKHWNIISMDLAGEKWEEVDQPCCFKGCGFLKLGVFESDLSVFCNYAWTHVDVWVMKEYGVKESWTKMFTVKSPEDSGGRIFYPIILMSNEGEILLKFGSRFVKYNPKDDSIRYLDVTKLAPCLEVQIYVKSLVCPFY >Solyc02g049101.1.1 pep chromosome:SL3.0:2:3945200:3948676:-1 gene:Solyc02g049101.1 transcript:Solyc02g049101.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKKVQAVVDWQAPRHVKDLRSFLGLANNYRKVIVGYSKKAATLTDLLKKDTKWVWSQWCDEAFHNLKIAIASEPILKLPDFELPFEVHTDASDKAIGGVLVQEGHPVAFENDVGRGMPSWPLDCTHGRTSSGLACHHSPWTAHTVGHVGRGMPSSPLGSTHRRTTSGLPCHHRPWSAHTVGRRQAWNAIIAFWQQTRSNDVRRGMPSPPLNSTYYRTTSDVTCHHSPWTAHTVGRRRADMPSYPLDSTHGRMTSGAACHHLLWAALTNG >Solyc02g014180.2.1 pep chromosome:SL3.0:2:15726664:15743766:-1 gene:Solyc02g014180.2 transcript:Solyc02g014180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISLSIWEQGSPQPSLEYSVDLDPLYSVSRNPFSEKKWKKFQLSSLFSSSTSAIKLQVIDVNSWKQGTKIVDRWLVVLSLGSGQTRNMALDRRYMAYNLTPVGGVAALISQNGQPSNTCSSSFIMSPLPLSSTINIPVTILGYFLVCHNQGRFLFKDQEMESLAGPQFDAGNQLIEAWNRELMCCVRDSYVKLVLEMQKLRREPSTSLLEPSVARAVSLTLNAYGDQIYSFWPRSTRNLLIEQEKDGNDFMSMKVSKADWGCITQQVIQPFYARLMDLPVWQLYSGNLVKAEEGMFLSQPGTGMDGGLLPTTVCVFVKEHYPVFSVPWELVSEIQALGVTVREIKPKMVRDLLRASSTSIVLRSVETYIDVLEYCLSDIQLLETSEPNISDSFRDTSNLDSVKESSEGHTNSFSETSSSSRRIHNTLQPSSSSGGDALEMMTSLGKALFDLGRVVVEDIGRGGGPLSQRNVVSGTIGDSIRDRNDQKLLSVASELRGLPCPTGTNHLTRLGATELWVGNKDQQSLMIPLAAKFVHPKVLDRSILLNIFSNRTIQSLLKLQSFSLTLLANHMRFLFHENWVNHVCDSNMVPWFSWENNATSASECGPSPNWIRLFWKMVDDCSDDLELFADWPLIPAFLGRPVLCRVKERKLVFIPPVASNLDSIELEDRSSGEADLSGLPLESEEIQSYSLSFKVAERKYPWLRSLLNQCNIPIFDSSFLDCAGRCKCLPGQGKSLGQVIALKLVAAKNAGYFPELTSFPDSERDELFTLFASDFSANSSGYGREELEVLRDLPIYKTVVGTYTRLQSHELCIIPSNTFLKPFDERCLSVSTDSNEKPLFRALGVPELHDQQIFFKFGLPGFDEKPQSVQEDILIYLYSNWQDLQEDSSIIEVLKETKFVRCADEMSAELFKPTDLFDPSDALLTSVFSGMRIKFPGERFISEGWLRILKKVGLHTSVESDVILECAKRVELLGRDFMPPSGLTDDLEKDLFSSQDELSFEIWLLAESLVKAIISNFAVLYSNQFCSIFGKIACVPAEKGFPNAGGKRSGKRVLCSYSEAIILKDWPLAWSCSPILSRQSIVPPEYSWGALNLRSPPASPTVLRHLQVIGRNSGEDTLAHWPATTGIKTIDEASFDVLKYLDIVWSSLSSSDKEALCQVAFMPAANGTRLVTASCLFTRLTINLSPFVFELPSLYLPYVNILRELGLQDSLSISSAKTLLLNLQKACRYQRLNPNEFRAVMGIVHFICDQANTSDMSSWHSEAIVPDNDCRLVHAKSCVYIDSYGSSYIKFIEISKLRFVHQDLPEKLCIAFGIKKISDVVIEELCCEEHLQSLECIGSVQIEAIRHKLLSRSFQAAVWTVVTSMQSNVADIDHATLEDIQSSLKLVAEKLRFVQCLHTHFVLLPKSLDITRVRPESMFPEWKDTSRHRALYFVEPSKSSVLIAEPPDYVSIADVIATAVSRVLDFPVPLPIGSLFLCPEGSETALVDILKLSSHMQANGFRSDKDGLLGMDILPQDALQVQFHPLRPFYAGEIVAWRHQNGEKLKYGRISENVRPSAGQALYRFKVEISLGLVELLLSSHVFSFKSVTISGEDSSADFPEGYCTMDSSRSEGVTARVQSRPSEGNHQQQLQALQHGRVSATELVQAVQEMLSAAGISMDVEKQSLLETTITLQEQFKDSQAALLLEQEKSEMATKEADTAKAAWLCRICLNTEVDVTIVPCGHVLCRRCSSAVSRCPFCRLQVSKVMRMFRP >Solyc10g024330.2.1 pep chromosome:SL3.0:10:13481403:13489869:1 gene:Solyc10g024330.2 transcript:Solyc10g024330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGLLNDPSIKELAEQIAKYPSFNQMTEQLQQTFQGAAEEDNIPNFDSQQYYSAMQHVMQNPQFMSMAEQLGSALMQDPSMSSMLENLTNSSQKDQLEERLTCIKEDPSLKPILEEIESGGPAAMMRYWNDQDVLKKLGEAMGFAVAGEGATSAEVSGPDETEKADDDESSVHQCASVGDVEGLKNVIASVADKNKEDSEGRTSLHFACEYGEVKCAQVLLEAGAEVDALNKNKNTALHYAAGYGRKECVVLLLKNGAAVTLQNLDGKTPIDVAKLNNQNEVLTLLEKDAFL >Solyc08g028853.1.1 pep chromosome:SL3.0:8:39374036:39375769:1 gene:Solyc08g028853.1 transcript:Solyc08g028853.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSDDDFVNPPPPSTKVTGKRKKGQSVSPAKRDSEIPSVSVSLEKLMRNELSELPKEVREEFKDIQKLINDNFNIIMSTLKNNISNHNAAQGRQHFTSPVTSENQNQVGCNNFPVRNLINVDAGFSSSKSINPSIPQPSFVFDKPKKIRPLVFERQHDFTHQDDNDEEDHFIFPTPIQSTIPMEGSPQSQFELDDSLMPSLSDIK >Solyc02g062210.2.1 pep chromosome:SL3.0:2:34343314:34344340:-1 gene:Solyc02g062210.2 transcript:Solyc02g062210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANYTNKQLMEFLIKSFTFVPYEEQVFGLADLYGKEKVSQILGTNKTDHYVFTLLQKKKEDGKYFSRAIAGGVWKEYGTAESLEDSEGSQIGIMKKYRLVEDNYVWTMKEYSLTESKRNELKQKYQHTAHDEFVMCHIRMKANFPVSSSSQCQENQDSALVPSDDMKESQLPAVIEEECSVSVSQKKNALVHQETPSAKEEEHSVSGKVPLQKKKKNALFHQETPSVSYINLF >Solyc07g043010.1.1.1 pep chromosome:SL3.0:7:56667840:56668073:1 gene:Solyc07g043010.1 transcript:Solyc07g043010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLYCCFHFSKNLSLGTYLTCLSTYIHKWLNHYQQRYIDSSGSSLRGICIQRYIGPLIYLLSFNLLVPFLKRILNI >Solyc04g051360.3.1 pep chromosome:SL3.0:4:50365615:50367164:1 gene:Solyc04g051360.3 transcript:Solyc04g051360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNIVHYKVANSNDNRSSRQDDEGINVFNTMFQGNINREEEMSVMVSALTRVVVGNHPSENIENHHQNNTLISRGVGEKRGRDEVLLHGTNSSHMILSSGGEGSSIRTTREATFIYTNSTNNSIIDESVNNQVRRRYRGVRQRPWGKWAAEIRDPYKAARVWLGTFDTAEGAARAYDEAALTFRGSKAKLNFPENVTLLVPSSIQQPIYSSPDPAISPYRSNFIIGHTSTEVEPILHTNPSNFIEPIAHTSSLYRSNFIERNHHMVQQEPYFQAGSTSGGSDFHQTTNSSNSSIYDHPSSSSG >Solyc01g007190.3.1.1 pep chromosome:SL3.0:1:1769140:1770223:1 gene:Solyc01g007190.3 transcript:Solyc01g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQLPTISHLFGSLEIDVVFAGAMVEGGAGPEFSVVFYDGEREMNIGSIRIYPLLEFKAFQLMLSQRIGISPNQISIYLCDRKTSKLEDRRRIPITGKANFSLIAREIDCFFLVVLKRSRKSRNRKIIKPGGGFVTENESFSSVKPPPPGNPILLRRTHPNQFGSPFFDQISPLELENLNEKLQSLKIQREEYNYSMGVSSIPMHRNNPFPRIQDTFPVKMKAKCEQCRKGNNGNEEIPSFHHCKNDPVIVGVYRSRFGPVSPAGFC >Solyc10g007080.3.1 pep chromosome:SL3.0:10:1451950:1456044:-1 gene:Solyc10g007080.3 transcript:Solyc10g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTCSLEYWDHEFLVLSAGLMPNSQTTTSLIAMSVNTEAVAFMVSYGLSAAASTRVANELGGGNIDKAKHAMFVTLKLCVLLALVVDLALFFGHSAWAGLFSDSTQIIKEFATMMPLLLISFVVDFFQRNFIRGVARGCGWQHLVMFINLATFYFIGMPISWLLAFKFNLHAQGLWMGSICGLACQALGLLLLTLLTKWEKLEDSIKSNRENELLA >Solyc04g079315.1.1 pep chromosome:SL3.0:4:63908254:63910365:1 gene:Solyc04g079315.1 transcript:Solyc04g079315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFLVATGGYSNGGPAQGSQPDADSTNTTIFVGGLDPNVSDEDLRQPFAQYGEIVSVKIPVGKGCGFVQFAKRNDAEEALQKLNGTAIGKQTVRLSWGRNPANKQPRSDFGNQWTGPYYGGHFYDGYGYAFPQPHDPGMYAAAYGAYPVYGTHQQQVS >Solyc05g015290.1.1.1 pep chromosome:SL3.0:5:10283850:10284245:-1 gene:Solyc05g015290.1 transcript:Solyc05g015290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIKSPSPLFITFLTRPNSNPLFIWGNKNRTTSFRTHPAQHQNDPFPFTSTLLFLVQFNIIPTRCIFFFFFYKNPRLQLLQQILQDASAFSTSNSSKFRASPAERVRNSDAPPPSSREQINGGIRKANHQ >Solyc02g061990.3.1 pep chromosome:SL3.0:2:34102191:34103671:1 gene:Solyc02g061990.3 transcript:Solyc02g061990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLRVHTNSILFTLKESSTMWSSSSEEHHQNHQNLATNSSSSSSSCSYNHPINPILSITSKTMEEVWKDINLSCSRDAQNTSGMVLQDLLARPFANDPSTAAVTRAYGSPVPPPPVTMLTLNSVPEFHFFSNLNPIRQNQQKHISNDALASPAPGRKRHSESDNNNNNNSSELKNKRMIKNRESAARFRARKLTRMN >Solyc09g037125.1.1 pep chromosome:SL3.0:9:21124602:21127511:1 gene:Solyc09g037125.1 transcript:Solyc09g037125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWVEHYEKDSKAARQDVFESSMCYMMRNYPQLMHKFMSNKAKIPYLLKLIVRFVFLINEASFNHGEREALRSCIKYDYELYKEFLCKESNPCIIHRARSFGVLIRYMELRRSYGPAEWLFEYSLLVNLKMLDDLQLLRQISIKSLHNNLAQTPHTMQSEARRRLAETFKILLLHTHLHVCSDSSK >Solyc02g094410.3.1 pep chromosome:SL3.0:2:55577288:55584428:1 gene:Solyc02g094410.3 transcript:Solyc02g094410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSSFGKLKKFALHKDKKDHQILLHLDGLSQASQDMKDMRDCYDSLLSAAAAAANSAYEFSESLMEMGTCLLEKIALNGDGENRKAMSMLGGVQLELQKFVDCYRSHIILTITNPSESLLSELRKVESDVSSSLMQEMKLQCDEKREVYEYMANRGKGGKGENFTSQQLQAAREDYEEMARLCIFRVQSLKQGQCRSLLTQAARHHGAQLNFFRKGLKSLESVEPQIRMVAENQHIDYQPVELDDSEDGGKSYEANDDGELSFDYRKNKQEPNDSFPMRDSMEFDPADAPSTLTSGMEEVELDLGRNQEQVFTRQPRVVSHSAPIFAEKSDISDRIKQAQTSARKFHTYALPTPADAKSLTSRTSVSLQHSSTMNTSGGSNNPWHSSPLNMDKYSKFSDDNLSTDTLAKGQSTAQEIKDHTFSTPLPPPLAEGTSLPQNDIQNGIDARKMRRLAFSGPLASKPSSSKPLLSASGPIGLAERPLLEPGLASGIPISQPPSTLDVSYSASPPLVSSPKISELHELPRPPGILASKPSSSTAFGHSAPLVNRNQETSPTNQSPVLASNTGSPLPLPPLTIPRSFSIPSNNQRAMALHVAQLLESPQRKGKGDVMCSPPLTPLSLSNTSNSGQIRGKVDS >Solyc11g017070.2.1 pep chromosome:SL3.0:11:7860629:7866838:-1 gene:Solyc11g017070.2 transcript:Solyc11g017070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit I [Source:UniProtKB/TrEMBL;Acc:K4D6L1] MRPILMKGHERPLTFLKYNRDGDLLFSCAKDHTPTVWFADNGERLGTYRGHNGAVWCCDVSRDSSRLITGSADQTAMLWDVQTGAQLHTFTFDSPARSVDFSVGDKLAVITTDPFMGLTSAIHIKNISKDPSEQMSESVLVLKGPQGRINRAVWGPLNKTIISAGEDAVIRIWDAETGKVLKESDKEIGHKKGITSLQKSVDGSHFITGSLDKSAKLWDIRSLTLIKNYTTERPVNAVTMSPLLNHVVLGGGQDASAVTTTDHRAGKFEAKFYDKILTEEIGGVKGHFGPINALAFNPDGKSFASGGEDGYVRLHHFDQDYFNIRI >Solyc12g015780.2.1 pep chromosome:SL3.0:12:5775868:5780708:-1 gene:Solyc12g015780.2 transcript:Solyc12g015780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTATENESMDSEGTSTQEETFTKVSVWDLPDLPKGKLPPHIELQRTRVLCGSLAPTNTENVNYSGAYAAMGVDNSVRFEQFRDNFKVEIVRLEEDELEFDMIGIDPSLANAFRRILIAELPTMAIEKVLIANNTSIIQDEVLAHRLGLIPIKVDPRLFEYMSENDVPNEKNTIVFKLHVRCTKGSDRLRVLSSELKWLPNGSELILGTEHSASSSSAKPKTYTSFSCSQDTRPEFSDEPIAPKDADIIVAKLGPGQEIELEAHAVKGMGKTHAKWSPVATAWYRMLPEVLLLRDIEDDEAEALVKKCPLKVFDIEDIGKGKKRATVARPRACTLCRECIREEGWDKNVALRRVNDHFIFTIESTGALPPEVLFTEAVKILEEKCERVITELS >Solyc02g070390.3.1 pep chromosome:SL3.0:2:40684374:40695200:-1 gene:Solyc02g070390.3 transcript:Solyc02g070390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVLSTSLYQDRGLRIVASTDGFVPTICCPYRKTLFTGTTPVSIWKLKFVSSSPFQKAPLAPCIKCENKEKNQGSFEQVSVERFPYHSYMDSTSGQLEPASGARASIPGKEYWPEGTASRVQAARAPEPDGISTGTPSYGKAPGSRRKKYKASASSSQPSEINVISDDPLETPDSVPEEPKDLSSEYVIYQPEQDEEELTGYELDKRHGRPHPFIDPKVKKEIEKPLTSEELWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEHPTLTETALYRARRHLYKEERLQAEKEKMEKIGPIAYYSEWVKAWKRDTSRDAIQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDMRIPRDPLAMRMREDQIKQIWGGDPVYPTINYIQDPDEVIDFRGPDFHEPTPNMLAYLKEHGKIISREELEKILAKEKTEEIEVAEIDEAMARAVDIGENDDEEEGSEAEGEEEDEKITRNWSVLKSNPELSKSKGKPKKKDMSLEEAVDDSENLTDFLMDFDEDE >Solyc10g007050.3.1 pep chromosome:SL3.0:10:1424818:1434278:-1 gene:Solyc10g007050.3 transcript:Solyc10g007050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAAPLLAMNGALKMATNSQQYVEGTIKVQNNSIPKPEQLIVISSFRGAEGKDGGREYVQLNHRYHNATVKLNQFGLDWTTTTHGPIHNCNGALSSTRNVKMSAKAGALASNGDIEPLRRCIVLDIEGTTTPISFVTDVLFPYARDNVGRHLDATYDSAETQEDIKLLRAQVQEDLENGVAGAVPIPSNDAGKERVIAALVTNVEAMIKADRKITALKELQGHIWQTGFKNNELEGVVFDDVPEALERWAASGIKVYIYSSGSRLAQRLLFGYTNYGDLRKYLCGFFDTTVGNKKETKSYHEITASLGVDHPSEILFVTDVYQEAIAAKLAGELNQLWRTLKSIYHPWNYYIVHLDLKSAAEERLELASRIANESVFAKIGNVHMITKANMVTYRGPTMVANTLHAASILLKKYTDWDWFINLSASDYPLLTQDDLLYVFSQVKRDFNFVEHTSRLRWKESERAMPLIIDPGLYQNTKSDIFWVAPRRTLPTAFKLFTGSAWTVLSRAFIEYCIWGWDNLPRTLLMYYSNFVSSPEGYFQTVICNAPEFSSTVINHDLHYISWDVPPKQHPHILSLNDIGKMIASGAAFARKFKSDDLVMDKIDQEFLHRVNGTFTPGGWCAGNPFCSKVGNAKKLRPGPGAQRLRRLIDRLVDIASQNQCK >Solyc11g065640.2.1 pep chromosome:SL3.0:11:51453460:51462713:1 gene:Solyc11g065640.2 transcript:Solyc11g065640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFHVRRLAHRLTRSSISALLLHDSASAAHVSLLKVGSRSYNTAAKNRIRDLFPSNCSNATSPCNPGTSYGPLSRYQILLGLGHKEYCTTTGNEEKQTISVTFVDKDGEEKHIKVPVGMSLLEAAHENDIELEGACEGSLACSTCHVIVMDVDQYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELDGIRVALPAATRNFAVDGFKPKPH >Solyc02g010630.1.1.1 pep chromosome:SL3.0:2:13297076:13297417:-1 gene:Solyc02g010630.1 transcript:Solyc02g010630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSKSVALVRWIKPPLLFVKLHSDGRFRDGIFRGCGVVRDSMGALIIAYSIPLSARTNNWAEAKAMLFGLKWCIARRYRLVIGETYSLLLSSCTSRMCPMLLKAWLSLIHHSW >Solyc04g050862.1.1 pep chromosome:SL3.0:4:48696485:48697523:1 gene:Solyc04g050862.1 transcript:Solyc04g050862.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVVVDFLFGAGIKRAMFSTIKVHSPSSFSLASHRRRIKSSWTDYQSVIDDATELSHLKLNLIVLGDPPSEYKSPVFEHLHTLSELNPAGCTRSTKRGY >Solyc11g065720.2.1 pep chromosome:SL3.0:11:51581672:51584643:1 gene:Solyc11g065720.2 transcript:Solyc11g065720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGTFDELLTKSEEFQDLVNAQKTTSDPKCQEVHATNKRPMEAEIEFDNNVSSEERDDVVFLKGDQLIKAEEREVGDAGLKPYIQYLKHNKGFLYFSLAVIVHSMFVVGQYIQSYKLAIDLQDSSVSRLKLIRVYTVIGLSVILFLILRSVLAVKLGLGASKSVYTTLSSSLFFAPMSFFDSTPFGRMLSRVSSDLSIVDIELPFLLNYTVGSIIISYSTYAILCFFAPEVLLIIVLMIYVTISVQRYYNASAKELMRLSGTTKSLVANHLAESISGIMTIRAFGQEGRFFLKNLEFIDKNARPIFHTFSATEWLILRLETICTIIMSSWMLGMTSLHSGSSGLTGMAFSYGLSLNAILVWCVQCQCTLENSIISVERLEQYMRIPSEESELVQTNHPLPGWPTCGKVEIRDLKVRYRPNAPLVLQGIRCTFEGGQKIGVVGRTGSGKTTLISALFRLVEPTDGKIIIDECDISTIRLHDLRSRIGIIPQDPTLFTGSVRYNLDPLSKYSDEQIWNVLDKCQLREAVQEKEGGLDSSVVQDGSNWSMGQRQLFCLGRALLKRSRILVLDEATASIDNATDAILQKTIRLEFADCTVITVAHRIPTVMDYTKVLAISDGRLVEFDEPKKLINKEGSLFRMLVKEYWARVEK >Solyc02g065780.1.1.1 pep chromosome:SL3.0:2:37491198:37491488:-1 gene:Solyc02g065780.1 transcript:Solyc02g065780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSCCSLFWLSMVILLSASSVTDGTMIVERRWNGTGEMDGIDWEVSLAGDVNYLSYKVTNDKPPICNEKVYGNCIGDKKPGQRPCTEYNNCKRSPD >Solyc06g036255.1.1 pep chromosome:SL3.0:6:25826115:25832985:1 gene:Solyc06g036255.1 transcript:Solyc06g036255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKNETSSETALMSNRMSGYNSGYHNSGGSSSGSAYYPNNGSSGNSGYKARNNGDVRQGGSATAGGCQSPENGTCDNSSVNTNAKSFGTSSNNFSGGTQGLSLFTHEHYNQILKMLSKGKGKEVDSMANVATASSSCTFTALMSDMAHTKWIIDTGASNHMVHSMNLMKHCTDLGSRNDMKVNLLLDLFSGRVLGIGKEDQGLYLLNTDTQPRTFQDKITRNTCISSCISSSISFTSVLPSSRDVVQSVTHPRRSSRQSKPPKVGKELLVILVYVDDLLITGSSLKLIQQVRKDLQYRFKMKDLGELKYFLGIEFSRNADGILMNQRKYALGLISELGLAGCKPASTPLEFNHKLTSTVFDKCTGMNAEDKSLEDYGKYQRLIGKLLYFTMIRPDIAFVVQVLSQYMHSPKNSHMEAALRVVRYIKGTAGLGLFMPSNNMSELVAYCDSDWGACIESRKSVTGYIVKLGSALVSWKAKKQNIVSRSSAEAEFRSMATTVAEIIWLKGLFKELGVEIMEK >Solyc10g019020.1.1.1 pep chromosome:SL3.0:10:11217239:11217388:1 gene:Solyc10g019020.1 transcript:Solyc10g019020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLCPSLSNTDINEKSIFLFHIKYILVWKKKAPFGIPHILFSSLFEAL >Solyc04g078120.3.1 pep chromosome:SL3.0:4:63036374:63039597:-1 gene:Solyc04g078120.3 transcript:Solyc04g078120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADGEALCEAARNGEISSIKSLIDSGGDVTYFDKDGLTPLMHAAKHGHAEVVKALLDASAPWNALTPSNVSAGDFAMDAGHQEAFDVLLNTAIQAELILGTIARKDNAKGNSDGNYLDDRVTFSEDKIMDSDSKAIMMAWEKPLMEAHAKAVCSNGGHILNIGFGMGLVDTAIQQYSPLSHTIIEAHPEVYDRMMRAGWGEKENVKIIFGRWQDVISKLETYDGIFFDTYGEYYEDMREFHQHLPRLLKPGGIYSFFNGLCGGNPFFHIVYCQLVSLELESLGYSTQLIPLPVKDCLGESVWEGVKQKYWQLDTYYLPVCQSMSESE >Solyc09g014340.2.1 pep chromosome:SL3.0:9:5864457:5868723:-1 gene:Solyc09g014340.2 transcript:Solyc09g014340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYSGEKRSLRIPRIHFDLVESGRYMHYPWGRKAFEWLLQSINKVLTTDGQYYKICGMSVVLQIWIYECMGKRQTNFAQKINDRIPRILNWQTVGAVPRFKTLMKDTFNDGNREIKWKNVVPYLMEIVVLQLPPEGLEKSTEGVQIEPHRDIDEQVLSRQNLDDDFVNLPPPSMKKTTRTCVPTKDSQIPSVSVSHEQLMRNELSELRKKVREEFKHIRKLINDNFNIIMSTLKDKKNNDSAGQGSQPFTSPILSENQNQDNTNNHNAVQGRQYFTKPVASENQNQSEGDDSSNDGSEEVFQDYSIFENSNLGDIPDMVGGADKHMLVDCDNSPVRNLINVDAGFSLSKSIIPSIPQSTFVFDKSKKIRPLVFESQHDFTDQDDNDEEEKFIFPTPIQSIIPLEGSPQSQFELDDSLMPSLSDIKSTSSVQPIIFELKHPFIFDLISGHRDIIMWDAHWSWIREGLFAKHKNKRRITTVYILRHDQDRYKKGKACIPVPFDFGVDIHINVIFYYLRKKAKYDVDSRARYATLLWNYAKQKQDNGAISESEAPPRHAMPQSVRVESAPIEIQ >Solyc05g032700.3.1 pep chromosome:SL3.0:5:45958312:45960916:-1 gene:Solyc05g032700.3 transcript:Solyc05g032700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGRQGIPISHVLSAHDIIFVSKITHLSCNTIIDTLNTFSSFSGQKINYNKSHVFLSRNSSQEDNEYVTSSLHMKEGTVMGKYLGYPLTHTSYQSRDLQLLIDNFNECLAGWKTKFLTMAGRTTLIRSTLNSLANHVMQFTELPKQTTQKGGFGGVIRNFSGLWIIGFMGSVQNTSPLATEMLALFHGGFSPTE >Solyc05g053940.3.1 pep chromosome:SL3.0:5:64805739:64811821:-1 gene:Solyc05g053940.3 transcript:Solyc05g053940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDTYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASDNVNKILVGNKADLTANRVVSYETAKAFADEIGIPFLETSAKDATNVEQAFMAMTGAIKNRMASQPASNSAKPPTVNIRGQPVTQSGGCCSS >Solyc03g032215.1.1 pep chromosome:SL3.0:3:4752218:4758012:-1 gene:Solyc03g032215.1 transcript:Solyc03g032215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGESIHQSNSTVSTATVAKIRPIHDPSSVYYLHPSEGPSNSLTKYLLKGDNFDVWEQAICHALEGRSKIGFLYENEFPKPTNDLELDAWKANNSIICSWIFNSADEMIQPSLVAHKIAHELWTDIKARYGGMNAPKSWQLKSDLQTLRQKGQSVVSYYNQFIAIWNQLYGSIDPTCGCICPAAAKMRLRFEEEKTQAFLLGLDDAQFGATRSQILGTRPLPVLNEAYYLVSQEERHKSIVRNRDDQTDGLAFAAENQPTQTPKYKCTHCGKTGHSAEQCFLLIGFPSGGRRGRGGGRGGRSGRGSPSGREQSAGRGGSLAAHADSSTAPTATIGSNQGTTIPGLSAEQMTRLLHMLDTPTQSANHTGTVHALSPDWLIDSGASHHMTDRVLTTEIGRGTAHNGVYVFQSQAFVSASRANQVDLLHKRLGYPSGTKGWRVYDLETHRFFHTRDISFDETTFPFAPTHTNQQPKTSKPPIHQADFPNNIAVPTSSINSNTSFNSQPHSSTPQQSAPSPIVPPIDSSTSQQPTPTMPSIDSSPPIDQRDNSPNPPPTRASSRVRHPPSYLSEYVCQSATNVPSVTCPTTSPRSVHLLSQFMHERRIDHLNAAMRVLRYLKGHPGQGILLRADSNLQVMAYCDSDWATCPLSRKSVSGYFVMLGCPPISWKTKKQTTVSRSSAEVEYRAMADTCYEIRVLHEIRVITENHPE >Solyc01g098270.1.1.1 pep chromosome:SL3.0:1:88727758:88728801:1 gene:Solyc01g098270.1 transcript:Solyc01g098270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDKTLFCFCHWGKRNKVLPDGSISYEGGITDQVIAKTGVKYDDFVIAVFDRLGIDASDKMLFFTVKFDRSELIQLRDQAGIDTMLHFNDSYVHIYASSLEKEPDSRPPSGGTKNIGHTIASDKQPDSTPIADDPNGNKCGVLSETVVGKLPPHQEPFFGQNNIQNDLNDLFRKGYYLRQKQLTSSGSLETFWRKCDTCDTRYLCYRKDVNHALRCKTCTTFELDPKGAACRPKQSQPGGQDKHLESKLNEPLKQTELPNQETLRMTGGSAWFQPTQETLRMTGGSTWFQPTQTGSQQVAATTMEACKSRKRYRNQTTEPSESDDTSINVDTQGAKSEIIRDSKP >Solyc11g013350.1.1.1 pep chromosome:SL3.0:11:6344280:6344468:1 gene:Solyc11g013350.1 transcript:Solyc11g013350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAVTLVCITYGLVIARPKNICNELANNVSIWREKCPLFLCFFLLFVKLTCYNCNYIVASV >Solyc04g056650.3.1 pep chromosome:SL3.0:4:54571036:54582609:-1 gene:Solyc04g056650.3 transcript:Solyc04g056650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSFVGSVMSPAVNFCKSNGVASTSSSSYMKTFFPNFDLGKPLLLRSLTNRKDKWTVCACVAPPRDYKAADSDRVLNTKFNKESAKSENVSLLREPEDDSDVLIECRNVCKSFGDKHILRGVSLKIRHGEAVGIIGPSGTGKSTILKIMAGLLAPDKGEVLIRGKLRNGLVSDDEISGLRIGLVFQSAALFDSLTVRENVGFLLYENSAMPEDQIAQLVTETLAAVGLKEVEDRLPSELSGGMKKRVALARSIIFDTTKDVVEPEVLLYDEPTAGLDPIASTVVEDLIRSVHVAGEDALGKPGKIASYVVVTHQHSTIKRAVDRLIFLYEGKVVWQGMTHEFSTSTNPIVRQFASGSLDGPIRY >Solyc04g056450.3.1 pep chromosome:SL3.0:4:54154477:54162217:1 gene:Solyc04g056450.3 transcript:Solyc04g056450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKEATYDETKILGAFDYAYRVVKMVHLLNKISDVKMQNHGLLLCDSDIYLHQDKMFLPHDPAAWSACNFLGTINNRGCATYWLNVIQNLGDSELPYLVTFDPPHTPEHTLVKWRTSRPLPSIAASKASRELHQIQGKRGIWFSGAYQGGCDCCRNGMLRRNCSILYNPKYLLPTWPETGAHLLVTRFFRSFVQTGCIILLEEGGTIFTFQGRDRKCSLKVSLTVRNMQFYWKVATQADLGLADAFIHGDFSFVDKNDGLLNLITIFIANRELKESVKPTSKYRGWWTPVIFTAALSSAKYFYRHISNQNTLTQARRNISRHYDVSNELFSLFLDETMTYSCAIFKSEDEDLKDAQLRKIDVLIRKAKVGKEHHILEIGFGWGSFAMEVVKQTGCKYTGITLSEQQLEYAQLRVKQAGLQDQITFILCDYRQIPSKDKYDRIISIGMIEHVGHDYMEEFFTCCESALTEDGLLALQFVSMPDGSYDEYRHSTGFIKEYIFPGGCLPSLSRVTSAMAATSRLWKEIIIGIHYYQTLRCWRRNFQKNKSQIRALGFDDKFIRTWGYYFDYCAAGFKTRTIGDYQIVFSRPGNVAAFGDPYNDTMPSAY >Solyc03g097020.3.1 pep chromosome:SL3.0:3:60807649:60813931:-1 gene:Solyc03g097020.3 transcript:Solyc03g097020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTYAAGLFAALASAKFGTETVYADGSFNFSPFSTSSSTNTAPSAPANLPKPPQPTAEAPEKPKVRNDNPRTTSAGFDPEALERGAKALREINNSKSAKQVFDVIKKQEETRQAELTAKMQEFKALQAQAETERQRVVYDEQKKLAQQQAQIKSQMARYEDELARKRMQACKAENEHHRARNQELVKMQEESSMRQEAARRATEEQIQAQRRQTEREKAEIERETIRVRAMAEAEGRAHEAKLAEDVNRRILVDRANAEREKWIAAINTTFEHIGGGLRAILTDQNKLVVAVGGVTALAAGIYTTREGARVVWSYVDRILGQPSLIRESSRGKYPWSGFFSRAMSTLKGANGGSAKSEKGFGDVILHPSLEKRIQQLANATANTKSHQAPFRNMLFYGPPGTGKTMVARELARRSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSKKGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVIEFPLPGEDERFKLIKLYLEKYIAQAGERKPGLFSNLFKKQQQKIEIKGLTDDILREAAARMEGFSGREVAKMMAGVQAAVYGSEYCVLDANLFREVVDYKVAEHQQRRKLAAAEGDK >Solyc03g063490.1.1.1 pep chromosome:SL3.0:3:36821415:36821624:-1 gene:Solyc03g063490.1 transcript:Solyc03g063490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASNHLAGLGVSEVDIRKARMLFTSPRCNYLWKSPLQPLDSCGLRSNETRVRLSPRENRLTTWRRAPS >Solyc07g008825.1.1 pep chromosome:SL3.0:7:3785576:3787755:1 gene:Solyc07g008825.1 transcript:Solyc07g008825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMLLDYMGYNASSAEDTEPAAYVAKVFLGFPFKACILLLTFQHRACVRLYVSCIANRQSLPTVSHPIDQETDLDDSIGRLRRIKSEPLWLHTINQRRHTIESSKSVSRSVRCEIDIENLDGKNGKEIAKTKTKKHHMNLLYL >Solyc05g013795.1.1 pep chromosome:SL3.0:5:7116774:7118238:-1 gene:Solyc05g013795.1 transcript:Solyc05g013795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTIQKSGSRGYGGTNFNPITETVAILKLKTQEEKLQKGNLLDDQVCMQHTQFVQHLIRTKVLPVAFVVVVQIEGNLPVRLELHKFPIPNFQMQIRSTSVNI >Solyc06g084623.1.1 pep chromosome:SL3.0:6:49738493:49739162:-1 gene:Solyc06g084623.1 transcript:Solyc06g084623.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISFMEVLQDLVYAYGWPSRLVRPIWKVKRASKRTYPSFPRFWCAIANHFLGDPDSDVKNAKFFRGRLSRPCLCIRFVKQAPKRAYPSFQRFSCAIAHPFWVIRIPMSKMPNFFVDVRQDLVYAYGWASRLVRPIWKVKRAPKRAYPSFRLFSYAITHHFLGDSDSDVKNAKGFCGCPSRPCLCIRLAITACTTQLDGQTSPEASIPLIST >Solyc12g009350.2.1 pep chromosome:SL3.0:12:2634005:2642464:1 gene:Solyc12g009350.2 transcript:Solyc12g009350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIQGYGMNNASGSGAMAVDNSSVGSNGSHTDILNQQGNHIHSNYSVAASVVGGRVSRLSDDALAQALVDPQFPTTGLVICDEWTIDLSRLTIGQAFAQGSFGKLYNGTYNGEDVAIKLLERPEHALEKSHFIEQQFQHEVRMLANLKHPNIIRFVGACRKTMVLCIVTEYASGGSVRQFLAKRQNRAAPLKLAVKQALDVAKGMEYVHGLNLIHRDLKSDNLLIAADKSIKIADFGIARIEVLTEGMTPETGTYRWMAPEMLQHRSYTQKVDVYSFGIVLWELITGLLPYPSMTAVQAAFAVVNKGARPIIPNDCLPVLSNIMTCCWDFDPDKRPTFSQVVKMLEAAEIEIMTNVRRARFRSCPRPTATGKD >Solyc10g044435.1.1 pep chromosome:SL3.0:10:26314329:26317412:-1 gene:Solyc10g044435.1 transcript:Solyc10g044435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLGPFWEFKGIGIRLYHIFRVKLDFLMNKTDNNYMVCDALLSRTTLKRIRFFAFVNLHWMQKVADSTICESPSLHFPAIGSRCGAIPDNTSIFNSPYIRSLCHRPRVLGLPLQLWNPKVMKEIGDRCGGWLENEEETELKNHLRWDRIRVRGPRDRVPTEIEVDDGDFVYSLSIWCELPTLY >Solyc07g054870.3.1 pep chromosome:SL3.0:7:63175842:63181772:-1 gene:Solyc07g054870.3 transcript:Solyc07g054870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLQLNRWNHRMLMNMYNPNSNNMRQSKIRSKIAYKQTPISSNSPVMVLNNCNIDYKKGVKYLVDNSKNMKMVPLEFVLPIPEGERPSMAIDGSIPVIDMSGLNGPVVQQRLSTIHAISSACAEWGFFRLEFPQVTNHGIKESLMDEMVQVVEEFFNLPLEEKMKYGSDDVMDPVRYGTSLTTTRKHALHWRDFLRHYGGLTPHTYHLWPANPPAYRDVAKEYLKEVWQLAVIIFGAISEGLGLDPNYIESSLGDEGTQLVISNYYPACPEPNKTLGLAPHSDHGGLTIVMDNGIHGLQIKHNQTWYSVPSIPGTFVVNLGDFLEVLSNGKYKSIEHRAIVNTEAARISIAVGHGPKMDAIVQPASPLIKEKSESKYRPIIYKDYIRAQQSTIKRGKSALDEIMNNNI >Solyc06g076000.1.1 pep chromosome:SL3.0:6:47327219:47328631:1 gene:Solyc06g076000.1 transcript:Solyc06g076000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSVDMITSGGSPVGCRWNPTKEQIDLLESLYKQGIRTPSADQIQQITGRLRAFGHIEGKNVFYWFQNHKARQRQKQKQDKFAYYNRFLHRTSVFPPPNCPNGFVVVCSPYYTAQNNLGFYQQYPAVPSMIIPGPGGFKRRATCNQETLNLCEQNNSPNANKEFITQETLNLFPLHPTGVLQEKTTIADSSSSSSTCVPRDHLSSNTTSNSVEVNCFTDLGIGASDRPQPVFNFLCGN >Solyc08g062760.1.1.1 pep chromosome:SL3.0:8:51925221:51925760:1 gene:Solyc08g062760.1 transcript:Solyc08g062760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSMTTKCLFLVLCVATFCLTNSQDTNTMPPASLLCISECNTCPVICSTPPPSTIKLNPPPSPSTSILVQTPPPPPPLFAPLRPSPPSSHSPSPPSSSQKEPKSPPPSYMIYTGAPPPPHTSNCPPNIINIPSTQVPPVQKNYTYPYYYYFASEATSIPLFHGSIVLGFWVVFHLICW >Solyc04g026010.1.1.1 pep chromosome:SL3.0:4:19856660:19856926:-1 gene:Solyc04g026010.1 transcript:Solyc04g026010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTNDGLQQIQLAAPSMRTANGRSCFISSPPPPLHLPPFLYLCFYSPFCRTARQQRPAAMPGEGTSNAGQRLHGDNGIHIGLSLYGSL >Solyc09g090540.3.1 pep chromosome:SL3.0:9:70506278:70510348:-1 gene:Solyc09g090540.3 transcript:Solyc09g090540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTKSNKDLKGEKIQYVDSVEALPNSVLNGGKDEDDESKALLPSRKGGLSKKIAKPKRKVQWNDTNGNKLTEVLEFEPSDASDSDEDDSDSCICRIM >Solyc06g072240.1.1.1 pep chromosome:SL3.0:6:44652459:44652770:1 gene:Solyc06g072240.1 transcript:Solyc06g072240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc03g116320.3.1 pep chromosome:SL3.0:3:67286719:67288285:1 gene:Solyc03g116320.3 transcript:Solyc03g116320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TCP transcription factor 16 [Source:UniProtKB/TrEMBL;Acc:G3BGV6] MDGGGDDHLHQRHQHNHRSIATFPFQLLEKKEDEACSSSSNAAAAYTTSLAISNTDNTHTNPNNTPRSTISTLQISASGADTSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSVPSQLRSSYFNPNFSLSQRRGLFPPGIGLSTDTSATTLLNFQSANLSSNIQLQTKPELRDNSIDLTESSPAEDNLSRKRRSDLDLEQQQHQQQQQQMGSYLLQSSTGTMPTSHSSIPANFWMVTNPIPSNQVMGGDPIWPFQSVSNSGALYRGTMPSGLQFMNFPTSVALLPSQQLGGGSSGGGGGNNGLGEGQLGMFAGLNPYRGGGGVSESQASGSHSHHGGGTDDRHDTTSHHS >Solyc11g013155.1.1 pep chromosome:SL3.0:11:6020805:6032196:-1 gene:Solyc11g013155.1 transcript:Solyc11g013155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGIDAKQPNSAIRKCARVH >Solyc08g080260.3.1 pep chromosome:SL3.0:8:63690143:63698355:-1 gene:Solyc08g080260.3 transcript:Solyc08g080260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRGTKRIAPSDSKSFTDDSIQGKRIMGSLFDSQKAEQSQPQKVKAPLLDMQRAESSRQHVRALNTQFASWVQMQLQNHPDELWEDGIQDYLNHSSTIKEKFTDIVNWLKANSSNGEIKSAHGSQAALKTLESENKESDGRLSFPKPDTPVANSSSSFGASWNSGSLFSKTTPFSFGLQPSVQTQNLVPLKTDAANVVQDAEDEVEQPSSPSVKKTEEKGITVVHEVKCKLYVKSTDPVDKDAWKDRGTGQLSIKCKEGVSKGTRESKPTILIRNDVGKILLNALLYQGIKTNLQKNSVVAIFHTSGDGDNDDVVARTFLIRTKTVEDRNKLAAAIQEHAPAA >Solyc06g073720.2.1 pep chromosome:SL3.0:6:45620325:45622768:-1 gene:Solyc06g073720.2 transcript:Solyc06g073720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLRSLLAHLLNPVEVASSFTFFILWKMMMFEEMGFCGDLDFFPAPLKEVEVSAPQSQTEPDSVVDDDYSDEEEIEVDELERRMWRDKMKLKRLKEMSKSKEGVDPAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLREWWKDKVRFDRNGPAAIAKYQADHAIPGMNEGSNPVGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPTGKEDWWPQLGLQKDQGSLPYKKPHDLKKAWKVGVLTAVIKHMFPDIAKIRKLVRQSKCLQDKMTAKESATWLAIISQEEALARELYPDRCPPLSSAGVSGNFMLNDSSEYDVEGAQDEPNFDVHEQKPNHLNLLNISAERFKETMPLQQQSHPNKDELVTNLDFSLKRKQANEPTVMMDQKIYTCEFLQCPHNELRHGFQDRSSRDNHQFACLYRSSTCFGVSNFQINEVKPVVFPQQYVQPKSSALPVNQGPPSFDLSGIGVPEDGQRMINELMSIYDSDVQGSKRQNRGNIALTKEQPHQQPRVHQDNYLLSQGIMDGNIFKNTNISTTQSMLPQVDPFDQSKAFNAGSNDNFHFMFGSPFNIQSTNYNGNLPSIGYDTTPKQDAPIWY >Solyc01g107730.3.1 pep chromosome:SL3.0:1:95073210:95075164:-1 gene:Solyc01g107730.3 transcript:Solyc01g107730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CycD3;2 protein [Source:UniProtKB/TrEMBL;Acc:Q9SMD5] MAIENNDQSFFLDVLYCEEEEEKWGDLLEDEEGVIINPLLLSSEGTTKTNSLLLLPLLLLEQDLFWEDEELLSLFVKEKETRCCFESFGSDPFLCSARVDVVEWILKVNAHYDFSALTAILAINYLDRFLSSLQFQKDKPWMTQLAAVTCLSLAAKVEETQVPLLLDFQVEDAKYVFEAKTIQRMELLVLSSLKWRMNPVTPLSFLDHIIRRLGLKNNVHWEFLRRCESLLLSVMIDCRFVRYMPSVLATAIMLHVIHQIEPCNAIDYQNQLLGVLKISKENVNNCYELISEVSSKPITSHKRKYDENPSSPSGVIDPIYTSESSNDSWDLDLPSFKKSKVQEQQMKMSSSLSRVFVEAVGSPH >Solyc01g087336.1.1 pep chromosome:SL3.0:1:82180160:82182089:-1 gene:Solyc01g087336.1 transcript:Solyc01g087336.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLSSPTVSKPNGNVHKREEEICGSSNFFYGSSRETLLLGSVVFKSVAPMMEWTDNHYRTLACLISKKGVRVKEMNISVFTGCLDPLDVESLGSLSRYVRAVKSDIYVIFLIYQDRFLNLKNLAKATQLATPYGYEVINFITDTFSGLFNSCGCLNPRVAQLLQGKLYAASMQNWT >Solyc01g049820.2.1 pep chromosome:SL3.0:1:46567290:46571924:-1 gene:Solyc01g049820.2 transcript:Solyc01g049820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFQKDSVTSTPLADFPRFMRPTVCSRSKSRNAGVEFQALKSRSSLPPRKGKGSTHCAKSVDVPIEGASECYSESSVSKTICLISPTTKFSADNEIEFSEDTSDSDTKVADFAKQHLSERGSIHKKCNLRLSKKNHANTMERISTQNHSKVDNWLQLHKCAANINSSTHKNKRILAIPIPENKARVRGRIVADLHEEKVPGKGLRTRNTKHRDAKLVDMENHLSSELEEVNVSHAVFKHFIAYEKSYSACTACNIDAITLNGTQGQVLDSTFEVSGPADLNDLKLIMPDIAYQETNNISASKVNSLSIGLIESPSSSKKKPNYEEMHDMEESNLENMHVPGCVREGTKPFHYDLRSPSALSICKSNQMDYYLVPKPLDNSRTGGFLSLLKPKVHILYSSALAALGFASLGLGHDFFYALTL >Solyc09g066230.1.1 pep chromosome:SL3.0:9:64891705:64893142:1 gene:Solyc09g066230.1 transcript:Solyc09g066230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFATIEDVVETAEEVEKQKGSFEDVTKMKDDTSSKEQSMEQSNVHLPNAQQNNPSLNVNITDIKVYSTSSVSFSPEIEAVIDALAHGLSNRKTNVNPLDVVIPLQLTWSDDLFRTVSYPPSLVSMILLLKLWLCVTECLLRFCSLPMCIHLDQLIRVRIK >Solyc03g005920.3.1 pep chromosome:SL3.0:3:619430:627312:-1 gene:Solyc03g005920.3 transcript:Solyc03g005920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPNSPVRFKLGKQSSLAPERREEQVLGGEDDGFVIDIDPRVRLMYSANEGDIEGIKEILESGTDVNFRDIDERTALHVAACHGSSDVVQLLLDNGAEVDPKDRWGSTFVDSGHITYSPGFFSVLPANWARPLADAIHYNNHAVIKLLEKHGAKPPMAPMHVKTCREVPEYEIDAKELDFTNSIELSKGTFHIASWRGIQVAVKKFGEDVLADEDKVNAFRDELALLQKMRHPNVVQFLGAVTQSSPMMIVTEYLPKGDLREYLNRKGALKPTKALRFAMDIARGMNYLHEHKEAIIHRDLEPSNVLLDDTGHLKVADFGVSKLLKVTKRVKEDKPLTYEDSYRRYVAPEVFRNEEYDTKVDVFSFALILQEMIEGYPPFHAKEENDVPKLYAAKERPPFKAPAKFYAHGLKELIEECWNDKPTERPSFKQIIPRLEFIYKKFDHNKRWKVIRPLNCFQHLEAMWKKDSSGLSSRNRSSRSTRHI >Solyc06g076210.2.1 pep chromosome:SL3.0:6:47497985:47501407:1 gene:Solyc06g076210.2 transcript:Solyc06g076210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLHVMKITTLGLSLVTYLRKHGDLKSVSGIQEENKRKDSRLLWTLTNELEMKNKECEEMEKKITRAEVFMDNVMSQKEEMVKNYNEEMEMMRHKAFHQLDDVIREHEKSKMQLEAQKQQLMQQELELRKREALNESEKRKLQLQKEMNERAILEQRNADEKMLQLAEDHKRVKEQLHKRIIELEANLDQKQALQLQIERLRGSMEVMRLMNEEGDVEAKKKLQSIQEEIKESEEELDSLETLNQALIIKERLTNDEVQEARKELIHGLRESRAFIGVKRMGELDGKPFHAAAKRKFNPKEAAEKAVEICSLWEDYLRDPNWHPYKIIQKGHTAEEIIDDDDEKLKELKAEYGDEVYQSVVTALNELNEHNPSGRYPVPALWHYKEKRTVSLNEGVKHIMKQWKAHKKKLR >Solyc04g007920.1.1.1 pep chromosome:SL3.0:4:1584480:1584875:-1 gene:Solyc04g007920.1 transcript:Solyc04g007920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVILGYAAAAEAVMVLLLTLPGTYPLRSGLLSVTRNLLKPFLSVVPFCLFLLLDIYWKYETRPKCKSAESCTPTELMRHQKSMVKSQRNALLIASALMFYWLLYSVTRLVTRVELLNQRVEKLKNQE >Solyc10g062085.1.1 pep chromosome:SL3.0:10:24356123:24398949:-1 gene:Solyc10g062085.1 transcript:Solyc10g062085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENGHKEHLIVKHREELFMVYFSTVVAVCGSYAFGTCVGYSSPTQFSIMDELSLSFSQYSIFGSILTTGAMLGAITGGRIADFLGRKGAMRLSSVICGAGWLAIYLAKEPMVLYLGRFLAGYSIGIISYVVPMFVGEISPNKLRGALSSTNQLSIVIGLSTAYVMGAFVGWRILALLGVIPCGLLFLGLFFIPESPRWLVIFYSIYYMLI >Solyc04g008370.2.1 pep chromosome:SL3.0:4:2012557:2015635:1 gene:Solyc04g008370.2 transcript:Solyc04g008370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNRRRNVQHFVHVILVILHCFNEGFCIEVDSINSTQSLSDPGILSSPGGVFKLGFFSPLNSSNTYVGIWYNFSVTTVIWVANRDKPLRDSSGVVKISRDGNVVMTNGEEEVFRSSNVSTSQVNSIGLLQDSGNFVLVDHRDNMSTIWQSFEHPSDTIVPRMRISENARTRERVEAKSWRSSRDPDIGDFSLGISSSGFIPQLGQWNGQFFIGVQELYAMAFDGFSLANEHQGFVYFTGPVSSEFITKFVIDWKRNMVWDENETNWKITWLVPKNDCEVYGACGPFGSCNYFESPICSCLKGFNPKHKEEWEKGNWTSGCVRRRPLLCEVKNNTRDSSKEDGFRKMKLTKLPDFAERSYTIEEECRSKCLSNCSCIAYAFDTGIGCMLWSSNLIDIQQLQIWGKDLYFRVAYSELDHYKDIKKIVIAVILGTLTLCVCLLIYCTRVAIRRGVKKKEVVLLGNKSPLHMEELQVFSLDMLANAISQFHEDNKLGQGGFGPVYKGKLEDGKEIAVKRLSKASGQGLEEFMNEVLAWMLWKEEDLSAFIEPFILNPSTEMEVRKCIQIGLLCVQEFAEDRPNISSVLVMLTSETTSLPSPLQPAFTESRETKCTLNNISITNLTGR >Solyc01g068160.3.1.1 pep chromosome:SL3.0:1:77076995:77078580:-1 gene:Solyc01g068160.3 transcript:Solyc01g068160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPANDDDHRANGSDHSHLAKKPKLSTRSSSLITDSEIREEFAHHQTGIARINNGSFGSCPASIIAAQKRWQLRFLQQPDDFFLNHLQKRILHSRTIIKDVINAEHVDEVSLVDNATTAAAIVLQHVGWAFAEGRFKKGDAVVMLHCAFQAVKKSIEAYVTRAGGSVIVVHLPFPLRSEEEIVAEFRKALAKGKANGKKVRLAIIDHITSMPCVVIPVRDLVKICREEGVERVFVDAAHAIGSVPVDVKEIGADFYVSNLHKWFFCPPSVAFLYCRKSPVSPDLHHPVVSHEYGNGLAIESAWIGTRDYSSQLVIPEVLEFINRFEGGIEGIRLRNHKAVIEMGQMLANAWGTSLGCPPDMSPGMAMVGLPVNLKILSDKDALNLRNHLRDHFAVEVPIHYEEIKELQDGDGYVTGYARISHQVYNKVDDYIKLKDAILQLVRDGVTCKMLHSE >Solyc12g100320.2.1 pep chromosome:SL3.0:12:68067122:68069678:-1 gene:Solyc12g100320.2 transcript:Solyc12g100320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKQKNRGPNPLLVLDEQSKKKSEARVFRLPWLPTLSLYQFVGPKIVNKQNCLYDSTRTTATRSAINRPQGVLCIMTAPGRPQPEVPSIDLEEYSASKTLIPFDRPVPLLRGPIKAGPQEETVGQFILAFKDPISWASAYKACESQVTQQCESGARIGCSIAASDKCKTPWWKSFTGSASNQDFAERARCEEREMEACLEAANGKCHEFAKQKCFPAFRDAWIGVKGLSPKVKKKELSRLISWVKLGENCQIADLWRLERPWLEFKAQLQVSYCKGSDILG >Solyc10g084300.1.1.1 pep chromosome:SL3.0:10:64034303:64035097:1 gene:Solyc10g084300.1 transcript:Solyc10g084300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNESSTSLRITRGSPLHVNVVDILPSFSMGLTQDFGVNVGSLGKSKQLIQEQTMEELRSKKKNDPMTVQQVNHGVPMHSSVLRKEAPVQQNLPCNLEDLYKGTTKKMKISREIADSSGKRIVQEILTIEIKPGWKKGTKITFQEKGNEQPGVIPADLVFIIDEKPHKVFSRDGNDLIVTQKIPLAEALTGTTVQLTTLDGRNLTIPINNVIQPNYEHIVPGEGMPLPKDPSKKGNLRIKFDIKFPARLTVAQKSGIKELLGIMR >Solyc07g053460.3.1 pep chromosome:SL3.0:7:62030813:62033216:1 gene:Solyc07g053460.3 transcript:Solyc07g053460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPSYITFSNFMLLIFLTLCYVTNASQDDPIILNRYQNWVKKYRRKYQNEAEWNMRFGIYQSNIQFIDFFNSLNLSYSLTDNAFADMTNREFNSIYLGYEKPIQEQKDINNVTSYDISTLPIGVDWRKDGVVTPIKDQKSCGSCWAFSAVAAIEGINKIKTGKLVSLSEQQLMDCDVYSDNQGCNGGFMESAFDYIMENGGITTSKNYPYIGKEQKCNTKKAKQHEVRISNYEKVPPNEESLQVAINKQPISVAIDASGYNFQLYSRGVFSGYCGNMLNHAVTLIGYDVEENNGEKYWIVKNSWGTMWGESGYMKIKRGSSDNGGMCGIAMQASYPLMEEYV >Solyc11g007010.2.1 pep chromosome:SL3.0:11:1496925:1505732:1 gene:Solyc11g007010.2 transcript:Solyc11g007010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASDTIENMYDVALKPRLLRSLLKEYVPDLKHQFRNPSVLSYVVSAIKTHQLLSESAPPESDEKLIENWTAAVDSWINRVVGLASSDTPDKCWAGICLLGVTSQECSRERFIASYAAWFNKLLVHLQSPADSQFVKVATCASLSDLFTRLSGLPNAKKDGIALSTKLIQPLLKQLNEDKFDASWEEAIFLLCSILDIFPSSIQRHYDGVEEAIVSRLLSGKCNPSMLKKLGYCLALLPKSRGDEDSWVLMMQKIMLSINIQLNDVFQGLEKETIRTEAMRLLLPPGKDPPPPLGGQSLSRGTVDNTMRPEHLQISRISTLIFCCCELLTSSYPFQVAIHVLPLIALAKRVLMVDGSSSPGIAYMTTMKQEFFCSELPVLHSHILDLLTSTVKGLGSQLLPHVGSIIRLLTNYFETSTLPELRIKVYSIMKVLLLSLGVGISTHLTDVIVNNSLMDLDERGTSSVAQQNIYPDSTTKTSNKKRKHASTSSSLDEQCDKDVFEVEVCSNMASLSVKIAALEALEALLAVGGSRRSESWRVNVDHLLLDVTRNASKGGWAKDGRGSLVSKSPTSIWGDYQIAALRALLASLLSPGRTRPPHLSQGLELFRRGTREIGTKVAECCAHAILALEVLIHPRALPLLDLESTDNNYEVGNKWFSGNVNLSNRAANNTFHIGTSRKAPDEPDSYNDDLYADWMRNGEDVVTVPADPAKDTDTSNQPPETLRYPSSEKLPSFDTTAVKVSESSKLQQVAPITAAKKSPMDRDEIMVESQLSQKTSKHSEDLLPSKSNVVSPGSENITDNASRKEVAQASDAGFTSPLMMNLDRGKELMHESDNESMESIPDIVDVEPDSD >Solyc01g104570.3.1 pep chromosome:SL3.0:1:92925546:92929287:-1 gene:Solyc01g104570.3 transcript:Solyc01g104570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGAGRRRTNSAVPDRRHPPPTPINDPRQFISTGGRDSDASFASSRPSSAGVNTRSSAIPISDRSYQLSALRTINAYLSSHSLPFTLKHPLPSAKDITETLKFILSRFGFSLAESHKLEDDLQTLLKSLNCPVKLNKSALRAPGTPHSWPSLLAAIHWLVQLCKFDDYRMSSAQPLAPSNKELRYTVESYLHYFRGKDDQVDELDRQFMQELEQHKERLVEDVNVLEENVKAQEEKLEEMKTGPSERETLEKEKKNEELKKRVEEQGINSRDADRMKRELHALERDIGDIENQRIEWEEKAWDLDSAIGNMYKKLEELMIECNQSIRRLKLGNEFQYQLNPQGSSPAEVLGINYKKTLKPTLASFEDEMKKSSMGKMEELIYLQQQSSEKAMKLESKRNRLATLQAHIDDLEAQLDLVKKERLDFTSSCAIEAGRIVEEVEAETQKLDQVEREAADFLKASKAKLQETIAQTEEEVQLCAHELFAVVDSVSKYKEYITSKVGMMKNDLAEAAGTIADLHKAGLPVSDANH >Solyc01g049775.1.1 pep chromosome:SL3.0:1:46459797:46460325:-1 gene:Solyc01g049775.1 transcript:Solyc01g049775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIGHALLKCGRPFLWVFMEVQDAWGDSELVLATRGSETPFCWLFLTHCGWSSTLESIESKILIVVCPLWNDQVVTQNSFKIFGRMVLELMRFFVERDKFNRCITIVMRYYEEEKELRRSFNKLSDLDKEAMKENGTSSVNLKAFANEILLGHNEY >Solyc06g024386.1.1 pep chromosome:SL3.0:6:11253629:11254671:1 gene:Solyc06g024386.1 transcript:Solyc06g024386.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSTSSDRSCSPRAVMSCHARRRSTVCAVKGRGSVGGESERQRDESQWIVAARPLCHLQYPVAHLSHLQRILPAARLKLYFKAVTAMLLLRRLIQRHMPLGDIGPYCGSNKGTAGACVSSSLDSYVEAFSHNPTYGSFAPLAFQPSAMANCLNK >Solyc06g060013.1.1 pep chromosome:SL3.0:6:38066155:38068640:1 gene:Solyc06g060013.1 transcript:Solyc06g060013.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKVVNMNSIVDDTYDAYGTHDELVLLTNAIERWDISAMDSLPPYMKIIYQELLNIYDEAEEVLAKEGKSNSVIYYAKNEWKKLTAAYMKESDWLNAGYIPKCDEYMKNAVITATCMLYVTNSLVGMDELYMTKENIEWLTNGLLVARSAAAICRCMDDISDHEVNQQRGHVASIVDCYIKQYGVSKEEAYVEIRKMVTNAWKDINKEFVFHKEVPFLVLELGLNFARLVDTTFGDGHDEYTSPKSETKKMLSLLLVECIDI >Solyc02g032670.1.1 pep chromosome:SL3.0:2:29440440:29441374:-1 gene:Solyc02g032670.1 transcript:Solyc02g032670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEIESRKRLEAEMDWRRRMVTEIECSLRMETEMEWRQMSGPFSVTRAASMPPMETQTEWVKMSDPFSVNRTASLPPMETGMDWKERRDLQTQTCGDTQQNRWDKLKNVIVFEENEGNGTSSLPSPGGSGSVGSFGSAGTIDRTFIEGASGSSNAIPPVSGPLEKMQHLVLAAIEATNEQSPDFSGKEGIRNFLLKMPGVATKGDGPNGKKTEGFLYAYKRGGEVKIVCICHGYFLTPAEFVKHASGGDVENPLNAYNCRS >Solyc06g069220.1.1.1 pep chromosome:SL3.0:6:43101619:43103106:-1 gene:Solyc06g069220.1 transcript:Solyc06g069220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSFSDFISFIITVSFLPSALCRPSITSSLPHSQILDVSKSIQNTLQVLSLNIKSLQQQQQQQPLYSSSSSSLLSSSALSISIYPRSSLVKPQHTDYTSLTLSRLARDSARVSSLNAMLQLSPTNFTYSHRKSVRTMSGPGEFQTPLISGTSQGSGEYFARLGIGEPAKEFYMVIDTGSDINWLQCEPCDVCYQQTDPIFNPSDSSTYNQVSCNSPVCAALELSGCYTDTCMYQVSYGDGSFTEGELATETVSFGDSGSFPNVAIGCGHDNEGLFTGSAGLLGLGGGSLSLPSQVKATSFSYCLVDRDTDSSSTLEFNSAGPSDSVFAPLLRNSRRDTFFYIGLEGISVDDEMLQVPASIFQVDDNGRGGIIVDSGTAVTRLQSSAYSALRDTFVKYAQNLPSAGEFELFDTCFDLSSMSTVNVPTMALHFSGGQTLPLQAQNTVVPVDSSGKYCLAFAPTDESMSIIGNVQQQGIRVSYDLSNNLVGFSLDKC >Solyc02g078780.3.1 pep chromosome:SL3.0:2:43987921:43994942:1 gene:Solyc02g078780.3 transcript:Solyc02g078780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGHKMCGLYMKILFVFAVFAVQNCQGFTDPRDVFAINSLYTSLGYPLLPGWVPYGLDPCGDLWHGVLCVNSNVTGIVLNDSNLGGELSEGIGSFASIIQIDLSNNHIGGSIPSNLPITLKTLSLSGNQLTGSIPDNISSLGQLTDLSLNNNHLNGVIPDAFLQLKTLINMDLSGNSLSGQLPPSMGTLSSLTTLHLQNNQLSGILDVLQDLALTDLNIENNSFSGPIPPKLLSIPTFRRAGNPFNTTIIPSPPMISPSPAPFALSPGLAPSLPYIVPPGQELQPSEGQNSSNSTKHVKLIAIAGLVSLVILGLGVCLLMSQFCKRRRETQKETKRHETYDHSLPKAKGNPKHDQSLQNPCYDAEKGLRPVAGNGKAQGRNMSMNTSEAVLQKDVKSSISYKDDELGSEMESMISDVLPPPPPPFLQTLSAERMVVNPILPLITSGKHAMKNVNSAEFFTIASLQQYTNSFSQDNLIGGGMLGTVYRAESPKKLLAVKKLDTAMTKRQSDQEFVEMVSNISKLQHENIVNLVGYCSEHGQRLLVYEYCRSGTLHEALHLDDQIHRKLSWSTRVRVALQAARALEYLHEVCQPPIVHLNFKSSNVLLDDELAVHMSDCGLAPLMSSDSIRQLQGSGYGAPELELGNYTCQSDVYSFGVVMLELLTGRQSYDRSRPRGEQLLVRWAIPRLHDIDALSRMVDPSLNGCYPSKSLSRFADIISLCIQSEPEFRPPISEIVQNLLQMI >Solyc09g066420.3.1 pep chromosome:SL3.0:9:65063870:65076998:-1 gene:Solyc09g066420.3 transcript:Solyc09g066420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLNKDMGNSKSSLDFKNDGVRAMKNSSSEELDSYYPVRPECLADVPKTRFKARVGKTLSERRWKAAFSKEGYLDIAGVLRRIQRGGIHPSIKGAAWEFLLGCFDPDSTFVERNQLRQQRREQYAAWKSECQKIVPVIGSGKFITSAIVSDDGQPITSDDGQPIASDDGQTIASDNGKSIASDDGQPIASDDGQPIECATSTSNSPDNSDAMPAGNGDFDKKVLQWKLSLHQIGLDVVRTDRTLVFYESEANQAKLWDVLSVYAWMDKDIGYVQGMSDICSPMIILLENEADAYWCFERAMRRLRENFKCSTDSIGVQSQLSTLAQIVKTVDPKLHQHLEELDGGEYLFAFRMLMVLFRREFSFVDSLYLWEVMWAMEYNPNIYSSYDDKRQPLLSELLYDDKPNDKHKLKEYGKFERNNLKTGAEPNSALSVFLVASVLETKHRRLMKEAKGLDDVVEILGEISGNLDARKALDEALKVHKKYLKQAKKS >Solyc07g006370.1.1.1 pep chromosome:SL3.0:7:1194468:1196171:-1 gene:Solyc07g006370.1 transcript:Solyc07g006370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFHILSKYRTKILSLFFNIIFLVIISCLTFHFYSSNSNFYPNNTFTIPQKTNFLKSNDIGCKGIEKFVTSDEKCTYVKSHEGCKPNGYIFYLQLFYCTFSPILGYILLALWLILLFYLLGDTASTYFCSSLDGLSKSLKLSPIIAGVTLLSLGNGAPDFFSSIVSFMNDDTNDIGLNSIIGGAFFVSSVVAGVTSISISQHERKIKKSSFIGNVMFLTLCVVCLLVVIIIGKIHLWGGLGFFLLYLVYVCFIFASEMYVKQEEIGTNDDDSFDLPMVCDMKKGQELACELKVPLLVDEVSSGKRVCVLRKVGYVLELPLDLPRKLTIPNVSQEKWSKSFGIVSITLAPLLLVLIWDFFGPKSSIWACGISGVIGLCCGVLVFFTSDGLHPPKKFNFLWLGLGFLMSITWTYILAEELISLLVSMGLIFGISPSILGLTVLAWGNSLGDLVANVTLAKTGGPIGAQVALCGCYAGPIFNTLVGLGSSLIFTTWKAFPSSYIVPIDSTIYETIGFLLLGLLWALVILPKRDMRLDKFFGVGLLAIYSCFLFLKLARALGFIEFQGSP >Solyc03g112175.1.1 pep chromosome:SL3.0:3:64154848:64156199:-1 gene:Solyc03g112175.1 transcript:Solyc03g112175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYLNKYPDIIRRCATVIRLINDLGTSADELKRSDVPKSIQCYMNEKSVSEEEAREHINLLIKEITAHCMYQHGDGQGIQNSHIKNQISKLLFEPLIMRATVYTYLDRSPMTHLGELEGFDQIKR >Solyc01g010370.3.1 pep chromosome:SL3.0:1:5134920:5140792:-1 gene:Solyc01g010370.3 transcript:Solyc01g010370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSLFTKLSILFGHRPSVSWLVLCVVGVFGVIALLESSYSSTFDSVASSTNPDIYVSYRKLTKLVRNDYLDLNSLSVGANWIKDIGFCGKERENYVPCYNVSANTVTGLKDGEEFDRHCELSRGQPHCLVRPPKDYKIPLTWPAGRDIIWSGNVRLTKDQFLSSGSMTKRLMLLEENQIAFHSDDGMMVDSVKDHSHLIAEMIGLGSDAEFLQAGVRTVLDIGCGFGSFGGHLLSLKLMALCVAEYESSGSQVQFALERGLPAVIGDFISKQLPFPSLSYDMVHCAQCGIIWDNKDGLFLIEIDRVLKPGGYFILTSPTTRQQQDSSASAKKGSMSTPLEEFTKKLCWSLLGQQEETFIWQKTVNSQCYSSQLSIPICKGEDMQLYYQPLAHCISGTSSNRWVPIHDRSGPLNSTNIEIHGVDPDDFSEDSGFWKSALRNYWSLLSPLIFSDHPKRPGEEDPLPPYNMVRNVMDMNAHYGGLNAAMLEASKSVWVMNVVPLGARNTLPLILDRGLAGILHNWCEPFPTYPRTYDMLHANGLLSHISSQDCSMLELLLEMDRILRPEGWIILSDTLGPIEKARMLATQIRWEARVIDLQNGSDQRLLVCQKPFTRK >Solyc07g062860.3.1 pep chromosome:SL3.0:7:65621689:65636359:1 gene:Solyc07g062860.3 transcript:Solyc07g062860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEYSVDPAQLLEAATDFAYHPGALSDASAQDFLNRFPLPAIINALQTKADYPGLESALVDCLEKLFKTRYGASLIPHYMPFVIVGLGAESQKVRRLACQTVSCLLENIDEALVIQLIHEYGVYQLLLNCLISGDAEVAAASTDAIRKLASHSKGIDIIFPESGNEATNLLNFATNCAPLGRVRVLALIVKLFSISTSVASRVYSSNLLSLLETEISNSDDTLVTSSSLELLYELADVEHSTEFLSRTKFLQILTSIISDASAESILRSRAMMITGRLMARENAFVFIDESGCRNLISAINGRFNLLENQNADECECALEALGQIGLCYEKPVLGCSFIIVGNCHWC >Solyc08g008300.1.1.1 pep chromosome:SL3.0:8:2718682:2718837:1 gene:Solyc08g008300.1 transcript:Solyc08g008300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTSQDGILQVTSKNSKIQVMWRYLQLKLWGWYDPIVFCSTKRDCNWNS >Solyc10g049555.1.1 pep chromosome:SL3.0:10:46023984:46024770:-1 gene:Solyc10g049555.1 transcript:Solyc10g049555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKYIGIWCVCFSLKFEGTIINHQILIEVSNCVESINGVKEGGWKNTFCFYKSTIKGISSLLNKSRLFKSTSIALYDI >Solyc03g044050.2.1 pep chromosome:SL3.0:3:7884672:7887900:1 gene:Solyc03g044050.2 transcript:Solyc03g044050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLRLDIDQIELTTRDWICKIQIVEIRRQRESLDKKCRFQNLILEDEQECQIKAVLYADEIEQYEKMLKLKPLPPPTKLSITTFNRIPHMMLDSAVEIDILAIALRCGSQKYAGHCHHKCREIIICDNQKNQFLLTLWEDLGEIEGNEIEAKME >Solyc08g076595.1.1 pep chromosome:SL3.0:8:60672531:60673099:1 gene:Solyc08g076595.1 transcript:Solyc08g076595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSNVYVHPGPIEHDVLKIQANHRSEGIWNGSIKEERSCLYTRRGILDVGCMTYDSRLISALIERWRSETHTFHMRTGETTITLQDIKILFGMVVDGSPIILNRVDSLGIIGRQEMIFELTGWLADTSYFSGVSRLLTYKLIDYIEGLDGINDHSTEHEV >Solyc10g008050.2.1 pep chromosome:SL3.0:10:2194379:2194892:-1 gene:Solyc10g008050.2 transcript:Solyc10g008050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCRVSGCRPLAFLLSLPFALLSLLVSIIGVIVWIIGLSSSCICPCCLCVTVLVELALKLIKAPIHVMEWFTNQIPC >Solyc10g077030.2.1 pep chromosome:SL3.0:10:60039871:60049610:-1 gene:Solyc10g077030.2 transcript:Solyc10g077030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4D245] MSSIGTGYDLSVTTFSPDGRVFQIEYAGKAVDNSGTVVGIKCKDGIVLGVEKLIASKMMLPGSNRRIHSVHRHSGMAVAGLAADGRQIVARAKSEATSFESVYGEPIPVKELAERVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYLVEPSGVSYRYFGAAIGKGRQAAKTEIEKLKLSEMTCRQGIIEVAKIIYGVHDEAKDKAFELEMSWVCDESNRQHQKVPDNLLEEAKAAAKAALEEMDAD >Solyc02g091860.3.1 pep chromosome:SL3.0:2:53739245:53744579:1 gene:Solyc02g091860.3 transcript:Solyc02g091860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYMKLQLLILISFFLFIVPASSSPRDIAILLRVKSAQLDDPNGLIADWNGSAPNAPCSWNGIKCDRRTGQVLSIDFGSFGIAGRFPADFCRISTLQELNLGDNSFGESISSDSWSLCSHLHLLNISLNFFVGRLPEFVTKFDNLTVLDANSNNFSGEIPASLGRLPKLQVLNIANNLLNGSIPEFLTNLTELTRLEIAANPFKPGPLPSSIGRLGKLRIFYARFASLVGNFPDSIKDLKSIQDFDVANNNLSGKIPESFGKLKTIQQIELFGNHFSGELPDMFSGLGSLSRFDASENNLTGKIPETLTHLPLESLNLNDNQLEGEISENLALNPNLSQLKLFNNRFSGTLPQTFGLSSDLDEFDVSGNNLEGSLPPNLCSRKKLRILNLFDNKFNGPIPESYGQCYSLSYVRIYNNQFSGELPTGFWGFDGYTFLELRNNNFQGSIPASISNARGLTQILISGNNFSGELPAEICNLEEVVFMDISKNQLSGQLPSCITRLKKLQKLDLSQNRIRGQIPKSVSSWNELTELSLADNQLTGEIPGELGMLPVLTYLDLASNLLSGEIPSELSKLKLNKFNVSNNRLEGKVPLGFDNDFFVSGLLGNPDLCSPDLKPLPQCRRPKSVSLYLVCILSAFAFILVGSLVCVLLKASKLLPIRSKRKSVWRITAFQRVGFTERDVLDALIEKNLIGAGGSGRVYRVKLKNGQMVAVKKLWAAKRERESEEVFRSEVETLGRVRHGNIVKLLYTGIGDDFRILVYEYMENGSLGDVLHGEKGGLLLDWPRRFAIAVGAAHGLAYLHHDSVPAVVHRDVKSNNILLDEDFRPKVADFGLAKAMRGDAEESDQAMSHIAGSYGYIAPEYAYTLKITEKSDVYSFGVVLLELIIGKRPNDSSFGEDKDVVKWVLEVATSSKKDEGTGHIVTCAGGILDLNQLVDQRMNPSASDYAEIKNVLDVALLCTSALPINRPSMRRVVELLKNIPSARSKTTH >Solyc06g063090.3.1 pep chromosome:SL3.0:6:39961453:39965746:-1 gene:Solyc06g063090.3 transcript:Solyc06g063090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDYSATHITVDNINPKVLKCEYAVRGEIVSIAQTLQQRLKDNPGSHPFDEVLSDILSFLDLLCTHSSDYVQILYCNIGNPQALAQQPITFFREVLALCDHPSILDKSETQGLFSADAIERAFQILEQIPGRATGAYSHSQGIKGLRDTIASGMGARDGFPADPNDLFLTDGASPAVHMMMQLLIRSENDGILCPIPQYPLYSASIALHGGTLVPYYLDEQTGWGLEISELEHQLKTAKSKGIDVRALVVINPGNPTGQVLGEANQREIVEFCRKEGLVLLADEVYQENVYVPDKKFHSFKKVSRSMGYGETDITLVSFQSVSKGFYGECGKRGGYMEITGFSPEVREQIYKLASVNLCSNISGQILASLIMSPPKVGDESYESFSAEKEAILSSLARRAKTLEDALNSLEGVTCNRAEGAMYLFPCINLPDKAIKAAEAAKTAPDAFYAKHLLNATGIVVVPGSGFRQVPGTWHFRCTILPQEEKIPAIVSRLTEFHKKFMDEFRG >Solyc07g005680.3.1 pep chromosome:SL3.0:7:560812:562077:1 gene:Solyc07g005680.3 transcript:Solyc07g005680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMYIYTPLSYLGDIHNIEIISAMASQIRANYYSFFMSQFLLLTIISVVIALTTKEQEMDRITTLPGQPPVTFSQFSGYVSVNEGHGRALFYWLTQATTHHEKKPLVLWLNGGIGFGPLLV >Solyc05g006320.3.1 pep chromosome:SL3.0:5:965976:969832:-1 gene:Solyc05g006320.3 transcript:Solyc05g006320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGGIMELVFSFPIRDKVVEDQPTVDSFLHSLGLEKYAIHFKAEEVDLYSLKQMGDNDLKELGVPMGPRKKILLALASRARRQV >Solyc11g017050.2.1 pep chromosome:SL3.0:11:7851405:7859395:1 gene:Solyc11g017050.2 transcript:Solyc11g017050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTVTWGVVQGRKEKLVSRVIISDYLRSIGVIPDELEELELPSTVEVMRERVEFLQKIGLTVDDMNEYPLMLGCSVRKNIIPVLTYLEKIGLQRSKLGEFIKIYPQCLHTSVVVELVPVIKFLRGLDVEKQDIGYVLMKYPELLGFKLEGTMSTSVAYLVSIGVNPRDVGPMVTQYPYFLGMRVGTTIKPLVDYLVSLGVPKKILARMLEKRAYLLGYDLEETVKPNVNCLLSFGLKKDTLPSVIAQFPQILGLPLKAKLSSQQYFFNLKLKIDPDGFARVIEKMPQIVSLHQHVIMKPVEFLVGRGFSTADVAKMIVKCPQLVALQVGLMKNSYYFFKSDMGRPMEELLDFPDYFTYSLESRIKPRYQRLQNKGMRCSLGWFLNCSDQRFEERLYGDYIEPESSGPSFCMGGKLELPGNEIVSEEEDESFTPTEVASIEGIVSDVICIILTLFLSMGFVSGPVFLNKDRKLLQAKCHSKTDKLSPQESLLKTLPRVSNSCYPEGGLKTSNDDENALELVSDLLGSSKSSENLPRSQAIEINPFPTSSLRGVCANHSGFGLNDPFSLNLMKNLCLTWVLVTQHLLTNSGVHYRQYSRLSWISNQLNLVVPVHFAKGSDSEEMEAGDHLAYTADLLVPFHIHLDLLLRQLPGSQMCQLSKMWTRNWTHHQLLQSVTQKQLEAEVAEVCKVDQNMSTDEKIDQPKSSIDCLVDGHATPGELLDMTLAQSSIETRDNSGTGPVTSGQENPYDIHGNVNLACKSLLLIGNGSGSEAEKEAAYTVG >Solyc01g094890.3.1 pep chromosome:SL3.0:1:86166885:86174418:-1 gene:Solyc01g094890.3 transcript:Solyc01g094890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITSSLLLYISNLYSTKANFVTRKMEVMNRRSSSFSDGKIRMIQGAILALALTIFLGNLMMWIIMPTFTYYQKWVPVLVAATNSTFFDIQGPIMMNFTFPILFIAVLGCLYVHLEKKKSDIVTSSYWYMIYIFFYFGFNKDWLKILKKPKIIKSLGIVTWIELFFLAMFIILCVWYFSAFVYFHYSTITTYAATKGVEVWQARIDRLALVIGLTGNICLTFLFYPVSRGSSILPLLGLTSEGSIKYHIWLGHMAMTLFTIHGLLFILFWAVSGRLHEMLTWNPHYISNVPGELGLLVGLILWATTFPAIRRRMFEVFFYTHYLYILFMVFYLLHTGMFYACIMLPGFFLFLIDRYLRFLQSKQQVRLISARVLTCETVELNFSKISGLEYSPTSIMFLNVPSISKLQWHPFTVTSNSNLESDTISVVIKCEGSWTKKLYSVMSLPKPVDRLDVSVEGPYGPASTHFLRHDTLVLISGGSGITPFISIVRELIHMSSTLKCKTPKILLVSVFRNTSQLSMLDLLYPIAGTPSGCSSNLDLQVEAYITREIEPAQEKSEPLRTILFKPDHSDAPITPILGQNNWLWLAAIISSSFALYLLFVGLLYQYYVYPMDHGTNQVFPYHTRALFNMLFIAAAIVITASAAFLWNKKKSARETKQIQDMADSAKSLNSLIAYADQELESLPQQSLDKSIKTHYGHRPDLKRILLEVKGSSVGVLASGPKTLRHDVAAICSSELVENLHFESISFTW >Solyc06g071110.3.1 pep chromosome:SL3.0:6:43834837:43842508:-1 gene:Solyc06g071110.3 transcript:Solyc06g071110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYNILKVNRNASEEDLRKAYRRLAMIWHPDKNLGTNKYEAEAKFKQISEAYDVLSDPQKRQIYDLYGEEALKTGQVPPPPRGGPHNMRNPHPNPSFRFNPRDADDIYAELFGASSSENNGRARKTRDGFFRSTTNGGAEFSGAGNSSTGGLRKAAPVENALLCRLEDLYKGAKKKMKISRTVLDSSGKLRTLEEILTIDIKPGWKKGTKVTFPEKGNEEPGVIPADLVFVIEEKPHHVYVRDGNDLTVNQEISLLEALTGKTLELTTLDGRNLIIPLTDIVKPGHEIIVPNEGMPISKEPRKKGNLRIKIDVKYPTRLTEAQKSDLRRVLGQG >Solyc05g021240.1.1 pep chromosome:SL3.0:5:26747545:26748928:-1 gene:Solyc05g021240.1 transcript:Solyc05g021240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRPSWPSSSNRGRGRGRGGRTNNNVLAQIGNQKLIAATITRTPASTSTGISGIEASNPIYQEFMDFIKSRQQEQPSYSAVANEEVVENIEVYDQNDLDETILILDQRDLQWKDEPWQIMTRKIQMKGGVISKYEILAFYMEEVKSDLMKHLGKSIPKNATDNMSVSTSNEDGLSSWRIPGRRRNGRRIIPATIQQSNGRIFQFKK >Solyc09g090240.2.1 pep chromosome:SL3.0:9:70251664:70256035:1 gene:Solyc09g090240.2 transcript:Solyc09g090240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSRGFLHRDIKPENFLMGIGRKANQVYMIDYALANKYRDHETHEHIPFRENKRPTGTARYSSVSTHLGFEQSRRDDLECLGYVLMYFLRGSLPWQGLKADSKKQKYDKISEKKMLTSIEIVLCESYPSEFISYCHYCRSLPFEDEPDYSYLKRLFRHLFISEGFQFDYVFDWTVLQYYSKVSSSSRAQQIARSPEKIPEHAY >Solyc12g008605.1.1 pep chromosome:SL3.0:12:1999741:2000764:1 gene:Solyc12g008605.1 transcript:Solyc12g008605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASVHFICQFVCSLVQDKRLSLAKIGKFTQLGLLDSRAKSQTENLDIHNTFHENKAFVEYSLLLVQRHVLQMVHFGEDLFTKKEDFMIN >Solyc02g089510.3.1.1 pep chromosome:SL3.0:2:51952173:51952692:1 gene:Solyc02g089510.3 transcript:Solyc02g089510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKVKKTYTVTHRSRVTESSVRIVILHPQLKILVVTLLLLLLLLVKN >Solyc11g044455.1.1 pep chromosome:SL3.0:11:32970109:32984224:1 gene:Solyc11g044455.1 transcript:Solyc11g044455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGEDQQPAIGEHLAAAEAATTLSEPQAVSSSNQRQQASNSARGWDTQIEAQCYQICSRNTDLRRSHTPVIDRSLITALVERWRPEPHTFHFRTGESTITLQDVEILYGLPVKGNPVGYEPQRSVADWLLGFSPQPQDLKHSSLKDMVNEKARCYMFWMIAGILLADTSSGLLKLMYLLMLEDITTLGSYCWGSATLTYLYRFLCKASQSSQNEIVGFLPLLQERVTVLRPQIVAKRDTRNNFPVGLPRGPHASR >Solyc02g071930.1.1.1 pep chromosome:SL3.0:2:41862701:41863579:1 gene:Solyc02g071930.1 transcript:Solyc02g071930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKIIKRTPTKSIKNRRNLPGHLRRSRKKSPAKNPASVVVASINKSLYTCHRRLIKLFTKFTRVATPKKTPRKQGYQLLGKVFDEPANGNNLRRSLFDDGNVLPPLVSPEKKTIFLDLDETLVHSNPNPPPEKYDFIVRPVIDGHRVEFYVVKRPFMDEFLEFLSEKFEVVVFTAGLKEYASLVLDRIDRKGLISHRLYRDSCKEVDGMFVKDLSDKGRDMKKVVIVDDNPNSYLFQPENAIPIRPFMNDLADGELKKLIEFLGGCNEVEDMREAVKVYLAEEEGYTSVEI >Solyc12g044520.2.1 pep chromosome:SL3.0:12:60451176:60455378:-1 gene:Solyc12g044520.2 transcript:Solyc12g044520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSVQDLLPPSLDSTSQPPSLFDGTTRLYINYQCPYSQRVWITRNVKGLQDMIKLVPIDLQNRPDWYKENVYPKNKVPSLEHNNKVTGESLVLVKYVDCNFEGPSFLPDDQEKRKFAEELIAYSDTTFVPEVYRSFAKDARTLAGAQFDYLEKALHKFDDGPFFLGQFSQVDIIYAPFVERFHVFMPEGFNYDITTGRPKLAKWTEEMNNLDGYKQTKVLEQEKMIEYYKNRFLPKA >Solyc03g093617.1.1 pep chromosome:SL3.0:3:56573839:56574741:1 gene:Solyc03g093617.1 transcript:Solyc03g093617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELKELRKKSKESSRPVYLIDLGRPSTSPRGTSGKATTKCASQKDEPKTANTLEEHVENLRNVFQVLRENQLYVKREKCEFTQPEAKIKEIQEWKALTKVTELRLFLGLVNYYRRFISGYSAKVAPFTELLKKNNLWVWNEEWQKAFKGLKAALTKEPILTLPDFSKTFKIHTDASEFAIG >Solyc03g123410.1.1.1 pep chromosome:SL3.0:3:71770205:71770831:-1 gene:Solyc03g123410.1 transcript:Solyc03g123410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLLFLLAILLLSSDAAVQDFCVADLKAPESPSGYSCKSVTNVTVDDFVFSGLSAAGNTASIIKAAVTPAFAAQFPGLNGLGLSAARLDLAPSGVIPFHTHPGASEILLVVQGSITAGFVSSANSVYLKTLKKGDLMVFPQGLLHFQVSDAGYTSVGYVFFSSSNPGLQITDFALFANDLPTKLVAATTFLDEATIKKLKGVLGGTN >Solyc05g052660.1.1.1 pep chromosome:SL3.0:5:63726653:63726994:-1 gene:Solyc05g052660.1 transcript:Solyc05g052660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSGSDSRASIVSGDKITSKSTLPPPSLPGNADIFPSNLLARPLRTATGLPHPKSFSYIGNLGELPIVSIAPSKFPNGKHRGSFSQSPTNFLTIKSSCAFTFLFNCSAHQRRS >Solyc09g009570.2.1 pep chromosome:SL3.0:9:2988351:2993061:1 gene:Solyc09g009570.2 transcript:Solyc09g009570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLIKNIFPIDTRGHTHNLFSQNHVSTMLKYNNNFSSFENHKRRRKKSCYIMKSQKIEHPRRYFQLKAITNDEAEDEKQIIISQKGNNGIGIIDFFEGKNIFVTGGTGFLAKALIEKILRTTPKINKIYLLIRAKDKEAAFHRLKFEIMESELFKCPEEIHGESYKLFIQNKLIPIVGNIYEPNLGMDIITSQQIAQQIDLIVDSAAITTFDERYDLALDANHMLMEKEKD >Solyc02g091520.3.1 pep chromosome:SL3.0:2:53427742:53429331:-1 gene:Solyc02g091520.3 transcript:Solyc02g091520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLGLMRVRIHRGINLAIRDVTTSDPYVVVRMGKQKLKTRVVKKNLNPEWNEELTLSITEPILPIKLHVYDKDIFSLDDKMGDAEVDIQPFIDAARKRYKNIPSGTIITKIKPSRQNCFSEESSIVWENDQVVQNVFIRLRNVERGEIELQLQWIDIPGSKGV >Solyc03g006520.3.1 pep chromosome:SL3.0:3:1093683:1102513:1 gene:Solyc03g006520.3 transcript:Solyc03g006520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEVPNTVGYANGDLKSKNTDANAGSRKSKANERGRRRRKQKKNNKVPSGRVDSDTAAANEANGGVGDTDKENSDLQKSLVEQVEVEYVPEKAELDGELDEEFRKVFEKFSFTAATGSEENDKKVETAADAALKKKDDSDSEEEQDAQPREKGISNKKKKLQRRMNIGELKQICTRPDVVEVWDATAADPKLLVYLKSYRNTVPVPRHWSQKRKFLQEGGLDIGGGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLSSHGDLYYEGKEFEVKLREMKPGSLSHELKEALGMPEGAPPPWLINMQRYGPPPSYPQLKIPGLNAPIPLGAKFGYQPGGWGKPPVDEYGRPLYGDVFGVVQQDHPNYEDEPVDKTKHWGDLEEEEEEEEEEVEEEMEEDELEDGIQSVDSLSSTPTGVETPDVIDLRKQQRKEPEKPLYQVLEEREEKIAPGTLLGTTHTYVINTGTQDKTAAKRVDLLKGQKSDRVDVTLAPEELELMDNVLPAKYEEAREEEKLRSQREDFSDMVAENERKKKRKLQEKDGKSKKKDFKF >Solyc08g082520.1.1.1 pep chromosome:SL3.0:8:65404516:65405052:-1 gene:Solyc08g082520.1 transcript:Solyc08g082520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGWAELSMDLLAMIANRIESPKDFLFFTCVCTSWQIATTPNHKFDIFFTKTPLLMLADKHDDCREFYSLIKQKVTPLFLPEARQRYCFPSEGWLCTMDDTTGEMNLLHHLSRTQIQLPSRDNLMASNGLGDEVFWNLLEHAILSASPCVTSDYVLVVNYHANITRLAFWRSQLNKY >Solyc11g064835.1.1 pep chromosome:SL3.0:11:50349845:50351339:-1 gene:Solyc11g064835.1 transcript:Solyc11g064835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENGDLVVSIVVREFDAKNDSKEVEEVERRCEVGPGGKLSLYTDLLGDPICRVRNSPAYLMLVAEIVVHNGQNEEKSIVGMIRGCIKTVTCGTKLSRSGVKNSSDSAKPLPIFTKLAYILGLRVSPAHR >Solyc08g080960.3.1 pep chromosome:SL3.0:8:64209646:64214651:-1 gene:Solyc08g080960.3 transcript:Solyc08g080960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIREGMALSGSAAYYLNRGISGSGSNVGSGSGTPSGVSTPSGYKSLTNANIAVQSNMGSSSGNVNSGYQVENSSSNFGHGVNISMASSVSPGSDPVKKKRGRPRKYGPDGTNMSLALSPLSSNPPSGSITPGPKRIRGRPPGSGWKQQLASVGEWMSSSAGLAFTPHVIHIGVGEDVAEKLLAFAQQRPRALCILSANGAVSAITLRPPANSGATVAYEGRFEILSLSGSYLVAETGGPRTRTGGISISVCSPDGHVIGGAIGGRLIAASPVQVVVCSFVYDPKGKSKPESSTRDEQESAEKSSTPGSGRSVWPPSSRADVRNSQTEIDLTRG >Solyc03g096007.1.1 pep chromosome:SL3.0:3:59257254:59258558:-1 gene:Solyc03g096007.1 transcript:Solyc03g096007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNILAHHEKNRSIKVDYIRSGWSVSHAFNECLSAILKLTPLLFVNPKPVLEDEIKDRWKWFEVGSAADDRVLRDAIVRTNGLKIHEGNYYLCDGGYTNGKRFFSPYREVDPLDVDIEEQMEYQHENINVIESSEEWTTWRDELAQSMRNE >Solyc05g053620.3.1 pep chromosome:SL3.0:5:64541894:64543334:1 gene:Solyc05g053620.3 transcript:Solyc05g053620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAWLGARELSIEWADNPQHWAWNYIHNSSVEVAELLNVCWLDIRGKIDTSRLARKTSYSAYLVFRLTDNHRELERGIASVRFVKDKVEGTDEEGYTVFISKAKGHEGERGIFAYPRSDAWLEVKLGEFFNNFGEDGEVEMRLMENKNPNWKSGIIVKGIDIRPN >Solyc10g084740.2.1 pep chromosome:SL3.0:10:64277726:64280776:-1 gene:Solyc10g084740.2 transcript:Solyc10g084740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYQEYIMKNRRLSDDKQGNSSVASEEKIHDVSQAQIIKKNVKRNGSDVKDKVCFKRKKAKGPNPLSVLKKKKKPVVTNDKKLNNGEGSVKRKRRRKTSQKEDETQDGDLRGSLRVIPCVPLISALEEESFVEDPSPSQSQFAKSSEEERLPITDLEDQITNINVERKDKVRKRKKAKGPNPLSVLKKKKKPVVTNDKKLNNDEGSVKKKRKRKTSHKEDVVVEGIHQ >Solyc11g070140.2.1 pep chromosome:SL3.0:11:54954152:54962032:1 gene:Solyc11g070140.2 transcript:Solyc11g070140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQYEKIGKIGEGTYGVVYKAFVRETKEIVALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLLDVVHSERRLYLVFEYLDLDLKKHMDSCPEFSKDTGVVKSFVYQMLRGLAYCHSRRVLHRDLKPQNLLIDPRTNVLKLADFGLGRAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSAGCIFAEMVNQQPLFPGDSEIDELFSIFRVVGTPNENTWPGVTSLPDYKSSFPKWSPKDLATLVPNLDTAGFDLLGKMLCLDPSKRITAKSALEHEYFKDIGRVR >Solyc10g086600.2.1 pep chromosome:SL3.0:10:65493299:65495421:-1 gene:Solyc10g086600.2 transcript:Solyc10g086600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYLIIVFLYYLFLIMSLGDFVSCTEETKVYIVYRGEHNGDKIWKEIEDHHCSFLQGTTSKEDVRTSLVQSCKNVINGFSALLTPQEADIISGMEGVISVFHSDPDTTRSWDFVSLLEGTSLINYGEELSKNGNYGEDIIVGVIDTGVWPESSSFNDKGMGPVPKSWNGTCQEGFAFNAPHCNRKLIGAQYYLKGYEAHYGPLNETRDFRSPRDVDGHATHLAVVNASAIGGFAKGTATGGAPNVRLAINKVCWPVPTKTLAQGNTCLPDDTLAAFDEDAIANGVHVLSISLGSRPIKTYYRQNSIAIGSLNVVKRNIVTVLTLLVHLL >Solyc08g077140.2.1.1 pep chromosome:SL3.0:8:61166197:61168332:1 gene:Solyc08g077140.2 transcript:Solyc08g077140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKFSTGLDIHCSIPYTSDTKLLYGSSSSSTKSSRLSIKRFTVLCNSTSKSPKPNLDLDSSRKKPSLSEQLKPLSNTILDDPPNQARILSKPKSTWVNPTRPKPSVLSLQRQKRSSYSYNPQIRDLKNFARRLSESHFSDDAFLAVLEDIPHPPTRDNALLVLNSLRPWQKTLFFFNWIKTRNLFPLETIFYNVAMKSLRFGRQFHQIEELAFEMIDSGVELDNITYSTIITCAKRCNLFDKAVEWFERMYKTGLMPDEVTYSAVLDVYAQLGKVEEVMSLYERGRASGWTPDPVAFAVLAKVFGAAGDYDGIRFVLQEMKALEVQPNLVVYNTLLEAMGKAGKPGLARSLFEEMVDSGLTPDAKTLTALIKIYGKARWARDALDLWERMKSNGWPMDFILYNTLLSMCADLGLEEEAETLFHDMRRSENCRLDSWSYTAMLNIYGSVGNAEKAMALFEEMSKVGIELNVMGCTCLVQCFGRAQRIDDLVKVFEVSVQRGVKPDDRLCGCLLSVVSYCKGDDADKVLACLQQANPRLVTFVKMLEDESTSYDIVKEEFRSILTNTSDDARRPFCNCLIDICRKRNRAERAHELLYLGTVYGLYPGLHTKTPEEWRLNVRALSVGAAQTAFEEWMRTLAKIVQSEEPLPEVLSANTGAGTHKFSQGLANAFASHVEKFAAPFKQSEEKAGFFIATREDVVSWVHSKAAANA >Solyc02g014820.1.1.1 pep chromosome:SL3.0:2:19016782:19017084:1 gene:Solyc02g014820.1 transcript:Solyc02g014820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFHEKIPIEVEGFFKQQGTGSTIQSNDIKHVSHLFSRNKWATSLPNRAQFHMWQFRQDLFVSWGKNPPKSDFLRNVSRENWILLDNVLLLNKDRFFSK >Solyc04g007280.3.1 pep chromosome:SL3.0:4:989599:1002612:1 gene:Solyc04g007280.3 transcript:Solyc04g007280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSTNAFGQSSSSPFGSQSGFGQTSNNPFAPKPFGSTNPFGSQSGASFFGSTSTGVFGTPQSSSPLGSTSVFGASSSPAFGASTTTFGSSSPAFGSSSVFGQKPAFGGFGSSSAQTSPFGSSFQQSQPAFGSGLFGSSTPFGTSSQPAFGTPSTPTFGSSTTPAFGAPTSTPAFGTSSTPAFGSTPNPTFGSTSSPFGVTNPPVFGSGTPSFGTTTSSAFGSTSTPAFGTPSAPSFSFGSSPAFGQSTSAFGSSPFGTTTSAFGAQSSAFGAQTSASSFGNPSFGQSAVGGQRGGTRVAAYQATPDADNSSGTQPAKLESISAMPVYKEKSHEELRWEDYQLGDKGGPAPAGQSTGGINFGSSAFASSSTSPFGQSSANPFTSSTSSNPFAPKPSTFSTPNFGVPTTPAFNTSAFPSSNTSNPFGSTSSTSPSLFGSTSAFGQSTSPTLFGSASASGFGSSTSIFGSSSAQNTASAFGPSLSFGNTQSSPLFQSTTPSFGQTNSAFGQTTSSFAQTTPAFGQSNLFSTPSTGFGSNLFSSAPLLNTSSTMGFGQTTPSLSTPFQLTQPSHSSAFGFNNFGQTQGAAASGFGGTPSLFSQPPAIQSSVVAQPSVVTNPFGTLPAMPQMSIGRTGTSSSIQYGISSLPVVDKPVPVRISSLLTSRHISQRRVRLPARKYHPKTEGTKVPFFSDDDETPSTPKADALFVPRENPRALVIRPVDQWPSRGGVEKVSPSKHMSIPAHENGKTAEVVCAPVNEASAKDKNKDPVENGLDKEGLDSTKLNQKPNGLHEGQHLRKGGGSSITLTGHRAGEAAIVYEHGADIEALMPKLCHSDYYTEPRIQELAAKERAEPGFCRRVKDFVVGRHGYGSIKFIGETDVRRLDLESLIQFNNREVIVYMDESKKPPVGQGLNKPAEVTLLNIKCFDKKTGQQYTEGPRIEKYKEMLKRKAGDQGAEFVSYDSVKGEWKFKVQHFSKYWLHEDNEDEYSEYFDA >Solyc05g050160.1.1.1 pep chromosome:SL3.0:5:60993839:60994390:-1 gene:Solyc05g050160.1 transcript:Solyc05g050160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSYFSSFLRPFRVLLYCPVCLGMFHIHFQFMYHVQNIHRLVSEQNIILQSSVYGFDTFVPGNPPSTQHLAPQQASLLRNNVVIRQTTSLNRDHPIRKDRALRIDGQQMIRYNIIVSVMKGSTSIMDRQQMERRNIIESSNGAIIESWRSLINGVDVPNSSNVDELVNILEEPTDLDLNLRL >Solyc06g007150.3.1 pep chromosome:SL3.0:6:1210913:1215832:-1 gene:Solyc06g007150.3 transcript:Solyc06g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKSGIFVGKRKKVFPQRDMESKVTIGTSHRRKPERKMDSETQEKFSNSRKNTVHGERRKFNNTEGKVKCVKMGEENVTVRKQSGSKQKTELFSRKKVQDKKNLGLTEERPKKKKKRGIRLDRHDTSNKRLDDGVTSIDNNKEKQEDSAKTKNVELSKNAQFRAICPSPSILSFVEDNLLGRRRDIQIKRAGYNIELSAPLDNIPFSTSSERERIEEPVFRNRLEFFAAAKVSSSFPPADLPEIAFAGRSNVGKSSLLNALTRQWGVVRTSDKPGLTQTINFFTLGSKMCMVDLPGYGFAYAKEEVKEAWEDLVKEYVSTRIGLKRVCLLIDTKWGMKQTDHELVDLMERAQTKYQIILTKTDTVFPIDVARRAMQIEEKLKANKSVVQPAVMVSSKSGAGIRSLRTVLSKIARFVKP >Solyc03g120310.3.1 pep chromosome:SL3.0:3:70228384:70234101:1 gene:Solyc03g120310.3 transcript:Solyc03g120310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNVVRLSPLRLNGPVPAKSTLSGRSTPRGGSPSFRRLNSGRTPRRDGKSSVFGSQWFRSNRIVLWLLLITLWAYGGFYVQSRWAHGDNKEGIFGGSGGDVANGTSQPEEKNQRILVANEESLAVKPPSNKTQGNSMDLDVVLAKQGNSVVSDKGASPKKKSKKSTRASRRKTRGKKKVVAEVKSDDIEIQEEEIPKRNTTYGLLVGPFGSIEDKILEWSPEKRTGTCDRKSQFARLVWSRKFVLILHELSMTGAPLAMLELATELLSCGATVYVVPLSKRGGLMSELSRRKIKVLEDKSDLSFKTAMKADLIIAGSAVCASWIEQYAARTVLGSTQITWWIMENRREYFDRAKLAFNRVKKLIFLSESQSKRWLAWCEEEHIKLKTQPALIPLSISDELAFVAGIPCSLSTPLFSPEKMLEKRQLLRDFVRKEMGLTDNDMLVMSLSSINPGKGQFLLLETTRLLIEGAPPLYGSAVKRREYQKRTLLYNWKQFGEWKKESSTLSNNQETEALQVPQLFIKGVNYTAGIENDRGTRKLFSLPEGKQGEKLKVLIGSVGSKSNKVPYVKALLNFLNQHSNLSNTVLWTPSTTRVAALYAAADAYVMNSQGLGETFGRVTIEAMAFGLPVLGTDAGGTKEIVEHNVTGLLHSLGRPGTQVLAQNLQYLLNNPSERQRLGSNGRKKVKDMYLKKHMYRRFGEVLYDCMRIK >Solyc12g049447.1.1 pep chromosome:SL3.0:12:62007497:62008907:-1 gene:Solyc12g049447.1 transcript:Solyc12g049447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDEAFPSEEVSQSLANSHSLTNRPSHSSRNSSDTNPRCSILTLLVPDSEQPVELLHEMATPLNVNEQLQLDDGIEKSEGSYFRSLVIFCRNDFKVMHRPSKHHLGAAKRILRYVAGLQDLDYAITWSSKKQSTTVLSSSEAEYVAAASSTCQVL >Solyc02g090500.3.1 pep chromosome:SL3.0:2:52675107:52682560:1 gene:Solyc02g090500.3 transcript:Solyc02g090500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRNWKRECTVCFAIVRNHQQKRLWMLLLGWVFKLPLKILCLSWAWGVEGFDAEAAKKRFFEIYLLKVIEISYIYEYLLSKQISLGNHL >Solyc12g026405.1.1 pep chromosome:SL3.0:12:23151050:23180728:-1 gene:Solyc12g026405.1 transcript:Solyc12g026405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYLVAHGPRIQQLEFDLADCKQRGVDSLALLIAIYQYLGRLRSSKHFPGHGEKLNTDIWTPQIVN >Solyc10g074475.1.1 pep chromosome:SL3.0:10:58084340:58092612:1 gene:Solyc10g074475.1 transcript:Solyc10g074475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDDEPVIVQENANRAANIGQEIDYNHPLFLSPSNVSGNQIISFQLTGNYESALYSRTNGNQKFTRNSHLYCDVCKIRGHNKDNCWKIVGYPPEFKFKKRKISEGGSAAYNVSAKENTQNEVLRLKMDNLSSSMVLIQMCLIMEKMLAQSSHQVNQNSMPNTAANTAGMTKSLAMNVSHKPNWIVDTGATNHMTSSLELLDKLSVNKLGYNRTVELPNGDETKSMFIKKDESGMVIILVYVDDLLVTGDSLRIVKETKEKLKQVFKMKDLGELRYFLGIEFARSDQGILMHQRKYTLELISKTGLSSSKPAATPMDTNVKLTTKQLDEYIRLRNPEKVNSNDQLADQGAYQRLIGKLLYLTVTRPDIAFGVNTLSQFLQQPKKSHMEAALRIVRYVKNQPGLGVLLSSNKNTTLTAYCDSDWASCPHTRRSVTGYLVKFGDSLLTWKSKKQTTISKSSAEAEYRSMAATVSELIWIIGLMKELEVFGLGVRFRSRIVCRGHRLNPLYRSCPNSGVEVRIRIGSWLKYHVPGQLSRLDSELIVRVECDFECRG >Solyc02g078220.1.1.1 pep chromosome:SL3.0:2:43543929:43546745:1 gene:Solyc02g078220.1 transcript:Solyc02g078220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSAPSSLQNPSSRFKLSIFSRTQFLKFQYGRLFLFIFVFFLNSSVIGGVSYPPEFPQIPYPQYCNDVVPSIPLAQTLTPFNATSFLLTLNNAYIHAPADNAGKFKPKTLNFYTKNVYPTQNGKIFKIEGGLRFAGRIGPDFFGEFLHRRQLRLVYHRPPRFPTEGFGNSREIRVSGFWDSGTGKLCMVGSGLRRLSSINVVLKLNYLNSSDILHSVVNGTLERIDLNDKNAYTKPVEIFGMSLRNYVYTLIDKEVENNGFSEFGDWSNISLGIDQDSSLCSVIGRAGTMEMMYLGNCSNGNCDFFGGNLSNFRPASMWFNEIECGGNRRGRFLLSFGDSVHTRPTNLINQTLVAEGKWNEKTKTVDMVGCLMFNGSDAAEKGSVGDCVVRLSLRLPKQWTLKERSVIVGEIWKREDSNGSGNYGKVVLHSVRNLVNRIDGLTYEYTVIDNVTSSCAKALTYKGKGGKYPDVHSSDMRFDMTVRNRKKIDIFSYSSPLSVGDKFYRDVSGSSVQVNNNQSTVVNISYVLHFVAPSQFLYSDEHTPLTIEISAEGLYDSKSGHLCMVGCMYFSSRHGILQRNSSLDCEILVNIQYPPLNAKVARGVRGTIESLRKKSDPLYFEPLELISNSVYFDQAKNSMWRMDLEMTMVLISNTLACIFVGLQLFYVRKNPTVLPFISVVMLVVLTLAHMIPLLLNFEALFLVNREKQNVYFGSDEWLEVNEILIRIMTMIAFLLEFRLLQLTWSARAGVESPKNYWISDKKVLYLSLPMYIFGGLIAYFIHLSRMPHQLKLRLSPRFHYQQQTFWVELKSYAGLVLDGFLLPQILFNLFCNTTERALTPGFYIGTTLVRLMPHVYDLYRTNSNAWSYDYIYGNPKMDYYSTAWDIIICCGGLLLAVLVFLQQRFGGRCFLPRRYRDSSTYEKVPVVSTESITEA >Solyc01g017690.1.1.1 pep chromosome:SL3.0:1:24776562:24776855:1 gene:Solyc01g017690.1 transcript:Solyc01g017690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRMGGIGKTTFARKDHGHLTIWYHFDIQVWLTISQEYGSKNVLLEALHCILKQTNIDITKDIYHKKDENEIANLVQNKLKGPRYLVVVDDIWSTNV >Solyc08g029346.1.1 pep chromosome:SL3.0:8:37120242:37137547:-1 gene:Solyc08g029346.1 transcript:Solyc08g029346.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLDRDRQRVYEVAKTNGPRSWKIHYEGAHPRQQANTHMEKRLKDDSFIYMVLYVDDMLIAAKKKYDIQKLKGLLSAEF >Solyc08g029115.1.1 pep chromosome:SL3.0:8:38293124:38295135:1 gene:Solyc08g029115.1 transcript:Solyc08g029115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLYKMCAILSSKSGIMLILMPIFCSYKIQMLIFCLYMEHYMFEILYLDKMSSNHLSLYVYSVILVQMVTCSRNDRLIQGAAHFALRKKTVALLLYFLHY >Solyc07g032075.1.1 pep chromosome:SL3.0:7:34622312:34622967:1 gene:Solyc07g032075.1 transcript:Solyc07g032075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSKTRTLVLSHLDIDRKKRDIHPYYYSRLIHNLYKRLLLLNHKILSQIAISIKNCLYMFSNLLSSILVDQGKGVW >Solyc10g018930.1.1.1 pep chromosome:SL3.0:10:10888219:10888377:-1 gene:Solyc10g018930.1 transcript:Solyc10g018930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLKLVVSMLMMLVVHMVDSLHSVDVPHLLLLFLDHVLHVNMKNAKIKRFN >Solyc10g017520.3.1 pep chromosome:SL3.0:10:5458212:5460687:1 gene:Solyc10g017520.3 transcript:Solyc10g017520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYSSMDGVLYCKTHFEQLFKECGNFSKNFQTSPKPDKENALARTPSKLSAMFSGTQDKCAACTKTVYPLEKVTMEGESFHKSCFKCAHGGCPLTHATYASLDGILYCKHHFAQLFMEKGTYQHVLEAANNKKNADQAAAIEVVEDEATTTDEQPEKEPHEEESQE >Solyc08g007500.3.1 pep chromosome:SL3.0:8:2057006:2059796:1 gene:Solyc08g007500.3 transcript:Solyc08g007500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKILNIVHHRSISMCERASGSGVQKSLYLPTTLSHRRSTRTVARRTLLKHHRARIERWKSEPCFWRNINGDGGENEDDHRRRFHPRDVTTTSLEVLFRPQTCTDLFFSSDHLNVPSPKSTQVYKKDAKVVVNVTIEGTLGPIKTMVKLGSNVKETIKLVLNKYKEEGRSPHLDDSSSFKLYTSHFSLESLNNSDVIGDVGSRSFHLRKSNSNNGNNSKQFNSNPFLALKINKFIKGTSNIWKLLSCIHCFE >Solyc04g026023.1.1 pep chromosome:SL3.0:4:19796171:19798067:1 gene:Solyc04g026023.1 transcript:Solyc04g026023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPDPNPTLFTLPIPFSKREKRKTQNIIPSTHFFPSSHAQCKNPNETLHATATAWPQKPSRVTRLHLSVDSAARLYEFQRKAKYLYERAERCGTISVPLSFLGKIELLPALCHWKNRARIVLRATVIVLGGVSSISNFGNSWEFPLFPFPPHKFKTPNFHNIYSLHPSIWGIFVGEGFLGVKFSSGNVFVNKKKTERLFCERERHSIPPWLSFSFVLFLQSLRSHSSGAKVGALSGSLSSLFYCTSKVTGVDTSTPSSLNSFTNHTTSATALAILLEWLLASWTSMILVSLPTSP >Solyc12g042190.1.1.1 pep chromosome:SL3.0:12:58169750:58169920:1 gene:Solyc12g042190.1 transcript:Solyc12g042190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHKSGLGLSKVIDKNLSIVASLSWRLLTNPNSMWASTLLNKYNSKISISAFSFT >Solyc02g080570.3.1 pep chromosome:SL3.0:2:45311873:45330626:1 gene:Solyc02g080570.3 transcript:Solyc02g080570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Starch synthase III [Source:UniProtKB/TrEMBL;Acc:C6KI36] MDVPLPLHRPLSCTSVSNAITHLKIKPFLGFVSHGTTSLSVQSSSWRRDVMVTGVPFPFCANFSGRRRRKVSTPRSQQSSPKGFVPRKPSGMSTQRKVQKSNGDKESQSTSTSKESEISNQKTVEAKVETSDDDTKGVVRDHKFLEDEDEINGSTKSISMSPGRGSSQFVESEEIGDDDNDAVKLNESKRLEESDFLIDSVIREQSGSQGETNDSSKGSHAVGTKFYEILQVDVEPQQLKEINAGSVEYTGPVASKLLEITKASDVQHTESNEIDYLDSNSFFKSDLVEEDDPLTAGTVETGDSSLNLRLEIEANLRRQAIERLAEENLLQGIRLFCFPEVVKPDEDVEIFLNRGLSTLKNEPDVLIMGAFNEWRYRSFTTRLTETHLNGDWWSCTIHVPKEAYRADFVFFNGQDVYDNNDGNDFSITVEGGMQIIDFENFLLEEKRREQEKLAKEQAERERLAEEQRRIEAEKAEIEADRAQAKDETAKKKKVLQELMAKATKTRDITWYIEPSEFKCEDKVRLYYNKSSGPLSHAKDLWIHGGYNNWKDGLSIVKKLVKSERIDGDWWYTEVVIPDQALVLDWVFADGPPKHAIAYDNNHRQDFHAIVPKQIPEELYWVEEEHQIFKKLQEERRLREAAMRAKAEKTALLKAETKERTMKSFLLSQKHVVYTEPLDIQAGSSVTVYYNPANTVLSGKPEIWFRCSFNRWTHRLGPLPPQKMLPAENGTHVKATVKVPLDAYMMDFVFSEREDGGIFDNKSGMDYHIPVFGGVAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHNVDIILPKYDCLKMNNVKDFRFHKSYFWGGTEIKVWFGKVEGLSVYFLEPQNGLFWKGCVYGCSNDGERFGFFCHAALEFLLQGGFSPDIIHCHDWSSAPVAWLFKEQYTHYGLSKSRIVFTIHNLEFGADLIGRAMTHADKATTVSPTYSQEVSGNPVIAPHLHKFHGIVNGIDPDIWDPLNDKFIPIPYTSENVVEGKTAAKEALQQKLGLKQADLPLVGIITRLTHQKGIHLIKHAIWRTLERNGQVVLLGSAPDPRIQNDFVNLANQLHSTYNDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIPVVRKTGGLYDTVFDVDHDKERAQQCGLGPNGFSFDGADAAGVDYALNRALSAWYDGRDWFNSLCKQVMEQDWSWNRPALDYLELYHAARKLT >Solyc12g010110.2.1 pep chromosome:SL3.0:12:3235425:3245841:1 gene:Solyc12g010110.2 transcript:Solyc12g010110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Formin-like protein [Source:UniProtKB/TrEMBL;Acc:K4DC98] MALFRKLFYRKPPDGLLEIGGDRVYVFDRCFSTDVWEEKNYKGYVASVITQLRDHYPDVSILAFNFREGESESLIANDLSEHDVTIMDYPRHYEGCPLLSMEMINHFLRSSESWLSLGQQNVLLLHCEWGGWPVLAFMSAALLIYRRHSNGEQKTLDMIYKQAPHDLLQMQPLNPIPSQLRYLQYVARRNSNTQWPPLDRALTLDCIIIRMIPNCNGKGGCRPIFRIYGQDPFIVSDRSPKSLFSTQKKSNVVHYYKQEECELVKIDINCHIQGDVVLECISLHDDREKMMFRTMFNTSFIQSNILILDRDEVDTLWDAKDQFPKDFRTEVLFSDMDVAASVVPVDLSCFDKKDGIPEEAFAKVQEIINSTDWLNQKGDAASKTLEQITESNLIPEKLGSPPDTIATTKLIDQATLENPQERQELAALVNNTKGLAQSTLEQQVGSSSEAYRSNKQEAMFQLVETKESSASVTSTPVSPHESKTVEHPSLHGKERSKLKEVSSLSEIKDRPLMTNVLMSPTPPPLRTKDQGIVTGKPLSPALTPPALFTPLKDKLDVPSPSQPTPPRDQSTNSIYLKDDATTVSKPDTSLLPLGMPLSPCENDIIAKMEPSLPTFPSGSPSPSGPIPPNIKHLEEKLVSKNGTSPSPPPLPHLVPVLEENSAYVCGTPQTPAPPTPTLKQNLTFSGGLSPPPPPPPPSLPPPTPSSSPCLLPTTPGFTKNCSSISGPPQPPSPPTPPLNERLVSKGGMLPTPPPPPPPPPPLPEQPVKEGFSLIEKPCPPPPPPPPLPEKHVKEDFSLTEKLCPPPPPPLPSSQTSKPTELYAVPPSPPPPSMVSPLKDNNNLPKSVPSVPPPPVPFPKVNNMPASPSPTPPIAPPPSKNYRRMLSSTMTSRSNSTKKLKPLHWLKISRAVQGSFWAEIEKCSYASKSSVIDMPELVYFFSVQNLDQVGSGRNGNSKTKFGQKIQKVQLVDHRRAYNCEIMLSKVKIPLHDMLTSVLALEDSALDIDQVENLIKFCPTKEEIEVLKGYKGEKEKLGRCEQFMLELMQVPRIESKLRVFSFTIQFQSQVSELRNNLNIVNSATDQIRGSSKLKGVLQTILYLGNALNQGTARGSAAGFKLDSLLKLTDTRSWSNKMTLMHYLCKILTDKLPELLDFSKDLSSLEPALKIQLKYLAEEMQAITKGMEKVVDELSMSENDGPMSENFCKALTEFLSCAEGQVSSLAQLFSDVGKNVDSLIIYFGEDPARCPFEQVVSTLMSFQRMFNQALDENRKQLEFERKKAEKEAKEKQSTSASNHKKT >Solyc05g012760.2.1 pep chromosome:SL3.0:5:5917580:5918011:-1 gene:Solyc05g012760.2 transcript:Solyc05g012760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKQGGICSICRDLLNTKCSRLGKASSETFHSKKEVSCSFKDLRRWKCSLVTFHTLELMETCSSLSTTSSGRVETSSTLVMQPLYSVNFLRLGGSHIQDKTEDIINLSYQVLPFQFQTLFFVFWSIFGG >Solyc03g044630.2.1 pep chromosome:SL3.0:3:10257998:10259636:-1 gene:Solyc03g044630.2 transcript:Solyc03g044630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANNISINLPKFNQTLISFTNISSLSNFPYSKIHLHSQLYHRQFKVTNYTSPSSYTYRLFSRRSFMEDEDDHKSKNYSFQEAVRLFNSRDYYRCHDVVEALWTESQEPIRSLLHGILQCAVGFHHLFNKNHKGAMMELGEGVCKLRKFNFENGPFYEFEKEISQVLDFIYATQIEVAACGDDICVTMDQSERSYQLLGGFAAGQQLYTLVTEQHDYCYIVFSYPKYDENVQQLRIKLPTINASEQNLKELEYS >Solyc09g074775.1.1 pep chromosome:SL3.0:9:67005144:67008429:1 gene:Solyc09g074775.1 transcript:Solyc09g074775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFALPSQKGGNGPIMSANFGHQSGGGGGGARGGQYDNQYNPQKPQRSVVLCKVCGFRGHIKEQCFKVKGYPIGWRSKRKTGGSNSYANNAEVTQSASHQNTGGSTGDNVPTSLATFFTQDQYKQILNLLTKGSETGGDQSTNGEHAAQAAATGATEYMTSRVETLKNIFTVPLTERIQDYSLFTRRDGEDIIVVLVYFDDLLVTENKKCLIKATKEDLHRQFKMKDLGKLKFFIGIECAGSSKGIHLSQRKYGLELIAECGLGGAKPAGAPLEQNKKLTSVKYDEYISHGKEHEDTILQDPRRYQRLVGRLLYLTMTQLDLSFSVQVLSQYMHSPKESHMETTLRVVRYIKEAPGLGLFMPAGDTDQLLAYCDSNLGSCIETMRSVTGYLLKLGGS >Solyc07g009050.3.1 pep chromosome:SL3.0:7:4021193:4022547:-1 gene:Solyc07g009050.3 transcript:Solyc07g009050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKYTTFFALLFCLLIVATEIQMAEGKYCWKKSNKWNGPCQYSYKCSYHCKHYYGAKYGICKKYKPWGHKYYWAKYACYCYSPCHY >Solyc05g018830.2.1 pep chromosome:SL3.0:5:24170866:24175092:-1 gene:Solyc05g018830.2 transcript:Solyc05g018830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCSPKHVENYLKTLRSTWNIVQTLLNKIGLGWDDNLKMITASPRVYAMHIHAHPSHDKFINNKIDMFKEMSLVYENGRARGDCAKSFEDIGLDCSSEKGNEDEIEGPSKENGMQDVSETS >Solyc06g034030.3.1 pep chromosome:SL3.0:6:23621243:23625706:1 gene:Solyc06g034030.3 transcript:Solyc06g034030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKDARKCSHCGHNGHNSRTCNSKGIKLFGVRIDDSNNTNQHRFVDTKMKMGDYESIRRSKSLGNLEHAAAAAANYDHNGGVEAGYLSDGPIISIRHRKKGTSWTEEEHRYFLIGLENLGKGDWRGISKRYVPSRTPTQVASHSQKYFIRIASIEKKKRRPSVFDVHLKNPNSHIPSKSSSYIPEVSKETSFPLKQLFDTCQIKGQASKGIPSATRERPPLSPSPISRPFGVPNLAHMPYVGVPQNIQGVSSSKVGPTISWIPIVNFHNESRVHLKNSSQGPLPFAPFVARPTIGVLPSQPPSQVQSQPGHSTPITNKDGVNIIIGAL >Solyc02g061810.3.1 pep chromosome:SL3.0:2:33859258:33869129:-1 gene:Solyc02g061810.3 transcript:Solyc02g061810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B671] MVAFCGAFSSFPGLSNPDRRWSFCLKIVLVMLHLTYVGILFGFDKELIQKTKQAPWYMAVYLLLLVATLAKYFVVSSSSPGYVLDAMRAINETDASRNRETITSTQPASSKNGSVVITINRNQLEKNLLGSVTTSWEKLVMDMYPPGTSVRTVTCTYCNIVQPPRAKHCHDCNKCILQFDHHCVWLGSCVGQGNHCWFWWYLCSETAFSLWTGTLYIQFLISHLSKPWWSYAIVFLLLAVLSICLFFLLLLLIFHSYLILTNQITYEVLRRRRIPYLRNIPEGVFPFSKGACRNSYEFCCARSSMYRMEPLPTALEFEEKLRPYTCSDVLSCRCC >Solyc02g088325.1.1 pep chromosome:SL3.0:2:51092552:51096178:1 gene:Solyc02g088325.1 transcript:Solyc02g088325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACVSTPAIPIKMRRKLPGRPRKYHGKNSKSASKINGDAGSMVTDIEFVHKTTTCRRSECSNSKVHVTQMQWHHSQIDANVLCQEDAWFDTVSIFESDSDDEFSSVNGGRVSQVRKSTIIRLSLRTSVEEEKSGFRAPRKYLLRPRAGLIVPHCTEEKPTVGSWSEIEPSIFKLRSSSFFIDKKKSPAPNVSPYTPIGVDLFLCPRKIHHIAQHVELPSVKGDGKLPPLLIVNIQA >Solyc09g089930.2.1.1 pep chromosome:SL3.0:9:70018961:70019644:1 gene:Solyc09g089930.2 transcript:Solyc09g089930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSDLNSSDSSYEWSNFNTQSYLPFNVNDSEEMLLFGVLNAAHEETTSETVTSHRVKEEEVTSESEVIEAIPAKEKSYRGVRRRPWGKFAAEIRDSTRNGVRVWLGTFDSAEDAALAYDQAAFSMRGNSAILNFPVETVRDSLRDMKCHVDDDCSPVVALKKRHSMRKRSTNSKKVNSISKVVREVKMENVNNVVVFEDLGADYLEQLLSSSSSDQSSCDATYFSPW >Solyc05g007190.3.1 pep chromosome:SL3.0:5:1804136:1810552:-1 gene:Solyc05g007190.3 transcript:Solyc05g007190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSQSSILSCSGHSKCSMDCEQVFLTLCTLVTLYFANEVPLSPKQYKRMSDSAPLLDSPQNTGFDLSQSKRELQYANSVANNESEMGHVADNSPKNEEQRPDKDQGDSFADSPGAVLVNLLTSLRHLPPAMHSVLIVMALTWVSCNLSSAANYLSFCPFNQLSWFPFFLFDTDWMGREVYHGDPKGEADEVNAYNQGVREGAFGLLLNSVVLGVSSFLIEPMCKWIGSRLVWAVSNFIVFVCMACTAIISVVSISANTQGVQHVIGATRSTQIAALVVFSLLGIPLAVTYSVPFSITAELTADAGGGQGLAIGVLNLAIVVPQMVVSLGAGPWDALFGGGNIPAFALASLAALAAGIFAMLRLPNLSSNFKSTGFHFG >Solyc02g091090.3.1 pep chromosome:SL3.0:2:53136842:53149744:-1 gene:Solyc02g091090.3 transcript:Solyc02g091090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRKKWSEVEEQTLLTKYSDLLNSGILAKLKTREKKFKPIADHVNTVHHLQDPLHFPFKWSWRDVSIKVQNMRHQYLGVKQKIRTSSNEFSWKDGENHWENFLKYKEVFGDVELDIKENVPNNDMIELYGDDGVLGLGFGIDSEELEDDDEDGELGHDVDGSGEGEEIEDIVWMRGRKIRKGFCGRRIRMVGGQVLELRDVLMRREEKRREREWSREKGMLEREERRKKMELCRERRDDERNEDIEMKGLMLEERQMRWAKRECEKRLRLEREFDEEKRQRMKLEEKWEEEELEWRQRLVNMQIDHEKQMMQMHADACQNQTNLLGIMARLVCQFFGSANDGLGGGLGPLSAQVLQNLQHPGGLSDSGNLSMLVRSCLHISLSWLFIFHLGLGKSGAALAFSFSIWIFVALLVFYIGRSSSCERTQNYSE >Solyc01g006250.2.1 pep chromosome:SL3.0:1:861410:864064:-1 gene:Solyc01g006250.2 transcript:Solyc01g006250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSAVSVRDNVINLEVRERSIRSEGESLNKLDDDTLVQILCGLPLKDAARTSVLSRRWRHLWRRSLMYPLNNM >Solyc06g042958.1.1 pep chromosome:SL3.0:6:30742685:30743464:1 gene:Solyc06g042958.1 transcript:Solyc06g042958.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPTGEVINYSSRERYFYDADDWKMCFSVKAHMEKLNDLKQTLSETHENIRLKISSLYF >Solyc04g050000.2.1 pep chromosome:SL3.0:4:44950379:44951352:-1 gene:Solyc04g050000.2 transcript:Solyc04g050000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKKTRMIKRQWNPKEEELLHKLVKELGAENLSLIVQLMSSQYEKSCRFHRLKQLNPQVDHQPFTFEEDNTIIRAHAKLGNRWAKIARLLPGRTDNAIKNHWNSSLKRKHHSMLEDLTLESPQPPLKKSLSIGEGQNLGIPYRSELSNFGFDRSTKYLNPVSRIEQIGEPTTISASKPT >Solyc01g065650.1.1.1 pep chromosome:SL3.0:1:72048751:72048984:-1 gene:Solyc01g065650.1 transcript:Solyc01g065650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFQIECNINHNNVLSPHLTNLVWEPSKHDVYPMSHFSVIHWSSLTYAIIEIHNLLGHVAPFQKNSESLLEGFTKT >Solyc11g020358.1.1 pep chromosome:SL3.0:11:11099384:11100140:1 gene:Solyc11g020358.1 transcript:Solyc11g020358.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVDQMVKAGLVDEVRQIFIPDADYTKKFRRSIGVPNMDRYLREETDIDGDDESNIKRNTSMLICNQLDKIQRFISEKMWSVHHIIATDVFKEDREEDIDEAWTNTVLQP >Solyc11g020823.1.1 pep chromosome:SL3.0:11:12242427:12245468:-1 gene:Solyc11g020823.1 transcript:Solyc11g020823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTYDSVAEGTKVDYKGKGVAYEIESSQNRESEMGLYPFTKEQYGQIVNLLKNMRDNNNAADLSANLSESITLVLVYVDDMLITGDILRLISETKVYLQQAFRMKDLGELNNFLGIEFARSKKGILMHQRKYSLDLISEIGLGVAKPAMTPMDTNVKLTSQEYDDHVSKTKAEPTADEGAYQRLIDLIYLFCVQNLSQYLQAPKQSHMEATIRVSQNRESYYLAVRNLHVSAYRDTDWVACPNSRRSVTGHVVKL >Solyc02g062150.2.1 pep chromosome:SL3.0:2:34257647:34260140:-1 gene:Solyc02g062150.2 transcript:Solyc02g062150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFDAIVALDGSGSFVSISEALQAAPNNSDRRYTIQIKEGIYNEYVFVHKNKTNINFIGEGMDRTIISGCKSNGTGFKTNETATVDIHGHGFVAQDITIQNTAGASMHQAVAMSISADNVAFYRCKFDGYQDTLYVKKGVQFFRDCEVYGTVDFIFGNAKVILQNCSIYVRKPEDNQNEVTIIAQGRKRKHEDTAIVLQGCTINVTQEVREQEPKVRVFLGRPWKNHSRAIVISSYLDDYIDPEGWVEWNGNTEDIYFGEYNNRGPGANMDRRVKWEKILSEYDVTNFTVRNFLHGNEWIPYEIPIALDLL >Solyc03g097750.3.1 pep chromosome:SL3.0:3:61537026:61539840:1 gene:Solyc03g097750.3 transcript:Solyc03g097750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPLIIFTIFALFGVSSLTGAAETSFVVSHKKASLLKLKSGTERVSVSIDVYNHGSVAIYDVNLTDDSWSTEIFDFVAGNTSNSWEKLDAGSHVSHTFKLESKVQTTYYSAPAVITYRIPTKSKLQVAYSTPVPALETLAEKAVAYKLDLAKKLLAEYGSHFSLVLIVYLFVRIISSPSSKSSSGNEKKRH >Solyc03g058310.2.1 pep chromosome:SL3.0:3:25974570:25977244:1 gene:Solyc03g058310.2 transcript:Solyc03g058310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVNRGIIIFSVLDQKIKFEANLEKWDTFVGNSLLSINAGTNCWTWNTMISGYCRNDSPKEVLMIYMPLCFPCCLRVGIYIKCGRMDKARSAFEKMIDRDVVTWTTMIHSVKPNIVTLAALLAACASLPHLRLGKCRMAGLSGWTFRLQVDVNVETRLIDMYAKYNCFRLGYQVFTKTSKKRTELVVKPNDATLKCVLPAFVIEVDPRKALSMHSYLVRSGFVTRTEISKTSKKRTKLAREVVELFKFMLLDVVKPNDATLKSVLPAFVIEVDPRQALSMHNYLVRSGFVTRTEVATGLFDIYSKCGNLDNVIASYGMHGNGETSLSLFNEMVFNACGHAGLVDDGVCLILYEIIKTVTFELSSIWGALLGACVIHENVELGELSARWLFKLEPENTENYISWGKIYSAVGRRKDAENVTFDE >Solyc02g020890.3.1 pep chromosome:SL3.0:2:20765293:20770149:1 gene:Solyc02g020890.3 transcript:Solyc02g020890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYFYGILQRHIVAASTVAIASVSTDLHEKLWSSKSLHNNPQENKNITSWVSHISISKLAHVSSYLTTIPVALPILNNTTFTSLSTLPFPTASVLLNSYQSATLSKSANPSTYTYTLPSSPSEVLYTWHLPDPNASRNSHSSPLKSKTLVVLLGWLGAKQKHLKRYAEWYASRGYHVITFTLPMSEIISYQVGGKAEQHIELLVNHLVDWLEEEHGKNLVFHTFSNTGWLTYGVILEKFQIQDHTLMRNIKGCIVDSAPVAAPDPQNCTVELDSNQDLLLESLTCLLLNSNNFTGNIQPETGNLTNLEYLYLFHNMLSGRIPVEIGKLQRLCELPLWKPVVSNYPKKIDKLLSSNSDAIGRLKNLFILQLTEDEFSELDLTTLYNNSFEGPLPEYLSLLKNLSKLNSSHNKFSGNICQLAGLNSLTVLDMTNNSFSDPITSELVVSKNLTHLRLSNIFFTEKTPSEFGQLGDFSLQGKVDGLWVSREHKEEGGDASTCVWASGFSAAFLKKNSVATKRFMTLNDRDVSIEAKTSVETKPAVTEEALLLVLEKFFEVVLNLPTLNRRLSDVLDLLTSRQPSCPQLYIYSSSDRVIPAVSVESFVEEQRRTGRNVRACNFVSTPHVDHFRNDPELYTSQLTQFLEDSVLSSGKQPS >Solyc05g008500.2.1 pep chromosome:SL3.0:5:2843186:2846834:1 gene:Solyc05g008500.2 transcript:Solyc05g008500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENVEEGLLLKEKELIKLKCGVIWDEVKEICYLAGPMIIVTLSQYFLQTISLMMVGHLGELALSSTSIAISIAGVTGFSFLLGMATALETLCGQAFGARQYRRLGTQTYTAIFSLVIVCIPIAVLWLYVGKLLTFMGQDPQISHEAGKFIKWMIPALFAYANLQSLVRFFQMQSMIVPMLISSCLTICFHIPLSWMLVFSSGLGNIGAAVAVGISLWLNVIILASYMRLSPACAKTRAPVLEWWSFELLILLSGLLPNPQLETSVLSICLNTTYTLYAIPFGLSGAVSTRVSNQLGAGNPQGARASAISVMLIAAATSILVSTTVFACRNVFGYIFSNEKEVVNYVANIAPLLCLSVIIDSFQGNLSGVARGCGWQHIGAYVNLASFYLCGIPIAASLAFWLNF >Solyc10g019270.2.1 pep chromosome:SL3.0:10:12796063:12802568:1 gene:Solyc10g019270.2 transcript:Solyc10g019270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLFMLDLNILAWVHPLLRCLLKDANITVLLRFLGILLLDSLLYKGNWDKAMTVGNSTKVDISFIFSIICTTILLSTHLIMLFMLQKRNNGAHCQFKFPIEILQITSWAASFLMLYTTHNKKYIKFPWILRIWWISNFVLSLTHVTLDAHFVITSDEHHLGLADCVDILGLIASTCLLVMSIRGKTGIIIFDMSTTEPLLNGKREKNSEAKSPYGKATLLQLITFSWLNPLFEVGVNKPIDQDEVPDVDFRDSAKFLFDSFEESLKYVKERDGTTNPSIYKAIYLFGGKKAAINALFAVISAGSSYVGPYLIDDFVNFLNKKKIRGLQSGYLLALAFLGAKMVETIAQRHWIFGARQLGLRLRGALISHIYQKGLLLSSQSRQSYTSGEIINYMSVYVQRITDFIWYLNTIWMLPIQISLAIYILHMNLGMGAVVALGTTVIVMTGNIPLIRTLKGCQTKIIESKDERMKSTSEILRNMKTIKLQAWDSYYLHKVEMLRKVEHNWLWKSLRLSALSAFIFWGSPTFIFVATFSGCVMMGIPLSAGRVLSALATFRMLQDPIFNLPDLLSEDEIQRDVVEFFSKDETQFGVEIKSKSSLLSSVLGEMPKLSGMVKISGEVAYVPQSPCILIGNIKENGLFGKPYQSVKYDTTVDACALKKDFELFPAGDLTKIGERGINMSGGQKQRIQIARAIYQDADIYLLDDPFRTVDAHTGTHLFQECFMRVLKGKTILYVTHQVEFLPAADLICFEQLLKQNIGFEVLVGDSEHNLCIEITEKDGRLVKYEEREKGSIGKEIYISYLTIVKGGAFITIILLAQSSFQLLQIASNYWMAQSCPTSDVTPIAEKMNFVLLVYVLLAVGSSLCVLVRSSFVAITSLRTTENLFRHMLHNIFRAPMSFFNSTPIGGILNRASRDQSVLDLKMANKLGWCAFSIIQLLGTIAVMSPAAWEVFVIFIPITAVCIWYQQYYIPTARELARLSGVQRAPILHHFAESLAGAATIRAFNQKDSFAHANLCLIDGHSRPWFHNVFAMEWLSFRLNQLANFVFAFSLVLLVTLPEGIINPSIAGLAVTYGINLNVLQASVIWNICNIRYAEHLPCVLKNITCTLPGSKKVGVVCRTGSGKYTLIQALFKIVEPREGSIIIDDVDICKIGLYDLRSRLSIIPEDPTMFEGTVRGNLDPLAQHSDTKIWEVLIALTIEKELCKQVHLHSQDFIGV >Solyc10g050040.1.1.1 pep chromosome:SL3.0:10:47552592:47552804:1 gene:Solyc10g050040.1 transcript:Solyc10g050040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSALQSTNVEGAFMTFLTEIYKIISKNMLIAAPRANYGKSQSFKGTRIIIPGQDSDSGGNSGGCCMSS >Solyc05g012260.3.1 pep chromosome:SL3.0:5:5546988:5552822:-1 gene:Solyc05g012260.3 transcript:Solyc05g012260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFVMLLPILWVLVALQGVTSHEDHPLARIAVHNAIAALDARAYIKASPSVLGSNGLNQEWITLEYGTGNPSNDDWVGVFSPANFSAATCDPENNMVTPPLLCTAPIKYQFANRSNPNYKRTGKGSLKLQLINQRSDFSFALFSGGLRNPKLVAVSNTVAFTNPNAPLYPRLAQGKTWNEMTVTWTSGYDINEAEPFVEWGPQRGQQTRSPAGTLTIDRSSLCGAPARTVGWRDPGFIHTSFLKELWPNLVYTYKLGHKLFNGTYIWSQMYKFKASPYPGQSSLQRVVIFGDMGKEEADGSIVYNQYQPGSLNTTKQIIEDLKNIDIVFHIGDIVYANGYLSQWDQFTSQVEPITSRVPYMIASGNHERDWPDSGSFYGKKDSGGECGVLAQTMFYFPAENRDKFWYSTDYGMFRFCIADTEHDWREGTEQYKFLEHCFASVDRQKQPWLIFLAHRVLGYSSGDFYADEGSFGEPMGRDSLQKLWQKYKVDIAIYGHVHNYERTCPIYQNICTMTEKNSYKGPLNGTIHVVAGGGGAGLVKFTSLQTKWSIFKDYDYGFVKMTAFDHSNLLFEYKKSSDGKVYDSFNISRDYRDILACTVDSCPSMTLAS >Solyc03g117500.1.1.1 pep chromosome:SL3.0:3:68129643:68130149:1 gene:Solyc03g117500.1 transcript:Solyc03g117500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDPNSSTPNTTFVQADPSNFRAVVQRLTGAAQDSSSLKLPVTGPGPAGPRRPAFKLHERRQSARKLEIMLNNGGSFGVGSLSPSSSSPSSSARKRSFLTSPISPLEMLTRGSPRSPMEEEEKAIAEKGFYLHPSPRTTPRGSEPPELLPLFPLQSPTATNNSSSST >Solyc01g095270.3.1 pep chromosome:SL3.0:1:86467197:86470358:1 gene:Solyc01g095270.3 transcript:Solyc01g095270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFLPSLEVSSFPAGRLPLRISSYLNPRNAQKLTVSRCGIRGARVRVYSYVKDLEEFPSLNSENNLGFYAQVSAHVQTSTSSTEVNEEEEEEKRNYYLNTGYAIRTIREEFPSLFYKELSFDIYRDDIVFKDPINTFTGIDNYKSIFWALRFHGRMLFRTLWIDIVSVWQPVENMIIVRWTVHGIPRVPWESHGRFDGTSEYKLDKDGKIYEHRVHNIALNAPPKFHVLAVQELIEYIGGASTPKPTFFEISYPTWRNIAPVMKLSWLRRHLGSILASIKRNEEKQSDHQT >Solyc01g087690.2.1 pep chromosome:SL3.0:1:82490592:82493437:-1 gene:Solyc01g087690.2 transcript:Solyc01g087690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMAAWSCSNHSPASLFPYPSKLSTQPFFAYSILYCPKNCSFLDPIHALTIEAANEAFTIEGSSLVFDTDFEESSDKVEMFDEKIELALRRKKRRKRRRCCYSECLEMEKEDNIFNLKPLKTGFYLTHKEEAKYSWYLKIELQKLSFILKEEARIEILRKMVEETSEIDLSSKQLAKAAGMSTRRLDKLLINAKESQKKIIQCYRGLVVSVAASYQGKGLSLQDLIQEGSIGLLHGAKKFNPKKGYKLSTYAYWWIRQAISRAVANKSRVIRLPGSISELVPKICNANTELSRKLRRMPSYDEIAEALGMDVSTVRLVIERNRAPISIDQIVTTQGYMSLQNIISGPEDTTPEENVKRQMMKQDLEKILQNVLCDREAKILKLHFGLNGDTPQSFEEIGRVLKLSRERIRQINCTALSKLRESSMLDNFKMYIT >Solyc04g050578.1.1.1 pep chromosome:SL3.0:4:47610546:47611133:1 gene:Solyc04g050578.1 transcript:Solyc04g050578.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLFGEYVKSLSKDKGRQHSLSLSSSSFENSSSLLSSSGSVFQWIGSLGTFMGDLMKHKAENTTTVKTKLQKYLAEEHEVESKNFNILSWWKINSPRFPVLAEMAWDVLAIPISSVASECTFSTGGRIFDSFRISLTPKLVQTLVCLQDWIRSESRPISVEEDIDVLEQLEQGNDILIIIVLIVIVIRHKHIFG >Solyc05g026373.1.1 pep chromosome:SL3.0:5:41058100:41060473:-1 gene:Solyc05g026373.1 transcript:Solyc05g026373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGRAIRSLWPLLKRRINYKVTTPLLFKLIPAVVHLGVLLRWFSLDLPNETKAGNGTGTGTDRNQAPQAGRRFGTGMDRYR >Solyc07g024000.3.1 pep chromosome:SL3.0:7:23790788:23795637:1 gene:Solyc07g024000.3 transcript:Solyc07g024000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVAKVHVSTHHLLPSSNVFRPSIVKVHGRRRVFVQPCRSFKSDDAEYVKVNKLVGAIRSAVWSCSKPSLRTENKLREAMEMLEERLFWLALYVGRYIITMMSTGVVMFVGFQLSGGDSQMNELIWYSWLGGIIIGTMIGSNLVLDDVARAGPRNVLITGSTRGLGKALAREFLLSGDRVIVTSRSPASVRLTIEELEENLKEAVNAATGSARLKLAHAKLVGMACDVSEPVDVKELGKFAADELGYIDIWVNNAGTNKGFRPLLEFTDNDIQEIVSTNLIGSILCTKEAIQIMKTQSEGGHVFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQSSLLKECKRSKVGVHTASPGMVLTDLLLSGSTIQNRQMFNIICEHPETVARTLVPRMRVVKGSGRAINYLTPPRILIALVTAWLRRGRWFDDQGRALYAAEADRLRNWAESRTRFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTGST >Solyc10g054010.1.1.1 pep chromosome:SL3.0:10:54398001:54398492:1 gene:Solyc10g054010.1 transcript:Solyc10g054010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSGTSSGSGSGSGSYTLLNSGSEEDLQQLMDERKRKRMISNRESAWRSRMRKQKHLDDLMSQLSNLMKENNEILTNMSVTTQNYLNIEAENSILRAQVSELKQRFESLTKITSVLSYNGNINEISNDQIFHESYLVDALIQNEFWNDMYHPIMTADIMQY >Solyc04g011420.3.1 pep chromosome:SL3.0:4:3882219:3883763:-1 gene:Solyc04g011420.3 transcript:Solyc04g011420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSPQVRNGVVETPSPSHFHSSVSLHKLRRFNILIVVFRFASFCFSLASAIFMFTNSRRGSDLPQWHHFDAFRFVAVAGAIVALYSLFEVGASVWEISRGATVFPEVVQVWFDFGHDQVFAYLLLSAGSAATSLVRTLKEMDTCTVNNAFCVQSDISIAFGFAGFMFLGFSSLLSGFRVVSFIINGSRFNVQN >Solyc10g054105.1.1 pep chromosome:SL3.0:10:54576634:54577656:-1 gene:Solyc10g054105.1 transcript:Solyc10g054105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHPSNVCIHPGPVEHDVLKIQAHHRSKGIWNGSIKDERWRPETHTFHMPTGKATITLQDVEILFGMIWAWEKIIPLQPLSKSLRTNQLEASTALARKWTRHRNHQNGHEL >Solyc04g047870.1.1.1 pep chromosome:SL3.0:4:38145440:38146012:1 gene:Solyc04g047870.1 transcript:Solyc04g047870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRIFKGRQRVDMVKITNGRNLGVTFSKRRAGLYKKACELCMLCGAEIAIVIFSPEGKIFSFGHPSVETLVERFLGRNLPPPNNDVHNQQIVAHREAGIRELNTRLMNVEGALQMEKNRGESLQEIRKKADGVWWQSPIKELNLFHLQHLKRALEILKQKVVKEAQMVNNNAFPFQTLGSAWSPPNYTS >Solyc09g066315.1.1 pep chromosome:SL3.0:9:64994742:64996346:-1 gene:Solyc09g066315.1 transcript:Solyc09g066315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAHTNSSTTPAATIGSHQGSNFLGLSAEQMTRLLNMLDTPTQSANNTGTIHALSPDWLIDSGASHHMTGNFSSLYDIISVPECFIGLPDGTRVVANSCGSDRVLTTEIGRGTARNGVYVFQSQAFVSASRVDQFYHDMGALIQTSCVGTPQQNGRVERKHRHILNVARSLMFQASLPVEFWGKCVRWRVYDLETHHFFHTRDIAFDETTFPFAPTHTNQQPTTFTPPVHQADFPVAVSTSSPDAHNGSNILQNSSAVSPASTTPNTVTNTQQNSSTPQ >Solyc04g014400.3.1 pep chromosome:SL3.0:4:4642615:4686908:-1 gene:Solyc04g014400.3 transcript:Solyc04g014400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVDNGLFSITFSVPDGMVVNIKYNGIDNLLENKNKENNRGYWDIVWNKAEKQGDIFDKFIILRGSSGFYSYAIFERLEGWPDIDVYQGRMAFKLNEKLFSYMAISDERQRIMPTAQDRAMGRQLDYKEAVLLTGPSTSFLKGEDNKVHGWISPSPKTGFWMITPSSEFQAGGPVKQDLTSHTGPITLSMLIETERWPYEFPLSQDFVGADQRGIVCGRLLVNDSYMSKTLITPNSTFIGLAAPGDVGSWQIENKGYQFWTQTNNEGYFFINNIIPGNYSLYAWVPGFIGSRTRLQTLVYYPPRNGPTLWEIGIPDRTAEEFFIPNPQPKLQNQLCIAHYEEKFRQYGLWDRYTEIYPNIDLIYTVGSSNYQTDWFFAHVNKYIYKDNGDKTYIPTTWQIVFDLQEVKDFSNYTLQLALASSNEAELQIRINDQNPEHAPHFTTGSIGKDNAIARHGIHGLYRMYSIDIPSDLLTIGSNTMFLKQSRGSSSWSGIMFVMLRGNSGFYSYGKFEHLEGMPALRVDEARIAIKLSQNLFHYMAVSDDRQRVMPTNQDISHGKALAYKEATQITHPSNSPFNYEVDDKYQFSSDNKDIKVHGWICNNPHVGFWVITPTNEYTCGGPMKQDLTSHSGPTSLATFFSGHYTGPQLGLDLQDGESWKKVFGPVFFYLNSDSGNNHQTLWEDAKRQMFEETKKWPYDFPQSKEYLKANERATVSGRLLVNDRYISEDPFYAKSAYVGLALPGDVGSWQTETKGYQFWTQTDESGYFKINGVIPGRSDINLGDLVYNPPRNGPTLWEIGIPDRTAAEFFVPDPLPSLTNHVFINSTTHRFRQYGLWDRYTDLYPNEDLVYRVGVSDYTKDWFYAHVTRRTVHKQYIPTTWQILFDLSTVDPSGTYTLHIALASATSSHLLGRINNPTKPRPIFQTPGLGKNKLIGQGGHADVYKGRLSDGQVVAVKKITKQEKKDEDKVDDFLSELGIIAHINHPNAAKLIGYSADGGLYLVLQYLHHGSLGSLIHGSDERLDWDIRYKVAAGIAEGLLYLHCECPKRIIHRDITASNILLTEDYEPQISDFGLAKWLPEKWVHHVVSPIEGTFGYMAPEYFMHGIVNEKTDVFAFGVLLLELITGRRAVDSYRKSLVLWAKPLLQKNNIKEIADPRLGDVYDVVEMKRAMFTALCCLHHLPDIRPNMKKVVQLLRGENAPVEMKQRSMGGRALMFSHEYTSTHYIQDLNRHMELVMEITGSAAAPRRCWEEERSGLLKLEEDMMSSNGGYLTLWEAYNKTGYLDCCSWRRVRCNLTTGRVIKLNLRAARQGSGDGWSFNASLFLPFKSLQVLILSENYITGWNKNEGFNKLSQLTNLKVLDLQYNYLLFPNVLSSFCWISSLEVLILNRVPGSQMGTIEFPSLKNRSAPIDEGITKKCPGMSNLVVLMVAGYGINDTSFLSTLGLGKLTGLKNLERLYLPSNDFNSTIFSSLKHLPSLKHLDLTNNDIGGKIETSGSRRMSSLRNIRLGGSNSNSTNIIQSLKSFSSLKRLSYEYGDLSASSVTYGWCELKNIQEVALTNNNFEGTIPSCLGNLTSLRWLSLHGNRFTGNIASYPLWRTLTSLEYLDISFNQFEIPLSFNQFDNNSKLTYLDVGQNTITRDVEFKNWIPNFQLQLFVVEGCINLQKLPSFLHYQHDLRIISIDKNQLPGKFPTWLLENNTRLAGLYARGNAFIGPWKLPSTSHLCLEQLDVSNNKLSGHVPANISSAFPKLNFLNMSQNLLEGPIPSDIGGLYLVMLDISHNLLSGGVPSDLAVSSPALTYLRLSNNRLSGTIFSKDIRPSTLFYLYLNGNRFEGPLPSNIFLKPLIALDASGNNFSGEIPRWIRDNTRLIQLDLAKNHLEGSIPVEICNLKLIQVLALSENRLSGPVPSCVSDLPLKHIHLDKNQLGGELEDSLFNISSLITLDLGYNNFTGNIPHTIALLSSLNFLLLNNNQLDGEIPIQICLLNKLSIMDLSFNKLYGPLLPCLGNFKLAENDAETRSVYYQASSRLAWLDFKSWISSTRHYHISYGFLSDFNLMDVETRVQFSTKRNSYTYKGSILKYMSGIDLSSNRLTGIIPVELGNLSKIRAFNLSHNHIFGRIPYTFSNLHEIESLDLSHNSLNGTIPVDLLELHFLAIFSVAYNNLSGAVPPFKAQFSTFDKSSYQGNPLLCGYPLDECYGTKSSNTSNNGNEESAGFLDTDSFYISFVVSYGAILLGITAVLGINPYWRTTWFRLVEVLMLNSYYFFLDNVVMPIKNRWWRTLDNCSAHSCCM >Solyc12g017260.2.1 pep chromosome:SL3.0:12:6446817:6461046:-1 gene:Solyc12g017260.2 transcript:Solyc12g017260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGFEGGGGSSRSFMEFGDDRPAEEKFDASQYAFFGNDVVEEVELGGLEDEEDDSFPPVGFDDEEYQLGREEGGAFGSLSEIDDLNNTFSKFNKDDGGAIRPGFIGDREYRESSSAVEWSQDAEFRSWIDRKALDGGEINDSKRWPSVPYSSAAHLLESNTLYRTSSYPEQQQQHEYQQQTLNQHYSSEPGLIPELPFPSFPPSARHPQASTNNQLRNPNGPNHPGGQQMPVSSPNFSPFLNTANQLAASHLGPQYGGKFAQGTPAGLPLHNQIPSQWLKQSTLYPGEQSSMTSNMMPQQSHRQNGFATPHGGLQLPQQPGQQHPLRPPYGRLPGLQSQLINHHMSPPSQMMNNFDMLGLADLRDQKAKLMLRGRQGMHYPQLGFDISSQRNVSAWTRFRSKYMSTDELENILRAQLAATHSNDPYVDDYYHQACLAKKSAGAKLKHHFCPNNLRDGSARSRANTDPHPFLQVDALGRVAFSSIRRPRPLLEVDPPKSCATGCIEQKMSEKPLEQEPMLAARVTIEDGLSLLLDVDDIDRFLQFNQLPDGGDQLKRRRQVLLEDLASSLQLVDPLGKSVHTVNLAAKDDVVFLRIVSLPKGRKLLVRYLQLLFPGSELTRVVCMAIFRHLRFLFGVIPSDHGATETTVNLGRTVSLCICEMELKALAACLASVVCSAEPPPLRPVGSPAGDGASVVLKSILERATELLRDPHAAGKCSMPNRAFWQASFDAFFGLLTKYCFSKYDTVMQCFLTQGPPDVAVSGSDAAKAISREMPVELLRASLPHTSEQQRKVLLEFAHRSMPVLGVGSQSGASGGT >Solyc01g111937.1.1 pep chromosome:SL3.0:1:98000899:98004525:-1 gene:Solyc01g111937.1 transcript:Solyc01g111937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVSSEEGSDQQSERCGSYSLSADVSESESSSSSFSCRRYDAEGASSSMASSPLPCRRFTAKSEFPTPVLPPFMFPAIGGKDVVLCNDKSEKRQADLSEIDLMKERFAKLLLGEDMSGGGKGVSTALAISNAITNLSATIFGELWRLEPLALQKKAMWCREMEWLLCVSDSIVELVPSIQQFPGGGTYEVMATRPRSDLYLNLPALKKLDAMLISILDGFRDTEFWYVDRGIVLAEGDDCDSFPPGIGFGRPSVRQEEKWWLPCPKVPPKGLSEEARKRVQQCRDCTNQILKAALAINSNVLAEVETPSAYIETLPKSGKACLGDIIYRYITADNFSPECLLDCLDLSSEHHTLEVANRIEAAVHVWRLKDQKKHPHKRKSKGKSWGGKVKGLVADGGKNQYLAERAETLLHSLRLRFPGLPQTALDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVLFVDDATKRCAAAENTSFFNRGGLGGLPVQKRMSPSPFSIQHSPFTSPFATPTFCLSPLIGSPGKTASPSKAGSKVLPTAKLEKVSPTDLDKLWSYAGNLSARRPSKDAPERD >Solyc01g109990.3.1 pep chromosome:SL3.0:1:96721948:96734358:1 gene:Solyc01g109990.3 transcript:Solyc01g109990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNKKTMSGGDSQHSPSTIFVNNLPYSFTNAQLEETFSEVGPIRRCFMVTNKGSSEHRGFGFVQFASVDDANRSIELKNGSVVGGRKIGVKQAMQRAPREQRQSKGDQESTAKAKDGKDGPSAESVESVEDKEASNMEGTGSTAQAKDGKNGPSTESAKLKQASNPQSIAQEKDGKDGASTEAAKHKQASSRQGTENSGKPARKKKATLLSNGAADDGNYSGKQRVARTVIIGGIVNANMAKEAHQLAAECGTICSITYPLPKEEIENHGLAHDGCQMDASSVLFTSVKSAQACVASLHQKEVHGATLWARQLGGEGSKTQRWKLILRNLPFKAKVNEIKDMFSKVGFVWDVFIPKNFETGLSKGFAFVKFTTKQDAENAIKTFNGKTMNKRTIAVDWAVSKKVYASGGQSSATAIDEQSAKDDSGSDTEDEDIDIDGKSQQAEGDEDDSDLLEEDNQTEFNFDEEADIAKKILQNFISPTSIVTATPADDISSPQKKGKDVETIIPVDEPLDASTPNKALNDVSGKDKEVKDMQSEGADDLQGTVFISNLPFDVDYGEVKQRFSAFGEVEYFAPVLEQVTKRPRGTGFLKFKTAASAEAAISAASVVDGLGVFLKGRQLKILKALDKKAAHDKDLQKTKKEDNDHRNLYLAKEGLILEGTPAAEGVSVGDMSKRKGLQEKKIIKLKSPNFHVSRTRLIMYNVPKSMTEKQLKTLCIDAVTSRATKQKPVIRQIKFLKDVKKGQAVAKNHSRGVAFLEFSEHEHALVALRVLNNNPETFGPEHRPIVEFALDNIQTMKLRQKFQQQGFNRNKEDLQKNDNTNERDTRDKQSRKRKAPGEDEATNKRVRGVTLREGNVSSVSGSKDGDQPKNKGVKGAAFSAEKRDEKKNKKEGKKLGGTKQKLNDNQEGKRHGGFGSEKTGNATPKVGQSEYVAARATKRKFEDKTNQQKQSVSLQNRKKDKKKKDAVGRDGVDKLDMLIEQYTSKFTRGSSNQTNSNQQRSKQLKRWFQS >Solyc02g069880.3.1 pep chromosome:SL3.0:2:40250576:40256167:-1 gene:Solyc02g069880.3 transcript:Solyc02g069880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVSPYFDDLRCQPEVIHPPQNEDCMDIGEHMNEPAQHTAKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVHNRCPTCRHELGNIRCLALEKVAASLELPCKYQNFGCIGIIPYYSKLKHESQCSFRPYNCPYAGSDCTVIGDIPYIVAHLKDDHKVDMHMGSTFNHRYVKSKPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDETAKNFSYSLEVGGNGRKLIWQGVPRSIRDSYRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Solyc07g037910.2.1 pep chromosome:SL3.0:7:44312338:44316110:1 gene:Solyc07g037910.2 transcript:Solyc07g037910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALPQGNYGIHHDNRGKSIFDIVDAGMKEMLVLLDVNDPVWVKSSGDERCFIHCESYDRKFPNSYRPYKSSTTRIESSKQFGVVPMTATKLIPIFLDPIKWMNMFSTIVRKSRNMDVVNTANIEGSIQLMYEQLHTLSPLVEAREFFIIRCCRKLDQSTWIVLDVSYDLFKEIQTTVPSYGWKFPSGCAIQDLGNGGSMVTWIEHIQVDDKNQVYDLF >Solyc07g055280.3.1 pep chromosome:SL3.0:7:63495479:63499388:1 gene:Solyc07g055280.3 transcript:Solyc07g055280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVLCFLVMEDSSYKNLFFHKQEDSTGTPPDNAADSCFSGDEAAEVSMPSPRKSRRGAKKKVISVPIIEGDGSRSKGEVYPPQDSWSWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSRLDPTMLLITYCSEHNHQIPAAAAAKHHHHNHPTTTTSSPTTSTGTAGDNNATAAVATDSTVVDKSSPEEPDLFAYQHDNGFSELAGELGWFSDMGTTTTFMESTSSSMAGSTWNDSDVALMLPIREEDQSLYGDLGELPECSVVFRRYSVETPCCGGTG >Solyc09g075280.2.1.1 pep chromosome:SL3.0:9:67439889:67442399:-1 gene:Solyc09g075280.2 transcript:Solyc09g075280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst complex component [Source:UniProtKB/TrEMBL;Acc:K4CVA5] MNTSKMRRKVVPAVENGDSADKLDQVLLSAAICNGEDVGPFVRKGFASGKPETVLLHLRHFARSKESEIEDVCRAHYEDFITAVDDLRSLLSDVDSLKSSLSNSNSQLQSVAVPLLTTLDSFVEARNKCKNITLAIQSLRTCVQLVELCSRANRHLSENNFYMALKCVDSIEREFMNKTPSTTLRRMLEKQIPAIRSHIERRITKEFGDWLVEIRVVSRNLGQLAIGQASASRQREEELRIKQRQAEEQSRLSLRDCVYALEEEDDDGFNGISDDGKDGYSNGSNGMLGFDLTPLYRAYHINQTLGLEDRFKKYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDRVLRTGGKLVSKMEVENLWDTAMSKMCSVLEDQFSRMQTANHLLLIKDYVSLLSVTLRRYGYPVEALLDVLSKHRDKYHELLLSDCRKQITEALAADKFEQMYMKKEYEYSMNVLSFQLQTSNIMPAFPYVAPFSCTVPDCCRIVRSFIEDSVSFMSHGGQLDFYDVVKKYLDRLLTEVLDGALLKLIHTSIGGVTQAMQMAANMAVFERACDFFFRHAAQLSGIPLRMAERGRRLFPLTKARDAAEEMLSGLLKQKVDGFLLLIENVNWMADDPLQSGNEYVHEVIIFLETLTSTAQQILPVQVLKRVLQDVLCHISEMIVGALLGESVKRFNVNAVMALDVDIRMLESFAENQAPLLSEADASQLKAALGESRQLVNLLLSNHPENFLNPVIRERSYNALDYRKVVTISEKMKDQSDRLFGSFGTRGAKQNTKKKSLDALIKRLKDVN >Solyc01g091320.3.1 pep chromosome:SL3.0:1:84836299:84839609:-1 gene:Solyc01g091320.3 transcript:Solyc01g091320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGRNLTYGETLWFNYTANKSDFYLYCHNTIFLIIFYTLVPLPMVMIELLRSKKFEIYKIQPKTTFTLSEMMECYRKVLITFVFAVGPLQLFSYPIIEWVGIRTSLPLPSASEVFWQLVVYFLVEDYANYWLHRMLHHYKWGYDKIHRVHHEYVAPISFAAPYAHWAEIIILGLASFLGPLLVPCHMFTFLLWFVLRQIEAIETHSGYEFPWSPSKYIPFYGGAIYHDYHHFVGESCHSNFASVFTYCDYIYGTDKGYRYQKEVFEKRREKLKMEEKVNGSAPVFKSE >Solyc11g022460.2.1 pep chromosome:SL3.0:11:13948948:13952010:-1 gene:Solyc11g022460.2 transcript:Solyc11g022460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLIVEVLDASDLMPKDGQGSASPFVEVDFDEQRQRTQTKNKDLNPQWNEKLVFNIKNPRDLENQTISVYVYNDQKQGHHKNFLGRVKISGAFIPFSDSEALVQRYPLDKRGIFSHIKGDIALRIYAQNVNNVPASGPSPPPVVIERRADFAKAGGPMASNVMQMQMGGGPRPEFGLVETRPPLAARMGYWGRDKTASTYDLVEQMQFLYINVVKARDLPVMDISGSLDPYVEVKLGNYKGVTRHFEKNQYPVWNSVFAFSKERLQSNLIEVTVKDKDFGKDDIVGKVMFDIAEVPLRVPPDSPLAPQWYRLINKKGEKIPQGEIMLAVWMGTQADEAFPEAWHSDAHMASQQNLVNTRSKVYFSPKLYYLRVHVIEAQDLLPSDRSRMPEAYAKLQLGHQSRTTKPSPMRHINPVWNEELMFVASEPFEEYLIIDVVDRVGPGKDELIGRAMISFKNIPTRVDNSKLPDAIWFNLLKPSHAADDDEKKKEVKFSSKIHLRIWIDAGYHVLDESTHFSSDLQPSSKFLRKPSIGLLELGILSAKNLMPMKSKEGRITDSYCVAKYGNKWVRTRTLIDTLAPRWNEQFSWEVFDPCTVVTIGVFDNCHINGKDEARDQRIGKVRIRLSTLETDRIYTHFYPLLVLTPSGLRKHGELHLAIRFTCTAWVNMVAQYGRPLLPKMHYVQPISVRHIDWLRHQAMQIVAARLVRAEPPLRKEVVEYMLDVDYHMFSLRRSKANFFRIMGLLSGISAVHGWFNGICNWRNPLTTILVHVLFLILICYPELILPTIFLYLFVIGLWNYRFRPRAPPHMDARLSQAENAHPDELDEEFDTFPTSRQTDAVRMRYDRLRSVAGRVQTVVGDLATQGERALSILSWRDPRATAIFIILALIWAVFLYVTPFQVVAVLIGLYWLRHPRFRSKLPSVPVNFFKRLPSKSDMLL >Solyc03g006000.3.1 pep chromosome:SL3.0:3:675898:680606:1 gene:Solyc03g006000.3 transcript:Solyc03g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEAPCSVNALPPFIAKIYEMVDDPSTDPIVSWSLNNKSFIVRNPPDFARDLLPRYFKHNNFSSFIRQLNTYGFKKIDPEQWEFANEDFLRGQPHLLKNIYRRKPVHSHSVQNIHSLSSSALTESERQGYKEDIEKLKHENESLHLVLHRHKQDHQGLEMQMQVLNQRVQQVKDRQKNVLSTLARTINKPGLALSLMPQLEMNERKRRLPGNSFLYNEIGLEDNQASSSENTTRENMDHTSLSTLNKEVLDQLESSLTFWEYTLRDIDQTRMRRSSSIDLDESISCADSPAISYPQLTVDVGSKVSDIDMNSEPNGNTTPDVTPPENRVETASHNVPTGVNDVFWEQFLTENPGSTDVKPEREDMESKISESKTVEDGKFWWNRKTVISLTEQLGHLTPAE >Solyc02g085640.3.1 pep chromosome:SL3.0:2:49109949:49118319:1 gene:Solyc02g085640.3 transcript:Solyc02g085640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLAALRSLMSSHSPSLHALIIPSEDYHQSEYVSARDKRRAFVSGFTGSAGLALITMDEALLWTDGRYFLQAAQQLSDQWKLMRMGEDPPVDIWMANVTIPLFVLNLPKDAAIGVDTWCVSVDTAQKWECAFAKKQQKLVQTTRNLVDDVWKNRLPAQANPVIVHPLQFAGQSVAEKLKELRKKLVMGKACAIIITALDEVAWLYNVRGSDVSYCPVVHAFAIVTIDSAFFYVDKQKLSPEANSYMEENGIMVRDYGDVSSDVVLLASDQLTSCSSTKGSKGNPKIDVRNATYVGNSDSHAAEFVNDLIWVDPGACCFALYSKLSADKVLLQQSPLALAKALKNPVEIEGLKKAHFRDGAAVVQYLVWLDKQMQEIYGASGYFMEAESTKQKKQLGTKRLTEVSVSDKLEEFRASKEHFRGLSFRTTSSVGSNAAIIHYKPEAETCAELDPDCIYLFDSGAQYLDGTTDITRTIHFGKPSPHEKSSYTAVLKGHISLGNARFPNGTNGQALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHNISFRPSARDVPLQVSMAVTDEPGYYEDGNFGIRIENVLIVKEGHTKFNFGNKGYLSFEHITWAPYQRKLIDVSLLIPEEIEWLNEYHAKCREILTPYLNTSEMEWLKKATEPIAA >Solyc05g018487.1.1 pep chromosome:SL3.0:5:21976508:21978135:1 gene:Solyc05g018487.1 transcript:Solyc05g018487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVRNQCSSREKFVFQFPVVLEYLCLLVIELIGIELSQFLRDVTILNDGVIPNIHNIFLSNKKNSDDYTLGEFEERINGLIPNQ >Solyc07g063910.3.1 pep chromosome:SL3.0:7:66370870:66372770:1 gene:Solyc07g063910.3 transcript:Solyc07g063910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVIRAQRKGAGSVFKSHTHHRKGPARFRTLDFGERNGYLKGVITEVIHDPGRGAPLARITFRHPFRYKHQKELFVAAEGMYTGQFVYCGKKATLMVGNVLPLRSIPEGAVVCNVEHKVGDRGVFARCSGDYAIVISHNPDNGTTRIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKPMLKAGNAYHKYRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATAAKADKA >Solyc10g052805.1.1 pep chromosome:SL3.0:10:53490695:53491261:1 gene:Solyc10g052805.1 transcript:Solyc10g052805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLFLLLYVDAVIVKGSNPSHVLELVLQLRKEFTMNDLGPLHFFLKIEVKYFQGGIQLNQSKYVSELLAKTEMTLAKVVATLLAKKYGFHEVVGRSLQYFTLTRPIITHVVNLVVLYTFYSELFHNHHEGFMATQMLIREVVPQLGDQLQVVAST >Solyc06g068900.3.1 pep chromosome:SL3.0:6:42833453:42835156:1 gene:Solyc06g068900.3 transcript:Solyc06g068900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKTFSMSSRMGRDLQRYNEGCRQVVGCIPYRYINSYQSGYNIDDLEFLLISSQKSPRLMFPKGGWEIDESLKDAALRETFEEAGVLGDVDVQVTKWFFGMDYLGTWSFKSKSQGTFHEGHMLPLLVTQELDEWPEKSARRRLWMKFNEAREICWHPWMKEALDVFASKLAKRNKEEPQTLYPFKELSNEAMPNTDTTAVANQMYNIEEPRMDNVDQANSLTCEEPIINPIFVDDSMFNETLFNEDLGISHIATTSLFNEDTRISIVAHS >Solyc12g056250.2.1 pep chromosome:SL3.0:12:63158732:63161239:-1 gene:Solyc12g056250.2 transcript:Solyc12g056250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVYVDRLSQPSRAILIFCKLNGIEFEEVNIDLAKGQHRTPEYQEVNIMKQVPAIVHDTFKLFESHAILRYLASAFPETADHWYPKDLQKRANVECVLDWHHANLRRGSAGYVFNTILAPAFGLPLNPQAAAEGKNLLSASLATIDTYWLQKDGSFLLGNSQPSLADLSLVCEIMQLQFLDEKDREGLLSPHKNVLKWIDDVKSATAPYFDEIHATLFKVSEIFQKQRAGGASS >Solyc07g043670.1.1.1 pep chromosome:SL3.0:7:57763292:57764587:-1 gene:Solyc07g043670.1 transcript:Solyc07g043670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASQLALISKKIIKPSSPTPLIHRIQKLSIFDQLNPCSYMSYGLFFPKQCHPTLPDDPTHISTILENSLSRALTSYYPLAGTIRDEGLHVECNDVGAKFLQVKIDCPMSQIFDQKSTEAEDLVLPRDLPWTPSKENLVVAQVNHFNCGGIAIGVCVSHKVVDGSSMANFTHHWSTMARNPSAPIPSFQFVGGSHFPPVSSPIAEAILQSKTRGNNTCISKKYLLSRSKINSLKAMIAAESGNKSSSITPSSVEAASAFVYKCIASNSTKPSIFTQSVNLRPIMKKWLPEEFQGNASFLFMAPEISDPADIKLHRLISELRKEKEMYWETTNLMSTLLEKVKLLQNQLERDHDDEVDVYKCTSLSKFIFGQMDYGWGSPTRVCLGSVPINKKIFLTDSQTEDGIEVVVSLKQQQMSALETKSNLLEFASPL >Solyc02g077850.3.1 pep chromosome:SL3.0:2:43262087:43268579:-1 gene:Solyc02g077850.3 transcript:Solyc02g077850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFHCFFLFLCFCVGLQLVCSRHEPYVMRISCGARHDVHTAPTKTLWHKDFAYTGGIPFNGTAPSFIAPQLTTLRYFPLSEGPENCYNIKRVPHGHYSVRIFFGMIEEPSFDNEPLFDVSVEGTLVYTLPSGWSNHDDEQVFVETLIFLDDGTASLCFHSTGHGDPAILAIEILQVDDRAYYFGADFGLGTIIRTVKRLSCGAQESMFDVDYSGDHWGGDRFWSSIKTFGQNSDRRISTKNSTKSTSKAPNFYPGSIYQTALLSTDNEPELTYTMDIDPNKKYSVWLHFAEIDPSVTSVGQRVMDISINGDTVFPAVDIVKMAGGVNSALVLNTTILVSGRTLTITLQPKNGTYAIISAIEIFEVIIAESKTSLDEVRGLQSLKHALGLPLRLGWNGDPCVPQQHPWSGADCQFDKTINKWVIDGMYVSGLDNQGLRGFLPNEISRLRHLQSINLSGNSIHGPIPPALGTVTTLETLDLSYNFFNGSIPDSLGQLTSLRTLNLNGNSLSGRIPAALGGRLLHRAKFNFTDNAGLCGIPGLPTCGTHLTVGAKIGIGLGACVFVLLIATCITCWWKRRQNILRVQRIAARDAPYAKSRTQFNRDVQMARSFSQEHTRTAAENGPPLLT >Solyc05g018590.3.1 pep chromosome:SL3.0:5:22337607:22350414:-1 gene:Solyc05g018590.3 transcript:Solyc05g018590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVDVEKRRSDMEREEACSAKPTKQGEGLRQYYMQHIHDLQLQVRVKTHNLNRLEAQRNELNSKVRMLKEELQLLQEPGSYVGEVVKVMGKSKVLVKVHPEGKYVVDIGKNIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEESRQDILKIHSRKMNLMRGIDLKKIAEKMSGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Solyc08g075980.1.1 pep chromosome:SL3.0:8:60175888:60177187:-1 gene:Solyc08g075980.1 transcript:Solyc08g075980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKVFRAWFSTIQAVLEDAQMKQLNGKAIKNWLQKLNAAAYKVDDILDEYSENPDKQCPRALSPPNTWFGGLRKTTLAQMVFNDQRITEHFHPRIWICVSEYFNEKRLIKEIVEFIEGRPLLGEMNLAPLQMKLQELLNGKRYLLVLDDVWNEDQDKWANLRQVLKVGASGASVITTTRLEKEDCWLLFLQRAFGHQEDLNPNLVAVGKEIVKKSGGVPLAAKTLGGILRVGTCELFKLDQLWRHCNHIICQICLKKTVDCCSYNVLLDIKKK >Solyc10g008830.3.1 pep chromosome:SL3.0:10:2871873:2885774:-1 gene:Solyc10g008830.3 transcript:Solyc10g008830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFPCKIILFLPILLIIIFQNKTQVSAVFVFGDSTVDSGNNNYINTIAKCDFPPYGRDFSNHVPTGRFTNGRLVTDYLAWYVGIKDFVPPYLDPSLSLDELMTGVSFASGSSGFDPLTAQLVLEYFKEYKRKLENSIGKEKTKILISKAAFIISAGTNDFAVNYYNTPFRRQKYYNVSQYQQFLMQMVQQFIQGLMNEGARVIGVAGVPPFGCLPIVITIDSGDALQPRRCIESYSAVAREYNSLLQRLLKTMEIDGTKLFYVDIYSPINDMIQNPIKYGFSEVTMGCCGSGLIEASILCNPKSIVCNNPSNHMFWDAVHPSEATYYNVFKAIHSTADPGNNNHILTTIKSNFKPYGREFPNHVSTGRFTNGKLAYDFIAKHFGIKEYVPPYLDQSLSIEELKTGVSFASAGTGIDPLTAQHSNVIPLSKQVEYFKEYQEKIEAAIGKEQTKKLIKESLFIISIGSNDIIANYKLYPFPSENYTVSAYIDFLLQHAHNFLKELLDEGARKIAMAGLPPLGCLPIVITLNSNDVFSKRNCIDSFNSIARDYNSKLQNKLNDMQNSFANLGSRIAYLEAYKSTMDIIQDKKLYDSTADPGNNNYISTPFKSNFSPYGKDFLNHVPTGRFTNGMLANDFIARYVGVKEYVPPYLDQSLSIEDLKTGVSFASAGTGFDPLTPKISNVISLSKQIEYFKEYQEKMEAAIGKEQTQNLIKESLFIISAGTNDFVVNYNTLPIRSKTYKLSNYTDFLLQHLQLFLQKLLDQGARKIGMVGLPPMGCLPIVITIHSDNAFSKRDCIDFYSSIARDYNSKLQNKLNDIKFANLGSRFAYLDIYGPLMDMIVGHKYDFEKANYGCCGTGLLEGAFLCNPSSYVCKNASKYVFWDSIHPTEKTYYLISQTFQPTIDSITK >Solyc11g069030.2.1 pep chromosome:SL3.0:11:53897597:53898180:1 gene:Solyc11g069030.2 transcript:Solyc11g069030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRAPCCDKANVKRGPWSPEEDAKLKDFIHKFGTAGNWIALPQKAGLRRCGKSCRLRWLNYLRPNIKHGDFSDDEDRVICNLYANIGSR >Solyc09g075660.3.1 pep chromosome:SL3.0:9:67732884:67734655:-1 gene:Solyc09g075660.3 transcript:Solyc09g075660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEEIVMNSTNNIDNNKRTPMDDIIVKHKKKQGAFGFLRAASLKFRRRSLDLKQQKFTPEPAPPVDSKGENWKKLVGSMRPLHLQDNQSPPSPRDTQPPPPAKTTSLPVEFSEQFSSMYSPTPSTSSISTMSQYASANNLQDLYDDDEEEEQEDPDQVFDAIGGDDMIDAKAENFIAQFYEQMRLQK >Solyc12g088090.2.1 pep chromosome:SL3.0:12:64548476:64559364:1 gene:Solyc12g088090.2 transcript:Solyc12g088090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKILIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVIIFSSTSKLYDYANTSMKSVIERYNKAKEDNTYKLGDPSSEVKFWQREAALLRQQLENLQRNHRKMMGEELSGLNVKDLQNLEKQLETSLRGVRAKKRNFSHQENKELHKKLDIVRRENMELYKKVYGNRDSNVVSKMATSSSSLSVKEDPLAPLHLQLSQPQLQHYEMPGTTKLRLSLH >Solyc08g021825.1.1 pep chromosome:SL3.0:8:34871229:34871531:1 gene:Solyc08g021825.1 transcript:Solyc08g021825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLHGLPKDECLDRKGTFSHALHGPLISIPIEDQQKTTFTCPYKTFAFKHMPFGLCDAFVIFLCFMVLIFINVVEDIIE >Solyc02g084840.3.1 pep chromosome:SL3.0:2:48557999:48559074:-1 gene:Solyc02g084840.3 transcript:Solyc02g084840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHYENQYSAGQALQTDEYGNPVRQTDEYGNPIQQTGGTMGEYGTTGTGYGTQAGHTSGVLGGEQRQHGTLGGMLHRSGSSSSSSSSEDDGHGGRRKKKGIKDKVKEKLPGGHRDDQAHSTATTTTTGYGMEGTHEKKGIMEKIKEKLPGHHGPGHH >Solyc04g017700.3.1 pep chromosome:SL3.0:4:7768286:7775912:-1 gene:Solyc04g017700.3 transcript:Solyc04g017700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTYVVFYGIPVFGGHHYSLGFKSSSEQVINHCKKPEWVKDLNRKRPYLDLDAVILSINTANAAKVFTEANNSAKRSRAPFHFFCMFSILTWQLLAILLASFSTIFYFILQFFHACLIHVSHSYIYVALEKVFSNTCKNMEIRCCQLLYWPVILKDYGLRSQSCVEYAEKAAFHKHSMWASLVVDLLLGNFLGIILWSRARAACVWVSSFSENATNYLLRTGCVWLMGNPAGFKLNTELAGVLGTISLIAIQIWSTLWWLLGFFLIHLIKVVAVFGSLFGLTAAAALIIDTISLATTHVSALQWLLTLLYSWQIQAIDALWRLFRGRKWNPLRQRLDSYAYSVEQHVVGSLLFTPLLLLLPTTSLFYIFFTIMKTTISFVCIAIQFGISIIHATPYIKILFWFMRRKRFPSGLWFEIVLCQRNATNSAEVKSDDKTASGSENPHHCRSAVLVSFLHSNFLSLRQVVWPHYRNVFSDVSRSSIALSAYGVLTGKRTPSAPKIGLPPILPWVSIPYKEYWRLCHEAVLDCRQDCYCQLHQ >Solyc05g010800.3.1 pep chromosome:SL3.0:5:4981686:4984333:1 gene:Solyc05g010800.3 transcript:Solyc05g010800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQSVRKHHALEKHREKEKTRSNNISSNFLLSKHWKKIYPIGLHKTSSSLSLSSLSLSLSQTSNDSSITDSSSITPLDQKISLALRLIASSREKNEGSSMSNKNVARMISPNPNPNPSSEEELMRRCNWITSTSDKVYVQFHDECWGVPVYDDHQLFELLALCGMLMDFNWTEILKRRELIREAFGGFNANYVAKMGESEIEELISNPSLNLAESRVRCIVDNAKCIIKIVREYGSFSSYMWNYVNYKPIINRFRHPRNVPLRTPKAETISKDLLKKGFRFVGPVIVYSFMQAAGMTIDHLVDCIRHKHCVNLAERPWRHV >Solyc11g007610.2.1 pep chromosome:SL3.0:11:1861506:1866773:-1 gene:Solyc11g007610.2 transcript:Solyc11g007610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSDDSIQRRKNKKSRKKQDDKVSNRIAGIIAAKKRRLSGKRRMCEGMCYSLPTPEDPFNERHGKVDPVKKKKKKQNQSKKGKNPIKKTGLPQNSDKLKEEFHMHAPSKFLILCLKNIQDDLQQDGAFSGREEKPFFIHTWGIEFWKYFTSGKDIIGTNQAHATTEQIAWVAATTADAISRKEKEGLSISNPYLLFLVPSQEKAVKVRKICKPLKALGIHTVSLHPGASIDHQIQGLKNCEPEFLISTPERLQELLSHGAIKTSDVSFLVIDGPLSETGYVDAVESIAKSISGKPQILAFNDCSNSSSNFLMKKSVGESICRIPLDDPVNDHNMA >Solyc03g116860.3.1 pep chromosome:SL3.0:3:67602103:67604681:-1 gene:Solyc03g116860.3 transcript:Solyc03g116860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLNISQENLSAYDNTNKKTEIVVRQYDEEKDKLAVEELEGQCDFGQRGQPSLFTDLMGDPISRIRNLPLHVMLVAEYGNNGEIVGVIRGCIKTVTRGNKGSTPCPVYVKIAYILGLRVSSHHRRLGIGTKLVENLEEWSKINGAKYAYMATDSNNEASIKLFVSKCNYAKFRTPSVLVQPVHAHYKPVASDIAIVRVSPQLSESFYRRIFANSEFFPKDIDHILDNKLNFGTFMAVPKKTLFNWDPKSGSFPQTFAILSVWNTKEVYKLQVRGVSSLKYACCLGTRVLDSWMPWLRVPSIPNIFKMFGFYLLYGIHMEGKDGPRLMKSLYAFAHNMGRNDKECRLLVSEVGFDDPVKEAIPRWNKFSWGDLWCMKKLDVDELLSKEDDNWMESQVSNSSSVIFVDPRDF >Solyc10g083720.2.1 pep chromosome:SL3.0:10:63597624:63616896:1 gene:Solyc10g083720.2 transcript:Solyc10g083720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMERGELIYKIYRALTYGLSPLIQLHLRWRKFRGLEHPLRWRERLGVPSLSRPPGPLFWFHAVSLGNSSSLFREGMCAIPVIKCCIERRPDVTVLMTTTTTSAFEVIKHQLPNNVLYQFSPVDTPGAIDAFLCYWKPSAIMLMESELWPNLIIGAAKNQIALALLNARISAKSYDSWSQPFVNSLTLLMLSKFLLILPLAIRFQLLQCPPFIINFCGDLKYGNIDTNEGDQRALEELQVQLMNRKIWMASSIHKGEEKVMLGVHSALKQVHPDIITIIVPRHPQHGKQIALELEKDGVRVALRSRHDKIMPGTNIYVVDTLGELQKFYRLTPIAVIGGSFLPGSAGHNISEAAAAGCAILTGPYIGHFSHMATQMQRLNPLSVLQVSGYILVEALSNLLSDAKLLEERQEAAKQAYHSLSCGITENVWSFLDFHIFRVALANKGKKNVKNVNVVPSFPCISAASSNFLSFAMVSASIGIHLYIFNQKSILESLPRMLTVVAVVTANMHSNHLLLEEPIRMASILEPSKANFFPAMTKIVGTLGPKSRSVEAISACLKAGMSVARFDFSWGDSEYHQETLENLKASIKATKKLCAVMLDTVGAELQVVNKRETPISLKEDAIVTLTPHQGQEASNEVLPINFGGLAKAVKKGDTIFVGQYLFTGSETTSVWLEVDQVNGDDVICVVKNSATLAGSLFTLHASQVHIDMPTLTDKDKEVISTWGVQNKIDFISLSYTRHAEDVREAREFLSKLGDLSQTQIFAKIENVEGLTHFDEILKEADGIILSRGNLGSLQVFLFQKAAVHKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGTDAILLGAETLRGLYPVETISTVGKICAEAGKVFNQDLYFKKTVKFVGEPMTHLEAIASSAVRAAIKVKASVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESNNATNESVLKVALDHGKASGVIKSHDRVVVCQKVGDASVVKIIELED >Solyc04g080700.3.1 pep chromosome:SL3.0:4:64876720:64878577:-1 gene:Solyc04g080700.3 transcript:Solyc04g080700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVEMPSALLMAAVRNVQIARPTMYQVVNDMVEKMGYTVKLVRVTKRVHEAYYAQLYLTKSDNDAESISFDLRPSDAINIAVRSKVPIQVNKYLAYSDGMRIVESPKSIVHTTGSDGLLFTELDRPNGKPCIETKEFILVRNMLIAAVEERYRDAGVLVNISVEGQAYSIAIQEKLDIEGVVNCLSKETTYVYRSHSSEPMWSTSSEIDCSSPLYM >Solyc01g097070.3.1 pep chromosome:SL3.0:1:87906036:87912437:1 gene:Solyc01g097070.3 transcript:Solyc01g097070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FWRENVEATLKLRPKGSKFSKRGKMADFEDEEFLSQVAAAEAEALSTAAKRRRISATVTTTTTTSAVTTPEFKVNVNNNASVEEGAYLAVLKGNTSVLLQQKVSTNAFSTPINNKYKANPPTDDSVSFPEKICACGMGSCLVLTANTEKNRGRKFYRCPMRQENGGCGFFQWCDQPPVTDSLTTRGPSYSVSSTFPELSCPCGSGTCLVLTAKTGKNIGQQFYRCPLYQGGCGFFKWCNDNTTNASFSNSSNSSQTYPKIDGSTNKINNSVASSFKCGNAGNWVKDCPQSSSQRSAADGGVQYSNSRTCFKCGKPGCWAKDCSSN >Solyc01g095230.3.1 pep chromosome:SL3.0:1:86447172:86452443:-1 gene:Solyc01g095230.3 transcript:Solyc01g095230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYPMNPPGPPPFGRPLPPPLPAFPPASSAFWSSSTNVVDHLKNLHDTVNLATALKKEMEMLITLKNKKENSEEGSENGASAGSLDSFVKFMEHSKINMESQEFLSLEAANALMSKLRAQLEPFRVITDEMAPWEEKSATVGLANKMHKYKRNKLWRKRKRKHVAEKLAKEREQYDQIDMEADEWRAREIAKDIAKRKVEKMKEIAKLKAKEEKKRLESELELALIVEKLQELRSIRVQKLKKQGHFLPEEDDKFLEKVRAAVEEEERQAKAAADTAAAKDAIATAEVSRKTIQSQRPEPGDLHANTGDDKISPDQMTVDNDKSGPTPVNELEKVGSKGLGSASLHDSVANLPMEFYHYYYGSNHDLGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPADAIWASYLAKPK >Solyc10g047360.2.1 pep chromosome:SL3.0:10:40559805:40564709:-1 gene:Solyc10g047360.2 transcript:Solyc10g047360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDVEMAAGENGAGELDDMKKRLKEMEDEAVALREMQAKVEKEMGSVQDPAAAAANQANKEEVDSRSVFVGNVDYSCTPEEVQQHFQACGMVNRVTIRTNKFGQPKGYAYVEFVESEAVQEALLLNESELHGRQLKVSAKRTNVPGMKPYRARRPNLYMGFRGRAPFMPAPYFFPPFGYGKIPRARAPMRYSPYF >Solyc05g051310.1.1.1 pep chromosome:SL3.0:5:62449560:62450099:-1 gene:Solyc05g051310.1 transcript:Solyc05g051310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMNTITEEDSDSFDSNTNAIIYGVYDDSGSGESLISDPNIPSSSSSSFEDNDFLQQQQLISTAAAVDSVGTVDLTVPTNASFSWIRNNDEPKAIMIFNVDFRAISSQVNNEIEELNLEFCGKRVREEENDEFLVNKEMRREQMVYPLTLTPSNWSSIWDGDGNGIFDVPPLSPLSSFD >Solyc07g043480.1.1.1 pep chromosome:SL3.0:7:57396905:57398275:1 gene:Solyc07g043480.1 transcript:Solyc07g043480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDDVAVVIAPLHLQSHLRQLLHFACRISSYGLPVYYLGLAASNREARQHSTTLNPCDIEKIHFHDLQIPNEDPPPDIPMHIWHASMRTREPIASFLGDISSKARRIVVVHDLLMSYNVQDISSYPNGESYVFHCLPIFDMYCSHYAPAAGFPIPLEEALLKRLPSNDGCYNPEDVEHHAKYLNHCMGKNAGDIFNTSQVIDGTAIVDSMANLATMQNKKLWALGPILLTQDHDKVQTKHFCLDWLNKQPPKSVIYVSFGTSTSFSAEQIKELAIGLELSKQKFIWVLRNADVGDPVNNKCEENRSGTLELPEGFEERVKGVGLVVREWAPQQEIFAHPSTGGFMSHCGWTSCLESIIEGVPIAAWPIQFDQPKNAFLVAEVLKIGLHVREWEQRNELVTASTVQNIVCKLMASEEGDMIRKRAQELRTAVKRSTEEGGVSRMELDSFIAHITR >Solyc10g017500.1.1 pep chromosome:SL3.0:10:5442386:5443225:-1 gene:Solyc10g017500.1 transcript:Solyc10g017500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRFELTVSYVLESKCCHFFSFFLSSIFLSLFLDTKNIFLVKA >Solyc10g049565.1.1 pep chromosome:SL3.0:10:46064263:46066059:-1 gene:Solyc10g049565.1 transcript:Solyc10g049565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNTLFIFSIILPIFALGRRRRSDLQWRCYIFVEGEQIDGKLESKVNYKTFLLIIERKKKTKGKHIETRQHYHKQKMQSVY >Solyc06g048633.1.1 pep chromosome:SL3.0:6:31505280:31507692:1 gene:Solyc06g048633.1 transcript:Solyc06g048633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLFTPICGFNCLDDCSVDIFDDQSVITLLKVIAGQNHVYNDNFYSKIKFISCLKSGMMKKIREVKLKELSKILQNINVGRVRSTG >Solyc10g008980.3.1 pep chromosome:SL3.0:10:2993138:2997359:1 gene:Solyc10g008980.3 transcript:Solyc10g008980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRVLTGGATAIRGGLPLLRKPAAVMKFTTAATCGSNRDFPAGAVTAKPVGPLIAGPNLIWGRQLRPAILLEASPKRESIKPCSAAASSSAGSSDSSGEAKIGFFNKATLTTGFFFFMCFVSVIHLAVGVVYCLVSWAVGLPKRAPIDSTQLKLLTPVAFCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQQIPLALWLSLAPVVLGVSMASLTELSFNWLGFTSAMISNISFTYRSIYSKKAMTDMDSTNVYAYISIIALIFCLPPAIFIEGPKLLQYGFNDAIAKVGLTKFITDLFWVGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNKISTQTGIGTCIAIAGVAIYSFIKAKMEEEKRQKKAA >Solyc02g062140.2.1 pep chromosome:SL3.0:2:34251375:34253891:-1 gene:Solyc02g062140.2 transcript:Solyc02g062140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKIKWDQENIFLKFYQDKKHFFLSQVTKTILPKKRPKVPNHILNECNRVQFALNKAKQILAKPIQLADQVIQAADEAVLFNHECAELKSKTEKLIVLLRQAARASNELYQRPMSRIIDDTEQALERAMSIVIKCCTQGLVKRVFTIIPSADFRNLSTQLENSISNVSWLLRVSASADEGADRYLGLPPIVTNEPILCFIWEHIAILCTGSVNDRSDAAASLVSLARDNDRNRKLIIEEGGVGPLLKLLKEGKLEGQENAAKAIGLLGCDPVSVEHMVNAGVCLVLVKILKEGLMKVQAVVAWAVSELAAHYPECQDVFHQNNIIRLLVSHLAFETVQEHSKYAIVGKSTSIDAVLSASKVHKLNEDDDNSHIPHPLGNKKPTQMHNVVTATTDMQSSPFNDANQTYHQQSHYATGVSNRGRESEDPTTKAYMKAMAARALWKLAKGNSTICHSITDSRALLCFAVLLEKGAEDVQYHSAMAVMEITAVAEKDPDLRKSVFKPNSPACKAVVDQLLRIIEKEDLNLLVPCIRSIGNLATTFRATETRMISPLVKLLDQREPEISNEAAISLKKFSCNENYLHLNHCKAILSAGGAGHLVQLVCFGEKVVQNSALLLLCDIALHVPNSEELAEAEVLSVLKWASKQAHLNRDEETETLLHESKSKLELYQSGGSRGFH >Solyc11g065080.2.1 pep chromosome:SL3.0:11:50670957:50676450:1 gene:Solyc11g065080.2 transcript:Solyc11g065080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEQEFQRLLELFPAVRSPDYYLDLDASSQSTSQSKQKEEVKQLQDGQSKGCVVESNTRAMGHGEAFWGKLKEAAEKKMNPAEAEEFCKTFRQVYRKLVDEELSLEAAQSLLRS >Solyc05g055030.2.1 pep chromosome:SL3.0:5:65650974:65653319:-1 gene:Solyc05g055030.2 transcript:Solyc05g055030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCEKMGLKKGPWTQEEDQILINFIQKYGHENWRALPKQAGLLRCGKSCRLRWTNYLRPDIKRGNFSEEEEQIIIKLHQLLGNRWSAIASRLPGRTDNEIKNFWHTHLKKRLEQSNNLASTITTKRRDEITTNASQNIDEHHIISSNYYQDSQNIVAYHPTYCHYQEDIQSNKEENMQYSTNYEHKDMTSFNNDMVFWYNVLMSSGNNASDEIS >Solyc10g007000.3.1 pep chromosome:SL3.0:10:1397530:1402580:-1 gene:Solyc10g007000.3 transcript:Solyc10g007000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHKQVAAYVSENSKKRVFPGGSAIDVEVVEISRPTNWSSKSKTPKQHKKVSFHEIIDVDVEENLSDVKRSSGNVKFSGKGKDILVGNGSSGNSGPVDAVQSSKKNCFSSSIKKKNCLSASNSPIIIDEFGSDVLFGADEHMDMYYDDLVYSDYAVLQAHFDNMDIPPGVEAPIPWMPGPMEEQMVSTTTSTSGRDVPGAVRNQSASFSSSTLVGQPTQFGSSWSSPGPALGKEGQLVIGNSNEKSSKGVSNSKKIHSSGGTASFDWNNPYNMYEGSSSHYGKKLRSSGATTSYGSGNQTTPIGPYFPNSVGGPVSDMKNLFLNPTTPIAAGITHASPAMMNYFPLSLHKGATTAGCPSIPSGPACNGEQHRNVDEILKNFQAFKKFDTVEDHSDHFYSRQASSGNLPSKNWAKKIQEEWKILENDLPDTIFVRVYESRMDLLRAVIMGADGTPYHDGLYFFDVFFPSNYPNVPPLVHYHSFGLRINPNLYNCGKVCLSLLNTWTGQGKEKWIPRASTMLQVLVSIQGLILNAKPYFNEPGYANTGGTARGDQSSLQYNENTYILNLKTMVFSMRRPPKYFEDFVLGHFFRSAQDILVACKAYTDGAQVGSLVRGGVQDVDEGDKSCSPTFKASLAGFIKTVIDTFKEIGVKDCDKFLHLTQNGTE >Solyc03g031750.2.1.1 pep chromosome:SL3.0:3:4245259:4248934:1 gene:Solyc03g031750.2 transcript:Solyc03g031750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar protein sorting-associated protein 28 homolog [Source:UniProtKB/TrEMBL;Acc:K4BFE7] MEVKLWNDKREREMYDNLAELFAIIKATEKLEKAYVRDIISAAEYETESQKLIAQFKTLSSTLRDTVPNIERFHDTYKMDCPAALNRLVTSGVPATVEHRAAAAMSSVSSAAVVAECVQNFITAMDSLKLNMIAVDQVYPLLSDLSSSLNKLSILPVDFEGKTKMREWLSRLSKMGAADELTEQQARQLHFDLESSYNSFMASLPTDGS >Solyc04g008360.3.1 pep chromosome:SL3.0:4:2005579:2011117:1 gene:Solyc04g008360.3 transcript:Solyc04g008360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMGCDKGKEIVVFEEQNEENNIWVDRDFLKLNECKGNSSKRVAENEEILVEISEKKLKGGIFDLSSKGVAENEEILVENSEKKLKGGILDLSSKGVAENEKILVEISEKKLKGGILDLSSKGVAENEEILAEISEKKLKGGILDLSSKGVAENEEILVEISENKLKDGILDLSSKRVAENEEILVEISEKKLKDGILDLSSKGVAENVEILAEFIGEKAKDGILDLSSKGVLENEEILAEITDKKARDGILDLSLALYSTSRSLQSPEPSNNNTRIGYFRNGSLSSCYSHPFSHNLSYSLTLSSEDDSEYTGEGISWSGFSQFRKVEAGDCTLSGHPDGSRLALSCKLVNKEICDNDTDRISSSDSNSFFQFELPTRPVNDDQSGDSRILGGIVSEPVPLMAQIMQELPDETVESTKEYLRSLIVIPEKKGLLISLQNRLNGRSDLTIETLSKCNKTQLEIFVAIKMGLVTFLSSENHLQATELIEIFSLERCRNIYCKRVLPVDNCKCKICSKNKGFCNVCMCQVCLNLDYANGTCSWVGCDLCVHWCHVVCAIHRNLIKPGPSINGPSGTTEMQFHCLGCSHSSEMFRFTKEMYRCCAKNWDQEILIKELDYVQKIFQGSDDFKGKELHAITYGLRNKLEKKMISPSDACNFIFQFFKYTDGLSHFLSSSFPASIPLDDKSSFYIMNSSSRRKADHHRQSDAKDSSKSDKTIEDECSAIKEV >Solyc11g070190.2.1 pep chromosome:SL3.0:11:54999371:54999739:1 gene:Solyc11g070190.2 transcript:Solyc11g070190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SAKGKTEDVEAFKRDLQNKLTQIVSQITKEEEEYRVEQNIHRQVEEELIILESKASPVEGITKENMETRVSQISLNLCFLLFCYLGNLLLSEPKFLP >Solyc05g006450.2.1 pep chromosome:SL3.0:5:1076891:1077399:-1 gene:Solyc05g006450.2 transcript:Solyc05g006450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMPTLYNIRRHKPYQNHLVAEFLNNVEVKKALGVNETIVFEVCSKVVREALHEDLMKSVKYMVLFLVKNTKVLLYEGQLDLRVGLVSTEAWVKRMKWEEIDKFLEADRKVWRVNDELAGYVQKWRNLSHVVVLDD >Solyc01g094510.2.1 pep chromosome:SL3.0:1:85831399:85833647:1 gene:Solyc01g094510.2 transcript:Solyc01g094510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTSQDEMLSQPQQQILERNDYVSELEEIEEEVNQMAERIAEYRGTISVQLKTTVSSVLTAQRPVLGTHFDDGSESQSGSSGDLSSDVEGPIESGHIAILAGEEQKEQLLKQKISENASAIPVVFID >Solyc02g061860.1.1 pep chromosome:SL3.0:2:33944345:33944900:1 gene:Solyc02g061860.1 transcript:Solyc02g061860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPRERAETTPSNSSRETSSWTTMERSKHAKTTIETPLLASTLVGEQPGGLRPQQQHQGDGATSCLPLRQKITTSSTQRAVIVSTDNSQGIVRMEIVVGSFMAVGLVRVRIIY >Solyc01g008493.1.1 pep chromosome:SL3.0:1:2514325:2517795:-1 gene:Solyc01g008493.1 transcript:Solyc01g008493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVRVLGELDMRKTVAEMGENEPWWNTAGNNPVSLGLMRGSPSDSPSPEQAVDGLSQSDGGSNEEADDTPEKSQSTIPKHSELDGSYVQVDQNLQPMASAIPPKGDGCITQPPQFELVAHSMACAPNLYVDPYYGGILAPFGQPMIQSVPERSLCLICLGL >Solyc12g009260.2.1 pep chromosome:SL3.0:12:2538359:2545262:1 gene:Solyc12g009260.2 transcript:Solyc12g009260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FQYSKTCNGISFSNSCVVSNGFCSVDGWRSGTYSGSARQGGVRATAVPPVIEGTSKKKPPTKERTQRRVVVTGMDVITPNGQDPDVFYENLLQGVSGISEIESFDCSQFPTRIAGEIKSFSSDGWISPKLSKRMDKFMLYALTAGKKALINGGITEDVMKELNKARCGVLIGSGMGGMKVFNDAVESLMTSYKKMNPFCIPFTSTNMGSAMLAMDLGWMGPTYSISTACATSNFCILSAANHIIKGEADMMLSGGSEAVIIPIGLGGFVACRALSQRNNEPTKASRPWDSNRDGFVMGEGAGVLLLEELEHAKRRGATIYAEFLGGSFTCDAYHMTEPHPEGAGVILCIEKALAQSGVSKEDVNYINAHGTSTPAGDLKEYQAIVHCFGQNPELRVNSTKSMIGHLLGAAGAVEAVAIIQAIRTGWVHPNINLDTPDEGVDTNVLVGSKKERLDIKVAISNSFGFGGHNSSILFAPYK >Solyc07g055010.3.1 pep chromosome:SL3.0:7:63282608:63285417:-1 gene:Solyc07g055010.3 transcript:Solyc07g055010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQKLYIFVTKEAEKETFLKFISKSLKMTTMTEMRVHMDCAGCESKVRKSLEKVKGVDNVEIDMSMQKVTVTGWADQKKILKTVRRTGKRAEIWQFPHNPEMRNNPTYVTDHYYQQQGCSGPTTYYAGEPPASSYNYRKHGYDNYGRAYSLYRGNSNTFGSRVGDAFSDENPRGCNIM >Solyc01g006130.3.1 pep chromosome:SL3.0:1:785063:789383:1 gene:Solyc01g006130.3 transcript:Solyc01g006130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLSFSTPSALWGSYLNPKSSSLMNMKKRVGSHVNCSSEDESCTSASSEHLREHVEEKRSQTSVSRRWCLTCLCSTGALITASGNPISEPRAIALDGNESPGCRNCGGDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARKLLDKMLKAVLHQKDNEKRLKVTMDVTTIVLLSVPVKSLDELSFSP >Solyc11g020280.2.1 pep chromosome:SL3.0:11:10696743:10701696:-1 gene:Solyc11g020280.2 transcript:Solyc11g020280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRIQTLHSLQVLVIFLKSLVFFCHPSNSLSVETEALLEFKKQLVDPLNVLESWKYSKSPCKFYGIQCDKHTGLVTEISLDNKSLSGVISPSISVLQSLTSLVLPSNQLSGNLPSELADCANLKVLNVTDNNMNGTIPDLSRLAKLEVLDLSNNCFSGQFPAWFGKLTSLVALGLGGNEYDEGKLPDLFGKLKKVYWLFLAGSNLTGQIPESIFEMEALGTLDISINHMSGNFPKSINKLRNLFKIELYQNNLTGELPVELVDLIHLQEIDVSRNQLHGTLPKGIDNLKNLTVFQIFKNNFSGQIPPGFGDMQHLNGFAVYSNSFTGEIPANLGRFSPLNSIDISENNFSGAFPKYLCQNNNLQNLLAVENSFTGEFPDNYASCKTLMRLRVSQNQLSGRIAEGLWELPEVTMIDFSNNNFTGTVSRGIDAATKLNQLVLSNNKFSGDLPKELGKLTQLERLYLDNNDFSGIIPSELGTLKQISSLYLEKNSLSGSIPSELGEFPRLANLNLASNLLTGNIPNSLSMMASLNSLNLSSNKLSGSIPPSLDNLKLSSLDLSNNQLTGRVPTDLLTVGGEKAFVGNKGLCVDQSIRNIRTNSGMGACSAKAAQEVFMKSKLVVFCVVLLSLAVLMCVFMLVSYWKYKCNAEADSEKCLGHANGMNPKWKLESFQHVELDVDEICDVGEDKLIGSGGTGKVYRLDLKKGCGTVAVKQLWKGNEVKVLTREIDILGKIRHRNIVKLYASLMRERSKMLVFEYLPNGNLFEALHREVKDGKTELDWYQRYKIAVGTAKGIAYLHHDCVPPIIHRDIKSTNILLDEEYEAKVSDFGVAKVSEISSRGSEFSCFAGTHGYLAPELAYTSRVTEKSDVYSFGVVLLELVTGRKPIEEAYGEGKDLVYWASTHLNDKGSVLNILDQKVVSELVQDDMIKVLRISALCTTKLPNLRPSMKEVVKMLVDVEP >Solyc09g072930.1.1.1 pep chromosome:SL3.0:9:66032131:66033291:-1 gene:Solyc09g072930.1 transcript:Solyc09g072930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSISIPVLPAELVTEILSRLPVKFLLKFKCASKSWLALISSPEFAKTHLRLNANNNEYTRRHNKVMMFERIVPSFRDCSVSSLYNNSCIEAKDLYYHRQVPDCAYTVGSVNGLICLVSPEKELILWNPSIRKYKKLPTPKIKTTLGYCLYSFGYDEFRDDYKVVVLWNDNLSPQIEVQKYSLKRDSWRWVDDCPSMVPLTQSGNFVNGKIYWITSIHPNTRSIISIDLADGKWGEMELPCYWKGGRGLLLSFGVLAVLGSDLLIWCGFVETDMVDVWLRKEYGVKESWIKMFTLNILRDLSVYGYLEPIHLYMASGSEILVVLGSKFMIYNLKGDQLRSSKVINSYNWDEEEIYIESLVCPFSTVGTEDATKMVMKSLGCETMK >Solyc03g120290.3.1 pep chromosome:SL3.0:3:70214465:70215548:-1 gene:Solyc03g120290.3 transcript:Solyc03g120290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMKLRALVMALMFMGIFVGQSGAAFTDCYTRCFIFCMIDPSETVCKCTTKCLKQCIFNSDSDSGSVSTNNKIDSSNHKFCKLGCALSACSTLSKKHQPNGEKMDDCVGSCSKNCTKSY >Solyc06g048373.1.1 pep chromosome:SL3.0:6:31008615:31017727:1 gene:Solyc06g048373.1 transcript:Solyc06g048373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNKIVIKKIEDGVSRQQFYSKCKDSIVKKSNELGFLCDTNIALLMVSPYGEVTSYSGGESSLKNNIASNFQFSNNLHHLISWATIRL >Solyc11g013710.1.1.1 pep chromosome:SL3.0:11:7005699:7006097:1 gene:Solyc11g013710.1 transcript:Solyc11g013710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHHLQKMFERGETVMIDGGRFLLPGESKHLNSKSKGKSKRRGSSSNTQKKEQQKEKEEDLEQPKKQGRGRLAKHKDDGTKKGDGTTSTLSTKEPDDQRDRDLKVQEDGADPDTILLKELRMLRRRKMIKD >Solyc11g043115.1.1 pep chromosome:SL3.0:11:33801138:33802118:-1 gene:Solyc11g043115.1 transcript:Solyc11g043115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LQLYGYLVIHWLIMEITISLILLLKQTTFLMGLISVEAQLADFPMAKLLSIFLENY >Solyc06g008010.3.1 pep chromosome:SL3.0:6:1853252:1859484:-1 gene:Solyc06g008010.3 transcript:Solyc06g008010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECVVQGIIETQHVEALEILLQGLCGVHKQSLRIHELCLKSVPNLGLVASEIRLLCDLEQPEPAWTVRHVGGPMRGAGAEQISVLVRPMQESKISKNALRLFYSLGYKLDHEQLRVGFAFHFQRGAQITVTVSSINKMLKPHAIDDAVPVTPGIQLVEVTAPASSENYNEVVASVTSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTK >Solyc01g009670.3.1 pep chromosome:SL3.0:1:3841546:3847938:-1 gene:Solyc01g009670.3 transcript:Solyc01g009670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKTISIYILSLPKILKWLKPELGKGITMAVPQVLIFKTQLNIRSPFIRKSSSVAAVAVEPVKVLKNRSYNASDGKGDGDAPKWKKLSSEELGISTSMIAKPTRLVLNGLKKKGFEVYLVGGCVRDLILDRTPKDFDVLTSAELKEVLKIFQRCEIVGRRFPICHVHIDDTIVEVSSFTATGRKFKRNGYNIVRRPPACSEADFIRWKNCLARDFTINGLMFDPFTKIVYDYLGGLEDIRRAKVRCVIPANASFIEDCARILRGVRIAGRLRFRFARETAHSIKELASSISRLDKGRILLEMNYMLAYGSAEASLRLLWKFGLLEILLPIQASYFISQGFRRRDKRSNMLLTLFSTLDNLLAPNKPCNSSLWIAILTFHKALVDKPRDPLVVAAFSIAVHCGGSLSDVLGIVRKISQPHDTRFPELVDQNIESDEALLDEMMDLATYVEAALQEMTDEHVVSRALIDYPKAPKSDLVFISWALSQKVSAIFECVRKGKEKDFRRKRGRKIEYESLALGKLLEVRHIFAMVVFDTVFPPHLKD >Solyc02g092160.3.1 pep chromosome:SL3.0:2:53978312:53984873:-1 gene:Solyc02g092160.3 transcript:Solyc02g092160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFARSIASTLRLEDDDDDRDDAVSSNHDHSTGKPTTEKQQKDEDDSLPVSPSRGVKDDFSELTKTLTRQFWGVASFLAPPSQSEPDKSLLDPTSEPARDSDDEESDSAGIAGLRSDIAEIGGRFKSGISKLSKNIPVSEITKMASNLLQLGPEEEEDKRFDSGRGAVGVTEEVVAFVRDIAMHPETWLDFPLFEDEDDEVDFDLSDAQQEHALAVERFAPRLAALRIELCPGYMNEARFWEIYFVLLHPRLNKQDAELLSTPQVFNARASLSQELQKRTKPIEGDWSKEETFKSTDGGGSQHEEMLTVPSAPLSADAVQDMSSVDVSSLSVVVGSGTDVHPVISENQTVDRLVAEEGPITLSKDEKLQSASATKLEESDEEDADDWLKEENTEITGTSKTTMSFEDDEDVSFSDLEEDDSDMPAKSKNAAYSSDKDSQDWVQLRKSSTDLSRDSSSINQISSVKENPDSKESNDWLDIDDLEVA >Solyc04g071203.1.1.1 pep chromosome:SL3.0:4:58223374:58223742:1 gene:Solyc04g071203.1 transcript:Solyc04g071203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVMNEEIGMWPYEVFNKLSANAADLVQFGGKVYTPGGQDVSPAMGNGQFKGGYWQLTCYMRKVLYEIEVDGQKKQLVPDDSKIRSQDSSAIIIMPTMVIGTITSYLGVKVVKINGNLVFIS >Solyc10g049980.1.1.1 pep chromosome:SL3.0:10:47268168:47268323:-1 gene:Solyc10g049980.1 transcript:Solyc10g049980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNYRNKQTIPYNGRPSLKLHRSIMGFAGDGATVCWFGVVTRRCCIHRSYS >Solyc07g043250.1.1.1 pep chromosome:SL3.0:7:57035478:57035960:-1 gene:Solyc07g043250.1 transcript:Solyc07g043250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSDATIVTTTASSVVRAPDHHQHQHQHVTGPSDGRVSKPIRRRSRASRRTPTTVLNTDTTNFRAMVQQFTGGSVAPSQGGYLGFGPNNQQILNPNTYNIQFQAQSVPQNPLSYMFSTLGSSTTRPSPSIQSRPGNFLHRLNDNSSSPSNDNKSENNFTF >Solyc01g096220.3.1 pep chromosome:SL3.0:1:87189631:87191858:1 gene:Solyc01g096220.3 transcript:Solyc01g096220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQEMSGFEDQMKRVNGVHHNEDKIDYVFKVVVIGDSAVGKTQVLSRFAKNEFCFDSKSTIGVEFQTRTVSMQSKVVKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDITKRQTFDHVARWVEELRAHADSSIVIMLIGNKADLVDSRAVPTEDAVEFAERQGLFFSETSALSGHNVESAFFKLLQEIFDMVSRKTLLVPSGANGNYIKETSNGLLKGLKIDVISGPDFEVSEMKKLSSCSC >Solyc12g011217.1.1 pep chromosome:SL3.0:12:4080517:4084016:-1 gene:Solyc12g011217.1 transcript:Solyc12g011217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMKAIAEGNDITTLWTARHTPKIQNKSLNAFCDHCHTKGHVKNDCFQLIGYPSWYKGKKKDGSNVQHNVPLVFKPEYDSRNAGPSYGNLSFSHNHNSAGEVHKSIGGNSQTGVGTHAHGYVGLHGSHNCSRSNITADMCAHGCGSTGPYNNGGAGINMAFIGNQLNHSNSNNSPTTNTAGIVNYSVIYNSSSHRWIVDTGATNHMSSTPDLLHETQLLPTTEFNKTHFKIKELGEMRYFLGLEIARNKDGIMVSQRKFALDLISDFGLAGTKPVSTPLEDTHEDVILSDPTGYQKLVGKPLYLTMTRPDISYAVQNLSQFMHKPKKSHMEGALRVIRYLKNAPGLGIMLTSKVCKQLSVYCDPDWATCPMTRRSVSGFVVKVGDSLISWKSKKQNTVSRSFAEAEYRSMANDVSEVV >Solyc05g023867.1.1 pep chromosome:SL3.0:5:29809105:29810837:1 gene:Solyc05g023867.1 transcript:Solyc05g023867.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSPKGANTSVESLGTMLLSRSQLDQRVFFSLKLHHNLTNQALETSNKVLNPTPPSCLALHRSDQAT >Solyc09g014410.3.1 pep chromosome:SL3.0:9:6032626:6039455:-1 gene:Solyc09g014410.3 transcript:Solyc09g014410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELNDPKPVIFLKVTLHTKQNSWAASLEIFRVWMVVCVYL >Solyc09g072700.3.1 pep chromosome:SL3.0:9:65737612:65740203:-1 gene:Solyc09g072700.3 transcript:Solyc09g072700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAQLQVGFYNSTCPQTETIVRQAVQNQFNSDPSITAALLRMHFHDCFVRGCDASILIKSTGSKKSEREAGPNKTVRGYELIDKIKKTLETSCPSTVSCADIITLATRDSVALAGGPNYPIPTGRRDGLISNIADVNLPGPSLTIPQALQFFTNKGLTLNDMVTLLGAHTVGIAHCNFFQGRLSPVPDKTMDPTLAAQLLKTCTKSSATAFLDQNTSFSVDNEYYRQITLRKGILTIDQELTLDKSSAPIVTSFAANKDVFSQSFANAMIKMANIDVLVGSAGEIRKNCGVFNQKANRKVVN >Solyc11g066610.2.1 pep chromosome:SL3.0:11:52657174:52676401:1 gene:Solyc11g066610.2 transcript:Solyc11g066610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLFLFQWLLLLIFTKTSLISSKPHKIPRLTPLIENIIQDHSNTFSSSSRNDLPKEFETYYYKQTLDHFNYGPKSYSTFKQRYIINSKYWGGSNSNSPIFAYLGAESSIDRDPLGIGFLTDFAPQFKALLVYMEHRYYGESIPFKTMEEALKDEDSRGYFNSAQAIADYAELLLHIKQKYSAKNSPIIVIGGSYGGMLASWFRMKYPHIALGALASSAPILYFDNITPQNGYYSIVSRDFKEASASCYHTIRKSWAIIDKIASGKNGLSYLSRKFKTCSKLNDPFELKNYLDTMYSVAAQYNSPPSYPVTIVCGGIDGAPKGSHILDRIFAGIVEFNGNSSCYNMNPMPSETSLGWRWQTCSEMVMPIGRGKNDTMFFSAPFNLNNFIKNCKKMYGVSPRPHWVTTYYGGQHRYYGESTPFKTMEEALKDEDSRGYFNSAQAIADYAELLLHIKQKYSAKNSPIIVIGGSYGGMLASWFRMKYPHIALGALASSAPILYFDNITPQNGYYSIVSRDFKEASASCYHTIRKSWAIIDKIASGKNGLSYLSRKFKTCSKLNDPFELKDYLDSMYSEAAQYNSPPSYPVTIVCGGIDGAPKGSHILDRIFAGIVAYKGNSSCYNMNPMPSEEDLGWRWQTCSEMVMPIGRGENDTMFYSDPFNLNNFIKDCKKKYGVSPRSHWITTYYGGQDIKLILQRFASNIIFSNGLRDPYSSAGTKTPLKMLKLKRFSSAVSPAIFNSRNKFQRKEKLISLIALQNPYRWISNRFLDIYQLGNKEAIEKERARLKDEMTRGYFADINELKEHGGKIATANKIIIPAMAAVKFPALEVIHSDGSNVKLPITSTGDGVEANKLEASKASLMCLSFRASSQAMIDSWSKPFLDTFKDSKRVQLYEISLIDSWVLTLSPVKKLLLRMMRKSNPHESKDVVHRQIVYSFGDHYYFRKELKILNLLTGYMFLVDKFGRIRWQASGLATEEELSSLLSCTSFLLDEESK >Solyc02g077780.3.1 pep chromosome:SL3.0:2:43193698:43202116:-1 gene:Solyc02g077780.3 transcript:Solyc02g077780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALNPSSRMDSQTAAEKAVSVIGLGYDLTSDIRLTACKIGPNGYGLIEIDQKSTKDLLVPGGVVVSNVSTSIKCDKGERTRFRSDALSFSQMSEQLNQELSLSGKIPSGLFNAMFGHKGCWQKDASSTKLLAFDGWFITLYNIELVRSHLTLSEQVKQDVPSSWDPPALAEFIEKYGTHIVVGVKMGGKDVIHIKQLQNSVLQPMEVQKLLKQLADEKFSEDINGCQIAKPVRSVEKSKGEKSIFSDPHLPFANSMRPSIMSYSKADDLLSIHIRRGGLDFGQSHSQWLPTVSQSPNAISMSFVPIASLLSGVRGSGFLSHAINLYLRYKPPIEELEQFLEFQLPRQWAPAYGDLPLGHRHRKQASPSLQFTLMGPKLYVNTVKVDSGNRPVTGIRLYLEGKRSDHLAIHLQHLSALPQSIQLTDDLSYEPVDEPVERGYLEPVKWSIFSHVCTAPVEYRGTRIDDSASIVTKAWFEVKVIGMKKVLFLRLGFSMVASAKIRRSEWEGPATTCRKSGLISMLITTPFSTKLNQPQKPTKVDLNSAVYPGGPPSPARAPKMSHFVDTTEMVRGPEESPGYWVVTGAKLCVEDSRIRMKVKYSLLTILTEESLLI >Solyc06g065530.3.1 pep chromosome:SL3.0:6:41026827:41030694:-1 gene:Solyc06g065530.3 transcript:Solyc06g065530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLKTKSAPFFLLMILTFVFLSPICYGENCKFARKNDKCNKVQGMFVFGSSLVDNGNNNFLVKSLAKANYLPYGVDFPLLEPTGRFTNGKNVIDLLGNHLNIPTYIPSFNDPSTKGSKIIHGVNFASGGSGILDDTGVVAGEVISLNQQIRNFENVTLPELEEQTKCKSKESLKNYLFVVGSGGNDYSLNYFLGLATKNITIQDFTANLTMTLSHQLKRLYDMGARKFVLMALYPNGCSPMARARNPNATGCIQILNDAAQLFNTNLRTLVDSPTLQMPDSTIVIVNAYKIIMDILQNPTPYGFSDTKNPCCDVARIEEGGTGTLCKNGGIVCSDRNVNVYFDGLHPTEAVNIVLANKAFSSNLIDEVYPTNVRLLSH >Solyc11g044670.1.1.1 pep chromosome:SL3.0:11:32635125:32635724:-1 gene:Solyc11g044670.1 transcript:Solyc11g044670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIFQSFLLGNLVSLCMKIINSVVVVGLYYRFLITFSIGSSYLFLLRALVMEEGTEKKVSATTGFTTGQLMMFISIYSASLHLALGRPHLIIVLALPYLLFHFFWNNHKHFFDYGSTTRNSMRNLSIQCLFLNNLIFQLFNHFILPSSMLARLVNIYFFWCNNNILFVTSGFVGWLIGHILFMKWLGLVLVWIRQNHSI >Solyc02g081950.3.1.1 pep chromosome:SL3.0:2:46264878:46266230:1 gene:Solyc02g081950.3 transcript:Solyc02g081950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQGYAIELYFDPALENQVLKAWNVLARRQISTQLIEIESRPHITLFSSPFLDPSKIENIVKNFSSKQESLPLSFGSIGSLPSDDNVLFLAPTPTLSLLQFHSQLYDAMKKEGIEIAEEHRPDTWIPYCPVADEVPKTRMGEAFTVLRDLKLPVAGYATEIALVEYPPVREAFSFVLGNTVEP >Solyc06g059860.3.1 pep chromosome:SL3.0:6:37874609:37876679:1 gene:Solyc06g059860.3 transcript:Solyc06g059860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPRKQIPNFHNPVTTFNDTNWEPHNFDFHVSQKHGNIQSKDQKIEDDDESGASSPALWQNSPSRSPINYRSLSPNSRTQAIARGQWELMEMVKNMPESCFELSLKDLVEQTKSFESQEECLINSNSEKNQAMIQKRVNIKKNEQIRKAKMMMRSKSIENGGVFIKMVTPVSLRSKKTKNKNSSVNTTRVSPKPEECENSTSKNLEKDWWKKRFSGSSSSNSTGSSGRNSSRGSSTSSRNNNNRKREGLLSSCWSSLCLSEKNKVMTDLDW >Solyc03g093240.3.1 pep chromosome:SL3.0:3:55855891:55862093:1 gene:Solyc03g093240.3 transcript:Solyc03g093240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKLLALSSLSSICPFSIPNSSSSNLNLYRYHTTKPTLIFCKSTEPQTISESEPEGYGAAAPTRGDIYLQRQQVVAASSSVLATTKKKKKKDNIFKISKLAPCCYGCGAPLHTSEVDAPGYVDQETYELKKKHHQLRKILCGRCRLLSHGHMITAVGGNGGYSGGKQFVTAEELREKLSHVRHEKALIVKLVDIVDFNGSFLARVRDLAGANPIILVITKVDLLPKDTDLNCVGDWVVEATMKKKLNVLSVHLTSSKSLVGISGVVAEIQKEKKGRDVYILGSANVGKSAFINALLNTMSYKDPVAASARKHKPIQSAVPGTTLGPIPIDAFLGGEKLYDTPGVHLHHRQAAVIHAEDLPTLAPQSRLRGQVFPSSGRNLDSQIANRMRSSGLSGLSIFWGGLVRIDIFKVLPETCLTFYGPKALQIHVVATEEADEFYQKELGVLLTPPTGKGKADDWMGLETKRQLQIKYEDIERPTCDVAISGLGWFSVVPVNKSAGVSNPISEVTAGELAFVVHVPKPVEIFVRSPMPVGKAGGQWYDYRELTEEELEVRPKWFF >Solyc01g068050.3.1 pep chromosome:SL3.0:1:76889993:76894449:1 gene:Solyc01g068050.3 transcript:Solyc01g068050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAARCNFWLPKKKRFCSNVLLRDSQFCGNHTQRSDGQWVPCPIHPSHSVLEENLQSHLKRCPFFKQAQSLSIQPFYQEGINAGKEEEEGVQNFSSEMKRNAVHGMTLFEFSQLINKIKSIHASICSDIGDSFKIPQACDIWTNRQVDRGLPFQEKHVMQQASILGNLEEFGVLKSCKDDAVSGPVKLEASGDDDDVPAVVEFGAGRGYLTQVLADCYRIKKILLVERKSYKLKADRSLRQKESVTLERLRIDIEDLKLNSVESLQGVPYLAIGKHLCGPATDMTLRCCISKQCNQHNDAPSDSTCHLIGLAIATCCHHLCQWKHYINKRYMLDLGITKDDFNAMTWLTSWAVDADHGSDLCGTGSFDLQIRENEHVESDPNTYDVKNMVKDMKAVDRAVVGLMCKDIIDVGRFMWLNEHGLECELVKYVPSNISPENRLLVARQKS >Solyc09g089680.3.1 pep chromosome:SL3.0:9:69915549:69918212:1 gene:Solyc09g089680.3 transcript:Solyc09g089680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQQIQDTSSSNYDKNIELKAFDDTKAGVKGLVDAGITQVPRIFIHPEVSGSGPSPSLEETRFVFPIIDLENINKDPIKHKEIVKKVGDASETWGFFQVINHGIPMSVLDEMLRGARGFFEQDIDVKKKYYHRDYTRRVVYNSNFDLYNPKALAVNWRDSLYSTMGPEPFNPEELPEACREIVMEYSHHVMKMGCTLLELLSESLGLKTSHLKEMECSEGLSILCNYYPSCPQPDLTIGLSKHTDNDFFTILLQDDIGGLQVLHHNHWVDVPPTPGALVINIGDLISNDKYKSVDHRALSNKIGPRISIASFFSSGPLPSSKLYGPIEELLSENNPPKYRATTLKDFYDHFSQKGLDGTSNLSHYKI >Solyc11g042770.1.1.1 pep chromosome:SL3.0:11:34931114:34931530:1 gene:Solyc11g042770.1 transcript:Solyc11g042770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMFLEYLRLEECDCIDDASPELLPKARSLTVQFCDNLTRLFIPTTTETHNISDWENLEKLLVACEGTHMTELYIRHCWKLKWLPEHMQKLLPSLNLLYMINCPEIDSFPQEGLRFILIRLWIRNCKKLVNGQTEWRL >Solyc08g005610.3.1 pep chromosome:SL3.0:8:477102:480014:1 gene:Solyc08g005610.3 transcript:Solyc08g005610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707A2 description:Abscisic acid 8'-hydroxylase CYP707A2 [Source:UniProtKB/Swiss-Prot;Acc:K4CI52] MEFVSMLCLFTFISLTLLLIHSIFKFLAFASKKLPLPPGTLGLPYIGETFQLYSQNPNVFFASKVKKYGSIFKTYILGCPCVMISSPEAAKQVLVTKANLFKPTFPASKERMLGKQAIFFHQGDYHAKLRKLVLQAFKPDSIRNIIPDIESIAITSLESFQGRLINTYQEMKTYTFNVALISIFGKDEFLYREELKKCYYILEKGYNSMPINLPGTLFNKAMKARKELAKIVAKIISTRREMKIDHGDLLGSFMGDKEGLTDEQIADNVIGVIFAARDTTASVLTWILKYLGENPSVLQAVTEEQENIMRKKEVNGEEKVLNWQDTRQMPMTTRVIQETLRVASILSFTFREAVEDVEFEGYLIPKGWKVLPLFRNIHHSPDNFPEPEKFDPSRFEVSPKPNTFMPFGNGVHSCPGNDLAKLEILILVHHLTTKYRWSMVGPQNGIQYGPFALPQNGLPIKLSLKTSST >Solyc05g013870.3.1 pep chromosome:SL3.0:5:7268699:7272105:1 gene:Solyc05g013870.3 transcript:Solyc05g013870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSVNPLNEKVSMNNPMNNMPNGSAANMMQPMSNPTTGHHINPLNVQINPHSVVNPVSRDMIPASVVPAAHHTAPINPRTSNLAARLPHRRGDHHMFLTSDDNAMMKHIEETHIPDGRDFDVKPLVHIIEDIVHRATPIAGHVHEAKVQAHLEALEEKAPHNELIEILNYLAYPIQRIKMELISKCANKEDAHSTTMSLLHSLTTYAWDTKVAITFAAFAQLYGEFGLLTHQYTTNPLAKSVAIIMELPEIMTRQDILKQKFDAIHDLIDKMLDVTKCIIEFRDVQSSQNQHVITQELEMLINTAHISTAAYWTMRAAVMCAAMILNLIAIGHEQISSTSEAWEISSLAHKLANILDHLKKVLNLCHQKIEEKRQYDKFEAILRLLRTPQLDNMKILSMLIHSRDDQLPLFDGTHKRRVSLDVLRRKHVLLLISDLDIAPEELFVLHHMYEESKAQPNRPESNYEVVWIPVVDKRLTPWTDAKQVKFEEVQASMPWYTVAHPSMIDPAVLRCIKEVWGFKKKPQLVVLDPQGKESNNNAYHILWIWGSLAFPFTKARETALWKEQTWNVELLADSIDQNVFTWISEGKCICLYGGEDIEWIRSFTSSTRAVANAAGVPLEMLYVGKKNPKERVRKNSSIIQMENLSHVVQDQTLIWFFWERLESMWHSRTQQDIPGETDPILQEIVTILSYDGSDLGWAVFSRGLAEMTRGKGDLIVQVMKGFDRWRNEVSDITTFVPALDRQLRDLHSPHHCTRLILPSTSGHIPERVVCAECSRPMEKFIMYRCCTD >Solyc10g008540.3.1 pep chromosome:SL3.0:10:2644716:2649753:1 gene:Solyc10g008540.3 transcript:Solyc10g008540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRQINGGIDFWGIVPPPSMAPAETNGGGELEGDHWRNFDNSVNAVSFGFVATAILISMFLVMAIFERFLRRRPSSSGGDRNSSDQMRVQRKLDYPSPEMTFYVNGVSVLMPGEEVPTFIAHPVPAPSPMESTSQPLHHQNDASPSRSASTSTSHSSS >Solyc08g075705.1.1 pep chromosome:SL3.0:8:59946011:59946822:-1 gene:Solyc08g075705.1 transcript:Solyc08g075705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPFVNPVLGLLKSRPYKSEVQFFCSALFFHCLQNPSPQIPQGSVLRLREEIAVSENLGLIARSECDLKFLPGFVRPCQEYFFNELDEFMENVSKKCFKIRLYVVTNLVKETFRDVKPEK >Solyc11g061965.1.1 pep chromosome:SL3.0:11:48975386:48975887:1 gene:Solyc11g061965.1 transcript:Solyc11g061965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKERLQRISVKKIGSTNSISSTRSTLTDNTIEGTKCFYKKSGIPKPHIEVTSHANKVRANVIDHLSTNEKRDDHKRASSDTSIMIAGVLKPYGEQVVSSRETAIWLTKGLINLQNPSEEA >Solyc06g043117.1.1.1 pep chromosome:SL3.0:6:29868813:29869217:-1 gene:Solyc06g043117.1 transcript:Solyc06g043117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQYSVFFAIFVVAIIKSLPLVAIDTQVPEGSILQLYMHDILGGNNPTARSIIGLLGNIYSGQVPFARPLGFQPPKDGVSTPNSNGEIPIFNINCVPVGTGLAGTIFAGNQNGQDSGMTTQLGPDGLSLGFGT >Solyc07g054070.3.1 pep chromosome:SL3.0:7:62583147:62591672:-1 gene:Solyc07g054070.3 transcript:Solyc07g054070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFATRPLSFISSFHFPLQQFCNNTVTSMDSSKPFSTTMAADHSSSRESQKVVVSNGEAAISLEEWRGWGTTSTVPSMVTQVVEDLNMLEKNVDAQMVFGGNHGKLSGDFKVQEDKKHRAKYQSLGDSEQKLQFFSARQIACRVLGSRGYLCQKDFLRQNNTGKLLWQVFGVHAASLCLYGITEHEDMMWDALKLAGKDKVWCLYPNKNAPTNSVKDSMAGLSFANVENHPEMADGAHSLNFILIDGTWSNSGAMFSRLKNLYFKGHPKSLKGDSTVSYVPSELDTSNALDTISLISLATLAFFSLEMEKISSSDLNGVKPKSDTTILSYRPSQANMLNDRYKVMWGEEEIPCITLNTGASLMHKLRPQPSWDRTCTAAAAIGLLDELHDLPNFVSHGLDNQAKALEDAVEVLFEALTTRRLRMGRSITRKERHNHDIF >Solyc03g063355.1.1 pep chromosome:SL3.0:3:36680860:36684502:-1 gene:Solyc03g063355.1 transcript:Solyc03g063355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIVGLATCFQQDLNSHESTRAFEKIQKILTTNEIFQQALEEQPPDENTKNRKKQMNPHTAGKKSFALVRNKLEKEKETVSAKEIFVFTRTRKLGHLYKTSTKTQLVKFRYGS >Solyc04g008310.2.1.1 pep chromosome:SL3.0:4:1986333:1987685:-1 gene:Solyc04g008310.2 transcript:Solyc04g008310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPFPGQGHLNQLLQLACLITSSYDDLPVYYVGSATHNLQARVRANALNPSDIAKIHFHDIPTPDFTSPAPDPNALSKFPSHLEPSWDACMLLREPIASFLRDISSISRRVVVVHDSLMSYNIQDVSSLHNAESYIFNCISVFTFYCIICLSVGMSVQLEEELLKKLPSLDGIMTDKIRDRGASQSPYLDIRSGDIHNTSKVIEGKFLDLLAQVASDQKKKNWAIGPLLPTKMDRISNREDVCLDWLDKQPPRSVLYVSFGTTTSFSDREIKELAMGLEQSKQRFIWVLRDADRGDIFTEEARRVELPEGFEERVKGVGLVVREWAPQPEILAHSSTGGFMSHCGWNSCIESITMGVPIAAWPMHSDQPMTGFLVTDVLKIGLIVREWEKREEMVNASTIEDVVRKLMASEEGDVIRKRAEELGEAVRLSTEKGGASRMELDSFIAHITR >Solyc10g009400.3.1 pep chromosome:SL3.0:10:3444222:3456221:-1 gene:Solyc10g009400.3 transcript:Solyc10g009400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEEELHESSTPCLHLVSAFLACEPPDFVVSFARDFGGGSITERVQSFIWNVCIKKSDVKCNLPYLKRFLKKLIVEIESNGDVVLDELYEKYIYCLASVKDDELAKGSTRMLRRFSFLFPKDCSQPSSFQIFRKLEVTLQCSLNMLEGDTGCSIWPSGLFLSEFILSFPEVFSDKSCLEVGSGVGLVGVCLAQTNCSKVILTDGDLSTLANMNLNLELNQLGTDMLGHTQRNNTLQCLYLPWESAAENELREFMPDIVLGADVIYDPLCLPHLVRVLAILLKREDLNVSHLNNGCKDSYPPDRRCFESEETSSAGELDIYGDPHAEHLSPVAYIASVIRTIDTFNYFLSLAEKEKLLVSDITETMKPLDLLPYVKSYQRSNVRMFKISYLYK >Solyc02g061740.2.1 pep chromosome:SL3.0:2:33818397:33819469:1 gene:Solyc02g061740.2 transcript:Solyc02g061740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKSIQIRNTKLANKLYPNVATLAPNVPAIQELHVATPMAGAVLCTLNTRHDSAMISTRIMHSEAKIIFVDQQFLQLAQEALSIISSKNTRPPLLVVIMSENSNNSIINTWDYESLLESGDRDFAIRRPTAEFDPISINYTSGTTSRPKGVVFGHRGAYLNSIATFLAHEMRCMIWGLAAVGGTNVCLRSVSPKEIFESISLIVNTASCDRKPLPHKVKIMTGGSPPPPQIISRMEELGFGVNHFYGLTETHGAGMRLISRMITQLYPI >Solyc01g095550.2.1 pep chromosome:SL3.0:1:86645908:86648837:1 gene:Solyc01g095550.2 transcript:Solyc01g095550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITRSISGLCPSMPALEEFRQIGEVIGSLKALMVFQEDIQINKKQCCLLVDMLKCAYKTLAETMKQNLRFEEKNIKWKILENPLRELLRVFKEAEQYIKQSLENKDFWAKAVVLYKNTDCIEFHIHNLLSCVPIVIEAIEIAGEISGSDHDEIQKKRFIYSMKYQKECKDPRIFQWKFGEQYMVSQKFCERVYSVWNEDKWILKNKIREKKNSGACTLTKHEKRLADLLLKNLNEMEMEMESDPKLSPSSVLVNSKDYQVRRRLGSGSQYKEIQWLGETFCLRHLFGDIKPLIPDISRELHLSHPNIMHISCGFTDEEKRECFLIMELMSKDLSSYIKEICGPRKRVPFSLPVAIDLLLQIARGMEYLHSKKIYHGELNPSNVLIKARNVSAEGYLHAKVCGFGSSCSINLPQKANVNQNNGTLPFIWFSPEVLAEQEQSGNGGNIKYTEKSDVYSFGMICFEVLTGKVPFEDSHLQGDKMSRNIRAGERPLFPFHSPKYVTSLTKRCWHTDPYQRPSFSSICRVLRYVKRFLVMNPEHSQQDSPLPPVDYGEIEAVIFRSIPLGNCDSDPLPVTQIPFHMFAYRVTEKEKSSTIHRDVNSESGSDGTSACGDDPVTADDALPSPTEKKNIASPEILIKRLSIRKPADIKVGKQPGTPRGRTVRPPSLRTIRQNSETQLMMMNSPSPRTRRSSGHASDSELP >Solyc12g062280.2.1 pep chromosome:SL3.0:12:31773800:31778375:1 gene:Solyc12g062280.2 transcript:Solyc12g062280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSGEVGFVRADRIDLKSLDEQLQRHLSRAWTMEKQKSNKGEGDREIPIKHAWEIDPSKLIIKTVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGQRSQAEVSSVRTAFSQEVSVWHRLDHPNVTKFIGAAMGTQDLNIQTENGFIGIPRNLCCVVVEYLPGGTLKSFLIKNHRRKLVFKVVVQLALDLARGLSYLHSEKIVHRDVKTENMLLDRNRTLKIADFGVARVEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTTAVVRQNLRPEIPRCCPSSLGNVMKRCWDASPDKRPEMDEVVTLLETIDTSKGGGMIKDQRKGCLFFRKRGP >Solyc02g071020.3.1.1 pep chromosome:SL3.0:2:41093415:41094609:-1 gene:Solyc02g071020.3 transcript:Solyc02g071020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYCQVAHYIFTLSSNDQRLENVLRDSFSGDKVCGESPGIVVYGVCKVVSKVLQWTLSGDNSLNKESKHREHSKSTVLDLLYLELSKCLWVFSKA >Solyc04g078660.1.1.1 pep chromosome:SL3.0:4:63445461:63446807:1 gene:Solyc04g078660.1 transcript:Solyc04g078660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSPTVQHISDCFIKPLYTSEEAKKPVYLSSWDLAMLSVQYIQKGLLFTKPSSFQLDPLLQNLKDSLSITLVHFYPLAGRFKTLKQENPPLYTVFIDCVDTPGARFIHANLDLTVSDILSPKDVPLVVQSFFDHDRAINHDGHDLSLLTVQLTELIDGVFIGLSINHVLADGSSFWHFFNSFSQVFKANNGQKQIIPISKSPNFNHWFPEGHGPIINLPYTHHDQFISRHESPILRERFFHFSSECLKKLKAKANEECHTSKISSLQALSAHMWRCITRVRKFPADHITSCRMAINNRARLDPPLPENYFGNCIQTVRGIASAGKLLENSLGWAAWEMHEAVVNHKNKDIREWVEKLESGMIYQLGFFDPSSIMMGSSPRFDMYGNEFGLGKGVALRSGYAHKFDGKVSLYEGIEGDGSMDLEVCLLPDFMASLETDKEFMDSLSS >Solyc02g087040.1.1.1 pep chromosome:SL3.0:2:50217927:50219099:-1 gene:Solyc02g087040.1 transcript:Solyc02g087040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICSFGEVESQRWVSGHTHLKSSWIQYRKDGVFTYPPPLFPPSPPPFGGSSSFHKEPNSSSSSPSGNKISPAVLFIIVILAVLFFISGLLHLLVRFLIKHPSSSASSQSNGYPEVSSSDALQRQLQQLFHLHDSGLDQAFIDALPVFLYKEVVGPKEPFDCAVCLCEFSDKDKLRLLPTCSHAFHINCIDTWLLSNSTCPLCRATLFNPGFPIENPIFDYVEPRDDDGYHGNGDHEFPTSQKTMELEEVVVAKATFPVRLGKFRRLNDGEEEVEGVGESSSSNLDARRCFSMGSYQYVVGDANLKVALDNERKTNNMNQANLAEHGPNPSIDEEIEGKKIGIGTKTDSYSVSKIWLWSKRGKFASSSDCQMEDQPPSGMDFPWLRRTEGM >Solyc02g038760.3.1 pep chromosome:SL3.0:2:32016422:32021197:1 gene:Solyc02g038760.3 transcript:Solyc02g038760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVDSNGVHSSMQNLVSSDAAPTPYYPIMPSMPSPPPAAEIYQVPTPGFTVPLPDLSGVALPNSIEPVKRKRGRPRKYAPDGSANSGMVSPPSAAQSAGGFSPTEGGDVPLRKKGRGRPPGSGRKQQLGDLGSASAGTGFKPHIITVQAGEDVWAKLMSFSQSTSQAVCIMSANGSISNVTLQQAALSVGNVAYEGQFEILSLSGHFLPSESGGERIRTGGLGVLLAGADGQALGGGVAGVLTAASAVQVIVGTFGMQGQKQLKLDNSDGFGVPSLVHPPGSTAAKSPSSFGTVSESSGEPVSPHNQLGETSTISTPVVANNLPW >Solyc02g088113.1.1 pep chromosome:SL3.0:2:50937009:50939573:-1 gene:Solyc02g088113.1 transcript:Solyc02g088113.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSTCFQNMLIIVTCASHIQHTRILISSFNLIEAGFSEARQLKHRCVCHGLVNLCDVYCVPGVSSSVTSTYRCIGRDYTNPYLPVNPTAMEGVLQEKSSQGPDGKKKEPESNVLFASLENMQYAVTVDVLNTLFNFTLLLYSARKQVFSAFGTVQKIAIFEKNGQTQALVQYPGMPINVFIGHVLLILWFAMY >Solyc02g086620.3.1 pep chromosome:SL3.0:2:49912892:49917456:-1 gene:Solyc02g086620.3 transcript:Solyc02g086620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IGSSSIKEMKSFVSAAVDVKLDVTWLQQYTPLNLWSNTSISLVPRHHAQSSFKIF >Solyc12g035880.1.1 pep chromosome:SL3.0:12:44520357:44521056:-1 gene:Solyc12g035880.1 transcript:Solyc12g035880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLANYLIKFSFSYHKQLAKPYLRRVFEQIRGHSYEKMLMILEPMPFQACYPILILVYSTTANARYNMGSCKTNLVIRKTTQGHHSVWFSQSKNYSNGLQEDKKMRDCIINYVKKNMRTSSGIERTARIEIPKIIDLIQVIIFMEFPKLII >Solyc10g006750.3.1.1 pep chromosome:SL3.0:10:1197870:1201639:1 gene:Solyc10g006750.3 transcript:Solyc10g006750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSTQKSIENNLSNNMYILLFSNITRETKLSILLSSMYRRNYKILLTHSTKLNNS >Solyc05g018483.1.1 pep chromosome:SL3.0:5:21866261:21867545:1 gene:Solyc05g018483.1 transcript:Solyc05g018483.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWFLIDDILIYSPTWVDHLEHLVSVHELLRQNQLVTKQSNAYFDKNQLTTWDILSHLKAYLLTLESRIHPVTGTTLYSEGSRHFSQLCRLVIPPDAQLQHKLLFEFHATNIAGNADLARTYHRLASNFYWNQMCKDVKSFVMTCQICQQMKDTHLHLAGLLQPLPLPDQVFEDISTELITCLPSSKGKTTTLTLVDC >Solyc10g007670.3.1 pep chromosome:SL3.0:10:1934430:1935904:1 gene:Solyc10g007670.3 transcript:Solyc10g007670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQLQHLSSSTTPKFNTFASQLLPIFQNVVCVSKFKNGGITSLRLSSSSSRIRKKRTTYLCRISASLSPLDLTEDNIIQVLEDAKAELAQLFDTTVGITGKAELAEVDGPYVKLRLSGKFWHTRSTVVARLGNYLKQRIPEILEVDIEDEKQLDDSPANF >Solyc12g040875.1.1 pep chromosome:SL3.0:12:56381761:56382374:1 gene:Solyc12g040875.1 transcript:Solyc12g040875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMPNMIAQFKSVGHVVSNEQQAQAVIWSLPNNWEHLKVNLTHNYSIKTFSDVECHVELEDECLGAAKTASNAFVAESSDSGSIDYVSRNRAAFVEFHRNQGGYT >Solyc11g006970.2.1 pep chromosome:SL3.0:11:1477720:1483979:1 gene:Solyc11g006970.2 transcript:Solyc11g006970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIASAFCTSLRSAISDADSGVYSPNLPQFAAAKLHSSAAFLTFSNAVVSVSSRRRYNVSCTLDGVDSLSPVLKDEQGSVSIPLPIVQIIQDPECDATTVEISFGDRLGLLIDTMKALKDLGLDVVKGVVTTQDSVKKTKFLITRLDTGRKVEDPDLLENIRLTIIQNLIKYHPESSERLAMGEAFGIKAPEKKPDVDIATHIHVKDDGPKRSMLYVETADRSGLLMEVIKIMADINIHVESAEIDTEGLIAKDKFYVSYGGAALTRSMSQVLVNCLRYYLRRPETDDESY >Solyc12g010440.2.1 pep chromosome:SL3.0:12:3467979:3472180:1 gene:Solyc12g010440.2 transcript:Solyc12g010440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMELKPLNSVPPIKPPPGHNLFPTLNPPEKTLTFHKFLVLFLTFIAYAAFHASRKPPSIVKSVLGPEIKALNGTDSTIVATGWAPFEGASGPHRLGELDLAFLLAYSIGMYFAGHIGDNIDLRIFLTVGMLGSGVLVIAFGLGYFLDVHWLGFFLIVQILCGLFQSIGWPCVVAVMGNWFGKAKRGLIMGVWNSNTSIGNIIGSLAASSVLSFGWGWSFVLPGLFILVVAALVYLFLVVSPDHIGLELTSKEIEMNVEGVALVGSETGEVEEEGFVEPEMEGAGAIGFLQAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYLRQTVFDIGGVIGGVLAGVTSDIIEARGVTSIVFLLLSIPSLLFYRVYGSVSMFTNIALMLISGALVNGPYSLITTAVSADLGTQSLIKGNSRALATVSAIIDGTGSVGAALGPLLAGYISTRGWNSVFVMLVLSIFIASLFLIPIVKNEIKGKLNEGKWLWISAFKR >Solyc04g014880.3.1 pep chromosome:SL3.0:4:5133906:5135354:1 gene:Solyc04g014880.3 transcript:Solyc04g014880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDVEEPLLRGNANSSIRFSDVNSFKRRRSQSSSTCCTISHTQINNEEPPHYPEFISTKSFRLRDVLLFLFVYIGVGAICFFIISDQIEGKKTNGILDAIYLCIVTMTTVGYGDLVPKSILAKFLACVYVFTGMALVGFVLSKAADSFLERQQIMFLKAIKMRKDSIVSSTEVLKEVETNIEKYKLLSTLALLVVLTMLGTIFLCQVEDLNLFDAFYCVCATITTLGYGDKSFSTKWGRLFASFWILMSTICLGQLFYSLAELYTEQRRKSMIKWALTRELTNSDLQAADLDHDSEVSAAEFIVYKLKELGKITEEDISIVMESFKLLDVDHSGTLTENDIALLQSSSQSKS >Solyc08g082590.3.1 pep chromosome:SL3.0:8:65440775:65442970:1 gene:Solyc08g082590.3 transcript:Solyc08g082590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCASSKRIKVAIDVYRPPPTSFAVFDINSIEEPWLKSANNNNKVEENELDQDELDEDEKPIKVAQPILEKLNTSIDDSPKSWDEVSKALEDLKPTLQNPPPQKSPKKPLLALPAPPPPPSEAAEDGDGSPKRKIPRKSFSFHTLEELESKLSSKDAKKNNEVIKKNEENKKFQRFNKKNDTQIAVHNGEVEHQRTHSDEGYKPVKENIFLLRDKMEREKEGKVPNFIKFNPLSDYPEKCPPRGDDSLVIYTTSLGGVRRTFEDCNKLRLILESHRVVFDERDVALHGEFRQELKELLGDGEDAGVPRLFVKGRYIGGVEEVVHLNETKKLGRILNWARVERGLGRLGCEGCGGARFVPCFDCGGSCKVVNGDVKERCPKCNENGLIHCPICN >Solyc03g044260.3.1 pep chromosome:SL3.0:3:8614992:8620326:1 gene:Solyc03g044260.3 transcript:Solyc03g044260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAGIARGRLAEERKAWRRNHPHGFVAKPETLPDGSVNLMVWHCSIPGKTGTDWEGGCYPVTIHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAIEYKKRVKLQAKQYPPLV >Solyc02g078800.3.1 pep chromosome:SL3.0:2:44013682:44021735:1 gene:Solyc02g078800.3 transcript:Solyc02g078800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCCFPASYPICGAEWLIVATNCLRVGVVEEFVAHSGNVNCLRFGKKTRRQFLTGGDDQNVNLWSIGKPTSTTNLYHLKYQTAVVLLDDLKQSLSGHTSPIESVAFDSAEVLVLAGASSGVIKLWDLEETKMVRTLTGHRSYCTACEFHPFGEFFASGSMDTNLKIWDIRKKGCIHTYKGHTRGISTIRFSPDGRWVVSGGFDNVVKVWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVNFWDLETFELIGSTRREAAGVRSITFHPEGRTLFCGLDDSLKVYSWEPVNCHDSVEMGWSTLSDLCIHDGKLVGGAYYQNYVGVWVADISLIDPHGAGSTPDRQSNLEQKQDHVESRLERIGSNRSSNSNLRCTSPDIDSKEIKNIYVDCKLF >Solyc05g009220.3.1 pep chromosome:SL3.0:5:3360278:3369662:1 gene:Solyc05g009220.3 transcript:Solyc05g009220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKEDSNVLDLFLKIGLDEKTAKNTLANNKVTTNLTAVIHEAAVTDGCDRAVGNLLYTVATKFPANALNHRPTLLQYIVSTKIKTPAQLEAAFAFLASTASENLKVHDFEEACGVGVEVSKDDIERAVSEVFEEKKTNILEQRYRINVGELFGHVRKKLPWADPKVVKEVVDLELYKLLGERTAADNEKPVKKKKEKPAKTEAKAKVEETSAPKQSEEELNPYLIFPTPEENFKVHTEVYFSDRPVLRACNSKELLEKHLKVTGGKVLTRFPPEPNGFLHIGHAKAMFVDFGLAKERGGGCYLRFDDTNPEAEKKEYIDHIKEIVGWMGWEPFKITYTSDYFQDLYELAVELIRRGHAYVDHQTADEVKEYREKKMNSPWRDRPIEESLRLFDEMKKGMIDEGKATLRMKQDMQNDNFNMYDLIAYRIKFTPHPHAGDKWCIYPSYDYAHCIVDSLENITHSLCTLEFETRRASYYWLLDALSLYQPFVWEYSRLNVSNTVMSKRKLNRLVTENWVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDSSMIQLSRLEYHIREELNKTAARTLVVLNPLKVVITNLEAGLVMDLDAKKWPEAPADDASSFYKVPFSSVVYIERTDFRLKDSKDYYGLAPGKSVLLRYAYPIKCTDVILADDKETVLEIRAEYDPSKTIKPKGVLHWVAEPSPGADPLKVEVRLFDRLFRSENPAELDDWLGDLSPESKVVIQNAYAVPSVSKATLGDRFQFERLGYFAVDKDSTSEKLVFNRTVTLRDNYAKGGK >Solyc12g008477.1.1.1 pep chromosome:SL3.0:12:1888246:1888596:1 gene:Solyc12g008477.1 transcript:Solyc12g008477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGEEFEDNYRSDIIRYIISEVRDVFDDESNKGREKVEVSVDTIFVVKRFVDKNILTDDCMICLEELEKKGEVMCTPCSHVFHEDCIVEWLENGNACPICRHDFLNKDFSSSIH >Solyc10g047685.1.1 pep chromosome:SL3.0:10:41744797:41745324:-1 gene:Solyc10g047685.1 transcript:Solyc10g047685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQNNSYILLVDHDKGSQTTVLAGTMCCRDLECVTTSKASKETDIHSFSFVALEIAYGRKPSDPKAEEHQINIVDWVWKLYRIENISDAITITGLLKGKQFRFLILKLHLPHSLHTFLYRHIAVRHNMDQL >Solyc03g083450.1.1.1 pep chromosome:SL3.0:3:54780679:54781014:1 gene:Solyc03g083450.1 transcript:Solyc03g083450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNMGHLLKSLIELPISKVGFSKNSAGRLICSSTQGEQINQDHDEEHDAHTLDEKEKVDYENEGNIKLEDNNDEEDTSNVNKKTGEIGGPKGPEPTRYGDWERGGRCSDF >Solyc01g056815.1.1.1 pep chromosome:SL3.0:1:56768384:56768431:-1 gene:Solyc01g056815.1 transcript:Solyc01g056815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKSVSKVRLYRLVGD >Solyc01g068020.3.1 pep chromosome:SL3.0:1:76874017:76876937:-1 gene:Solyc01g068020.3 transcript:Solyc01g068020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIFSSSTLLQMSAAAVVPSPVTMSGAATSSSASHILKLNHNHQLIVSLNGRRKSINASSSSFIGEYRLNCSISRSSRRISYPSPMRIRPLSLVMEWQDCTIKKEVDVPISVAYKCYSDREAIPEWMPFISTVKDVIKYLPILLGSMLVSCILDYFSLSSRLSIDLSQFVLHFILEDKPDLSRWSLKYQAFGQNIEYSWLARNMQPTPNQKIHWRSLEGLPNRGAVRFFPKGPSSCIIELTFSYEVPQLLVPVASALKPFTENLLTQGLERFATFAKSYSADTPKDV >Solyc08g029275.1.1 pep chromosome:SL3.0:8:37254506:37255615:-1 gene:Solyc08g029275.1 transcript:Solyc08g029275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFHSLIGALQYLAITRPDIQFAVNRVSQCMHQPSEHDYHFLKCILRYIFGTLGRGLLIRPGDLELRAEYRALALLAAETIANCYSFSQRTAFCVGKNIFGKRGDFKI >Solyc12g056970.1.1.1 pep chromosome:SL3.0:12:64007379:64007600:-1 gene:Solyc12g056970.1 transcript:Solyc12g056970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLVHSIHKDIAAEATAEIRWLRRAKQFFSPRGQLSFSTKSLICGATIWIRSPLSRDYESCNCTLIIHFNDQN >Solyc07g061940.3.1.1 pep chromosome:SL3.0:7:64944566:64946539:-1 gene:Solyc07g061940.3 transcript:Solyc07g061940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASPSPCFSKTLPPSSSKSSTLLPKSTFTFHNHPKKASPLHLTHTQHHSRFTVSNVILSTTTHDDVSEPEIFVSRFAPDEPRKGCDVLVEALEREGVKDVFAYPGGASMEIHQALTRSNIIRNVLPRHEQGGVFAAEGYARATGFPGVCIATSGPGATNLVSGLADALLDSIPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVMDVEDIPRVVREAFFLAKSGRPGPVLIDVPKDIQQQLVIPNWDQPMRLPGYMSRLPKLPNEMLLEQIVRLISESKKPVLYVGGGCSQSSEELRRFVELTGIPVASTLMGLGAFPSGDELSLQMLGMHGTVYANYAVDSSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSICADIKLALQGLNSIFESKKGKLKLDFSAWRQELTEQKVKYPLNFKTFGEAIPPQYAIQVLDELTNGNAIISTGVGQHQMWAAQHYKYKKPRQWLTSGGLGAMGFGLPAAIGAAVGRPGEIVVDIDGDGSFIMNVQELATIKVENLPVKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGNPANEEEIFPNMLKFAEACGVPAARVSHRDDLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRRSY >Solyc03g058860.3.1 pep chromosome:SL3.0:3:28550583:28559173:-1 gene:Solyc03g058860.3 transcript:Solyc03g058860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILLPSLSHSFPKFAGKRQNCRKFLGINRVARMCAFTPSNSKKKTVWIWTENKQVMTAAVEGGWNTFIFPSNRQDLALEWSSIAVIHPVFIKEGRLIDHEHKSVAAFAEISSPQQLEQFQISEEQSDKVVVNLLDWQVIPAENIVAAFQGTQTTVLAVSKNQSEAQAFLEALEHGLGGVVMKVEDVGAILELKGYFDRRREVDSLLNLTKAIITHIQVTGMGDRVCVDICSLMRPGEGLLVGSFARGLFLVHSECLESNYISSRPFRVNAGPVHAYVAVPGGKTSYLSELKSGKEVIVVDQRGMQRTAIVGRVKVETRPLILVEAKVESENESYSILLQNAETVGLVSPLHGEGHQRTTIPVTSLEVGSEVLLLLQGGARHTGIEIKEFIVEK >Solyc06g072865.1.1 pep chromosome:SL3.0:6:45076848:45080742:1 gene:Solyc06g072865.1 transcript:Solyc06g072865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLMLLGLKQAPQIVFLHNDRGCQNRHTQKSQAPKNQAISSNCPLGQTKPYSLSEIYQDCHAYQLQQRTVFDSSDQAHRYGFHTPLAVLLPYR >Solyc11g066140.2.1 pep chromosome:SL3.0:11:52124095:52129973:1 gene:Solyc11g066140.2 transcript:Solyc11g066140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTSSFESIRARAVWRTCLASAFRTALACSIVGVATLFGPESFKIQVAFPAFSYVTVILIVTNATLGDTLRSCWLALYATIQGVCPAILSLWLIGPGRLTASTTATAVALSAFVVVLPEKTHLIAKRIALGQLVIVYVIAYINGAKTEPIMHPVRVAASTAVGVVACVLALLLPYPNLACCEVKEKSKLFVENATERINLFVKAFSAEDNTSALALISKAKSLVNNGPKLLQAIKSKQESMKWERFPFKFLRPYGENPGDKFQEIQTPLRGMEIALENSSSIFPISILNIELKDGLEKLGDHISKQINNMSIDEWSATVPESNAHDAEKFLQTLQLIQPTKKDLPSLFFLFCLKLLLHKPTFPLSSKKGVDIGSNKQVDDDQEGFVKKTWNNLSMTINSRRFMTSFRCSLSLGLAIFFGSIYSKENGFWAGLPVAISLAATREATFKVANVKAQGTVLGTVYGVLGCFLFEKFVQIRFLSLLPWFIVSSFLSRSRMYGQAGGISAVIGAVLILGRNGFGPPSEFAIARITETFIGLSCSIMVEILFHPTRASTLAKIQLSNTFKILHECVDSIAFSSSNKNNSEEIQKNLKFHVNELGKFIAEAEAEPNFWFLPFNSGCYGKVLGSLSKMMEYLLFGSQALRFLQQHSTSSIDWNNIDADLMLFKDLISTSTKCFEEVSLVKSLAILDKEFEKKKNSIDLELGKSSSYNIRSLSSNDQDGILTSYLQHSNELVDFIINVGDDKNNDEKLKGQLVLSLSALGFCMESLVKETREIEKAIKELVQWENPSCHVNLYDISCKVRALANTQTN >Solyc01g007025.1.1.1 pep chromosome:SL3.0:1:1577293:1577730:-1 gene:Solyc01g007025.1 transcript:Solyc01g007025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIQIPPYFICPISLEIMKDPVTISTGITYDRENIEKWIFSAKNNTCPVTKQSLITGIELTPNVTLRRLIQSWCTINASHGIERFPTPKPPISKPQIIKLLKEAKSPKMQMKSLKRLRSIASENDANKRCMESAGANGVLSFYY >Solyc11g040110.2.1 pep chromosome:SL3.0:11:40119615:40124501:1 gene:Solyc11g040110.2 transcript:Solyc11g040110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHCTKSSLPAADAHLPTLRLPNPRRSPAPELHFGFNSFSTRTSKLQVKALDRNSNNSSTGDGVGASKNAASSPSSSVPNTNYVVPLDKASSCITRPLAEILRDLNKRIPDNIIKAGNNDDHSTFITWYHANRMLSFYAPGWCGEVRDVIFSDNGSVTVVYRVTIRGSDGEAHRESTGTVSPGNGQYTDVVAAAEEIAFCRACARFGLGLYLYH >Solyc03g071680.1.1 pep chromosome:SL3.0:3:20447521:20450038:1 gene:Solyc03g071680.1 transcript:Solyc03g071680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCDPFISTRQGFRSRLENIVRGQVSTNSESSSNSGNGGLRSNQAHANPLQEVQNENVEQQQSREQEHITPQFPDHRESFDSSTTGQATTWPVSPNQGRSQVEPVVEAYGANQQDATSNEQTHGTMENTSGNWPQNQPIAWPPETTGDMNRDEHRLPENNEVWHEDGSREAVENPSLGPSNPPRMRRSVPYRRISRFHPPDDDNVYSMELRELLSRRSVSNLLHSCFRESLDHLIQSYVERQGRDPIDWDLHRNLPIPNSTERDPDQQNVAQPEGISRPSHVQPSPPVPPPQPLWHQDLHHSSWPCHTVHRSELEWEMMNDLRMDMAKLQQGVNHMQKMLEACMDMQLELQRSVRQEVSAALNRSADGQEVVETSADGSKRGHVKRVSYTLQCGHMCTCYKCANELVRGGGKCPLCRAPIVEVIRAYSIL >Solyc09g091270.2.1.1 pep chromosome:SL3.0:9:71041938:71043503:-1 gene:Solyc09g091270.2 transcript:Solyc09g091270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNSAIKFLKHVISVLSTLTKSKSTAIKSKTEAIKAKLMVLSLLKSKKLSLSGLGTKAISHKIHSLLGHKDQDHEDENNKAIVLVYNHAPEVGEDHVHYQHNEANEEILLLLSNGENYDYDDDKYPDLTHSLFDEEDEYLGDPNASAIDMVRNFKEEEGENFVLEDEIDNVADLFIKKFHKRMRLQKLESFKRYQEMLQRST >Solyc08g082680.3.1 pep chromosome:SL3.0:8:65507242:65509043:-1 gene:Solyc08g082680.3 transcript:Solyc08g082680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPESPSPPHLYPQALQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASIGSNSPLVILPRSSNQVIIHGDVDVKGMVKNYKLPVILFDEDSMMRDSQCCVCLGEFEIKEELHQLPSCKHIFHVECIRHWLRSNFSCPLCRCHVLVTNKNPQPLQPPPSNHNPPIVIEEEREQRDITISREEHEQHVVVIVEELSSASSSSSSIVDNIERDFSNVETHVINIDKAPDI >Solyc04g009220.1.1.1 pep chromosome:SL3.0:4:2705780:2708338:-1 gene:Solyc04g009220.1 transcript:Solyc04g009220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALCRIRRPHWLFSNSLKRLLNIWTHHNILIQTPFTKHNLSPFSSTPTSQKPINSPPPISIDDSRIVDRLVHIFTKPLDSSKSQELDELGSKITTCIVEFVLRKLRSWRIAHLFFNWASNLKGYRHNCHTFNLMAECLSGARQIDSMRVLVNDVVKFQCYFTPRGLGFFIRCLGSQGLVKEANELFDQMKKSGLCVPNCFTYNCLLDAISKGGDVGLIELRLKEMCSYGWELDKYAYTPVLQCYCNAGNFENALVVFNEMHEKGLVDAHVLSILLVSFSKWGKVDKAFELVERIEELNISLNEKTCFVLIHGFVREGKTDKALQLLDKMKKLGFVLDISVYGVLIEELSRNKEIEKAMQLYEDMNVSGVHPDIKIRSDLMSCVRDERDMIRIVEGRYESLDLKARMLLYNSVLKGLINNGSTDKAYRLLSASTGLDSSGDFNEDNLFPMKELACPNTISFEIVIDGLCRVDRLEIALSLFRDMDHIGCKHSVLLYNNLIDSLSRASRLNECYKLLDEMKQSEFQPTHYTYNSIFGCLCRQGDDAGALAMVREMRVHGHQPWIKYYTLLMKKLCKDGQVVKASNFLADMVHEGFLPDVVGYSAVIDGLVKIKQLDDALNLFRGICARGYCPDVVAYNIMINGLCKAKRVLDAQNLLDEMMAKGLIPSVVTYNSLIDGWCKNGDVDRAIAYLTRMNVKEREPNVITYTTLIDGLCNAGKPSDAISLLVNMEANGCSPNRITFMALISGLCKCRKPDDALIYLQEMERKDMKPDPSIYIVLIDAFIKNMNPNEACELLQKVVHDESLLDLNIKSRPILKEAIRSLSADPRTSSHVKILLEEGHLTALCNVCEIG >Solyc01g110050.2.1 pep chromosome:SL3.0:1:96767833:96775774:-1 gene:Solyc01g110050.2 transcript:Solyc01g110050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVSTMTSFGYCILVVLGLILNESVLCNGGVTSSFVRKVEKTIDMPLDSDVFSVPPGYNAPQQVHITQGDHVGKAVIVSWVTMDEPGSSTVVYWSEKSKHKCKASGKVTSYTYYNYTSGYIHHCNIKNLKFDTKYYYKIGIGHISRTFWFTTPPEVGPDVPYTFGLIGDLGQSFDSNKTLTHYELNPIKGQAVLFVGDLSYADNYPNHDNVRWDTWGRFVERSTAYQPWIWTAGNHELDFAPEIGETKPFKPYTHRYHVPFRASDSTSPLWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEEELPKVNRTETPWLIVLVHSPWYNSYNYHYMEGETMRVMYEPWFVQYKVDMVFAGHVHAYERTERISNVAYNVVNGECSPIKDQSAPIYVTIGDGGNLEGLATNMTEPQPAYSAFREASFGHATLAINNRTHAYYSWHRNQDGYAVEADKIWVNNRVWHPVDDDVFRIPPGYNAPQQVHITQGDHEGKAVIVSWVTMDETGSSTVVYWSEKSKLKNKANGKVTNYKFYNYTSGYIHHCTIKHLKFDTKYYYKIGIGHVARTFWFVTPPEVGPDVAYTFGLVGDLGQSFDSNKTLTHYELNPIKGQAVLFVGDISYADRYPNHDNNRWDTWGRFAERSTAYQPWIWTAGNHEIDFAPEIVSDTVMEKQSPSRPYTHRYHVPYKASNSTSPLWYSIKRASAYIIVLSSYSTFGKYTPQYKWLEKELPKVNRSETPWLFVLVHSPLYNSYNNHYMEGETMRVVYEPWFVQYKVDMVFAGHVHAYERTERISNVAYNVVNGECSPIKDQSAPIYITIGDGGNLEGLATNMTEPQPAYSAFREASFGHATLAIKNRTHAYYSWHRNQDGYAVEADKIWVNNRFWHPVDESTAAKS >Solyc10g077050.2.1 pep chromosome:SL3.0:10:60054843:60069162:-1 gene:Solyc10g077050.2 transcript:Solyc10g077050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGITNQDLAFSSKSMDTSKLKKRLYQVWKGRNKFLCGGRLIFGPDAASLYLSTFLIGAPALTFCIKMLFMIPKVSPIYGHVVLILGLIITVLALSFLFMTSSSNPGIVPRNSRPPDLDEILNASSASMEWVGNAAPDVKLPRTKDLFINGHSVKVKFCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGVRNYRCFFLFITSTTTLCIYVFTFSLLNLLGQPGSFLHAMSTDIVSVVLICYCFIAVWFVGGLSVFHFYLMSTNQTTYENFRYRYEKKENPYSRGILKNLREILCSKIPVSLVNFREWVIEEDDASMRSMSMSHRFGSINSKGKFDLEMGGILGKDANFQVDYVMVREKHKPLKLYQVWKGSNKFLLGGRLIFGPDVSSLFLSTLLIAGPALAFCIKVSCVIRHRIREHKDAGPWYPILVIGAVLTIMDIVFLFLTSSRDPGIVPRNITPPESDETFDIHTPSMEWVNGRTPHLKLPRAKDVIVNGHTVKVKYCDTCLLYRPPRSSHCSICNNCVQRFDHHCPWVGQCIGLRNYRFFYLFISTSTILCIYVFVISLVNILHRGGNLWRAISQDILSDTTYENFRYRYDKKENPYNRGSIENIKEVFFSKIPPSLNNFRAVFKENDIVMVEPTTSNFVGNIHSSKEKIDIEMGTMFPEDSGLSLPEILRNLEYEEIDDTLKSREWTRDAYSDSGEQMRSITSLKETIDTEVGDNFAEDKGTAQAEISQNMNGDSMEKNFKNKDEGGRTDYEPFWFSLEQEKESVKSSTLGSASNVEENSEEVSSSALYMTPINDRSPKV >Solyc12g005920.2.1 pep chromosome:SL3.0:12:547672:553105:1 gene:Solyc12g005920.2 transcript:Solyc12g005920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFVGVVVSDQWLQSQFTQVELRGLNSNFLSARNQSGKVTLGDLPPMLCKLKAFKDILNEDDIKAIFSESSSDMSEEIDFESFLRAYLNLQARATAKGDSKTSSSFLKATTTTLRHTISESERGSYVAHINSFLRDDPFLKDFLPIDPSTNQLFDLAKDGVLLCKLINIAVPGTIDERAINTKKVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLIEARPHLVVGLISQIIKIQLLADLNLRKTPQLVELVEDSQEVEELMSLSPEKVLLKWMNFHLKKAGYKKEVTNFSSDLKDGEAYAHLLNTLAPEHGTTSTLDTEDPTERANLILEQAEKLDCKRYVTPKDIVEGSANLNLAFVAQIFQHRNGLTADTTKLSFAVMMEDDAQTSREERCFRLWINSLGTDTYVNNLFEDVRTGWVLLEVLDKISQGLVNWKQATKPPIKMPFRKVENCNQVISIGKELNFSLVNVAGSDIVQGNKKLILAFLWQLMRFSMLQLLINLKFHALGKEITDADILNWANSKVKSVGRKSQIESFKDKSISSGKFFLELLSAVEPRVVNWSLVTKGRTDEDKKLNANYTISVARKLGCSIFLLPEDIIEVNQKMMLTLTASIMYWSLQTKTEDSESNTAENASSEASPAAASDGDIASTTSEVEKEVQEER >Solyc05g009450.2.1 pep chromosome:SL3.0:5:3620079:3622559:-1 gene:Solyc05g009450.2 transcript:Solyc05g009450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSESRRQSQKSKIREESARPMRKIRIVCDDPEATDSSDDEGVDVSKPKRFVREIYLPVVSSFTLKKVPETESSCQDSNNGDKKRAKTPKTPSGPRPSSSKYRGVRQRKWGKWAAEIRDPFKSRRVWLGTYNTAEEASHAYEMKRLEFEAMAKSNSNTDVSEKSSNNECNNKNHRKVNNAGCVSEEDDSAQSLVSHTSHTSPASVFEMDSLTSGSAAVSEVNNNDKLVVQLVDVDKMGLMEDSLSLADIGARMDFDTEMDMFFAGNDNFDQNLDDFAVNDFEDLPICGLDEQLPADRETDARTY >Solyc12g042520.2.1 pep chromosome:SL3.0:12:58802900:58804295:1 gene:Solyc12g042520.2 transcript:Solyc12g042520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSFATLLLVTLFLTTFFIPATIAGSEDFCDSKCNVRCSKAGRQDRCLKYCGICCEECHCLPSGTYGHKDECPCYRDKKNSKGGPKCP >Solyc09g091610.3.1 pep chromosome:SL3.0:9:71318334:71327310:-1 gene:Solyc09g091610.3 transcript:Solyc09g091610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQTLIYSFVARGTVILAEYTEFTGNFNSIASQCLQKLPASNNRFTYNCDGHTFNFLAESGFTYCVVATESAGRQLPIAFLERIKDDFSKKYGGGKATTATPKSLSKEFGPKMKEQMKYCVDNPEEINKLAKVKAQVSEVKGVMMQNIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKIRRKLWYENMKIKLIVLGIIIALILIIILSVCPGFKCMS >Solyc01g106070.2.1 pep chromosome:SL3.0:1:93957203:93958710:1 gene:Solyc01g106070.2 transcript:Solyc01g106070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLLSVTIVCIKLLAWPMLSLGYPLFVTIRAIEAGSVYDKRKVATYWIQFKLPNAITHLCSEWLKKLKKHSVSINKETFLAVAESCLDENDSLIANKIENISTSAIQVLSKPVIPAVAICPDTSSSLAVFQSRWTCEICQVTVRSELTLQSHLRGRKHRAEAMLWCTFCNLRVSGEIDMIAHLKGKRHLAKLQETYTDSETS >Solyc07g061880.2.1 pep chromosome:SL3.0:7:64901130:64903727:1 gene:Solyc07g061880.2 transcript:Solyc07g061880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESKTAPTPMAFRPPSTSDSRLFDNPTLYRSIVGGLHYLAVTRPDIQYAVNRVSQSMHAPTEQNFQALKRILRYLKGSLRRGLLFTKGNLELSVYSDSDWANDEDDRRSTTGYLLFLGPNLIFWCTKKQTRVSRSSTEAEYRAMATGVAEAMWLHHITDALGNNNKQRHVGINARQRNRASISGQTYDSGRAGGGNYNGNDVSGGGAAFMAGAAAIGGFEKDRSIRRDWSFPHSPPLDPDLSDVEDDDKYYTYTQKSATHSIVLGESTTKVVYPNDDDYDDDDIGYISHDEGIYYSENNSVNDSTNGVDRGYEYDDGDGGDDDDDGYVRADGGEEDGGDGNYSGEGGSSFRGDDYESA >Solyc12g038030.1.1 pep chromosome:SL3.0:12:49395673:49396063:-1 gene:Solyc12g038030.1 transcript:Solyc12g038030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVRIVTSLWDIIVDLFTDRCPLAYKNFLKLCKIKYYNNCLFHTVRKNITNSKRGTAAMAVAGTGEKNLNASQFYITLRDDLNSLDGEHAIFG >Solyc12g035250.2.1 pep chromosome:SL3.0:12:39590385:39595742:-1 gene:Solyc12g035250.2 transcript:Solyc12g035250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTVGVEGTLRPSLNPTMNMGIPRQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPNAHMLGPVTGQGSLPVIGPSGSLASGKALHNKSKFPVIDDALGLTDAFVDGSMAGGADFYDPDQPLWSNDRSEISAALQNLNSSNCDDTGRLLVEDSSDNDQVGLCNAFDLEHPLRGAKAATGSQSVWGRNRRSKNKLNVKERTACTGNASSFDETGKDLELLGSSQGIFLPGKLMNEETIDPQMKDFSSRPQSSSGHNLRKPPQKALRTLFVRGIPQRDNKLDALLSHFKKFGEVIDIHIPLSGDRAFVQFSKREEAEAALKAPDAVMGNRFIRLLWANRDRIMDDGVNGVSNSPLTSRGVTPSLVPPHLSVPYKGNDNIQSSAPKAAEHGPVAPSPTSALPKPVGHNGPKAAPALQKKVASLELLKVELRKKQELLDQKRSEFRRQLNKLEKQAVGVKAEAISDQDLEKQKEGETVSDSTKVRSSSMRLNDVSSTQAEVVSDNNRSTENAEHSCPISCSAAATQEPSSLRQSIPPVAPHGAPFVFNRYKLDNRPTAFKVLPPMPSGLANVTVLKEHFSTFGDPPAVEMEDLEPQDGNNGSEMLNISASICFPTRRSAERAFSNGKIWQGQALQFMWSQSSNSTKDIDVKKDGDPALKQPLDANVQTIPQDTGRKCCRD >Solyc12g038510.2.1 pep chromosome:SL3.0:12:51384236:51396464:-1 gene:Solyc12g038510.2 transcript:Solyc12g038510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:J2 description:MADS-box protein J2 [Source:UniProtKB/Swiss-Prot;Acc:K4DEK0] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSILCDAEVALIIFSSRGKLYEFSSASSMMTTLEKYQQCSYASLDPMLPVSDTQMNYNEYVRLKARVELLQRSQRHILGEDLGTLNSKELEQLEHQLDASLKKVRSKKTQSMLDQLADLQEKEQMLEEANKQLKNKLEESAARIPLGLSWGNNGGQTMEYNRLPPQTTAQPFFQPLRLNSSSPQFGYNPNMGANDHEVNAATTAHNINGFIPGWML >Solyc01g109120.3.1 pep chromosome:SL3.0:1:96067219:96069299:-1 gene:Solyc01g109120.3 transcript:Solyc01g109120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKNNSMGAMLLQKESFRRTKFGTLLYSDPISDHEESSNNSARSSNASSAPSPPRTSSFNGTNTTANNSPNYFMSPWNQSGSPYVKSPWIHQPLLDSSEESESSDFKNGLIGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNLKEYSGFKCQSGLVKAIVVYGDKIFTGHQDGKIRVWKFLGKKRKAYKRVGSLPTVRDYLKSSINPKAYVEVRRNRNVPWIKHFDAVSCMSLDKERGLLYSGSWDKTLKVWRLSDSKCIESINAHQDAINSVVVGFDGLVFTGSADGTVKAWRRELVGNNAKHVLVETLLKQDNAVTSLAVNAAAATVYAGSSDGLANFWERQKHFLEYGGALRGHKLAVLCVAVAGSLVLSGSADKSICVWRREEGGIHSCLTVLTGHNGPVKCLTVEEDSNGSDNDSEDEAPVEKRSDKYWRVYSGSLDNSVKVWRLSENSFSGFEEI >Solyc01g107410.3.1 pep chromosome:SL3.0:1:94876065:94883634:1 gene:Solyc01g107410.3 transcript:Solyc01g107410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLGNTSVSPEKARTAGGGHHTASAGNMSENLGADQSRESCENTVQNLNQSEYREKSPGQPRKRKSISGSPISSTRLLRSKSKEKSGASEAKNTVVTHDATEEKKRKRRKKKHSKHIAANEFTRIRGHLRYLLQRIKYEQTLIEAYSGEGWKGQSLEKIKLEKELQRAKTHIFRYKLKIRDLFQRLDTLLAEGRLPASLFDNEGEIDSEDIFCAKCGSMDLPADNDIILCDGACERGFHQLCVEPPLLKEDIPPDDEGWLCPGCDCKVDCIDLLNDLQGTDLSVTDSWEKVYPKEAAAAASGEKLDDISGLPSDDSEDDDYNPEAPDVGKNDSEDESSSDESESDFYSASEDLAEAPTKDDEILGLSSEDSEDDDYNPDDPDKDEPVKTESSSSDFTSDSEDFSLIVDTNRLRGDEQGVSSSVDNSMPNSVSLKEKAKVGKAKGNSLKDELSYLMQSDSPLVSAKRHIERLDYKKLHDETYGNGSSDSSDEDYDDGPLPKVRKLRNAKGAMAAPSSTPADIKYQSGKQKGSGHASDSGISEKLKVGGTGTSESPSSGKRKTYGEVSTKRLYESFKDNQYPDRDAKEKLGKELGLTAHQVSKWFENARHCHRHSPNWKKIMSHKVSEESPSKSQIIGEPLGTESNSIIASCNGVEKLEQPKQCLNGEKGHAIDKSEEELLIQDTSGKKSSEPTKKVHTTNEGSEDTPRSKTSKKQKAKVGTANDNTTEQASGGTPCSKTYKKQKAKVGTANSQNDNTTDQGPDDTPQSKTRKKPMVKVDTPNSQNVRRSSRLQKQG >Solyc07g008410.3.1 pep chromosome:SL3.0:7:3205399:3212597:1 gene:Solyc07g008410.3 transcript:Solyc07g008410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDYNYLPSFNSEDDMQSIDGLKTFFHEFYIESKKLWSLASPAISTSICQYSIAQITQLFAGHLGVLQLAAVSVENSVIAGLCYGALLGMGSALETLCGQAYGAKQLDMLGVYLQRSLIILNTAALVLMFLYLFATQILLFIGQPMDIAKWAGKFSIWMIPQLFAYAMNFPIQKFLQAQSKMMVMAVIAAIALVGHTLLSWLFMMKMDLGLVAGAVVLNGSWWFMVLAQFVYILCGTCGEAWSGFTFKAFENLWGFVRLSLASGVMICLEYWYFMALIISAGYVKDAKIAVDAVSICTSIVGWTFMLCIGFNAAISVRVSNELGAGHPRTAKFSVLVVSITSLLIGTILTIALFVARSRYPPLFTKSFEVQQAVYEFTPLLGTTIMLNGVAIGAGWQIYVAYINIVSYYAFGIPLGLIFSFCLDMGVKASVAEERVKEWRGSK >Solyc08g041770.3.1 pep chromosome:SL3.0:8:25937589:25942294:1 gene:Solyc08g041770.3 transcript:Solyc08g041770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGKMFFTVVITFSAALITYNILISANASFKQEFPGPSSAKTLFSQDPIIKMPSNNNIINKKKRLFHTAVTSSDSVYNTWQCRIMYYWFKKFKDEPNSEMGGFTRILHSGKSDRFMDEIPTFVAQPLPSGMDQGYIVLNRPWAFVQWLQQAQIEEDYILMSEPDHVIVKPIPNLSKDGLGAAFPFFYIEPKKYESVLRKFFPQQNGPITDIDPIGNSPVILSKEALKKIAPTWMNVSLAMKKDPEADKAFGWVLEMYAYAVASALHNVGNVLHKEFMIQPPWDTEIGKAFIIHYTYGCDYDMKGKMTYGKIGEWRFDKRSYDNTWPPKNLSLPPPGVPESVVTLVKMVNEATANIPNWGS >Solyc05g032630.1.1.1 pep chromosome:SL3.0:5:46616035:46616331:-1 gene:Solyc05g032630.1 transcript:Solyc05g032630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFENQNKASPELAASLPEKGEGECSFWLSLTPVAVLYRRLPRDALIRFPPASIPAGAEEERENREGKQGKKRNDEWRERGRGRRLREGTKGEVKREK >Solyc00g007070.3.1 pep chromosome:SL3.0:2:32699142:32701914:1 gene:Solyc00g007070.3 transcript:Solyc00g007070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGSRGAMLGSGGFSDGYEIGSKRPRMMESNPYFAVNSSASGYQQAFDYDNRCQPSAFPVVRLRGLPFDCTEIDIYKFFAGLDIVDIFVVNKDGRFSGEAFVVFAGHIQVDYALRRDRQNMGRRYVEVFSCKKQDYYQAIAAEVKEGGYESDYRASPPPRPKRAQNKDQMEYTEILKMRGLPYRCKKSDILKFFGDEFNLTDDKINITYRSDGKATGDAYVEFASAEEAKKAMCKDNMEIGSRYIELFPSYPDEARQGGSRSRR >Solyc07g056680.1.1.1 pep chromosome:SL3.0:7:64612680:64612889:1 gene:Solyc07g056680.1 transcript:Solyc07g056680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKLMANLVGEVLPIQSNLISIDHTMTRDIKDCMYFDSTICTKNYSVWIRKYHMFGGKTCLSQSKPTS >Solyc07g017683.1.1 pep chromosome:SL3.0:7:7723526:7724035:1 gene:Solyc07g017683.1 transcript:Solyc07g017683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSQQSQFELDDSLMSSLSNIKSICVTENTINAEQSIIMHIQTTSNDNSNMQKQASMESQNKISHVHTSLPAHRIRRPGPFNASLYLTSFDSSAATSSVQPIIFELKHPFIFDLSSGKS >Solyc06g051400.3.1 pep chromosome:SL3.0:6:34845188:34847723:1 gene:Solyc06g051400.3 transcript:Solyc06g051400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Omega-3 fatty acid desaturase [Source:UniProtKB/TrEMBL;Acc:Q7X7I9] MASWVLSECGLRPLPKIYPKPTIGFSSSVSVSATSLNLRRISPSPIRTDRNCWALRVSAPLRIQIAGEEEEQTTNNGDEFFDPGAPPPFKLSDIKAAIPKHCWVKNPWTSMSYVVRDVAIVFGLAAAAAYFNNWLVWPLYWFAQSTMFWALFVLGHDCGHGSFSNNHSLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLSEKLYNSLDDITKKFRFTLPFPLLAYPFYLWGRSPGKKGSHFDPNSDLFVASEKKDVITSTVCWTAMAALLVGLSFVMGPLQMLKLYGIPYWGFVIWLDLVTYLHHHGHEDKVPWYRGEEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYKEPKKSGPLPFYLLGYLIRSMKEDHFVSDTGNVVYYETDPNLYGSKK >Solyc03g113360.3.1 pep chromosome:SL3.0:3:64993373:64994772:-1 gene:Solyc03g113360.3 transcript:Solyc03g113360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKSPIFLVSEKVLGSACAACKYQRRRCHDCPLAPYFPADQPKMFQNAHRLFGVSNILKILRQLDPSQKKVAMRSIIYQANARDKYPVYGCVAEIQNLLYNIQFYEEELQAVNAQLTFYRQQQQQQEISTSLSDSVSQIQLGMPPPVSASTPANGNGLTLFHQDIHQDMTSPYNVAANAAYNNTTFVDPKENNAVNSLWIQQSYGNNVCNNNIMQSQLPASQTLVVPQEVTQDYDGIHPYFDGIDDRQSYNGSKDNVNIILQVKSNKYKTREPYVFD >Solyc01g073700.3.1.1 pep chromosome:SL3.0:1:80954729:80956511:-1 gene:Solyc01g073700.3 transcript:Solyc01g073700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFREFEGEATLLLNRILNVIDLMRPSKVVSAESVVHHLIRRNGVGNAVGRFRARESKTTASGRGINGVQVKIYVSIKIVNVNATITVKFRDFEVGIRAEEILNRFVERMK >Solyc11g005610.1.1.1 pep chromosome:SL3.0:11:474697:476175:1 gene:Solyc11g005610.1 transcript:Solyc11g005610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECENFQPNYINPYIEYSNLETTFSWNNPSIINPQCSIQDFVTIVNDVDILDYFPNDFDFIDGDVDIPLQNTQQKIIQGDTELSREGQKSRVCNPRLKFLQDHLMEETSVTDLLLMGAEAIEARNLDLASIIVLRLNTILPNQENRENSPVERLALYFTQALLCKTLNNSSHELLNLNQYQSEFTSSMTAFQMLQEISPYVKFAHFTANQAILEATKGSQQVHILDFDIIEGIQWPPLMVDLVERGNTNSSLRITSLVSDHSNSCHVEKTGQRLQEFANSINLPFMFDQILLEDLEKLQVVVEGHNNLIANVMIHQLHMPQRGSSLVKTFFNGLRKLSPKLVVLVEEELFNLSKISSMPFVEFFCEALHHYTTIYDSILGGFGGGYKLALRVIEKEFLRVRILDSLRQFPSDKLERERWSKGLYSLKGFRQIPMSSSNVRQAKHLVSLFSGGYWVQNEQSKLALCWKSRPLTSASIWVPISSTSSPSSSISF >Solyc04g081060.3.1 pep chromosome:SL3.0:4:65195702:65201978:1 gene:Solyc04g081060.3 transcript:Solyc04g081060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVYGEEASQYGDDHGSVAHEEKEKIFVAVRLRPLNEREITCNDVSDWECINNTTIFYKNSMSERSLFPTACAYDRVFGYDCSTRQVYEEAAKGVALSVLSGINSSIFAYGQTSSGKTYTMSGITEYTLADIYDHICRNVDREFTLKFSAMEIYNEVVRDLLTPEDTPLRLLDDPERGTVVEKLTEITLKDWNHLKELLSVCEAQRKIGETALNEVSSRSHQILRLTVESTAKKFVGLNSSTLTAAVNFVDLAGSERASQTMSANVRLKEGSHINRSLLTLGTVIRKLSKKGNGHIPFRDSKLTRILQNSLGGNARTAIICTMSPAHSHVEQSRNTLLFATCAKNVITNAKVNVVMSEKALVKQLRKELARLEAELRSLSALAASGGSSEALKEKEDLIEKMSREIRELTQQRDLAQSRFHNFPSSGSWTELSSVSSPDKAQWLDDCAASEVSECVYPFRPDGVSAVSQYGRYGGLNSNKLGEQIPEPPEDQYLCDDTSPRLFIEKYFGPDPCKGWENSAQRTVQNLEDNCKEVQCVEVDSNTKSISSDKHSSPRKGDQESSFIDRDHNDKEPKQTSNLVVEHSSSSSSDTDSDSNNLPRSRSSEAIIINVPVSEGSEVIKENVDISSKSEEELSIKKIDIEEKPSQPELSADNVKLLSKEHNRSFTIEVKLKMSGEDCEKICAEEPKMSGEDSIKICAEGEVAKSVPEKQSGDNLVQDDEHTSKDLGNFAADSLNSENESELSPSRQWMEFEKQRQEIIELWNACNVPLVHRTYFFLLFKGDPTDSVYMEVELRRLSYLKNAFSLGAKVVKDGQIFSQAASLNALNREREMLSKLLLKKFSSKERDSLYEKRGIGLKTKKRRLQLCHQLWKDTKDMDHIKESAALISKLVGFEAQNEVPKEMFELNFSPGPKNLRSFSWKPRKA >Solyc04g051330.1.1 pep chromosome:SL3.0:4:50291248:50291473:1 gene:Solyc04g051330.1 transcript:Solyc04g051330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKHDLMNEKIEKLERLLDLERKLLAFLYELESSMETYAVEK >Solyc03g113910.3.1 pep chromosome:SL3.0:3:65433008:65435436:1 gene:Solyc03g113910.3 transcript:Solyc03g113910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMALRVLLLLVLFFLTVKAQDSIIDLKEVEEDKQQHVGLSQALRVFTRGANRRLVQDIVLKVAKYLNNGDIALAPAPAPPPSPLDCGGLCKYRCSLHSRPNVCFRACGTCCVRCKCVPPGTFGNREKCGKCYTEMTTHGNKTKCP >Solyc07g026780.1.1.1 pep chromosome:SL3.0:7:31484445:31484657:-1 gene:Solyc07g026780.1 transcript:Solyc07g026780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDHDKILQAFDYMNIQHVPDVGYSRGITLLWDITEATIEPFVITDEEIDANIEASSTPPKLFFSIVYAK >Solyc05g045705.1.1 pep chromosome:SL3.0:5:58341474:58342576:1 gene:Solyc05g045705.1 transcript:Solyc05g045705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNDDEESGWTTYLEDFSIPSLLSDAASHAAWKQNSQSPFLKRLNLKKQKRNNKISDPDLEDTASSPVNSPKVSNFKQMDINYRTENSSGQFQIMKRVERSNKSFDGKDNNGYIELKKKGLCLVPWSMIINNHG >Solyc12g041895.1.1 pep chromosome:SL3.0:12:56472726:56475116:1 gene:Solyc12g041895.1 transcript:Solyc12g041895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSADVSPLFPVLEQHLQCYTKSTSATTIVVLASSTVESCSSSSSSASYVSSTSPSHVSVPEVIRSSRPYKSHVWMSDYICKGHGNANCCYPLSQVLDGAKNPFTTPLETNLKLTYVDYDSVINSSSEENDDKLLTNPGQYQRLVGRLLYLTMTRIDIAYVVQVLSQFMHKSKQSHLEATLRVVKYIKGTLGLGLLMPTDSSCKLEAFCDSDWGGCLQTRRSLTGYLFKFGNGIVSWKSKKQETVARSSAKAEFRSMASVVAELTWLVGLYKELGITVENVKLSDHFELIADEVLRVLSSEVDLAYLHISIFFNVV >Solyc11g045400.1.1.1 pep chromosome:SL3.0:11:30886860:30887033:-1 gene:Solyc11g045400.1 transcript:Solyc11g045400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTKCCWVKSDTLDQKGESFAFFFLWLSTSPPTSSPKINKISSLFCLQLSQKTLLVV >Solyc01g068065.1.1 pep chromosome:SL3.0:1:76898963:76900854:-1 gene:Solyc01g068065.1 transcript:Solyc01g068065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKTFKDEYSYDERLTESQDIIAKYPDRVPSMLTSQIKERNILTTNSSDLPSCFTHWLLLDGPPCIVHICHHLMQVVAERYSKTDLPEMEKKK >Solyc12g009410.2.1 pep chromosome:SL3.0:12:2687698:2691268:1 gene:Solyc12g009410.2 transcript:Solyc12g009410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit alpha [Source:UniProtKB/TrEMBL;Acc:K4DC29] MAASASSFAANNFLQPINSTRSIDNNNKKTLLGNQLKDSSFFLGSAKKLYMKKPFSSQNSKSRSNGVFAVSEVVKNKKPNTDSSLSNLLITKDEGLELYEDMVLGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKKEDSVVSTYRDHVHALSKGVPARQVMSELFGKTTGCCRGQGGSMHMFSKEHNVLGGFAFIGEGIPVATGAAFTSKYRREVMKEADCDHVTMAFFGDGTCNNGQFFECLNMAALWKLPIIFVVENNLWAIGMSHLRSTSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAVARARRGDGPTLVECETYRFRGHSLADPDELRDPAEKNHYAARDPISALKKYMFENNLASEAELKAIDKKIDELVEEAVEFADESPHPVRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTAHV >Solyc10g074910.2.1 pep chromosome:SL3.0:10:58681873:58693291:-1 gene:Solyc10g074910.2 transcript:Solyc10g074910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTLHLVSTSTVASSSSSSSLPTIVSLNCCRCQPSNQLPFPNSNLGFLKVKRQPKVSNLKASFWDSIRSGFGKNNIIQVIDTPSSEEEEEEPLPEEFVLVEKTQPDGTVEQIIFSSGGDVDVYDLQDLCDKVGWPRRPLSKLAAALKNSYIVATLHSRKFSSGEEGSGEKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKVLIEKLIRTLLQRDIGNISLFADSKVVEFYRNLGFEPDPEGIKGMFWYPMY >Solyc03g007670.3.1 pep chromosome:SL3.0:3:2200943:2205601:1 gene:Solyc03g007670.3 transcript:Solyc03g007670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDLETRAKEAFIDDHFELAVDLYTQAITLSPKNPELFADRAQANIKLNYFTEAVVDANKAIELDPTMSKAYLRKGLACMKLEEYQTAKAALEIGASLAPGELRFSKLMKECDEHIAEEAGELPNLSVDKTSASVVAHPASELPDNVAIAPEGAQPTVNQSHQGSAAKPKYRFYAKAFAVHEFYQKPEEVVVTIFAKGIPAKNVVVDFGEQILSVSIDVPGEETYSFQPRLFGKITPAKCRYEVMSTKIEIRLAKAELLHWTSLEYTTEPVVIQRPIVSSAAPRPSYPSSKLRNVDWDKLEAEVKKEEKDEKLDGDAALNKFFRDIYQDADEDTRRAMMKSFVESNGTVLSTNWKEVGTKKVEGSPPDGMELKKWEI >Solyc06g068500.3.1 pep chromosome:SL3.0:6:42571486:42574147:-1 gene:Solyc06g068500.3 transcript:Solyc06g068500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAMGMMRNVGGYGSASASWIRLKNRANKKTKRSENVKFGVTCVYSPSLSDPYKTLRIQPDASESDVRKAFRQLALQYHPDVCRGSNCGIQFHQINDAYDTVMSNLRGETKNAEMEIYEEYDDDDEAMRGVNDPDYDMWEEWMGWEGAGIRDYSSHINPYI >Solyc12g015895.1.1 pep chromosome:SL3.0:12:5882537:5883200:1 gene:Solyc12g015895.1 transcript:Solyc12g015895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNHIPKLNGESACGPDGFSGFFPKLLGVLLPKKEEVKKFSDLRLISLSCFINKIISRMNNKGATEDISPTQSGFVKSVRENVLLAQDIIRDFGKRNQNINEVVKLDMSKAYDRVSWIFLMKVLRKFGFCEIIIDMELKTGRPPITHSIHNCSRSTGKRVE >Solyc06g048780.1.1.1 pep chromosome:SL3.0:6:31773962:31774768:1 gene:Solyc06g048780.1 transcript:Solyc06g048780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSQREVESNENSQSSIHRRLKSINSDNLDSPSAKFHQIADRRDDQFSPTVPSSSNLHLRQRITKLFSRKLDWPAIRKMCKEWFKNPMNIVFFTWIVCVVVSSVIMLLLITGALNHAIPNKSQRDTWNEVINQILNVLFTLLCLYQHPQRLSHFNFVMRWRPEDIFRLRKAYCKNGTYKPNEWTHMMVVVVLLNLNCFAQYALCGLNLGYKRSERPAIVVGICSFVAVLAPAIAGVYCTHSPLGKDYDTKLEDNEAQVRKTAVKSKQC >Solyc11g013235.1.1 pep chromosome:SL3.0:11:6147335:6153702:-1 gene:Solyc11g013235.1 transcript:Solyc11g013235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEKANLLGPEAAAASKPISILPIDPSESANVSRSFTLSSTTTTTSSCSSSPPDFLRHIQAAFKRPRPRGTMQSNGIMPRRSVAPVRQASRGSTVNTDCTVEAKKTQDEIPLSHRLMECISQPKNIGSDTVEAQEDASMPPSEYGSTVDVHEENYNSFNSLRDQPRSFTGHRSNITSASLGTECEHVLLAEGQKKVHFAADSTSRSQEMDWDVGNPMEAVTAASQVLRDQNIHTTDVDGNGNSSLLANRTLGVADQIHQFRNFLRNDLSHPMTQASVVGSSCTTTTLINSTSAPMLNSTTYCPQSHLASNPVESLGENKLKSEHLMQSSYPVPKNENRLSADQTAIAVPSSTTETDSEVKRPNLAEGPKNSMLIKGDMCYGCTI >Solyc10g079580.2.1 pep chromosome:SL3.0:10:61214423:61218450:-1 gene:Solyc10g079580.2 transcript:Solyc10g079580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLMKEYAAFEEKVKRTILIDSLSPAATEAVVRASLDQFGNVIQVKFIPNYLEPKTVGLSALVELENADQAKTIISEISSSSFMICGMPRPVRARAAEVEMFDDRPIKPGRKIVCRWLDSSDPDFEVTEKIKHLVSKHAEEAKFCLKHQLEEEENLAKKQTEILNAAYKKYELIDSVVGNRVAERLSERYRVHVANAEQH >Solyc07g040910.3.1 pep chromosome:SL3.0:7:51149321:51166185:-1 gene:Solyc07g040910.3 transcript:Solyc07g040910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNPKQKWTSEEEEALRAGVAKHGAGKWKNIQRDPEFNHLLYSRSNIDLKDKWRNLNVSANGQGPRDKSRTPKAKANPDAAAAPLAITYAPLSSTLVPQDASADTVMEDSSKCALDGKTASKYNQMIYDALSSLNEPNGSDTSKLVNFIEQRHEVPQNFRRLLSSRLRRLVQQDKLEKIENSYRIKKEVLEKTKTAATPKQKHVGPRQLPNNAYLGDTAEEAAITAAYKVAEAENKSFVAAEAAKEAERVSKMEEDSDNSLQIYKDIFDKCSHGEIVLLTA >Solyc05g011990.3.1 pep chromosome:SL3.0:5:5195074:5209033:-1 gene:Solyc05g011990.3 transcript:Solyc05g011990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRTRMPNFCLNRIRPHVQIRSPPIQTKNNIIEETMIPASAPEEKVSGGDDVAKSTPIIGRQIMIVVDSSLEAKNALQWALTHTVQSHDLLVLLYVTKPSSKQGPKAKDMKLKAPKENPFETIWSRRKFDILGKKRKGEQRRIGEARSSAIEKRKKTLLKEYEQSAKSSMFVDKRIGENDEGLGEFDKAIMRSQRERQVKLKKNKYNLSDEDEEDFEIGASLGRDDFDEEVPFDEDEEDYGRDDKSAILGQLNSHGSQNAQAGPMEVEENRKKSKKEVMEEIIQKSKFFKAQKAKDREENDELTEQLDKDFTSLVNSKALLSLTQPDKIHALKALVNQNISVGNVKKDEVPDAPRKGPIGKEKADTYEMLVSEMALDIRARPSNRTKTPEEIAQEEKERLELLEQERQKRMAAADDGSDEDGNASDDNSKSIKDPRTISGDDLGDDLEEAPRDKLGWIAEILRKKESELEGEDAASTGDSESEEDDGEDEGSDDGEDEETKDQQSELPYTIEAPKTLEEFTSLIDNCSDDQVIEAIKRIRAFNAITVAAENKKKMQVFYGVLLQYFAVLANKKPLNFKLLNLLVKPLMEMSAATPYFAAICARQRLQRTRAQFCEDIKLTGKSSWPSLKTIFLLRLWSMIFPCSDFRHCVMTPAILLMCEYLMRCPIICGRDIAIASFLCSLLLSVTKQSQKFCPESIVFLQTLLMAALDKEHRSENIQLNNLMEIKELEPLLCIRSSNVEIDSLDFLELVDLPEDSQYFQSDNYRASMLVTVLETLQGFVDVYKELISFPEIFTPISKLLYKLAGENHIPEALREKMKDVSEFIDTKCQEHHMLRQPLKMRKKKPVPIRMVNPKFEENYVKGRDYDPDRERAEKKKLRKRIKEEAKGAVRELRKDNEFLSKAKERERALLAAEKAEKYGKDLAFLQEQEHAFKSGQLGKGKGQKRRR >Solyc02g080140.3.1 pep chromosome:SL3.0:2:44999876:45009601:-1 gene:Solyc02g080140.3 transcript:Solyc02g080140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCDKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKKRCSLIVFVIVLILSYQGTTYIAGGHLMEIQSALFANNKCIKMANIVIPVLIVKEYVQCVESKFLTPSYTNRAMYDVDMVDKLTSMLPEHAYLRGLFLLCECNENHLIL >Solyc02g068900.3.1 pep chromosome:SL3.0:2:39345795:39351483:1 gene:Solyc02g068900.3 transcript:Solyc02g068900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPFVNRTIQLQTLRHTFVFQQPSFKQSIRMARSALDEMSATGAFERTASTFRNIVSREPGSVFPVESGRYHLYISYACPWASRCLAYLKIKGLDQAIDFTSVKPVWERTKDSDEHTGWVFASSSTEEAGADLDPLNGAKSIRELYELASTNYSGKYTVPVLWDKKLKTIVNNESAEIIRMFNSEFNDIAENAALDLYPPHLQSLINEANEWIYDGINNGVYQCGFAKKQEPYDEAVQKVYKALDKCEEILSKQRYICGDQVTEADIRLFVTLIRFDEVYAVYFKCNKKLLREYPNLFNYTKDIFQIPGMSSTVNMEHIKKHYYRSHPSINPFGIIPQGPNIDYSSPHDREKFSK >Solyc05g013390.1.1.1 pep chromosome:SL3.0:5:6468667:6468978:-1 gene:Solyc05g013390.1 transcript:Solyc05g013390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILICRKHFGGGPSWIVRDCSQFDNDGVTLLLWLDNLDMVHTTPDFFILESSIPSLGLSTNRLGNFRYAKFMMQKSELIWFVLLVRPIQTKYLQIHVRTSMDL >Solyc11g045310.2.1 pep chromosome:SL3.0:11:31267376:31277463:-1 gene:Solyc11g045310.2 transcript:Solyc11g045310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMGRAKLKLHKLDGSNRIGVYSRRKKGLLKKANELSVLCDIDIFLAMFSPSGKPSVYKSETSSFEDMITKIVEVNPEERAKRKMECLETIKKACKKSDHDVNIGEQLCPGDLTTEDINFLSHSLRIRLSDIEGRLRLWKNLDKIDNIQQLRKLEDSISKSLNEIAKHKYGMRSLIFSNGEDIHPSSVQHGMDLPSNFDAREEPHQCTLNHGCDSENTDVYEDLTSFCSSLPSDTGQDFQASTLMPHGIKAWQELYQCSSVHGGNNENIDVYEDLNSFPLGYLEEPSFSFESYTNLVCNKEVEISKPESKFFLPDEMFLDCELNQEDIEREFGFTFDVPQVELMDAHGNILGCCTNNGSMLPHSDFVNIHHSSTSTSGACDNMMLAESLNFLCGMDSLSSDAGEEFSTYSSITHGTNSLSTQLNVGLSVHGSASENMDGYNLNVFDPSLKFDDEHKFHSSSSIQCGINSPVSFDAVQEGNRSSSVHGGDSDNIYGYEDLNSFVQGFLEDPSVSFESSTDPACNHDIQNCKPGYENCSADKTFLDCQINQEDLEIAFRSTIDLPLVEKRDLQESTFDCLNKGFGIRQLTSIIV >Solyc08g083080.3.1 pep chromosome:SL3.0:8:65778992:65780058:1 gene:Solyc08g083080.3 transcript:Solyc08g083080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARATPISSSFRHLKNLSNSSPPLHVTFRRPKTSCATTMSDDKVPHPSLEVIAGAGDKFLSAFKSLHNPYSSYPIVDGNLHIEAIFAAFFRSVRLRRECLRTKDDGSVALDWVSGDDRSLPSDSPLLILLG >Solyc02g082420.3.1.1 pep chromosome:SL3.0:2:46667178:46667759:-1 gene:Solyc02g082420.3 transcript:Solyc02g082420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPSRFLLSTNSSSTPAAEPPSTVTVESDFVVILAALLCALICVVGLIAVARCAWLRRGIGAGGNGGSQPSANKGLKKKVLQSLPKFTYDPSSTANGAAFTAECAICLAEYAVGDEIRVLPQCGHSFHLQCIDTWLGSHSSCPSCRQILVVARCRKCGEFPAVSCKSDGAPVTTPAESRSCGTSTSSNNFLV >Solyc01g103920.3.1.1 pep chromosome:SL3.0:1:92300930:92301352:-1 gene:Solyc01g103920.3 transcript:Solyc01g103920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ferredoxin [Source:UniProtKB/TrEMBL;Acc:K4B1W7] MASISGTIISTSFMPRKPVVTSFKAFPAILGVKYANGGKITCMATYKVKLITPSGAVEFDCPDDVYILDQAEEEGHEIPYSCRAGSCSSCAGKIVSGSVDQSDGNFLDDEQISSGYVLTCVAYPQSDVTIETHKEEELTS >Solyc02g069920.3.1 pep chromosome:SL3.0:2:40270909:40273044:1 gene:Solyc02g069920.3 transcript:Solyc02g069920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWIEETVLDTSIFFLVTILSMQSLEEARAALQVAKPTILVHDATGNFWKSESYADSVASLRWQVLMDTPCELHSTNIGLTTEQLKRSCERRLTADYLWAPKEAAIICFTSGIY >Solyc09g009980.2.1.1 pep chromosome:SL3.0:9:3437290:3437529:1 gene:Solyc09g009980.2 transcript:Solyc09g009980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFAVYVGEERERFAVPTSYLSHPLFKILLEKTYNEFGFEQTNGLVVPCSVAAFQEVVNAVECCNGKFDFGGLVEEFL >Solyc04g008385.1.1 pep chromosome:SL3.0:4:2022015:2028519:-1 gene:Solyc04g008385.1 transcript:Solyc04g008385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTSRNVQHFVHVILVFLHCFNTGFCTEIDSITSTLSLRDPGILSSPGGVLKLGFFSPLNSSNRYVGIWYNFSETIVIWVANRDKPLRDSSGVVKISGDGNVVVMNGEEEILWSSNVSTSQVNSIALLQDSGNFVLVDHLNNGSTIWQSFEHPSDSIVPKMSISENTRTGERVEVKSWRSPWDPNFGNFSLGMNSGFIPQVYIWKGSQPYWRSGQWNGQIFIGVQDMYSVSSDGFNVVNNREGTVYLTGPGDFDFLTKFVLDWKGNLVQSYWDANETTWKIIWSAPNNDCEVYGMCGPFGSCNHLESPICSCLKGFEPKHREEWEKGNWVSGCLRRKALQCEVRNNSGDSSKEDGFLKIGSIKLPDFSERSSTREDQCRSQCLGNCSCIAYAYDSGIGCMSWNNNLIDIQQFQSRGEDLYIRMAHSELDHHKDIKKIVIPVILGFLTLCVCLFLCCTRMARRRGVKRKKINLLGDRSAVHMEELPVFSLDTLANATSQFHEDKKLGQGGFGPVYMGKLEDGKEIAVKKLSKASGQGLEEFMNEVLVISKVQHRNLVRLLGCCVDKEEKMLIYEYMPKKSLDVFLFDEGHRGILDWRKCSTIIEGVGRGLLYLHRDSRLKIIHRDLKPSNILLDNDFNPKISDFGMARIFGSDQDQADTRRVVEYAMKGRFSEKSDVFSFGVLVLEIISGRKSTSSWNETSSFSLFGYAWMLWKEQDLSTFIDPFILNPSSEMEIKKCIQIGLLCVQEFAEDRPSISSVLAMLTSETTSIPTPSQPAFTERHDCIFKMCNETNCTLNNISITNITGLCSEVDNITSTQSLSDPGMLSSPGGVFKLGFFSLWYNFSVTTVIWVANRDKPLRDSSGVVKISRGGNIVITNGEEEILWSSNGSTSQVNSNGLLQDSGNFVLVDHRDNMTTIWQRFEHPSDSTIPRMRISENTKTGEMVEARSWRSPSDPNIVDFSLRMNARVFSQVYIWKGRRPYWHTGLWNGQIFIGVQNMYSVVSDGFDVVNDREGVVYFTGPVRDNLFRKLVLDWIGNFVQSIWDVNETNWKIIWSALNNDCEVYGTCGPFGSCNYLESPVCSCLRGFEPKHREEWQKGNWTSGCIRRSALQCQVKNNTAYSSKEDGFIKMELMKLPDFAETSSTTEDLYRIQCLRNCSCIGYAFDSSIGCMSWSKMIDIQQFQSLGNDLYIHVHIQSLVRVKREEVALLGNRSPVNMQELPVFSLDTIANATSQFNEDNKLGEGGFGPVYKGKLQDGKEIAVKRLFKSIETRARGIIHRDLKPSNILLDNNFNPKISDFGMAIGFSDLIKIKQTQ >Solyc02g080500.3.1 pep chromosome:SL3.0:2:45254881:45263943:-1 gene:Solyc02g080500.3 transcript:Solyc02g080500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGVSQSFKLYLLHVFLLLLYLQDSSCGSVNSLKGSLNMDRKEDTLLPEISPTASPQPFLPLLAPSPWAPFTNSTLPKLSGLCTLKFDAVERMMGVTSIDCVAPFAEYLANVMCCPQLEATLVILIGQSSKFTNMLALNGTVAKHCLSDFQQILVSQGANDTLQHICSLHPSNLTEGSCPVKGVHEFETTVDSSSLLAACGKIDLVNECCEQTCQNAISEAAKKLALKAYDLLSTESAEVLTDHSTRVNDCRSIVHRWLASKLDPNGAKDVLRGLSNCKNNKVCPLVFPNTSHVTEACGDGMNNQTACCDTIESYVSHLQRQSFVTNLQALGCAASFGLKLQKANVSKNIYKLCHISLKDFSVQVAPEVSGCLLPSLPSDAVLDQSSGISFVCDLNDNIPAPWPSLSHLPVSSCNKSVKIPALPAAASGQLSLNSLSIGSHLRLLALTALGSLFYT >Solyc11g051000.1.1 pep chromosome:SL3.0:11:17188776:17189944:-1 gene:Solyc11g051000.1 transcript:Solyc11g051000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRKITCYKEGYGGLDKRDMLVKKPRKETRTSCLAHMIISRQSNGKFSVISFEEKHNHPLVHQSLGKASLGFTKRDHKNYLRDKRKESLQYGVARSLVNYFEERYEANFLNAWEEILEKCDLKDNSWLKNTFAIREKWSMSYGRNIFSASMQSTQLSESFNGSLKGYLKSDLDIVQFFKNFQRADDDKRAN >Solyc07g052160.1.1.1 pep chromosome:SL3.0:7:60809838:60810107:-1 gene:Solyc07g052160.1 transcript:Solyc07g052160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGVFVIQVRRVIVVQFDPVSVSLAFILYVGALVVYAVSRKLLITEYQLGLFNALFGSGEFGIYRFQICEFELSVARVRSSCLFGLV >Solyc01g100790.1.1.1 pep chromosome:SL3.0:1:90608555:90610792:1 gene:Solyc01g100790.1 transcript:Solyc01g100790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTGFIRDTYAASRILKFSTDSLFIHVNYSHKIFDYIDNPNGFICNTMMRAYLQRNQPQNTIFLYKSMLKNNVCIDNYTFPLLVQASTVRLSEAEGKEFHNHVIKTGFGLDVYVKNTLINMYAVCRNLVDARKMFDESPVLDSVSWNSILAGYVQVGNVDEAKVIFDKMPMKNVIASNSMIVLLGRSGRMSEACQLFNEMMQKDVVSWTALISCYEQHGMHTQALDLFMQMCSNGISIDEVVVLSVLSACAHLLVVQTGESVHGLVIRVGFESYVNLQNALIHMYSTCGDVMAAQRLFDTSSHLDQISWNSMISGYLKCGSVEKARELFDSMAEKDVVSWTTMISGYAQHDHFSETLALFQEMLHEDSKPDETTLVSVLSACTHLSALDQGKWIHAYIRKNGLKVNSILGTTLVDMYMKCGCVENALEVFNAMEEKGVSSWNALILGLAMNGQVERSLDMFQKMKECGVTPNEVTFVAVLGACRHMGLVDEGRSYFNAMTTHYNVEPNIKHYGCMVDLLARTGLLKEAETLIDSMPIAPDVATWGALLGACRKHGNSEMGERVGRKLLELQPDHDGFHVLLSNLYASKGNWDSVLDIRVAMTRKGVVKVPGCSMIEANGAVHEFLAGDKSHSQINEIEEMLAEMEKRLKIMGYAPGTDEVLLDIDEEEKESTLFRHSEKLAIAYGLIAIAPPTVIRIIKNLRICSDCHAAAKLISKAFDREIVVRDRHRFHHFKDGSCSCMEFW >Solyc04g077100.3.1 pep chromosome:SL3.0:4:62142227:62144163:1 gene:Solyc04g077100.3 transcript:Solyc04g077100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Poly [ADP-ribose] polymerase [Source:UniProtKB/TrEMBL;Acc:K4BUE7] MSTAPAGSCAPMIASGEMDSVSAVLNGNKVEILVPLERTAGGSGKKVRVEVPRNWLIPSARSTDHAQLLVQNHQNFKVSGMPARIMMFKDGSWVDFEKSAMDVLVSAFVSGEAMVETVMGGFTFIFDFYRMIGINLDSGNELPIAWIDVGGNSFYPKVFVEGSENLDKNEVNVDEKFSSENGKVEFEIKIIERNSAGEVLGKRKMGSEENEVVREVGSSSRDVIEQRVVSTPTELLPPKWPRTRSLGNEEESYRKASSLLISILKVGVTVTAVHQCTRTGPVEQARLEVFVNNAKIVKRRRGDPSVQYAWYGTSSAKIDSIMRRGFEMPRIIPGIQTHGVGIYMSPLYSPQKSHMMCEVDENGEKHIMLCRVIVGKLEKVELGSQQLFPSSAEFDTGVDDLINPKLHVIWCSNMNTHILPIFIVSYKSGCHMSG >Solyc05g052230.1.1.1 pep chromosome:SL3.0:5:63358490:63358684:-1 gene:Solyc05g052230.1 transcript:Solyc05g052230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLGVNPSMSLNKIGTIQRRSIVSMIQMKHVGVVCASSLSLSHSRPLDRVGKNDDRMILSMIG >Solyc06g062780.3.1 pep chromosome:SL3.0:6:39720876:39727807:1 gene:Solyc06g062780.3 transcript:Solyc06g062780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGSSKRAKIQWSNLYTFGCYRPRTDEEEGPHRLGAGFSRVVHCNQSHLHEKKPFKYRTNYISTTKYNFITFLPKATFEQFRRVANLYFLLAAILSATTNLSPFSSVSMIAPLVFVVGLSMAKEALEDSRRFIQDMKVNLRKARLRKEGGVFGLRPWMKIRVGDIVKVEKDQFFPADLLLLSSSYQDGICYVETMNLDGETNLKVKRALEVTLPLDDNEAFKEFRATIRCEDPNPNLYTFVGNLEYDRQIYPIDPTQILLRDSKLRNTAYIYGVVIFTGHDSKVMQNSTESPSKRSRIELQMDKIIYVLFTLLVVISFISSIGFAVKTRLNMPSWWYMQPLDKNNNTTDPNRPELSAIFHLITALILYGYLIPISLYVSIEVVKVLQALFINQDINMYDDETGTPAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGSAYGTRASDVELAAAKQMAEDLGGQDPDISRRRSSEIELERVVTSKDEIRPAIKGFSFEDSRLMKGNWMKEPNADVILLFFRILSLCHTAIPELNEETGSYNFEAESPDEAAFLVAAREFGFEFCKRTQSRVYIREKYPSFQEPTEREFKVLNLLDFTSKRKRMSVIIRDERGQILLLCKGADSIVYERLAKNGRRFEEATTKHLNEYGEAGLRTLVLAYKKLDEAEYSAWNEEFAKAKASISGDRDAMLERLSDMMEKELILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGYACSLLRHGMRQICITAMNADSVERNSEQAIRENILMQITNASQMIKLEKDPHAAFALIIDGKTLTYALAYDMKHHFLNLAVSCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGAEGMQMALNPLAQVNAFVLGGCKSAYSIISFLQAVMASDFAIAQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFAGFSGQSVYDDSYMILFNVILTSLPVIALGVFEQDVPSEVCLQFPALYQQGPKNLFFDWYRIFGWLGNGVYTSLIVFFLNIIIFYDQAFRAEGQTADLTAMGTTMFTCIVWAVNCQIALTMSHFTWIQHIFIWGSIASWYLFLLIYGMIAPDYSKYAFRILVEALAPAPVYWSTTLLVIVVCTLPYLAHISYQRSFNPMDHHIIQEIIYYKKDVKDHHMWKRERSKARQSTNIGFTARVDAKIRQLRGRLHKKYTSMGSQIELTQP >Solyc05g008235.1.1 pep chromosome:SL3.0:5:2627617:2629624:-1 gene:Solyc05g008235.1 transcript:Solyc05g008235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFYYPNKDKIQEAWSKDDSMANCCSKRTNFSKEEDDLIIRFHALLGDRWSLIAERLPEHSGIEVKNYWDSHLKLKLTKMGIDPMNYRIHEYVHKKNLDFIASRNKKLFNGEISDAESSA >Solyc06g051860.2.1 pep chromosome:SL3.0:6:35657030:35661891:-1 gene:Solyc06g051860.2 transcript:Solyc06g051860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDNLTVLNALDTARTQWYHVTAVVIAGMGFFTDAYDLFCITTVSKLLGRLYYYDPATHAPGKLPHSVNNWVIGVALVGTLTGQLVFGWLGDKLGRKKVYGLTLILMVICALCSGLSFGYSRKVVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIAAVFAMQGVGIIFAGLVSMIVSKVFLMNFGGKAFSTDEVFSTEPEADYVWRIVLMLGALPALLTYYWRMKMPETGRYTAIIEGNAKQAAIDMGKVLDIEIQAEGEKLAKFKAANEYSLLSNEFFMRHGHHLIGTMTTWFLLDIAFYSQNLTQKDIFPTMGLVSNAKNISALREMFETSRAMFVIALLGTFPGYWFTVFFIEKMGRFKIQLMGFFMMSVFMAIIGIKYDYLKTKEHKWTFAALYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAASGKAGAMVSAFGVQEYTQDGNVHKIKKAMLFLAFTNMIGFCCTFLVTETKGRSLEEISGEDEKQNETQMKSNRTDSGLLNALDTARTQWYHVTAVIIAGMGFFTDAYDLFCITTISKLLGRLYYYDPTTHAPGKLPHVANNWVIGVALVGTLSGQLVFGWLGDKLGRKKVYGLTLILMVLCALCSGLSLGYSAKGVIGTLCFFRFWLGFGIGGDYPLSATIMSEYANKATRGAFIAAVFAMQGVGIIFAGLVSMIISKLFLMRYEGEPFNVDEILSTEPQADYVWRIVLMLGALPALLTYYWRMKMPETGRYTAIIEGNAKQAAINMGKVLDIEIQAESDKLAQFKAANEYSLLSNEFFQRHGLHLIGTMSTWFLLDIAFYSQNLTQKDIFPVMGLTSNANTISALREMFETSRAMFVIALFGTFPGYWFTVFFIEKIGRFRIQLMGFFMMSVFMAIIGVKYDYLKSKEHKWTFATLYGLTFFFANFGPNSTTFVLPAELFPTRVRSTCHALSAASGKAGAMISAFGIQQYTQDGNVHKIKTAMILLAVTNMAGFCCTFLVTETKGRSLEEITGEDGGQNETQMKTSKPVSGHQDDGWE >Solyc07g032090.3.1 pep chromosome:SL3.0:7:35045878:35055926:-1 gene:Solyc07g032090.3 transcript:Solyc07g032090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDHGNSNSSITVDTTKWDRSVWLMKCPLVVSKSWQSQAAASSSSSDSPQVAKVIVSVDPLRSDDSSALQFTMEMGGNDVGNMPKSYSLNMFQDFVPMCVLSETGQGRVTMEGKVEHKFDMTPHTRNMEEYRKMCRERTNKSMIKNRQIQVIDNDRGVNMRPMPGMIGMIASSSKDKKKAVPVKGPEVKRTRRDRGELEDIMFKLFERQPNWTLKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKSVEETGAE >Solyc10g006420.3.1.1 pep chromosome:SL3.0:10:1023583:1023894:-1 gene:Solyc10g006420.3 transcript:Solyc10g006420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYLQESFTDSTGMYIIFAPVDGSAVKYIMEGKNTDNVGMLASGFAVLPCTSEGSILTMAFQLMDEEISTPEYLPPLAVSTANRLVSETVSLIKASLMFNSAF >Solyc05g016410.3.1 pep chromosome:SL3.0:5:16190385:16203119:1 gene:Solyc05g016410.3 transcript:Solyc05g016410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRVGNKYRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWYGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRIEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTSIEALCRGYPTEFASYFHFCRSLRFEDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQIAAPPSRPLGTGAGTSSGMPPVIPSAERPSGEEEGRQLADASRRRYSGPLVNAGSLSKQKSPLRNDSTSKNAMLPSSTFLGRSSGSLRRGLVSGSRETSSVGNGSDPTRSRAPEASPATMHKISSGQRISPLVGSSDPTKHASSVPGVKNYESTLKGIESLHFDEEEKGH >Solyc02g068990.3.1 pep chromosome:SL3.0:2:39505821:39515914:-1 gene:Solyc02g068990.3 transcript:Solyc02g068990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQNQGQNGQQGMWMYQCIHCKKNFPSSQAIAGHTKGHFRDGWVKGTPQSKVFVLFSEYQQQQGSITDSSIPEKQIFSAATSDTDLADAHQLQIGDVQNSRSLVVRPPSPASSSREPRIPRHHLRLRDLKILARLRARLTREEQEVILRLLDSAMEQAKQSSKKSTEAEVIPNRNSEAAAVIGTTDTDTDVSSEESDDESKNM >Solyc04g079603.1.1 pep chromosome:SL3.0:4:64111093:64112353:-1 gene:Solyc04g079603.1 transcript:Solyc04g079603.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSSNFVRMKMKEWFEKLVMTIIIRMLFGKEYDFEEGNRARNSMLVGGSDIIIVALTWTLSLLLNNPHVMQKAQEELDIQVGRNKLVDESHTNSLVYLLAIAKESLRLYPQDVKGNRFKLIPFGAGRRICTTLVLLHLTLANLLHAFEITKPSNEPIDMSSNLGIKETPLEVLLASRLSPDLYN >Solyc12g077410.1.1.1 pep chromosome:SL3.0:12:21142373:21142537:-1 gene:Solyc12g077410.1 transcript:Solyc12g077410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVVNALAIYYTYSLSPLKLLVIFVSMVGFVRILILSFSNILFSSNMCFIGSI >Solyc07g052740.3.1 pep chromosome:SL3.0:7:61325108:61327443:1 gene:Solyc07g052740.3 transcript:Solyc07g052740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAKTRNNAIPLQIRMLTHLHFQYQKKIRMAPKTKSRLVDQPPSVRRLKTKYLTNVKDSEEPVFMKGQDYLVFEHSKRIWGAPGTSNGVSTNGTAKITVEVNKSSEPKRSAKVSKPKDDEKHKEEEKQVAVKEVVKEDIVKGDQQDFQSEFPHLTASFESMAVCVDSLCSLSLFMPAYHTS >Solyc01g005795.1.1 pep chromosome:SL3.0:1:507950:508898:-1 gene:Solyc01g005795.1 transcript:Solyc01g005795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLMVFLQNRRREERITRMEHFVLFK >Solyc09g016940.3.1 pep chromosome:SL3.0:9:11830050:11842997:1 gene:Solyc09g016940.3 transcript:Solyc09g016940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSEAIFEEKLKQFSWEKDASLLKESNLNERIKQLQNENNSHLHKEASFEMKIMQLQDQISSLRRQEANQEEKIRQLHMEKDLYLQKEAEFEMNNSQLQSEKSSWLLKEGGLEKRNNELVEEVEKLNSKRVNLEKKVKEMEKETENRVQKEVSLEERISVLLDEVENSNTMRVSLEEEVKETEKERENWVQKEKSFREIISSLSGDNALLETQVKEFEELRTNILQENQLLKANIQVLQTQVYNLEKSAGPPCLPTGHEMNTPENGDLNSEVVATQALVDKLIDENAELVEKVKNLYVELERRDPPMEISSYLGSDVITTSSEAARTANESALGSHKMPGAVHKHEVDHTTEPKSQPSEALLQSSERLQSLKDTIDKTVSDDEHGCKIDSRIVVNSSEIESDEIVQIPLDENEVQSADLEVTSVNQDQYEEEVPLTNAPLIGAPFRLISFFARYVSGADLVNKNSATSNQ >Solyc05g042100.1.1.1 pep chromosome:SL3.0:5:55732995:55733615:1 gene:Solyc05g042100.1 transcript:Solyc05g042100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGDELDDCLSNTFHLRHLRLLRVLILESSFIMVKDSLLNEICMLVHNLKTEVKALPSSFSNLFNLETLIVENKRPPLVLLARIWTLWKLQVLSIKAGSFFDLDVDEPILTTEPTRLQNLRFLEKFDLTYLKDTDDILKMFPNLQQLSFSLKESWDFSIERYWFSKLDFLNELESLKVEFESSNTNENGPSVLTHVRGIFTSLRV >Solyc04g051423.1.1 pep chromosome:SL3.0:4:50490057:50490941:-1 gene:Solyc04g051423.1 transcript:Solyc04g051423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFIASVLDPRNKFVITWEKTGNVVNTKVEAYLRNLFAIYVSKYEKGFKSQASSSDSSDSSASGISQNVSNSLRTKLHMKKQKNNSGSFGVKSWLDKYLLEDQEPEFGDFDILSWWKVNSPRFSVLSQLARDVLAIPMSSVASECPFSTDGRILDPFRSSLTPKCVQCLICVQDWLRQETKPICVEESPRVKTMKK >Solyc11g012080.2.1 pep chromosome:SL3.0:11:5015597:5019712:1 gene:Solyc11g012080.2 transcript:Solyc11g012080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:K4D613] MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKISYPQQIAVTATGIIWSRYSLVITPKNWNLFSVNVAMAGTGIYQLSRKIRNDYFNEEQQPTLAKE >Solyc01g017610.1.1 pep chromosome:SL3.0:1:24348699:24350918:1 gene:Solyc01g017610.1 transcript:Solyc01g017610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTDLFPSAIPENGTRDTSPTIVLNNGDPTMYDSYWRQMRNKCNITLNGNDSLSYFANGKSLCWLLESKLEELIKRLHNIVGNAIMDKNYIVVGTGSSQVVQVALYALSPSDQLEPISVVSASPFYSSYLDMTDFVRSKIHKWAGDAKTFEKDGPYIKLITSPNNLDRIIREPVVNGDQGKLIYDLYYYCPQYTSINSPANHDVMVFTISKCTSHEVAGKMTKFMEISTIGVSKEAQLRAAKILEVVSDSCLDFTLENFFQYSRSLMTDRWQRLRQVVTDNDLFFIQNYSPQYCIFIKDLCVSHPGGEEEDYEKLPKEHKIQTRSDKRLGTHSRKVRISMLSRDEYFNIFLKRLMTIKGSINRK >Solyc04g079350.1.1.1 pep chromosome:SL3.0:4:63958267:63959220:1 gene:Solyc04g079350.1 transcript:Solyc04g079350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIPFNDMDNFDFNSARSTPFTSPPSTPKPFNGDFFFSAPPSPTHLSQFYSEFESLFVTDADDKGEITSHVDEFAFDVSQELEIGSVSAEELFDGGMIKPSPVLQTQKKNRVGVSAKNKKVQETEYLRKSSVTERESRGKQRGSSNGLSNSSSRRSARSLSPMRISQYLWEEEEDEEMKSQSSKSNASTCLILSSSSSKGSKKWRFKDFFLFRSASEGRASDKDPLKKYTAAGYREGKNSTSRGNESSVSSPSKSIVRTKKGKVSAHELHYTVNRALSSDLKKKTFLPYKQGILGQLAVNPAVHALANGFGLSRK >Solyc08g015960.3.1 pep chromosome:SL3.0:8:6417456:6424922:1 gene:Solyc08g015960.3 transcript:Solyc08g015960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMGIGAANWLKGSGALLLSCRTNSIPAVVSSCTVIASRSRVHYCSSTFSLQHQKKNNTLFSSGVNGHKIGDWGSQVTKLYFNFYCTASSNVSIEEKDSSGEVVEEDEESKMRKIKDAANSLDIRVGRIIKAWRHEEADSLYVEEVDVGEAEPRIICSGLVKYVPLDHLQERSVIVLANLKPRNMRGVKSNGMLMAASDASHENVELLEPPEGAVPGERIWFGSADEKDNLPDVATPNQVAKKKIWELVQPHLKTDGASVAALGTHCMRASTGVVVSPSLKDANIS >Solyc03g006710.1.1.1 pep chromosome:SL3.0:3:1265131:1265478:-1 gene:Solyc03g006710.1 transcript:Solyc03g006710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHALGHDVVFEDFFPSMVEKLGGEGFLKELSNGFRVLMDEEKEVITFESLKKNSELLGLKGNMSDDELMCMLSEGDLDGDGCLNEMEFCVLMVRLSPDLMDISRMWLEETLAKL >Solyc01g109880.3.1.1 pep chromosome:SL3.0:1:96638668:96639159:1 gene:Solyc01g109880.3 transcript:Solyc01g109880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP transcription factor [Source:UniProtKB/TrEMBL;Acc:C0KYN1] MASSSGTSSGSSFIQNSGSEEDLQQLMDQRKRKRMISNRESARRSRMRKQKHLDDLMSQVTNLRKENNQILTSMNVTTQHYLNVEAENSILRAQLSELSRRLESLNEIIAVLDANSGLVMDHNEPYSFNFAQNDIMFDGFNVTNSWNYLSANQPIMTADVLQY >Solyc10g012170.3.1 pep chromosome:SL3.0:10:4504956:4511135:-1 gene:Solyc10g012170.3 transcript:Solyc10g012170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNTQFSGGGRRSSSGAVSMSSSSVGSRTSDRLPHSPSTSTYSSTTTTISQKSNSGRNPVIIAVKSVVEAFASCFTPPEPKSSSTNFGDSDSFKAPSVASDGGRKKRHSSSSSRGRSIYGSENNSTHTKEAGSIKFNMEDIYKATKNFSPSLKIGQGGFGIVYKGCLTDGTVVAIKRAKKNIHDKHSGAEFRSEVRTLEKIEHLNLVKFYGFLEHGEEKILVVEYVSNGTLREHLDSVDGNVLDFASRLDIGIDVGHAITYLHMYTDHPIIHRDIKSSNILLTENLRAKVADFGFARLAADTESGATHVSTQVKGTAGYLDPEYLSTYQLTEKSDVYSFGVLLVELVTGRRPIEPKKEIKERITARWAMKKFTSGDAILTLDPRLERSAANSLAMEKIYELALQCLAPHRQNRPTMRKCAEILWSIRKNYRELAG >Solyc07g053330.2.1 pep chromosome:SL3.0:7:61919980:61926639:-1 gene:Solyc07g053330.2 transcript:Solyc07g053330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSSKITQKWMLCAFGSFISCFIFLLYLKHNNEAVNFRALRHAMLNVASSLDDSFSTHGNFEISKPARRGKDEGDIIHKNRRNKCNIFEGRWIYESTESPYYEASQCPFLSEQVSCQKNGRPDFDYENWSWEAHDCVIPSFNGRDMLRRLRGKRVIIVGDSLNRNQWESLACLLYSTIPPSRTHLDFSSGYYKVFKAKDYNVTVEFYWNPFLVQFDSMNAPKILRLEKLDLSSQHWQGADIMVFNTGHWWVHRGKFKAWDLFEYKGRLVNELKLESAFEVAMRTWANWIDQNVNLTKTTVFFRSISPEHKSQNGCYNKTQPITDLSYVTDFSETLTKIVDRTLSKMRVPVRYLNITKLSQHRVDAHPSVYAKKKGQELIKRKLKPPQSISDCSHWCLPGMPDTWNRLLYASLLLDHPIDSPSLSHWSLENQRRIISRLY >Solyc07g007200.3.1 pep chromosome:SL3.0:7:1939711:1946897:-1 gene:Solyc07g007200.3 transcript:Solyc07g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDPAEMLVDSAEHADTGKLQNSEKDPSPDFIPSLAERGASSASNLVNSEKSTSEDASTNVQGGNRRENSTKDMELVTQYNSGRKHGETASTSQDDRSFRYPLSLNRRAEDDAVNNVATTVNVDVSQVFAGYSHSSSPLSHGNGESSSSEDFVANHTNEILIFNNSDSVSVLSDSSVTPHLAGDDMRQDTPPSGFEFLVSDREEGVRDGSALHVDMANASPTFFSNIPAEISSREARRNSRRLFWDSFSRRSPRRRADPRNFRFTNDNSDDIAAHDRLLLDFSDNSFHEGSGGNFPSNGSRNERRRHSRSEMWERLPAGLIASDHRSVTCPSGIHTDGPCTCETILMSRESGSRASISRIVMLAEALFEVLDEIHRQPMSLSLSVLSLPAPESVVDSFPVKSFSKPVEVDTSNNVLHVCPLCRGDVRNAFVDSSVSNSAALPLCFFFHFRLCPHTILWRACTDVYGSFRSTMYPCCCC >Solyc01g107210.3.1 pep chromosome:SL3.0:1:94746125:94747321:1 gene:Solyc01g107210.3 transcript:Solyc01g107210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSRRMYMELGLIWVLGVNDVIFCFMFFAGIHGSVEVQLKMVTNHHYTRVDTLELRDVIYRKIGQQRAEKYFDELSRFFSSKLSKVDFDKSCIRTIGRENIHLHNRLLLSIVKNASLGKVPPPRPKKAEESLSIKVGNGCQRSCLQSLYGDAFPSSPRKTRSPVSRDRRLRDRTSPLGPLGKSPVTCEEAAPRVQEQQSATELHSLGSRPPVEVASVEDGEEVEQFVGSPGIQSRSLVTAPLGISVNVGGARKTLHSGSVYNFRLETFQSCRELPESRSLRSRLERKLESEGLGITLDCANLLNNSLDAFLKRLIEPCIGLAGSRHTNERVRYCNGQTLPGWYGQYPGNYTKRQTRSSFGRMLDFRVAVETNPHILGGDWSMLLEKVCAASE >Solyc03g031450.3.1 pep chromosome:SL3.0:3:4018413:4021892:-1 gene:Solyc03g031450.3 transcript:Solyc03g031450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEYFQDGVYGESLWINSTKNIFSLSSSTNSILDPIIGRYNFAWPNDDQFLDMKNMSNNNDDYYSSSDDSIVFQEFPKNHNLGINENSSSPDWNHSINDSMLQEKLNSRENYPNHNELKRNFSSIISEDNSLIKPIMNQDFTNYYSDLLQTLFANTDLHEEQPQQQSFNYPSSSINHRQKLKDFVPSLPKFDIEEIGESKLSSITKNNTNEQTNKRQRIETPSSLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLQEAIEYINFLHDQVNVFSNRYMKNGPPTQHQQVKELQEGLKQGLRSKGLCLVPISSTFPLAAETTMEFWTPTLMGTTVR >Solyc08g078155.1.1 pep chromosome:SL3.0:8:62104603:62106026:1 gene:Solyc08g078155.1 transcript:Solyc08g078155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNNLSEEIPSSVCNLRSLKSLGNVSSLEVFDMHLSGTIPTNFCTSTDTFPMWLKRNLFPELRILDVAYNALTETFNRTRYYLGDQYYQDSVTNFCCWYRSGEN >Solyc11g045395.1.1 pep chromosome:SL3.0:11:30944511:30945113:-1 gene:Solyc11g045395.1 transcript:Solyc11g045395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSAAFEMNDLGPAKQILGMKISRDRSAGTLNLSQELYIEKMVSRFRVNDAKPRTTPLANHFKLSKEQSPKTAEERKVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTVVSWMSRL >Solyc09g056130.3.1 pep chromosome:SL3.0:9:47370216:47373160:-1 gene:Solyc09g056130.3 transcript:Solyc09g056130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIFCSAKRLKEYVFNKICKELLKGLLCVVYMHSSVQKDNNNPGLTILRWIYEELSSDCKDKLQAVYFVYPGLLSRLFLRLEFGSNKLGNQIFCIVGKYFPDGRSRNVKNSNYIKKWLHKEKILNLVSKLEEKDEAIKTFMLSAEQLAKLRERKCNKKVRNRKCKQ >Solyc04g076790.3.1 pep chromosome:SL3.0:4:61756059:61762092:1 gene:Solyc04g076790.3 transcript:Solyc04g076790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BUB7] MAMALRKISYGSSINLLRPLSNGSSVQYKSSLPNQAVRDREDPRVTWIKQLNAPLEEIDPEIADIIEHEKARQWKGLELIPSENFTSLSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAERLCQKRALEVFNLDPAKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYEQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVLLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKKGEEVMYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQVMTPEYKAYQEQVLSNCSKFAESLLAAGYDLVSGGTENHLVLVNLRNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFVKVAEYFDAAVKLALKVKAETKGTKLKDFVATLSSDSNIQSEVAKLRQDVEDYAKQFPTVGFEKETMKYKN >Solyc05g056330.3.1 pep chromosome:SL3.0:5:66509641:66514171:1 gene:Solyc05g056330.3 transcript:Solyc05g056330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVDIELDELELVAAAAGYHYYNCIARQPSHGSTPKGSGFLTELLSADNDVCREMLRMDKHVFHKLCNILRERAMLRDTAGVMIEEQLAIFLNIVGHNERNRVIQERYQHSGETISRHFNNVLRALKSLSREFLQLPPVSTPLQILESNRFYPYFEDCIGVIDGMRIPAHVPAKDQSRFRNRKGILTQNVLAACTLDLQFIFVYPGWEGSATDSRVLRAVLDDPDQNFPPIPEGKYYLVDTGYVNTNGFIAPFPGIRYHLPEYRGANLLPRNANELFNHRHASLRNAIQKSFDVLKTRFPILKLAPQYAFHTQRDIVIAACVIHNHIRREDKSDWLFKDIEGRYVEELPDLDDNPDPHLAFQIQEQSASALRDSITAAMWNDFINKWDEW >Solyc10g079310.2.1 pep chromosome:SL3.0:10:60990916:60996006:1 gene:Solyc10g079310.2 transcript:Solyc10g079310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEADKWASFSEETSDSEGYGEDPDDDDHCCAYASTDIPKLQFRKDISRSRWLDPLGMAEVVERKGGLWTTTGIVRNGKIYCFIEEILYLAEIGALRLLSKDDTPLSLEHVYNKVGEGKSGCSLEYYEAYKHLKSLGYIVRRHGIPWSVRRSKVNCFVNQDTPEPAQSGDEESRNSVLISEMINNMQIGGLRLAFDVYPPNSRFRKSAPGDPCFVLCLASEYPPSKEEIEDLERHSHGIPLKFCLVEHGRLNFFSFNKVELPILP >Solyc07g020968.1.1.1 pep chromosome:SL3.0:7:15142053:15144823:-1 gene:Solyc07g020968.1 transcript:Solyc07g020968.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWPIYKGK >Solyc12g009010.2.1 pep chromosome:SL3.0:12:2309754:2319831:1 gene:Solyc12g009010.2 transcript:Solyc12g009010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNREEEEQQQHIMVALTEEEEEELEEQLGSSLTLERVAAAKKLIEDHYKSHMKLIQDRKQRRSLLERKLEGSGVPKEEQMNLLKELERKETEYIRLKRHKISVDDFELLTIIGRGAFGEVRLCRDKVSKNIYAMKKLKKAEMLSRGQVEHVRAERNLLAEVASHFIVKLFYSFQDADYLYLVMEYLPGGDMMTLLIREETLTETVARFYIAQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMRLSDFGLCKPLDCSNLSPINENEVIDGEEKGSANKWNSSLEQMQHWQINRRKLAFSTVGTPDYIAPEVLLKKGYGVECDWWSLGAIMYEMLVGYPPFYSEDPITTCRKIVHWRNHIKFPEEARLTPEAKDLICKLLCDAENRLGCRGAEQIKAHPWFKDIKWDKLYNMEAAYKPEVNDALDTQNFMKFDEANQAPAKNSSGPNRKKRLIPEDLSFVGYTYKNFEAVKGLRHSSGDSAVDISGKCSIDDNEMLVLAAASADTVTL >Solyc04g014270.3.1 pep chromosome:SL3.0:4:4578478:4586609:1 gene:Solyc04g014270.3 transcript:Solyc04g014270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent 6-phosphofructokinase [Source:UniProtKB/TrEMBL;Acc:K4BPT1] MESLSPAIGQKAIFPSTTGYTDHDLFLDSVKVRTERNFNRACRRLRIRVQPKNAAAGVGGIDFSDPDWKLKYSREFEARFNIPHMTDVFPDAVSYPSTFCLKMRTPITEKFAEGYPSDEKWHGYINNNDRVLLKVINYSSPTSAGAECIDSNCSWVEQWVHRAGPREKIFFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSEKGLAEMPLSRKVVQNVHLSGGSLLGVSRGGPKVSDIVDSIQERGINMLFVLGGNGTHAGANAIHDECRKRRIKAAVVGVPKTIDNDIMLMDKTFGFDTAVEEAQRAINSAYIEAHSAYRGIGIVKLMGRSSGFIAMQASLASGQIDICLIPEVPFNLHGPHGVLRHLKYLLETKGSAVVCAAEGAGQDFLEKTNAKDASGNAVLGDIGVYLQQEVKKYFKELGQTADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISKPRVVDPNSRMWHRCLTSTGQPDFL >Solyc11g013200.2.1 pep chromosome:SL3.0:11:6106570:6117310:-1 gene:Solyc11g013200.2 transcript:Solyc11g013200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFKHIFFTASLRKFPHFPSIFPLPHLKAYSASQVLGGKMESEAPDRTLLLLAGKSNEENDVAKVLKDNNTLQLRKNGEEVEVILPSEVETTFEDDAFQIGEYFNSLSTKRFGRLLIYSPKLSSTHDVISQNNSDLPVGTVCVADVQLKGRGRSSNLWQSPKGCLLFSFSIQMEDGRVVPHLQYVVCLAMTEAIKAICLEKGMPHLDVRIKWPNDLYLGGLKVGGILSTSVYRSKKFYVSAGVGLNVGNEKPTTCLNATLKRVNPLSRELKREDIIAAFFNKFENLYDVFLKQGFQALEELYYQTWLHSGQRVIVQERSDDQDQFVENVVTIQGLTSSGYLLGITDDGQMCELHPDGNSFDFFKGLIKRKMS >Solyc02g070270.2.1 pep chromosome:SL3.0:2:40617537:40620094:1 gene:Solyc02g070270.2 transcript:Solyc02g070270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSNNENVDQRHSTLTKRGCGCSKKDFLPEESFTSWGSYGQALLETKARLKNRVLSRSSDQSELHKVRDSSQHQMKKSLNWFDLIWLGIGAVMGAGVFVLTGEATKSLAGPAVLLSYAISGLSALLSVLCYTEFSVELPVAGGSFAYLRVELGDFVAFIAAGNILFEYVVVGASVARSWTSYFATLCNHQPDDFRINVSSLSEGYNHLDPVAVVVTLLICACACVSMKGSSRFNSILTILHVAVMVFVLVVGLSQANVANFSPFAPFGARGVLKASAMLFFAYVGFDGITTLGEEIKNPGRDIPVGLIGSMVVVIITYCLLAATLCLMQPFNQVDVNAPFTIAFEAVGMNWAKFIVAFGALKGMTTVLLANIIAQARYFTHIARTHMAPPFFSVINEKTGTPVTATVVMTVANCIIAFFTSLDILANLVSIATLFVYSLVPVALLVRRYYVSGETPDKDRNKLIMFLVLIILFSIGSGVFWAISEHTWLGCIICAGVWFFTTLGLHLTLKEARQPKVWGTPLMPWLPSASIAINVFIMGSIDGASFVRFSVCSAILLIYYLLVGLHATYDGAKEIESKGTNTTDIEASARTNANK >Solyc12g005700.2.1 pep chromosome:SL3.0:12:374971:377016:1 gene:Solyc12g005700.2 transcript:Solyc12g005700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLFGIFACLVIVALDALAGLLGIKAEGAQNQVKHMKLWFFECKEPSHDAFILGLAAAGLLAAAHILANLVGGCSYCYCTGDDIQKAPPSRQLSLACLLFTWIIMAVGMGMLVIGTMSNNKSRSSCGLLHHHFFSIGGILCFVHAIFSVAFYATSTVTIA >Solyc04g071295.1.1 pep chromosome:SL3.0:4:58317894:58318585:1 gene:Solyc04g071295.1 transcript:Solyc04g071295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSFIMSFLFAMTIFFTLFNHSLGEPKFCPGTFTANDVCANIDCGILALSQWPASKMPHSCTCAASGSSQSLCTCQIVC >Solyc05g014870.2.1 pep chromosome:SL3.0:5:9075669:9076213:-1 gene:Solyc05g014870.2 transcript:Solyc05g014870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGKNRGSNAKRRSCSSKAVGKHANRVGAGAPVFLDAVLKYLAVEVLELAGIAARKDKKTRITQRHIQLAIRFDKELYQFLRNLTIPNGGVIQKIHKILLPNNKSNTSKVVVAAKEEE >Solyc06g034320.1.1.1 pep chromosome:SL3.0:6:24126121:24126294:-1 gene:Solyc06g034320.1 transcript:Solyc06g034320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRSSFSLIAGTVCGIYIAQNYNVPNINKLIQNALFKAKDVEEKYRKPPKPGDRL >Solyc01g066270.3.1.1 pep chromosome:SL3.0:1:73601617:73602163:-1 gene:Solyc01g066270.3 transcript:Solyc01g066270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSTYTKDMEHRKEQLLAKIGKEGFDLIDEFWGKRKERPSPPQRPNNPAPYKYYPQQSHVVKLHPSEANVYNINSYEAVQMYQGVEYFSSKRKPSTAAVAF >Solyc03g113845.1.1 pep chromosome:SL3.0:3:65370614:65374525:-1 gene:Solyc03g113845.1 transcript:Solyc03g113845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYELGMTPVLPAFSGNVPAALKRVFPSAKISRLGNWFTVNSDTRWCCTYLLDATDPLFIEIGKVFIEQQLKEYGRSSHIYNCDTFDENTPPVDDPDYISSLGATIFKGMQSADSDAVWLMQALLHSVPLGKLIVLDLYAEVKPIWATSKQFYGIPYIWKVTLLFFFCASDVVFP >Solyc03g114490.2.1 pep chromosome:SL3.0:3:65956307:65956858:-1 gene:Solyc03g114490.2 transcript:Solyc03g114490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFAPAYGLLYFAVFSILVTNRNVSRVLFGRDGNYSDYLLEKYVMNAEQIKSCLVDFQTSVLSKSRTGQRDPKRTKYYPV >Solyc10g047085.1.1 pep chromosome:SL3.0:10:39578819:39581884:-1 gene:Solyc10g047085.1 transcript:Solyc10g047085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLIQKKYDIFMGIVLVVFVAGFFVNFLKQVLRSEQEQGKVIRTLTTESPDENTEENKLSPIEKEQNFYLFPWQEIPYSNQPCEFFCQTTSLDFLTEIHVDFNMCIREGKSLTHSFVLLDNLLRSYTCVGFRESELRKKEDGSKKKTTTTGHMRYIYNVPRRFLANFRGGFKC >Solyc11g066003.1.1 pep chromosome:SL3.0:11:51922462:51923374:-1 gene:Solyc11g066003.1 transcript:Solyc11g066003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPDLEELRKQLNELFEDDHIRPYEAPYGTQVKYFTKMDLWKGYYQVCIAEGDEPKTACMNKYGAYEWLVMPFGLTNTPTTFRTLMNEILHPYLDQFVVVYLDDIVIYSNTLEERVEHLRKLYVKRKKCEFAQLELHFLGHVISQGELRMDEAKIRVIQEWEAPTKVTELRSFLGLANYYCRFISGYFAKAAPFIELLKKNKPWVWSEDCRKAFEGLKAAVTEEPNFPLGES >Solyc06g053900.3.1 pep chromosome:SL3.0:6:36865315:36867036:-1 gene:Solyc06g053900.3 transcript:Solyc06g053900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4C677] MAQNIGSNFFTVKDLKLGYHFLISHTPYLFIIPAISVLGCYNLSTITTLEDLNNHIILMLKSWPIIIIIFTALYLIRGHPRNVYLVDFACYKPDEADMMSKQQLLDIMSLTFDDEAVDLQKKVLEKSGYSDKTYVPEGIRRLPEKLMTFEESRKETEKVMFGAIDDLLAKTKVKAHEIGIVIVNIGLYNPTPSLSSMIVNHYKLRSNVLTYNISGMGCSAGLISIDLANRILQTQVISAYAMVVSTESIHCGYYLGKDKSKLVTNCLFRMGAAAILLSNCFSDSRRSKYKLMHVVRTHKGADERAFRSIYQEQDEDGNIGVSLSKSVMAVAGETLKSNITALGPLVLPMSEQLLYFGYLIARKIFKLKNMKPYIPDFKLAFEHFFIHAGGRAVLDEMEKNLQLTEWHMEPSRMTLFRFGNTSSSSLWYELAYSEAKGRIKKGDRAWQIGFGSGFKCHSVVWQALKTINPAMEKNPWTDEIHDFPVNIPLVMPLSV >Solyc11g071550.2.1 pep chromosome:SL3.0:11:55274825:55279218:1 gene:Solyc11g071550.2 transcript:Solyc11g071550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLAILFISLILLSNFNNKTEAKAFLFKETTIDHIHIALKQNKLTSRQLVEFYLSEIQRSNPILKGIIEVNPDALFLADKADQERKSNSSKSLSRLHGIPVLVKDNIATKDKLNTTAGSLALIGSIVPQDAGVVKKLRNVGAIILGKATMTEWAAFRSTNLPNGWNGRLGQALDPYVASADPSGSSTGSATSVAANMAAVALGTETAGSILFPSSANSVVGIKPTVGLTSRAGVIPISHRQDTVGPICRTVTDAVEVLDVIVGFDRDDFPATKKASTYIPHGGYRQFLKADGLRDKRLGISNYFFGSNDIKTYQQHFNTLRKKGAVLVDNLVIPYTDLVNNAIVVAQFTALSAEFKMDLNAYLKHLVHTQVRSLADVIAFNKISPPEKLKEYGQDIMLEAEKTNGIGKLEREALSNITIACKYGFEKMMKENKLDALMSPGADIADLLAIGGYPGINVPAGYDKTGTPFGISFGGLKGSEPTLIEIAYGFEQATHIRKPPPSHPQ >Solyc02g078650.3.1 pep chromosome:SL3.0:2:43885831:43888640:1 gene:Solyc02g078650.3 transcript:Solyc02g078650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILFPTTNTLSSSTTNTFSNLHSSPFFAKTSKISSIRKHNVHRNFQVSCKTIDDNNHEHNSPVDISKKNDSSNNMIDRRNVLLGLGGLYGASTLVGGLPFVLAAPVNGPDVTKCGAADLPPGAELVNCCPPMSGSIIDFQLPSSSTPLRTRPAAHSVDSAYIEKFNRAIQLMKQLPDDDPRSFKQQANIHCAYCDGAYDQLGFPNSELQVHSSWIFLPFHRCYLYFFERILGSLINDPTFAMPFWNWDHPDGMRMPGLYTNPTSSLYDRLRDRRHQPPTMVDLDFNGTDPNISTAQQTSQNLTNMYRQMVSLGRTPETFLGDPYRAGGVPGGAGSLENMAHGAVHVWTGDRTQANFENMGDFYSAARDPIFYAHHSNIDRLWTVWKTLGGRRQDFTDPDFLNTSFLFYDEKAQMVRIRVRDVLDSSKLGYVYQNVRNQWINSRPTPRVSRALSSVRRLVEARAADDNNNNIMNFPRPKEIFPTKLDHVIKVMVKRPNKKKRNKKEKNEREEILIVEGLEVESDVFVKFDVLINDEDETLISPDNAEFAGSFVNVPHHSHGKGEKNSKRKTKLKLAITELLEDLDAENDDNVLVTFVPKNGSGAVKIGGVKIVLED >Solyc10g074430.2.1 pep chromosome:SL3.0:10:57819704:57822016:-1 gene:Solyc10g074430.2 transcript:Solyc10g074430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLLSDLDATRDDWLIKVRVCRQSEFINFKCSPEMISLDMILIDEKGTLMHAIIWKNQVNKFRDKLSEGFAVIIRNFKVSALTGDYRPVQSNFKITFLRKTTIQKLQDDIVHIRQNGFQFIQQEVIRSRIKNNILLSDVVGCLCGIGDVESVGSKWQKRDIHILTDPDDLTIKARITFWEDHGESFYPYVYPNDFGPYIVIIIATTVKEFRGELTFATTAASKIYVNLKMDNITALLHKFSKKSVHIVTIASGNSSNVPNAQAMFENRMTVECVVTLRGQITAIENFFDWYYISCNFCNKKVESSNGVYTCQRCNKQCDLPLIRFKIHINVKDNGGNTTLVLFKGVAEKLLDTSADKLVNSLSKSESNIPHQIQSLCGMELVFKLKLSSFNLKEGLENYTVTKVYVPDEELELQHRINKDKRVKGKEKLDDSTKQTDFNAEGSNTDYSNELSDEADTFISKQRVYSRKFKKRRNLFIADSEESDDDTIKRTK >Solyc02g070490.3.1.1 pep chromosome:SL3.0:2:40779760:40793252:-1 gene:Solyc02g070490.3 transcript:Solyc02g070490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAVRASPLVFLPSSPFAASRNLKKQCSNTIISFNIRLQSSHSKSSCITISSIKCSASSTSAIVSKEEEPLPLEVNEIKTLCKTWVWRGYNINYLSYPGHNNDTCRPSLLLVHGFGASVAHWRRNIATLAQNYRVYAIDLLGFGASDKPEGFAYNMETWAELILDFVNQVIQRPTVLVGNSVGSLACVIAAADPSQTSIQGLVLLNCAGGMNNKAIVDDWRIKLLSPLLWLVDFLLSQKPIASSIFTRVKQRENLKNILLSVYGNKESVDEDLVDIIRTPADSEGALDAFVSIVTGPPGPNPVQLIPKMTLPILVLWGDQDPFTPIDGPVGRYFSSLPSQKPNVSLFLLEGVGHCPHDDRPDLVHEKLLPWLSNLPVADNVVT >Solyc06g036120.3.1 pep chromosome:SL3.0:6:25665901:25667787:1 gene:Solyc06g036120.3 transcript:Solyc06g036120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSLLGKRGISFYKELKRISIQKRSSSFDSFGIFTTESFQWIHEMCILD >Solyc04g024490.1.1.1 pep chromosome:SL3.0:4:30475789:30475938:-1 gene:Solyc04g024490.1 transcript:Solyc04g024490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYIFLVMKASLGPCLHGLISSSMYNMYNIMRFIILFEKLLFIIIKCLL >Solyc01g090880.3.1 pep chromosome:SL3.0:1:84464163:84468260:-1 gene:Solyc01g090880.3 transcript:Solyc01g090880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLLAAVSLPPVTFRPARNSPRLTTKTLAIRAVSVEEIPPNAVRRKLDSTWRGGFSLGVDLGLARTGLALSKGFNFRPLTVLELRGQKLELRIIDIAQKQEVDEFIIGLPLSSDGKETPQSNKVRSVAGRLAVRAAERGWRVYLQEEYGTTIDAMSYMVDRGLGKSAREGKQDAYAAAMVLERYFLEAGRRIELVLPKQLDLQERLKKGCSKDADYSTDESD >Solyc04g082270.3.1 pep chromosome:SL3.0:4:66080234:66081829:-1 gene:Solyc04g082270.3 transcript:Solyc04g082270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRSTCFVSFINTSQLSNSSQIFPPFLYKKMGKEKTLSKSRTMAPRMVANFRRSLSFPNHPNHSNKPKKSFHVRSASLPCRSHPLISQLKDDLNELKSWAFKPQNRTSNWICDGLNQLKIVHESLDDLLLLPQTRESLNGHSDLVEKLLDDFLHFVDVYGIFQTLILTFKEEHLAAQVAVRRKDESKIASYAKALRKMAKEMDKLALNVQCIGKYIIPQQTIPIPDGDAELAEVMKDIIEVTQLVSIALFNGLGVSMAYAKPSCSWIGLGKKTKKLKENEGIVEFVEMELENLLRKNKGDEEVKIVTKKMHELEDCICGIENCGEKVFRSLINARVSLLNVFTQ >Solyc06g064650.3.1 pep chromosome:SL3.0:6:40409414:40412401:-1 gene:Solyc06g064650.3 transcript:Solyc06g064650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDLVNSVLNFVVPPASLVMLAFSWPALYFINTCERMYNSFFGEDMEDKIVIITGASSGIGEQIAYEYAKKKAHLVLVARRETRLWGISENARALGAKSVVITAADVVKEADCRRFITETVNLYGRVDHLVNTASLGHTFYLEEATDTNVFPILMDINFWGNVYPTYVALPYLRQSRGRVIVNASVESWLPLPRMSLYAVSDSDHCYLFLLMEFAAKAALVNFYETLRFEVDGDVGVTIATHGWIGTEMTGGRFMLEEGAEMQWKEEREVHASGSSVEDFAKLIVSGACRGDPYVKYPSWYDIFLLYRVFTPNVLQWTFRLLLSNQSVRKTSLIGTGRPLLESSSPPRMQPIPETSSPPRQPSSESSSPRRQPLSGSSSPQHPSASPRRRMGPVPVQQD >Solyc05g023750.3.1 pep chromosome:SL3.0:5:29242953:29254451:1 gene:Solyc05g023750.3 transcript:Solyc05g023750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFGKLDDSPMFRKQLQCFEESAESLRERSLKFYKGCRKYTEGLGEGYDGDIAFASALETFGGGHNDPISVAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQFVNIDLQDVKEARKRFDKASLIYDQAREKFLSLRKGTKSDVASVLEEELHNARSTFEQARFSLVTALSNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYINQVLTYAQQSQERSNYEKAALNEKMQEYKRQVDRESRWLSNGSNGSPNGDGIQAIGRSSHKQIEAVMQSAARGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDSRGMLYYYRKQNTKPSGSGSQHSGQRNSSELGSGLLSRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKSYTLQAESALDQMDWIEKITGVIASLLSSQAPDRCLTASPMGSGHHRSASESSSFESSDFDPPAVEDHPSDRLISAHHDRPFRNSQQLRSAPKSEQPIATLCRVCGNDRCADCGAAEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVIALFQSLGNTFANSVWEESLQARGAFQVNVSAASSYRSDKQQQLYISKPSHADPISTKEKFIHAKYADKSFVRRTKDGHTVAQMMWEAVRANDKKAVYRLIVSSEADVNALYKQVVSNSSLTLAKVMLLQELPYTDQSSTCLEMDLASPSERLIVGDFNGCSLLHLACQTADLGMLELLMQYGANVNASDSSGQTPLHWCIIRGKAAFAKLLIARGADPHALDGESKTPYDLALGSNFDDVDVLNLLSDTNA >Solyc12g019790.1.1 pep chromosome:SL3.0:12:11811590:11812236:-1 gene:Solyc12g019790.1 transcript:Solyc12g019790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFILSSSSLVETVHRTPLFTRRSFGRVHQVW >Solyc05g007120.3.1 pep chromosome:SL3.0:5:1682933:1686910:-1 gene:Solyc05g007120.3 transcript:Solyc05g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLFLGLFLFFQVPFSSSQLVPAESRILFQIQQFLENPPVLQQWNKWTNFCFIPQSPSLVITCSGNHITELTIVGNKKSPFESLKSSSPQALSGKFSIDSFFTVVTKLSSLKKLSLVSLGLWGSLPAKISRLHSLEVLNISSNFILGEIPSSIVNLKNLKSLVLARNLFNGSVPDLKGLKLLEELDLSGNNLGPKFPSLGDYNNNNLVSLNLSNNFFRSEIPNGFNKFTHLQNLDLSSNKLVGPMPAFLFSLPAIQSISIAKNQLSGALPGSVSCSNNLKFVDFSSNLLIGKLPTCLGSSSRNRTVINVWNCLSSTSTKSQHPHTFCEKQAIAVKPPPRTSGEKEQSTVKLGVVLGLIAGIVVVVGAFGLLIFFIVKKVMRNRVESYRNDSFAFEKNSTLSKTTDGGKARRTMRMVSLGLPPYHVFTLEEMEEATNSFDPTNLVGEGSQGQLYRGWLRDGSVVLVKCLKLKQKHSPQILQQHMEMISKLRHRHLVSVLGHCVVTYQDHPNTASTVFIVLENVVNGSLKDHLNDWRKRDVLKWPQRMGITMGIAKGIQYLHTGGVTGNDIKLENILLDETLTARISSYNISLPPKVGSESPLAGPDHFTRYYSAATFTLLSLFRAIISESSRAIISESSANTNFIFSAKEAEKEDIYQLGIILLEVIIGRPINSRSEAEDLKLQVETALSESPSKLRDLTDPCIRGTFAYDSLKTTVQIAINCLDKEPSRRPSVEDVLWHMQYSIQVQEGATNSGNLSGNQSGKLSGKISGNLNNKFY >Solyc04g007620.1.1.1 pep chromosome:SL3.0:4:1301143:1301592:1 gene:Solyc04g007620.1 transcript:Solyc04g007620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITISTSSLLLPLQFSPKRRSSKASIRTPTLAIRREAHDQNYNSNDFHRVDENLIVLRKRIHEMKMVETNHEPPIEWMAWEKSLYMDYDSNICELMGLLQAQLMDTRPSLVLGMVGLIALSVPTSTIVVLFHLLELAKAILANGLHIP >Solyc11g071410.2.1 pep chromosome:SL3.0:11:55190200:55194668:1 gene:Solyc11g071410.2 transcript:Solyc11g071410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIGLAVGGAFLSSALNVLFDRLAPNGDLLNMFRKHKDHVKLLKKLEDILLGLQIVLSDAENKQASNRHVSQWFNKLQSAVEGAENLIEEFNYEALRLKVEGQHQNLAETSNQQDVDFEERVRQVWYINCP >Solyc01g015280.1.1.1 pep chromosome:SL3.0:1:18300121:18300270:1 gene:Solyc01g015280.1 transcript:Solyc01g015280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKKILETVHHSFNNSRLDLYFTFVLIILDFVWNILCSSSNVFFLFVDN >Solyc05g008050.2.1 pep chromosome:SL3.0:5:2470480:2473563:1 gene:Solyc05g008050.2 transcript:Solyc05g008050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRELDINQIPSNINEEEISMEEEEEEESSNNNNNNDNINGAPRKKLRLTKQQSFLLEESFRQNHTLNPKQKEALAMQLKLKARQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLSEQNQRLKKEVEELRAMKVGPPTVLSPHSCHPLPASTLTMCPHCERVTRTNVRD >Solyc05g056530.3.1 pep chromosome:SL3.0:5:66644445:66653512:1 gene:Solyc05g056530.3 transcript:Solyc05g056530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFKRKPFALAEKPKDLKPNELVFQVRFTKEIFRDYGEYLRRINLYRHRVWTCKLTGKHNLTYEEALVSEKKAAEEVQKFPEELVAPVLRDVQFSMLSLKDLGDAVAQKLQGCLSEGSELYGRKNDHVYSCKIERVVKDGEKTRYEVAWLDKYERMPEDTAIDEEDLIRCKLRFSRAFLKSFIRESTYRSIPWVLHERLAKKHGIPTDPPDDLKDQFSMQDGVVVVNRKRKKSEDSETKENGFQDPYEIGREDKLKAQSTRYPIDDLLVQYTEFDKQLAERPPPCREFNVPMECVGDHLMVWDFCTSFGRLLHLSPFSLEDFERAVCQKGSNIVLITECHSALLRLLLKDNSEYSIAVQKKRPKLKITLITWTEYLSDFLELIGIVELTNHVATIKRGHYGLLDIKAKLAILRELVCRVLETDYFKEKLDEDIEKQYALAATRREEILEESRKKREDHLKIQSNGKEATKGRGNSSDTGSDNHLRENGDMPSSNGKQTSPSKHSLENRFTFLYLKFFWNLDGNFMMSVSYFPFFSVSCCFLSDSESELTISSLKNSKKRKVDVKNSTAKMNASSKIASDKLIKDEGKETLENRSMDQRAAHKMRKNEIKEHLENRSKEQRKEYLEREIEKRVIRTNPLGKDRDYNRYLFFRRDGRIFVESSDSLEWGYYSSQEELDALIGSLNVKGERERALKKQLENLYHKISLELQKRSKEAQKAETDDADVRRSTRVRAPPGDNPALAFLKYVNKWKED >Solyc02g088610.3.1 pep chromosome:SL3.0:2:51281294:51288619:-1 gene:Solyc02g088610.3 transcript:Solyc02g088610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVTSFSGVQFCVPSSSSNSSNRVALFSSHSAPYLNFSGKSRVLGKCSSLKLKRKDVFFSRKTEKLSQGSRLTVRCDASNGRITQQDFTEMAWQAIVASPEIAKENKHQIVETEHLMKALLEQKNGLARRIFSKTGVDNTRLLEATDKFIRQQPKVIGETAGSMLGRELEGLMQRAREYKKEYGDSFVSVEHLVLGFIQDKRFGKQLFNDFQISLKTLKTAIESIRGRQNVIDQDPEGKYESLEKYGKDLTAMARAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVQGDVPQALMNRRLISLDMGALIAGAKYRGEFEDRLKAVLKEVTESEGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVYVDQPTVEDTVSILRGLRERYELHHGVRISDTALVDAAILSDRYISGRFLPDKVYAKSAGFQIAAIDLVDEAAAKLKMEITSKPTALDEINRAVLKLEMERLSLTNDTDKASKDRLNRLETELSLLKERQAELTEQWEHEKSVMTRLQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNTLQRQLEASEKELSDYMKSGKSMLREEVTGNDVAEIVSKWTGIPVSKLQQSEREKLLHLEEELHKRVVGQDPAVRAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALANYLFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTEIVRRRPYAVILFDEIEKAHSDVFNVFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILNTDDNDDDSSKEATYQTIKQRVMDAARAVFRPEFMNRVDEYIVFQPLDRDQISSIVRLQLERVQQRLADRKMKIQVSEAAIQLLGSLGYDPNYGARPVKRVIQQNVENELAKGILRGEFKDEDTILVDTEVSAFSNGQLPQQKLVFKRQESGSDSPAENQEAFSQKL >Solyc04g009020.3.1 pep chromosome:SL3.0:4:2589066:2594544:1 gene:Solyc04g009020.3 transcript:Solyc04g009020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFSFTSSSSSNFTLFYHSQNHNPSKFHVSAPFRTSQPSPSHPILPPLCSRDPSSSSPLLEEKPETSQSSSKFDPQDTLSYNDEVSEEISTTKKSLEELLVVRRPVKESSVENDDEKGEAVSNFEDSQERNDILEEQPSSSSFPLDAGLKKFAKKVPIFEPSRLESDSGEKPLKVNLDLALYKAKILARKFQYADAEEILQQCIDVWPEDGRSYVALGKILSKQSKLNEARTVYEKGCQATQGENPYIWQCWAILENRMGNLRRARELFDAATVADKKHIAAWHGWAVLELKQGNIKKARNLLGKGLKFCGGNEYVYQTLALLEAKAKRYERARYLFKQATRCNRKSCASWLAWAQLEAQLENNRSARQLFEKAVQASPKNRFAWHVWGVFEANLGNIDQGRKLLTIGHMVNPRDPVLLQSLGLIEYKNSSANLARVLFRRASQLDPRHQPVWIVRPFVSIVNAIEYRINSFTKRLTRIVQALDMAWGWMEWKEGNISTARELYQKALSINSTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYITWMTWANLEEDQGNSIRAEEIRNLYFQQRTEVVDDESWIMGFLDVIDPAIDSIKRLLNLDQNSYYKVKESASNTTAGDDVEGSTEESASPSSANVNDNNIDTGSGFDLDDFISVMLSLDPSKLEVQLTTSLKDPPKIARTTNGVWRPSTKTSRTSTTL >Solyc11g013390.2.1 pep chromosome:SL3.0:11:6374252:6374605:-1 gene:Solyc11g013390.2 transcript:Solyc11g013390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREEFCCGFLLGDRLLRPAMVKVSSGPGKKAPSSVSQKSPYIQFFEDLGSY >Solyc10g045520.2.1 pep chromosome:SL3.0:10:34106805:34107838:-1 gene:Solyc10g045520.2 transcript:Solyc10g045520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LLKGQLFVEGYAEKQFYSFLDYISNGFELSFMMHLNMQCLASNGDPRSPDSLHYIDPSGRLNAYQRAIMEVGDVIQFYDSDKCFPTCGFGGRAYGGTVSYCFNLSWKHGAVEVFGNQLCYLEMFICYISRVLFICTWA >Solyc07g044960.1.1.1 pep chromosome:SL3.0:7:58144272:58145846:1 gene:Solyc07g044960.1 transcript:Solyc07g044960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPSENSSPRKTFKKLRNSFHLIKSQVGFHHCKWFFLIILIQVTFILFLAGTSPPAIPLRHQHHHFHTSKPQKQSIHQQKQSNDQVRISKSQKQSKDQLQILQDSKPKNKHQKQSNKDQQTVKLVQDECESGRVYVYDLPTKFNKDLLNNCHDLDPWSSRCNAVSNGGLGPKATGLDSIVPENLRSAWYWTDMYSAEVIYHERILNYKCRTLNPQNATAFYIPFYAGLAIGKILWFTTAKERDRPSELMLEWVKDQPYWNQNQGSDHFLMLGRLTWAFRRKTDDDSDWGTSFLRMPLMKNVLRLSVEKNPWDDLEVSVPYPTAFHPEFEAEIKQWQDLVRSRNRSSHFCFVGAVRKKIKNDFREVLMNYCKNETGSCKIVDCSVAHCYDGAPAILEAFLDSDFCLQPKGDGFTRRSMFDCMMAGSIPVYFWEGSFKTQYEWHLPSPSEDYSVYMDHTQVRNDTNIIRKVLDKFTKDDVRKMREILIDAMPNYLYARSNQGLGSSNDAFDIAMDEVLKRFKQQKL >Solyc11g008770.2.1 pep chromosome:SL3.0:11:2938098:2950521:-1 gene:Solyc11g008770.2 transcript:Solyc11g008770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRAILRRKRNLFDSTPKPHTFIRAFLSYENWGSSKASNSWDWRSMVTHQPQNADNRNEGSSSPSTKDELLKVWGKHVLLNSSEIPVLGLRVGRCGSLSTLGARWIIHQQQYSTAAAGQPDFGKGNDKDAEPAVKQKKEASPEECDEAVEDLTMAKAKAKSKQLQDSQISIKAVVKKIWAVLLGIGPALRAVASMSREDWAKKIRHWKDEFKSTMQHYWLGTKLLWADVRICSRLLLKTAKGKSLSRRERQQLTRTTADIFRLVPVAVFIIVPFMELLLPVFLALFPNMLPSTFQDKMKEEEALKRKLNARIEYAKFLQETVKEMAKEVKNSRSGELKNTAEDLDEFMNKVRRGASVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGINPFGTDAYLRFMLRKRLQKIKNDDKMIQAEGVESLSEDELRQACRERGLLGLLSVEEMRQQLRDWLDLSLNHSVPSSLLILSRAFTVSGKVKPEEAVQATLSSLPDEVVDTVQVTSLPSEDALAEKKRKLEFLEMEEELIKEEEEEEEEEQAKMKDKQKDVALEEMTLATAKEAEELKKTKTLDQQEQLCELSRAIAVLSSASSVSLERHEFLRLVKKEIELYNSMVDKGGPEGEEEVRKAYKAAREEDNDHTQERTVDDKVSSALINRVDVMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAASYLKDTLAKEGIQELITNLSKDREGKILVQDLVKLASEIEHAEEEEETTEEEKAQK >Solyc01g007180.3.1 pep chromosome:SL3.0:1:1740771:1744700:-1 gene:Solyc01g007180.3 transcript:Solyc01g007180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gamma-tubulin complex component [Source:UniProtKB/TrEMBL;Acc:K4AST4] MKEQMAILGNNRIEDVRWLCSLTESELDLLIGLKVLIQQRAKKIGHKSLANKFDLKTLRALSFVLMENLKGKLRDLSGTPDTAEFSSTLDACNLLNYVRDKTFANMGVEQLSSYICNDKRKRILEMFSEDMAPNRKQRS >Solyc01g018070.2.1 pep chromosome:SL3.0:1:27299301:27300754:-1 gene:Solyc01g018070.2 transcript:Solyc01g018070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNDNSYYPKVKELDVQVDDVGPSTDWVKINVRETNDFFEVFALVPWLSLDEVRVQSDPAGRLVITGQPKQLDNFWGVTSFKKVVTLPSRIDQLRTHADLTLHGCLHVHVPFAQQNL >Solyc06g062610.2.1 pep chromosome:SL3.0:6:39643912:39648668:1 gene:Solyc06g062610.2 transcript:Solyc06g062610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHCCRSSSRRFISQTPFSISTRLCFPSIFTHFHCHSSANHSHKTQSELLDFLDHLLQQCGLNLQQLKQIHENIIITGSSNSSFIAARLISVYSKFGLVNEAQKVFETCPTDCFSNLLLWNSILRANVSHGKCEEAVKLYVKMREYGNLADGFGFPLIIRACGMFGDHNLCSVVHCHAIQMGFRDHLYVGNELMNMYGKIGRMDIASKVFDGMSMRTQVSWNIIVSGFAQNFDCDAAYKTFLLMEPQGFEPNSVTWTSLLSSFARCRRHQDTWKLYVLMRKKQVEATAEAIAVVISVCIGDNGIDKCETVHGYVIKGGFENNSIVINSLMCTYGKCGAVRQAECLFSRLQLKTILQVLDDPKMKPNVISWSAVIGAFSMAERHEESLEIFRNMQVARVLANDVTISSVLSVCAELSNFHLGMEIHGYSIRYLMDKSTLVGNGLVNMYIKCGSLWKGNIVFKGVGKKDLISWNTMISGFGMHGLGATALETFEQMTSAGTKPDGITFVAVLSACSHAGLVDEGYKVFDQMKKVFGVEPQMEHYACMVDLLGRAGLLQQASEMVQNMPMRPNACVWGALLSSCKMYKNTEVAEETAAQIFNLESGMTGSYMLLCNLYAVNGRWKDSANVRISAKTQGLKKAPGQSWIEVKKKVYMFLAGQPMDSEMEDVHIMLNILSLHMAKEGCTPQKSFAMQCAEEQEDYLYYAS >Solyc03g013230.1.1 pep chromosome:SL3.0:3:47807813:47808914:1 gene:Solyc03g013230.1 transcript:Solyc03g013230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGLGVAKHLSSFNIEKNMCSGSSSILGVYSAVFIQFKGMQGWSRPASRRARMMGGCMSGGSIQGSTFRDMKEELAWKNKVMMVVKDNTVMITPLILMNIRDEGSKRNVKRMGEHEEIGRSASLFKTVKMVCEVRMIRFRGNGKERVGSQVGGGFTFTAQYCGPK >Solyc05g007810.3.1 pep chromosome:SL3.0:5:2246159:2252883:-1 gene:Solyc05g007810.3 transcript:Solyc05g007810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQRNNYGKRMQSESDYSRSDGSKRRTPTDEKESNSIGPEDTVFRYLCPTGKIGSIIGVGGDIAKQLRTETNSKIRISETIPGCEERVVTIYSGSEETNISEDTGDLISPAQDALFRVHDRVLAEELRMDEDLEDHQITVRMIVPSDQIGCVIGNAGQVIQNLRSETGAQIRVLSSEHLPPCALNSDELLQITGEVAVVKRALYQVAARLHDNPSRSQHQLLSSPSIYRSGAGLVNPHAGSQVMGVTSLMGPYASYKSDGRSRSSSVKEFAVRLVCPIENVGAVIGKGGGVIKQLRQESGASIKVDSAAAEGDDCIIFVSAKETFEDQSPTIDATMRLQPRSSEKTEKESGDAILTTRLLVPSSRVGCLIGKGGSIITEMRNSTRASIRVLSKENHPKVASVDDEMVQITGDANVAANALLQVLMRLRANAFEMEGSFPAFSPGLSYAPMPASVPDGSRYGNRDNRSRRHGYSSYSGGHDYSDLSPRDSYGGSQVGNGGNYAPYGVYSSGRPSSSGVSSHNPSAYGKSYGY >Solyc03g033730.1.1.1 pep chromosome:SL3.0:3:5357025:5357372:-1 gene:Solyc03g033730.1 transcript:Solyc03g033730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTSGSSQEDLQKLMDQRKRKRMISNRESARRSRMRKQQLSDDLTSQVNQFKEQNNQIATNINMMTHLFLNVEAENSILRAQLVELNQRLQSLNEIISCTNSNRSLEKCISTF >Solyc08g066930.2.1 pep chromosome:SL3.0:8:55862416:55862810:-1 gene:Solyc08g066930.2 transcript:Solyc08g066930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPLLQHPSYKPSDLTIDYAGRRFRFGRIDNQC >Solyc12g009890.2.1 pep chromosome:SL3.0:12:3063813:3072251:1 gene:Solyc12g009890.2 transcript:Solyc12g009890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit H [Source:UniProtKB/TrEMBL;Acc:K4DC77] MTIENGELTTEQVLRRDIPWETYMTTKLISGTDLQLLRRYDKMAESYKSQLLDNDGPAYIRVFVTTLRDIFKDETVEYVLALIDEMLTANPRRARLFHDSSLSNEDTYEPFLRFLWKGNWFIQEKSCKILSLIVSARPNVQNVADANGDASSSKRTLTTMENILNGLVDWLCSQLRKPSHPSCSIPSAINCLATLLKEPVVRRSFVQSDGVKLLIPLISPASTQQSIQLLYETCLCVWLLSYYEPAIEYLATSRALPRLIEVVKGSTKEKVVRVVILTLRNLLARGSFGSQMVDLDVLQIVQSLKAQAWSDEDLLDALNQLEGGLKANIKKMSSYDKYKQEVLLGSLDWSPMHKDPLFWKENITCFEENGFQILRVLMTILDTSNDSRTLAVACYDLSQFIQCHPAGRVIVADLKAKERVMKLLNHGTAEVTKNALLCIQRLFLGAKYASFLHA >Solyc02g083850.3.1 pep chromosome:SL3.0:2:47667279:47673792:-1 gene:Solyc02g083850.3 transcript:Solyc02g083850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNICFSSSKVSGSNSNTPSTTTTNTAAVNGHRNRRSSANPVSATTNTSRKQEGSHYNRQKGKDNGGVKQQTRNSQKNVKHNTRKQSGIIPCGKRTDFGYDKDFDNKFTIGKLLGHGQFGYTYVATDKSNGNRVAVKRIEKKKMVVPIAVEDVKREVKILKALAGHENVVDFYNAFEDDNYVYIVMELCEGGELLDRILAKKDSRYTEKDAAIVVGQMLKVAAQCHLHGLVHRDMKPENFLFKSSKEDSSLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITFILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISNSAKDFVKKLLVKDPRARLTAAQALSHPWVREGGDASEIPLDISVLSNMRQFVKYSRLKQFALRALASTLDEEELADVRDQFSAIDVDKNGVISLEEMRQALAKDLPWKMKESRVLEILQAIDSNTDGLVDFPEFVAATLHVHQLEEHNLLKWQQRSQTAFEKFDVDRDGFITPEELRMHTGLKGSIDPLLEEADIDKDGKISLSEFRRLLRTASISSRMVNSPTVRGSRKI >Solyc08g006840.3.1 pep chromosome:SL3.0:8:1373899:1381628:1 gene:Solyc08g006840.3 transcript:Solyc08g006840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTKLVQFRPKLKHSKRPTPAPAGKLAFNAISSSLRNLRENNNYNNNQNESRGKLYETLSLSRYMDSLKMRPDMGKDVKGSGMVIGGSGNLPASIFGKEMMRDKEGDYEGMKMEFTRNYRHGELGEKLKMLRPVKKEEKGWFSLHELNERLMKVREADEIESKTMVAGGVYKDLKESLYIISQNGDLKSKFKKTMIQRLDVLGQLGGTPSFMLHPPKEHLVEKFFHPDNMSSGEKMKLELQKVRDEFKMSESDCGSARVQVAQLTTKIKHLSSVLHKKDKHSRKGLQQMVQNRKKLLKYLRRTDWDSYCLVLSKLGLRDNPDYKF >Solyc05g015043.1.1 pep chromosome:SL3.0:5:9733067:9734532:1 gene:Solyc05g015043.1 transcript:Solyc05g015043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKTDDRYVIDSGYVKQRQYNPSTGMYSLEVVQISNSKGFFDDFAVNTTIFTLYEFSHQTVSGYLIDYFVRSAVEKYKTVQAKQRAGRAGRTRPGKCYRLYPSTVYHDDFLDATVPEIQRSSLAGTVLYLKSLDLADMDILKFDFLDSPSVKSLEDALKQLYLVDALDENGSITSLGRKMAELPLEPSLSRTLLEANELDCLSQALTVVSMLSAETTLLPAPRYTIVQNSCMY >Solyc06g076030.3.1 pep chromosome:SL3.0:6:47341638:47343167:-1 gene:Solyc06g076030.3 transcript:Solyc06g076030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSSFPVYLDHPNLHQLQQADGHQQGNTGLELPTVQPPPPMQVGASPGSIRPGSMVDRARLAKIPLPEAGLKCPRCDSTNTKFCYFNNYNLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSKSSSNNNNNNSSKSTGGSNVNTNKTIASGTSTSASPSSCSTEIMNGRHHFPHEQPTQLTPLMAAFQNLNHHYGGFQPNLVSTHHGNGSALSSHHHEMGFQIGNSTNTNNTNNLPVPSGGGSDHQWRLPSLAANTNLYPFQHGSDQGIHESSAGNNNNINGHHDEQGLNSTKQFLGTMENNSNQYWGGNAWTGGFSGLNSSSSASHLL >Solyc01g056455.1.1 pep chromosome:SL3.0:1:54289684:54290285:-1 gene:Solyc01g056455.1 transcript:Solyc01g056455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLKKMEKPFVINRLGRFNDQNQTWIYILDTRSLVSFLVNHEILEQIDISNRNCLYMTSNEIIKEVYGKNPQEYFKWSYLENELREDRVEISIIKYTKVVKMN >Solyc02g081290.3.1 pep chromosome:SL3.0:2:45868151:45870177:1 gene:Solyc02g081290.3 transcript:Solyc02g081290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSPNRNGGPNSFSNNRVTTALPPPITTMNEIIVTEIVGRRKRERERDEEVKERERARLDKLAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNALYQNPHEARLLFGRGFRAGMDRREQKKLAAKNERELREEIWKKDGVEESAVEAAALKKKEQDADMYDTFDMRVDRHWSDKKLEEMTERDWRIFREDHNISYKGSRIPRPMRNWVESKLTTELLKAVDRAGYKKPSPIQMAAIPLGLQQRDVIGVAETGSGKTAAFVLPMLTYITRLPPLSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYCVLNQCNYVVLDEADRMIDMGFEPQVVGVLDAMPSSNMKPENEDEELDEKRIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKTTDLITQHVFMVKESEKMYKLQKLLDELGDKTAIVFINTKKQADFVAKNLDKNGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINFDMPNNIEAYTHRIGRTGRAGKTGVATTFLTMQDTEVFYDLKQMLTQSNSPVPPELARHEASKFKPGSIPDRPPRRNDTVFVH >Solyc02g062560.3.1 pep chromosome:SL3.0:2:34823735:34831522:-1 gene:Solyc02g062560.3 transcript:Solyc02g062560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tm-1 protein [Source:UniProtKB/TrEMBL;Acc:A7M6E8] MATAQSNSPRVFCIGTADTKFDELRFLSEHVRSSLNSFSNKSSFKVGVTVVDVSTSRKETNSCADFDFVPSKDVLSCYARGEGTVGRFPDIRGQAIAIMNKALETFLSKANGEQNLAGVIGLGGSGGTSLLSSAFRSLPIGIPKVIISTVASGQTESYIGTSDLVLFPSVVDICGINNVSKVVLSNAGAAFAGMVIGRLESSKEHSITNGKFTVGVTMFGVTTPCVNAVKERLVKEGYETLVFHATGVGGRAMEDLVRGGFIQGVLDITTTEVADYVVGGVMACDSSRFDAILEKKIPLVLSVGALDMVNFGPKTTIPPEFQQRKIHQHNEQVSLMHTTVGENKKFAAFIAEKLNKASSSVCVCLPEKGVSALDAPGKDFYDPEATSCLTHELQMLLENNERCQVKVYPYHINDVEFANALVDSFLEMSPKSGHVECQTAESKSIQGIQNVNAVLEKYPSCNGKNFSRLNDFPNAKPETLQKRIVILQKLKDQISKGKPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVLEMANEVLPVVKEVAVLAGVCATDPFRRMDNFLKQLESVGFCGVQNFPTVGLFDGNFRQNLEETGMGYGLEVEMIATAHRMGLLTTPYAFCPDEAVAMAEAGADIIVAHMGLTTSGSIGAKTAVSLEESVTCVQAIADATHRINPDAIVLCHGGPISSPEEAAYVLKRTTGVHGFYGASSMERLPVEQAITATVQQYKSISME >Solyc07g066280.3.1 pep chromosome:SL3.0:7:67885158:67890396:1 gene:Solyc07g066280.3 transcript:Solyc07g066280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLTKLSERRLRPACVSKKMKLSFIRPISCCSVSSPATSVKPQKPIFLRPPTFKTTISDLQKWHHWAKSLISSVGSTFLELDNGPDSELLLRELNWLVEDAIETPKSFLQQPHKNYNDNSSVAVRASLEQLYMLWKRRVEERMPFQYVVGCEHWRDLVLSVQEGVLIPRPETELIVDLVDDAIKENGELREGLWADLGTGSGALAIGIARILGTSGRVVATDLSPVATAVASYNVQRYDLEEKVHVKQGSWFEPLRDNEGEFVGLVSNPPYIPSKDIGGLQAEVGRHEPRLALDGGASGMNDLIHLCDGAVSMLRPGGFFAFETNGDEQSKFLVHYIETKKQGNFSKVKMVSDFAGIKRFITGFRGR >Solyc02g073572.1.1 pep chromosome:SL3.0:2:42323514:42325124:1 gene:Solyc02g073572.1 transcript:Solyc02g073572.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDERKEKRKLVFIVIELVEILISDSLYWKSKSKGSLAYYVDQILEDRLRPKRQQSAVHSSHSAPLTTTTTASAASTQYLLQSVSIVNSTAELRDSLYWKSKSKGSLAYYVDQIFEDRLRPKRQQSAVHSFEKHPTLHLLTTTTTASAASTQYLLQSVSIVNSTA >Solyc09g075630.1.1.1 pep chromosome:SL3.0:9:67722961:67723236:1 gene:Solyc09g075630.1 transcript:Solyc09g075630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEKATYGASETYNTSGYHEECDDGETRHQDHSKASRAEYRLLGKALAHRAVFGSGSRRGRARKVRNMNDLKTLPSRLSKVSLADEDQNY >Solyc10g080350.2.1 pep chromosome:SL3.0:10:61752091:61783145:1 gene:Solyc10g080350.2 transcript:Solyc10g080350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:K4D2S5] MNQGASETVEAVHFSFMTDEEVRRHSVVKVTSPNLLDGLQLPVPNGLYDPAMGPLDHYSQCKFCSQSNCSGHCGHIELVSPVYNPLLFNMLHNLLQRTCFYCFHFRASRAEVEKCVSELELIAKGDVVGAKMIDALSPDNSTDREESEGSHMSCTMDDLNVRDHCEYNKRPSWDNFQFTEAMAVIDRILKTKTEKCSNCKAKNPKIRKPSFGRFHMDISNKQIRENYINSGRRFNLHDTGGSEENPSPEVVNATEPLGEAETSLCVTSTDGVENSKGRKRQGGDQSDVVEQQKDSFSVAHLPSQVRSIIEHLWENEAPLCTFFCDIQSQHRNTSGKVAGPSMFFLDSILVPPVKFRPPAKGGDSIMEHPHTVLLGKVIQANIALGNAHINRAGRSKIISRLMDLQQSVNVLFDSKTASGPGQKDVGSGICQMLEKKEGIFRQKMMGKRVNFACRSVISPDPYLSVNEIGIPPYFASRLTYPERLTPWNAVKMRDAVINGPENHPGAISFADRIATVKLPSNKKMRVAISRKLPSSRGAVTQSGRNNEYEFEGKVVYRHLQDGDVVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEISRAEAYNIVNANEQYIVPTKGDTVRGLIQDHIVGAVILTMKNTFLSLHEFNQLLYGSGVFAAGPAPTSGNHSNKVSIVDFEGVVQTVLPAVWKPKPLWTGKQVITALLNHLTNGCPPCTVKNKGKIPYAYFLSESRLVEYQSREEQEDRTAENEFLIWKNELVRGVIDKAQFGKFGLVHTIQELYGSNKAGILLSALSRLFTIFLQLHGFTCGIDDLVILPHYDIRRKEELEGDDVGEEAHCDFVKFKRGEIGPLELQLEIEKAISSNKEVATAALDMKMKNKLANKGSQFNKELLLKGLLKPFPRNCIALMTITGAKGSTVNFQQISSYLGQQELEGKRVPRMVSGKTLPCFPAWDCASRAGGYVSDRFLSGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLESLKVCYDYTVRDADGSIIQFYYGEDGVDVHRTSFLKNFKALKNNQETICQKLRHGCKLNSYIEKLPDGLGEKVKHFWESKTKKLEKKLGMLVKKEEMVKQLKEEEADFLELVGQKYFSSLADSGEPVGVLAGQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASEAIKTPIMTCPFLGWKSKNDAQSLLAKVKKITVADMIESMEVKLLPLSIYNHQVSQLYKLTVKLKKHDFVSSEDCEYTLKFVFLRELEDAIESHLALLSKINGIQNFKTSSESVDSDETEENASSTRREEEMLDDDDDDEDERTEDLSSDAQKRKQQTTDEMDYDDDEDEAEAEAEATAEIEDEKSEQTDEIDNGDEEENGDRGNEEHTSKLQSTEEDISNTKTSKSKTKTTVKQKKKKERRSKKDSDRCVFVDVEGLHFEVHFRFVNEPHILLAQVAQKTAKKVYVKNSGKIDQCRMVKYEVTENTVMWDENQTKQQRQDSDSAYWALKAAGVDFGTFWEMQDDLDVTRIYTNNIRAMLNTYGVEAARASILREVKTVFGIYGVEIDFRHLSLIADFMTHTGGYQPMSRHGSISESLSPFLKMSFETASKFIVEAAAHGLTDNLETPSSRICLGLPVKMGTGCFDIMQELDI >Solyc05g015810.2.1 pep chromosome:SL3.0:5:12098423:12100686:1 gene:Solyc05g015810.2 transcript:Solyc05g015810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHTMPISITYQETKLVVPTTITPHQKKYLSEIDDQGSTCFHSHISIFNKYNSSMKGKDPAKFIKYGLSKTLVFYYPLAVRLIEGPNKKFMVNCNGEGILFIEVDANLEKLGESIKPPYSYLDLLLHNVPGSDGIIGCPLLLVTRFSCGGFAIGLRVNQTIMDAYGFKMFLNAYGFKMFLNALSELIQGASNTIYITIYIHLLSNTSISPSITCTHHGFDEKIQSKIGWESMEDELIQQSFFFGNKEIEVIKKQYKIRVVSGIYMEMSYSCSRFAEEIVRLTFFGGIRGYKNINYHLKIMVKKLKYDMNEEYIKSLTNLMVIKERPKFVGFDEIDFVWENQYLEGFQKLYFAKIIKDELLCFTIH >Solyc03g032150.3.1 pep chromosome:SL3.0:3:4679271:4684858:1 gene:Solyc03g032150.3 transcript:Solyc03g032150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCFGAKLSNSKSSSTYPSFSARPSTPDTSKSYSTGVGYSATSGSIGCSNFSAAASEDICLNGEILPIPNLKIYSFSDLKLSTKNFKSDSVLGIGGFGTVYKGWVDEKTLAPTKAGTGMIVAIKKLNSESTQGFEEWQSEVNFLGRLSHPNLVKLLGYCHEDKELLLVYEFMPKGSLENHLFRRSAAIEPLSWDLRLKIAIGAARGLAFLHTSEKKVIYRDFKASNILLDGNYNAKISDFGLAKLGPSGSNSHVTTRVMGTYGYAAPEYVETGHLYVKSDVYGFGVVVLELLTGLRALDTKRPNRQEKLVDWVKPMLSNKRKLKSIMDARMEGQYSSKAATLAAQITLKCLEVDPKNRPSMKEVMNVLEQIEAMKENPKESKSKSEHSSSHRHRQSPRSRQSPRQTNGQGFRSGSGK >Solyc03g120600.3.1 pep chromosome:SL3.0:3:70436458:70441501:-1 gene:Solyc03g120600.3 transcript:Solyc03g120600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVDSSNETENAQPVGGVPNPSYQGAYAPTLTGTPWSTGLFDCHLDQTNAAMTALFPCLTFGQIAEVQDAGEMTCPLGSFMYLLMMPAVCSQWIMGSKYRTKLRQRYNLVEAPYSDVVSHIFCPFCSLCQEFRELRIRGLDPALGWNGIVAQQQYGNQQMNQAPSVQSMYNLDFELVLGPVSYLYHYFNNRWLVQNFPNHMTGGGIEFGEIPTCLFVWDSRPWNAMRRGVVPNLAHIQTMHQINK >Solyc11g039577.1.1.1 pep chromosome:SL3.0:11:43941524:43941841:-1 gene:Solyc11g039577.1 transcript:Solyc11g039577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYKKLNAWTEKDHFPKPFIDQMLDRLAGKGWYYFLDGYLGYNQISIALEDQEKTTFSCRYGTFTFKKMLFGLCTAPYIFQRCMMSLLSDKVEDTMDVFTDNFSSV >Solyc07g040898.1.1 pep chromosome:SL3.0:7:51117235:51117548:-1 gene:Solyc07g040898.1 transcript:Solyc07g040898.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDLGLPEGWKMLVTGCEGDPLIDRQVDLVKDTFTQGFYHGSEIIDPLKTKKFLLLVRKLISHFKGNVFVKL >Solyc05g010583.1.1 pep chromosome:SL3.0:5:4803490:4803974:1 gene:Solyc05g010583.1 transcript:Solyc05g010583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTSIMTLFVIALMIVSFDVAIGITRCHTSADCPSYGNAYPKCICIELICICRSLNTHSVDHEDSGSSGKY >Solyc01g094670.3.1 pep chromosome:SL3.0:1:85988301:85991165:-1 gene:Solyc01g094670.3 transcript:Solyc01g094670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNTNWSEQGRGSRRCRRNRLSHCAVSIFDSGVHFYRRHRQFYQRDQSNNNLTSPPITMSEEKPHLSDSLIPRLQQTLTTCSQLIEAGHFSDSDGLVTELADFLSPISVSVVEEPSNLDLEITSFEILTEIHSFINSPSRNQQVIDALSFELPKLVCKYASASKRCSEIAQLIVEHLVSMCSPREMLSILCEALSSPTEMFRVPCYFSPLIGGLAKVLILIKRRQFEQVKAAVPVILGVLKSMSLEADEEGKDTEDIFHKAIAIADSIQAVCEGLEQNDKKKLCALLGMFVLQVMALVSIAMGHNISSVLPIMVHLSQFLPICGLSYEGLITGHDVDKFATICGDDNMACFSHVKHGGSLAVIWGYKSNETCTDFEAVKNELQKNQTKRWQAIGMLKHVFSSVDLSWELKVHALDFLLCVMDGCTHQEIQNDAMDYSTYVPTLYASLQAIEMVIIYAPNAVLRKKSFDAMMKVLADVPSSLRFDILTALIQNSQSSSMIAILLDCIRREMHEEYSSCISLNSQCLSFWSARVVELVELVVKPPNGGPPSLPEYGDAVLSALNLYRFVVIRESTGKTNYTGVLSKDMLQKAYNEWLLPLRTLATGVMAANQQDHDQLALDTMCALNPIELVLYRCIELVEDNLKHA >Solyc09g076030.3.1 pep chromosome:SL3.0:9:68138964:68142190:-1 gene:Solyc09g076030.3 transcript:Solyc09g076030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFFIPMNVSPFAISCSSQKIQGTDELIELFLIPEISLFLILILFNMTDKLQSRSSGILSSKEEGTRRQLLAVGFASPLVSLLTYSCSLKVLPAWAEDQEIQDKDESVVGAIKSLFDPNETTKSGKVLPKAYLNSVREVVKTLRESLKEDPNDMSKFRRTADAAKESIREYLGGWRGQKSVVNEESYVLLEKAIRSLASFYSKAGPSAALPEEVKSEILDDLNKAEEAL >Solyc09g098110.3.1 pep chromosome:SL3.0:9:72504398:72512396:-1 gene:Solyc09g098110.3 transcript:Solyc09g098110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPSSTSSSSQGSMSHTSTTAGGAGGGLTRYGSAPGSFLTTAVEAVVNGNHEFASHGSHHSHLGPSRFFQSNLASTSLNSESTSKAKEQSNLQRSIGFNDLTIGGGSGAGGGVLPTTSTTPLVRHSSSPARFLNQLATAAGDTGFSVSMGRGSYNPKGGGDSGRGITRLNSQLSFTTQEALSQIAEENEDIEGTSIANGHRKSTHSYASASSFAMGSWEDNNSIMFSVTPSKRSKQISNDIVNGLDDGETQFQFGLSQTALEIASMDRLLHIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDLAVQHIRTLQDQVQNLNTELENCKCGCRKSSQ >Solyc06g035610.3.1 pep chromosome:SL3.0:6:24757007:24760416:1 gene:Solyc06g035610.3 transcript:Solyc06g035610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRAAAAVRIPVAPRGLRAVFYSFSANVPLYQPRTGSPPEPSTKLYVSGLSKHTTSESLRNAFSEYGEVLDAKVLTDGITGYSTCCGYVRYQTMEESAAGLEAMDGQFLDGLVIVAEYAKPKPRHPALEDGRCGFASVSDMLI >Solyc03g113310.1.1.1 pep chromosome:SL3.0:3:64961461:64961961:1 gene:Solyc03g113310.1 transcript:Solyc03g113310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLLTTYEFQFWTDDFIIPNNINLNNNLFASPLFLIEFRIQEFLRYLPEGGDSIVEEPRGPFTVRSFFLPSKAVIGDDDDSICQTLSYFGVPLHRMPTVIGGISSFARTMVAQPVNFGRPLLPIVVAIEVNTCNPRDLETAHGRKYGFIPEVEEEDKPMDKRSKN >Solyc02g061820.3.1 pep chromosome:SL3.0:2:33889027:33893258:-1 gene:Solyc02g061820.3 transcript:Solyc02g061820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPTAILNPRIPNFTPFPLFKLQFLNSPPSFPIKTFRSQIPPHSLNFSLQNNSQQTHFSREDEEHVIGDCIVFEEGIFDDPFVQKPDNNQNKPQNNNKKKSTEIQSENLIPEEWVEVQREINITKKERRKLSQQLEFGQRAERRRLDLMPIGSNSNVRGSSIEDYLKAREEKLKQLKPLVLENPDFSKVKKDKNEKKMKKKSDAELSESEEENSVLRVSSERVLPRDPRSAVYGGGLEDIKDFFNSGIYEANIAKSPEAGEKWQPVHTLAASGEFYLLTSLLKHVVDINVPDKDGLTAIHKAILGKKQAIFNFLLRESANPFILDKDGATLMHYAVRTASTHMIKILLLYNVDINLQDHDGWTPLHLAVQSRRTDIVRLLLIKGADKTLKNRDGLTPLDICLHSGRDIRTYELIKLLKQLPKVSLVGLT >Solyc10g009340.1.1.1 pep chromosome:SL3.0:10:3398422:3398793:-1 gene:Solyc10g009340.1 transcript:Solyc10g009340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METYKVCEGTTEYQDLLPVMAEKLDVEAFVAELCGGFRLLSDPKNGLITSSSLQKNSGFLGMEGMSREDAEAMVKEGDLDGDGALNETEFCILMVRLSPEMMQDAEVWLDKALQRELAKSSCS >Solyc02g010620.1.1.1 pep chromosome:SL3.0:2:13296292:13296588:1 gene:Solyc02g010620.1 transcript:Solyc02g010620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSSKIDLLKLFDRYHPSEPNSFWLKNTCLVVLEQFGDSTRCFASGDNILGPAYGVKSIRSKKKDWNINLIKIIDLIPNLINRITFSRKYEIFKSYK >Solyc10g050770.1.1.1 pep chromosome:SL3.0:10:50470812:50470976:1 gene:Solyc10g050770.1 transcript:Solyc10g050770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKVKSQSTSVFGKDFGSIFIGDVYVHSKKKDTRVNVEKENVPSFSKVNVGKS >Solyc05g055570.2.1 pep chromosome:SL3.0:5:65999605:66001295:-1 gene:Solyc05g055570.2 transcript:Solyc05g055570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSVVDLYLRLFRVFHPSYKIQSLQHLQVLEFQQRKCSVIFDLSCNLARILEFCTHEIPQAFISGADTNLQRLTEVIVFILNHLISAADQELFESRKVPQVYACDEARHCSP >Solyc09g014970.3.1 pep chromosome:SL3.0:9:7326782:7328133:-1 gene:Solyc09g014970.3 transcript:Solyc09g014970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNCLTRLVVLILVLPYVNATLSRPKATTKHFVLVHKACHGAWSWYRIIALMKSSGHNVTALDLGSSGINSKQALEITHFSDFLSPLIEFMTSLPTHKNVVLVGHSIGGLAISKAMELFPEKISGAVFVAGLMPGPNINAGNVYIELCNAVVSKLDNRVIYHNGPSNPPIFILGPKYLASNVYQQSPIQDLALATTLVREIFFFSVEDVSKEIILSRKRYGSIRRAFVVTSEDKLLKKEFQQLMIESNPPEEVKEIEGADHMVMMSKPHELFKFLLRFADK >Solyc06g074810.3.1 pep chromosome:SL3.0:6:46484143:46494870:1 gene:Solyc06g074810.3 transcript:Solyc06g074810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSKPNPQSMRVLIRPPIPPSHSQTPSVLPSHAQAPAPSPHPLPSTSSEAPATSPSPLNPQSGVVVVGFIGKRHDDVAYLMNRIIDSNVFGSGGLDKPIFVNKPDEKTNFAVTDDMKSWFEFRNISYHHDEEKGILFLQLSSTRCPLMEGNLESKMGFDSLLEDYEYGDLQAMLFMFSVCHVVVFIQEGPRFDTQILKKLRVLQAAKQAMAPFVKSQSLSPSVSGSPFASPSRRATSGRSSDNPSPVKSRGIFNRNNSAITLMSGLGSYTSLLPGQCTPVTLFVFLDDFADDYPSSSVEEPGDISSANQSSSVGASARPSLAPKVSGSVVVLARPMSKSEGGFRKKLQSSLEAQIRFSIKKCRTLSGSETGHTGSRSGGVSNSAMLFSLDASKAVALLDITSNKRGESLEFATGLVEDVLNGKATSDSLLFESHSQSANREDLLSIKEFICRQTDILRGRGGVVSNTNSGPASGVGMVAVAAAAAAASAASGKTFTSPELPHLEKWLSSSQHILQAILSAKDAIADETEISKRRQRNSISPPLEGNASKVSDPLEIAMSNLASGRGINTRFSTLWCQKALPVAKETYLNELPSCYPTSQHKAHLERALHAFNSMVKGPAVQLYLQKLEEECTFIWTSGRQLCDAVSLTGKPCMHQRHDVETGGLCSSDDIKIHSSGYDFLHACACGRSRLLRPDPFDFETANVTFNRSMDCDKLLPTIQLPQGSDTSGPIHSLAWSLIRVGNARYYQPSKGLMQSGFSSTQKFLLRWTILLEKPKYENGLLSSNSEQANINRFGSNARDEPNTDSGIEKAGDLNMQNGYQIQKKSSAGNVKTDDKVNNLGKGVSNFNMRKAFSEVVAGSTAANSGFPPLQSNRQIMSNSEKSIKTKSAREGGREKVNGISVEQDLEKVALTPAIHEVKNDCTIVSNDVTKGNQIFQIGTHLDSMKMNRIQKTRPVTSSKHATVYIGFEHECPHGHRFILTADHLNRLGPPYALPVESAVASSLENIDHKGVGPFRGGKNGGHGKGRRLANGMISTTSRKLRNLEKSNEGSDDAISNIEGPAQFSRHPVHAAPGKDLETGLQPLNLNDSGYATSLLDRNLPIYMNCPHCMELKSKNDQTDARFAGTISQLQRIFLVTPHFPIILAANPVIQFEESCLPPSVPDRKKKLQFCLGCRVILPPESFLSLRLPFIYGVQLENGNLHPLMPFEQQPELTAWITKGTTLQLVSKDRIHEELFT >Solyc12g099930.2.1 pep chromosome:SL3.0:12:67863698:67867233:1 gene:Solyc12g099930.2 transcript:Solyc12g099930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI032 [Source:UniProtKB/TrEMBL;Acc:G8Z261] MDYVNGPGRNHLFVPGPVNIPEQVLRAMNRNNEDYRSPAVPALTKTLLEDVKKIFKTTSGTPFLFPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQKRLNFDVDVVESDWGQGANLEVLASKIAEDKSHTIKAVCIVHNETATGVTNNLATVRKILDHYQHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGLGIVCASPKALEASKTAKSVRVFFDWSDYLKFYKLGTYWPYTPSIQLLYGLRAALDLLFEEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPHIESSEIVKRAWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMVLKDIGYPVKFGSGVAAASAFLQNSTPLIPSRI >Solyc07g021335.1.1 pep chromosome:SL3.0:7:16888759:16889720:1 gene:Solyc07g021335.1 transcript:Solyc07g021335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISILKWNFGALPSLPPLLHPKGWGGGAEEKRKGYAASLIDRRGTELIYHKLKSFREVRIVGFQVATWIFLNFLPTAMSVVEKILGDVKFNESFYNNILKNDIVCFPLIISMTVVIPLLNL >Solyc05g014140.2.1 pep chromosome:SL3.0:5:7832421:7833779:-1 gene:Solyc05g014140.2 transcript:Solyc05g014140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCIVLLREYIDQFSRCLYDEYKQHGIDVQCQVPLYVKTKMTSRVASIEKSSLFSPTPEKYAKAGVAQIGYGWRSMPYWPHSIQWWFASLLPQSLLDAWRLSIALNRRIKT >Solyc01g044373.1.1 pep chromosome:SL3.0:1:43790680:43791758:-1 gene:Solyc01g044373.1 transcript:Solyc01g044373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEILDFFGLCDKVVSITLDNASANLNAINLLEPRLCPISKYAFHVRCAAHILNLVVSDGVKLFENSCDKIDNACFYIFHINSSSRINQFKELCNAFKLPFRKVPTHVKTRWNSFYDMLEVAYAYRQPITTLFNNHNAYPEFKISDSDWDEVNELRIFLKSFYDATKNFSGIYYPTISEILIHICEISSIFSKYKTNTLFTSAIENLDIQPEEEPDLVTCQNSIKYFAKEMYDKYSFLDNVENPQTSTNQVGAHGRVKHKLGLDSSNKCEFVKYLEQGTDDITNDNGIPELLNWWRNRGAQYPKLSRMVKDVLAIQGSS >Solyc06g048400.1.1.1 pep chromosome:SL3.0:6:31087232:31087699:1 gene:Solyc06g048400.1 transcript:Solyc06g048400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLIRLVDDVLEIVALIFLIVTPIGLAIEDSYDLDIYDDINDYAKELLKTPLYTNSDYKPTLSSESDPEFHAEVKKCLQNISDDCGVIIFNKIFDNGKPTDVDECCGQLLTLGKKCHHTIIESTIHSPEMKGVNKTAVWINSENLWKRCVFISR >Solyc04g071155.1.1 pep chromosome:SL3.0:4:58161133:58163569:1 gene:Solyc04g071155.1 transcript:Solyc04g071155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYLFFSILTSVGWTESSVSNGVHLQGRQIFKQDDGERQKFPVWLRHMRGPLPNPRKLKGFRGSCTEFNNFLCYENLREAGFMTSMQAHFGGVRHIVDFRPVPGTLKGKEAKEPEIILKLVRNFSCSSPVGSKLIIMLPITFSVNCKKHHAKGSKMPPLDQTLGKNDHKTQLWKFLQEPKFFFQTTDPIFCLLLMKLYIPAGLENSDMKERLSPIIGHPKVPGIASISFGEALFVLNRTNKTTTKTITNNITIKMIKSILDCVKSAKFDEFICDILLMISRMFCEQLSIKK >Solyc03g063160.1.1.1 pep chromosome:SL3.0:3:35609496:35611346:-1 gene:Solyc03g063160.1 transcript:Solyc03g063160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWKKYAGFAFLYSHHLLKSSVLSTTAINPVPIKLQHNSSSPLLPVNVQVIHANKMKDGSARDLSATNYVLNLYTKSNHLASAQKLFDEIPQKDVRTWTILISGFAQFGFNGNALSLFIKMRKEGIVIPNEFTLSSVFKCCCSVSNGLRLGKSIHGWIVVTAAVDLDVALENAILDLYVKCESLGYAKRFFERMSDKNVVSWNIMLAGYLGTDDMATSVELFKIMPEKDVSSWNTIIDGLLQHGFDRDALKLLRQMTLDGTSFNNVTFSISSALMSSLRNLELGRQIHGKVMRLSMYKDTLVRASLIFMYSKCGQMEKASRIFQEFRQGIVEVQHSHFIPWSTIISGYIHNSMLKDALQVFSSMVRDQLEVDVFTLSSIVSASASSGLLELGWQIHCYVQKLGHISDIFFISAIIDMYAKCGKLDSAQLFFEQTQTRNIVVWTTMINSYAIHGKGSDAVQLFELMLNQRIAPNEVSFISVLTACGHAGLVKEGCKYLRLMKQVYGIKPGLEHFSCMVDLFGRAGCLDEAYDFIHEKGISSMSEVWKVLLSSCLVHKNVKMARHVSEKLLQLQPSEHSPYILLSNTCSEHENWDEASKLRGLMQERKVMKHPGQSWT >Solyc01g108200.3.1 pep chromosome:SL3.0:1:95450123:95453722:-1 gene:Solyc01g108200.3 transcript:Solyc01g108200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPLINSCSIFTPLSSSLLPPRRSTVISCQAAADPSAAGGPSSFRWWFNFGAAASAAAAPGFGRNSQNSDEVADNGIGNNIKKKKTKVNAKERRWSRNRESYLADDGDALPLPMTYPDTSPCSPEEIDRRLQCDPIIEDCKQVVYEWTGKCRSCQGTGLVSYYNKKGKETICKCIPCAGIGYVQKITLRTDIDVMVDLDDKPP >Solyc11g012980.1.1.1 pep chromosome:SL3.0:11:5825262:5825921:1 gene:Solyc11g012980.1 transcript:Solyc11g012980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTEQKSLSMSISSIATSNNNNMKTKKNKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGPSASSNLNFPFNSTFYHHIDHHTCTTLSPKAIQRVAAAAAATPEQQNVGLVLSDNNNSTNPTISSPPQSSTSSSEDINDGCLLSNINTFDQEMSMIEQWYNFDSPKYNDMLHGTIFFDPPSMEEVYYEESSADIPLWSFC >Solyc08g078320.3.1 pep chromosome:SL3.0:8:62249350:62260893:1 gene:Solyc08g078320.3 transcript:Solyc08g078320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRRFDESSLLHHSVTGGSGLRYSPPPCPPNYTCRRVQGALKHLASIDPLELCDEAKVEHCRATRDLRSCGRHVQSVLNSCGHASLCEECSQRCEVCPICRISLPKDANRLRLRLYYECIEAGLISKRCDDRLQEKEDSDKQLVADIQRLYALFDVALENSLVSLICHYVTDVCMDESAVSSDPIIAFLLDEVVVKDWCKRTFNNILTEIQVMYNLTMTALKENLTLFLKFSVKLGGISNVIDVLESSFKGSLSAKLHDLHHLQESILKTKQHMEIMIWCIRHEFLEKVKSRHKNYASWRALGRERKSAAIKRAWPDIVNHSDEYNASTLFIEDALSNIEAAEQGDLDDHEEELTLAYLQKDEGSLYSRSKIEGMAGCYPFESLRAAADILFLRGSSDLVVAKQAIFLYFMFDRQWTVPDDEWRHIIDDFAATFGVTRHSLLESFTFFLLDDEGVAALKEACQLLPEISSPTIHPKVAQVLLERGNPDAALMVLRWSGQDGTQLISLREAVTAVRVRVECGLLTEAFTYQRLICAKIKEKKLRDEQFQSASAEVEDQCRSWGLWLETLVTEICCLCIRRNLVDRMIELPWTADEEKHIHKCLLDFAAEDPSTPIGSLLVVFYLQRHRYVEAYQVDQKLQSMEENFISQNSVSEEVLARVRSINHWRTCLVDKGVELLPDILQQQIRTGKLPELVVTCNDTVNISERSNAVAQEPIMTSLLVNPPTVSGLIQRVDVVKPSVLDAPSVLGGSLNLSSFKVGHYSSPSSPAFFNDAGVLKPESILGKKLKFDEILTPASRRVNPPAPVMKISRNSSVEPSISRLRNSQTYRVSPEKSQNGFPKESYIFDQTAGNNVNSLSSNRGILKHSVEDSYMSYPGKRQLSDAADRSRMLPLNDSMDVSWSHEEKDPSTVHLETNGGPRWRSDDTSEDEEIPSPAVFTGVVSPAHTSRGVRRSRRLARR >Solyc03g118480.3.1 pep chromosome:SL3.0:3:68820170:68822968:1 gene:Solyc03g118480.3 transcript:Solyc03g118480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLGVKTDYEELRNAQIMENKARLASLGLQKAVAELRSIRSKPQSEKRKHNKVDYFSTPLRRSSRLKGNSSESNSSGTTPLAKKALARRCTSKDRGTLYDPYLGICCHFCRQKKLCGEEDCKRCGDSDMDQPCIGKTDCSSCHSSQGVLCRGCLKVRYGEEMEDVRANKEWICPHCTEEKGINPYWICNSSLCLKKRKMAPTGIAIFRAREMGYKSVAHLLMDQLQGAVRG >Solyc04g008615.1.1 pep chromosome:SL3.0:4:2227394:2230070:-1 gene:Solyc04g008615.1 transcript:Solyc04g008615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLKYVQYLQPEPPATSAQLSAENQPAAASSANVLSNLCIKGKKIANFTSRVEIQKSIESKRHDWIEESTKQIIQSIIGKVVARNCTEKGQVCKSGCPNCLRKDGFRSKSPSSPQESEAKVGGEFMTCSVRGSDAPLETVVARAREHEVSGVGEAN >Solyc01g016580.1.1.1 pep chromosome:SL3.0:1:20500874:20501044:1 gene:Solyc01g016580.1 transcript:Solyc01g016580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGHMSKNPGETSAAIDNLQCKIELNSYEDACRVDTDLQSFDTNLQARNNHVINTL >Solyc02g065150.2.1 pep chromosome:SL3.0:2:36868809:36873203:1 gene:Solyc02g065150.2 transcript:Solyc02g065150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPRKFENVHKVFGASNVAKLLNELNATQREDAVNSLAYEAEYRLRDPVYGCVGLISILQHKLKQVQDDLLNAKKDLATYIGPQAMLPMLQHSGYIQQHPNNPSSSNMMAYNMQPMGLQTGMQQHGAQLMMRDPQQQQQQHQVIEAHQLAAVMAAREQEMMRTYEQPQQQQFNSGYDSAGPVTATGFHQMSSSMSPSLALASFDNDPYQIQQAQQEQHHGQVQVQPHQLLQQQQQLPQQPQTPQQQQRANSEEERSIGPSC >Solyc02g062975.1.1 pep chromosome:SL3.0:2:35514557:35515348:-1 gene:Solyc02g062975.1 transcript:Solyc02g062975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVFVIIVFLIYIFKQVIQWLNKQNQKSVYMYHLEEVWSLSQQKFIWVVRPPSHGGTDTAYLNSNGNDTRGTFEYLPEDFLTRTKDMDGPSSSYVVKAVRVGFLAIACRTKNELDHADGGARGGDSTEGFADEEIGDSRNGKDFNEAKSIRENVKKLKISAENAPSEGGSSYNTMCEFVKNICTS >Solyc00g007320.1.1.1 pep chromosome:SL3.0:2:32433565:32434035:1 gene:Solyc00g007320.1 transcript:Solyc00g007320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPAVADGELEEKSIRTIDLRLLSQSELYSLSLCSTTDYNPCRDDVFIIPKINRSVFNEFVASSSRRTRTRTSYPPSLNNNSTENSQVVEVIKQLFGYPTDSVTNRVDNSDSLSAPTHVLESGNVGSIRQKKKRGSRGKSENCRANKVVKQNVV >Solyc07g020964.1.1 pep chromosome:SL3.0:7:15127861:15134845:-1 gene:Solyc07g020964.1 transcript:Solyc07g020964.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKICIGRKRIASELCTLCGAYVAVVIFSSSNKVYSCEHPSAELNVDKFLGENQPGFDAPNSTSLSHQNVNVDEIKNELNMLEISLIELLEAADEDVERVASQVMEYDMEFPKKVYSCGHPSAKLIVDKFLQEKRQPDIEAPNPTILANQNVNVDDINNELNMLENSLKQQKKQGKDLKILKKELPYEQLSFSDLKKLIELLEAADEEVERVESHL >Solyc08g078757.1.1 pep chromosome:SL3.0:8:62613509:62616058:-1 gene:Solyc08g078757.1 transcript:Solyc08g078757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLPNASASFLKLSSRIFLVVASVKEEKLSTNIRRNMRNIVAINSGFRMSRATTFDKISLLLMAPIDGMKLPITTHMKTVLASLESWSVWPGIGSDISTGNFIATANTVREAAFSAKNLHGNQSLFTRKAWKASAAIARIQSRDAAAT >Solyc07g040894.1.1 pep chromosome:SL3.0:7:51109707:51110672:-1 gene:Solyc07g040894.1 transcript:Solyc07g040894.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLALPGKGKPGFIDGTCAKHQYREELAELWGKCNVIVLSWFGYTIVAELMPTYYMYHMLRKYTNHKKDNFYKLVGYPPGVQSKRRDNNNDGAGHFRPNRGIQGEGSNSFGNNQNYATGYKPQGRGQYNSGGYNPSSPAARGDSSSTRPQENTSNTGAIII >Solyc01g017970.1.1 pep chromosome:SL3.0:1:26506176:26508752:1 gene:Solyc01g017970.1 transcript:Solyc01g017970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTDIHFHIIIDVHVHVDRYIQIDVHIDVNLDVHDDNDIHVNIDVIVYVDVDADINVDVDIHVHIYILVDIHVDFPLDIYVDIEVYVDVDVNIEIHTDVDVDVYMDIAIDIDIMFIVTWTLMLTLRSNIHIDIADDINVYTAVHFHVDINVNVHNNIDMDINIDVDINVHVNIHIDIHFDVNISCDFEIHVYIVVDVHIDVNVNVYISIVIDFDIHTDYDVQDDFRINVFIYVDVHINSNIVIDVYVNVHIYIHVDVNYDVHIDIHIDVDVDIDVHINVHIDIHVDYDIDIHVHVKIYFDIYVQIEVDAEIQFHVDVHSGVYIEVDVHLDFHVHIFIHIEVDNDVYIYADVYVYFDVDVHINFLVDIHIHIDVHVHIDIYVDVHHNIHVDIHVDVHDHVYIYVDVYLDVHVDVHIDIHIDIEVHAYIEDNIYVDIHFHLHVDILIYFHIYIHIYIDIDVSIDVHIDVLVDVYIVVHLDVHVDVDIDVAAYIPIYIHFHVYIDIYIHIDVHIDIYIDVDVDVYIDVDIYIEVHIDV >Solyc03g082880.1.1.1 pep chromosome:SL3.0:3:54208527:54208736:-1 gene:Solyc03g082880.1 transcript:Solyc03g082880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFPSPSPHHIGSYGDRNAIYISKYLQIITRVYAFIYTYHTSLVPDLCLLILKEKSSGPVGLLYEQNSS >Solyc07g055170.1.1.1 pep chromosome:SL3.0:7:63422376:63423233:1 gene:Solyc07g055170.1 transcript:Solyc07g055170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPEDLLQRLSPTHTQKCTVGCLVLDRLLGGGIPCNSITELVAESGCGKTQISLQLLLSAQLPTSLGGLSGSSIYLYSESPFPVRRLHQLSSSFPTLHNPLGKILTHPLHSAHHLFDVLSQLDSLLSSRLDSPSQIKLIVIDSIAALFRFEFENNPRDLKQRSGLFFRISSKLKEQARRFGLAVVVINQVVDVMNDTDGLRIGNSTCWYTSERKVCAALGLSWANCVNTRLFLSRQEERVAREVNGDDCFSTQTRRFIRVAFAPHLPDSYCEFVISREKIFGLER >Solyc08g044334.1.1 pep chromosome:SL3.0:8:21755808:21769716:-1 gene:Solyc08g044334.1 transcript:Solyc08g044334.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHYEGFEKFLKTSISKEQLKNVAEVKIEENKMKNVDELKNVVELKNIDLFRRGIDIQAVNVVINFDLPKNSETYLHKALDNTGSTVKAAKATQ >Solyc04g007170.3.1.1 pep chromosome:SL3.0:4:873574:875922:1 gene:Solyc04g007170.3 transcript:Solyc04g007170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKPLSSKFENLGRNMKKKVDTNRLVRKIRIVCNDPDATDDSSDDDSRCKRFVREIKLQIGNSFNLRKASEIECSFQDSNNGEKKTKKEGLVKPLIQPRPAGGLLSKYKGVRQRKWGKWAAEIRDPFKGRRVWLGTYNTAVEASRAYELKRLEFETRAKISRTNVSKQSSGSMVSEYQNQSQNVASGVSDDYAESSVSRTSHSLSSSSVLELDTLTSVSASAPILRLNGPNDNEKVSNVAPLEANVVEQEVPELAMMEETLPLSQIGESMDLDLELESFLIGADDFNQHLDEFVVNDFEDPPVYLIEGDEQLPTGLPDFDDFNFDGYNESFSWMDDAPRTNGTPLNIACP >Solyc03g080190.3.1 pep chromosome:SL3.0:3:53506807:53511919:-1 gene:Solyc03g080190.3 transcript:Solyc03g080190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METKVISSGINHSTLPQSYIRPESDRPRLSEVVDCENVPIIDLSCGDQAQIIRQIGEACQTYGFFQVINHGVPKEVVEKMLGVAGEFFNLPVEEKLKLYSDDPSKTMRLSTSFNVKKETVHNWRDYLRLHCYPLEKYAPEWPSNPSSFREIVSRYCREIRQLGFRLEEAIAESLGLDKECIKDVLGEQGQHMAINYYPPCPQPELTYGLPAHTDPNSLTILLQDLQVAGLQVLKDGKWLAVKPQPDAFVINLGDQLQAVSNGKYRSVWHRAIVNSDQARMSVASFLCPCDSAKISAPKLLTEDGSPVIYQDFTYAEYYNKFWSRNLDQQHCLELFKN >Solyc10g009345.1.1 pep chromosome:SL3.0:10:3399816:3400256:1 gene:Solyc10g009345.1 transcript:Solyc10g009345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSRKVHSNTTKYYESGGSRVAAGRRRGSRGVFSGWGRDNKREIVEGMKWSRGVEVRELSGRGKR >Solyc08g079480.3.1 pep chromosome:SL3.0:8:63118866:63120146:1 gene:Solyc08g079480.3 transcript:Solyc08g079480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLVWSPEKASKAYIDTVKSCEIFRESSVAEMISAMAAGWDAQMIVETWSRGGVMATSIGLAVANYHTGGRHICVVPDEDSRTEYVEAMENAGMSPEVVIGEPEEAMDGLIGIDFLVVDCRRNDFGRILGVAKLGHRGAVLICKNASSRIASDFRWRSVLDGKSRIVRSVFLPVGKGLDIAHIGATVTGGGKKGGSGKTESRWIRHFDRDSGEEFVIRK >Solyc09g097840.2.1.1 pep chromosome:SL3.0:9:72340871:72342283:1 gene:Solyc09g097840.2 transcript:Solyc09g097840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTFARIRTLYSSTTFTNNTSIFRCIKTIAPSIDQCSNPFSGKGSCGRSVPNDDYFATIHHVSNIVRRDIYLERTLNKMHISRIVNSELVYRVLRSCCQHGIESFRFFNWARTQHPQYDPTTVEFEELLKTLARTAHWETMWKVVQQMKAQNIPISPSIVSFIIEHYGKRGLIDQAVELFNRLKNFGCSQTTEVYNAMLFALCEVKNFQGAYALIRRMIRKGTVPDKLTYSILVNGWCSAGKMREAQEFLEEMSRKGFNPPVRGRDLLIDGLLSAGYLESAKGLVRKMTKEGFVPDVGTFNSLAEAVCKTGEIDFCIDLFNDVCRLGLCPDTETYKIVITAAAKAGRIDEAFQILHRSIEAGHRPFPSLYAPILKAFFRRGQFDDAFSFFSDMKVKGHPPNRPLYTMLIKMCCRGGRFVEASNYLVEMTELNLLPMSRSFDTVTDGLKNCGKHDLAKRIEQLEISVKGI >Solyc03g045130.1.1.1 pep chromosome:SL3.0:3:11621969:11622283:-1 gene:Solyc03g045130.1 transcript:Solyc03g045130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVLGSRKLFYAQSFAEFCIFQLEIYQRPERSTSSIFFLQSLCTGCWRFNCICTGIGNHTSIIGYCGCICWKGIWPNRTRIAHRCFLFSYCYGAKPVRGNYIC >Solyc10g038150.2.1 pep chromosome:SL3.0:10:20183733:20186054:-1 gene:Solyc10g038150.2 transcript:Solyc10g038150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRIDAGFRGNQLSAIPMIFHNENSMVLPLQSNIQTPRVPAAPRFHGKGAKNLRDGDLM >Solyc03g093150.2.1 pep chromosome:SL3.0:3:55777738:55782732:-1 gene:Solyc03g093150.2 transcript:Solyc03g093150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKHRLGLHTRLCFHLSRTKRPLTTSPLPSEAISCVHTSPLNHKTLCFSLAANLIVRGLFDSAQKVIRRIIKHSSSVPEAISAVEFSISRGVEPDVTSYAFLIRQLVTSGETLKAEALYVDCILNRGIEPNHSLLNSMAICYCNLGKLEEAKLLFDKLVDMKLMPCSSTCNELIKGFCGQDRILDGFDVFVEAINSEVLLAFSCYNKLVDILCFQGYLDEALYVFDEMCDRGVPPTVHLFKRLILSLSKRGRVEEAQLLSMDMESYGFVLDKVMYTTLINGYSKIQKMKTAMMLFLRMRKLGCEPDKYTYNTLINGFINLGMFDKGWMLNQQMVEFGLEPDAVSYQIMIAKYCKEHKVDCALTLLDDINQWNVPPSVHSYTALISALYKENRLAEVDDLYRKMLYTGLVPDHVLFFTLISNHPRGSEISLACTFLRAIAKNGCGIDPSFIPSPTSRKVTTDIMLDIDCLLGEIAARNLPLACVAFNIYMIALCLGGELDSAQLCMDKMSSLSLQPSLSAYNSMIKCLYQKGLHEDAKLLVEVMQDQGQVPNQATFLIMVNEYCKQGDIQSALEVLDQMEESGLKPSVAIYDSVIGCLGRKKRIDEALGVFRRMLETGIYPDKTLFVTMINALSRNGRAIQAHELFVTMLEDGVQPSHNAYTALINGLVKKNMIEKGCVYLKQMIEEGFMPNTVLYTSLIKQFLRKREFEFALKLVDLMERSEVERDLVTYITLVSGVSRNIRSVNEKGLVPQRRYEESKEMLFRLLHQSAMLPKEKCLKISVNSQEQIKFLALRLINKVKATPLMPNLYLYNGIISGFCWAESMKDAYKHLHTMQNEGILPNQVTFTILIDGHFRSGEINCAVSLFNRMNAQGCPPDNIVYNTLIRGLCKHGRLMDALSLSYTMLKKGLAPSKASYESLLSSLCASNWRVHALKICHDMLANKYVPCGHNLKLLICILGEENKWHEARFIFVTFISFPDPELQQSSASSEATEKEVSISDERVGIHLSVPSDLLLDILLYAPSPDGEYPTLIKYKQISDEITVGTTELRDTKKLTLKRIQISSVYSSNDDTRSERLSHPIHSNPHDCDLYPLPTFVIPCSEKSLISVDLCSAAL >Solyc11g042650.1.1.1 pep chromosome:SL3.0:11:35777503:35777709:1 gene:Solyc11g042650.1 transcript:Solyc11g042650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASQFTEKISPIFISQAHMPSPPLFPDFPGSTNPSPFCFDPVLPYSAHQQRDIISSVNIEETDKEAL >Solyc01g014715.1.1 pep chromosome:SL3.0:1:14523164:14524017:1 gene:Solyc01g014715.1 transcript:Solyc01g014715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYKGVTQWRWEKWSAEILNTKSKTRLWLSTFDTAKEVTFAYGKVTIESRGTKEINDSPSSEEDRTDSPSDEMSEGHERTHVTELFIENGMTNEIK >Solyc09g074170.2.1 pep chromosome:SL3.0:9:66325809:66326407:1 gene:Solyc09g074170.2 transcript:Solyc09g074170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNISDHADEESLVISDREKGRRNKRIAVKRIVNCGYSEEIAKTFILKSGLYHGSKDVVSNIVDGALALLSRKKVLNIGRPVVFADLPSLVDYTLMEMVCVMREVKPALPVVEAM >Solyc12g098360.2.1 pep chromosome:SL3.0:12:66870270:66878794:-1 gene:Solyc12g098360.2 transcript:Solyc12g098360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein arginine methyltransferase NDUFAF7 [Source:UniProtKB/TrEMBL;Acc:K4DHK9] MASSRNLFSLFHRHRVIPFEFLGQPSSALFSTHIVGDTPILVRDFIHKALYDPNVGYFSQKSASVGVLDSSIKFNRFEGRKAYMKHLDKIYKQSDVSWFTPVELFKPWYAHGIAESILRTTNLSVPLKIYEIGGGSGTCAKGILDYIKLNAPTRVYDNISYTSVEISSSLAAKQIQTVGEVDSHLSKFRVEHRDATDRNGWGDVNEQPCWVIMLEVLDNLPHDLIYSENQTSPWMEVWVERKQGGELSELYRPIEDSLIKSCMEIIDMPDATTGGSRVSSAMKNIWAKVLPKPRWCWLPTGCLKLLEVLHGALPKMSLIASDFSYLPDVKIPGERAPLVSTKKDGSSFDYNSYLDAKGDADIFFPTDFLLLEQMDHYCSGWMKQQKDGEPLKRGKKRRTLSLDTAAFMEEFGLPTKTRTKDGYNPLLDDFKNTKVYLSVPTHNVK >Solyc08g066710.2.1 pep chromosome:SL3.0:8:55604357:55605667:1 gene:Solyc08g066710.2 transcript:Solyc08g066710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCSYTCKVNCFFQRPSVPSKVEDLKDSISSALKPFSRDLVHFSIVADIPKAVKETSIKLLDAFVDSVFEFVDQPLLPSQVHDSINYIITTRFNNSCKLELLLLINFDRVILHQWMKLEKLL >Solyc05g015750.3.1 pep chromosome:SL3.0:5:11896847:11903630:-1 gene:Solyc05g015750.3 transcript:Solyc05g015750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box protein 5 [Source:UniProtKB/TrEMBL;Acc:Q7Y039] MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEPNISTREALEISSQQEYLKLKGRYEALQRSQRNLLGEDLGPLNSKELESLERQLDMSLKQIRSTRTQLMLDQLTDYQRKEHALNEANRTLKQRLMEGSQLNLQWQPNAQDVGYGRQTTQTQGDGFFHPLDCEPTLQIGYQNDPITVGGAGPSVNNYMAGWLP >Solyc01g098980.3.1 pep chromosome:SL3.0:1:89206552:89213435:-1 gene:Solyc01g098980.3 transcript:Solyc01g098980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDIFGSVRRSLVFRTPNADGADDGNLVEKINSCIRNSRVFSKLSPPPRALPSPTTAVKDDGDAATLPIRWRKGEMIGCGAFGQVYMGMNLDSGELLAVKQVMIAANSASKEKAQSHVKELEEEVKLLKNLSHPHIVRYLGIVREEDTLNILLEFVPGGSISSLLGKFGSFPEPVIRSYTKQLLLGLDYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATISGAKSMKGTPYWMAPEVIRQTGHSFSADIWSVGCTVIEMTTGKPPWSQQYQEVAALFYIGTTKAHPPIPEHVSVEAKDFLLKCLQKEPELRPSASELLQHPFVTGEAQLSLPDVSSSMMGKSEGHSYSSGHNAKSVAGSVDICNLGTLNISTENTDNLSEARNMWRGNSSDDDMCQIDDNENLLLDGGTTFSSVKMMDDFNKSFNPIAEPSDEWNCDYGMTPQSRQRNTDLVNNQEGALGAGISASPNNNSAVLCGPSISEDDDELTESKIRAFLDEKALELKKLQTPLYEEFYNSLNPSYSSPQVEATIDETTPNYLRLPPKSRSPSRGPIGSPSTGIDTITSPSPGSSNRRTSCIGSGSNQDYDDGSPQSTERTQSNSPIASFSEIQRKWKEELDQELERKRENLQIWSLRMAAAAYCKAFGLVSIVSFDLE >Solyc03g043610.2.1.1 pep chromosome:SL3.0:3:7121956:7122435:1 gene:Solyc03g043610.2 transcript:Solyc03g043610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTLSLVLLLVYFVTKKGGGNSVPNAWQSLVELIYDFVLNPVNEQIGGLSGNVKQKFSPRISVTFTFSLFCNPQGMIPYSFTVTSHFLINLGLSFLIFIGITIVGFQKNGLHFLSFLLLAGVPLPLELAAWSRTSLSFADPWGFCFGYKKCFFARLQRL >Solyc11g011160.1.1 pep chromosome:SL3.0:11:4236001:4237444:-1 gene:Solyc11g011160.1 transcript:Solyc11g011160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEFVNKIHPEYPQMIYEALDALQQKEGSNKSSISKYIESKYGNMNDAHSKLITYHLDRMKQTGELIFLKNNYIKPGPDAPPKRGRGRPPKPKPILPQGTDIAAPKPRGRPKKDPNAPPTPKKPKPTSVPSDLAPVSKTGRPRGRPRKIRPQPPQNGLE >Solyc01g104640.3.1 pep chromosome:SL3.0:1:92961757:92973768:1 gene:Solyc01g104640.3 transcript:Solyc01g104640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLPQKPCNASVFPKTHRKGSCPSQMAVDKNKLEEEALSLRFYKIVLSWDYLRLIKESDRKKGKGDDDNALVLKKAKNSYKDVQDYLATFEPLLFEEVKAQIIQGKKDDEEETLWMKAVTVGCSEIDGFHFPMISCSDAESIQQNDLLLLSNKEFGDGKRLPTAYAFALVEDRRPDKIRLRMHLSGEVKQLNTQEIEACSRLLSMRPLVTENAKLLHVLKICSLSTIAREYVALRSVSSLPFKDLILSAADSNRSTEDQAWKISRPLKEFLESNHNKSQLDAINAGLSRKTFVLIQGPPGTGKTQTILGILSAILHATPSRVHSNRVKLSSVKRGPELSMSDKYKHWGKASPWLGGTNPLDQEMPIDGDDGFFPTSGNDLKPEVVNSSRKYRVRVLVCAPSNSALDEIVLRILNTGIRDENDRAYSPKIVRIGLKAHHSVQAVSMDYLVEQRLSGMDSQIGDRQKQGGPVKDKDSIRASILDEAVIVFSTLSFSASPVFTKLNRGFDVVIIDEAAQAVEPSTLLPLSNGCKQVFLVGDPVQLPATVISPIAGKFGYCTSLFERLQRAGYPVQMLKTQYRMHPEIRNFPSREFYEEALEDGPDVEVQTKRSWHEYRCFGPFCFFDIHDGKESQPSGSGSWQNVDEVEFVLAMYHKLVSGYPELKSSSRLAIISPYRYQVKLLRQKFRETFGVESDKVVDINTVDGFQGREKDVAIFSCVRASKDKGIGFVADYRRMNVGITRARSSVLVVGSASTLRKDARWQNLVESAEKRNALHKVSKPYAEFFSEENLKLLKVEVAHDKHEAPPEDMDIDVPIAAETDHAPQEDWGYAGEEGGYDED >Solyc08g076480.3.1 pep chromosome:SL3.0:8:60608075:60612575:1 gene:Solyc08g076480.3 transcript:Solyc08g076480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLYNPLIFTIKNPKGSLKPHTSLPSSVPTFRLRKFQNFSVQSSISSSNEPEKPTSITPDNDKADDKMVEFVDEWGEKSEPEPGPVTKLADSDPPEYDDEWGNGSPGVDVSGEEDEKLLELKRCLVDTVYGTDFGFRASSEIRAEALEFVCQLEAANPSPAPTESPELLDGNWILVFTAFSELLPLLAVGNIPLLKVEKISQDVNTNSSTIENATTLSSPVATLSFSATATFEVRSPSRIQVEFKEGNFKPPEIKSNINLPETVDLFGQKISLSPVQQSLGPLENAVAGIARTISGQPPLKIPIPGGRTKSWLLTTYLDKDMRISRGDGGLFVLVKEGSSLLY >Solyc07g061830.1.1.1 pep chromosome:SL3.0:7:64886609:64887028:1 gene:Solyc07g061830.1 transcript:Solyc07g061830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLIAIIAPIIGVILFPILIILCGKCREKHRQKHKDEARGGDVELGSGAGKVKDGNLVVLAGAGGAAAATVVGNEDRDKEVVVEKLSEVPKLLETLIDCFCGGDGDDVGDFGGGDCCCGDSGGDGGGCGGCGGCGGGCG >Solyc09g074780.3.1 pep chromosome:SL3.0:9:67013668:67017608:1 gene:Solyc09g074780.3 transcript:Solyc09g074780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNISGEEGSFSSGEEAHLHTSNNNISSITTSNGSTSQSQQQQPLAKKKRNLPGNPDPNAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTAEVKKRVYICPEPTCVHHNPSRALGDLTGIKKHYSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMNNNMEHNMHSGQMHEIMSSNASLGLSEFNNFDPKNSLKALPQELLPIPFKSMTNNMAPGGMFSITSGNLFGNSPRSVASSSSGLQLSSNIPSNFNYLQDNGKNRVAQGQGQLSSVPMSATALLQKAAQMGATASSSINSPMMQKSFVTSAMAGPDISITRPSPNYDNFQMQQDSNNHQSQSQNQNLAAVINDMGIYSGILMSNDQNNDGGYIKNVTEVLDRDNHNHSTNDRVRNQTMMVQNGSNDMLTVDFLGIGGASRAPISNLQEQRRFEAAINQQRLQASSSFHHQQNINHNSGLEKPIWDV >Solyc10g011680.3.1 pep chromosome:SL3.0:10:3925867:3926321:-1 gene:Solyc10g011680.3 transcript:Solyc10g011680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFTSTSTKLEEQVMDREEEKGDEKPRELFLAELNLVLVLSFFSLSSEERKLEEFEIDNDKDHQEHNIISKVSSKKRGGINKYKATDQHEFPRFQTKIKDCRYLLSFTNEIICCFKNTAEECEE >Solyc04g016150.2.1 pep chromosome:SL3.0:4:6891625:6893419:-1 gene:Solyc04g016150.2 transcript:Solyc04g016150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSVSFITAIEVRGDVEYIYVHGDGISDFDSFHSYHANPDLAYASCNNVSNDIKLLKQNLNHLTDDHSNSNGSIGIQKKYVFGGMMIACYGRGKLLFGEPNVDASPFLENFPGVSFSGTYCNGDFSFYIRSLKDKAVYVAVYTNLAPSTLLCHILLLHC >Solyc08g078250.3.1 pep chromosome:SL3.0:8:62194905:62203470:1 gene:Solyc08g078250.3 transcript:Solyc08g078250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRGFSSSMLVFLILILIGVPISSSVPFIVLHGIGDQCSHRGVKRFTKELSEWSKSEGYCLEIGNGSWDSWFMTLEDQADVVCSKVMELCQLIVLLWLISRIIRFVR >Solyc09g055740.1.1.1 pep chromosome:SL3.0:9:44291125:44291334:-1 gene:Solyc09g055740.1 transcript:Solyc09g055740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFENFITEFNQVLQNDSCRLQQLQCHTSNTGHPFNRFFWGKFMTSNPYLFCLSFGCSISIYELSFYF >Solyc04g049874.1.1 pep chromosome:SL3.0:4:44297337:44297910:1 gene:Solyc04g049874.1 transcript:Solyc04g049874.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYSSHLLELVLQLGKKFFMNDLGPYHFFLEIEVNYFDGGIHLNQRKYCVEMLEKTEMTLAKVESLQYLTLTRLDITHAVNLASQFMKIPNVEHFQGVKKILRYIKGTLNFGLRIISHSPCRLYYYYNVDWGGCRKTRRSSTGFSIYIGAYYILRPRRNRKQ >Solyc12g038200.2.1 pep chromosome:SL3.0:12:50070068:50083426:-1 gene:Solyc12g038200.2 transcript:Solyc12g038200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVPHNTSLLKKNGESYFLGSFIIKLLTNYFFYQGNLMRGIIRKNQVNRFKDKLNEGSVFIIKNFKVVERIGGYRPIQNSLKIIFFPDVIDSRVNNNIVLSDESSSSIFVDIVSCLYGIGDIESVGSKWKKRDIYILTDYLAKAKITLWEEFGEKFCPYLYNNDAGPYIVIVTSTTVKEFRGNCYRFSCTYYFNPIGEVSFSTTYASKIYVNLDIDYIRSLAPKFSTMSNEVQIIKSSNVNSLPREEEMFLNRMDIKELLEAEWSSELQVLFLLRFSHNCVTVKSKIIEIHNYFGWYYISCNVCSKKIEPTNSIYRCHNFNKDCKFPLVVNESNLLCKHRYKIHLKVTDRTGDTTFILFNPVAEKLLDTSAHKLFNKLTTANNDVPVQVQSLFERVLENFTISKLWIPDDNLEVQYKLRKEEKVLQYRL >Solyc02g050183.1.1 pep chromosome:SL3.0:2:4146788:4147109:1 gene:Solyc02g050183.1 transcript:Solyc02g050183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLEFLYFLILQVEIEELQHQFDSDLVDDVKQPLVYARNFLEFCSFQALQVVTIRPDYLSDKEFRRLMFDMMLAWEVPGVGNQVL >Solyc07g064120.2.1 pep chromosome:SL3.0:7:66526176:66532515:1 gene:Solyc07g064120.2 transcript:Solyc07g064120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNKQGMFRFADKKDKMLMFFGTLGSMGDGLQIPLMMFVLSDVINDYGNLSNSVSMKTVNKYSLRLLYVAIGVGLASFVEGLCWARTAERQTSRMRLEYLKSVLRQEVGFFDTQAAESSTTYQVISTVSADSTTIQITIGEKIPDCLAYMSSFLFCHIFAFLLSWKITLAAIPFTLMFIIPGLGFGTMMMNVGMQMIESYGVAGGIAEQAISSIRTLYSYVAENQTLEKFSQSLQKVMELGIKQGFARGLLMGSLGMVYISWAFQAWLGSILVSKHGEKGGDVFVAGFNVLMGGLNILSALPNLTAITEAKSAAIRITEMIDRQPAIDTEDKKGKALSYVRGEIEFNGVCFSYPSRPDAPILQGLNLRISPGKTTGLVGGSGSGKSTIISLLQRFYDPIEGDISLDGHKIKKLHIKWLRSQIGLVNQEPILFATTIKENILFGKEGATMEEVEKATKAANAHDFIIKLPDAYETQVGQFGLQLSGGQKQRIAIARALIRDPKVLLLDEATSALDSQSERVVQEALDHASMGRTAIVIAHRLSTIRMAYRIVVLQQGRVIESGTHEELMQMTDGEGGEYYKMVQLQQLATLNDVANTPNQKTGGRRSYRKGSNTPQSPFNMISSAAATPVMYPFSPAFSRSTPLSVPYSVQYEESYESYDNHLTKVAHPAPSQLRLLQMNAPEWGRALLGCIGAIGSGAVQPINAYCVGAVISVYFRPDKSSIQSHARIYSFIFIGLAVFNFFTNVIQHYNFAVMGERLTRRIREMLLAKLMTFEIKWFDQDENTSASICARLSTEANMVRSLVGDRMSLLVQAFFAATFAYTLGLFLTWKLSLVMMAAQPLLIGSFYARTVLMKSMSVKAQKAQREGSQLASEAVINHRTITAFSSQKRIVGLFRDSLEGPRKESIRQSWYAGIGLCSSQFLAAASTALAYWYGGKLLSQGDVSPEKLFQAFLALLFTAYTIAEAGSMTKDISRGNNAVGSVFAILDRNTEINPDNSSAIDATRTQIRGRVELKRVFFAYPSRPDQLIFRGLSLKISAGTTVALVGQSGCGKSTIIGLIERFYDPDKGSVYIDERDVKDYNLRSLRQSIALVSQEPTLFAGTIYENIAYGKENASESEIINAAVLANAHEFISGMNDGYETQCGQRGVQLSGGQKQRIAIARAILKSPKILLLDEATSALDTVSESAVQEALEKMMVERTCIVVAHRLSTIQKASSIAVIQDGIAAEQGSHSDLLSIGKYGSYYSLVKLQGGNSPYT >Solyc02g079930.3.1 pep chromosome:SL3.0:2:44872357:44876118:-1 gene:Solyc02g079930.3 transcript:Solyc02g079930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphosulfolactate synthase-related protein [Source:UniProtKB/TrEMBL;Acc:Q4VUC5] MAAYRWKSFNDDGDRPEKPRRYGVTEMKGPNYSLFSRGLLEDVLESMGHFVDGLKLCDGSHTVMPKNYIKEVTDMAHKHNVYVSSGDSADQMLFRGPSSLKEYIEECKQLGFDSIELDVASLGLPEETLLRYVRLIKSSGLRAKPQFSVKFNKSDIAFAGDRAFGAYVIPAPQTSEMVEDVDLLVRRAERCLEAGADMIMINADDLCRQAGLLRSDIVAKIVGRLGLEKTMFEASNPKISEWFVKRYGPKVNLIVDHSQVMDLECLRGRNLGQNHSSVLGRSYFLL >Solyc02g036397.1.1 pep chromosome:SL3.0:2:31192077:31204914:-1 gene:Solyc02g036397.1 transcript:Solyc02g036397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding PTEDILKWWRDRGKGFPKLVPMVRDILAMQASSVSSKGVFSAARFQLGEHRDSLTSDSLEISEFRGEKSAQDAENFLWQMDAYFEHRKKADMEKGVCFIEGWEQFKGELKRQFYPQNVVHEAHRSEDLLFYFLDGLQNWAKHELQRRQVHDVDEAIVVAESLNDFRADAAKGRDNWSKVVPPKVDNNRKKSRPIPNRGINTKGNTRGQPSNFRKSYEDRKKGAAHHEAAREGCDLNEGSAGEQRRQNSETDKGKNVVVATPEVHAWYPLFGHQKFSEAVPLPHCIDQIELVPEGKPPAITPYHMEPSELEELRKQLKELLDSGHIRSSKWEKCSFSQPTVQFLGHTISHGETRMDGDKAPTKVPELRSFLGLANYYRCFIFSYSAIAAPFTDLLKKNREWEWSDASQTAFERLKAAVTEEPVLALLDFTKAFEVYTDASDFAIGGVLMQEEDTN >Solyc04g051570.3.1 pep chromosome:SL3.0:4:50763644:50808873:1 gene:Solyc04g051570.3 transcript:Solyc04g051570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFANGGEDPAILQLQNWGSSRVQFNLSDFCEGFISPRRELLLLLSYHREALLLPLGKSIKDPQSYKNLQNHDASFPCSLERMFPDKTELGDDCESTSQSIEAETCNIKTEAVDFTGPISFPSISDVSTVAWGRCEDLCSQHDNVPFKELLFVLGSEGVTVHAFCQSYMMSELITPTGQVDVGQGLWVEWGPSTASAQLSGTVCDSTMQSNDSLDVSDMSWSSVTGGRANSSMEGQKEVSSENFGVKRWLHTYLTKVETMKSDGTVYTKFPDKSSVPSSASVVSFNNFLSCPLLLEFLSDGYPISHDKQNSNISAEDHAVGISTDSIQISPDALVGQLSSSYKCFRVFSNDAQCLVGFALNTKKDVQTESTNIDDGTGCKVLVAVARLINWGMQWVCSVTVGKCLEGRPSIEWPEFKFSHAFLISLNVSGFVSIYITLTGEHIASLDLLNICGVSPSLVSQEQKYSSSKIRESCIEEKKCGQLINQAGDFVGRRRFKRLLVISYSLTFCVIDEYGLTYVIHVDDHIPQKYCSLEKLHPQQLSDGMLVAWAAGAAEIAYQRVFSNFFGGKEQRKSSIIRESSFVDNTHEERKYGSYGSGLSDALDVNKSRIFGSRLWSCHRRKVFLATDGSKEDGVVCFSPFGITRLVKGKCSGENGKCRLVHSSLNVNMTVNDDSSYNIQGWDAIVDEAIGCSFQGCLYLVTKDGIAVVLPCLSLPSNFYPVEAIGYRQTCYSAGSKYGVHKLHEFESRKRHFSPWKLEVLDKALLYEGPEVADKLCSENGWDLSVIWIRNLQLALEYLKFEEIEKSLEMLAHVNLAEEGILRMLLAVVYLMSCKVGNDNEVSSASRLLALGTSFATKMIREYGLLQHKKDGMESQKAGGLQNSFLSSELIVSRPGGTGDLERLQKMAHFLEIIRNLQWQLTYKCKRLGQELVDQGETVGETDLSQDESSILDFPVDILSLEASSKKGLISASEMERSHGEDLALMPLDAFDGKDISSLDTFKEPYLISEEKRVFSIENPKDMIARWEIDNLDVKTVVKDAILSGRLPLAVLKLHLHRSRDLMSEQENQDTFNEVREVGRAIAYDLFLKGETGLAVATLEKLGEDIETSLKQLVFGTVRRSLRMQIVEVMKGLGYLGPHEWQILERISLIERVYPCSSFWSTFSCRRKEFKGVSNGNATEEIKLHLLATLARDLVIACGELDGVVLGSWMNVNEQPIAPETDNDSTHSSYWSAAALWFDVWDQRTVDCIVLDQPSLMGVNVLWESQLDYHIRHSDWLDVSSLLEAIPSYALTIETLSVSLDGVRSSSVDEYLQKPHDCGSYIYSLEEVDAVCMNVPSVQIFRFSAHSMCSMWLLMLMERELAKKFIFLKDYWGSTADIVALLAQSGFIRDVHKSLLTDEPADSWSESVLPISNARTHPDSIQAFHKVIVQYCSLHNLLNFLDLYLDHHKLALDHESVSWMQDAAGDNQSAKWLLLQRVKGKEYEASFSNARAVVSHNLVAGNSFSTMDIDDIICTVDDIAEGAGEIAALATLMYAPIPIQDCLSSGSVNRLYSSVQCTLENLRPFLQRFPTLWRALTAACFGQDPTCSSIGPKPKLFGYSDLLDYLNWRESVFFSSAHDTSLSQMLPCWFPKAVRRLIQLYVQGPLGWQSIADLPVDDPSLLREIVPSDISPLSWEVAIQKHIEEELYDSSLKESKVGIEHHLHRGRALAAFSQLLSNRVQKLNSESSRRQHGNPVQGQTNIQSDVQMLLSPITQSEQLFLSSVVPLAIVHFADSVLVASCALLLELCGLSPGILQIDVAALRRIASFNKSGPCSNHLQQLSPRGSPFHSNNSDNNITESLARGLADDYCQNDWFNQTIQKSDQFTTSDRQPSRALMLVLQHLETSSLPSSADGVTCGFWLLTGNGDGVELRSQQKTASEHWSLVTTFCQTHQLPVSTRYLALLARDNDWIGFLSEAQIGGYTLEAVMEVALKEFGDARLKTHILTILKSTQSRKKFSSSSSSDTGEKKNGTSFPDENVYAPAELFGIIAECERQARPGEALLLQAKNLCWSLLAAIASCFPDVSSLSCLTVWLEITAARETSAIKVNNAASQIANNVAAAVEATNSLPASAKAPTVHYNRKNPKRRRLMEPVSVNSLIFTIPDVRKADGNVRIQDMNAGEECEKQVDQDEKVSNGSDEVAGSLSRMVAVLCEQHLFLPLLRAFEMFLPSCSLLPFIRALQAFSQMRLSEASAHLGSFSARIKEEPHVYTQAGKEGKIGSVWISSTAVKAANAMLSRCPSPYEKRCLLHLLTATDFGDGGSAATCYQRLYFKVNLAEPSLRKNDGLHLGNEPLDDSSLLTALEEHGHWEQARNWAKHLEASGGSWKSATHHVTEAQAESMVAEWKEFLWDVPEERAALWGHCQTLFLRYSLPPLQVGLFFLKHAEAAEKDLPARELHELLLLSLQWLSGMITQCSPVCPLHLLREIETRAWLLAVESETQVKSEGELILSSREPASGKGPNIIDRTASIITKMDNHINLVRNKSGERNDTRESNQSHLKTTQMSDSSSGTILGSAKVKRRAKGFVPSRKSLADPVDRSNEPETGSINFNVKDDSQVPDENLKIEATFSKWEERVGPAELERAVLSLLEFGQIAASRQLQHKLSPGCIPSEFKLVDAALKLAAIATPNDKASILVLDGELRSVMQSYDLFPNQHVIDPLQVLENFALLLIEGRGRGLCRRIISVVKAANILGLSFSEAFEKPPIELLQLLSLKAQDSFEEATLLVQSHCMPAASIAQILAESFLKGLLAAHRGGYMESQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALLRLVATCQGIPHACEVELLILSHHFYKSSACLDGVDVLVDLAFKKVEAYVSEGDFPCLARLVTGVGNFHALNFILGILIENGQLDLLLQKFSAAVDANDADEEVRGFRMAVLTLLKQFNPNDLDAFAMVYSRFDMKNETASLLESRAHQSCKEWSLHSDKDQTDELLASMGYFIEAAEVYSSIDAGSKTRQSCAQALLLYLQIRMPDLHFINLSETNARRALVEQTRFQEALIVAEAYGLNQPGEWALVLWNQMLRPELIERFMAEFVCVLPLQPSMLLELARFYRAEVAARGDQSQFSMWLTGGGLPADWAKYLGRSFRCLLRRTKDLRLRYQLATIATGFTDVINACNKAFDKVPDSAGPLVLRKGHGGGYLPLM >Solyc12g042655.1.1 pep chromosome:SL3.0:12:58962648:58966996:-1 gene:Solyc12g042655.1 transcript:Solyc12g042655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQDGVSVAVEGDIEDRISVLPRNVIDCILELLPIKEAAKTCTLSKSWRYIWSELPKLVLYYEFCDELIDESESKFREVVDGIMLLHMGKIVQFDLDVRVEDLASYTAIDRWVLYVTRNSVKKLHLRISNIDDRSYALPPCVFHCSTLTQLKLARCSFKPPDSFRGFPNLVTLRLASVTFSEHCVIKAPLLANLTLNCCDGMQYLNIVSPVLKSLYVCYPHSYIALNCFMNCKNLRDLGLVFSKVVNNPKHDHRSTLMKLLDSLPALEVLLLDSLFIELLSADVVLSSAPPFILNCLQKLSLSVDFGKLGHSSCVLQLIKCSPNLSELKIRVRNVKGTDDNVEAFVKCLMTPDCLQLPLNKLEYVSFYGFASPNCVQAFAMLFIFQAPSLLRIFIEQPIGTKSSKDLSDLPRASSKVELVIR >Solyc01g066910.3.1.1 pep chromosome:SL3.0:1:74993866:74994171:1 gene:Solyc01g066910.3 transcript:Solyc01g066910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMKGSKVLGMVVLGLLLIVEFASGMNICNMDDDGLTSCKPSVTQPNPVEPSASCCEALSGADLQCLCSYRNSFVLPSLGIDPELALALPTKCNLTSPSNC >Solyc10g079970.2.1 pep chromosome:SL3.0:10:61519330:61524219:-1 gene:Solyc10g079970.2 transcript:Solyc10g079970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKHLIQPAVAAVLSSVIAFRSYKRKSLNLSGAIAGVIVMFIHLAVNYRFGAMLLVFFFTSSKFTKFGEDRKRKIDAEFKEGGQRDWIQVLFNGGIATLLVLTVWTVTGSEDKCLDSKESHMITSLIGGIIGQYCCCNGDTWSSELGILSNEEPRLITNFKPVRRGTNGGVTKAGLLAAAAAGTVIGLTFVVLGFFTTKCTSDVTVKQLFVIPLSALAGLCGSVIDSLLGATLQFSGFCSVRKKVVGKPGPTVKRISGLTVLDNNTVNLLSILLTTAMTSFAFLYIF >Solyc05g021145.1.1 pep chromosome:SL3.0:5:26558895:26561282:1 gene:Solyc05g021145.1 transcript:Solyc05g021145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARIAQLQSSVGGKGGTPIEIEEEECQETNPRVVVVKSTMLRNICYKLEPVHCPKIQHKILQEQVKMQRSIFRPNLDQQLKQQVQGTGAFIQGHELIPKCYSNVLQVLMMNEYTQENVGLPDIDNVNVCAASNPAVVVDKLKEQAHQGPCSRTAQLKQQMRKFYQRKELEAMQKRKANTEHKDKDTSGHAAVEIQQGKQPADNTQQMQQVPEFVDQEAKNKTNYTACKSFPRLYGKLKFFSRILCKMYNNQSKQEQNTIGQIEKHNEDCATVPLQQTDHVGHAQSELGKIQTIDGIPDVEGTESMGIDENIEFDLQGNEIQRKGLIEQEGECSTSATVQQYDEDNISHLTQSPAVNRSSQQHDKKNQTKRKAIHLKRVITNPRIG >Solyc04g082020.3.1 pep chromosome:SL3.0:4:65913954:65916292:-1 gene:Solyc04g082020.3 transcript:Solyc04g082020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLTSSATTTPSLSVFAPKSKHLSSRKFVKFSVSRKNNGNESDLQSDANDNTSIVPIFNNPTLSKDAAMGLVLSAANVRGWTTGSGMEGPPVPAGSDSESNTDQISTFPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVSPDFNPNPDNDIGFKYFDMDDDNDEVFPLF >Solyc03g115010.2.1 pep chromosome:SL3.0:3:66350469:66352039:-1 gene:Solyc03g115010.2 transcript:Solyc03g115010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSSGNNFETKQESNNDSRLASKTTSAPPSSTSRQWGANKNPRIVRVSRTFGGKDRHSKVCTIRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVVDWLLEATKLDIDTLPPLPVPPEYFTRFQQPSHEEFSDVRAQWLNANTSYLEHGRNKSIASNEESNQEDNMFTLGNQRSSNLPGMPFNSYNYQWDHQPNSNLSLGHFGHNHSFSSQIDDDQSHQNTSFPLSLGSSSSPFPSSSQLYFNPITTTFQPIVPSPNYITNHHPIESHDPRANMNHFNFLSSTTSHNNQLSSEK >Solyc06g084280.1.1.1 pep chromosome:SL3.0:6:49517921:49518400:1 gene:Solyc06g084280.1 transcript:Solyc06g084280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVINKVAEESGGWWSLILCNPKREDYGEKQVTKLSIKSLEMCTESLGSETGRESSESIENNNSKIVKKHTTTSSNKMLVAHFPPPLTSIIQVTPHRQGDRLILKATTMKSCFKAERLHGRLRLSFNNTEILAQNGYITPTKCNQNGTNIPTFWVAIS >Solyc02g085240.3.1 pep chromosome:SL3.0:2:48845360:48847600:1 gene:Solyc02g085240.3 transcript:Solyc02g085240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKAVMVINTFGKPRLSKFYEFQPVEKQQELIRHVYTVLSNRPDNVSNFIRSLGAIFGPDTRLVYKHYATLYFVFLFDNSENELAMLDLIQAVFVETLDKCFRSVCELDVVFNYSKMHIILDEIILGGQVLETDSVEVVKAVEEISKLESTTSAGTLINKSIPSWWAR >Solyc07g066080.3.1 pep chromosome:SL3.0:7:67728920:67739205:1 gene:Solyc07g066080.3 transcript:Solyc07g066080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGRYMAYSPSPSAPQSPHIAGLRSASSAIAEQEKYLSELLAERHKLGPFVPVLPHCYRLLNQAELLRVTTLLGNASVLDQNGLEHASPLASGGMFSNGGANVDRWASPFQSEISGLMHSPSTQNWLNTQSNSSGLIVKRTLRIDIPVDQYPSYNFVGRLLGPRGNSLKRVEATTECRVLIRGRGSIKDPVKEEMMRGKPGYEHLNEPLHVIVEAELPVEIIDARLLQAREILEDLLKPMDESQDFYKKQQLRELAMLNGTLREEGSQMSGSVSPFHNSLSLGMKRAKTRVDKSRKFVMASKRIQKELKDLQKDPPASCSAGPVGQDMFHWQATIMGPSDSPFSGGVFLASIHFPPDYPFKPPKVSFKTKVFHPNINSNGSICLDILKEQWSPALTVSKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRPKYESTARSWTQKYAMG >Solyc04g017690.3.1 pep chromosome:SL3.0:4:7762013:7764265:-1 gene:Solyc04g017690.3 transcript:Solyc04g017690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSGGRSSTLNPNAPLFIPSYVQQVEDFSPEWWNLVTTATWFRDYWTSQHQGEEYGDDDFGFAGNDVADLLPENIDLDVDEDILNMEAQFEEFLQSSESEQQGIKSSLSGVNGLPKGSEALVRTLSMPKPKSLIEPPKLYEKPAKIVSPKNSLRRIQQPR >Solyc01g108230.3.1 pep chromosome:SL3.0:1:95475925:95483881:1 gene:Solyc01g108230.3 transcript:Solyc01g108230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSIWANNGAPAVSHLFYAIFFAFGFVIVRLFLDRFIFRRLAVLLLRLGTTHLRNDEATRGKIVKCSESMWKFAYYATIEFCVLKVAYHEPWFLDVKGYFSGWPNQELTAGIKLIYMCQCGFYLYSIAALVVWETRRKDFAVMMSHHIVTVFLISSSYILSFFRIGIVILALHDGSDVFLEAAKVFKYSEKELGASVLFGCFAVSWFPLRLVFFPFWVIRSSSYYLCEVLKLSESYDTMIYYFFNTMLLTLLVFHIYWWILIYSMIMKQLRNRGQVGEDIRSDSEDDD >Solyc02g069940.3.1 pep chromosome:SL3.0:2:40282804:40289141:-1 gene:Solyc02g069940.3 transcript:Solyc02g069940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEVLENWVVRNGNRNGVKSCGDEVRGMTNGGFEQMVNGAKENENLGDEILEDFETYWEDVNDRLMVSRMVSDSVIKGIVSAVEQEAAERLVTKDMELANLKEYLQFHEGGLSKTELESFGSLMSQNELESMDFRKCMTLSDVFMEHGKMGEFLDGLRSLAKDEFKKLKKSIDELRGSNSVSNKISRSEMAKLEGILQEKESGIWVQLDKTLDNIRMMVDTVFKRMDVMLQLSKTSLHHWQEEHLIKVELESMVMRCVIRTVQEEFEYKLWDQYAQLCGDRNEKLNAISSLRTELDAVLKSLSSSENGHVTSHGSHDADFFTRKKSSEYVTSTKSVWDGNGKLEDSKTDIPENFDAVTLKHMSKDEMVTYFNNIMTKMKRHHESILQKKTDEYFVLRAEYLNLRGGSVVPHKKDKGESDILRKKIPEIIFKLDDILVENEKHPAFTQETLSFGNLKDRLDNLLSENHQLRDLVKEKKNEVKSLLSQVSDATEKRLQHSLAEAGMLKQIGELNLAMEESLIGGSVREDVYTCFLRDLSGGARNEVEELNLGFNMINESNDTSAGSTRKIEIEDLEMECLIMQEICGVISGEGIKEAKDMLKELYLEHLNEKEIRTSLDTKLIEMENKLKFEVEEKDRLMQMEKLVNEKEKLATDASAALAKERVQSEQVRQELNAAKEFASQQQTLASGCNKEVNVIKGQLAEAVERIEVLKEEVAQLNISLEEKTEELKEANHRANMVLAISEERQTLLSSLESKEIALRKQVEKIIGNINESSKMIADFECRVTGRLKTNNARFEHSFSQMDCLVDLLGDEVDTLLSLVEKIYIALDHYSPVLQHYPGIMEILKLIKRELTGESTKLVKSSPA >Solyc02g082360.3.1 pep chromosome:SL3.0:2:46608722:46611865:1 gene:Solyc02g082360.3 transcript:Solyc02g082360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVDRANMSFYSFVFNTAFGILIYKSGMDMNWTCYDKICGLEENNFISELQHLTNSLPNSVEVQCIDETHSALGNCIACNDHIALIHPSLDKDTEEMIADVLGVEVLRHTIAGNVLVGSYCSLSNKGVLVHPRTSIEDLNELDILLHLPIAAGTVNRGSNVIAAGLTVNDWTAFCGSDTTTTELAVIDTVFGLRDAQPSAIGNYICEFCGSCCMFF >Solyc05g013540.1.1.1 pep chromosome:SL3.0:5:6814343:6815503:1 gene:Solyc05g013540.1 transcript:Solyc05g013540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAMRRLNQETDVPLQNTTNSSTVNKTRSSCSSNKRSLKDTATGPSAVRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDCAARAMRGVKARTNFVYPPCPTPTQPTSTNDALFNIHSSYKLTSPYYHQSSNTLKDLSNRPFFHSSSPYGSTGRAHVIGQKSNDSLNMLLFRELLSSNSSNNTNNLNVTSSMNMPNLYEQLPNFTMNRNTNSFGSYLPNSSNPVIPSSSVMTTQVPKFDNTVHCTINNNNSSSGATANDDSAAGMDFFPSESSDSGLLEEALNGFFPKPKPIKSVPSSLPNYEFCNIFSQQPQQQEQINNGGLNSDFGLLSSSLSSFPVDYFPGNLQVAPGDNIMGDIFQYPDLLSIFAAKLQNA >Solyc03g058881.1.1 pep chromosome:SL3.0:3:28653198:28654346:-1 gene:Solyc03g058881.1 transcript:Solyc03g058881.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDILAMQASSVASEDVFSAARFQLGEHRHSLAADSLEISTGTKYVKFTDLSVRSRFRNNTNSHSTDFVLVPELTGPVHPVPLPPPDYITWSKSGSTNNANNQVFRNQVPNSINYIIGDTVYVTL >Solyc08g082345.1.1 pep chromosome:SL3.0:8:65292198:65294518:1 gene:Solyc08g082345.1 transcript:Solyc08g082345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDCSELPQDLLRLIGHCLITYTKSSSHVFELHGGQYYQLFPVLREFIHACCCLMTICKNEKFLISIHERRVVYYLEIPEVLGKEFHASRFIPRMEFWICGGFLIFWMGTMRKRKVSLFDVWMTRRRQQRKPIEA >Solyc01g049740.1.1 pep chromosome:SL3.0:1:46182754:46184034:-1 gene:Solyc01g049740.1 transcript:Solyc01g049740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLYLILSSGSPIYIYMTLKFLEFSHLQSYLKLFPKSQPVIIPPSSLPLKCEAEFQKWEVDVLFHNLNNNDFSLQEDEATMVKLGSCVKGNRVLGISYDLARILTGDDGNDYAKLIREILLKYPGLLVLKEGNTAWNEQSLVWKALKKVETEKRKVLSGSPSQNSIKVIQHSLCSQSKVYRKFGEQLLRRILENSSSFYEQNLVSLISVHPSLVSKRKKFSDLVSQLKDRSCRLDPDTGK >Solyc01g016790.1.1 pep chromosome:SL3.0:1:22131742:22134309:1 gene:Solyc01g016790.1 transcript:Solyc01g016790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLNYLMFWIDPHVKVYSNFLGSTLALQFYELLPLNVHFQTIVLTCSATFDLVYISEIARDRVCQVCRRRRASPPCSSLA >Solyc01g096690.2.1 pep chromosome:SL3.0:1:87590141:87593075:-1 gene:Solyc01g096690.2 transcript:Solyc01g096690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFIFGIVKFHIQVQAGNSRKVVAVIAIKRLEPTWIILDRRIMSYWSNSCLACHLTNKNIRRFFSFVLNPGDKVIREMKKEKRYFMEKLSCGISKLKSDNSIEEVRGPLKLMENTKVSLTRNTFSYDEMIPGEDDVSEERPSSQLNSKAVTRTRTSCKEQASSIPGKLYSDFCENKVKRSSSTELVDPSSSSSFHNLKTKTGNSPSHVSDEHIKDNDQYLYGVIYEKSECSFCGNRRPKMRLHKEFSHKELEEATKGFANENFLSEGGFGSVYKGYLKNGLRVAVKQHNDMSLQGDKEFKSEVEVLSKVRHPNLVMLLGSCSEGSQKLLVYEYVCYGSLDKFLSGDIRMCLNWEKRLKIALGAARGLEYLHKHNIIHRDIRPNNILITHDHESLLGDFGLAKAAYDESQHSSGNNVVGTFGYMAPEYAASGKFSTKTDVYAFGVVLLQLITGLKNTDNCLEDKSLVEWAMPLLEQKNYPRLIDKSIVDSHDFHQLFWMVELAAKCLEKDPDKRNTMEWVVKILSDIMEGNADTCIDFNPKSNDEDDKIVGSSSFSTDRTCSAKRWSPSSSNSTHEECESRKIPLKHKGATPNKSKLLYKEMIH >Solyc07g039210.3.1 pep chromosome:SL3.0:7:45721328:45727529:-1 gene:Solyc07g039210.3 transcript:Solyc07g039210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAYLSMGEAHRRITDFLNRFSDAVSSQDAKSLCLIFSISSNSSFLLSLSDALITFQDASRVMRQTDRYSQYADILLPLFRALHSYRLKNLVESYQAFEKAANAFTQEFRNWESAWALEALYVIAYETRILAERADRELASNGKTPEKLKGAGSFLMKVFGVLAGKGSKRVGALYVTCQLFKIYFKLGTVHLCKSVTRSIETARIFDFEEFPLRDKVTYMYYTGRLEVYNENFSAADHKLSYALSHCNPRKERNIRMILKYLIPVKLSIGILPKTSLLEKYNLTEYNNIVLALRRGDLRLLQGALQEHEDQSGVYLVLEKLELQVYQRLLKKIYIFQKQKDPNKAHQIKLDLIVRALKWLEIDMDVDEVECVMSILIYKNLIKGYFAHKSKVVVLSKQDPFPRLTGKAINS >Solyc10g019040.2.1 pep chromosome:SL3.0:10:11261589:11277124:1 gene:Solyc10g019040.2 transcript:Solyc10g019040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRFDYPTLPRQDIIAVLGEAQIASVSDEDLIKPTPDFVTKLYSSILLHIDTLQDDHDQVDFSALEYLENPDLHVDSFRTINLFHKIRNMLSALGCPEIFTLRDLIKPDPDRTRFFVGAILNFCLHRDTKLNAIRPIVEDLTLIDEQRLALEARISQLNEEIAVQNESREREMPLVQEIDSNVKELRQTISGLNNHQMSLKASIRKLKERAKEIDEKISNADFALVQAVHDNANLRSKIVQSPDKLQRALEEKKSFQAEIRNAERAAMQSFQDKTAILEVYTKAYKKMSKNFNQMQAIQEQVNSTKSIEKDVKVLKLKLSDEEVQEKSLEAKLVERQGKADQLEELRKQLEKERNLSFEEANKELKNVKLEVESKRHGLEARQNDLEGVLAEADAITERINSVRESGALKCQELDRNCEEVIAEFYRHSSSIKDLLPDIEVDQASVEKKGVDL >Solyc05g049990.3.1 pep chromosome:SL3.0:5:60697094:60699525:1 gene:Solyc05g049990.3 transcript:Solyc05g049990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HPLLLCHYIFHFLLVVVSSYSIHSYCTKKNNMKKFILKLDLGDDREKRKALKIVAALPGIDEITIDMKGKTLMIIGTVDPVSVVSKLRKFWAVEMMLVGPKEEPKKEEETKKEEPKKGGEKEDKKEETKKEEEEKKPMVPIGMVMPYRPYYHPPPMHTYYQVHHSIEENPNACVIC >Solyc01g079930.2.1 pep chromosome:SL3.0:1:78943997:78946295:-1 gene:Solyc01g079930.2 transcript:Solyc01g079930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTLKLSVSILVIFFLLNIFQCSSEVLYIPVTKDASTLQYIIEVGQKTPLIPTKLLLHLGGKSLWVDCTNSTTQTSSTYKSAVCNSTECSMAKSYGCGDCKFRSELQPGCNNNTCYIWGENPIKKMYHDGSEVAEDVLTIGSSPGVLVTLPQFVFTCLIDPYMLEKLADGVTGFGQTTPITIPNQLGSDPRFSRKFGMCLSSSTTSRGIIFIGPSPYYVYNPKKIDISNDLAYTKLLVNKRGFLLTDEYYFQMSSIRVAGQDVPLNKTLLIINKKRHGTDGTSISTAIPYTILHTTFYDSVKTAFINALPKNVTIVEPPPMSPFATCFSSENIKSTNVGPEVPPIDIVFYKPSVFWRIYGANSMIQVSKDVMCLAFVRQDQTWLPSIVIGGYQLEENLLVFDLPGRKIGFSSSLNLKQTSCSEYDNTIMDKVPF >Solyc09g066060.2.1 pep chromosome:SL3.0:9:64622465:64627286:1 gene:Solyc09g066060.2 transcript:Solyc09g066060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNQLVFYYINRPLTFLFLYSYSSIKRKLSKNMTGSGEEPAGEPSNSQNRSERHGQYHRHSMEQIQRLEAFFKKCPHPDEDQQKQLGREAGLDHKQVKFWFQNKRTQTKTQNERSINNALRKEKERFLCENKAMKEAMKNIMCPKCDGLPIGKEERERNLENMKTENQLLTEQYEKVSNLISHVVGRPYVMDSNLAPQKSTLRSTSNSSDGTLLNQEICGSLIRYPPLLPENNHNNNNVHTHSLMSPSPHEHDEYHLDSRRRKIMFDTAVASMNEMVKLR >Solyc11g072310.2.1 pep chromosome:SL3.0:11:55803327:55805996:1 gene:Solyc11g072310.2 transcript:Solyc11g072310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20-oxidase-3 [Source:UniProtKB/TrEMBL;Acc:Q9ZPP2] MAIDYMITRNNNMISKEDQVSNKSLSFNASLMKNESNIPSQFIWPDHEKPNYASVTRELQVPLIDLSGVLSNDPIEIKKATRLVNEACTKHGFFLVVNHGVDTNLIKNAHVYIDKFFQLPLCEKQKAQRKVGEHCGYASSFVGRFSSKLPWKETLSFQYSDQKGSSHIVEDYFQRTLGEKFSYIGKVYQEYCNAVSTLSLGIMELLGLSLGVSKNHFKEFFQGNESIMRLNYYPTCQKPELTLGTGPHCDPTSLTILHQDSVGGLQVFVDNEWHTITPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKIPRKSLAFFLCPKKDKVITPPNELVDSNNPRIYPDFTWPTLLEFTQKQYRADMNTLQTFSNWLKKTQS >Solyc04g064630.3.1 pep chromosome:SL3.0:4:55811941:55815842:-1 gene:Solyc04g064630.3 transcript:Solyc04g064630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Bidirectional sugar transporter SWEET [Source:UniProtKB/TrEMBL;Acc:K4BT54] MGHVHVIRILHTTFGIFGNVFGILLFLAPMITFKRIIMKRSTEKFSGVPYLMSLFNCLFSAWYGLPFVSPNNTLLTVLASIGGALEAIYVLIFLIFAPKKEKFKISGILFLIISIFSIVALVSVLTLHDNKRKLLCGLAFAITCIMMFGAPLTIMRQVIKSKSVEYMPFFLSLSIFNSSTSWAIYGLLGKDLFIIVPNSIGSLLACVQLILYAIYRDSEKKLDVEMEGSIEKGLEKTQIEMQKNMETYAL >Solyc10g018196.1.1 pep chromosome:SL3.0:10:7088715:7095393:1 gene:Solyc10g018196.1 transcript:Solyc10g018196.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADAYHRCGLTPTADICTCGAEGIMESLLLQTKPHCSVLSLDFRVLLLVSRPFLPFKVEEEAYEDVEEEALKDERKIEVEAHSAVLNGTCLNGGIWKASIYVVELELDFE >Solyc12g089147.1.1 pep chromosome:SL3.0:12:65214841:65215315:-1 gene:Solyc12g089147.1 transcript:Solyc12g089147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQTAYKTAFDESTMIHRQQQLSQETSEMSSKFPIIHSSMAQQLPSQHAQRTGQQRNNNCTKSSN >Solyc02g092980.3.1 pep chromosome:SL3.0:2:54569897:54571685:-1 gene:Solyc02g092980.3 transcript:Solyc02g092980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CycD3;1 protein [Source:UniProtKB/TrEMBL;Acc:Q9S7H9] MVFPLDSQLQNPISALLDGLYCEEDRFLDDDLGEWSSLDVGNENVKKTLPLLECDMFWEHDELATLLSKENEFHLGFQSLISDGSLMGARKEALDWMLRVIAYYGFTATTAVLAVNYFDRFVSGWCFQKDKPWMSQLAAVACLSIAAKVEETQVPLLLDLQVADSRFVFEAKTIQRMELLVLSTLKWKMNLVTPLSFIDHIMRRFGFMSNLHMDFLKKCERLILDIITDSRLLHYPPSVIATASMFYVINDIEPSNAMEYQNQLMSVLKVRKDIFEECHDLILELMDTACYKLCQSLKRKHHSVPGSPSGVIDAYFSSESSNESWSVASSISSSPEPQYKRNKTQDQRMTLAPLGSNLH >Solyc07g055640.1.1 pep chromosome:SL3.0:7:63727585:63734197:1 gene:Solyc07g055640.1 transcript:Solyc07g055640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNLVLFDKRKRTIWQSFDHPTDSLLPGQSLVSGRKIVASVSATNRSQATILPGILTRFSYNELKVITDDFSSKLGEGGFGCVYEGTLRNGTKIAVKHLDGVGQVKESFLTEVKAVGGIHHINLVKLIGFCAEKTHRLLIYEYMVNGSLDRWITMKNRENGLTWSTRQRIISDIAKGLAYLHEDCSQKIIHLDIKPQNILLDQYLNAKISDFGLSKLIEKDKSKVVTRMRGTRGYLAPEWLSSVITEKVDVYAFGIVLLEILCGRKNLDWSQADEEDVHLLSVFRRKAEQKQLIDMVDKNNEDMQLHREAVTEMMSLAAWCLQGDFSKRPSMSLVVKALEDKSKSLGLSFTLWIGRENRPVKFNATLELGQDGNLVLTDSDGTLVWSTDTIGKSVSGLNLTEMGNLVLFDKRKRIIWQSFDHPTDSLLPGQSLVSGRKIVASVSATNRSQAQFMKIGPDGHIKVYQWSEVVSDLLPRFVDKCAYPMVCGSYSICTNNGKRTHESRKAADILDLAPILPGILTRFSYNELKIITEDFSRKLGEGGFGCVYEGTLRNGTKIAVKHLDGVGQVKESFLTEVKAVGGIHHINLVKLIGFCAEKTHRLLIYEYMVNGSLDRWITHENRQNGLTWSTRQRIISDIAKGLAYLHEDCSQKIIHLDIKPQNILLDQYFNAKISDFGLSKLIEKDKSKVVTRMRGTPGYLAPEWLSSVITEKVDVYAFGIVLLEILCGRKNLDWSQPDEEDVHLLSVFRRKAEQKQLMDMVDKNNEDMQLHREAVTEMMSLAAWCLQGDFSKRPSMSLVVKALEATEFKMVVCCKLYSKW >Solyc12g017470.2.1 pep chromosome:SL3.0:12:6737222:6744663:1 gene:Solyc12g017470.2 transcript:Solyc12g017470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMEVAPSFPTWEEIELAENYMVCCMYQEAAALSSSIIEQLVDKNVKTNEPNCEFGDMLESAAMILMQSCKASERTSEILKQLKVLFGSVTAIPAQVFLTGVCLQIPDGPSAEVQEILEEFLMKWRYVDGKYYTVASMEADVPYVEEFSNQISLGVDKYLEIIELYVITFLGRILGNFDLAISWVEKSPLPEEKRQDLLRRLHSMNTLKLGSSLQSSALPLQIDECTTDSSSLVEEKSCNGTTNILEHRDQSKGENTTKQSILDFSRRRTPFWWFRTVTLKFGSSRLVLSNGSIFLGFLVALVYYIARRKQASLWSIVKRQASSTKKALVDFWQLAFSYQVNPLAAVQPLPPATRGSR >Solyc01g081430.3.1 pep chromosome:SL3.0:1:80499127:80501015:1 gene:Solyc01g081430.3 transcript:Solyc01g081430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPISPEIFLQRELQRELEQVESGVRSRRDFSSTNSGRPRERYREREMGRAGNEGTRTSTGMTQPETATSSSMVTMPTVVLSGARQFSGQHPTILQSRDRDECGSSYEENFDGSKDSGDTGSIGDADLVSALEGPSMNFGSSQRHGPRGSKPRQIVERRERDGRRESKWERKH >Solyc05g044635.1.1 pep chromosome:SL3.0:5:58158389:58163163:1 gene:Solyc05g044635.1 transcript:Solyc05g044635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGLCSAPATFQRRMMSIFSDMMEDTVEVRSCLICTLTPSNCHPSHLSRQSLQEYSVSQGLSHHSYQEIQALLPLESLQEYYAA >Solyc11g042930.2.1 pep chromosome:SL3.0:11:34209415:34214659:1 gene:Solyc11g042930.2 transcript:Solyc11g042930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKMIVLKSSDGETFEVDEAVALESQTIKHMIEDDCADTSIPLPNVTSKILAKVIEYCKRHVDASKTEDKASEDELKTFDADFVKVDQGTLFDLILAANYLNIKSLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >Solyc03g112580.3.1 pep chromosome:SL3.0:3:64451257:64455587:1 gene:Solyc03g112580.3 transcript:Solyc03g112580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVYSWTLFFFFLFTTTSSALNPQGQALLLWKTTSLNGSLDVLSNWDPTDETPCGWFGLTCNFNKEVVELELKYVDLLGIVPSNFSSLVSLNSLVLSGTNLSGVIPKEIGMLQGLKFLDLSDNALTGEIPTEIFHLPKLEQLHINSNRLVGSIPEDIGNLTSLVWLIFYDNQLSGGIPTSIGNLKKLEIIRGGGNKNLEGPLPQEIGNCSNLVMLGLAETSISGFLPSSLGQLKRLETLAVYTSLLSGQIPPELGDCSKLQNIYLYENSLTGSIPARLGNLKNLQNLLLWQNNLVGTIPPELGNCQQLQVIDISMNSLTGSIPESFGRLNSMQELQLSVNQISGRIPAQIGNCTGLTHIELDNNEITGSIPSEFGNLSNLTLLFLWQNRLEGEIPSSISSCYNLEAVDLSQNALTGSIPKGIFDLQKLNKLLLLSNNLSGPIPPEIGNCSSLIRLRANDNKLTGSLPPEIGRLKNLNFLDVGSNHLTGIIPPEISGCRNLTFLDLHSNSISGNLPENLDQLAILQFIDVSDNLIEGTLSPSFGSLTSLTKLVLGKNRFSGPIPTQLGSCMKLQLIDLSGNQLSGEIPASVGKIPGLEIALNLSWNQLSGEIPAEFAALDKLGVLDLSHNHLSGDLHFLADLQNLVVLNVSHNNLSGHVPDTSFFSKLPLSVLAGNPDLCFPGNQCSADKGGGVRRTKAARVAMVVLLSAACALLMAAFYIILSGKIRNRKAHDYDLDGDNDVELGPPWEVTVYQKLDLSITDVAKCLTVGNVLGRGRSGVVYKVNIPSGLTIAVKRFRASDKHSMSAFSSEIATLARIRHRNIVKLLGWAANRKTKLLFYDYLPNGTLGSFLHEGFGGLIEWETRFKIALGVAEGLAYLHHDCVPPILHRDVKAQNILLGDRYEPCLADFGLARLMEEENSSITANPQFAGSYGYFAPEYACMLKITEKSDVFSFGVVLLEIITGKKPADPSFPDGQHVIQWVRDHLKSKKDPVDVIDPRLQGHPDTQIQEMLQALGIALLCTSNRAEDRPTMKDVVALLKEIIHEHATGSEAKKTSNNSSKLSDTPSFSYSSSVTPAQLLRLQGSFNCSLTHSSSSVSYSTSNQ >Solyc08g022050.2.1 pep chromosome:SL3.0:8:33480293:33481010:-1 gene:Solyc08g022050.2 transcript:Solyc08g022050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KYQQKAKNFMCSCLVKGDINTHKTSGGLIYRQSWNTMYFVTSVAFFATTYSDYLTSARKYLKCSCGSVSSNELLSFSVDYILGDNLRARSYMVGCGNNNPRQVHNKAFSLISFKKGERSSSPYGALIGGPDAYDNFTDQTYIYEQTEPATYNNALFITVLARLHACQNDYNQLLLGIF >Solyc03g093890.3.1 pep chromosome:SL3.0:3:56976238:56977668:-1 gene:Solyc03g093890.3 transcript:Solyc03g093890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRVQQQQQKGTSMEAIIKKGAWSPEEDQKLRGYIMKYGIWNWRQMPKFAGLSRTGKSCRLRWMNYLRPDVKRGPFTTEEVEIVIKTYQELGNSWSAIAAKLPGRTDNEVKNFFHTHLKKHLGLKNHDVPLKTRKIRKQTKEDEKKISTRGRLVLETSNNSNLLTTDVCSPCSSITTCEENQMMDPFVNFSQTFEVCYNNITSLVVDQQVPGMEHTCINIGVAQPHSIPHGPAVNSFDQFDMNSFWIDVLGNI >Solyc10g055010.2.1 pep chromosome:SL3.0:10:56180680:56181518:-1 gene:Solyc10g055010.2 transcript:Solyc10g055010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCWQPNAGTTINSQILIEVSECVESINGVKEGGWKNTFCFYKPMLKEQANASEFPQHFFGASLQEQPNKFYMALSRKRLIVEAESSMQTIMENLQSYRIKFALNCEGFHYRLGNFRVRVGKVVPMTSENLRGIVMEVCKYF >Solyc07g064615.1.1 pep chromosome:SL3.0:7:66812965:66814363:-1 gene:Solyc07g064615.1 transcript:Solyc07g064615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPQNKERKEVIKADKVKKLKKPTVIEDKSKDLAKESSIFCTRSLALLDTDGQGLLSKSMVPLSMASNIPFSIMRSKVDTLPAQNGGTPLSSI >Solyc06g051455.1.1 pep chromosome:SL3.0:6:34948375:34949380:1 gene:Solyc06g051455.1 transcript:Solyc06g051455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NSLSIDIQSLLHKFESIIEEPNSLPPFRQRIHSILLIPKTTQHPSVSSPYFQKSKLKNNSKLLKKGFIRSSTSTIASPTLLVKKKDNTWRMCNDYRGLNRMTIPSKYPIPNIDELLDLHGATTFEQHIIHLELSLQILRDNCYYAKTSKCSFGQVHISFLGHVISEKGLVLIRIKFKRSWSGYYGRFVKGYGIMAQPLTELTKINAVQWSNSEENAFQLLKQDLIIVPVLQLPDFTQLFVVE >Solyc12g042012.1.1 pep chromosome:SL3.0:12:57259373:57260221:1 gene:Solyc12g042012.1 transcript:Solyc12g042012.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIFIGRKRIVIARIQNSKNSQVTFSKQRIGLFKKATELCTLCGACVGVVIFSSSNKVYSFGHPSAELIVDKFLGENQPGFDAPTSTSLSHQNVNVDHINNALIIFSDHKKLIDLLEAADEEVERVASQIMEYDTKFPYQTIGMSLSPLRVDGNSSSNFNEA >Solyc09g074350.1.1.1 pep chromosome:SL3.0:9:66574068:66574577:-1 gene:Solyc09g074350.1 transcript:Solyc09g074350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQECNSNSTISSTSLVDVSSFLLFESTGDSEFDSNICSVINDDPIDSLITLNSSHQRFLEDDDAQSCSYEDMELDFKIDNDDNNDNDDDDDDNVDVDIYSRSFNRWNSDMEDEDEDDGSVVEQGWMNNDVNRCNNLKLKSNVCSVGVDSELKSQKDKDKLFWETCLAS >Solyc04g054157.1.1 pep chromosome:SL3.0:4:51773343:51774687:-1 gene:Solyc04g054157.1 transcript:Solyc04g054157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYVRSSSLRARNFLKCVEMQKIECDKMLSLDVPIRWNSTYLMLDTTEKFEKAFERFDLYDGNFNSFLATDVCEDGSIAGSIQFEDWGGMSQTSDDLDLSKMALGMKEKFKKYWGTPEKMNKMIFIASVLDPRNKFVYVSFALEKLLGEETENVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSVDVLTIPMSSVASECAFSTGGRILDPFRSSLTPKCVHCLICVQDWLRQETNLFVLKKVQGSRQ >Solyc09g007740.2.1 pep chromosome:SL3.0:9:1281419:1282992:1 gene:Solyc09g007740.2 transcript:Solyc09g007740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKLNAGNLRDNLVQHHRFHCYKKKLKIYC >Solyc02g014037.1.1 pep chromosome:SL3.0:2:15322728:15329443:1 gene:Solyc02g014037.1 transcript:Solyc02g014037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLAICLLEIDLGLTGSKPASTPLEANHKLTSVLYDESIAASSGKPLNDEFLKDPTSYQKLIGKLLYLTMTRPDISYAIQNLSQFMHSPKKSHMEAALRVVRYLKNASGLGIILSSEVSHALNVYCDADWATCPMTRKSDVEMCYRG >Solyc05g053480.3.1 pep chromosome:SL3.0:5:64415053:64417211:-1 gene:Solyc05g053480.3 transcript:Solyc05g053480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKSSNTIEPQKGAQELPFDWPKQAWTHFELVRQKSPLIQCITNFVSMDFMANTLLSAGASPAMIHSVEEIPEFTPKALGVCINAGTLTPDWLPGMKLAAQVANQSKKPWVLDPVAAGGSSFRLKACLELLALKPSVVRGNGSEILALFKGCVDSNSKGVDSVHGSSDAVEAAKSIAQQSGSVVAVSGAVDYITDGDRVVCVHNGVPMLQKITASGCSVTALIAAFVAVDPSHAVEATASALAIFGVASETGMDMARGPASLRTLLIDSLYGLGEATALSRVRINHL >Solyc11g071860.2.1 pep chromosome:SL3.0:11:55501221:55504110:1 gene:Solyc11g071860.2 transcript:Solyc11g071860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTDSELDSIFQDNKRHRNRLVPIGGSAATMW >Solyc10g006755.1.1 pep chromosome:SL3.0:10:1198578:1200095:-1 gene:Solyc10g006755.1 transcript:Solyc10g006755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNCELCGENKSMMYCESDQANLCWDCDSKVHSANFLVAKHSRNLLCHSCQNSTPWNASGPKLSPTFSLCNSCIQNPDPIEQIGETIEENYQTEADNDEDEYVSTDSEIDDYDDENQVVPLSSSPSSDFSPSPSPVRSVSSSGSYGDLSAIGDYGGGATAADNSSPWKRVRESDCLHFEGESVPPTLHPRIEISGSE >Solyc01g006230.3.1 pep chromosome:SL3.0:1:852614:858911:1 gene:Solyc01g006230.3 transcript:Solyc01g006230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKTQFSISSSDSDSPRKNLGSSVSTEPGPGPSSSSSSCKVNNKSSVWSGLLVSPFSIFDSEPKGCLKKREFCCSKKYNGIGWTSAVKRMINSGSMRRIFGMDKTGMPNGSKSDIWLLGVCYKVVQDDDSSIEPTQSEGFAAFVDDFSSRILVTYRKGFAPIEDTKYTSDVNWGCMLRSSQMLVAQALLLHRLGRSWRKSMDKVLESQNTAVFSVVKMLNFQFRTTIMHNQPLEQKYVEILHLFGDSVESAYSIHNLLQAGKTYGLSPGSWVGPYAMCRTWETLARCKREETGNAVMSPAMAIYVVSGDEDGERGGAPVLCVEDIVKHCSGLAKGEVDWTPVLFLVPLVLGLDKINSRYLPLLAATFSFPQSLGILGGRPGASTYIVGVQDDKAVYLDPHEVQPVVDIKMDKLDVDTSSYHCNTVRHFPLDSIDPSLAIGFYCRDKSDFDDFCIRASELVDQSNGAPLFTITETRSSATSVEYNDRLTSDTGVPELDSFDAVAPGESDGSSRPEDEWQLL >Solyc12g027690.1.1 pep chromosome:SL3.0:12:25814994:25815579:1 gene:Solyc12g027690.1 transcript:Solyc12g027690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYPVHLNNVLTAVLLLNHCFSLSDSNIFALYDSNTLLRTVMEAKTEILDGGLWICVVFQSNKVRDDNKTLLHAGISHDDNFDALGFSLKPQPSRVSPPLGPQGRSHVLPCETPQPLTSLILHFCFQSNSSSYRTYTSSPTSIFLSRTGDRACFP >Solyc04g074960.3.1 pep chromosome:SL3.0:4:60928987:60930835:1 gene:Solyc04g074960.3 transcript:Solyc04g074960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQILYYLPKIFSKMYGNTMNMANSAATMAGEGTANANQRKLPRFLCLHGFRTSGKILKKQIFDKWPIEVVDKLDLVFVDAPFPSQGKSEVEGIFAPPYYEWYQFNKELNEYENFDNCLDYIQECMIKHGPFDGLLGFSQGAILSGALRGLQDKGVGLTKVRKIKYLIIIGGAKMQIKSLAEKAYSPAITCPSVHFLGEKDFLKPYGMELLESYVDPVVIHHPKGHTIPRFDEKGLESMLSFIEKVQEEISTSEQV >Solyc02g065520.1.1.1 pep chromosome:SL3.0:2:37254789:37256642:1 gene:Solyc02g065520.1 transcript:Solyc02g065520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Lyk10 [Source:UniProtKB/TrEMBL;Acc:G3KGB1] MVVPLVSLFYNFFLFLILSSSNVTAQPSSTDTDFSCSFNSSFSCDTFISYRARPPNHLDVGSISDLLEVSRLSVATATGLASEDTELFPDQLLLVPVKCYCNSSHYFSNVTYQIRKGDSFYSVSIRAFENLTNYHVVQDMNPTLDPTNLTIGAEAVFPLFCKCPTHSDLEKGLQYLVTYVWQPWDDVLPVSNMFGASAADILAANNYRNFTAAICSPVLIPVKLPIILQSYPSSASSRKSKHGWIVITVLGIMGLLAVFSFCLMVYMRHLEEKRRSNLAHNSSTLETSDLFHTKKASEGEIMDHKNIQDKLLPGVSGYIGKPIIYDLKIIMEATVDLSERYRIGGSVYKATMNDQVVAVKKRKQASEELTILQKLHHANLVKLMGVSSDDLGNSFLVYEYAENGSLDECLFPGSSSSSAASVIVTSLDWRQRLHIALDVANALQYLHEHTQPSIVHGDIQTCNILLDSRFKAKIAGFSTARHATNSLMLKVDVFAFGIVLLELLSGKKATESKDYNDETLIMWKEISRILEVEDNREEKFRRWMDPKLSFYPVDDALNLAALATACTSEQSAERPKMTDIVFNLCFLAQSSFEMYGPSWTSGEAEEIVQIVSPVIAR >Solyc07g044870.3.1 pep chromosome:SL3.0:7:58052787:58055367:1 gene:Solyc07g044870.3 transcript:Solyc07g044870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFLARLGVAQAPAAPTGQPGVFDVTKFGATPNGDISKAILDAFKEACASTSPSRVIVPKGTFQMKQVKLEGPCKAPLEFQLQGTLQASPDPKALPEGEWFTVNYVNQFTLSGTGIFDGQGKAAWAQNDCAKTKCAHLPYNLSFNYLNSSTIQDITSKDSKNFHMNVNGCNNFTLNRVTISAPKESINTDGIHVARSKNVNITDCVIGTGDDCISIGDELEQLHITKVTCGPGHGISVGSLGKTPGEKPVVGVYVKNCTFINTDNGVRVKTWPASHQGVVTELHYEDIVVQNVSNPVVIDQVYCPYNQCNKDLPSLVKISKVSIKNVKGTSSTQDAVQLLCSKGVPCEGVEVGDIDIAYNGKEGPAKTSCENVKPTFVGKQNPPVCAGAQTA >Solyc12g005500.2.1 pep chromosome:SL3.0:12:293615:295447:-1 gene:Solyc12g005500.2 transcript:Solyc12g005500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKNVKVPKMPGGGGAASPLIKFGLVIGLGVYGIANSLYNVDGGNRAIVFNRIRGVKDKVYPEGTHFMIPWIDRPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVPDQLPTIYRSLGENYNERVLPSIIHETLKSVVAQYNANQLISPRESKEIRKILTERAANFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKRSAVIRAQGEAKSAQLIGQAIANNPAFITLRKIEAARDIAHTISNSANKAYLSADDLMLNLQDLNLNSTGRK >Solyc10g074930.1.1 pep chromosome:SL3.0:10:58698608:58701563:-1 gene:Solyc10g074930.1 transcript:Solyc10g074930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQLFAPTKTNLQKSFHRQLLLPLSAPDVVPSEQVELDFSDVFGPPPCLASNESINGMSEGMVFPADMSELIYDDPEIVHSRSHSLVGPSSYKSQVLKLGRLTIHEAEDSLELIENVIEQTIKEDQELSANDSAKGGTVCLEDFEVMKVVGQGAFGKVFQVKKKGTSDIYAMKVMRKDKIMEKNHAEYMKAERDILTKIDHPFVVQLRYSFQTKYRLYLVLDFVNGGHLFFQLYKQGLFREDLGRIYAAEIISAVSHLHANGIMHRDLKPENILVDVDGHAMLTDFGLAKEFKEDTRSNSMCGTVEYMSPEIVLGKGHDKAADWWSVGILLFEMLTGKPPFTGNRQKIQQKIVKDKLKMPAFLSSEAHSLLKGLLQKDPTKRLGSGLKGSDEIRDHKWFKPINWKKLEAREMRPSFRPEIAGTHCIANFDKCWTDMSLVDSPISSPKDNSDPFQGYTYVKPAASFLLRSSPLC >Solyc09g007030.3.1 pep chromosome:SL3.0:9:694401:701646:1 gene:Solyc09g007030.3 transcript:Solyc09g007030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTPKSNHQRRGSSSPFLMSQTLWSSTEKTCRSGLIRSNDEKGVNVKVVLRCRPPNEDEMKMKGPLVISCDELKQEVTATLNTTTKQINKSFLFDKVCGPSTQQKDFYDQSVAPLVNEALEGYTCTIFAYGQTGTGKTYTMEGEAIKEKNGEFHKNAGVIPRAVQEIFDILESQKAEYTMKVAYIEIYNEEITDLLSLDEESKPIDEKQRKPLALMEDGKGAVFIRGLEEVTVSTADEIYKILEKGSANKHTAETLLNKQSNRSHSIFSITLHVKECTQEGLELLKCGKLNLVDLAGSENILRSGAKEGRAREAGEINKSLLTLGRVINALVDHSGHVPYRDSKLTRFLRDSLGGKTKTCIIATVSPSIQCLEETLSTLEYANRAKQIKNRPEVNQKLTKSALIKDLYVEMDCLKQELHATREKNGIYIPQDRYLSEEAAHKAIVEKLKFTELDLESKNKKLIELQDLYDNQQQLTADLTEQLQRTRRELKKAEQAFYDLEAQNRRAKEVIQEKDSLVSDLIKSEKEMTNKALEFRAEVENAESEISSLFAKIEKGNSREERNRILVQSYRSKLTQQLEILKRKTANSVSKQEQQLNVILEDMQSFLATKRRATDELKVQLQKLKDNYNSDIQNLAVPARDLHENSQLALSKVNSAISKHSSAFTDVRVDTSFSQHYFLSTLPSLNFASILVQLVGKISADVNAILNGLQGNIRELEVKINAFVRQEQQYQTRRYHEIQVTSEVLLNFFKTLNTCISKLRLMDEKSQSINDQQLCALEEKFEELAASEEHQLIEKVAELILASNTKKKRLVQTAVNDLRECSNIKTRNLNAEFSNIQDCANSAYEEWTNYIESTEAHHIEDSTRLEFWKSSLAGNIYCCLTKSKGIEDGWRNAQESLHSQETRTINSVDSIVKSAMESNGKIGSQFSSTVTSILEETSISKRNLLFVMESLLKLDHDECEKICSLIHPCVEDMKQMKDSHSSEVSEIAENAGKVLTDEYKVDELSSSTLRRKRVNVPSRESIENLRTPFLEESLKSFQGNGIANRANRNVNATKGG >Solyc11g030890.2.1 pep chromosome:SL3.0:11:23580065:23580804:-1 gene:Solyc11g030890.2 transcript:Solyc11g030890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWMAEHRWQSAMWIRCFACRRFSSSRKNCIGVSLGGTSRMTVPRNSTALRADMVIDPAESTKWLKIVGIGRYIFVSLLEARRNPRFLVVSVSIRPLSN >Solyc08g016650.1.1 pep chromosome:SL3.0:8:8343422:8343678:1 gene:Solyc08g016650.1 transcript:Solyc08g016650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLLPFHMSFIKLILWMINILHHRSYKVEMMKFKRNFLLRLNLIDSSIYFEVSP >Solyc11g040067.1.1 pep chromosome:SL3.0:11:40243299:40245032:1 gene:Solyc11g040067.1 transcript:Solyc11g040067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TYCLLVSAPISKALLLYGEVGAGEIALSPLFSGGSLQYSPGVIELRNIYCTRTHLLFQDCPEYRHSWLLLVPTLPIQVTLECKLVIEHDEDASLDAIVDMLNASNLNL >Solyc05g011920.3.1 pep chromosome:SL3.0:5:5153709:5156805:1 gene:Solyc05g011920.3 transcript:Solyc05g011920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATMSYSQNIMDDEYEKFIRRMNPPRVVIDNESCKNATVIQVDSANKHGILLEVVQILTDLNFIITKAYICSDGGWFMDVFNVTNQEGNKITEEPILDYIMKSLGPDSCFASSMRRSVGVTTGMDHTSIELIGSDRPGLLSEVSAVLTNLRCNVVNAEVWTHNTRAAAIMQVTDDETGGAIADAEKLSMIKKLLCNVLRGSNKSRDAKTLLSHGVTHTDRRLHQLMFADRDYERATGDGSDDKERPNVNVVNWQDKDYSVVTIRCKDRPKLLFDTICTLTDMQYVVFHGNVDTEGPEAYQEYCIRHIDGSPVKSDAERQRVIQCLEAAIERRVSEGLKLELCTTDRVGLLSNVTRIFRENSLTVTRAEVSTRAGKALNTFYVRDSSGYPVDTKIIESVRQTIGQTILRVKGSPEELNPVQQESPTRFLFGGLFKSRSFCNFGSVRSYS >Solyc10g076420.2.1 pep chromosome:SL3.0:10:59498874:59503064:1 gene:Solyc10g076420.2 transcript:Solyc10g076420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQILNKVGSYWIGKRANKEINSVGDDINSLQSSIEGGTKWLVNKLKGKMQKPLPDLLKEYDVPVGIFPRDATNYEFNEETRKLTVFIPSVCEVGYKDSSVLRFSTAVTGYLEKGKLVEIEGMKTKVMVWVKVTAISSEKSKVHFTAGLKKTRSREAYEVLRDGVAIEKF >Solyc02g090110.3.1 pep chromosome:SL3.0:2:52367015:52373159:-1 gene:Solyc02g090110.3 transcript:Solyc02g090110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFHHIIIIRFVFSILLGWLSSSVEATNSTKCNQYCGAAGSYSPRVSYPFGFSEGCGIRLDCTESTGEIRIGEYIIQNVTSETLMVNFSMNCSRPIEDLQQFDRTNFGMTWRNGLLLHNCKVPKSECTILSEILSTRLNIQSCDSKKENVSCYSEARADYLDYKKLKNTGCGTVISSILIGMDNDTMKSSAMFIEFQTMELAWGLEGDCACHNDANCTNVSLPGNRKGFRCRCKDGFVGDGFSDGDGCRKVSRCNPSRYLSGRCGGTTRIGVLVGGIIAGAGLMAALAVLCYCIRRRSASLKKRMSARRLLSEAAGSNSVHVFQYKEIERATNSFSEKQRLGIGAYGTVYAGKLHSDEWVAIKKLRHRDPDGVEQVMNEVKLLSSVSHPNLVRLLGCCIENGEQILVYEFMPNGTLAQHLQRERSSGLPWTIRLTIATETAHAIAHLHSAMNPPIYHRDIKSSNILLDYNFNSKVADFGLSRFGMTDDSHISTAPQGTPGYVDPQYHQNYHLSDKSDVYSFGVVLVEIITAMKVVDFSRSHSEINLAALAIDRIGKGRVDEIIDPFLEPHRDAWTLSSVHRVAELAFRCLAFHRDMRPSMTEVADELEQIRLSSWASLEDNVCMTSSVNSSCSSPRSMSETSFRSTTTKKGVGSRRLIVPQKIANSLAIMEEIKDSSPVSVQDPWLSEESPPSTNRLLGNSGR >Solyc09g066120.3.1 pep chromosome:SL3.0:9:64752176:64761316:1 gene:Solyc09g066120.3 transcript:Solyc09g066120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENFEQPEMGNLHDTENLDNMNNVQDNDNVNGKDNVHDANHVHDADHVHDADDVHNMNNIHDSEAIPALINAPEETHHSEEDKVTPTDAVAGEEKKWPGWPGQNVFRMLVPIQKVGGVIGRKGEYIKKTCEETKARIKVLDGPPGTTERAVMISAKEEPSLLIPPAMDGLLKVHKQIVDVDSDSANAPSGAGRSVTTRLLVAASQAGNLIGKQGSTIKSIQDTSQCTIRVVGQEHLPLFALPDDNVVEIQGEPAGVHKAVEMVASHLRKFLVDHSVVGFFEMQMQMPNARSNQNRAPAGPTQSWGPPPSSFPGSAEGGFGFGPNTQYMPPARQFDNYFPPVDMAPLEKKPRQGPSPYSRDASMGTYGTNAQTQQSMVTKVTQNMQIPLSYADAVIGTSGSNISYIRRASGASIAIQETRDVPGEMTVEITGSASQVQTAQQLIQNSVADAASSMHNTAAGPPSQGYNPHSQGPLYPSLSGGPGHPSGADYGSMYGSSYGY >Solyc09g006000.3.1 pep chromosome:SL3.0:9:679042:681537:-1 gene:Solyc09g006000.3 transcript:Solyc09g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHSLSTVALPRFATVITSAKKPRQRPNLKTQSGKQKTTTTTITPGVPAATVMKTTSGFNSRNKEPNWQCIQNCGACCKLEKGPNFPTAEEIFDDPSDIELFNSLVGSDGWCIHFDKSTRKCSIYADRPYFCRVEPAIFETLYGIETKKFNKEACSCCIDTIKAVYGSTSKELENFNAAIWSST >Solyc05g014860.2.1 pep chromosome:SL3.0:5:9061586:9062099:-1 gene:Solyc05g014860.2 transcript:Solyc05g014860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEGKNLGSNAKRRSRSSKAGVKFPVDRIARFLKVRKYAKRVAAGAPLFLAAVLEYIAIETRITPRHIQLAIRFDKELYQFLKDVTIPNGGVIQKIHKILLPNNNSNTSKVVVAAQEEEN >Solyc02g092180.1.1.1 pep chromosome:SL3.0:2:53990394:53990774:1 gene:Solyc02g092180.1 transcript:Solyc02g092180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTKANKVSRIKKCMKAPIRILIKARDCYVRSLTNCSGRMGYGGAIGSCPAFTQISSSSRSFSANSSASSGGDDFRELIRISSRKNHVELENVRQISLDRKGMNVVPRTRYVAIGRIDEEEPCDFG >Solyc10g050518.1.1 pep chromosome:SL3.0:10:49874287:49875087:1 gene:Solyc10g050518.1 transcript:Solyc10g050518.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDIAFVVQSIYALPKNFHMEATLRVVRYIKGTARLGSFMPSNNMSELVAYCDSDKGACIESRKSVIGYIVKLGSALVSWKAKKQNTVSRSSAEAEFRSMATTVAEIIWLKGLFTELGVEIE >Solyc03g071570.3.1 pep chromosome:SL3.0:3:20327989:20334298:-1 gene:Solyc03g071570.3 transcript:Solyc03g071570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BHI7] MSSQSLFYRAKMPPATPILLFIYLILSFFSPLIDSQLNLTLPNQHPYPESVVHQLHRRVNESRLLFQTIVRDSKCHSTGNPIDDCWQCDPNWAKDRQRLADCSIGFGQSAMGGKGGQIYVVRDSSDRDTVNPIPGTLRHAVVQEEPLWIVFAADMVIKLKHELIINNYKTIDGRGANVHITGNGCITLQYVSHVIIHNVHIYNCVPSGNTNIRSSPTHVGWRGKSDGDGISIFGSHNIWIDHCALSHCTDGLIDAIMGSTAITISNNYFSHHDDVMLLGHDDKYLPDSGMQVTIAFNHFGEGLVQRMPRIRRGYVHVVNNDFTHWQMYAIGGSANPTINSQGNRYTAPDDPNLKEVTKREDTDNGQWDEWNWRTDGDTMVNGAFFVPSGQGLSNQYTKAYSVDPKSALLINQLTANAGVLGGPRDNSISILPQVGGGTSEGSGRGHDQSNSGSVDLFGMIFSGTGASAAAPPTTTPILFSFFILLTLYIITITTQLSKPFLHLL >Solyc02g020950.2.1.1 pep chromosome:SL3.0:2:21062150:21062248:1 gene:Solyc02g020950.2 transcript:Solyc02g020950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYRTHSSAKQRVCVLQGSFDDVLWLHGSFNA >Solyc04g015380.1.1 pep chromosome:SL3.0:4:5584317:5586335:-1 gene:Solyc04g015380.1 transcript:Solyc04g015380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEAVSVVDKLKSFAKSTQDCASVVFRNRKVSNRGNPNEILERLQREAFSDIMKLRDRQEKLERVLAFFKSSKGSPVQETSTHVRGEFDAVGALLMIGTIDGTKCRAIERAIRTGIDSRLTFETTIREKYTLVAEFVGSERGQKSILGSPLSLAKVLYAAHISDWCSAVAIPVGGRCRDVAVPTSSREERGLTDYSSFGPPLLNQLNGSGISVMVKKSNIAACLAQFFSALPPQPGRLLYCFGTFGQVVCQLPSNTKLSVLGIHKMANQTRQQPRLAAMSLPFSFLQQSGYPHVIIVEESVLDGYLAMTLESEVYQSTKIGGWVEMKTSNPKHLQWAVTMSDTSKDDFGWGLSLGGLLGGPRNYDRFQVESFLNFEVGKKCKLQPGLLYVIDGATQFPALMFRTSWSL >Solyc03g093140.3.1 pep chromosome:SL3.0:3:55746511:55749439:-1 gene:Solyc03g093140.3 transcript:Solyc03g093140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLPEPIMDETYSKPPGIRVMERTKKANLSFKTYQGIVLIVTFLAYTSYHATRKTTSIVKSALDPQSPDVGLKFPWQRRNTTYDQNQSSKLSWMLKDGWVPFNGPDGTAMLGELDVSFLFVYAMGMYFSGHVGDRMDLRIFLTIGMVGTGVFTALFGVGYWANVHIFYYYLIVQMMAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNIAGSVVASLLLKYGWGWSMVVPGTLIAVVGVVVFLLLPVNPESVGANKDEDEVFSPRKEGEEVTEPFLRSDGEEESAVGFIEAWKIPGVAPFAFCLFFAKLVAYTFLYWLPFYISHTAIEGRYLSNEEAGNLSTLFDVGGVVGGILAGYISDRLDARAITAASFMYCAIPVLYFYRSYGHVSMTINIILMLITGVFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSIGAAIGPLLTGYISTNSWSGVFTMLMGSAFIAGLFLTRLVVAEVGAKIQELGSQGSSPTSRSPDFLV >Solyc12g098380.2.1 pep chromosome:SL3.0:12:66885858:66892925:1 gene:Solyc12g098380.2 transcript:Solyc12g098380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCEGRYMWSLSGIVGAFVDLAIAYFLLCAATVAFIASKFLDFFGLRLPCPGDGLLFGTVPNRNLCFHRLLVDFPAEKVSNVQLSIRANFPFTDTILGKDQNCDLNLRLIGQEKGNSPHGYLEMGDEASCSSVSDARKSHNIAMIELSPRNEFGQKGKGVMNQRQRGGVRRRRRKTAVDYGRSSSVSSYDPQYEDFPLGPPSPPSTNKEDGGHPALVMRLGQRDSFELTGSSDEIEHIEKNVASIEELRHNGEPVSSFHEGNRIRLLERALEHEREARDALCIELEKERNAAASAADEAMAMILRLQEEKAAIEMDARQYQRLIEEKSAFEAEEMNILMEILMRTEREKHFLEKELEVYRQMTYLGNEEPTGDSGNVVDALRRHVASPDLNEDPLLMLHQISASFNKRTVAENKNSEEVSSLDKQNYIALAGEAPIQRQNKDVNSQKQVDLTEHSCSQEYQEKEMVFMVNHSDVAPGNGKILDTSLKPCETGLSEQKLPDQAIPLEGEVLKENPDMETSDRACIDVSRKDKCLMYHETVGYQGSKCPCNLTSVKEPRVHDVHVIVDGSNFCNDVSNGESRKSALEFCGKTSHPVEASPTQDVIRDRPSTSTLYTQVDLKISSDTTGGLPPVGSRGKPLLRDSRGNSVCSVDNERLKIEMEVERLRERLKIVQEGREKLDLTAEHREREKMQLKLLEDIAHQLQEIRQLGEPEKAVRQASLPLPFSKYMLIFILTLSLYCRRNVMYK >Solyc06g036000.3.1 pep chromosome:SL3.0:6:25442514:25464822:1 gene:Solyc06g036000.3 transcript:Solyc06g036000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPHGIKLAVYLISSYFGELNAKVCDCLLRRGTQTLHQVVSSTELSRKNVKNCLLVLIHHNCVQAFAIRQPGGFGEEAKIITQYMALFDNIIHKLRFPKFIEIVSEELGKECEEILEWLLQHGRLSLSQIIVKYKQASKQVGMTCHFPGDSSADAAQDSFNRLVNARFVERCPAPDPLLEPPAEEETAAKKRGAKSAKIQAQTMEQQALAAAAPMESMRFLVENIWNDSVEKADKRTTDVKCGMKRKQEDLESNTKDPATGEKEVLWRANFEEFIRRLRHKACVANVKVRVNNDAAIVLAATLELSRHSETKLRIDNSVPQSINAIYDEVIKREGGLGMDLERIRASLDDLGCEAPLMEIDETYSVDLKNIIEQARIEEVESIVLKRYGREAYRMFRLLSKADRLVETDKISDTTFVEKKDAVKILFKLWKDDYLEMKKVIARAPKQSEFILWKINKQSLWEHILDEMYHAALNLRLRTTHEKEQEKEILQMPKDKLVGELGNKYMRLRKVCIVLESSLMNLDDSLMLFHDF >Solyc03g116740.3.1 pep chromosome:SL3.0:3:67508964:67512066:-1 gene:Solyc03g116740.3 transcript:Solyc03g116740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGDTFHSRNSSSSTLSKRNTTERKVDVTEMKKKSASATGTEVGGYGWWLGNAYFMKWRMEDVFGVVKYHPIPCIFAASLLFFMGVEYTLHMIPASAPPFDLGFIVTVPLNRLLAAKPALNTLFAGLNTVFVAMQTAYILGTFLIEGRPRATISALFMFTFRGILGYATQLPLPEDFLGSGVDFPVGNVSFFLFYSGHVAASVIASLDMKRMQRWEMARVFDALNVLQVVRLLSTRGHYTIDLAVGIGAGILFDSMAGNYVETRTKLSATNGIGVEYSPKHENGVKYQSVSSD >Solyc01g065580.3.1 pep chromosome:SL3.0:1:71743941:71750781:-1 gene:Solyc01g065580.3 transcript:Solyc01g065580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKPDDSKKRKHVPAAGGKSAPKKLKSSTDKVLKKPHKLTKQLGDKKQQPKPHVPVESKRERRIQAKELAEARKKKRKKHYTLEQELASLWEKMRTRNIAKEERSRLVSDALKKMKGKIPEIASSHTCVKHCTQDERNAVFVEIRPHFITLATNTYAVHLVTKMLDNASKEQQAQFISTLHGHVATLLRHMVGSLGKMTHPIAVNLVIINFYPQNTSFTIAVVEHAYQLGNAAQKQTLLMELYSPELQLFKDLISAKEARLVDVISNLQLQKGSVVRHMTSVLQPIMEKGILDHSIIHRALVEYLTIADQTSAAEIIQQLSSPDLVRMIHTKDGSKIGIFCIKHGSAKERKKIIKGMKDKVGKVARDKCGALPSVLNNFQVLVSILSIVDDTKLLSKVIIRELEGILKELLSDQNGRRPLLQLLHPNSSRHFSPDELAALGSSVPSLVTKSPLEVNDAETSVLGEAGKEDADITKSSHVNEGGKKDPFTRRQELLVHSGLAEKLIDACCEMAEELLRSNFGKDVIYEVAMGGADSILSPTLDGKLETLHGVIASLAAHPKKEGSDEQHLFEHFHSSRTIRKLILDSPSFACTLYEKALKGKCSIWAQGHSAKVISALLETSSSMVHKLVEKETQPLVDDGILKLAK >Solyc01g098130.2.1.1 pep chromosome:SL3.0:1:88597304:88597953:-1 gene:Solyc01g098130.2 transcript:Solyc01g098130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHKLFSHSYAITNTQVNCTITLAITYFSAFIQTENNTTLTKKKEKIYMICLIIIAAETIHQSQANVKFLNILALLSMEQMHHCTFSQLPCYQLFLRTSSELVTLVLSEHTFSWPHCY >Solyc09g074180.3.1 pep chromosome:SL3.0:9:66363114:66364582:-1 gene:Solyc09g074180.3 transcript:Solyc09g074180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSNVNGAARMDPLSTTSSNMQLFACKNRPCSWVRLSLWLRDDDTQQLEDKPEESEPSMLLPSPDPVGFGHFPVPLFNPRRPWSLSIV >Solyc12g015655.1.1 pep chromosome:SL3.0:12:5651700:5652271:-1 gene:Solyc12g015655.1 transcript:Solyc12g015655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQKIVIRVHHKCKKCQSKALMIAAMSTGVNSVALEGEKKDKVVIIGEAVDAAGITSLLRKKVGHASLELVDEVK >Solyc09g064744.1.1 pep chromosome:SL3.0:9:62331906:62333541:1 gene:Solyc09g064744.1 transcript:Solyc09g064744.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKLKSVSTPLAPHFKLSDAMSPNNEAEQEYMSRVPYANSIGSLMYAMVCTRQDISHAVGVYIHNTVDVGLVFEKKDSQYLVGYCDSDYAGDLDKRRSTSGYVFTIANAPVSWKSTLQSTVALSTTEAEYMAITEASKEEIWLQGLLRELVEIFDGSGHFGMWQGEVLDGLF >Solyc05g015515.1.1 pep chromosome:SL3.0:5:10818862:10819142:1 gene:Solyc05g015515.1 transcript:Solyc05g015515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQQQRDRPESSIHHYAKFNLIASTNTSARRFSTESSSAVVCGEKLRKTEATLSKVIDLNCWGPSTVRF >Solyc04g026310.1.1.1 pep chromosome:SL3.0:4:17097688:17097888:-1 gene:Solyc04g026310.1 transcript:Solyc04g026310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWARVSRKQKIYILVLSSPIGLKLREILDNVCYPELFLSFMNDKERKKLDQKKVSIWSFINNLFV >Solyc11g039803.1.1 pep chromosome:SL3.0:11:42507844:42508540:1 gene:Solyc11g039803.1 transcript:Solyc11g039803.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSIRETNNLKTRLSVVFEMKDLGPAKQILGMKISRDRSAGTLNLSQELYIEKMLRRFRVNDAKPRTTPLANHFKLSKEQSPKDSVGSLMYSMVCNRPDIAHAVGVVSSTSLCFGKGNVTLQGFVDADLGGDVDSSKSTSRYIYTIGGTVVSWISRLQKCVSLSSTEVEYVAIVEPGKEMIWLADYLD >Solyc10g055670.2.1 pep chromosome:SL3.0:10:57122220:57132754:1 gene:Solyc10g055670.2 transcript:Solyc10g055670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKAKKNIENMEEGTLEVGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGTTRRGQVLEVDGEKAVVQVFEGTSGIDNKYTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYRDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSENLLEDSEADNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRRDHADVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVSQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQYYSRDAPN >Solyc06g018003.1.1 pep chromosome:SL3.0:6:15986370:15996613:1 gene:Solyc06g018003.1 transcript:Solyc06g018003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KHNVDQDNGGSAVDANEQTDKVDQQSISPNHMDCSKEQHMEDAIEDIHSPQHSHVLIEEVALNNENDYTTGEASHSDTKILNADEHDVDTLQHNIEKHTTSLFHVDTSTEVENTVQPLCLMSHGEIIESAFWLSDSQLPIQLPVKKSSLPPDTETPAPRHRMPSRIIQSPYLTDFGSNDKGKAKIDDYVLLLYPFEFCSILEQLPLEPVKEGRHNG >Solyc07g007210.3.1 pep chromosome:SL3.0:7:1950280:1956963:1 gene:Solyc07g007210.3 transcript:Solyc07g007210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSLYDEFGNYIGPEIESDQESDREEEDDELPDRSDDERAVSDDEQPGASNGWLATQDDIDMDNQVVLAEDKKYYPTAEEVYGEEVETLVMDEDEQPLEMPIIKPVKNLKFELGVKDSSTYVSTQFLLGLMSNPALVRNVALVGHIHHGKTLFMDMLVEQTHHISTFDQNSEKHMRYTDTRIDEQERRISIKSVPMSLVLEDSNSKSYLCNIMDAPGHVNFSDEMTAALRLADGAVLIVDAVEGVMVNTERAIRHAILERIPIVLVINKVDRLITELKLPPKDAYFKLKHIVETVNSQITAASSTAGNAQVIDPALGNVCFASATAGWSFTLQSFAKLYVKLHGIAFDANKFASRLWGDFYFDPDTRSFKKKPPASGVERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAELGVTLSNAAYRLNVRPLLRLACSAVFGTATGFTDMLVHHIPSAKAAAARKVEHIYTGPKDSAIYKAMENCDSAGPLMVNVTKLYPKPDCSVFDAFGRVYSGEIMTGQTVRVLGEGYSPDDEEDMTVKEVTKLWVYQARYRIPISKAPPGAWVLIEGVDASIMKTATLCNLEFDEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLERGLAEDIENGVVSIDWPRKKLGEFFQTKYDWDLLAARSIWAFGPDKQGPNILLDDTLSSEVDKSLLNAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDAKIAPEPLHRGTGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPMDCLSAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFCLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMMVELAQQDADLHLQMM >Solyc09g008630.3.1 pep chromosome:SL3.0:9:2092655:2098967:-1 gene:Solyc09g008630.3 transcript:Solyc09g008630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGKKGRELVQEFAESEPGQLAAFNTDLVTQVLEECNSHFLHLNSLVRKMNAKAPTESSSANRPTQNDAVSNNESPDDNNPPETDRSSNPELPKDDNYHGLLIHHQSLTRNKRCLMAYVYNRAEVVRRLGWTLERVLPEEIEEKLSTSEKEYFKNHAATVQSYMSALDLDLGVDMVPPKDPYIKVRVLDDIGNVVLSDQLANLARHAILFIRRTDAEQYISQGLMEELMS >Solyc01g099670.3.1 pep chromosome:SL3.0:1:89720012:89722271:-1 gene:Solyc01g099670.3 transcript:Solyc01g099670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIISEKNRREISKYLFQEGVCYAKKDYNLAKHPLIDVPNLQVIKLMQSFKSKEYVRETFAWMHYYWYLTNDGIEFLRTYLNLPSEIVPATLKKSAKPLGRPMGGPPGDRPRGPPRFEGDRPRFGDRDGYRAGPRGPPGEFGGEKGGAPADYQPAFRGSGGRPGFGRGSGGFGGGAPTSSSFS >Solyc09g015210.1.1.1 pep chromosome:SL3.0:9:8222618:8223259:1 gene:Solyc09g015210.1 transcript:Solyc09g015210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPLFKSQAYLSLGNLQSFNFISKDSYKSGVNTENNTPWILFTPLLVVTNTTNMLIAIGCDTYVIVQGYYSKFESMMTMHYSYITGCTSMCNSLDDADSNTCSGVGCCQTSIPNGAWNVTITLSSYYNNTYVNDNPCCSYTFVVKDTNPYANFSKNNLENLKNMDKLPLVLDWVIGKGTCELAKRNSTAYGCKSKNSYCYNVKWILMFLQASI >Solyc01g112010.3.1 pep chromosome:SL3.0:1:98051055:98053866:-1 gene:Solyc01g112010.3 transcript:Solyc01g112010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLACLAYSCFCKRAGGFRGQAFSEGWVEFTKKSVAKRVANMLNGQQMGGRKRYYDIWNVKYLSKIKWDDVTDEIAQRHAVREQKLALELSAAKRERDFYLTQVDKSRALSSIEERMKKKQKVQQESGVISDFPSDQFAPKVIRQFPQKKPVADQTGKIKPSLSKDILAGVFGGQMTRAYGCKRFRNFACQFSQPYI >Solyc01g112020.3.1 pep chromosome:SL3.0:1:98058452:98064835:-1 gene:Solyc01g112020.3 transcript:Solyc01g112020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLRTLSAPKNLLIRRIGHRYFAATPEEYANRNYANNESEYTTVINSITAQRKNFLLRDVYDDMLLDGIKPERDTFHSLLIGTMKGARLQDAFFFREEMKAMGFVPDVSLYNFLISTCGKCKNSEQAISILEEMKRFEVKPTGQTYICLLNAFAMTGRVDRVYAIVRDMTAAGLGLNKFCYAGLIAAHKNKEPVTDDVASKIIELVEQSKGWSAVEAPGDIPIRSMMGISQEELYNIPTAEYVYRRGFLDKELTVYHVAFHACADLKSVESIDALHEMLIKDGKTPDVFILMQTMRCYLQSGDLQRGRKIFEDYMSSGKPPMIEFYMTLVEGAMVGYTPEGMQLAQETLVNMSSRNSFLDPKMGSDLLLVAAGEKTGGYTVANLIWDMMQARKMTPSFPGVQAYYDGLKVREIPADDPRLKLVSQTYINLRKRFGG >Solyc04g054140.3.1 pep chromosome:SL3.0:4:51725634:51738104:-1 gene:Solyc04g054140.3 transcript:Solyc04g054140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGLRIGIGGLSSGLAVVLNGEDRKESSQKTHLVSYCDGFGDQSVERTLEHIFDLPYKCIKSLSCSINTEVVRLVIKNEFLKYHINQKTVTDRKREGVLTAGGDGCKHQFIQLEESSICGDIRIVKQPLIMESHSLFSSARANACVWKGKWMYEVTLETSGIQQLGWATLSCPFTDHKGVGDADDSYAYDGKRVSKWNKEAQDYGQPWVVGDVIGCCIDLDGDEISFYRNGVSLGVAFIGIRKMVPGLGYYPAISLSQGERCELNFGEIPFRYPVKGFLPIQPPPTRSSIATDLLNCFRRLIEMQRVGRAEFSSVEKLRRLKRFVSFEKLSHPVSRGICEELFSTLAAEDGSTKYISCGPLLSLIMEVFRMHPPHDYMSLDSILDSLLEFPESRILFEHIISSLSTLCKTAPLSLTENCPYSGSYIYLALACHILRREEVMTLWWRSSDFDLLFEGFLSRKSPNKQDLQGLMPSIWWSGSCEDVSNEASLLLTTGALSEIINKVEEKQRDLCRLVMQFMPPTSPPQLPGSVFKTFLQNILLKNRGADRDSPPPGVSSNSVLVSLFGIVLHFLSEGFGDICDWMKDSGTSDVGFLHRGGQQAFPVGLFLKNDPHRVDIPRLGGSYNHLAKSHPISIEQHEEVIRWEEGCMDNVKDRVTHLSKQKPCCCSTYDADFTRISKDSIRHMGKGSRGHCSSIRERSAHVAAECSTSSLNDDIADKPSTSDQSDSEFGFLPMQQMRYVPRENNVSSATLKEEELLDTMLLLYHLGLAPNFKQASLYMNRQSQSISLLEETDKQIRENVNREHVKSLKEVRGVYREEVMDCVRHCAWYRISLFSRWKQRGMYAACMWIVQLLLILSKDDSVFLYIPEYYLETLVDCFHVLRKSDPPFVPATIFLKQGLTSFVTFVVTHFNDPRISSVELRDLLLQSIYILVQYKEFLSELECNEAAMQRMPKALLSTFDSRSWIPVTNILLRLCKGSGFGSSKRGESSSSSSVIYQKLLREVCLHDEELFSTFLNHLFNTLSWAMTEFSVSVREMQESYKVVSWFFGVPVLNILTEGDFGYCLAKVLEFQQRKCSVIFDLSCNLARILEFCTHEIPQAFISGADTNLRRLTEVIVFILNHLISAADQELFDLFVRRPGQPPEKPNRGMILAPLAGIILNLLEASGESDTRDNDMVGIFASMDCPDTVVSGFQYLLEYNWASLFRGDDYLEKIRQLEIFSGLLICRSEVVEVERIAYGGETDYDDSICCICYTSQANAQFVPCSHVSCFGCISRHLLNGERCFFCNATVLEVIKTDANADQGHFSNLEE >Solyc08g075353.1.1 pep chromosome:SL3.0:8:59601392:59602466:-1 gene:Solyc08g075353.1 transcript:Solyc08g075353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMISFKLTLVFTLLLLSRGYAPSVTPCKETVNCNIRCRDNETPICAHGTCYCQRCNLFPPPDFLSSDQSFVNQKCTLYPQDPSCKK >Solyc03g118360.2.1 pep chromosome:SL3.0:3:68746490:68747356:-1 gene:Solyc03g118360.2 transcript:Solyc03g118360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARALVHSINIPSSVAANGRDEQFNGSQKNQTSFRMICDVKFPSFKLNSSVGLRACNALDAKSAETFVKRSRIVPKAMSDKAVIGQNEAMRLGHNLIGTEQILLGLISEGTGIVAKVLKSMGINLRDDARIEVEKIIGMAARVLENLGADDLNNIYNQVLRMVGKSNVGVAASVGGGTPGPNIFFLIWLILNKVFKC >Solyc12g089065.1.1 pep chromosome:SL3.0:12:65182238:65184096:-1 gene:Solyc12g089065.1 transcript:Solyc12g089065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSLSVKLDRERSLESKAYNADDDLMFIKRSRGRWALSEP >Solyc08g075840.3.1 pep chromosome:SL3.0:8:60043930:60048159:1 gene:Solyc08g075840.3 transcript:Solyc08g075840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A subunit [Source:UniProtKB/TrEMBL;Acc:K4CMV1] MPPINLTEGAVEMLSIGEGHPEEFKPVLQITDVRLVNTQNQTNNNERYRLLLSDGTHIQQGMLATQKNDLIRSQQIRKGTIIQMNEFVRNVIQNRVIIIIIELDILVDTCDQIGDPKHYVRTDGSAPSVPRPAAPLQPSTNQLGGVSVNPQSFASLSATSGSTPRPNMSGGMQSPEMNRSSVYNTTSVGNTDSGRYSSGAPLYPRAESGPAISRAPMNYVRPPQPSYQQPPQSSYQQPSPMYSNRGPIAKNEAPPRIVPIAALNPYQGRWTIKARVTAKTELRHYNNQRGDGKVFSFDLLDSDGGEIRVTCFNSVADQFYDQIEPGRVYQISKGSLKPAQKNYNHLPNDHEIMLESTSVVQPCFEDDRAIPQQQFHFRPISDIEATENNNVIDVIGVVSSISPSSSIMRKNGTETQKRVLQLKDMSGRSIEVTLWGNFCNAEGQTLQSLCDSGSFPVLAVKAGRVNDFNGKSIGTISTSKLFIEPDFPEALKTKAWFEREGKNMPSMSLSREVSSVGRTDVRKTISQIKDEKLGTSEKPDWITISATVTFIKVDNFCYTACPLMIGDRQCNKKVTNNGDGKWRCDRCDQTVDECEYRYILQFQIQDHTGLTWVTAFQECGDQIMGASAKELYFLKYEEQDDDRFAEIMRNVLFNQFIFKLKVKEEMYSDEQRVKSTVVKAEMLNFQQETRFLFDLINKINGQESSTLTPKTGDATPNSGFNNAGFGNKLKEPMNPVASYGGSHISRDSGLQGNRQGPYGNQLIGSQFAPSGSTAGSISRESGLQGNRQGQYGNQPSGTQNAPTGSSAGMYMSCNSCGGTGHSASNCPSMMSGQSQAYGSGFGNRATSGMSSGGGGGECYKCHQYGHWARDCPGVSNAPAANNMTSGRYGNTPRQHVGGF >Solyc05g012915.1.1 pep chromosome:SL3.0:5:6042828:6044554:1 gene:Solyc05g012915.1 transcript:Solyc05g012915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFSFYLIIFKASSDHIYFSVNRDAGSDPTQFFPPKCNTSRRLSLHSQCDDIAKWCLCFSNLKSFQFRESRRTAFSLIDHASVILKRFKFLRVLDFEFTVIDSFPQELSLLRYVTFRTDNDTLSLPANLWNLETLIVQGTRGRISLPETIGKMIKLRHLQINDQAFFSMQNEQEFLVSPSKMEDLQTLSSVYFSCAGSADKILAKTPNLQRLTCEVSAFDDSFTAFNNLAMLEILKISSGAALTSVDKLKLPSHLKKLTLSNFYINLNEVTTLSTLEVLKLLGVTICSNTWKVKDEQFSKLKFLKLENLSFSEWDVSDDAFPYLEHLVLIRCPYLEVIPSCFGYMSSLKSIEVKSCKESLADSAMVIKEMQVEDMGFSDFEVIIHRTDQQCSNTRSGITYQDFYQLMPLEDQETYI >Solyc04g006987.1.1 pep chromosome:SL3.0:4:700411:701665:1 gene:Solyc04g006987.1 transcript:Solyc04g006987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLIFVLVIVTLFFCSHEVETNANQYDCYEAHPEIPCDGYKATPKCVSLCKRKLGSKANATCIAYSQGFICACRYPC >Solyc12g096520.2.1 pep chromosome:SL3.0:12:66380121:66394815:-1 gene:Solyc12g096520.2 transcript:Solyc12g096520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKLQIYSIQTLCLRKKKYKFSLLIFLCSIIFFSKTSCSTLSFNFYGLSCPSAELMVKNTVRSASSMDPTIPGKLLRLLFHDCFVEGCDASILLEGNGTERSDPANKSLGGFSVIENAKRVLEIFCPFTVSCADIVALAARDAVEFAGGPNVQIPTGRKDGRISLITNVRPNIVDTSFTMDQMINIFTIKGLSLDDLVILSGAHTIGSAHCNAFSDRFRVDTNGNFTLIDPSLDKAYAIELTKQCPAGAATSTITVKNDPQTPQLFDNQYFKDLIQHKGLFQSDSVLFNDVRTKKRVVEFANDQDGFFRSWSQSFVRLSVLGVKSGEDGETDFSRVGSQSFVRLSMLGVKSGEDEEVGGIPWIMVIKRCNFFAKDIGFSEPITRTLAMSLICVVGARWENSSYVLGMCCWWEGTGHFENYILTDLFHIPSYPEIPYIVVLRLLSFQNGKFSYGYSSSPGKRSSMEDFYETRIDGVDGEVVGLFGVFDGHGGARAAEYVKHNLFSNLIRHPKFISDTKSAIADAYNHTDSEFLKSENNQHKDAGSTASTAILVGDRLLVANVGDSRAVICRGGTAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDGSLEFLILASDGLWDVVTNEEAVSMVKPIQDPEDAAKRLMQEAYQRGSADNITIVVVRFLGNQEGSSRGTSA >Solyc01g098470.2.1.1 pep chromosome:SL3.0:1:88859022:88859486:-1 gene:Solyc01g098470.2 transcript:Solyc01g098470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVVMLQVANPFLSIHSYMMGVMLRVATFAVKYKGQPFKCSIPEIKLRICSFGCHGLHNYHL >Solyc09g007280.3.1 pep chromosome:SL3.0:9:871716:882550:1 gene:Solyc09g007280.3 transcript:Solyc09g007280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISGNIANISVANETRTQYMALRYFPADNRKYCYTLDVIPRNRYLVRATFLYGNFDKDNVYPKFDISLGATHWATIVISDANTMEYQEVIFLAKEPSVSVCLSNATTGLPFISTLELRHFNGSIYMTECENDFFMSVSARINFGAASDDPVRYPDDPFDRIWASDTLKKANYLVDVAAGTERVSTKMPIDVNTLNGEMPPQKAMQTAVVGRNGSLTYRLNLDGFPGFGWAFTYFAEIEDLKPGDSRKFRLLLPGAPDISKVAVNIQENAHGKYRLYEPGYFNLSLPFVLSFRFGKTSDSTMGPLLNAMEVNRYVKRTDGSLDGPVISSLVSHYSSANLINEGGDPCLPVPWSWIRCDSDIRPRITSIKLSGKNLTGNLPSELTKLSSLVELWLDGNSLTGPIPDFSGCPNLQIIHLENNQLSGHLPSTLEDLSNLKELYTGNFNLHEGETNRSRKKIIIGSSVGASALLLATIASCILLQKGKKSPPKQGHLEMNLPPQRFVSSLGDAATEAAHCFSLAELEEATKNFERKVGSGGFGVVYYGKLKDGKEIAVKLLTNNSFQGKREFSNEVALLSRIHHRNLVQFLGFCQEDGKSILVYEFMHNGTLKEHLYGPQSPDRRINWIRRLEIAEDSAKGIEYLHTGCVPSIIHRDVKTSNILLDKNTRAKVSDFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELISGQEAISNENFGHNCRNIVQWAKLHIESGDVQGIIDPALHNDYDIQSIWKIAEKALMCVQPHGNMRPSISEVIKEIQDAIAIERGAEAVKEGSSDDISRHSMHSSLHGGSMDLGASDHYLSIDESITRPAAR >Solyc10g085690.2.1 pep chromosome:SL3.0:10:64910092:64915899:1 gene:Solyc10g085690.2 transcript:Solyc10g085690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCNCFSPTTFFPHSSSFSFGFTTSKLTHKSPPGFGSTPYSYRNLALGKPKRCIPKLSNSDGYGFFDDLWLKEEGETEIPVIEASRIEKQAEIPSPRKGIDDRGVGFLELGREAEETVKEKFELEKGIVPRKKQMVKRSNLIAKQVISIQSALSLGFVSQLWVDINSWIVFLVEVRPNLLSGEGEKFLLEDVKQVGDVVLVGDESVMENEFKLIGLQTLVGYNVVTPRQRNVGKVRGYTFNINSGAVESLELDSLGISIIPSTLVSTYGLFVEDVVDVLSDTIVVHEAAASRLQRLTKGLWDAQNMSYSADEMQDHSNLRNTRAKPEYSRSRKRSSGKKLRKKLKELADDWDLPMDFF >Solyc01g017640.2.1 pep chromosome:SL3.0:1:24561071:24562656:1 gene:Solyc01g017640.2 transcript:Solyc01g017640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDANNIEFYPNTHNILEILCWKLCYDISNLKMLSFPGRDECDWCMEMVDDNGCLMVCVH >Solyc07g040980.3.1 pep chromosome:SL3.0:7:51558325:51574831:1 gene:Solyc07g040980.3 transcript:Solyc07g040980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSRFDLSSSSPDRPLYVTGQRGSYASASLDRSASFRENMENPILSSLPNTTRSTSTITQTDVTNFFQCLRFDPKAMVTEHKLNRHSDFKRLTGLALGMPVEDSPVVSSKGKPSSSPFPEEARRLKAGLRESCTKARERVKIFTESLSVINKCFPSIPSRKRSRSDVLSNERPNVLYSSDRSVSGAGIGKIGTQSGYEFELQKSEKRTKNAVPNKRTRTSMVDLRPEVQASTPSRPSGIMDRDREILRLPNSSTVHGEDRTSSIAVEGWEKSKMKKKRSGIKPDTTGSSSTAKPMEREPKQGLPSRLIADGRLRFGDTHSFRPGATPGTTGTGKADGVSQQVPLGMRSSMSKVDQENSLHLIDRRDQQLIGSEKERVKIRAIKNKTKTAARENFISATPSSSTKVNSVARAPRSVSGVAPKLSAVVQQAAAANDWETSHCTSRFPSAVGAGNRKRTSSMRSSSPPVAQWASQRPQKISRPARRANFPIVPNNDENPSLDSTSDALSNERRLCGSSPQQVKLKSDHFSSAASESEESGAAEIKSKDKSNRSDEVDEKSGPHVQKMSLLLPPRKSKRASGEDHGDGIRRQGRTGRGFTATRNPMTLMVEKLGNVGTAKQLRSSRHGLDKTESKAGRPPTRKLADRKAYKRQKQATMNATTDFLDDGHEELLAAASAVTNTAQALSSSFWKQMEPLFRFMSEIDTTFLRQQVNHEINLSGPVSDPFDTDGSSLVPNGFGLTEFGGDTNETRSLESTVDHVVSGKSKHKDISLYQRVMAALIPEDLYCSGNEDLNSDSYRSGFEMEMNLESDTSCAQILYGSETSKYPASNGYITNSSVDHFDNSEHVMDCNNVTSASDMGGFLNYDHSQKCLLPQQRTLPGFVCSEYQYNEMSIDEKLLLEIHCIGIYPQLESDLPHTADEEISMDMSILDEKHQEMVSKKKEMLGKLLNSAAETREFQEKEFEQHALDKLVEMAYEKYMRCWGPNVHGAKSASGKMAKQAALALVKRTLDRCIEFEETGKSCFREPLYKDMFLSAISRLSDGQTDSYTDSEAAKSYFSPQQSPSLNQDILYEANLYSEASRVKRRELEDVLGTSIAASSGALSGVGCSLSSSAKGKRSERDREGKGNGREASSRGGSIKIGRPASSSVKGERKPKTKSKLKTTQLSTSVNGLLGKMSGQPKAAASSIVNSSDISASGTGKDKNDYDLDELEDPIDLSGLQLPGMDVLGAPDDFDGQGQDIGSWLNIDDDGLQDHDFLGLQIPMDDLSELNMMV >Solyc04g051766.1.1 pep chromosome:SL3.0:4:51006974:51007428:1 gene:Solyc04g051766.1 transcript:Solyc04g051766.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGKLTTVVFDKHVGITSDPVLSDIGDYQRLVGRLIYLTITRPDLSYAVQNLSQFMNAPKQSHMDAAIRVVRRSITGYMSKKQSTVSRSSVEVEYRSMASTVAEVT >Solyc11g012560.2.1 pep chromosome:SL3.0:11:5369419:5374155:1 gene:Solyc11g012560.2 transcript:Solyc11g012560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFQKKKKAKLFNREDANHIWVSPGITVRPNWNEGCGGYQKRISGIIDQAIAIHFPEVILEDILSRLPVKSLLRFKCVSKFWETLISEPYFKMKHLSCAKIDQDSRKLLTIQMCSKNRMFSMYCCPLSPIQLVEDVHKLSFPSNPTVSHCIIHCCMMHSTLFLWNPSTRESAVLPSTKFQWGSVSCYGLGYDSTSGGYKIFQHYQGCSIPGEILTLKGGSWRRIDEHPRGIDNRFICRQFLAFVHAAFHWISYSGYHAVAVSSFSISNEVYGEIPFPEEMSPLKAFIGITELEGMLCVHSNSLFPGKRTIKLWVLKEYGIKESWIPFLSVEDPTNAISIPKYRFADGQVLFWCSEGAMFRTRCCGPFGALHPCDSICDGHVFTEISPVFGVQCHMEQRFLCTKNLIVIQ >Solyc07g043130.3.1 pep chromosome:SL3.0:7:56844833:56847277:-1 gene:Solyc07g043130.3 transcript:Solyc07g043130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLKNTNRLSLAMERTGQWVFSQEIPTDVIVEVGEANFNLHKFMLVAKSNYIRKLILDTKESDVSRINLSDIPGGPEMFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDKYCDNNLASRTEDFLAQVALTSLSGALVVLKSCENLLPLAHDLNIIQRCVDIAGAKACVEANFPSRSPPNWWTEELTILDVAFFERIIVAMKTRGAKALTIASAIITYTERSLRDLVRDHSGNNTRLMVPEDSDMRMHQRELLESIVTLLPAERAAFPINFLCCLLRTAIFLRAASSCKNELEKRISAILEHVTVDDLLVLSFSYDGERLFDLESVRRIISGFMEKEKSVAVFKGGDFGDVCTTAMQRVAKTVDAYLGEIATFGDLSISKFNGIAILVPKGARKADDDLYRAVDIYLKAHPHLDEIEREKVCSVMDPLKLSHEARVHASQNKRLPVQIVLHALYFDQLKIRSGAADDSNNNMPEAMATRNQLQADVSLVKENEVLRTELLKMKMYIADIQKTTNSSQGTSKGSLKKTTFFSSVSKTLGKFNPFKHGSKDTSSIVDDAALDLTKPRRRRFSIS >Solyc11g013240.2.1 pep chromosome:SL3.0:11:6137756:6145262:-1 gene:Solyc11g013240.2 transcript:Solyc11g013240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYVGGDDTCVRCCHVCVGDFEWWWKKVWCDELTCHVTDKNLLDEVMNGSMSNNETRVKEDGYIYMVLEYGEIDLAHMLSQKWRELDGSDSIIDENWLRFYWQLGSGDCRRCWMMTTEEWEWKISACHCWLNMKEEKWVKFGQADGEGEEELHVAFKQILLAVNTIHEERIVHSDLKPANFLLVRGSLKLIDFGIAKAIMCDTTNIQRDSQVGTLSYMSPEAFMCNETDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEFKTFWAKFKVITNPNHAITYEPLSNPWLLDLMKKCLAWDRNERWRIPELLQHPFLVPPVPPQLPAPVEQTCTLLQLIAKSCENDGKASTLCMKLQNLLVQRSQISHEALPVSQYQKLLGDVSALCLQLQEQLGNSERGTKM >Solyc10g085940.1.1.1 pep chromosome:SL3.0:10:65077031:65077768:-1 gene:Solyc10g085940.1 transcript:Solyc10g085940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPLPSSINHLNDEEYIDSDVNSASQNREFEFQMASITNYNTTSPADELFYRGRLLPLHQKILQTQLFEDLENSFCVEVSAERPLDSGKRIKSSAINFTISSPSQSFEVSPNDHKISPKKVWIKFIKHSLITQKLKSLFSKSSCRNGNCCSSKDMNVSNKIIPLKSSNDIGSVSSRSFKWQQKSRNGSSSRSFSSTNFSSRSSFDCSFNSNYELNFSKRSSFTSESEGSIEDAVAHCKKSHTG >Solyc12g006840.2.1 pep chromosome:SL3.0:12:1254159:1261050:1 gene:Solyc12g006840.2 transcript:Solyc12g006840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLKILLFFLFMTISFAQNTTIFQGQHLRVGEKLESPNKEFRLEFFSLDGNKTQYIGIFYNLPSNMTLYPGDDRPVWVANRDSPIQYASGNTLTLDDDGNLKIFYGEDSFVMLSSYNVTTRNASATLFDNGNFVLVELNSNGLENKTLWQSFDYPTDTLLPGMKLGRNVKTGQVWSLVSWISKDVPASGSFTFGIDPIDIDQLIIWWMGSVFWTSGRWGNETFGNVSRVSHYDYVSLSYVSTVDEKYVTYSVSETRTLSRYVMDTFGFIKERGAAGPFGVCFYKPSPGCVTEESIGCSIRNNSWFKRRQNNVSGNRFRFKDNNMSLFDCKSQCEKNCSCAAFASITANGTGCDIWSNVSILNSLESDVFILDDERDLVPIRNRSSTNVPSTISLPPDLAPEPSPNSTSGSSKGTSTKWWIWLIAAIGLTLLVGLSSLCYFLYGKGKAKAAALLLLNQTANVSKKRKVDKKMSHEVQLYSLESLAIATDNFSPGNKLGEGGFGPVYKGEMLDGQEVAIKRLSTSSGQGLLEFKNEILLIAKLQHTNLVRLLGYCTQREERILVYEYMHNKSLDFFLFDSNKKELLNWETRFRIIEGIAQGILYLHKYSRLKVIHRDLKASNILLDAEMNPKISDFGMARIFGTQESEANTKRIVGTHGYMSPEYALRGIVSTKTDVFSFGVLLLEIVSGKKNNSCYDTEHPLNLIGLAWELWREERALELIDATLIESCSRDEVMRCIHVGLLCVQDYAKDRPSMSNVVSMLMNDTKQPPPPPQRPGFFIERGDQRAEISEEVVRYSINGLSISELRAR >Solyc12g009015.1.1 pep chromosome:SL3.0:12:2319147:2320367:-1 gene:Solyc12g009015.1 transcript:Solyc12g009015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILSRLLILTGFLQCLATDGISVNSFSSRNVAKIRSLLKGIENQNEADEAIIVLFKSPNLDAHQRVTVSADAAARTSISLSSIEHLPEISTAESPTRI >Solyc10g050460.1.1.1 pep chromosome:SL3.0:10:49702285:49702488:-1 gene:Solyc10g050460.1 transcript:Solyc10g050460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLRESLNAVKIERDNLKKKLKNLESLNYFEVNKSRNLEAKVSKLNMLFILPFTVFVVFVVAIFKC >Solyc04g047740.2.1 pep chromosome:SL3.0:4:36479201:36479831:-1 gene:Solyc04g047740.2 transcript:Solyc04g047740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGIETGSTTSSVLAKLGVIWQTYEHYIHAVDEVDPNLNIFEVKEELFYERRLWMRRMIISLLWSMIRSLFQELFKEEGVDANLTLDGNGKPYVAYYSNYIIDLYIRTPIRDYAAPGKDIASFKGVVDHGLFLDIPTVIIIAGKEGVSVKCK >Solyc01g109870.3.1 pep chromosome:SL3.0:1:96616861:96622387:-1 gene:Solyc01g109870.3 transcript:Solyc01g109870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPLDRFARPCFEGSSTHDERRERKSDFENSEDERRTRIGSLKKKALNASTKFKHSLKKKSSRRKSNGRLSSLSIEDIRDAEELQAVDQFRQSLLLDELLPERHDDYYMMLRFLKARKFDIERAKHMWADMLQWRKDFGADTITEDFEFPELEEVVKYYPQGYHGIDKEGRPVYIERLGKVDPNKLMQVTTMDRYIKYHVREFEQCFSIKFPACTIAAKRQIDCSTTILDVQGVGLKNFSKSARELILRLQKIDGDNYPETLNQMFIINAGAGFRLLWNTVKSFLDPKTASKIHVLGNKYQNKLLEIIDASELPDFIGGSCTCADRGGCMRSDKGPWQNPDILKMIGEARRAKQLVKVLNSEGKIVYAKPRYPTVKGSSDTSTAESGSEAEDIASPKALRSYSHLRLTPVREEAKTIGMPGYTSNFPGYDEYVPMVDKAVDSAWKKEPSLHRPSTPKVMLPPASSEKPVEGLHARVLGLLMAFFMTVLMLFRSVTCRVTKKLQDVSTVENQDIQDFTFDASPKEEFRPPSPTPAFTEAQLLSVVLKRLGELEDKVNTLQEKPSEMPYEKAELLNAAVCRVDALEAELIATKKALHEALMRQEELLAYIDSQEAAKFQKKKKFCF >Solyc09g009960.3.1 pep chromosome:SL3.0:9:3409769:3416656:-1 gene:Solyc09g009960.3 transcript:Solyc09g009960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCGFSRMRIHCCIDGKLFSSLPPLSPISLPSPKEIHLWYVIPNEVKGESLMNKYMEILSPCEIEKVLSFRRDELRKSALLARALVRTTIARYQINSSVTPKSIKFRNNVHGKPEVDWSCSYNWEPPALHFNITHTSSLIACGVTVNSPIGVDVEEKERTTKHNILTLARRYFSKHELEVLTAIGDPYIQQQEFIKLWTLKEAYVKALGKGFSCAPFKTFTIRLGSSTGEQFHPSQNSSAEASEIVVDSLDNTENLTSNWQFMLLEFASSHYVAICTEKDVNTKGDCDNNPLKLTVWKTIPFVEDSCVSGTDTVLKVCGLR >Solyc01g103960.3.1 pep chromosome:SL3.0:1:92330033:92343793:1 gene:Solyc01g103960.3 transcript:Solyc01g103960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDKLPKANWTQHAIAHDNFSCQDKFLSSNILFSLPTQRHCARDEMNARSVTCHIRSVSKLEAEKAWKLLTSLKLSPKSYTRPGKTPQLTKDTNAFSQHSNHTQFPRASDGNCAPARCRPVHQGVGDDGENSDSRRYAGNCFPPHSSGVAETGNVVNRQSGVDNSHARGVGEISSSHANGASKKTREVYTTCADETEEDDILLNIDVDQIVEHYQTNCTPQPSVSRFPSTTPVTKSQSLAGHEETNLPPELSINCNHGLQLGLCPGALDHLQEMKDKLIEISNDLLDNVSDLSSEQIEMLRQERSQLKLQIQHLEKFLQTVSGNEERKMSQCSASTLTSAFQYETPSAFQYATPSSFPSRINPTRLDTQFSGYNESSHFDNWNSSSLSFDVTGGNGLSTAPVEREPYIPKYLEVNYIDGSNDKKWSCRDFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGNDVFVLMPTGGGKSLTYQLPGLICPGITLVISPLVSLIQDQIMHLLQVNIPAAYLSSNMEWTEQQEILRELNSDGCKYKFLYVTPEKVAKSDVLLRHLESLHTRDTLARIVIDEAHCVSQWGHDFRPDYQCLGILKQKFPTVPVLALTATATISVKEDVVQALGLANCIIFRQSFNRPNLRYTVIPKTKKCLEDIGTFIKNNHFDQCGIIYCLSRMDCERVAEKLQEYGHKAAFYHGSMDGAQRANIQKQWSKDEINIICATIAFGMGINKPNVRFVIHHSLPKSIEGYHQECGRAGRDGLPSSCVLYYSYSDYIRVKHMISQGTVEQSPFGSGYGRSNVAASGRNLETNVENLLRMVSYCENEVDCRRLLQLIHFGEKFESTNCRKTCDNCCKTQNCIEKDVTEVAKQLVELVKTTGQKFSSAHVLEVFRGSLSQYVKKHRHESLHLHGAGKKLAKGEASRVLRHLVTEDILVEDVKKSDLYGSVSSVLKVNESKAYNLFAGGQTLRLRFPSFVKASKLGKYEATPAKGSLTSGKQSPPRTDPSGVPQSTFDPSLSAILYSALRKLRTNIVRESGDGVMAHHIFGDDTLQLIGQKVPRTKNELLDINGIGKVKINKYGDNVLQTIEATVRDYYKSDKTSSSGNDNTDSGKKRRNSINVQNGNSKDEEFFTESTGCTKKRVLKKQNKHAEVIDYRDLGYFDECIDGDLDFDETMMP >Solyc07g007100.1.1.1 pep chromosome:SL3.0:7:1856850:1857140:1 gene:Solyc07g007100.1 transcript:Solyc07g007100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGAAEMMLQCVVFDGGLSMLDMDIERRPYHCNCSCALHEKKGKQLNTCVHDRNISPKRQTQKDITLSITASKFSSQSYSSSNVQHTTPSLANSS >Solyc04g078910.3.1 pep chromosome:SL3.0:4:63665138:63667520:-1 gene:Solyc04g078910.3 transcript:Solyc04g078910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRLSILDWQCNLSFRCINLLDGESAHTLLHSFSCRRGSKSYHPRTRLCSKLRHGDLNVTLQSLLRQCSEIHSTVSDNIDELLDEEDELCPVECVREFKTDEEFSIILEKAKKAGSLVVVDFFRTACGSCKYIEQGFQKLCRGAGDEQAPVIFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKNGVLLEAFPTRDKERILAAILKYSAPASADA >Solyc08g006170.1.1.1 pep chromosome:SL3.0:8:885970:887394:1 gene:Solyc08g006170.1 transcript:Solyc08g006170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRRFLKSIPKPMASDRTSLLPLAVRTIDSSMCSTNNLTPVAQNQLNQLVETHLKPSFTAKDFLSFLKNRVHYHPILTNLDFYLFNYAASVDSFRHDHTTFEWMVRTLATTHRLQFLTTLLQFISSNPCPCADGIFSCPKTEPIFRFAINAYCKAGRFDDALLAFDTMRRLIDGKPDVAVCNIIIHGFVKFKHFDKAQEFYHRMIGDRVKPDVITFNTLISGYCKNSQLGSALQMFKDMKTHGCAPNVVSFNTLIKWFLLDGKIEEGIGMAFEMTEMGWEISAVTCEILVDGLCRKGMVLKACDLLVDFSRKGVLPRTFDYFGLVERLCGEENVARAIELVHELWRNGNSPSLIACTTLIEGLRRTRKIDEAYEIMEKMLQECMLPDSVTFNCLLSDMCEAGRAKEANKMRLLGLNKGLDPDTVTYNILVSGFKREGKKKEAEALVEEMLDLGFIPDIATYNRLINGETKSKR >Solyc03g123880.3.1 pep chromosome:SL3.0:3:72072458:72075307:1 gene:Solyc03g123880.3 transcript:Solyc03g123880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHLPSSSLCVWSDVRFLKRKVNLRPIVRNSHGKPFPAEVSRTILELSSVGTLSTPTQDGWPLGIAVPFAVDPHGTPLLFLNHSTSNFALNSKSSFLVQLQQYGLRTPQCTIQGTLQKPTALKMLHSLWENRFGHQVDDDRLFLLSVERVLQMDDFAEDGIWVTSEEYKSANPDPLRDFAERMIDEINTNNREDILRFCNIYLDLDFQVCDGKMLWVDRLGFDVRFSSPLNDVFEARIPFPREVTDEKGAKSSFNCMSQFAWEVEKNFHAADFEKVKQVKKMEHRGV >Solyc01g099920.3.1 pep chromosome:SL3.0:1:89915795:89921517:-1 gene:Solyc01g099920.3 transcript:Solyc01g099920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASSSPSASESSSSSGHRERKRHRRNRKDKDKDSLKIRKKSRSRTKRHRSRHSSSDSYSYSSSSEDYSHMQKQQVESNGPVQLSKFLGRDKDDGVRRSAVSGKKILLKLDKTKEDKEAESKRNELLKFLNASELICEELPVEMCALSISSDGKRCVLESRPSEEGNNEVECQTSEAFASNKMLQEYIETDECVGACGVDRQSLGLSSDALVDSKFVAKLCSHHCYNNCPNIIDLYSSVASAEGLSLPKMCASQKTRPRRGMSQSAFRSSGAAALVGYGGSAGPVSSADPPAVDDPATGAASPAGNDPVTGADSPAGNDPVTGSASPAGNDPVTDADSPAGNDPVTDAAPPPSQY >Solyc08g066220.3.1 pep chromosome:SL3.0:8:54755562:54758812:1 gene:Solyc08g066220.3 transcript:Solyc08g066220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCLSLIEPHIKNKTSSEELNMILTQYVDTLSQRMKYHIGYPINLSYEHHATLAPLLQFHLNNCGDPFTQHPTDFHSKDFEVAVLDWFAQLWEIEKDEYWGYITSGGTEGNLHGLLVGRELHPSGILYASKDSHYSISKAARLYRMELQTINSLVNGEIDYEDLQSKLLVNKNKPAIININFGTTYKVAIDDVDMILQILENCGYSNDRYYIHCDAALYGLIVPFINHAKIITFKKSIGSISVSGHKFLGCSMPCGVQITKRTYITTISKQVEYIASIDNTISGSRNGLAPIFLWYSLSMKGNAGLQKDAKICYENARYLKDRLHKAGISAMLNEFSNIVVFERPCDNKFIRRWQLSCARDTAHVVVMPGTTKEIIDNFFKDLVQEREKWCGITLGPCLADDIGSQNCLCSYRNMHH >Solyc08g014610.3.1 pep chromosome:SL3.0:8:4829298:4840664:-1 gene:Solyc08g014610.3 transcript:Solyc08g014610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITICNIIVILDKVQLFFSTKSCYDKVMKICSHLICRFMGHNMLAPFTAGWQIDMGPLVIEKAEGSYVYDINGKKYLDSLSGLWCTSLGGSEARLIEAANKQLNTLPFYHSFWNRTTKPSLDLAKELLDMFTARKMAKVFFTNSGSEANDTQVKLVWYYNNALGRPNKKKIIAQGNSYHGSTYLTAGLSGLPILHQKFDLPPPRILHTQCPHYWSNHLPGETEEEFSTRLANNLENLILKEGPETVAAFIAEPVMGGAGVIVPPATYFDKIQVVLKKYDILLIADEVICGFGRLGTMFGCDKYNIKPDLVSVAKALSGGYMPIGAVLVSPEISNVIHSESNKVGAFCHGFTYSGHPVACAVALEALKIYKERNILEVVNKLSTKFQEGLKAFADSPIIGEIRGTGLVLSTDFVNNKSPNDPFPYDWAVGTYFGAQCQKYGMLVSFSGDHVNMAPPFSLTLEELDEMISIYKKALEDTEKRVEELKAMKK >Solyc09g066035.1.1 pep chromosome:SL3.0:9:64580639:64581265:-1 gene:Solyc09g066035.1 transcript:Solyc09g066035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCKTLVAEFKSFMMKEFEMSDLGVLQYFLGLQKMVYFVSQTKYAKNLLFKFGMHNCKAAVTPMNAHEKFQLKMATDLADPSHYRSLIGGLNYLTHTRPDIMFSAYTWSYQQHLGAVRRVLRYVAGTVDFGTWYSKDADFSLSDSDWAGSIDNRKSTSGNVFNLGSGRSKKQDVVTLSSSKAEYVAVTSAACQALFL >Solyc06g066330.3.1 pep chromosome:SL3.0:6:41729366:41735760:1 gene:Solyc06g066330.3 transcript:Solyc06g066330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPKGVGFLDSGRRTVVGEKSKVVTQNLAHLLRASSEKISIQSNCDRKLEKRKRIVHCESNSQSHLRISEHKNYLNFKRSRLPLRVLFYQNGEWTDFPQDIIPIVKEDFRAKKTVIEVKVCDFHIILDILHMVQIDVINGLQKPIAWIDEVGRCFFPESYLISSEMLGNFETLSKRTEEFMTTEPDRITDMKLQLDTDLNGLDNRNLEEDVEESNIGYKRNKVCPLKDSQEVADYKKSDAKIAQVAENKQNQETPSPDLEASLKFVNAESVKNMFIMGMNVNPNKCEIKINKCSSNYLTTRLELFEKQVEITQKYRGNSNVRYAWLAASKDLISTIMKYGLAPGGSKYRPKFGVGVHLSALHCASKSAINCDADENGVHYMVFARVILGNMEPLHCGSEQWHPSDEKYDSGVDDLENPTHYVIWNMNLNTHIYPVCVVSFRIPPGAEGPRVGNDSRIDVSGVNTCPRGPVEQGSHRFQVSLVKLALEEAARIPKSSGVPFSLLIDAISNVVNAEKMNHVTRSYELLGFKKICRDEFEKRLVAIVGLTLLKSTTKSLLCKIQSKPIEMVQPKQEPQSADFKGNTALFHWIEPQTE >Solyc11g071250.2.1 pep chromosome:SL3.0:11:55075808:55083592:-1 gene:Solyc11g071250.2 transcript:Solyc11g071250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNKAVEEDNHKSDTNTPVPKALGSFIEIEAISIDLNIPMPKKEEGKCQHYFSIRGYVAEKREKDRRICAPFTSSGGHSISEEQLPPPALEVRKFRWWRCKNCMREIGTESSGEEIEILPPSCRSRLISPNMVSSGNATTNALLEMKHDSTSRKVDKKKAIIVDAANTSGYDFLRKPYKGRCTVVADKVSAGKGTGSANVRNEEIRNPTIKVAISKHCSSKEIDGKSAGNSILSNNVIQVAGSESTLSDGKIVPHGNFYTSADDVSPDIGAETAMGSPNDGQRTVSTAFKQFDVPNTTDKALERSKTKLSRLPSIELRDHNGISSGIDPFMAKNRQFDSHNDVPNDVPRRKTRKVRLLTDILGGNVNLESNPAKADRNSLSTNTVVPPELEPVGMPKDKRCFQRKRKIPQEVDSNLSGMGIQCNIGKRVRSSNGRVERSSMAVEIADSPSDEKESGEEGITNGNKNLRMKNRNGVNKKKNKQFRPVDGYSPEIRWQDITMENGGFKGYAAVNSLVHPVQHSSMDGKFEPHLSSYQSLAGKVGGYGVSRQSSKFPEVGHAPSTLMHPNNNFPGESSTRRNNLIPVSSGIEMMTFQPAGELSAKVGLDLSLNSFRDSNKHAGNDITQSKNMTNWPFMHQKGFNGDIPRRKDNSNVRQSNVPETGQSSRKEVIYDLNQGVPQTASMWKDIQSSPVLLQKGNLQVSEIMETPRQHNKGNLNEFLEHSDAIKHRRYQHSEKTSEKTLESEDIPMEIVELMAKNQFERLTDTRTICMSERTAGFARPYTEIHESEVVALSRPGVPSFRPANVNKKTDVGASRGSSVQTSHVKRNHLGMERPPTKLFGTSPQTQQKFPSGGQGSASVHIRPGEGAQPVWFPTVQNVQPLRIGIPQKSIGQPNDKMIHGQASASLQKGRTISDIKCSDVRMQNEHHRLLPKSDVNVKGMGSLDPYSNETIPAMQLLSLMDRRMPSTPPFNLDANKLLEKPFSPCSYHPRFHIDGKQSILNGSYLSHHPSKESPGVHPGGYYADQISFKPRGQEKSRKSYAPSQCGGSKLQSFVSSSGPLIMTRDPCLDKDGQKRNQGASSSQMPPHQDRNISKFFNLEGHTAAVPGKSNCETLVCSLNRNPAEFSVPEEGNPFTRTIKDTRISKSSARERSHNVDLNNKRKQRKVVKESAGRLPPSGRAF >Solyc07g018235.1.1 pep chromosome:SL3.0:7:9788863:9792622:1 gene:Solyc07g018235.1 transcript:Solyc07g018235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNKGRTQMQLIPIEEPAPIQYDLKALFERLDQRREVSQSNKYNNLLIPKESEVFAVAFALLAAGAVIVTLNILLLVMELSQPMPIPKIRSKHE >Solyc05g018434.1.1 pep chromosome:SL3.0:5:21451568:21452220:-1 gene:Solyc05g018434.1 transcript:Solyc05g018434.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSIRDINNLKTRLSAASEMKDLGPGKHILGMKISQDRSVGTLNLSQELYIEKVLSRFRVHGEPWERALGSCEVASEISERALGSNVILQGFADADLVGNVDSSKSTSGYIYTIAGTAVSLMSRLQKCIYISSTKAEYVEIDEAGKEMI >Solyc10g018530.2.1 pep chromosome:SL3.0:10:8684951:8711105:-1 gene:Solyc10g018530.2 transcript:Solyc10g018530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASFAHDRDGNEQSQIRSSIPELAEEEESMVYMACVMHGHRIGVSYYDASARQLHVLEIYEDGSHDFSLVDMVKYQAKPGTIYTSTKSEESFLAALQRSDGTSDAPSVKLVKSSLFSHEQAWHRLMYLQVTGMDDGLNIKERTAFLSSMMDVSSDVQVRASGGLLAVLENERIIDTLELNECGSASVAIDCICEISLDKFLKVDSAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMMNKCVTPMGRRLLRNWFLRPILDLDNLNRRLDTISFFLSAEEVSVSLRETLKSVKDIPRILKKFNSPSSISTSADWSAFLKSVCALLHISKIFEVGISGSLLEELTSLDLDIIERAGFFISVDLAYVCELYHYHSIRSFFTCVCACNVQVIGVIDVDRSKEKGYETIVKEGFCDELDELRQIYEGLPEFLEEVLALELARLPCMYEDKGLPSIIYLHQIGYLMCIFNEKLGEEMLETLQDYEFAFADEEGEHRRFFYHTAKTKEMDNLLGDIYHKILDMERAITRDLVSHILQFSVHVHKAVSFAAELDCILALALVAHQNNYVRPNLTAEDLLDIRSGRHVLQEMTVDTFIPNDTNITLEGRINIITGPNYSGKSIYIKQVALIVFLSHIGSYVPADAATVGLTDRIFCAMGSKFMTAEQSTFMIDLHQVGIMLRHASPRSLCLMDEFGKGTLTEDGIGLLGGTINHFVSCYDPPKVLVCTHLTEIFDSGCLLESDRIKCYTMSVLSPDKGCANVEDIVFLYRLVPGRALLSYGLHCAQLAGLPEEVLTRAALILDTLKNDNQVERLVSEDIVAQDQQYKDAVEKLLALDAQKGDLLHFFEEIFANQS >Solyc12g040450.2.1 pep chromosome:SL3.0:12:54905899:54908498:1 gene:Solyc12g040450.2 transcript:Solyc12g040450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEKLSIHESQLLTQLEIVGPLCSNLKILEIGDCYDCDFIKIHNVNFVSFKYIGSRSFFIKVQQSQTACVETLGRTRRCFPT >Solyc03g081335.1.1 pep chromosome:SL3.0:3:53682048:53686691:1 gene:Solyc03g081335.1 transcript:Solyc03g081335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLVFSVTVFRELILENRCWQWVFNVHIKFETHLSTVKPYPLDLSRVVYFDVHGKDFIEDKGFMLHILMSVLCIDQILLHYIVVNIDSVNKASCKNCSPLKRKRNMVHDVLTSLGEAEDHKALSASGSLGGGGGLGTRRLEVPTEGGCGVEGLGSGRGICRGKGSFTIYMVKN >Solyc01g034080.1.1.1 pep chromosome:SL3.0:1:35864229:35865641:1 gene:Solyc01g034080.1 transcript:Solyc01g034080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEKQTPVSTMAENSEEIPITTAKTVEKSKKLSLIPLIFLIYFEVAGGPYGEEPAVQSAGPLFAILGFLIFPFIWSVPEALITAELSTTFPGNGGFVTWAYTAFGPFWGSLMGTWKFLSGVINIASFPVLCISYMDKLFPIFSSWVPRYMAILVSTLLLSFLNYTGLAIVGYVAVVLGIVSLAPFIVMSLIAIPKIQPHRWISLGQKGVKKDWNMFFNSLFWNLNFWDNVSTLVGEVENPKRTFPKALFSSVILTCFGYLIPLMAVTGAVSVDQREWETGFMANAADMISGKWLKFWIEIGAILSSIGLFEAQLSTCAFQLLGMAELAFLPKFFALRSKWFNTPWVGILLSTVISSSMSYMNFTDIISSANFLYSLGMFLELASFLWLRRKYPLINRPYKVPMKMPGLVVMCLIPSVFLAFIMAIATKVVFLISGLMTVGGIGWYFFMKLCKTKKWLKFYDDMEEMTIT >Solyc05g045980.1.1.1 pep chromosome:SL3.0:5:58864995:58865195:1 gene:Solyc05g045980.1 transcript:Solyc05g045980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEMFSRVVYGGNNCYTKRIQYHIFISSKMGTFHFPGQRLIQNGALGGELSINKLLALFPKLIIL >Solyc03g079980.2.1 pep chromosome:SL3.0:3:53317326:53318746:1 gene:Solyc03g079980.2 transcript:Solyc03g079980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQVLDYVLVPVGLLLMLAYHLWLLHRILKHPSHTVIGINSINRQFWIRAMMEDPSKNGVLAVQTLRNNIMASTLLASTAIMLSSLIGVLMSSGSRGGGSISSHIYGNRTEFCLSIKFFSILVCFMVAFLFNVQSIRYYSHASILINVPYKKLDSSNSRHCVTAEYVGRIVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLSSVFLVFMLYFLDVSSDFGWVTPTDEETA >Solyc09g010993.1.1 pep chromosome:SL3.0:9:4349018:4350829:-1 gene:Solyc09g010993.1 transcript:Solyc09g010993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATEIFLLSLMIASCLLSSVSAATRHYKFEIKMQNVTRLCHTKSIVTVNGQFPGPKIVAREGDRLEIEVVNHVHNNISIHWHGIRQVRSGWADGPAYVTQCPIQNGQKYVYNFTIIGQRGTFWWHAHISWLRSTLYGPIIILPNKNTPYPFAKPYKEIPIIFGEWFNADTEAIISQALQTGGGPNVSDAYTINGLPGPLYNFSAKDF >Solyc09g065770.1.1.1 pep chromosome:SL3.0:9:64289407:64290459:1 gene:Solyc09g065770.1 transcript:Solyc09g065770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYFKSLAQELFSTNYETPPSSIQFIDAALSCTSPHFAPSYTDPNQKRIIRRHLVSFLQDYISFKPSIDAFMHDDGTSVNLLNANGELQLSSSTPSIPLTIWLHESYPFIAPIVLMSTNTTYPIYDNHPFVDSSSGSISTFYLVNWKYPGCNLSDLVHNLVNIFSHNHPFYYSPSTNDFFHPSLASRREAIDRLSCTLHYDMTELLSKTHDEVEELSSLKEHMVRRVLVAEFSVDESENEMTELKERVKILTDEADKLSSWLRDKDQDLSPEEYKVEDEFEAIDENSKVLLDSIAADKATEDLMYSLDKAVEQGVMPFGTYMKQVRLLAKEQYFGRAKLEKMGISLEWLH >Solyc02g087690.1.1.1 pep chromosome:SL3.0:2:50665047:50665253:-1 gene:Solyc02g087690.1 transcript:Solyc02g087690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQTLYMRTLYLQVLIDAYGIMTYILFLVSPALLYYQFETYSVVDLSLALSQDLLNTKYKEWGLTSY >Solyc11g045360.1.1.1 pep chromosome:SL3.0:11:30965071:30965670:-1 gene:Solyc11g045360.1 transcript:Solyc11g045360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFQRAESVRLRNRKDKYLIAMDDKDSVTQRRKGTNKDSIWNVEFVEGREDAFRLKSCYGKYLTATNTPFIPGVVGKKVIQADLPEKNYPSAEWEAIRDGFQVRLKSFWGTYLQPNGGLPPWRNSITHEAPNTNRKYEKVLWDIEVVDKRPNLHRRTRSDSTFQRLDLTFIRSNSHSMASTLVSPKTKAQLGEPQEIS >Solyc01g066020.2.1 pep chromosome:SL3.0:1:72699527:72702973:-1 gene:Solyc01g066020.2 transcript:Solyc01g066020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSFASNSQYSPQWKYDVFVSFRGEDTRKNFTSHLYQGLENRGILTFVDDKRLEDGDSISEELVKAIEESQVAVMVFSKNYATSRWCLNELVKIMECKEKEIGHIVIPVFYYVDPSHVRYQSESFAEAFAKHESRYKDDVEGMQKVQGWRNALAAAANLKGYDIHFIQHIVDQISSKSCKTSVYYLRNVVGIDTHLGNVKSLLEMEINDVRIVGIWGMGGVGKTTIARAIFDTNSNRFDAACFLADIKENKCGMHSMQNILLSELLRIKGNHVNNKEDGKHMIAHRLRFKKILVVLDDIDHSDHLDNLAGDLDWFGKGSRIIVTTRDRHLLGKDDAVYEGTALLDDDAIKLFHQYAFKEEVPDESFEKLSLEVIDHAKGLPKALKVWGSFLHKRDIIEWRSAIEQMKIKSKSEIVDKLKISYDRLETVQQDIFLDIACFLRGFEKKYVMKILESCYSGANIELSVLIDKSLVLIDCDWIQMHDLIQDMGKYIVNMQKDPGERSRLWDVKDLKEVLVNNTGTVAVEVIWSIYIKKLWLSKETLKNMKRLRILISQDVSHEWLQEYPSESDSEDVSHDSSCHDGFIEYLPNNLRWFSWYLYPWKSLPENFELQRLVHLELRFSLLHKLWTKRKILLPSLRRLDLRYSTSLMETPDFTGMPNLEYLNLSACVSLKEVHHSLGCSRKLISLKLYHCVNLERFPCLNVESLQKLCLIDCFSLEKFPEILGRPKPTLDISVGGYEIRRALPSSIGMLKSLVKLNISGCSKFESLSLSFESLSLNGVFFVFPDVNEGLHSLEDLDLRYCNLTDGELLEDIGCLSSLKELPLIIAQLGPLRYLDLSECRRLKKFLGVNVAE >Solyc07g066530.3.1 pep chromosome:SL3.0:7:68060552:68065504:-1 gene:Solyc07g066530.3 transcript:Solyc07g066530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSAAINNIERAHQMYREGRYAQALGFYTDALSLAKTNSQKIALHSNRAACFLKLHDFKKAADECTLVLELDQKHTGALMLRAQTLVTLKEYHSALFDVNRDVSSFVQGKSLARIPEDEAELEEDDDDWEEQCTNRETTEVDVGEDKRDVVEVTTIKAESGSVKQTTEVSDVPKMESSEQPSSSWEAIPQPKGHSRLDYSRWDRSEMVLAVTLASAVFHSGRKTGVQAAGCTILWWWYIVDLRLWMHDSVE >Solyc04g080705.1.1 pep chromosome:SL3.0:4:64878676:64880775:-1 gene:Solyc04g080705.1 transcript:Solyc04g080705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLQGPVICPVVRGKQTSQFAVPVNSSVVKAKILKSRFWGINGISSFRVNVARQPLSRVSKVIQCTFSSSSDGNGSTAENSNESNADYVNSSVVEAVEVRSGPDGFMIKMRDGRHLKCVHNNPQGGHLPDYAPHPAIVLRMEDGTGLLLPIIVCT >Solyc08g008420.3.1 pep chromosome:SL3.0:8:2834221:2837875:1 gene:Solyc08g008420.3 transcript:Solyc08g008420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial pyruvate carrier [Source:UniProtKB/TrEMBL;Acc:K4CIX6] MASFKAFLNSPVGPKTTHFWGPIGNWGFIIAGLVDTQKPPEMISRNMTSVMCVYSALFMRFAWMVQPRNYLLMVSHASNETVQLYQLSRWAKSQGYLKKKTDKAE >Solyc02g067900.3.1 pep chromosome:SL3.0:2:38554472:38565520:-1 gene:Solyc02g067900.3 transcript:Solyc02g067900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVASTECNRQGPDYFGLFASEVAELISQDEDFLPISDQIFEKSGEVNGVVEEKNSSMNSYIAKEFRYTGGSASLFSDCFGAHVSNFGKERLKLLLRQSVVALSQEVDEILDPVFSICQLRSCLRYKESLLAVPGPVSNSDQGNHPQKKLKASPLSDSKREDLNISPSRGDTTNDVKKLEGEQVKDSLAKKDQNDGATPEDGDVHNDLQFLLQNDSAKVESLIEKHYDELVSTLGYMEEKLEELLDIVMSNCRLMTFPEKQHLRQLIRDLPPKNLDRVVQIFCRGKQVERHSCSEVYVDLENEDKATLWRLYFYIETVENAKRLCEV >Solyc09g010568.1.1 pep chromosome:SL3.0:9:3940438:3943546:-1 gene:Solyc09g010568.1 transcript:Solyc09g010568.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCASSPSGFFGLSLGTPLPFHHRNAEQDTGVVSLPGKEKCQKKHIILSLILHLLYARTSHMNSFLRDNHSAQETSRKRSCRLFWRRITQLGISRVRSGDIYNSLLHFDKCWLYK >Solyc04g082000.3.1 pep chromosome:SL3.0:4:65902752:65910943:1 gene:Solyc04g082000.3 transcript:Solyc04g082000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADENCENVVYLHGDFDLKIIEARRLPNMDLVTERLSRCFTALDICRKPFTRRRRKGHRRKIITSDPYVTVCLTGATVARTRVISNCQDPVWNEHFKIPLAHPVSVVEFLVKDNDVFGADYIGVATVLAEKIKSGELIDDWFPIIGPYGKPPKPDCAIRLQMRFIHCDGNPSYNGGISEDFGLKASYFPVRHGGSVTLYQDAHVPDGMLPEIKLDDDKIFEHSKCWEDICHAILEAHHLVYVVGWSIFHKVKLVREPSKPLPSGGDLTLGELLKYKSEEGVRVLLLVWDDKTSHSKFFIQTDGVMQTHDEETRKFFKHSSVNCVLAPRYASSKLSIFKQQACFTPYQYFVVGTLYTHHQKCVIVDTQASGNNRKVSAFLGGLDLCDGRYDTPEHRLFRDLDTVFKDDFHNPTFSTGTKAPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKATKWSEFGRRLKKISHWHDDALIKIERISWITSPSSSVPNDDQSLWVSKEEDPENWHVQVFRSIDSGSLKGFPKDVLLAESQNLVCAKNLVIDRSIQMAYIQAIRQAQHFIYIENQYFLGSSYAWPSYKEAGADNLIPMELALKIASKIRAKERFAVYIVIPMWPEGVPTSASVQEILYWQRQTMKMMYGIIAQELKSSQLQDVHLSDYLNFYCLGNREELHGESKSNYASNGDLVGIFFFIMSANGSIYSRIPSFLQLGYFSNCMCLIISASQKFGRFMIYVHAKGMIVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPHHTWAMKKRHPHGQVYGYRMSLWAEHMGKLDDIFTKPESLNCVKHVNEVAEDNWKRFTAHEFKPLQGHLLKYPVQVGTDGQVSSLPGHEYFPDVGGKILGARTNLPDALTT >Solyc06g008805.1.1 pep chromosome:SL3.0:6:2750627:2751430:-1 gene:Solyc06g008805.1 transcript:Solyc06g008805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDDVSEVFINVSQAFSGCNQLKGLSGFWDVVPAYFPTIYPVHSKLTSLNLSYATIQIPDLSKLIGNCFNLQRLRCSITLKIAVLRRLPTLVRNFKSLGCFLLIYLLHDLMYP >Solyc10g012190.2.1 pep chromosome:SL3.0:10:4558819:4561008:1 gene:Solyc10g012190.2 transcript:Solyc10g012190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKKTDEAGVSGKAKGSSKDSKEGKKEKLSVSAMLANMDQKLEKPNKGSLATGASKAKPKAASKASTYIDGIDLPLSDDEEEEYVPRSEEVEEQMNVHRRYGRNEAGPIDTSISYKELKKREKKDMLAVQAAEVAKKEALRDDRDAFTVVIGQDDADANVKDITIENFSVAARGKELLKNTSVKISHGKRYGLVGPNGMGKSTLLKILGWRKIPVPKNIDVLLVEQEIVGDDRTALEAVVSANEELIKLREEAASLQNAAASVGENEDDADGENVVEKLSELYERLQGHATRSFSGGWRMRISLAWDLFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCDDIIHLYDMKLHFYRGNFDDFESGYEQRRKEMNKKFEIYDKQLKIAKRSGNRAQQEKVKDRAKFVASKESKQKGKDRIDEDKTPPEAPQKWRDYSVELHFPEPTKLTPPLLQLIEVSFGYPNRPDFRLSNVDVGIDMGTRVAIVGPNGAGKLTLLNLLAGDLVPTEGEARRSQTLRIGRYSQHFVDLLTMDETPVQFLLHLHPDQEGPSRQEVVRAKLGKFGLPSHNHLTPILKLSGGQKARVVLTCISMLKPHIFLLDEPTSHLDMQSIDALVVSLDEFTGGVVLVSHDSRLISRVCDDEERSEIWIVENGTVEKFPDTFDEYKAELVREIREEVDD >Solyc11g039530.1.1.1 pep chromosome:SL3.0:11:44142390:44142662:-1 gene:Solyc11g039530.1 transcript:Solyc11g039530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTSSGIEGIARIEIQKRIYLILVIMFMGFPKLLIKIRPRGIEELQMTLQKTMCGQVDQSQSGAGMSIYSCCSTTFHFLFGLSISSQLYI >Solyc03g114593.1.1 pep chromosome:SL3.0:3:66019629:66019930:1 gene:Solyc03g114593.1 transcript:Solyc03g114593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVNRAGQVDSITDTKVHRDLTSLPSPKVHRHCVLQGENRQVNLLVCSINVKGDSHFTFCKSTGGTHETDPRVRKKKNGNISKESV >Solyc02g064750.3.1 pep chromosome:SL3.0:2:36417018:36422461:1 gene:Solyc02g064750.3 transcript:Solyc02g064750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSRGKSVIGGWWNLGLPSDVPNVRSRVLESVDLEKDFDPLPTGVSGDDSFTSIPFQVLSWFPRALYFPNFASIEQCQSIIKIAKTSLEPSSLALRKGETEETTKGIRTSSGTFISASEDKTGILDLIEEKIARATMIPKTHGEAFNVLRYEIGQRYQSHYDAFDPAQYGPQKSQRVASFLLYLSDVEEGGETVFPFESAQNMDGTYDYSKCIGLKVKPRRGDGLLFYSLLPNGTIDLTSLHGSCPVIRGEKWVATKWIRNIEQDE >Solyc06g051550.3.1 pep chromosome:SL3.0:6:35146923:35149857:-1 gene:Solyc06g051550.3 transcript:Solyc06g051550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGCFSAANAQFEPILSSMDFYDTTLPDPISLYNCEIKLDNNDDEDDDESSGTTATTKMTPTSKGTRTDRSRTLISERKRRGRMKEKLYALRSLVPNITKMDKASIIGDAILYVQGLQTKAKKLKVEIAEFESSSGIFQNAKKMNFTTYYPAIKRITKMDINQVEEKGFYVRLICNKGRHIAASLFKALESLNGFNVQTSNLATSTNDYIFTFTLYVRECHEVDINFGNLKLWIASAFLNQGFDFETSPLV >Solyc07g064950.3.1 pep chromosome:SL3.0:7:67032595:67038941:1 gene:Solyc07g064950.3 transcript:Solyc07g064950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPISIQLNPSILSFPSNSTKAQFFQLNDKFLLKPNLSSRQFSSSLVASARLVAAAAAAASGVVETVEDKLPADLHVTETQEPNSRIRLTVEVPTVVCEDCYKQVIKEFMRRSKVPGFRPGKNVPEDILVGFVGKQNIQNAVVEAILKRTLPHAMSSVTRNAYEDSIRIVTKFEDMEKTYLSLNCLRYDVVVDVAPEIKWKPDDAYKNIKVAVELDSDMDAQRASEKELIRRHKSLGALKIVTDRGLQVGDVAVIDISATTIEQDGSDAKTIPAAESKGFNFDTEEGDNVLPGFRDSIIGIKRGETKSFPLVFPDSWKQEDLRGVHAQFTVACKELFYRDLPELNDAMAERLLPGCSSIEEVKQALLQRCLEVEQAAKDQATDNAILDQLYKMVEVDIPQSLFQEQGRQLYGAQLLQLQANMKLNEQQLASLSSPRAVNEFLETQKENIISIIKQNLAVGDIFTRENLQFSTEELVKEVQNSIQEFQQHQQEYDEDRVKLQVQEVLEGAKVLEWLRENAEIQYITR >Solyc08g062600.2.1 pep chromosome:SL3.0:8:51564385:51566416:1 gene:Solyc08g062600.2 transcript:Solyc08g062600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFIEKSMLASRFLFCLIAISILVLIVSSLYLVQFADNSFIVNTNNSSLYSTSCLESKIPFSPLNITSFEENAKSHNTTSFEASNSISNSRGYICDTKQALLRVYMYDLPPEFHFGLLGWKGNGKEMWPDVDMQGHVPSYPGALNLQHSVEYWLTLDLLSSNITRPCTSIRVKNSSEADVVFVPFFSSLSYNRHSRPPRKGKVSINRILQDKVVEFLRSRHEWKINGGVDHVIVAHHPNSMLVARKKLNSAMFVLADFGRYRTKIANIEKDVIAPYKHIVKTLDANNSPSFEQRHILVYFQGAIYRKSGGAIRLVLYNLLKNEKDVHFTFGSVKSNGVREAGRGMASSKFCLNIVGDTPSSNRLFDAISSHCIPVIISDDIELPFEDVLDYSKFCIFIRSSYAVRKGYLLNFLRGIKEDQWIKMWKRLKEFTKHFEYQYPSQPNDAVDMIWQAIARKLSFMQLKAHRHNRYRTS >Solyc04g077420.3.1 pep chromosome:SL3.0:4:62419697:62425933:-1 gene:Solyc04g077420.3 transcript:Solyc04g077420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRRPINPSRRVTDNGASSLEGSIRSKTRSPPYLTIGLIIVVSFLLIGYFYRDTGLYLNPCLTLIEGLSSVVGTFASIKGAISRVEGDFLCTVEVQKAISVLNKAYGDSMHKVLHVGPDTCSVVAKLLEEEDTEAWGIEPYDIEDAGHFCKKLVHKGIVRVADIKFPLPYRAKSFSLVIISDALDYLSPKYLNRTLPELARVSSDGLVIFTGYPRHHKVKFAEKSRFGGLAKLRSSTWWVRYFVQTSLEENEIAIKKFEQAASKKSYVPSCQIFHLKSYR >Solyc01g100730.3.1 pep chromosome:SL3.0:1:90565223:90584426:1 gene:Solyc01g100730.3 transcript:Solyc01g100730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMSNQEEDYGVLLYYKYATIPDLEALFNFYESNCKCLSLLGRVRLSHNGVNVTVGGKLSALEEHIAAVKLNCLFEGTDFKLASCHEPSNDRVAVECGFTSLSIRIVKELVTLSSCPLPSSPPDISNAGKHLSAAEFHSVLHNVGNSQDKLIPSSDKGTVLIDARNLYETRIGKFYTPNVETLDPEIRQYSDLPSWIDNNSEKLHGNNILMYCTGGIRCEMASAYIRSKGAGFENVFQLYGGIQRYLEQFPDGGFFRGKNFVFDHRVSVGSSDSTILGTCLLCSSPFDDYSPRTRCTYCRMLVLICDNCREKDFSYVCELCQKNSQCIQPTSATEFDDSVEVSEVRELEAVSTSDRIAPSPLVSGPRTLRKLRILCLHGFRQNASGFKGRTASLAKKLKSIAELAFVDAPHELSFVYQIRRNCDSASEMENNRPPSENCSKKFSWLVAPDHKGKNDSDWKIADSPFDPLQYQKQTEGFDKSIAYVKDLYSKTGPFDGILGFSQGAAMAALVCLHQQKLKGEMEFRFAILCSGFAVNMNNCQQGSINVPSLHIFGNDKGKDRQIENQASRHLASVFENGCSVVIEHDFGHIIPTRPPYIDQIKEFLKRFIAYSTKKVYDAGQPTPATHPQLMKEGEITPGITSEEYMQRRKKLLDFLPENSLAIVAAAPTKMMTDVVPYNFRQDADYLYITGCQQPGGVAVLGHDCGLCMFMPEPSLQDVLWQGEVAGVDAALDIFKADLAYPISRLPEMLSRMIESSSIVFHNVKTTTSSYMELEAYRKAVNNCKVKDFSVYTHEARLVKSPAELKLMRDSASIACQALIHTMLYSKLFPDEGMLSAKFEYECRVRGAQRMAFNPVVGGGPNGSVIHYSRNDQKIKDGNLVLMDVGCELHGYVSDLTRTWPPFGNFSPVHEELYDLILETNKKCVEMCRPGTSIREIHRYSEEKLRRGFKEIGILKNDRRYALLNPTNIGHYLGMDVHDSSSIGYDRPLKPGVVITIEPGVYIPSLVDCPERFHGIGIRIEDEVLITESGYEVLTASIPKEIEHLESLLNNFASGGGTDTGAALS >Solyc03g071560.3.1 pep chromosome:SL3.0:3:20326941:20334106:1 gene:Solyc03g071560.3 transcript:Solyc03g071560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGICRTADSAAQVMLDRDTGRPRGFGFLTFADRRAMEDAIREMDGAEVGDRVISVNKAQPKMGSEDPDHGYGGGYISGGRASYGGGNRSVGQDTCFSCGRPGHWARDCPLEGGGRGARPLTPPSRSRYGGTRGDRFGSDRDRYMDDRYDRGNHADRERYDSRYESRDRYASDRYPPGGDRLGNRYGSSDRYPQSGYGKEKGFDRDVGGRYEGGGPARYEGRSYRDRAGPYDRPGRGGRPPSFDRY >Solyc02g078390.3.1 pep chromosome:SL3.0:2:43654388:43665978:-1 gene:Solyc02g078390.3 transcript:Solyc02g078390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILKKVYGFIPQLPSSDCSLLPHNHYWISSKRIVTPNGTISGAVEIKEGRIISVVAEENWHVNSRFTTVVNYRESVVMPGFIDVHAHLDDPGRSEWEGFPSGTKAAAAGGVTTLVDMPLNSAPSTVSEETLKLKVQAAEGRVYVDVGFWGGLVPENAENTSSLERLLNAGVLGLKSFMVPSGINDFPMTTASHIKEALPTLARYKRPLLVHAEVLVDLDEKVELEDGVENARSYSTYLKTRPASMEEAAINQLITLSKDARAGGSAEGAHLHIVHLSDARTSLNLIKEAKQRGDSITVETCPHYLAFAAEDIPDGDTRFKCAPPIRDAANKEKLWDALLDGDIDMLSSDHSPSVPEMKLLDEGDFLKAWGGISSLQFVLPVTWTHGRKYGITYEQLASWWSEKPAKLAGLTTKGAIAVGNQADIVVWEPDMEFDLDNDYPVHIKHPSISAYMGSRLSGKVLATFVGGNLVYKEGNHASQACALPILHKYSLCNRRTTRFSVRFSSESTHRVKLAESLQSETLKLLEWPAVCQQLSAFTSTSMGYAAAQSARIPVGKTREESSRLLSQTSAAVAVPRPLDFTGIEDISPIVDASVAGGVLSIRELCSVKRTLAAARFLLQQLEEIDFSESFSVILDRASEDLEIIRSERKRNMDNLELLLKQLSTQVFQGGGFDRPLVTKRRSRLCVAVRASHRSLLPNSVILDTSSSGSTYFMEPKEAVELNNMEVKLSSSEKVEEQTILSLLTSEIAESNMKIKHLLDKILEIDLAFARAAHAQWMGAACPALSPRNCNISQSEHLSINVEGIQHPLLLESSLENLSTDVSPRSPDLDQGNGVVNFETKSHAHFPVPIDIKIGHGTKVVVISGPNTGGKTASMKTLGLASMMLKAGMYLPAQNKPQLPWFDLILADIGDQQSLEQSLSTFSGHISRLREILEVASGESLVLIDEIGSGTDPSEGVALSESILQYLKDRVNLAVVTTHYADLTRLKEKDNRFETAATEFSLETLQPTYRILWGSMGESNALNIAKSMGFDERIIERAVLWVNKLRPDKQQEQKGLLYRSLIEERDRLESQAMEAASLHSDIMNIYNEINNETQDLDGREAALIAKETHEIQQEVRAVKNEIQTIVQRFESQLGNVSVDEINTLVKKAEAAIASIVEAHQPSKDFLVREIGQSLYTPQVGEQVYVKAFGNKLATVVEEPGDDDTILVQYGKIKVRVDKSSIRPIPPDASSSAANLKTQVQQIRSLRDLGSLSEASKNQQDSYGPVLQTSKNTVDLRGLRVEDASHQLKIAIDSRAPNSVIFVIHGMGTGVVKESALKLLKDHPRVVKFEPESPMNYGCTVAYIK >Solyc08g079190.1.1.1 pep chromosome:SL3.0:8:62940826:62941242:-1 gene:Solyc08g079190.1 transcript:Solyc08g079190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFGATSIALVLTLNILFFTMVSSTNAPCPPPPHPKPHYPTPTPSTPSSKGKCPKDTLKLNACANLLGDLLHLVVGSSPAKTQCCSLIEGLVDLDAAVCLCTALKANVLGINLNVPLSLSLLLNNCGKYAPKNFQCA >Solyc12g041890.2.1 pep chromosome:SL3.0:12:56428183:56433521:-1 gene:Solyc12g041890.2 transcript:Solyc12g041890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESTQEELNGFTEQPISQARVVLCKYSAIQNSISEEKDDIGCVDSEKFKEIMNQIENSHNEVKKPREQVADAEALFDLTRTLVASVRSHSADDVTPYIFISSLLGAFGPRSAKHQKGLSPNEDTVGWKKLGLAVSPIFRNGRGCHTMIGPMNCEVKQRKYTIRKPHTKVYLHAQPKELDDIEEKTDTDSNISTMFQILREKKRVKLEYLIVNRKSFAQSIENLFALSFLVKDGRVVIDVDENGSHFLSPRNGPDAKLVKSGEVKYSHFVFRLDFADWELMKNAVPEGEALMPNRNILVNPVFTEANEPNRDILVNPVITEANKPVAMDDCQRMLPITWVKKLSRNRGKVLYKASEVDSNSPEIGDASIGSGSLKRKLL >Solyc11g056310.1.1.1 pep chromosome:SL3.0:11:45615051:45615365:-1 gene:Solyc11g056310.1 transcript:Solyc11g056310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosomal protein S13 [Source:UniProtKB/TrEMBL;Acc:K4D8U2] MLYISGARLVGDEQVRIASTKIVGIGPKKAIQVRYRLGISGNIKIKELTKYQIDQIEQIIGQDHVVHWELKRGERADIERLISISCYRGIRHQEFIVSLVSPAK >Solyc03g118010.3.1 pep chromosome:SL3.0:3:68475666:68481810:-1 gene:Solyc03g118010.3 transcript:Solyc03g118010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTGWLKGRVKAVPSGDSLVIMGSSKAEIPPEKSITLGSLMAPRLARRGGVDEPFAWQSRDFLRKLCIGKEVTFKVEYAVPSIGREYGTVFVGDKNVSMLVVAAGWAKVREQGQQKDANPYLKQLQDAEEQAKQQGLGRWSRAPGASEASIRNLPPSAIGDASNFDAMGLLERSKGKLIEAFVEQVRDGSTLRVYLLPEFQFIQVFVAGIQAPTMGRRATSETIINTRVTSDEPNGESITEPRATPTSAQRLASSAASVTEVSPDSYGREAKHFTETCVLNRDVRIVLEGVDKYSNLIGSVYYPDGESAKDLGLELIENVYAKYVDWSANMLEGEAKKKLKNAELEAKKTRLRIWTNYVAPATNSKAIHDQNFTGKVVEVVSGDCLVIADDSLPFGDPSAERRVNLSSIRSPKMGNPRRDEKPAPYAREAKEFLRNRLIGKQVHVSMEYSRKVNMADGPAAPASSADSRVMDFGTVFLASKDGDDASPAPSAAGNQLAGVNVAELLVARGFATVVRHRDFEERSNYYDALLSAESRATSGKKGIHSPKEAPVMHVTDLLTAASKKARDFLPFLQRNRRMSAVVEYVLSGHRFKLFIPKETCSIAFSISGVRCPGREEPYSEEAIALMRRKIMQRDVEIEVETVDRTGTFIGTLWESRSNVAVTLLEAGLARLQTSFGADRIPEVHLLLQAEQAAKRQKLKARASYIWENYVEGEEVVSSGAADRRQKEELKVTVTEILGEGKFYVQLVSDQKVAAIQKQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGAVESSKDKFEVFYVDYGNQEVVSYSQLRPLEASVSSSPGLAQLCSLAHVKVPGLEDDYGQEAAYRLSELLLSGPKEFKAVIEEKDTSGGKVKGQGTGTIFLVTLVDPESDVSVNATLLKEGLARMEKRKRWVPKDKQEALDELEKHQTEAREKRRAMWEYGDVESDEEDIPARKPAGKR >Solyc08g061240.2.1 pep chromosome:SL3.0:8:48323620:48324694:-1 gene:Solyc08g061240.2 transcript:Solyc08g061240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSWLSPIGLYGGFVRRSLTGAGLACKTIEIDDETTIHFWGPKSSKTKPSLILIHGFGPHGVWQWRQQISFFSNDYDLYIPSLVFFGRSTTKSPHRSEVFQANCMVKLLEKLGIEKCSIIGTSYGGFVAYHMAKMLPERVEKVIIASSAVNIKKIDNDGLLKRAKVEKIEDLMLPATVTQLRVSITASTNRSVPYLPDFFLNDFIKCVLEK >Solyc03g114560.3.1 pep chromosome:SL3.0:3:65994679:65998174:-1 gene:Solyc03g114560.3 transcript:Solyc03g114560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIILITKAMFLILQILIFLNASPYLVHCAFSRLQLPLQAFGPDSSAFDTKGVGPYTGVGDGRVMKYQGPNVGFTEFAITSPNRTKQICNGTNDPISQISCGRPYGLGFYNKTGDLYITDAYYGLLVVKPSGGLATPLVTSFEGTPFSFLDSLDIDQEEGVIYFIDSGAIFRTGNRVLIALSGDTTGRLFKYDIATKQVTLLLNKLSGPAGIALSKDKSFLLVSEAIGKRIRRFWLKGAKANSSDVFANIDGNPDNIKRTVSGDFWVAVVTVKTKVLIIPTIISTGQRINQSGKLVETRDFTAQYMSFNGITEVQEYNDKLYIGSLDQNFIGPDSAAFDPKGDGPYACVGDGRVIKYQDPNVGFTEFAITSPNRTKKRCDGTNDPISQLVCGRPYGLGFYDKTGDLYITDAYYGLVMAKPSGGLVTPVATSFEGAPFAFLDSLDIDQEMGIIYFVDSGAIFRTGNGLLIALSGDTTGRLFKYDIATKQVTLLLNKLSGPAGIALNKDKSYLLVSETIAKKIRRFWLIGAKANSSDVFANIDGNPDNIKRTELGDFWVAVAVLN >Solyc09g083040.1.1.1 pep chromosome:SL3.0:9:69134522:69135028:-1 gene:Solyc09g083040.1 transcript:Solyc09g083040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMIKIGAIGGRGGSIWEEKADELVGIIVSYDEDVVYSLQFLNYVNGELHMSGKYGTDYYEMNDAIMLDYPSEFITSISGSFERINKKLTDLRSIKFCTNKGSYGPFGTTSTDGKENIHFNFVIGNHQFFGGFHGTENSKGFIGSIVKDVPSSVIKIKDSQVKVKEEK >Solyc09g059734.1.1 pep chromosome:SL3.0:9:55506798:55508013:1 gene:Solyc09g059734.1 transcript:Solyc09g059734.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding FERVLLSAAKLMSPSSPAKIIRVPVQLPDNATPHTFKQALKHKNLDLHDGKEADGSIDRYKVRLVYKGFTQRLGLDYHCTFSPVVKPTTIHVVLSLALQHNRVLHQWGVNNVFIHGTLEEEVIYSLSSPFYLEDLGPVSYFLGVEVHRDSKGLFLLLEKYISDLLEDLLMQDCSGV >Solyc04g051100.3.1.1 pep chromosome:SL3.0:4:49578907:49579754:-1 gene:Solyc04g051100.3 transcript:Solyc04g051100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCTHLTPRRERRSKDERHVPLLEVQFCTDFSCDSRCCLVLPSMRNHPCALGLSRVA >Solyc12g006240.2.1 pep chromosome:SL3.0:12:754961:762782:-1 gene:Solyc12g006240.2 transcript:Solyc12g006240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGYICEYCGEQRSIVYCRSDAACLCLSCDRNVHSANALSQRHSRTLICERCNSQPAVVRCVEERISLCQNCDWSGHASSSSGSSMHKRQALNSYTGCPSAAELSNIWSFLLDDPSIGDTCEQRMGSMSINDNRPRDGQDPQGKDNSQNVCAAVEANDMSISEKSNLLVESSMPTFDNKLHNMEPPIGSSSKGCYMGAKGSNLFEEDPYCDNLIMDAVDLSIENYEELFGDSLNYPDELFENENLDSFFGMKDIKGADYSYHGVNATEGLSNARVNTVQPTCSDAASADSIMSCKTDSILYFARQSSLSVSNQTGGECSAGDHQDCGVSPMLLMGEPPWCPPCPEISSTSTSRSNAVLRYKEKKKTRKFDKRVRYVSRKARADVRRRVKGRFIKAGDAYDYDPLPTRSY >Solyc10g079190.2.1 pep chromosome:SL3.0:10:60910429:60914388:-1 gene:Solyc10g079190.2 transcript:Solyc10g079190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHRLLRDAEADGWERSDFPIICESCLGDSPYVRMTKADYDKECKICTRPFTVFRWRPGRDARYKKSEICQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKVRANDTIMKLQRTTPYYKRNRAHVCSFYIRGQCTRGLECPYRHEMPETGELSQQNIKDRYYGVNDPVALKLLNKAGEMPSLEPPDDESIRTLYVGGVDARITEQDLRDHFYAHGEIESIKMVVQRGCAFVTYTTREGAVKAAEELANKLVIKGLRLKLLWGRPQVPKPESEVSDEARQQAALTHSGLLPRAVVSQQQNQPLQPPGTQDQPPSMPYFNIPPMPQQDRPYYPSMDPQRMGAVIASQEGASSSTAGSGPGENKIGSDHQQGHHYAYPPGGPPPPGQFYPPYYRPAYGYMPPPPPPYHQYPPPPYQATAHPPPS >Solyc07g007700.2.1 pep chromosome:SL3.0:7:2356384:2360568:1 gene:Solyc07g007700.2 transcript:Solyc07g007700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASPILVYSKLPSSFGCNVSKQNTFSYRPSHKQLVSKLNLGIPKSHLRSSLSISIGDVNSSPLRPYIGGFRSLLLPVQCSGTYSNATETDGPKRVFESIKGLSLESVKMGFSQLTPFKVLKWGLVFSVAIAASRWTMNVLVNPFFWMYFSMTWLYWPWLVAISLASYSLYCLNKHLRGEANVFEQFAVVTSVFTWLTLVPPAHFNGFLEGWPVVFFLVYHYFFFLNVSIRKRLYGDYNPREHDPKWDISLPNWQKLLFCVGVMAGHWFAAFEGPELHLIPGGWSNLGIWGLIAMTLFMQYHSTLYLAKYSEKVVVPTAVVQFGPYRFIRHPIYASTMLLFVTYCIALRAPLSALFIAVVCSVYYGNKAKLEESLMIENFGERYMEYASVQNNRAAVLRGDEVDNYYFIFKGASLHESILTPRSTDLFRRLDQEQHMT >Solyc01g015010.1.1.1 pep chromosome:SL3.0:1:16524204:16524377:1 gene:Solyc01g015010.1 transcript:Solyc01g015010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAEDIFDITCAKVISYSSQHIYYHKEFLLTLYIYRSNPCILIISMRCCPTNYIKFS >Solyc08g022135.1.1 pep chromosome:SL3.0:8:32186573:32190372:1 gene:Solyc08g022135.1 transcript:Solyc08g022135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVSRGYARRSCRLSTICTHKKYYCGSLSNTNSMQKCNPTSGEEEADSVIFVIGHCLREGIYGAAIKFRGLDVDNNFSDSDGHHDPKQVCDGDDHHNLKQMSSISKEEFVHIFDVLMSEK >Solyc08g078770.1.1.1 pep chromosome:SL3.0:8:62617742:62619997:1 gene:Solyc08g078770.1 transcript:Solyc08g078770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRIGCTIDGNLNDSEFSQPMPWIGVYVAAASAACALGMAMDAFHGLRYRKFWFPCNFFSLNATTLTLLAVATKLSVDLNTSMPRQQDQLTKLSSAALICTVIANFMPSLGLMENQELLMNIMALGIFVITAIVNIGIQLATGVIYVFFKEHIALMFLMLVLLLLLISSAVTIPTTKRYLDLKYKKKYKLANKECNIMGTCTAEKLKYELMKFWTMAYTSCPHFVGGRLVTCTASGVFCLMSTGIYADAMFRSYILHKSFNFCSGDSEYKWSSTLILVTHTVAIGVGAIAPAFRWFRAINFNCPKKANNACKLKLFKVENYWIRILLQWKECPLDFRYCGRHGKKFAHKSKNKLLDLCILVQIMMVSLSKLVRLVSIFSVSQSLIYCRKAIKMLKCYSMMSSLDTELGSQTSSKPDLSCYALHLEGEEALIDLMMQSNSDLVNHWIGIGKKKQPKHLLRLLEKLKSSPGFRGVHKFDSAHIPSLNSENPPNCWALPVVTLTSIAIALPNINFHSIKELIKCVNEGLMYIKVVEDNLDARKDLANIRRAAELVWLEVDLCYKWLDVDLRKIATEGQNPKHMLESLSEKAKQRFIEFRKKDSTVCPKESPSKWPINMLAANSMYRICQTLLVSSDSIEFENSKIMFDKLSSMITDITGACLTNLQRVMQCHHGTITERSKGVRSAILLLGKAENILEILRSQPLPSSVPDQLSKIDHWCTLSKEEDYLSCSRSSTSNCTPTSQSSSDLYLNVD >Solyc01g017330.2.1 pep chromosome:SL3.0:1:23853706:23856291:1 gene:Solyc01g017330.2 transcript:Solyc01g017330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLADGAATTKKGIVVLGCWVEKGDILVGKLTLKVVKESSYAPEDMPYLKDGISVDMVFSPLGVPSRMNVRQIFECSLGIRSSNWGVWLTDIAHPHLAIAILFLIAEHMYRINWGIGHGLKHILEAHKGPFTATDYGTQLSLLTHNMWIGGFLIVGAAAHAAIFMVRDYDPNTRFGLYIHNDTMSSLGRPQYMFPDTAIHTCLSTWCNNNLQFNLGGGDLVAMGGKVAFLPIPLGTADLLVHHIHAFTIHVTVLIFLKGILFARSSRLIPNKANLGFGFPCNGP >Solyc04g024647.1.1 pep chromosome:SL3.0:4:29756653:29772118:1 gene:Solyc04g024647.1 transcript:Solyc04g024647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLAIPVLSVASECDFSTGGSILDSFRISLTPIFVQALILLNLEKNFALMTCKIGGRKISSCIDHLFGITMKNLKNMKMDRGGVDSLITQKDVESCFDDAPKFHNG >Solyc01g058700.1.1 pep chromosome:SL3.0:1:67655097:67656464:-1 gene:Solyc01g058700.1 transcript:Solyc01g058700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIRKVISSTEDAAHAPYNSITLSKTFQLDSFNIEDDLDNLNSDNDPQLADTSTFKRLRKNVFLSFLMNISKLNKKRIKDRMSFEPLLTGLCDDIRNICKEDIVSTELKMSSSQDDEEEPTDNDPPSRQLISTPPMSRDDFTTSTCYREGLGVEDTDS >Solyc03g113380.3.1.1 pep chromosome:SL3.0:3:65010704:65015758:-1 gene:Solyc03g113380.3 transcript:Solyc03g113380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRAKQLGTLSQSARSFFLGGSRCSAGDGSSCTCSEDETCISKRLQTKNDVRHPQISSNLVSKSSVGVGALLSGDAVKVVTSKKNESLDSPVSRPQAVPVSTLSGRVDSVKYGNIDAEITVQSSPPISDQFVRAGIAAVSFLSDVVNYKIPMLDGSKVLSSSNNCMVDHTKPVSNVRPSNINTSRNDKLQGKASTDTPVTSTLAHNSNYTKNKGDKSNSVRGRNPVSNSSVGKVESHGVIPDSRDRRKTMPPKPRTYPNRNMTNVRGSEGKLKHEIPEGFSRPQRATKLPSAGVMVRQFSNSSHVVGTVSRIIQQLNWSPETENALRELNYLLDPYQANQVLKQIHDHAVALGFFYWLKQQPGFKHDGHTYTTMVGILGRARQFGAINKLLEQMVKDGCQPNVVTYNRLIHSYGRANYLREALHVFSQMQEARVEPDRVTYCTLIDIHAKAGYLDVAMDLYERMQDAGLSPDTFTYSVIINCLGKAGHLDAAHKLFCEMVNQGCVPNLVTYNIMIALHAKARNYSSALQLYRDMQNAGFEPDKVTYSIVMEVLGHCGYLEEAEAVFTEMKRKNWVPDEPVYGLLVDLWGKAGNVEKAWNWYHAMINAGLCPNVPTCNSLLSAFLRVHRLPDAYDLLQSMLELGLNPSLQTYTLLLSCCTEAQTSFDMSFCCELMAVTRHPAHTFLLTMPAAGPDGQNVRDHVGSFLDLMHSEDRESKRGLVDSVVDFLHKSGLKEEAGSVWEVAADKNVYPDAVREKSSSYWLINLHVMSDGTAIIALSRTLAWFRRQMLISGIRPSRIDIVTGWGRRSRVTGSSMVRQAVQELLNMFGFPFLAQNGNSGCFVGCGEPLSRWLVQPYVERMHLL >Solyc02g021010.2.1 pep chromosome:SL3.0:2:21770163:21776322:-1 gene:Solyc02g021010.2 transcript:Solyc02g021010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRRVRIPKKKKDGLSHVQEIYEYRSTLLLESRDRSFTQEESENREIFFLHVPQVVMMELIRRVMRMIRVIRRVSVITNSCIGVTF >Solyc04g049780.2.1 pep chromosome:SL3.0:4:43325247:43333378:-1 gene:Solyc04g049780.2 transcript:Solyc04g049780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHYQKVELDSIREEKNHLKKIVEDMGGIGDSYLKDMIADEMSELNDMNGNEISDLTKVSALKGKMLNLELMDKIESSKMKKLSSLDEKVSKLELKVHKLISLLAVSWAFIPHWGSTVSDIQFAVNRVAQRMHQPSEHDYHFLKRILRYIFGTLGRGLLIRPGDLKLRGFSDSDWANDKNDRKSTSRFLVFLGPNLISWCTKKQPKVSRSSTEAEYRALALLAAETIQCQKRPYTNVVVDTVKDLRLLF >Solyc01g065505.1.1 pep chromosome:SL3.0:1:71583109:71586409:-1 gene:Solyc01g065505.1 transcript:Solyc01g065505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKVSYASVDGILMYAMVCKRPDISQRKLCLGNLDCKNVLLWMKIFLRKLDYVQERILTAIMEERVTFTGLNELPVRIHGRVRLDGGPLAVCLSKIGVSTPFLQF >Solyc00g007180.1.1 pep chromosome:SL3.0:2:32608039:32609350:-1 gene:Solyc00g007180.1 transcript:Solyc00g007180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSSTLEESFKENPLRLQHILPIDFHSIQEVPNSHLWPNINNSPISHDEKKPTIPIIDLLAPNVVELIGHACKTWGIFQVVNHGISLELFDEVESQARRLFALPAKEKVKVVRSTTGATGYGTARITPFFSKFMWHEGFTIMDSPLDHAKELWPHDYKKFCDVMGDYQKKMKALSFQLWMLILNYLQPSQEHSIKSFESTGALQLNSYPCCPNPNHALGLAPHTDSLFLTILHQTNNTKGLQILKKDLGWTSITPVSNDALIVNVGDLLHILSNGEFPSVYHRVLVDQTKHRVSLAYFFGPQVDSVIVPLVSSKDNVGVVPKYRNVKVKEYIDLKAKHMEKVFSMIRF >Solyc09g065740.2.1 pep chromosome:SL3.0:9:64254315:64259884:1 gene:Solyc09g065740.2 transcript:Solyc09g065740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ammonium transporter [Source:UniProtKB/TrEMBL;Acc:K4CUK0] MAYLYLPRNHQPDDSNPQWMNKADNAWQLTSATLVGLQSVPGLVILYGSIVKKKWALNSALMALYAFAAVLVCWVGWGYQLAFGDTLVPFLGKTNFDALEQKFLTSQAFVGKFPNASMVYFQFVFAAITLILIAGALLGRMNFIAWMLFVPLWLTFSYTVGAFSIWCPQGWLFRMGVIDYSGGFVIHLSSGVAGFTAAYWVGPRAPRDRERFPPNNILLMLAGAGILWMGWTGFNGGDPYVASLDASLAVLNTHVCAAMSLLTWLMLDILFYEKPSVIGATQGMITGLVCITPAAGVVQGWAAVLMGLMSGCIPWFSMMFLHKKMWFLKQVDDTMAVFHTHAVAGTLGAILAGVLANPRLSRIFYMVDDWPKYIGLAYGIQSGKFNAGLRQLWVQLIGIGFVFIWNVVSTSVICLLIRTVVPLRMTEEEVSEGDNAVHGEEAYALWGDGEKFDNSKLQFVHEIEEHQLSKSGYGL >Solyc03g114320.3.1 pep chromosome:SL3.0:3:65814609:65818554:1 gene:Solyc03g114320.3 transcript:Solyc03g114320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGVVMDEIHEVGEVKELKENGNSTPCKENEEGGLGPRNGGEEHVGDGVVEPSIEELYENVCEMQSSDQSPSRHSFGSDGDESRIDSELRHLVGGEMREVEIIEEDEEVQKPEIEDSRSDSGSKKGTSDDVKLDNSPSSSTKDPSSGQPKTPSQLELESETSAKSNSKGRRASLDKKNGNNTKKVVVGGTSRSRQKSSPASGSKLKNGTEDSSDSGLDNPDLGPFLLKQARDLIASGDNSHKALELAHRAAKSFEKCANGKPSLDVVMCLHVTAAIYCNLGQYDDAIPLIEHSLEIPVVEEGQEHALAKFAGYMQLGDTYAMLGQLENSIVSYTTGMEIQRQVLGDSDPRVGETCRYLAEAHVQALQFDEAEKLCQMALDIHKENGSPPSLEEAADRRLMGLICESKGDHEAALEHLVLASMAMVANGQESEVASVDCSIGDTYLSLNRYDEAIFAYQKALTALKSSKGENHPAVASVFVRLADLYNRTGKLRDSKSYCENALRIYGKPIPGIAPEEIANGLTDVSAIYESMNELDQALKLLQRALKIYNNAPGQQNTIAGIEAQMGVIYYMLGKYSESYNSFKSAISKLRASGEKKSAFFGVALNQMGLACVQRYAINEAVELFEESKVILEQEYGPYHPETLGVYSNLAGTYDAVGRLDEAIEILEYIVGVREEKLGTANPDVADEKKRLAELLKEAGRVRNRKARSLENLLDANHRPNAINNDLIIV >Solyc08g005210.3.1 pep chromosome:SL3.0:8:137557:140663:1 gene:Solyc08g005210.3 transcript:Solyc08g005210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELADRAVGLILTLTSLSIFTYYTFWVIILPLVDSDHFVHKYFLPQEYAILIPVYAAVALICLLSVFIGYVMLKSKKKKA >Solyc05g052715.1.1 pep chromosome:SL3.0:5:63766892:63772990:-1 gene:Solyc05g052715.1 transcript:Solyc05g052715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSFRAEESALRELQQQMGSFRNSVVKEKEEELGLFLEMRRREKERNDLLLFQNGDEFDAPLESRAGSSPIFNIGSTTNVRKNGTDDFLNADNDKNDYEWLLTPPSTPLFPSLEMESEKSMTSQLGTAKARPTALRSRLANPQPEPSGRSSLASRQPASATGLNTSNSGLRRPSSSGGSRPSTPTGRTTSSATSSLTSATRRTSTAASKAMTSTATSKTTSTTTMSRPARSSTPTSRSTLPSAKSTVPTRSSTPTARSSTRSSTPTRSSSTLTTRASIPGSKSTSRAATPTRRPTSVSSTANATAPSIKSLSSVTPATTTARNPVASRPSSPAVKPRPWKPSDIPGLSYDAPPNLRTSLSDRPTSATRGRPGAPSARSSSIEPVTNGRVRRQSCSPARGRPPNGVVRSSGSSVPIPAMSRLHAKANDNVSPGMIGTKMVERVVNMRKLAPPAKQDNKHSPRNNLYAHSSSPDSTGFGRTLSKKSLDMAMRHMDIRQSISGNLRPSMTNIPASSMYSVRSGPNRTRTVSVSDSPLATSSNASSEVSVNNNTMCLDGSEVDDAISGVKGARSPAYMHGR >Solyc12g042370.2.1 pep chromosome:SL3.0:12:58477300:58480177:-1 gene:Solyc12g042370.2 transcript:Solyc12g042370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTCKKEKSKEKREKRSQEISLLRTIPYSEHRNFRWWSSETVAVVTGANRGIGFEIAHQLASHGLTVVLTSRETGVGEEAVKVMQEGGLNVAFHQLDIVDHASIEAFSDWIKETYGGLDILINNAGVSFNVGTENSMENADTVIETNYIGTKNMTKAMIPLMRSSPYGARIVSVTSRLGRLHGKKNRITNASLRQQLEDVDSLTEEVIDNVMKIFLEQVKDGTWESGGWPQVFTDYSVSKVAVNAYTRLMARILEDRPEGHKIYINCYCPGWVKTAMTGWAGHISPEDAADTAVWLALLPDQFVSGKFFTERREISF >Solyc01g068460.3.1 pep chromosome:SL3.0:1:77511158:77514073:-1 gene:Solyc01g068460.3 transcript:Solyc01g068460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKANNQIMNHKPSFPMLQNHETIKNRKAELKKKMMKKSGKTKLLEYEISNSPKNFRKYVPQPGKPPPSTTNSSTTPTKRQHQQQQQQQQQSTCTPNYMKSTSSSVARKEQSQVSSRSPQTYSQSCSRKNSSNSKLGSASSVNKPIRSLLARTPSFKPTRVSSCSPIVMYDDFQVERATCSSTLKEVKFPAYLELSPGGTESDGTSVFKVCPYTYCSLNGHHHPPLPPLKSFLSARRRTLKNQRSFKLGCVSPRRANHRGLSLSDYVPKQIESSTTEKVAPLTNEDEKEFFVEIYSKEKEETNSIDDYYIIDSSVTDLVPSNEGIEVAADDSEDNLDQKREALCPNEGASDMVQEEVEFKSLSMHTEIEIEPTAEELESEASDMDWDVEKYYAYSEDETGSISDDIDPITFVDDSVISEEFTEKSSNPELLSDNTLEEFFDKESIISGTSYAYDDSESICSHTEFDIDECVEVSEGTTLISLDVNFILDGIDSETATMDYQAAVKAEETFCLEDEISTPHEDSVTPQDDDAAALTGYQELNVCYLHEVLDETCKDNEKHLDENIVVKMEETETKTDCCEQGSENSHGDDAQQLVVESDLKDESDKSHQAEDGSENSSGVEADKTGEACVEKQNQIKDNKTHAKYDSSEEMSERYKNLRGIARRNDSKEPEESRDFNPRLPNFLPLEPDPDAEKVDLKHQMIDDRKNAEDWMLDFALRRCSWRLLKQSCQHLNGNLTSGAAHQDSHILDQFKLATDASSRWTSARS >Solyc05g007440.3.1 pep chromosome:SL3.0:5:2017047:2024116:1 gene:Solyc05g007440.3 transcript:Solyc05g007440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATELEELIGFLSSPSPPVKKIAVDIVRDYTGSEDGLESLGKYSNVVLPSLSRLLGEKKVVSEPAAQALVNLSQKPELAGKMVEMHMVRTSMETLYKQDCEITSLLIMLLVNLTQLDAGIDSLLQSADEKMHGLYVMKLVRSFCSSSCEGKVDPFEHVASVLVNISKKEHGRKLLLDQKRCLLKQIVRNDSKSLLRKRGVAGTIRNCCFEAENQLQNLLLISEFLWPALLLPVAGNKVYSEEDTRKMPLELASALSIEREPVTDPEIRVQALEAIYLLILQEAGRRAFWSVNGPRILQVGYADEDDPKAMEAYERAGSLLIQESGLDEELTDTSSLAETSS >Solyc02g044003.1.1 pep chromosome:SL3.0:2:195711:197396:1 gene:Solyc02g044003.1 transcript:Solyc02g044003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNIPIVEPSSSKPKSDDTDDMMDEYLELESDETNNDFDLYFNQAREKIRHEEGQLQPRILIWWKNRENQFSSLSRIVRDVLAIQASSVASKRAFNRAEQGSLSGKSDPVKSDTGYLCNIRPFSEKCKNKYSFDLLSFVY >Solyc08g007860.3.1 pep chromosome:SL3.0:8:2375845:2388788:-1 gene:Solyc08g007860.3 transcript:Solyc08g007860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMLKRMLKERKSQPRKEQSDFFDYVLEELQSKDTILTEAIALDLMFVLLFASFETTSLAITLAIKFLHQHPKALKELAEEHEAIIRRRESASNGLTWQEYKSMKFTFQFINETVRLANIAPVIFRKALKDINFKEYTIPAGWAVMVSPPAVHLNPTKYEDPLQFNPWRWEGIEINRASRNFMAFGGGMRFCVGTDFVKVQMAVFLHCFVTKYNFFAFPDMELPEMESMATAIGVSVPVLRFLLCFVATIPVSFFHRFVPSSTGRHLYAAVMGGVLSYLSFGFSSNLHFLVPMLLGYASMVIYRPYCGIITFFIAFGYLIGCHVYYMSGDAWKEGGIDATGALMVVTLKIISSAINYQDGLLKEEDLREAQKKNRLLKLPSLLEYFGYCLCCGSHFAGPVYEMKDYLDWTERNGIWKSSEKGHPSPLGATLRSLLQAAICMGLYLYLVPFYPLSRFSDPLYQEWGFFKRLSYQYMACFTARWKYYFIWSISESAVIISGLGFSGWTDSSPPKPRWDRAKNVDVLGVELAKSSVQLPLVWNIQVSTWLRHYVYERLVQKGKKAGFFQLLATQTISAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQATKGTLFEKILTLISFAYTLLILNYSAVGFMVLSLHETLTSYGSVYYIGTVIPIVLILLSKVIKPARPARSKARKEE >Solyc08g080400.1.1.1 pep chromosome:SL3.0:8:63805069:63807108:-1 gene:Solyc08g080400.1 transcript:Solyc08g080400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAGCSSSLLSPRHRLRSEASAQFQACNFPSMSTQRLDLPCSFARKDTSRSQSISRPVGLSVEKPIEAKNSTCSLKQNIRLPPSSTSAQTSYVEGRRESKDEFWEKGRSLKRYAEQGCIDDESCMSRAKRKKSNRKSGDSSEDGYDLSLSQLGGGDFWLQSGFNAARSVPLIAGLHPPQAAPFSFSCSGEEESVCYVPNEVISPPLPMSNNPWIESVVTEITNFGDKNVSTSQDLAREASVSSASLDSHGLVLRPNENLGEHEVGNGSRLPNPNDRGEVVTAHNGHNNHREDDAAELISLLVSCVEAIGSRNVTGVNQLIARLGQLASPRGSPVSRLTAYFTEALALRVARIWPHIFHIIPPRDLDRLDDDSSTALRLLNQVSPIPKFIHFTSNEILLRAFEGKDRVHIIDFDIKQGLQWPSLFQSLASRPNPPTHVRITGIGESKQDLVETGDRLAEFAEALNLAFEFHPVVDRLEDVRLWMLHVKEGESVAVNCVLQMHRLLYDSSGGILRDFLGLIRSTNPTIILMAEQEAEHNEPSLEARLVNSLRYYAAVFDSIAFGLPLDSPARIKIEELFARDIRNIIACEGRDRTERHECFGKWRKLMEQGGFRCTGITERELLQSQMLLKMYSCEDYRVTKQGNDDAALTLSWLDQPLCTVSAWTPIDAAGSSSSYYQPS >Solyc02g032685.1.1.1 pep chromosome:SL3.0:2:29479513:29488645:-1 gene:Solyc02g032685.1 transcript:Solyc02g032685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTIRFSKLLMRNHGCCQGKVLKKSERS >Solyc11g020827.1.1 pep chromosome:SL3.0:11:12290870:12291440:-1 gene:Solyc11g020827.1 transcript:Solyc11g020827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGHLHIFLGVEVKYFDGGIHLSQNVAELPNKVEMTFPKTISTLLAQNHGLHEAVGNLVKASFHRMIVGIIQYLTLTRPYFTHSVKLASQFKQNPNSAHLQGVKRILRLYGYSDADWRGCTTTRRSTTAYSIYLGANCISWTSKKQSTVARSSAEVSIEHLPPVYPR >Solyc04g005650.2.1 pep chromosome:SL3.0:4:419369:423514:-1 gene:Solyc04g005650.2 transcript:Solyc04g005650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSALANGLAGAGGGIIAQIITYPLQTVNTRQQTERVSREGRDSRGSALFQILQVVRSEGLPGLYSGLKPSLLGTAVSQGVYYYFYQVFKNRAEAIAAANKKRGRGDGSVGMLSWLVVAALAGSVNVLLTNPIWVLVTRMQTHTQAERKIVEAKRETLLKEASQSVLTASSLEVQLAELDSLKPHPYGTLQAAREVYNESGVTGFWKGVIPALIMVSNPSIQFMIIESLSKQLRAKRAAKNKDQQNITALEVFIIGAFAKLGATVCTYPLLVVKSRLQAKQEISGNVSLRYSGTVDAIIKMIHHEGFRCFYQGMRTKIVQSVFAASVLFMVKEELVKVYAVLANKSKVNVYN >Solyc02g067010.3.1 pep chromosome:SL3.0:2:37818235:37826297:-1 gene:Solyc02g067010.3 transcript:Solyc02g067010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLNQQSEVNTPFSEPNDCTVKPSKGALACMVNSEIGAVLAVMRRNVRWGFHYAAADDQLEHPLIHSFKELRKNVFSWKHHWNRVDPLLYLQPFLDVIQSDETGAPITGVALSSVYKFLTLEIIDSSIMNVEKALYQIVETVTSCRFEVTDPASEEVVLMKILQVLLACMKSKASENLSNHHVCNIVNTCFRLVHQASAKSELLQRIARHTMHELVRHIFAHLPNIISKAHEFDQQSRLCADSEAGEKQHDNGCVSAESTGKSAPAAVPSNASDKRDGTTDEKTQKEEIASNRENPMMDPYGVPCMVEIFHFLCSLLNVMESIEIGSRSNPIAYDEDVPLFALGLINSAIEVSGASSGNHPELLALIQKDLFHNLMRFGLSMSPLILSTVCSIVLNLYHHMRSKLKLQLGTFFSGVLLRIAQSKYGTYYQQQEVAIETLVDFCRQPMFMPEMYANFDCDISCSNVFEDLANLLSKSSFPVNIPLSALNTLALDGLIAMMEGMAERISQDSFVSDQASIDLGEYRSFWTEICKDYSDPNHWVPYLRKMKVIKRKLLIGVDHFNRDPKKGMDFLQGVHLLPEKRDPKSVACFFRYTTGLDKNLIGDFLGSHEDFYIEVLHEFAGTFDFRGMNLDIALRIFLETFRLPGESQKIQRVLEAFAERYYEQSQNILADKDAALLLSYSIIMLNTDQHNAQVKKKMTEEDFIRNNRRINGGNDLPREFLSELYRSICEDEIRITPDRGAGIPMMAPSHWIGLVHKSRQTSPYIICDPGPYLDYDMFAMLSGPAIASISVVFDNVEQEDVWETCISGFLAIARIAAAYSFDDVLNDLVVSLCKFTTLLLPSYVDEFTVAFAEDGKARLATLAVFTLANEYGDHIRSGWKNILDCILCLHKLGLLPTRLFSDAADDLESTGDADPRRPTALFPSPSRFPSSTPSRKSSGLMGVFSQLLYLDEEPAPQPNEQQLAARQQTLQTIQSCHVDSIFAESKFLQAESLLQLVRAVVLAAGKPRKRNNSLEDEETAVFCLELLIAITINNRDRIMLLWQVVYDHIASVVHLTTMPSTLIEKAVFGLLRICQRLLPYKENLTDELLKSLQLILKLDARVADAFLEQITREVMHLVKANAMQIRSHIGWRTIISLLSFTARHPEASETGFDTLVFIMADGAHLLPANYVLCLNVAAQFADSHVGNVDQSVRSLDLMAGSLISLIRWSHQAKEALGQEAAVKMTQDITEMWLRLIQGLRKFCKDRREEVRDHAILMLQMCLTGVDGIHIPEDLWLQCFDQVIFTLLDELLNLAQPSFVKDYRSTEGAIVLALKLMFKMFLQSLNHLSQSTSFCKLWLGVLSLTERCMKVKFKGKWSEKIPELISELLKNTLLVMKTSGILGPSNPVGGDSFWKSTWLHVHKICPSLQTEIFPTNEAEQSEKQHIQVGCSPLAEGNVIVSSGNSTA >Solyc11g020350.1.1.1 pep chromosome:SL3.0:11:10995806:10996045:1 gene:Solyc11g020350.1 transcript:Solyc11g020350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPKISNDTDSLHPRTEFEKQKHKIKRFVQSPNTNFISVKCQGCFQITIIFSHSQTVVTCPICQQVLCQTTGGRAKTY >Solyc08g061857.1.1 pep chromosome:SL3.0:8:49699912:49702327:-1 gene:Solyc08g061857.1 transcript:Solyc08g061857.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAGFESSPVASRASFCRESTINEVAEHEEQPDEIVEQGEQLGDNTEQMEYPEEEEQSQPLRRSERQKVESTKYPSSEFSDNNFIILLLYVDDMLIVGQDKELIAKLKKDLSKSFDMKDLGPAQQILGMKII >Solyc09g016930.1.1.1 pep chromosome:SL3.0:9:11795080:11795478:-1 gene:Solyc09g016930.1 transcript:Solyc09g016930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCHLKNHIKLTLYSLRRFYHVETLFNGTLALAGRDQETTGFAWWARNARLINLSGKLLGAHVAHIYFFSYLEIQMDKKNFKFIFSINASSSTSDGHEASGWGSSNCVPTRIHSIVWESLFSRKAKAEQIVI >Solyc02g076670.3.1 pep chromosome:SL3.0:2:42386311:42390318:1 gene:Solyc02g076670.3 transcript:Solyc02g076670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENNSVEYIMENASSSSINLNEDNKGKLEEEEEVIEVEDSNEKEIEGNYNTSSDVEKKNVRQYVRSKLPRLRWTPDLHRSFVHAIERLGGQERATPKLVLQMMNVRGLSIAHVKSHLQMYRSKKLDESGQVLGHGNNRSIMQGRSYFFRSHLGGQRYNPIQDFKMKNGAIVLARNFNYDNHALKSHSFSRPSYQAKNIFSRYLSWSSDNQGGLVNSKFQKGEDLLRVKSWQTPRQVVIEQNGVMPIRSTQFLEQKKFIPNQWEEKTAKNSHFLLQQNLGQPFSKWNCRNNALDQNLVTPCRIEMKEDKNGFEEKEWLPDLQLRLSRSSDDKNEKRMDQSDINTMLSL >Solyc04g009120.2.1 pep chromosome:SL3.0:4:2642057:2644496:1 gene:Solyc04g009120.2 transcript:Solyc04g009120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAFVSFAVKKLGDFLIQEVSLLTNLRDEVRWLRNDLLFMQSFLRDAELKQCGDQRVQQWVFEINSIANDAVAILETYSFKAGKGDDQFASCLKGCACICKKDTKFYKVSKEIQSLKQRIMDISRKRETYGITNINSTNSGDGSSKRPNNPSAMVTTLRRTTSYVDGQDHIFVGFQDVVERLLSELLKEEPRRSVISIYGMGGLGKTTLARNLYISPDIVNSFHTRAWICVSQEYNTVDLLRYIIKSIQGCTKETLDLLERMTERDLEIYLRNLLKKRKYLVVSLKRAFPDSKNGSRVIITTRKQDVAERAYNRGFVHKLRFLNQEESWDLFCRKLLDVRAMTSTMERLAKDMVDKCGGLPLAIVVLSGLLSHKRGPGEWKKVKDRLWKNIEEDSIEISYILSLSYNDLSTELKQCFLYFGIFPEDQELEAENVIRLWMAEGFIPRGQERMEDVAEGFLNELIRRSLVQVARTFWEKVSECKVHDLLRDLAIQKALEVNFFDIYDPRNHSISSLCIRHAIHDQGEKYLSLDLSNLKLRSIMFFDPDFRNMNLINFCSVFQHIYLDTLGGTVPHAIGSLYHLKFLSLGGIGNLPSSIGNLKNLQTLCVKSEFRHLCQLPPETADLINLRHLVAPYSEPLVRISKLTSLQILKDISCDQWKDVDPKLWLDGRIQKLSLLPNSITMMVLLDSKLMEDPMPILGMLPNLRKLEVLRAYQGKEIFCSDNSFPQLEFLSLACLENLDT >Solyc05g053500.3.1 pep chromosome:SL3.0:5:64427599:64430164:1 gene:Solyc05g053500.3 transcript:Solyc05g053500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMETMYSKTCFMVDDDILNFSLDDDEEKYQTSSSSFESSNTLGFRQDDHNPSFPDYVEEELEWLSNKDAFPAVEFDLFSDHVIFDHHSPNSVLENNNNNCNVNLKDNAFTSHASSLLQVPMNHPVGTRSKRRRRIALQCDNSCVWGNQVKFNNTSTKQGLTLLKISMAKAKRGTSIGRTCQHCGVDKTPQWRAGPTGPKTLCNACGVRYKSGRLFPEYRPANSPTFSVELHSNSHRKVLEMRKQRI >Solyc02g032010.1.1.1 pep chromosome:SL3.0:2:27770827:27771120:-1 gene:Solyc02g032010.1 transcript:Solyc02g032010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTSAPPYVYLDVFLSQGAESYALVLVFFLSPYMFAILYEDLSIPQNLLIHPQHNDARGSSTFILRKFSIISSTFVEPQEYGFPLGEKSITATSAPV >Solyc03g033813.1.1.1 pep chromosome:SL3.0:3:5449285:5449500:-1 gene:Solyc03g033813.1 transcript:Solyc03g033813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLNFDIYDLELTALTRNSNLRELSVAITNKSILVFEDIFCTINLQGNLLNRANYVGSTNFHETESTVN >Solyc10g052710.1.1.1 pep chromosome:SL3.0:10:53215670:53216818:-1 gene:Solyc10g052710.1 transcript:Solyc10g052710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEVERFHRHPKREKLTKCVEFPSIFPEELITEIISRLPVKSLLKFMSVSKSWLSLISSPEFINTHLTVYNNNDQRHHRLMLGFSMHCYKLRECSFSSLFCNPVIELSDFNYPMKVEYDEGFSPVGSINGLICLVHGYHSPKHLFLWNPSIRKYKKFSNSRPKFRYDACMYGFGYDELHDDYKIVGIFCIYGRSLHIDFKIYSLKGDSWRMIPYSHGGMCFSRRSVFVNGKLHWTTHSFDQSVCKGGGIVSFSLAYENWGKVEEPCYGGKESISDLGVFGNDFCGFSHHLAIGVDVWVMKDYGIKESWTKMCTITYPKLERDIYFPSVFLSNNGDVLVGYGSMFILYNPKDDSFKYPKVINYSEWQIGEIYIESLISPLST >Solyc07g007690.3.1 pep chromosome:SL3.0:7:2347226:2354947:1 gene:Solyc07g007690.3 transcript:Solyc07g007690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLISPALLNGSSAPVPATDTEEDEESLTHPLLNRLSRSASNNTSQVAIVGSSVSPIESLDYEIMENDYFKQDWRRGEKIQILQYVSMKWMMCFFIGLLVGLVGFCNNLAVENIAGMKFVVTSDLMRARRYTTAFLVFASSNFGLTLFAGLITAFIAPEAAGSGIPEVKAYLNGVDAPAIFSLRTLFVKIVGSISAVSGSLVIGKAGPMVHTGACIAALMGQGGSQKYGLTWKWLKYFKNDRDRRDLVTCGSAAGMAAAFRAPVGGLLFALEEMASWMEYLKQWRSALLWRAFFTTAVVAIVLRALIDVCLSGKCGLFGKGGLIMFDVTSANIAYQIWDVPPVLLLAVIGGIWASLYNFLQDRVLRIYNRINERRAAYKIVLALTVSIFTSCLLFGLPWLAPCRPCPSDTSEPCPTIGRNGNYKKFQCPPGHYNDLASLFFNTNDDAIKNLFSKNTDAEFHPFSMLIFFITCFFLSIFSYGIVAPAGLFVPVIVTGAAYGRFIGMIFGSQSTLNHGLFAVLGSASFLGGSMRMTVSLCVIILELTNDLLLLPLMMLVLLISKTVADAFNGNIYDLIMRAKGFPYLERHAEPYLRQLAVSDVVTGPLQLFNGIEKVGNIVHVLKTTGHNGFPVVDEPPHSAAPVLYGLVLRAHLITLLKKKAFLQNPAPAGDDAFEQFSADDFAKKGLEHVDRVEDIQLKDEEMDMFIDLHPFCNTSPFTVVETMSLAKALMLFREVGLRHLLVIPKVSGRIPVVGIITRHDFMPEHVLSLHPSLAKSKWKRLRVKLPRGSCVQHYCNMLGRKAYSSLIFLVNPKGDLEMDFVFVLPQLINSVRVANKSLRKWSYDVPQFVF >Solyc04g047860.1.1 pep chromosome:SL3.0:4:38067545:38069468:1 gene:Solyc04g047860.1 transcript:Solyc04g047860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQCNTISEITKNKMKWNLKVGVVHFRTTPNKYKPDIPMVLILQNEKDASLDCEQIIDEDKLIEPTLVDIEEPSIKAVQDKKEQVDLAAEFPKAEHKEEASKPVYIVKEKLMEATENIRPEDIEAVSENDKVN >Solyc02g093180.3.1 pep chromosome:SL3.0:2:54755486:54758258:-1 gene:Solyc02g093180.3 transcript:Solyc02g093180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLRVVSVCVIRSQKFSSSKKIQLTPWDLQLLTVDPIQKGLFFRKPNPEQPKELAKSSSEIINRLKVSLSDTLNHFPLLAGRLIASKNSDGTSSFFVDCNNEGAEFNYVTAPDLTVDDILEQTYVPKIVKYFFPLNGVCNYEGVSKPLLAVQVTELVDGFFIGCTINHCVADGTSFWHFFNCWSEIARSGDSNLIVNKPPVLDRWFPEFVASPIHVPLSNEHVHDEFDLPLLEERVFHFSKENIAQLKAKANSEYGNDQKICISSLQAVLAHIWQSVIRCRCGTNANEKFSFKLLIGARTRLQPHLPEGYFGNAVHFVNVTTTAREMLEHDSGWAAMQINKVVSTQTHEEVMNFYQNWVKNPKIVKKSEVVANSLIASSSPRFDVYGNDFGWGRPVGVRSGAGNKHDGKMTIFCGAEEGSIDIEACLTPETLHAMGQDTKFMGDLSVKIEIA >Solyc01g112330.1.1 pep chromosome:SL3.0:1:98329479:98331126:-1 gene:Solyc01g112330.1 transcript:Solyc01g112330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDIGPLTNWVKINVQKTKDCYEIYALVRGLLREEVVSLPSKIDPYQTSVVVTLHGAKRWHPLENGGVEFTCDI >Solyc09g072540.1.1 pep chromosome:SL3.0:9:65514926:65515631:-1 gene:Solyc09g072540.1 transcript:Solyc09g072540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPPSRGSRPRDVPLLSRLSTPRYPFSRGCHPDVPFLEALGPEVPPTPSPPSRLATPRYPNPPSPSLKALEALSPEVPPTFLENLKALWYPSLLPRLSRPRGTPFSRLSTPRYPLPQTFPLEALEVLVPEVPPPSRDSRVSRGSRPRGTPSRPPMPPSRLSRLLALQYSFNLPSRLLRLSALRYPSPLQPLPLKALGPEVPPPLSPLEALGPEVPPL >Solyc03g112357.1.1 pep chromosome:SL3.0:3:64266146:64267339:1 gene:Solyc03g112357.1 transcript:Solyc03g112357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGDALPPCGWKNTCVCYTLGILSLGSPVDSCKIIVSLELILQVLVELLLIPARLRWAHLYVKDIKCVINYDFPSSLEDYIHRIGRTGRAGATGTAFTFFTHANAKFTRELIKILQQAGQIVPPQLSALARSSGPSTGGSNFRSRGRGGFGNRGGQRSGSNVIPIGGSRRPW >Solyc07g042510.3.1 pep chromosome:SL3.0:7:55902573:55904337:-1 gene:Solyc07g042510.3 transcript:Solyc07g042510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVKGNDVEEGSTTTSLLLYPGIRNDENDLRWDFIRKVYYILASQILLTTIVSAGTVLYLPVNNILHGKTILLILLILPLLLLLPLYFYRQKHPLNFVFLGIYTITLSISIGIACATINGKIVLEALILTSAVVLSLTGYTFWASKRGQDFSFLGPILFASLNVLILTGFLQLFFPLGSTVNAIYCAVSAIVFCAYIVFDTYKLIKRFSYDEYIWASVHLYLDILNLFLDILRLLRPRNTTN >Solyc03g043577.1.1 pep chromosome:SL3.0:3:7022842:7052825:1 gene:Solyc03g043577.1 transcript:Solyc03g043577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVNQTPIYSKHLQQFPILPSIMANEFHGSSLRSSSPNNPKFIQIITSLDELRRLRIPVVFAKRHCENMLNPVFLEAPHGKSWEVEVENSQGQIWLAKGWSDFCDYYSISVKSVLMFTYNPRCHFSVAIYDQNKEVGEANSISEKVGPNNYSSRYSLVDLTGDNPFFEMVIKKSHATCMAIPLRFAQQTDIINMKNMRLVNEEGVEWKVEIEYARSMVIIKQGWTAFRKDNKIANGETCLFKLIQGPIANVLQVQKIPTPLCLQ >Solyc01g014640.1.1.1 pep chromosome:SL3.0:1:14408439:14408795:1 gene:Solyc01g014640.1 transcript:Solyc01g014640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWDCAIANAQYAYGSMFYCGNFVLATSSLDTLWQSFNEPTDTIFPGQVLNQGNDPVSSFSDTNVSSGRFEFILQTDGYLVLCTIDYPAEAIKLHIGHLCQLAVVIKLSSINQAFLFFK >Solyc01g006340.3.1 pep chromosome:SL3.0:1:909106:918634:1 gene:Solyc01g006340.3 transcript:Solyc01g006340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTIMEIGNLGVGFRPQIAINSTRKWRCKQFLGQKMQNKKNFLLVCSVASKVCSFSEEENGLIEALIGIQGRGRAASPQQLQEVERAVEVLEGSEGVPEPTSSSLIEGRWQLMFTTRPGSASPIQRTFVGVDSFSVFQEVFLRTNDQRVSNIVKFSEAIGELKVEALATIKDGKRILFQFDRAAFTFKFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQRETEPRQKLLSSISTGTRVEKAIDEFISLNQNVVNPELELLEGEWQMIWSSQVETDSWLENAGNGLMGNQIVKPNGQLKFLVGILFGIRFSMTGKYEKSGSNVYDVIMDDGAILAGMYGIPVEMESKFTIEILMESSVAAENDSNPPDITHGLSAYGIASLMSASEDDVKERCWDQREALPGEPRCIVCGRYGEYICDETDDDICSLECKGILLSRLGESRQPTARPCPVKLPATDECYYVRDNGKSEVNPLTTEQTELLRRKLDILVKGDTTPPPILSFASCKLPQKLLENIEVAGYEMPTPVQMQAIPAALARQSLLVSAETGSGKTGSFLIPIVSQCAKFNEEHFPNQQQPLAMVLTPTRELCIQVEDQAKVLGKGLPFKTALVVGGDAMAGQLHRIQQGVSLIVGTPGRLIDLLTKHEIELDTISILVLDEVDCMLQRGFREQVMQIFTALSQPQVLMYSATIPKDVEKMASSMAKKVTVISVGKPNKPNQSVKQLAIWVDSKRKKQKLFDILMSKQHYKPPVIVFVDSRLGADLLSEAITVSTGLKALSIHGEKSMKDRREIVRSFLVGEIPVIVATGVLSRGIDLLTVKQVIVFDMPNSIKEYVHQVGRASRMGEEGTSIVFVNEENKKLFPQLVEALKTSGAAIPRELANSRYSVGSFSAGRGSWTLSDTRHTIHTIRRIAVKQNPGTSGLGGVIRNHNGDWQIGFMSKEPHVDPVLAESRALRQRLIMASQRNLTPLDIISGSTEL >Solyc08g082190.3.1.1 pep chromosome:SL3.0:8:65164338:65165498:1 gene:Solyc08g082190.3 transcript:Solyc08g082190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMQCYKEEGKEFDYYSYGNQKPSYSSSGYEQSYYEKEKCYETKPGMMGYGSSHGHQHGSNPCGPMMGHNSGYGTGHNGTSHGMGYGMGYGTGHNSNSHGMGCGTGHNNTHGMGYTGHNMHKPKPGMGLGLGTGTALGHVMGFGKKHDGHSSYAMGSSGCTTYKKQHRKRNGYGSGSDCSDSSDDERHC >Solyc01g018020.2.1 pep chromosome:SL3.0:1:26751512:26757641:1 gene:Solyc01g018020.2 transcript:Solyc01g018020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNFIVKPFPPLFHIQTNNYRYDYHTKKLALKSNIVCLKTNNISTTSPLFDQSRPSLFKDKDYKLSWQEEVKRAYPLEISNQNLNDDEKLQELVDKRCIDNFRMLIVDSVQHAKAGHAGMALGMAEVAYFLYRHAMRYSLTSPKWFNRDRFVLSAGHGCLLQYVCLHLASFRSVQIEDLKCLCKLGSRTPGHPENVVAQGIEVTTGPLGQGVANAVGLALAEANLNARYNKPDVAVVDHRTYCIMGDGCSMEGISNEAASLAAHWKLHKLTLIYDDNHNTIDGSTDLALSEDTSARFEALGWNTITIDNSQGNLDAFKNALISAHCETRKPTFIRVKTIIGKLSKKEGTSKAHHGTFDDDDVKQMKQKVKWEDREPFHVIPMVYREMQAQTNLGGRLEQEWHSKLNYYQNKYPEEAAEFKLLLPDGMIPGWESSLPKCSMSDPVDSTRGYSGKCLNALAKVLPGLIGGSADLASSNQAYLHNLGDFKQPDSPWGQNIRYGVREHAMGGISNGLALHGGGLIPFAATFLVFSDYMKNSVRLSALSHAGVIYILTHDSVGIGEDGPTHQPIEHLAGLRAIPHLLLFRPADGKETAGAYKVAIENRSVPSLVALSRQKVAANVEGTLADAVGKGGYIVSDNSEKELPEIILIGTGSELCLCEASANVLRKEGTRVRVVSLVCWRLFDRQPRDYKECVLPSSVSKRVSVEAGSPLGWKEYVGDEGIVMGIDDFGSSGPYSEVFNKYGFTEENVTKTAKSLLTKRSSITTQTYYIYIEIGISHSPFSSIL >Solyc02g087560.1.1.1 pep chromosome:SL3.0:2:50602289:50604286:1 gene:Solyc02g087560.1 transcript:Solyc02g087560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLLFSPSSSSSGYCLPFSQPYRITILFHYTKRKPLRTHFVLLSLSATKDVWRKTTPPFSPSKYRPYRRNPQSTSFLDHSVDMDDLLSSIGQTANEHELFALMSPYKGRNLSMRFMVTLLSRESDWQRSLALLDWINEVALYTPSVFAYNVALRNVLRAKQWQLAYGLFDEMRQRALSPDRYTYSTLITYFGKEGLFDDALSWLQKMEQDHVSGDLVLYCNLIELSRKLCDYTKAISIFSRLKTSGITPDLVAYNTMINVFGKAKLFREAQLLVKEMRSVGVLPDTVSYSTLLTMYVENQKFLEALSVFSEMNEVKCPLDLTTCNIMIDVYGQLDMAKEADRLFWSMRKMGIEPNVVSYNTLLRVYGEAELFGEAIHLFRLMQRKNIEQNVVTYNTMIKIYGKTLEHEKANNLIQEMQNIGIEPNAITYSTIISIWAKVGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERAGLVAHAKRLLHELKRPDNIPRETAITILAGSGRIEEATWVFRQAFDAGELKDIAVFECMIELYSRNRKYTNLIEVFEKMSGAGYFPNSNVIALVLNAYGKLQEFEKADMVYKEMHEEGCVFSDEVHFQMLSLYGARRNYEMVETLYKVLDSDPNVNKKELHLVVAAIYEKANRMNDASRIINRMTYRGAM >Solyc06g053840.3.1 pep chromosome:SL3.0:6:36806267:36807586:1 gene:Solyc06g053840.3 transcript:Solyc06g053840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPV5] MECVLAHEKDLNLKATELRLGLPGRTDEESDKEIVFHFKNNKRALPEDEDCESNSISDPKTPPVAKTQIVGWPPVRANRKNSFPSKKAEAECGMYVKVSMDGAPYLRKIDLKLYKGYPELLKALEKMFKLSIGEYSEREGYKGSEFAPAYEDKDGDLMLVGDVPFEMFLSSCKRLRIMKGSEARGLGCGV >Solyc02g030260.2.1 pep chromosome:SL3.0:2:25948275:25950371:-1 gene:Solyc02g030260.2 transcript:Solyc02g030260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLHSRILDYEDAPNSEIHEEKFQLFSLRSLTLFWRPHWDSLPAWLQNLSYLTELHLYDFGFEAVPEWIKYMSSLERLGLYWCEKCEEAIKDDRKSMPNGVGIATGSVMQEVLGYGRWRSSLGCVANRHLNRHLDIDLKVNPL >Solyc12g013880.2.1 pep chromosome:SL3.0:12:4667480:4680701:-1 gene:Solyc12g013880.2 transcript:Solyc12g013880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSPNEHPLTDPLAENNLQPFFVLHKASKSSESTAVTPGRSTKRRLDSSSKVSLSNENLKMEAFRCVWSKIESKIKDVLRSINAGVFDEIGQWVRESFNEICSCRGPVDPSKSSLPYPFVHNGGLVKKLFTGLVFTKNIETVDDILTFAELGLHLKSHGCYVANISSFDFSTKNGIGGCLRAFLRQLLMVDIEAADVSLLASWYSDHGKYEKPVVVIIEDMERCSGAILSDFINMLSEWSVKIPIILIAGVATSADAPRNVLTSRALQYLSTSIFSLKCPAERLDAIIETVLVKNCAGFSVGHKVATFLRNYFLRQDGTVSSFVRALKMAIVQQLSIEPLSFVLKLSVDEGDSKRSWHEDLANLPEELIKHSFELPSYKKYSVNRNNHVELNATSLGHGLSEMQRLQELWRSCLMCLYEAGRYHKVALLDLYLEALDPELYNSRLSNHGCDSAKDRSLLSNNDKLFKLQKAEVTNQVIRKMRDLPAAKLSQLLKSWERFTEGSMEVHEKIMELQSQMVSEDVKGHKAELTDISKRHISRRGLNVEKDACTSNDKAATLAGQMIRDSMQPIECIPFHELICFKDVDNLQSALAGDPRRRIQIDLLNFYKILKCGCCSNSGGTLSPSMHETSIMYTLAQEHGDLINLHDWFQSFKASLSSSGNKGLKKLASPKKRKENPSSQNNSDALLQARFCRAVMELQITGLLRMPSKRRPDCVQRVAFGV >Solyc01g086760.3.1 pep chromosome:SL3.0:1:81603906:81604316:1 gene:Solyc01g086760.3 transcript:Solyc01g086760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARTKQTARKSTGGKAPRKQLVPKSVFKSVLTSGRVKKPHRFMPGTVALREIRKYQKSTELMIRKLPFQRLAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc05g050100.1.1.1 pep chromosome:SL3.0:5:60941782:60941955:-1 gene:Solyc05g050100.1 transcript:Solyc05g050100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLKAIKIRYERCWICARISAMDVVGFVKEIFSEEYTHDTYYLISLLGLNMCNTRH >Solyc03g094120.3.1 pep chromosome:SL3.0:3:57301035:57303071:-1 gene:Solyc03g094120.3 transcript:Solyc03g094120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKNPINEYYHYIVYTLLTIVLLYILLLTSSSKSNNFALSPSQPTKTFNMKMYGDELEEALVGASTEDKMVIIAIVNKAYVEGDKPMLDIFLDGFWLGEGTNDLIKNLLIVAMDQISYRRCKFLHLNCYKLETDGVDFAGEKLYMSKDFIKMMWQRTRFLGDVLKRGYSFIFTDTDVLWLRNPFHNLSLNKSIDLQISSDNFNGNEWSNSNPINTGFYMIRSNNKTIALFDNWYHKKHNSSGLKEQDVLQKLIRTKDFRNLGLKLRFLDTIYFSGFCQNSKDVKAVVTVHANCCRRISAKMADLTAVIHDWKRFKSATLNETLRFQWTPHDHCRDSWRN >Solyc12g044330.2.1 pep chromosome:SL3.0:12:60201772:60203397:1 gene:Solyc12g044330.2 transcript:Solyc12g044330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCIAFGRFDDSFSLGSIKAYIAEFISTLLFVFAGVGSAIAYNKVTADAALDPSGLVAVAVCHGFALFVAVAIAANISGGHVNPAVTFGLALGGQITLLTGLFYWIAQLLGAIVGCYLLKVVTGGMAVPIHGVAAGVGAAEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGNFAGIWIYWVGPLVGGGLAGLIYSNVFMNHEHAPLSSDF >Solyc07g052240.3.1 pep chromosome:SL3.0:7:60851113:60857879:-1 gene:Solyc07g052240.3 transcript:Solyc07g052240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLWWSLLVVQILFLLLLGFSNGESPYRWYNWNITYGDIYPLGVKQQVLSTNALDLKYGILINGQFPGPPIDSVTNDNLIINVFNSLDEPFLLNGIQQRRNSWQDGVYGTNCPIPPGQNFTYVLQVKDQIGSFFYFPSLAMHKAAGGYGGIIIRSRPLIPVPFPPPAGDYTILVGDWFKLNHTDLKAILDGGNDLPFPDGLLVNGRGSNGLTFTVDQGRTYRFRISNVGLTTAINFRIQGHKMVLVEAEGTHTLQNTYESLDIHLGQSYSVLVTMDQPGQDYYIVASTRFTSPVLTATSILHYSNSAGGVSGPPPGGPTIEIDWSLNQARSIRQNLTASGPRPNPQGSYHYGLVNTTRTIRLANSAPMINGKKRYAVNSVSFIPADTPLKLADYFKIPGVFNLGSIQDYPTGGGGYLQTSVMAADFRAYVEVVFENPEDTVQSWHIDGHIFFVVGMDGGQWSAASRLNYNLRDGISRCTIQVYPRSWTALYMPLDNVGMWNIRSENWARQYLGQQFYLRVYSPVNSWRDEYPIPIGALLCGHPYRFYAWNVTYGDIYPLGVKQQGILINGQFPGPPIQCVTNDNLIINVFNNLDEPFLISWNGVEQRRNSWQDGVYGTNCPIPPGKNFTYVLQVKDQIGSFYYFPSLAFHKAAGGFGSINIASRSVIPVPFPSPAGEFSILTGDWFKQNHSDLKAILDGGHDLPFPDGLLINGHGSNGYTFTVDQGKTYRFRISNVGLTTSVNFRIQGHKMTVVEVEGTHTVQNAYDSLDIHLGQSYSVLLTADQPAQDYYIVVSTRFTSQVLTATSTLRYSNSAGSVSGPPPGGPTIEIDWSFNQARSLRRNLTASGPRPNPQGSYHYGLINTTRTIRLANSAPIINGKQRYAINSVSFIPADTPLKLADHFNIPGVFTLGSIPDSPTGSGAYLQTSVMSADFRAYTEVVFENLEDSVQSYHIDGHHFFVVGMGRGEWTPASRLTYNLRDTISRSTVQVYPKSWTALYMPLDNVGMWNIRSQNWARQYLGQQFYLRVYSPVNSWRDESPVPSNVLHCGRA >Solyc06g071285.1.1 pep chromosome:SL3.0:6:43997166:43997538:-1 gene:Solyc06g071285.1 transcript:Solyc06g071285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNISGEPIPLVLVLMEDIKMQVYIKILGKQESSKAIQRLQKSMAHFLYISSNHQKLKYPFPLYLHSISLSSIFLQGFLKTKQ >Solyc02g081820.3.1 pep chromosome:SL3.0:2:46175638:46176649:-1 gene:Solyc02g081820.3 transcript:Solyc02g081820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYIVKRLRCITLDITGTLIAYKGDLGDSYCLAVQAGFKVAYAELAKKHPCFGFADNIPNYVWWKICVRDSFVKAGYEYDDETFQNIYSRIYATFGSAAPYRIFPDAIPFLRWLRKGDVTVGLVSNAEYRYRDIILPALRLNQGSEWDFGVFSGLDGVEKPDPRIFEIALKKAGNVAPEEALHIGDSLRKDYLAARGVGMHALLLDRFKTADAVNGRKSGAIVLPDLTATKDWLTSEKLVSCL >Solyc05g050555.1.1 pep chromosome:SL3.0:5:61545577:61547516:-1 gene:Solyc05g050555.1 transcript:Solyc05g050555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTLLCLEEEEDIMLLELVTKPKKLFLFANIVVVKSKRKRMYANQVDLGQEVRGTNNRNYAITGTDTGATHHITSSLDSLDKANVSPAHTANKVHLPNESTVKYSVKSVSSVNSVKKFESIDVWHRRLGHASVDVIKKHDGVRTLTSKDVFHCPVCPLAKHTKLPFTQRNHVSKHNMFSTNVKTLRTHNGGEFFNTAVQSLLTLVIEFSSQDFDYGPCSSKPPSPASDLPVHNVSLPTEVVPEVFDVLPSTQSNVVVPVRRSTRLVDHLFGCRIL >Solyc06g066035.1.1 pep chromosome:SL3.0:6:41509545:41512195:-1 gene:Solyc06g066035.1 transcript:Solyc06g066035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSGLENVHYEGSKLHVFEKICQNIVQPKKHISSRVVSTKSIETMTGGIFSKPRAQISNTFHAKSKMLNNCIQVYTPSRVRRKVVHLPVYADDYAFLNLLDISKREVDLFIQGVVPSEEIAS >Solyc05g045700.1.1.1 pep chromosome:SL3.0:5:58341427:58341654:-1 gene:Solyc05g045700.1 transcript:Solyc05g045700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIVNFVSMQHVKQHQKAKKGYQKNYYHNQMMNYSYFPFATLKNLLNMLSIHFLHHHLFPYQYYYSRMNYLDLNP >Solyc08g081950.3.1 pep chromosome:SL3.0:8:64977204:64982483:1 gene:Solyc08g081950.3 transcript:Solyc08g081950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UBC38 [Source:UniProtKB/TrEMBL;Acc:A0A1S6KM66] MASKRILKELKDLQKDPPTSCSAGPVGEDMFHWQATIMGPPDSPYAGGLFLITIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >Solyc10g037877.1.1 pep chromosome:SL3.0:10:17962719:17964720:-1 gene:Solyc10g037877.1 transcript:Solyc10g037877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLGSRKTESPTTCHCSVNIFFFFVPQPIASLQVKKISSLFIKNSQNTRDKPNFPSIIQGHIMPKLKKYRDKEMDLVQMKQRGKRKIKGELITYPRTSKALYSLRFEILQKVNPYVTYGHPNLKSVKYLIYKKSLEVVPREIDSVGPHFKKFTRFLFRKREDHINELISKMNYSFSYF >Solyc04g024780.1.1.1 pep chromosome:SL3.0:4:28883956:28884969:1 gene:Solyc04g024780.1 transcript:Solyc04g024780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPISSSLSPNYSIYTHLLIQILSLHIFFHKAETSIHNCTSYYFRSLENHIEIVFSAIKIDCLEKMHPEIVTEEFEAKLQVKEDEPKIDLEEEDDDEENDHEIELVDATEEDFSFVCGVLTSPVAAAEAFDNGQIRPFFPLFNQDLLLSDIDFQHLKKRAPVNKVFIETDNSNNPPITTSDEEISGPYCEWSKKTTNINNSAENKSTCKKSNSTGFSKLWRFRDFMNRSNSDGRDAFVYLNNNSSTTRSSMSNVNKKEDKVVVTEKKEKSSGDGVVKLVTQNKKNIKKSEAVLAHEVYMRSKAKHEERRRSYLPYRPDLVGFFTNVNGGLTRNVHPF >Solyc06g071870.3.1 pep chromosome:SL3.0:6:44419651:44422434:-1 gene:Solyc06g071870.3 transcript:Solyc06g071870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYSNEPENPTKSCKARGSDLRAHFKNTRETAHALRKMPLNKAKSYLEDVLAHKQAIPFTRFCRGVGRTAQAKNRHSNGQGRWPVKSAKFILDLLKNAESNAEVKGLDVDSLYITHIQVNQAQKQRRRTYRAHGRINPYMSHPCHIELVLSEKEESVKKEPETQLAPRKTKASS >Solyc08g028920.2.1 pep chromosome:SL3.0:8:39210800:39211991:1 gene:Solyc08g028920.2 transcript:Solyc08g028920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVHSHSGKRRYTSNLSVTNDQARQKLFSLDFYSKKDRIPDYSDLNRIICTGQHNLVFLPILRGSFASLSKRNKFIISLHSIQGLENELMPCSGISIEIPVNGIFRRNSTLAYFDDPRYRRKSLGIIKYGTIETHSVINKEDLIEYRGVKEFRPKYEMKIVFFIPEELHILSRSFSLMVRNNSIVGVDTQITLNLRSQVGGLVRISIFLERRIRFQAYRRFDTTRNRKKNSKEYKKVQNWIYVQRIIPIRPVVTYEITDGINLGTLFPPDPLQERDNVQLRITCYVLNWNQDKKSSSCEEAHASFVEIRTNGLIRHFLKINLVKFPISYIRKRNDPSGSGLLSDN >Solyc07g041295.1.1 pep chromosome:SL3.0:7:52331958:52334476:-1 gene:Solyc07g041295.1 transcript:Solyc07g041295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAEVIDTPIPDYETDNATNTEVYPDENVAHPIMQYEDNTITLIETEVDEVPTTTNIPTHNQPKGAEIVIILVYVDNFLITGSDTQMITEVKENLHRQFKLKDLGELKFFLGLPGAKPASTPIEPNVRLTSLEYDLANCHTADEVLQDITDYQRVVGKLLYATITRPDISYTVQNLSQFMQSPKKTHWEAAIRLIKYLKGTVGQRVWLQSKPAGTLSCWCDSDWTACPNTRRSITRYVVNFEDSLVLWKSKKQQTISRSLAVSEVNWL >Solyc10g054030.2.1 pep chromosome:SL3.0:10:54464621:54472348:1 gene:Solyc10g054030.2 transcript:Solyc10g054030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSACCVAARDRAVLDGSSCENFQRNVRQSPTWSFRWDNRGRVAGEETPVNWSSDGIGGNDGIEFKSGTVGTVYASEEGSPLDSFRSLAWQKSPVSERNNRNFSLPLLDPLAERNSTEVKDFAGSTALSFRSPIKLSPSAPSVSSLSASPLSSRGQLPPASFTPCLPHHSSAHQLGQQVSDGRVPGLKSPTFSISEESSSFVLLPGWSNDSTRGSHGGSSDGWSAPGFADLANPRRDRWSFDSESFGFHRERATSRGSGSSSFDLQTCGICAKLLNELAVAVLVCGHVFHAECLENMTSEINRYDPACPVCTFGEKQALKIYEKAKAKIELKARKRLRNRIVDSDISGNVDLLERQKSSAHEGGCPRMSSSSSMKSPSKRPFLRHYFSFGSKGSRSYSEGPSTRRRGFSWTRSNKK >Solyc04g014820.3.1 pep chromosome:SL3.0:4:5055528:5060149:-1 gene:Solyc04g014820.3 transcript:Solyc04g014820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGKVFVGGISWDTNDDRLREYFQAFGDVVEAVIMKDRITGRARGFGFVVFADPSIAEKVVKEKHIIDGRTVEAKKAVPRDDQHVNRNGSIQGSPGPARTRKIFVGGLASSVTESEFKKYFDQFGTITDVVVMYDHNTQRPRGFGFITYDSEEAVDSVLYKIFHELNGKRVEVKRAVPKELSRGPTQSQLVGRYNHGMNRVNNFLNVYTQGYSPSLMGNYGVGTEGRYSPISVGRNGYSLFGPADYNMGTEIDSRLNSSYGGSGKFSSVLGYGRNLNSFHNENPNKYNIPVGHAPGRVENGPVLNSTGQNKWENDSLFHGTNYANSSMFAGSRSGNTGLSSAFGGLGTTWGTSPISGQGGRNGSFGDDNITFSGGQNGFAGGAGYGQNARSNADAKSSYAPTQGVHANAFGDFYGTASSPIFEDSTWRASSPELDSAGALSYGLGSADSLSYVGGYSVANRSTRGIAA >Solyc05g051810.1.1.1 pep chromosome:SL3.0:5:63043170:63043388:1 gene:Solyc05g051810.1 transcript:Solyc05g051810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMGVDYAYLQVQQKRLKEKLKKMEEENARSSNNEGNTVERLTADSKYSKSKKIYPDGFVSFESNENLGKN >Solyc03g019850.3.1 pep chromosome:SL3.0:3:62794089:62799487:-1 gene:Solyc03g019850.3 transcript:Solyc03g019850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGLEELKKKLVPLFDADKGFSPTSTSDPFDSYSLSDAGTVNLLSQSYGVYNINELGLQKWPVDDTDHGEKTYRCASHEMRVFGAIGAGASSVVQRAIHIPTHRIIALKKINIFEKEKRQQLLTEIRTLCEAPCYQGLVEFYGAFYTPDSGQISIALEYMDGGSLADIIKIRKSIPEPILSSMVQKLLHGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLESSIAMCATFVGTVTYMSPERIRNENYSYPADIWSLGLALFECGTGEFPYTANEGPVNLMLQILDDPSPSLSRHDYSPEFCSFVDACLKKNPDDRLTADQLLSHPFIMKYSDSALDLGTFVRDIFDPTQRMKDLADMLTIHYYLLFDGSDEFWQHIKTLYNECSTFSFGGKESIGPNNIFSTLSNIRNTLAGEWPPEKLVHVVEKLQCRANGQDGVAIRVSGSFIVGNQFLICGDGMQVEGLPNLKDLSIDIPSKRMGTFHEQFIVEKANIIGRYFITKHELFITQ >Solyc03g118800.3.1 pep chromosome:SL3.0:3:69101129:69106428:1 gene:Solyc03g118800.3 transcript:Solyc03g118800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIAPGVGANLLGQHAAERNQDATAYVGNLDPQISEELLWELFVQAGPVVNVYVPKDRVTNAHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSVDVGANLFVGNLDPDVDEKLLYDTFSAFGVVVSNPKIMRDPETGNSRGFGFISYDSFDASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLASSNPGTQKNRPHTMFASGPPTLPGVPQANGAVGAPVPPRPFANGPMPPAPVPAIRPPPMQPNIYPPMQMPPPQAWQGQPMPPPVAGGIPQQQMQFRGMPPPPPPQLAPPLNRPPPPPAAMGGNVWRPPPPPQHFNGGHHAMPHMSMQPPPPPPAPG >Solyc09g057800.1.1.1 pep chromosome:SL3.0:9:51278834:51279328:-1 gene:Solyc09g057800.1 transcript:Solyc09g057800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCFISDPSDLLFVMLITHISDSHFIFLEDFALVLIKQRYNSRSFISMGFTHFGYSMGLNTNSDDVVATPVKYKDYFSTYVSTTKLNTTWENLFEMHNNLNILDITTAQVPDLITKLAAMSEQEDSLKDLLLSALLSAHFKIDSVKNVIKETGVDVARIRFKLY >Solyc01g102500.3.1 pep chromosome:SL3.0:1:91166261:91170211:-1 gene:Solyc01g102500.3 transcript:Solyc01g102500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTYTAIAFENLLEPRVRKSYGKQVLNERNEEEKVVEDIEPPPAQANHIFISPALYITPEPAPIPETSSGSLSPSPYLVNHKRRGGGEAFANRKLDGLEEAEQVNGQTDLDLDLNLNLEEELPEENLFEEDEGFLDPRCDALSVGSVNEVKGIDCRSYVSAQGEFFDADEDFSVEGSSLNGSTCGPNIEWELRTTKLKFLEEIERRKTAEDALNMMRCQWQNISTVLSQAGLTLPSPSDVIGDMQLDNASIEQLYQEVVVSRFVAEAIGKGQARAEAELAAESVLESKNQEISRLRDRLRYYEAVNHEMSQRNQEIIEVARKQRQRKKIQKKWLWSCIGLSAAIGVSVLSYKYLPQASKHQPSSYPNESTSTGTHKTG >Solyc12g042700.2.1 pep chromosome:SL3.0:12:58994943:58997663:1 gene:Solyc12g042700.2 transcript:Solyc12g042700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRMAGKSVAKAVGQYQYPWQEKLAKYKDELSKGVWGYWVLGAWKPLGMSARHRARLRKEVVVAGQDWPYGPARKEMRTKQKGHKCNRISAEKRAKTAELMQKMPEMLADYRKRKWERKMKAEEDAARKSLQE >Solyc09g090230.2.1 pep chromosome:SL3.0:9:70249812:70251663:1 gene:Solyc09g090230.2 transcript:Solyc09g090230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHVVGGTYKLGLKIGKGYLADYLGVNVQTEEEVAVKLESTKTEHPHLHYESKLYRLLQGGTGIPNVRWFGVEGEYNAMVIDLLGPSLEDLFNYCNRRFTLKTVLMLADQLINRVE >Solyc02g091560.3.1 pep chromosome:SL3.0:2:53458875:53466153:1 gene:Solyc02g091560.3 transcript:Solyc02g091560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BCV4] MAMAIALRRLSATIDKPVKSLYNGGSIYYMSSLPNEAVYDKEKSGVAWPKQLNAPLEVVDPEIADIIEHEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFRLDPAKWGVNVQPLSGSPANFHVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLDESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYDRIRKVCNKQKAILLADMAHISGLVAAGVIPSPFDYADVVTTTTHKSLRGPRGAMIFYRKGVKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTITGLAVALKQATTPEYRAYQEQVLSNSAKFAQALEEKGYELVSGGTDNHLVLVNMKNKGIDGSRVEKVLEAVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFLEEDFVKVADFFDAAVKIAVKVKAETQGTKLKDFVSTLESSAPIKSEIAKLRQDVEEYAKQFPTIGFEKETMKYKN >Solyc02g014310.3.1 pep chromosome:SL3.0:2:16213019:16217902:-1 gene:Solyc02g014310.3 transcript:Solyc02g014310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSIKKSATKADAAVVAPTKSMKKASMYGKREAEDEIAKLVSAKKQKKDVAVAQAVEKKKSDLKTQKKKKPVSSSSDDSSSESESEDDKPLVPPKKVAPAKKGKVASSSDDSDSSDEDEAPAKKVVVASTKNGVAAKKKDESSDDSDDSSSEEDVPAPKKPPTNGAKKDKSSDDSDDSSSEDDAVVKKAPAVVKKAPAAASKKQESSSDSSDDSSSDDDDEPPSKVVAQPKKAPQAVKKTGDSSDDSDDSESDSDSDKGKAATVAKKTAAVSKKVASSDDDSEDDSSEESDEEPQKKKSKPSTTPAVSKAPEKSSSEESSDSDDSSEDEEDDKPSKTPKKGADVEMVDAPSAKAQQTPITPKAQTPGGSKTLFVGNLSYSVEQADVENFFKDAGEVQEVRFATHEDGSFKGFGHVEFVTAEAAHKALELNGHDLLGRDVRLDLARERGEYTPRSGNENSFQRPARSEGTTIFVRGFDKNEAEDQIRSSLEEHFASCGKIFKTRIPTDPEGYIKGMAYIEFANGDADALNKALELDGSEVGGFSLNVQEAKPRGDSAGGGGRGFGGRSGGRGGGRDSGGRFGGRGGGGRFGGGGRFGGGGRGGGGGRFGGGGRGRGNANKPSFTPSGKKTTFSDE >Solyc08g074883.1.1 pep chromosome:SL3.0:8:59152348:59154493:1 gene:Solyc08g074883.1 transcript:Solyc08g074883.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGVHFSACIVIATAFEKIGVVTTTDFSHRGMKMMDEMKQFPRASPNKGSNYTPKQQTNV >Solyc02g078900.3.1 pep chromosome:SL3.0:2:44085754:44090997:-1 gene:Solyc02g078900.3 transcript:Solyc02g078900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVRAARSSFRRSGGAIGNYASERNIFCEGISPFRHPAPVFEKAVTGFNSSYIPSTQMINQLSFGNRGMKFTPQYQFPHAAAETVGGADSKNERSSYPGLEATKQGEKPRVVVLGSGWAACRFLKGIDTSMYDVVCIAPRNHMVFTPLLASTCVGTLEFRSVAEPVTQIQKALAKDPNSYFFLASCTGVDADKHEVYCETVGDRGVSHEHYRFKVAYDKLVIASGAEPLTFGIKGVEEHAFFLREVQNAQEIRKKLLMNLMVSETPGISEEEKERLLHCVVIGGGPTGVEFSGELSDFIMRDVRERYAHVKNYIHVTLIEANEILSSFDVGLREYATKHLTKVGVRLVHGVVKEVHPDKIVLSDGSDVPYGLLVWSTGVGPSNFVKSLNVPKSPGGRIGIDEWLRVPSVEDVFAIGDCAGFLEQTGKPVLPALAQVAERQGKYLVKLFTDIGKQNGGKALSAKDITLGDPFVYKHLGSMATVGRYKALVDLRQAKGEKGVSLAGFTSWLIWRSAYLTRVISWRNRFYVAMNWGTTLIFGRDNTKIG >Solyc02g014750.1.1.1 pep chromosome:SL3.0:2:18525862:18526335:1 gene:Solyc02g014750.1 transcript:Solyc02g014750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTENSTTGAANCSVEFVREEEEVVSNKRKNDDIDVTRFLYNDTPSDGYYQEKTPSPKPKRMMNLKSKPPLFSKVWCDEDEISLLKGIIKFKEQTACEITQCMTEFCAFILPSLTLQPTRVQLREKIRRLKKSMKRLLPQEIRLIQTCTNSNCSSYVT >Solyc07g047880.3.1 pep chromosome:SL3.0:7:59224158:59231862:-1 gene:Solyc07g047880.3 transcript:Solyc07g047880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKERPPEPLDFFIWTVEDVGLWLEEINLGGYRQIFKENGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRQPWWAPSCLSIVFTKVAKRNRQSRVVSLKLEP >Solyc01g008570.1.1 pep chromosome:SL3.0:1:2604115:2605315:-1 gene:Solyc01g008570.1 transcript:Solyc01g008570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIQRMFVVWMSAAKLTILDSSKHHVVRTFPMSCLEYNTPFCQSSHVAVKILSNPKADGKDFIDEVATIGRIHHVNIVQLIGYCVERCKRILHFDIKPHNILLDENFIPKLSDFSQGPISYKADVYSFGMLLVDIVGLKTNSVAREDMSNKYFTHWIYDQLDKGKEIEVLDETHEDVKKLTLVAVWCIQMNPLDRPSMTRVVEMLEGELQALKKPPRPSESREPCSLDFNLTSSSLSLTDSMKLLESCSVSTKVDVIVA >Solyc03g119970.3.1 pep chromosome:SL3.0:3:69966957:69970432:-1 gene:Solyc03g119970.3 transcript:Solyc03g119970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ADP,ATP carrier protein [Source:UniProtKB/TrEMBL;Acc:K4BME6] MEAVLQTKGLLSHPSKPIIRAFYPIPQGGLRRRFNSLKPKSLEGLSLSSDGFQKVQSFSTKPQLVGQKNRFFPIRRAEAAAADGEPVLEEKESPKFMGIEVVTLKKIIPLGAMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKEALFYTVILPFIAFFGAFGFVLYPLSNYFHPTALADNLLNILGPRFLGPIAILRIWSFCLFYVMAELWGSVVISVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNLRKSLGPGVDGWAVSLKAMMSIVVGMGVAICFIYWWVNHNVPLPTRSKKKKEKPNLSTMESLKILASSKYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSSFMGDFSTATGIATFTMMLLSQWIFDKYGWGTAAKITPTVLLLTGVGFFSLILFGDPLAPTLAKFGLTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGVLLVIVLAWLAAARSLDGQFTALRREEEIEKEMERAAVKIPIVSQDESGNGSLTSDSSSLNPTGGDSAGASTESSSPSNL >Solyc09g008205.1.1 pep chromosome:SL3.0:9:1666698:1671906:-1 gene:Solyc09g008205.1 transcript:Solyc09g008205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLVFQDPGIRVSTNSTQSLNHAWERIRVPVVVPALHLAMYICIAMSVMLFLERIYMAIVIAGVKCFGKKRYTKYKLESVKEDLEKNKNYPMVLVQIPMFNEKEVYKLSIGAVCGLSWPSDRLVVQVLDDSTNEVLRTLVDLECRKWIERGINVKYETRNNRNGYKAGALKEGLKKQYVDDCEYVVIFDADFQPEEDFLWRTIPYLIDNPELALVQARWKFVNADECLMTRLQEMSLDYHFSVEQEVGSSTCSFFGFNGTAGVWRIRAVNDAGGWKDRTTVEDMDLAVRASLKGWKFLFVGDLAVKNELPSTFKAYRFQQHRWSCGPANLFRKMIKEIILCERVSVWKKFHLIYGFFFVRKIIAHWVTFFFYCIVIPATILVPEVHLTKPLAIYLPATITILNAACTPRSFHLLVFWILFENVMSLHRSKAAIIGLLEASRVNEWVVTEKLGNTMKQKYSAKASKKPRSRIGERIHVLELLMGMYMLHCAIYNMLFGNDHFFIYLFLQAGAFFIVGIGYVGTFVPN >Solyc02g005550.1.1.1 pep chromosome:SL3.0:2:9673792:9674052:-1 gene:Solyc02g005550.1 transcript:Solyc02g005550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQMSYDRVCCPRAQMACHALHCPAVCDVHWLREHPTRDVIRPCEFSKVHDGMPRVTSSYHVYNIRDMMECDAQRHPTMCAVQGP >Solyc03g120170.2.1 pep chromosome:SL3.0:3:70113059:70121796:1 gene:Solyc03g120170.2 transcript:Solyc03g120170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSKLSDPPPRPYDPLPSYPPQPHQQPQYIIVLPQYYRTPRQFLRRPTRRYVCCAAVFILLSAALFLIWPSDPELSIARLKLRHLKVHSFPKIAIDVTLDVTAKIRNKDFYSVGFRYVVISIGYRGKQLGHVISDYGRIKARASSYVNATLELTDVSIFSDLIPLIEDLARGSITFDTVTQIGGELGLVLFDIPIKGKVVCEIVVDTRNETISHQNCYPEIGSWCDSDAGNISWRRSYSRRDCDPFVSYKLPVNRSSKGPIWRLIWRKIRKEKRRVFDCSNSMRFTYDPHSYSQNFDDRSSIFADEDELSRSFSARFAVPSRIFPKNDLVA >Solyc06g053370.2.1 pep chromosome:SL3.0:6:36207160:36208927:-1 gene:Solyc06g053370.2 transcript:Solyc06g053370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKPLFYEILEKPATSCAIGICSAIWFYIQKSNIGYSHVGLSYETALEGHYWRIITSALSHISVLHLVFNMSALWSLGVVEQLGHLGLGVQYYLHYTLVLVVLSGMLVIGMYHILIQKFKLEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLNLFGFLSLPISFAPFESLIFTSIIVPQASFIGHLSGIIVGYAVGWGLIHGMNNYWAVTMLGWTVLVFVFSLKKSGTFDLNFLEIEPVTDPSLPSVRFFAAGTGRSLQMSTLPDDLLIITVVSE >Solyc05g014040.1.1 pep chromosome:SL3.0:5:7578313:7579520:1 gene:Solyc05g014040.1 transcript:Solyc05g014040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDYDRDRGAIEKSCNISIRNNIVAPKLGLKSSHVWNYFDKPLAPSMTSFNGLSEIVSLDFPIARQDRSYDQVIITNQNNNEQLYLHTIQSVQFIQQTVVPQSRTMVACVPPITTPQKCIDLQQQPDIRANIHPNLTFSMKTPLTQSRTRNYYKCSTSKHCEAKKQIEKSPKDENIFVVSCSGGHNHDPPMNRRYLASCNNDSKFKIPKGINSLPKASILNASSSSSMRIKHSRVVTSPIIATKPTLEIGSKNKMVVAVVQNKGDDNIKVNMTEDIFMGIDQLQRATTST >Solyc01g081297.1.1 pep chromosome:SL3.0:1:80381656:80385214:-1 gene:Solyc01g081297.1 transcript:Solyc01g081297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKRKGCEILCPRQRDMWNSIRDSGSSMSNLKTRFSLEECSRRLKKRCKEDDGLCCEVTVGSCGSRTRLAATAPPSGSSSISLCGRGVKRKIGCIEAATQMGRKNKIEDDYVMGEALGKGKFGSVFLCRSKATGVEFACKTLPKGEETVHKEVEIMQHLSGHPGVVTLHSVYEDAESFHLVMELCSGGRLIDEMTKEGRYSEQKSANIFKDLMLVIQYCHDMGVVHRDIKPENILLTASGKIKLADFGLAMRIANGQSLSGLAGSPAYVAPEVLTGDYGQKADIWSAGVLLHGLLVGVLPFQGDSLDALFEAIKSMQLDFHTEKWQSVSKLARDLLERILTRDVAARITAEEVLSHPWMMFYTERTLKMVSVRLKPKHFSGTPSQIPAITSRLESDGKKRCCKSLNGETNDLRCGSLNRESEESDDSGLVDVLAAAILHCRISEPKRSRLCVNNSPIREQCSSNVNSNLCKAF >Solyc04g007250.1.1.1 pep chromosome:SL3.0:4:974345:975142:-1 gene:Solyc04g007250.1 transcript:Solyc04g007250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISNFESDVKYLQNPSLISQFFSLSNIENVTEVYSFWKWSALFFAIFVTFSALFGRIKFTFIYLRRIEPSDEPIVQYLSEDFDFSDDDDDECSSVCSNSNDEEVIAPRGDRDFSVAGGKKSCLRLIRRRSSYGLFPLTEFVAGENVVKLWDLNVEEKMSEFPTVPMVFWSEWRDERNGVVLAGYDARMRRQSPAIFADWGTGKVVGIGGVEKVYVRDEVAGVVKVGDMRKAKTPVEMVTEVDGAMWWNAGAVIVDDDFIRHKFIY >Solyc08g081580.3.1 pep chromosome:SL3.0:8:64712095:64720666:1 gene:Solyc08g081580.3 transcript:Solyc08g081580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAPEAPVCYVGVARKSAAFRLMKQMGWEEGEGLGKNKQGIKGYVRVQNKQDTAGIGTEKPNEWAFDTAQFDSILKRLKVQTAETNNDEEKKEVQNDKATGTSSGEQETVAKVTRPQGRYKKRERGKLVHGYSAQDLEGILVKKTKTSQTNDDQKVPDTAETIIVADDAWNEDQGVPPEWWGYKNGFVSGGFLGSQARRKTSSSSDKMRDFSERTTFHEDDQENLYNLVQNTATTGKQGLGIKDRPKKVAGCYFEGKKTSFDDSDDEDSSESNPPMKEHEETSDPIKNDEPKLKLKKLCKRLLKQAPGNSLKLKQLKVLIDEQSSDFFCNFTKKESLAFLKCKLEGSDKFSVEGKRVSLS >Solyc09g015330.1.1.1 pep chromosome:SL3.0:9:8548103:8548633:1 gene:Solyc09g015330.1 transcript:Solyc09g015330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRDSIRLEAIQKLCFHYDLTVRQLLKYVCIARKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPAARQLVKHRRILVNGHIVDIPSYRCKPRDIIAAKDERKSRALTQISLDSSPHEELPNLLTLQPFQYKRLVHQIIDSKCVGLKINEMLVVEYYSRQTLTSDLNQEFAKISSL >Solyc01g110605.1.1.1 pep chromosome:SL3.0:1:97166173:97166610:-1 gene:Solyc01g110605.1 transcript:Solyc01g110605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSINRFTSLYPNHHLFSIILILSKLRIHTKALNFLQEKHTKMAIKKSNKLSQSPVLKQILKKCSSLGKKNEDHLPIDVPKGHFAVYVGENRSRYIVPISFLSHPEFQCLLRCAEEEFGFDHDMGITIPCEEFVFQTLTSMLR >Solyc08g077090.3.1 pep chromosome:SL3.0:8:61138916:61144345:-1 gene:Solyc08g077090.3 transcript:Solyc08g077090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQKMNVYIWDMDETLILLKSLINGTYAEAFNGSKNVQSGVEIGKMWENHILQICDDHFFYEQVENCNMPYLDVLKQYDDGKDLAEYDFNKDGFGPPSDDLNKKRLAYRHRAVAQKYKKGLHSILDQDMIKSWSELYDVTDSYTDMWFSAARACLEQCAVGDRDLASSTVSTNDAGDTLIQHVNILVTSGSLIPSLIKCLLFRLGDLFPSENVYSSWEVGKLQCFSWIKERFNGPNVQFCVIGDGWEECEAAESMRWPFVKIDPLPSSFHRFPGLTPKDLGHYFSVVYGNCDEKDN >Solyc10g052647.1.1 pep chromosome:SL3.0:10:53101194:53105479:-1 gene:Solyc10g052647.1 transcript:Solyc10g052647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRMEGRVEQVETNLTWLREDIRKLEGWFQEMTEPLARIEAQGRIKMEEASPSQTRSVENEEEECNFNWAEDKDGKNGKITGSKCLFGRKSLKMVLLDGVSTTNVSIITMFCEDFEKVSASIKEASDGMVYGVFFKWDERGNSIKNDFVTVSNLKGDNGDVSKRVENNIESLDSRARAASRNTDSPVHTTTKSTSFNGTALAKSEGQFRRLSDSKFAQKRELGLFFRCADKFVPDHHSKNRQLNLLISSEAPNIDEDETEEFFESSGEDVVEGEMKGTMMVLNMNSILGLTEKTKGFEVTIGNGQQVSGSEVCKEALGLPPRHSWDHAITLQSGAQPPNIRPYRYPHSQKAEIERLVKEMLALKLEYLGHIISSEGVQAEPSMIDNMISWPSPRDIKSLRGFSVLTEYYRKFVKDYGKISAPLTDLLKKDAFSWGERALQAFDALKLAMTQVSVLVMPNFSQPSVIEVDASEFGVGSILMTEVMDENQQKWVSKRMVHKFEIKYKPSVENKEAIRGIFTHIKGYPRISTRRVTPTFTYTSSCVGLQPLPIPHHVREDVSMDFIIRLPKLQRFDTILVEVDHPTKYANFIPLSHPFNAKDVEVVFIKEVVKFHGFPNSIVSDRDRELFKLAGTTIRYRSSYHPETDEQTKVVTMSLETYLCCIAGENPKERSHWLSWAEYWFNTFFNRSAGMTPFKAFYGKDPSSIFHMDDTTSLIEEVNEQIGEVVYLKLRPYKLRSLAKKINEKHSPHFYGTYKILEKIGSVGYRLELQVGTRIHSVFHVSQ >Solyc12g044760.2.1 pep chromosome:SL3.0:12:60970990:60980255:-1 gene:Solyc12g044760.2 transcript:Solyc12g044760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMGRMTPLVFLFLVSLFVTYNVITIFVGFEKSKSRDVGFPDPIIQNPTKLSNISEKLLFHIALTATDTPYSKWQCRIMYFWYMKKKNLPGSAMGKFTRILHSGSPDNLMDEIPTFVVDPLPQGFDMGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFLEPLPNLAYGDYPVAFPFFYMKPAEHDDIIRKFFPKENGPITNVDPIGNSPVIIKKNLLEEVATTWMNISLRMKHDEETDTTFGWILEMYAYAVASALHGVQHILWKDFMLQPPWDLDSRKKFILHYTYACDYNMKGELTYGKIGEWRFDKRSYIKGPPPKNLSLPPPNVPESVVTLVKMVNEATANIPNW >Solyc05g014650.3.1.1 pep chromosome:SL3.0:5:8649748:8653849:1 gene:Solyc05g014650.3 transcript:Solyc05g014650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KRTDKNLIQFMPIF >Solyc12g056560.2.1 pep chromosome:SL3.0:12:63456867:63458653:1 gene:Solyc12g056560.2 transcript:Solyc12g056560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTELRSKVARVSDEFNSNEFFQFPRDRAGVAFQSTETNSMFVVTAHLKGYTRGNIKVDLNENKTKLVVTCEKPVQETLTIGHEVIKKDVQIRKFTKSIQIPDGVIVDEIITNFNEETSNLTITMPKRLKEPELVTQTPCTILKRARFQEDEGSADSVTASRKGLIEQHDAKDEVPERKIETGECRNLKNDEVCEKEEDKLPKRSKVCVPVIVGSGVMLSVVVFVILFMRKKKQPGKRKA >Solyc01g090760.3.1 pep chromosome:SL3.0:1:84294327:84295390:1 gene:Solyc01g090760.3 transcript:Solyc01g090760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GATA transcription factor [Source:UniProtKB/TrEMBL;Acc:K4AZ17] MDVYGLHSAPDLFRIDDLLDFSNDEIFSINNNSNNTDSNHHHQPHSHNSSAAGPANYYDALLPNSSDDFTDNLCVPSDDVAELEWLSNFVEDSFSNFPANSVTGTMNITSNTASFHGRSRSKRSRSTSSWTSSLQNSNATTSVKNKESSVYTRERSSSMDEDVPRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVMELRRQKEMIHQPQQQQQMPPSTEEGMYGHHFRVC >Solyc11g044255.1.1 pep chromosome:SL3.0:11:33464740:33466604:1 gene:Solyc11g044255.1 transcript:Solyc11g044255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLITDICSSMFKAQILILLNIEGHSKLVVSKDESFKPLVRAADFVQNGAPMLSTDTTQDLKDRSDSLAAADRLSDDGTTLLTDTTQDLRDKSYSLAAAGQSSDDGVMLSTDTTQDLKDRSYSLAGADQSSDDGATLLTDTPQDLRDRSYSLDAADQSSDDGATLSTDTTQDLKDRSYSLAVADQSSDDGAMLSTDIIQDLRDRSYSLAANDQSSDDGATLSTNTAQYPRDRNYSSAAVDQSSDDGESHLSHALENGEQLFEEDDMESAFPANSKSGCVESNTDEISQQT >Solyc09g091700.3.1.1 pep chromosome:SL3.0:9:71418124:71419167:1 gene:Solyc09g091700.3 transcript:Solyc09g091700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKNKYVTIKNSINGAPKESDFEIKVENVSLIIESESKDVVVKNLFVSIDPYHINRMKSQSSSQGTISFATAITPGECIDSYGVGRVLFSRRPDFRKGDLVAGLLTWGEYSIVKEGSLLNKLDPNMGFPLSYHLGIFGFSGLSACAGFFEVCKPKPGERVFVSAASGSIGHLVGQYAKLLGCYVVGSVGSQEKVNFLKERLGFDDAFNYKQETDLKLALKRCFPQGIDVYFDNVGGRMLEAAVANMNIFGRVAVCGVISEYTNATTRAAPEMLDIVYKRITIKGFLAADFMNMYAEILSKTVEYVKDGKLKTVEDISQGVESIPSAFIGLFNGENIGKKIVKVADE >Solyc04g049400.3.1 pep chromosome:SL3.0:4:41762430:41768085:-1 gene:Solyc04g049400.3 transcript:Solyc04g049400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSKLKLLKKDKMWLPNSRGSPGCKKGNGNGTGVVAIAIDKDKGSQYAIKWATDNLVKRGQTLVLIHVVTKPIASQYGNCGGVHVVDGNLSPHGQNLEKQTKELFLTFHCFCTRKDIRCLDVILEDADVPKALTEYLSSAAIQNLVLGASRHGFIRRLKVTDIPSSVSKGAPDFCTVYVISKSKISSVKNASRPASMESPLYKQIQQLEEHVHSGGYTPTATNRAIHIGSVGDRSQRRSFVSDDSRKVGSPFDRRRVIPSRIFSDLSEADTDLSFVSSGRPSTDRTSSLMYDGMDSGRISQISTSSDSSFGSERLGARGSELSSFNDFSLPSFETDDGEAEMRRLKMELQRTMDLYSTACKEALTAKQKSVELNLWRVEEEKRLEEARLAEEAAKVTAEKERDKYRVAMETAEAAQRLAELESKRRVDAEMQAHKEAEEREKAMMNLGQVDFRYRRYNIEEIEEATHFFSDSLKVGEGGYGPVYKCYLDHTPVAIKVLRPDAAQGRSQFQQEVEVLSRMRHPNMVLLLGACPEYGCLIYEYMANGSLEERLMRRGDKKSALSWQLRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDHNFVTKISDVGLSRLIPPSTTEEVTQYRMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGILLLQLITAKQAMGITHYVSRCIEKGEELIEILDPSISDWPIEETLNFAKLALQCAELRRKDRPDLGKIVLPELSRLRALAEENMGPLVIGGSACPSPSHSQASTSQEMSSEPQANSGLSIKSVSQEKQSGSGDE >Solyc06g066480.1.1.1 pep chromosome:SL3.0:6:41849782:41849976:-1 gene:Solyc06g066480.1 transcript:Solyc06g066480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYTLTTSFSEAIFAAKSLNVLMYANLSWNYPLIALIIYECCTSRTHFWMRNYFKLYMQFVVI >Solyc03g032025.1.1 pep chromosome:SL3.0:3:4544317:4545230:1 gene:Solyc03g032025.1 transcript:Solyc03g032025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQPLLVLATKHPSVVAIGTENSFPLSSKGPAIPTGTDFIGIFRRTGGDVTVASINCSGVSSSTSQESLFPLETKD >Solyc07g054120.2.1 pep chromosome:SL3.0:7:62630996:62632886:1 gene:Solyc07g054120.2 transcript:Solyc07g054120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SGVADGNIKCLQTELEALLSFKRELLDVRGRLSSWGNEGFNQDCCTWRGVQCDNQTSNVIRLDLRGPSGPNASAAIAPLIGKISPALQELKQLKYLDLSYNRISGGIPDFLGTLSKLEYLNLSCIGDDFTTVPKLGDLSSLNTLDLSYNDFLSVNNLEWISSLHQLRYLAIRYVNNLNNSVYTWLFKLSNLTHLDLSGNTLSGQIPDSFCNMKSLKRLDLSRNTLGGGFPRCLGNSSDLKLLQLSSNNLDGQLPEIMNNLSCVSHSLEYLNLEENHIGGSLTDIVAKFASLRELRLGRNKLNESIPGAVGELPSLVLLDLSWNRITGSVPDLFLLSSLRELYLSHNQLTGVTESIGRLSKLEKLYLDFNLLEDTISEAHLFKLLKLRELDLSYNTQLHIRVSSDWLPPFQLDLIRFTHCKLGPQFPNWLRNQNNISELDFSVSGLSRDVPSWFWEQLPGLTFLNLSYNDIGGNVPDLSKKMSDLLCIDLATNKFTGPIPRFPTSVITVDLSNNMFSGTISFICDNFDYLGYLDLSDNRLSGVLPHCWTLRSIMHLNLGTNNFFGEIPDSIGSLQTMGMLHLQNNHLTGELPQSLNGLGTTYLTSLLLS >Solyc12g089210.2.1 pep chromosome:SL3.0:12:65301706:65306264:-1 gene:Solyc12g089210.2 transcript:Solyc12g089210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIFSQLSSLCSPENLSFSSVYTLSHSGKQSSQFSGVISPSPVTFPAIRQRISCQTTSSAAAPSSSVIAKGTANQKDFLHINDFDKATILNILDRAREVKELLKSGERTYLPFKGKTMAMIFAKPSMRTRVSFETGFYLLGGHAIYLGPNDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKYAIVPVINGLTDYNHPCQIMADALTMIEHVGQLEGTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDQKTVEKAQQAGVSKIEITNDPKEAVREADVVYADVWASMGQKEEAAHRRQVFQGFQVDEQLMKLAGKKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHVLGL >Solyc03g005450.3.1 pep chromosome:SL3.0:3:338540:346806:1 gene:Solyc03g005450.3 transcript:Solyc03g005450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLPPLQKVTVDTFSATVTRSHTFIHSIAILFMLYYRLIINLNEIPISFLPYWFLIFVSELILSFLRTLDSAHIFSPVTRYVYPENLPPDDELPAIDVFVCTADPIKEPALGVMNTVLSAMAIDYPPEKVTVYLSDDGGSVTTLCAVREAWRFGEVWIPFCREFGVKRICPETFFQAADDEINGGKDYLLERENIQKEYEEFKERLKKAQENGGTKDTGVQFGPNRDTVIEIIGQRSCGAKNNGKAKLPSLVYVSREKNNSHPHHFKAGALNVLLRVSGIVSNSPYILMLDCDMHSNDPSSARQAMCFHLDPKISPSLAFVQFPQRFRNISKNDIYDSAMRNCFVVRWPGMDGLIGPMLSGTCFYMKRKALYGTAIHKDMDLSELKKYFGSSNEFLYTVITCINDKQNDTGIKEFADNEIQEARFLASCTYEEESQWGEEIGFLYHSVVEDYFTGFIMHCKGWKSVLCNPSRPAFLGSSTTNLSDTLVQGTRWNSGLVEVLFSRFCPLIYGLKSRMPFLECMCYAYLASQPLYCFPVWFLAIVPQLCLLNGIPIYPKVSSPWFFVYSFLFLSSLSKYLWDVVNTGGTMRTWWNEWRVWMIKSITAYFYGTLDAIMKLYGCRKASFLPTNKVVDDEQLKRYQMGIYNFQASKRLIVPLVTLVILNMISFTWGVIGKVILEGRLSDLFGQVFLSFFILMVNYPIIEGMLLRRDKGSIPIFVTLLSILLSFCLLFIGSIFVR >Solyc12g005060.1.1.1 pep chromosome:SL3.0:12:28554:29603:1 gene:Solyc12g005060.1 transcript:Solyc12g005060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSLSFTCHVVNFPICDPKLTNRNPSFRSLQLPLRSIQCGIRELRGRIDTINKTQKVTEAMKLVAAAKIRRAQEAVISTRPFAETLVDMLYNITQQLQFEEVDIPLTEIRPVKKVGLVVIGGERGLCGGFNNGIFKKAESRMAELTSLGIGYTLISVGKKSNAYFRNVDRFVVDRFESFPTAKEAQVIADDVFSLFISEQVDKVELLYTKFVSLIKSDPVIHTLLPLRGEEFFDEDEFFRLTSKEGKLSVVRDLRVKKGEFLRNLEYEQDPAQILDALMPLYLNSQILRALQESFASEVAARMNAMTSATDNAVELRRNLSIAYNRERQAKITGEILEIVAGADALIT >Solyc10g006490.3.1 pep chromosome:SL3.0:10:1068741:1073066:1 gene:Solyc10g006490.3 transcript:Solyc10g006490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Trafficking protein particle complex subunit [Source:UniProtKB/TrEMBL;Acc:K4CXI0] MAPVAPRSGDAIFANVERVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGIRLIDEFLAKSNVSRCIDFKETAEVIAKVGLKMFLGVTATVGNWNAEGTTCSLILEDNPLVDFVELPDTCQGLYYCNILSGVIRGALEMVSMKTEVTWIRDMLRGDDAFELQLKLLKQVPEEYPYKDDE >Solyc12g015690.2.1 pep chromosome:SL3.0:12:5671177:5672080:1 gene:Solyc12g015690.2 transcript:Solyc12g015690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLRSAATTLIIILFAAILPCHSQISKTKPSLSAKGEAPAAAISIISLMSAHGCKSFADTLSGSPAEKTFEDNVEGGVTIFCPTDDAMKSFSPKFKNLTADGKQSVLEYHGVPVYQSMSSLRTSNGLMNTLATDGANNYDFVVQNDGQDVTLKTKIVTGKITGTVFDKEPVAIFSIDESPKPAGKKHKSPPAPASPADSPVASRKGKVADSTADKNGGFRFNGGAM >Solyc09g010970.3.1 pep chromosome:SL3.0:9:4321781:4326009:-1 gene:Solyc09g010970.3 transcript:Solyc09g010970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLTKEVVDEKEMSAVTEHESNEFTTLKHRFLNFKKDKYLKNLEHYQSLADEQSPKFLVISCADSRVCPSNILGFQPGEAFIVRNIANLVPPYETDPSEVKAALEFSVNTLKVENILIIGHSRCGGIRALMSMDDETNSSFIRSWVINGKAAKTRTKAVASNLSFDHQCKHCEKESINCSLMNLLTYPWIKEKVNKGELLIHGGYYDFVDCSFEKWTLENNSLVDDQVSIKNREFWN >Solyc01g107090.2.1.1 pep chromosome:SL3.0:1:94623935:94624640:-1 gene:Solyc01g107090.2 transcript:Solyc01g107090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGLIPFLLHAMKKQRPHHNSFRSLSDTSNRSYHLLVGADSIDGSSHRRTRSEFQPPVTTVDFLDLPQPRSFNNRATSLLSPVSNQNASRLKFGSNNMAATNNLQGTVSVDNLRHRKL >Solyc01g067120.3.1 pep chromosome:SL3.0:1:75298436:75300535:-1 gene:Solyc01g067120.3 transcript:Solyc01g067120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQVVPSIQGKKDDVLLHELVKRWNNHKIITRWLFRFFHYLDRHLVPRRNLPSLQETSHLTFYELINREREGEQIDQALVKNILDIYVDIGGDTMKYYEKDFEESMMKDSAVFYSKKASYWIASKSYEEYMLKAEECLNDEERRVQSYLKDGSKHKLLEVVKYELLAVHASKLEEKKQINLGAA >Solyc09g007300.2.1 pep chromosome:SL3.0:9:890668:903668:-1 gene:Solyc09g007300.2 transcript:Solyc09g007300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRLWLVSLLVRMKNVLVLLLTQRYMLSVFSQMHSSLQVSYTSWFLDAFNYAIATNMDVLNLSIGGPDYLDLPFVEKVWELTANNIIMVSAIGNDGPLYGTLNNPADQSDVIGVGGIDYSDHIASFSSRGMSTWELPHGYGRVKPDIVAYGREIMGSKISTGCKSLSGTSVASPVVAGIVCLLVSVIPESKRKDILNPASVKQALVEGAAKLPGPNIYEQGAGRVNLLESFEILMSYEPRASIFPGVLDYTDCPYSWPFCRQPLYAGAMPVIFNATILNGMAVIGYVESPPTWHPFGEDGNLLSVHFTYSDVIWPWTGYLALHMQIKEEGAQFSGVIEGNVTVKVYSPPAPGEKGHRSSTCVLRLKLQVVPTPPRSVRILWDQFHSIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFDTLRDAGYYIETLGSPLTCFDARQYGTLLLVDLEDEYFPEEIKKLRDDVINSGLSVVVFADWYNVDTMVKMRFFDDNTRSWWTPVTGGSNVPALNDLLASFGIAFGNKILNGDFVLNGEQSRYASGTDILKFPRGGYLHSFPFMDSSESGATQNILLSGMTKTDTPILGFLEVGRGRIAVYGDSNCLDSSHMVTNCYGLLKKMLDFTSRSMKDPMLFSDSARQEKPLYADKNQIPSRRTDVNFSTYSRVVGKELTCSRDSRFEVWGTKGYNLQVRGRNRKLPGYHVIDLGRGLNSTVDTPVTVTSNTTQKVVDSSGNNYWGFFYRDDIDMPVLVATHWLVPATVAILGLLILFWRMQKRRRRRRGSSGRFTNL >Solyc06g007820.1.1 pep chromosome:SL3.0:6:1744816:1745619:-1 gene:Solyc06g007820.1 transcript:Solyc06g007820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRICLRNCNGIFAENKVKDAVELFKKLVREKTCEPDEFMYAIVMNGLSKRGHTQKIVSLLRLMEQGKTQPDICIYNIVIDAHCKDGNLDAAINVLNEMKQKDIYPDIVTYTSIIDGLCKFGQWEKATTLFSEMVNIHMYLDLRVFNILIDGLCKEGKVEDARK >Solyc01g017370.1.1 pep chromosome:SL3.0:1:23860040:23861370:1 gene:Solyc01g017370.1 transcript:Solyc01g017370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIVGEVTKPYTFHYKTNKRKKDGLFCERIFCPIKSGICACGNYRVIGDEKEDPKFFEQCGIGFVDPRIRRYHMGYIKLACLVTHVWPITKNHTFLRLRGLFENEIQSWKYSIPLFFITQGFDTFRNREISIGVGAIREQLAKLNLRIIIENSLVEWEKLGGKDT >Solyc02g091320.3.1 pep chromosome:SL3.0:2:53278138:53283927:-1 gene:Solyc02g091320.3 transcript:Solyc02g091320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRFSRLCTVACMCRSITGVKLQPPILPSTFQSHPHTVPRGYLYPVLQLKVLRSYARNRRSNYDLFGGGIPKPDEFKKAWAKQMDDEENRLWTASEDESDSDKGDSHSRLRKEIRKAKQRAKAQDALKSEQEPEELSPGKQARKIAVENALKKLKKGPDGRYINVWEVMSDLDILIGAFENIISGPEYAELRQGGPKKLNMQFFKDIQARMRDPNFEFSPEIKLKPKSKLIGGLGGWGVEGCKKSVI >Solyc07g062765.1.1 pep chromosome:SL3.0:7:65586034:65587662:-1 gene:Solyc07g062765.1 transcript:Solyc07g062765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHELSLRTLCSELVTCLEGTEWFTATKWGGSRSTAPISGKLETPYASGIAGVQFSAVSSGRHVSNVSAFSRNSSGLQPPSLRERYKGSQANLRTKGTYQKHQGCGHAYTFHLLNKGAPQIGGSFESISSLLSTMVKAPFLVLA >Solyc04g016200.1.1.1 pep chromosome:SL3.0:4:6972630:6973985:1 gene:Solyc04g016200.1 transcript:Solyc04g016200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:Q8RXA4] MVPLPAQGHLNQLLHLSRLISMYNIQVHYIGITSHIQQAKIRAHGFDPLTVTNINFHEFHQTPSFETPLPNPNASFKYPHQLIPSFYATFHLRGPVCSLVQELLSANHCKVVVIYDSLMKFVVQDLPLIPNIECYCFNSSSAFMLYSYHWELKGKPFHPGTENYEDIPTVGDSFPPEFWEFMKIQEQCDGRNHSGELYNSSRVVESLYLDLMAKEYDGMKQWAIGPFNPMEPQEKSKDSNKRHESLHWLDKQERNSVIFVSFGTTTSLCDEEIKVLAIGLEKSRQKFVWVLRDADKGDVFTSEVRKAQLPEGYEERTKERGIIVRDWAPQLEILAHSSTGGFMSHCGWNSCIESMSFGVPIAAWPMHSDQPRNSQLVTKYLKIGLIVRPWARRNEVVTSEIVENAVRTLMASSEGDEMRRRAAVLSNAIKKSMDGGMNRAEMDSFIAHITR >Solyc06g075430.2.1 pep chromosome:SL3.0:6:46959165:46959937:-1 gene:Solyc06g075430.2 transcript:Solyc06g075430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEDSVYDPLVFGLGSYIYCSNCEVRIARVQYYIPNVQDLDYGGYFERVFNVVILDQPKFHQQEDGNTLTTLNIYCTQCDMQLGWRLMETTLPSKYFIRGRFFMRLDMLMYKSRVTLHDSLFGGANRQDHVQDAGANADQEA >Solyc12g005140.2.1 pep chromosome:SL3.0:12:95757:111751:-1 gene:Solyc12g005140.2 transcript:Solyc12g005140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGWFKQLHTAFGFSFLWLVCLIYFTQGFRSFVWTAVSYQLKDNLKLSPSASQFVTSIAFFPWSIKPLYGILSDCFPIRGRKRVPYLMLATLLSLLPWLILGLSDFLRNTRTELMILLTLQNLGSAMADVVIDAMIAEAVRFERASFAGDLQSVSWLSMAFGGICGSLLGGYALDNIRIDMIFLLFSVLPALQLFSCGLVEEGSVQGKALPEGSALNGSDMTSGIVDEENKFSGEKSKVSTLRRKKSQNNTKRVPTTESKFQAPDKKGSSLSPWYQSLKMATSTLFRAFRQPAILRPMAWFFLAHVTVPNLSTVMFYYQTEFLKLEASFLGTARVLGWLSLMIGTLTYNRYLKRMRLRHILMFTQVCLAILTILDMVLVSRANVSLGISDKVTVLFGSALSDGINQFKFMPFLILSGQLCPPGVEGTLFALFMSINNLGSTVGSFVGAGLASVLNISSGSFDNLFLGIGIQDVLPMLPVQVSWPIVNSLYSAVDLLPSFVGAVSIANNNTLQWKGACFYNNTAFLDLHNKTGSRYGGGTIHIKQLEMDLESLKELMVRETIYTFFGLDQGINLLADDIVPKWLPRDGRKLNHVNNAHSYSCMDLYIFATPYRVTWDYYALSREHTVQIKEWESQAELEYVKRKGVSIFLMQAGTLGTLRALWDVFPLFTNTGWGENSNIRFLKKHMGASFDQRPQPWVSNLTVEDIHSGDFLAISKIRGRWGGFETLEKWVSGTYAGHSAICLRDAEGKLWVGESGHENDKGEDIIAILPWEEWWEYELTKDDSNPHIALLPLHPDLRAKFNQTAAWEYAQSMEGKPYGFHNLIFSWIDTIDGNYPSPLDAHLVASVMTVWNHLQPAYAANMWNEALNKRLGTRGLDLPDILVEIEKRGSSFAKLLTIPEQDDWVYSDGKSTSCIAFVLEMYKEAGLFGPLASSIQVTEFTIKDAYSLKFFENNTNRLPMWCNADDTVKLPFCQILGKYRMELPGYNTMDVYAHMNEKCPSMPPKYYRPQSC >Solyc05g014255.1.1 pep chromosome:SL3.0:5:8039376:8044150:-1 gene:Solyc05g014255.1 transcript:Solyc05g014255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEHVFLTATLLHPAYKLQGVQGLVDTFYETLEILLEEISNCQAYKCSIKVEAKLLYEKYRTIENFQGEVGQTSNVEIDLSLPISCYMRGFLGLNSTKRDDFEEYLNQFLEVLEIKDGNKDLLRWWSRRNDAFPTLSKMVRDVLSIQASSVASEAAFSAAKL >Solyc06g072160.3.1 pep chromosome:SL3.0:6:44620519:44625233:1 gene:Solyc06g072160.3 transcript:Solyc06g072160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTDSVQNKQLGVITCKAAVAWGPGEPMVIEEVELSPPQSMEIRVKVVCTSLCRSDVTAWLSQAQASIYPRIFGHEASGIVESVGEGVTEFVEGDHVLTLFTGECRSCRHCTSGKSNICQILGLKRDGVMHGDQKTRFSIKGKPVYHYCAVSSFSEYTIVHSGCAVKVSPIAPLDKICLLSCGAAAGLGAAWKVANVSEGSKVVIFGLGTVGLSVAQGAKMRGASQIIGVDMRQEKCEKAKSFGVTDFLNPNDSDEPIPQVINRLTGGGADYAFECIGDTGMVTTALQSCCDGWGLTVTLGVPKQKPEVTAHFGLLLNGRTLTGSLFGGWKPKSEIPSLVEMYLKKEIELDDLITHNLPFEDINTAFDLMKNGTCLRCVIHMPEIEGFPMAGN >Solyc08g075440.3.1 pep chromosome:SL3.0:8:59709595:59716452:1 gene:Solyc08g075440.3 transcript:Solyc08g075440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAPGLSRKLKKVLETRTDTADLLASLNTLSEFYTENTPHSRRNLRSTIEKRSLSINEEFLLSSAAAQKSLDRVEQEVNEIVECCDKIAMALSSCNATTGDIISTTERLKQEFEVTTQRQEIVSCFLRDYQLSPEEINALREEDLDDNFFKALAHVQEIHANCKVLLRTHHQRAGLELMDMMAMYQEGAYERLCRKCNFCGGQLTADAWNVKQYSYLASYNNSNPWLQTQSRISALFVYVYLCFVSVTDVWWSYELSAMFDIMLVFLSRWVQTECRRLGDVDNPEVGDLLRTAVRCLKERPVLFKYCAEEVANMRHNALFRRFISALTRGGPGGLPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLALLDPDASDTRPASHNYSKGIDSESEKTESDLTFVLDRIFEGVCRPFKVRVEQVLHSQPNLIISYKLSNTLEFYFYTISDLLGIETSLCNTLLVLKEAAQKTFFDILKGRGEKLLRYPPLVAVDLSPPPALREGVSVLLEIIQTHDSMMFPASGKKPDFDPVISALLDPIIQMCEQAAEAHKSKGSMHSSRRNRITSDPSQHRKTSVDALLDGSNSALLTQTSETPAKIFLINCLCAIQQPLLGHDVASGYAKKLGVMIDNHINALVEKEVEAILRRCDLLTKMSHFRKSLEINESSNSIARTPLAEQEDTSPVSVAESLKALFGLILGSETAIPEFEQMQVPRLRSETSVQVARSLAEAYELIYRAIMDPENGYTDPKSLARHPPDQIRTILGI >Solyc12g019085.1.1 pep chromosome:SL3.0:12:9084448:9084951:-1 gene:Solyc12g019085.1 transcript:Solyc12g019085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTKSYKASLVGPLDLPIDYYNIIVVIFGIQDLLETVIIFNVQSIANIRNMKNDLKQISMAMMFRITNLMTNYLGFELFLQGYSVSSCNGSNLSKCCQNNNYRDITFS >Solyc01g080210.3.1 pep chromosome:SL3.0:1:79277298:79283483:-1 gene:Solyc01g080210.3 transcript:Solyc01g080210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLKNETESLLQEEEEEPILKEQSQKYCTFPIRYPQLWEMYKKAVASFWSVEEVDLSEDIQHWEALSESEKHFISHVLAFFAASDGIVLENLAARFLNDVQIQEARAFYGFQIAMENIHSEMYSLLLDAFIKDPRQKNKLFNAIDSIPCVSQKAKWALNWIQSSSSFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLAYSNVLISKDEGLHRDFACLLYSIMRNQLTRQKVHQIVHEAVEIETEFVCDALPCALIGMNAELMSQYIKFVADHLLVALGYDKKYNVGNPFDWMEFISLQGKSNFFERRVADYQKASVMSSLQDNGKNFEFKLDEDF >Solyc03g114370.3.1 pep chromosome:SL3.0:3:65856564:65862923:-1 gene:Solyc03g114370.3 transcript:Solyc03g114370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSELFSSVQISELTRKAIEDMGFEYMTQIQARAIPPLVEGKDVLGAARTGSGKTLAFLVPAVELLFNVHFTPRNGTGVVVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRAEAERIAKGANLLVGTPGRLLDHLRNTKGFNYKNLQCLVIDEADRILEANFEEDMQQILKLLPKEGRQTALFSATQTKKVEDLARLSLTAPIYIDVDDGRRRVTNEGLQQGYCVVPSARRFILLYSFLKRNLSKKIMVFFSSCNSVKFHSELLRYIKIECHDIHGKQKQQKRTSTFFDFCEAKKGILLCTDVAARGLDIPAVDWIVQFDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLKYLKAAKVPVKEYEFDHKKLANVQSLLEKLVANNYYLNQSAKEAYRSYLLSYNSHSMKEIFNVHRLDLQAVASSFCFSNPPKVHLNIDSNASKFRQKKRKVEGSRNGFSEGNPYGKKRADDTRQFVRY >Solyc01g086925.1.1 pep chromosome:SL3.0:1:81703005:81703591:-1 gene:Solyc01g086925.1 transcript:Solyc01g086925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLADDNDFGIEPRRLLYDKSRCVKYVFVQNTSGNSPSNKFLLKFKMFNPLLLSRFVGIELCSAHHSEFSDIGRDFAGELIVAEFENSEFTQLTDVFRYLTGDFVADELKDSEIW >Solyc07g006830.3.1 pep chromosome:SL3.0:7:1694438:1701999:1 gene:Solyc07g006830.3 transcript:Solyc07g006830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTRYMERTKSMKRSLEGDDEQPPERKRPALARNLRLSNDILCSVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPARIAGRSSPKRIEGPDGSNLQLQFRSRLSLPLFTGGKVEGEHGAAIHVVLIDTTTGHLVTAGPESCIKLDVVVLEGDFNNEEDEGWTQEEFDGHVVKEREGKRPLLTGDLQITLKEGVGTLGDLTFTDNSSWIRSRKFRLGMKVASGYCEGVRIREAKTEAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNKAGIFTVEDFLRLVVRDPQKLRSILGSGMSNKMWEALIEHAKTCVLSGKLYVYYSDDSRNVGVVFNNIYELNGLIAGEQYYSADSLSDSQKVYVDSLVKKAYDNWNQVVEYDGKSFLNIKQNQNPSSSRNELPVGPVDYPNTLVNQLPQSRHPVPVQSEQSSMDPNLLIGGSGYNDNMVARMPTQSPMMNSNSRSQFESTPFAPQHQITSSHQLQSTRYDNNVGLALGPPQSSSFQTITSSLPQTNLNPFDDWSHNRDKGVDEFLSEEEIRMRSNEILENDDMQHLLRLFSMGGHGSINVPEDGYGFPSFMPSPSPSFSYDEDRTRPGKAVVGWLKIKAAMRWGFFVRKKAAERRAQLVELDDE >Solyc03g025470.3.1 pep chromosome:SL3.0:3:2901848:2908438:-1 gene:Solyc03g025470.3 transcript:Solyc03g025470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSTPNSNRLKLTAGPRISRRVRILGKIRGFTDQESEITSRDSKPWVTVSRSKESGYDSSGKVTISFGDEGSSRKDVYELDNCYEQDDDNGIVFSREVKPLISEVLSGRNVSVIAYGARGSGKTHIIQGSVDKQGLAAMAIAEILLQTKDAEKAVLIFVSFYEVFQDHVYDLLDPNHPEVQVLEDSQGKIKLKGLSKATVDSISQYHDLCACWTAPFYSAQKTPLQMPKRSHKGLMIRIASVDDIQDSKRPNVMNFVDLAGYEDSRRSSKDGISLTESTRINKSLYAIMNVVYALNTNEKRVPYREGKLTRMLQESLGGSNHVLLLTCLNPIPCQDTLYVVSLASRSCQSTGQILTSSAMKSKKHTNQQVRLMGTPLSGKKNNSASNLTERKLFSERKAVISKQDEVTSATKFKPLSKNASTITSSFHKKTSQDKSDSDSSGALILSAQNEIPTAFKETICDKNVEKDASPPNKATDLEVTPEVLCDVKEIISFPDDGTVGIEKKNEATIIDKVGSPPLSARLREITNNLRLLETSTPLHVLMPKQSDVSHGNLESCDIVEPKTPKTETDVRTLEIVKYSSPWEKFHTRSSGVKNCLVQEYLNFLNTASKEELTRIKGIGEKRATYILELREESPEPFKRLEDLQEVGLSAKEVNGLMRRMAGQLFI >Solyc01g081390.3.1.1 pep chromosome:SL3.0:1:80456207:80457475:1 gene:Solyc01g081390.3 transcript:Solyc01g081390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLNLLPSTNVTLSKSRPNYPVSPSPFQPNLGSRNQKLRTLSFTKPLIQNGHPFRSSANQDSQISGPRLDFSRILRHPSGVSSRNTTQILEAASGTPEEVSPDGEIEVSKPKVNMKLVLIFGLWYFQNIVFNIFNKKVLNIFPYPWLLASFQLFCGAVWMLILWSSKLQPCPKINKSFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSAEPVFTVVFSSFLGDTYPLTVWLSILPIVFGCSLAAVTEVSFNFGGLSGAMISNVGFVLRNIFSKRSLQNFKEVDGLNLYGWITIISFIYLFPVAVFVEGSQWVAGYHKALGTIGNPNTFYLWVLISGIFYHLYNQSSYQALDDISPLTFSVGNTMKRVVVIVATVLVFRNPVRPLNALGSAIAIFGTFLYSQATVKKPKKEAVEKKD >Solyc05g018185.1.1 pep chromosome:SL3.0:5:20203469:20204471:1 gene:Solyc05g018185.1 transcript:Solyc05g018185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKATFLKVFLNSFLLMLFGQGSHVQGECTNDADCAKVMKCIQAQSICDLTSHQCVCPRAPPANYGTRNIYKTHQN >Solyc02g093290.3.1 pep chromosome:SL3.0:2:54830590:54838877:1 gene:Solyc02g093290.3 transcript:Solyc02g093290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETIPNGGPESANIDGPTNPMVTPILTDLYQFTMAYAYWKAGKHNERAVFDLYFRRNPFGGEYTIFAGLEECIKFIANFKFTEDEIAFIRSSLPSSCEDGFFEYLRRLDCSDIEIYSISEGSVVFPKVPLMRIEGPVAVVQLLETPFVNLINYASLVTTNAARHRFVAGRSKILLEFGLRRAQGPDGGISASKYCYMGGFDATSNVAAGKLFGIPLRGTHSHAFVSSFLSPDEIIEKSLQHHNGSSVCEDFVSLVQTWLDKLKWSHLLGGIFSETNQSELAAFASYALAFPGSFLALVDTYDVMRSGLPNFCAVALALNDLGYKAVGIRLDSGDLAYQSCEARKFFCAIEKEFGLPGFAKTSITASNDLNEETLDALNKQGHEVDAFGIGTHLVTCYAQAALGVVFKLVEINNQPRIKLSEDVSKVSIPCKKRCYRLYGKEGYPLVDIMTGENEPHPKVGERILCRHPFSESKRAYVVPQRVEELLKCFWPGKSAKTREDLPPLKEIRERCIAQLDQMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Solyc01g107475.1.1 pep chromosome:SL3.0:1:94910052:94912456:-1 gene:Solyc01g107475.1 transcript:Solyc01g107475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSSLSDASVSETSTLQSSTVPVTRKSSRTTKPRMHDYVSTSKGSANCCYPVSDVTVQGELVVVLVYVDDLLVTGSSTDLILQTRNDLKLTFKMKDLGELKFFLGIEFVRSQKGIVMSQRKYALELISELGLSGTKPVNTPLETNLKLTSVDYDDFIIKEAGSTSEDILLVDPTQYQRLVGKLLYLTMTRIDIAYVVQVLSEFMHNPKQSHMNAALRVVKYIKNAPGLGLLMPKFAAYCDSDWGGCLQTRRSVTGYLVKFGNAIVSWKSKKQETVARSSAEAEFRSMASVVAEVTWLIVPHESSEETTVGGYRVPIGTMLLVNLWAIHNDPKLWDEPRKSKPERFEGLEGVRDGYKMMPFGSGRRSCPREGLVVRMIAL >Solyc02g069930.1.1.1 pep chromosome:SL3.0:2:40276373:40277332:-1 gene:Solyc02g069930.1 transcript:Solyc02g069930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHPIFQSNENSPYGNLTREEFYKQHKIMHKQSFMLNKQNMKIFTQSWQPESSNQLRGLVGMIHGYTSESSWLFELNAVAMVKAGFFVCSLDLQGHGYSEGSPGYIPCIQPLVQDCIQYFDSARADHPNLPAFLYGESLGGAISTLICLRQKNVWNGLMLSGPMFGVSKKYRPVWPLEKLLPLAAFIAPSWRIVITKPPASISYKEEWKRKIISKSPNRLASEKPPAATALELLKVCEYIQRNSHELQVPLLILQGGEDKVCDPEAAKLVYKSAGSKDKAINIYPEMWHQLIGEPNESVELVFNTMLSWLEVRADLAKI >Solyc09g015480.1.1.1 pep chromosome:SL3.0:9:8969345:8969524:-1 gene:Solyc09g015480.1 transcript:Solyc09g015480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELRKLLNRYRTSEPNSFWLKNLFLVALKQLGDSLEEIRGSASGSNMLGPAYGVRHRL >Solyc11g073100.2.1 pep chromosome:SL3.0:11:56491464:56492762:-1 gene:Solyc11g073100.2 transcript:Solyc11g073100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPVRGHKKKRKVEKKVEKDSLASGSSENGSADWWEMLSKRVAVFTQDPFAFIM >Solyc02g089490.3.1 pep chromosome:SL3.0:2:51940157:51943546:1 gene:Solyc02g089490.3 transcript:Solyc02g089490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSHREKGTSAQWNPAKILHPCFPILREEKWSFYSLSSVMGDIHCTEGESGEGSGPKAAIDEHYISNSKRYVPTKR >Solyc05g013350.3.1 pep chromosome:SL3.0:5:6440765:6442355:1 gene:Solyc05g013350.3 transcript:Solyc05g013350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BY56] MVGKARIFAGLFLICIVLPSLASAFVDHLPEVGQVNDNNDVPIHVSTVAHFSEKQVKEAMIRRAKAVHDLWANFGNNDKDDVAKEDAAPVTPTPVDSTPTTTPVDPTPTPVDPTPNPGPVNPVPADPTPNPVPANNVPAGYPGATQGPPVAMGYGGAPITQNPSDQQTVPEQAGAAQNHPTPEEIKEQRKHAGLQVGFYDSTCPNAEKIIKDGMNRAFGNDSSMAAPIGRLLFHDCFVNGCDASILLDKTPSGARVEKLAPTNGATIRGFELIDEIKAELEAECPGIVSCSDLLVYLSRDAFVVSGVPYYDVPGGRRDGMESLEANVIGNLPLPDNTVDKMIDLFKKKGLTEEDLVVLIGAHSIGVAHCFSFRYRVDNPVKATMVDPRLAGVMKFTCTTPMSTLAFDTTTQYKMDSVYYKQLSGKRALLESDALLNEDPRTKDFIQKFGDDENGWFIKFGKAMNKLTSIQVLTGDQGQIRKQCRFVN >Solyc11g007070.2.1 pep chromosome:SL3.0:11:1538665:1544971:1 gene:Solyc11g007070.2 transcript:Solyc11g007070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNELLKNPIFATHAVVAAGSATLATAISYPLDSLKVLVQVGSGSSKQLTGADVLNRVRTLSGSSGLYSGVGWLILGRSLGLGARFGVYEIMTAFYKDGREDKYVYASEALLAGIASGAVESLVSSPFELIKLRAQVTSASRIPSSTSVVEKAIISPSMAKLLPGYSQNIRVLNNTVGLLTTLPTKHPNLVTALKDYPWMMTGSGRPPSVSDVKRPLEIISLEGWGALWRGMRAGLARDCVFGGIFFSTWQILHQAMLEWKAVGMNPPPRFDEEVGPLSPLAVSLAAGFSGSLAAAASHCFDTARSRSQCIVLPKFVSMERRFLKFQLPGKRFERWTGIHPADRNILYRGLGLRMARSGIASFVVVGGYLFAIDRLLPK >Solyc06g024203.1.1 pep chromosome:SL3.0:6:12303465:12306797:1 gene:Solyc06g024203.1 transcript:Solyc06g024203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGVACHHSPWTTNMVERRRAWHEITALGLHARSDKVRRGMISPPLDSTHGRQHWAWHDITALRQHTWSDDVGHGMTSPPLDNTHGRTTTHSRMTSGVACHHRLWPFDGKHDRTTSGVTCNYRAAHTIKRRRAWHAIIAFGQHKRSNDVSLTQSDGVGRGMPSLPLDGKHGPTTSGVASHYRHWTSSHSQTASGVACHHRPWTANTVERRRAWHAIIALGQHTRSNDVARNMPSPPLRSKHGRMTSGMACHNRLWVWHDITALGQYTRSEDVELGMPSSPLGSTDGQMASGVACQHCLWTAHTVERRRAWHAIITFGQHKRLNDVGPQTVERRRAWHEITALGLHALSNNVRRGMTSPPLYCTHGQKTSGTVKRRRVWHVIAALRKQTRSEDQTRLDDVGHGMPSPPLGSTHSRTTSGVACHHSPWTENTIEQRWALHDITALGLHASSDDVGRGMTSSPLDSPHGRTTSGRAWHAIISFWQHTRLNDVGRGMSSSPFGNTNGRTTSGVESHHRLWTISHNWTASGVACHQSPWTPNTVERCRAWHAITTFGQHTRSNDVGRGMPSCPWTAHMVERRWAWAYTVERHRVWHAIIAFRLANTPSSPLDGKHDRTTSGVACRHRIWTTHIVRRRRAWHAIIAFGQHRRLDGVGRGMSSTPLDSTHGRMTSGVECHHRLWAAQTVERLQAWHAIIALGLHASLDDVGRGMTSPPLDSTYVHMVGNVGRGITSPPLDSTHSRTTSSVACHYRLLAAQTVERHRARHAIITFRQHKTLKQSEGVRRGMPSSPLDDKHGQTKSGMVCHHRLWAAQTVERHWAWHDITALGLHAQTDDVGCGMTSPPLACIDVQTTSGMECHHNPWHEMPLPPLDTTNGRTTSGMACYHRLWAAHTKKQRRAWHDISAFGQHTRSNDVGRDMPSPLLESTIPSNAVGHGMPTSPMGRTNNQSTSGVA >Solyc03g031570.3.1 pep chromosome:SL3.0:3:4092263:4094681:-1 gene:Solyc03g031570.3 transcript:Solyc03g031570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWTLIFCVHNNIFHMHNIIIISMRFIILCTMIFFLDLTYAYSNSNLIKRKAIFVHEKLYSYGGHQKHHHNSLDRSNDTQVNTDFKSWNLRPAHERHHHRLRVVHGVVNIFGWGILLPIGVIIARYYKRHLLECEEWYSLHVVSKVAGFILGTIGWGLGLSMMTNSPKDQHTMMSTHGIIGTIIFTFTTIQVLAICLQPDEENVYRKYWVIYHNILGYALLILTIVDIFQGIDKVEEHHRWKWSYVVLVSVMGLIALVLELIPCFNIIKTKILRM >Solyc12g044680.1.1.1 pep chromosome:SL3.0:12:60800014:60800181:-1 gene:Solyc12g044680.1 transcript:Solyc12g044680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQSRAKRKKRVLSLPLHIVSSDKGHVREGEGDKKPQMTRFTRPRCEFVTHSLLL >Solyc01g010880.3.1 pep chromosome:SL3.0:1:6258522:6263981:-1 gene:Solyc01g010880.3 transcript:Solyc01g010880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEHLVVKDEDRVRVGNTDALSSSGEQIENGKKATEVVEGIGASSSNSKTMKEKELAVEEEEEGNEEVPLIGGAECRICQDEDSLNNLESPCACCGSLKYAHRKCVQHWCNEKGDITCEICHQQYQPGYTAPPRARSEDTIIDIGGGWQIAGTPLELHDPRLLAIREAERQLLEAEYDDYNATNASGVAFCRSAALILMAFLLLRHALPVADADGDDEDPSAFFSLFLLRLIGFLLPCYIMVWAISILQQRRQREEAAALATAQFAFVVQSGQPTGVQFAMASATPSVPASTDSSSVPAPMDRV >Solyc08g075357.1.1 pep chromosome:SL3.0:8:59616672:59617229:-1 gene:Solyc08g075357.1 transcript:Solyc08g075357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMLTFKVILIFNFLFISQFQYRYAAIPCQTVADCNINCGSKGTPICKNNTCFCNRCDLYPPDDLIVDDESSFISKNN >Solyc01g099630.3.1 pep chromosome:SL3.0:1:89687521:89690337:-1 gene:Solyc01g099630.3 transcript:Solyc01g099630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XTH1 description:Probable xyloglucan endotransglucosylase/hydrolase 1 [Source:UniProtKB/Swiss-Prot;Acc:Q40144] MGIIKGVLFSIVLINLSLVVFCGYPRRPVDVPFWKNYEPSWASHHIKFLNGGTTTDLILDRSSGAGFQSKKSYLFGHFSMKMRLVGGDSAGVVTAFYLSSNNAEHDEIDFEFLGNRTGQPYILQTNVFTGGKGNREQRIYLWFDPTKGYHSYSVLWNTYLIVIFVDDVPIRAFKNSKDLGVKFPFNQPMKIYSSLWDADDWATRGGLEKTNWANAPFTASYTSFHVDGCEAATPQEVQVCNTKGMKWWDQKAFQDLDALQYRRLRWVRQKYTVYNYCTDKARYPVPPPECTKDRDI >Solyc04g051090.2.1 pep chromosome:SL3.0:4:49441182:49443148:1 gene:Solyc04g051090.2 transcript:Solyc04g051090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGKQGQSIKLKCNHFMGSKAFVASRAEIGEQLEGVEPDRIEFYKDTHYSVVKGWSSQHAETNCNSMNDLKLYILREESLQ >Solyc01g079880.3.1 pep chromosome:SL3.0:1:78883463:78887702:-1 gene:Solyc01g079880.3 transcript:Solyc01g079880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVFSSSIVSPPDELVAAGSRTPSPKITSDALVNRFVQSNSSAISMQIGDFVQLAYSHSKESAVLPRSFAVKDDIFCLFEGSLDNLGSLRQQYGLAKSANEVMLVIEAYKALRDRAPYPPNHVVGHLEGSFAFIVFDKSTSTLFVATDQVGKVPLYWGITADGYVAFANDADLLKGACGKSLASFPQGCFYSTTVGGLRSYENPKNKITAVPATEEEIWGAKFMVEGSAVVAATE >Solyc05g012172.1.1 pep chromosome:SL3.0:5:5383463:5384373:1 gene:Solyc05g012172.1 transcript:Solyc05g012172.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLSSTLCFFLLLVCALGTSGIRDTTSIGAHVIVRDDQCLTALGVCSEKVCDEQCCENKCISSFKTKNPNGGCETLPGSALRICNCHHDC >Solyc03g114030.3.1 pep chromosome:SL3.0:3:65554050:65560964:-1 gene:Solyc03g114030.3 transcript:Solyc03g114030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKSDEPVPHPPKDQLPNVSYCITSPPPWPEAILLGFQHYLVMLGTAVIITTALVPQMGGGNEEKAKVIQTVLFVAGLNTLLQSYFGTRLPAVIGASYTFVAPTISIILSGRWSDPDPESRFKKIMRATQGALIVASTIQIVLGFSGLWRNVVRFLSPLSAVPLVALVGFGLYEFGFPGVAKCVEIGLPELVLLVIFSQYLAHLIRPGKHIFDRFAVLFTVAIVWIYAHLLTVGGAYNGAAPITQASCRTDRAGLIDGAPWIRVPYPFQWGAPSFDAGEAFAMMMAAFVALVESTGAFIATTRYASATPLPPSVLSRGVGWQGIGILLSGLFGTGNGSSVSVENVGLLALTRVGSRRVVQIAAGFMIFFSILGKFGAVFASIPTSIVGALYCILFAYVGVGGLSFLQFCNLNSFRSKFILGFSIFLGLSIPQYFNEHTVIEGYGPVHTSGRWFNDMVNVPFSSEAFVAGILAYFLDNTMHKRDGQVRKDRGKPWWDKFKSFKTDTRSEEFYSLPFNLNKYFPSV >Solyc08g081830.3.1 pep chromosome:SL3.0:8:64895586:64901778:1 gene:Solyc08g081830.3 transcript:Solyc08g081830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGQGTAEKQENLGEKLKKIGKRGGHTTPVIPFLRLQHNHQQSVVVVQESDSIKENPFQNSCVSARKLAAILWELHQYKIPFSEMHHVNGNNVTSSRIRRLQPYRHHRHHIYEDSRVLEHPDPSPSSPDLPGSAGSLRRHVAASLMQHHRLIERTNHAIQPVSPASYGSSMEIAPYNAAVTPSSSIDLKGRIGETGYSLKTSTELLKVLNRIWGLEEQHASNMSLVKALKKELDHARVRIKELVRDQQVDRHEIDELMKQITEDKVVRKSKEQDRISAAVQTVRDELEDERKLRKRSESLHRRLARELYEVKTSLANASKEWDKEKKSRQLLEDLCDEFAWGIRHYEQELHSVRHKSDKDWTERTNSDKLILHISEAWIDERMQTKMEPQYGQGEKSSIIEKFRSEIETFLKTKQTGIRNNVNLGDTTYRRGSLDSIPLNVAGSAPQDEGDEEDSVSSDSHCFELQKPSASDLRSRENGAKEKSAEETIRPNYILRKPALHERSKSSSMSNLQVKFEEQMNRAALPSESRNKFDENDLGETSEKNQVEISTSNKFEICEVTEEGSSGKKNKADGTPGVNSNYMIDELIRSHYLLSESGNMPPENDYELTSYTTSVRRAQASPVRQWTEKLPSHENISESSTKMPPDLKENTLKAKLMEARTRGQRSRSRLKGTKISL >Solyc11g068515.1.1 pep chromosome:SL3.0:11:53509957:53510730:-1 gene:Solyc11g068515.1 transcript:Solyc11g068515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEGTIEPSAQPAPAPEPPSEEAVAAVVDEISKEIKEEKKGEGDLKATLLISGAVVAVLGAIFAIFKKVKGSA >Solyc01g060425.1.1 pep chromosome:SL3.0:1:70888799:70893051:-1 gene:Solyc01g060425.1 transcript:Solyc01g060425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCVDYRALNKATVNNKYPVPLVQYLMDMLSKVCWFTKLDLRACYCQVRIAKGDEPKTTYVTTYGSYEFVVMPFGLTNASTTFCNLLNNVLFDYLDDFVVVYLDDIATIDWKAPRNVKDLRSFLGLANYYRKFIAGYSKRVVTLTDLLKKDAKWIWVIRYEEDFQNLKEAIASERILKLIDFDLPFEVHTDASDKAIGGVLVQEGPTELFLIVHRC >Solyc03g065205.1.1 pep chromosome:SL3.0:3:42577102:42579369:1 gene:Solyc03g065205.1 transcript:Solyc03g065205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENRGPIRFKSWIHPIVGASKKYLFRPRAGLQIPCCTEEKFSARSWSKIDPSNFKLRSDSYFSDKRKSPAPSVSPYIPIGVDLFVCPKKINHIAQHLVLPSAKGDGKFPPLLIVNIQLPTYPAPMFVGDGDGEGLSLVIYFKISETFDKDVSPQFQDSIKRLVEDDMEKVKGFARESSVPFRERLKIMVGVVNPDEIVSNATESKLLNAYNEKPVLSRPQHSFYQGPNYFEVDIDIHRFSYIARKGLDSFRERLGFGILDLGLTIQAQKPEELPEKVLGCVRLNKINFVDNDKIPTLMCAEDSFSD >Solyc05g012540.3.1 pep chromosome:SL3.0:5:5781699:5784740:1 gene:Solyc05g012540.3 transcript:Solyc05g012540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRRSRFFCLFFLVSSCLFNLSNSDELKVVVKGVTSIAQTDDNFICATLDWWPENKCDYNQCPWGKAGILNLSYKLFAAFNPLRLRIGGSLQDQVYYKVGNYPKNCSNFEKKSDGLFGFSDGCLHMNRWDELHDMFNKTGTFSFNALIGRIPSDENDTTLWVGDWNHYNAKSLMKYTLNKGYKIDSYELVLLGNELCGSGVAAKIKAHQYGNDVKKLKKLVTHMYPNPANRPKILAPGGFYDQKWFQEFLETTGPGVVDGLTHHIYNLGCHVVGVDPTLIDKLQNPFFLSQIAQTFKNVDNDAKLFSPSSGPWVGESGGAYNSGGKTTSHTFVNVGMTSTFNHKVYCRQSLIGGNYGLLNTTSFIPNPDYYGYLKRHKLMGKNVLSITHEGSPYIRTYAHFLLINMDKSTTFDVSVVDDLNMYAEGVASVEYINPNPDSVDSMHPREEYHLTPKDGNIQSDVLLLNGTPLKLTSSLDIPVMKPKLVDPTLPISVAPQSIVFATLRGFQAPACA >Solyc02g081420.3.1 pep chromosome:SL3.0:2:45950513:45956961:-1 gene:Solyc02g081420.3 transcript:Solyc02g081420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMETDDDGEAILLEKTLIDVIFSWSFKDVLNEDLYKDKVKNIPSTFQSTAMYMKSFVFPLLEETHADLSSSFDKVANASICKILSIQEVESKRPSDKCLYNIEMERKESSGDGRAYEPETGDLFAITDVIPTCVDDLNRPTMSYIMALVQRVAYEKDYIKIQVFSSRPFWVEQGIRENHMRDSLFLVSLINTTTNTRIWNSLNLGLERQNSKVIQKILEPNFDVTGKEKCNVCLQGEVYRVCRSKILASNWLINLNDSQQEAVLNCLATKYCHHENTTKLIWGPPGTGKTKTVSALLFLLLSLNCRTVTCAPTNIAVLEVSRRALKLVVESCEFLTYGVGDIVLFGNRKRMNIDSQDELLHIFLDYRAEVLARCFTPASGWKYSLQYMITLLEDTELLYQLYSINDQQEDADAELYQKYDNNANYDSDSGNALLRKEGLKNKGDKTRRLYAQGVKDEWNKRLGHVIAKTIKLNDESQLGRGFCRNKKYSRSVPRKGSNDSHQTKARLNFDEFVTSKLNCKLEAFTFYVVNFVMHLPTSLLSVVVARDMIRAVNLLHSLSSLLLSFTFTGRSLKEVFVRNKEIERRVTGSSSDVELVKEECLKILKSLPNSFFELEDKCSIKNQILKNACLLFCTASSSFKLHETEAELLVIDEAAQLKECESTIPLQIPGLRHAIFIGDEWQLPAMVKSKVCEEAKFGRSLFERLALLRFKKYLLNLQYRMHPSISSFPNREFYQKQIIDAPNVRSTGYLKHFLQGEIYGAYSFINVACGNEEVVDGRSIRNMVEVAVVCEVVVNLFKGFTSSEKKISIGIISPYNAQIAAIKENLGTKYSTDDESEFSVDVRSVDGFQGGEKDVIIISAVRSNANRSIGFLSNSQRVNVALTRARHCLWIIGNEATLKSSGSVWKILVQDARVRGCFHESQNDKDLVKSMAAALVDIDLLDIKIRLYSVIFEGTRWKVSIDDKFWKAMEKTKSIEIRKKAISVLMKLSSYSQWPHTEHITVPSGVSNQLIELCPVDGILHIVLTLETIMETSRYVGVMRVCDIRPLTEIPNLDPMFILCKQLDAFHLKDESSAESSHQVGLS >Solyc07g008290.3.1 pep chromosome:SL3.0:7:3059462:3064956:-1 gene:Solyc07g008290.3 transcript:Solyc07g008290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIMLCNMVKRDHFDPIYVENLSVQYDLVKVSKQSLVKLSDKGGIWRENFSLKPCWRKPLSTTNEEQGKGYIFFNLTDNPEDHITQIANAVVVAKYVGATLVLPEIRGSQTGHKRQFGDIYDIERFMTSLDGTIQVVKDPPMEASKVNITNIKVPFIVTKDFIESKIKPLFKAKGNLMLTTNLSPSTMSKAKEIIRKDNIKMDPNACLAIFGSLELQQELNQLVSSMIGTLRSLSYKTNGQFVAMDLKVESLGNLCKGNVIKTKDCFEAKDIGEFLKKIGYQRHTTIYLTQSGWHSSLDDFRKIFPNTFTKDAIIPAYEKAKYQITKSSDFEKVIDFQVCTQADVFVPTISNLFYTNVVAKRIASGKTEVLDPTQKDSTSSSIVNYVSPYISKKSHWAYSCFC >Solyc03g116810.3.1 pep chromosome:SL3.0:3:67558316:67565670:1 gene:Solyc03g116810.3 transcript:Solyc03g116810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAQLGGSISRALQQMSNATIIDEKVLNECLNEITRALLQADVQFKLVRDMSTNIKKIVNLEDLAAGHNKRRIIQQAVYNELCKILDPGKPAFTLKKGKPSVVMFVGLQGVLIYFSTLGVWKNYNVYKICLPPPEKGLEAGSSLCRYLQSCYTESDPVKIAVDGVETFKKENCDLIIVDTSGRHKQEAALFEEMRQVSEATKPDLVIFVMDSSIGQAAFDQAQAFRQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDLSGLVNKIQDVVPMDQQPELLQKLSEGHFTLRIMYEQFQSMLKMGPLGQVFSMLPGFSAEMMPQGREKESQAKFKRYMTMMDSMTDEGNTNPKILTESRIMRIARGSGRLVHEVMEMLEEYKRLAKIFSKMKGLKIPKKGDMSSLSRNMNAQNMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMFGGGGGE >Solyc09g057587.1.1 pep chromosome:SL3.0:9:50090671:50091743:1 gene:Solyc09g057587.1 transcript:Solyc09g057587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLLLNNSYLVFRGSSDGDVDSLFRPLNFHTIITANPSNLQHILKTNSLFIKKDIFKVDGDIWKYQRQVASHEFNTKSLRKFVESVVDVEHGYLVSFSKLEMVVTL >Solyc05g053690.2.1 pep chromosome:SL3.0:5:64585340:64591100:1 gene:Solyc05g053690.2 transcript:Solyc05g053690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitinyl hydrolase 1 [Source:UniProtKB/TrEMBL;Acc:K4C249] MGKRAKKKARSGVKETRNPVASANRIDEKSSPNIDTPDVAVFVVKDRKECPHVDKVIDVGKVSAKLESSEPVRCEDCREGAADRQASRTKGKHGKKKGSADPKKKSKAIWVCLVCGHFSCGGVGLPTTPQSHAVRHARQYHHPLAVQFENSQLRWCFLCNTLLHAKKVEDGSEQKDVLDDIAKMIKRRPSEGPTTDVEAVWFGSGSVTSEIKSEASASISADGKGGCAIRGLVNLGNTCFFNSIMQNLLAMNRLRDYFLKFDGFAGPLTADLKKLFTDTSNEAALKGSVNPKSLFGSICTKAPQFRGYQQQDSHELLRCLLDCLCTEALTRRKLIKSSQDDGKSRSSCPTFVDEIFGGRLSSTVSCLECGHTSVVYEPFLDLSLPVQTKKPPSKGAQSVSHAKISKPPKRSGKVFSKVSRDAASLNSQRKGEKSLSRVYPRVPVTEGMILPSDTSLESTDAGVMADNTGLTSQDSCFTQKSRNEETCEGVTRQLAMVDDSTWLDFLEQETLPNVDDAASEVDHIVTNQGSETGSVQSVDPLQNNLDADTEMKLTCTNSTRSPNDLMCLDDQGQSKSPDCDIASEFSKKLLIKESEKISSVDSNHGTDSFTRLSEDEAPLRLQESEILLLPYKEVTSTAGDMLNEGCEVSSAAVGREEVSFNEPESDAQSLCNASVSQANGLREASFTVSNISMSDPEELDITDAPVSVKSCLAYFTKPELLSKSEHAWQCENCTKVLKEKRMRSKNKLTKPRSHSMVNGHEDKNPDGVSSSGTFPKGTSPRADRDSGSSLSENGTQENHSETSSQVNIDYQTNKVQLLEAPLVSDISESEESENEETDYKRVRVERDATKRILIDKVPPILSIHLKRFRQDARGRLSKLSCHVNFREALDLKPYVDTRYLQKDTYKYQLIGVVVHSGTMRGGHYVAYVRGGPKITGKEENEEDFVWYYASDTHVREVSLKDVLRSEAYILFYEET >Solyc02g068565.1.1 pep chromosome:SL3.0:2:39095784:39098348:-1 gene:Solyc02g068565.1 transcript:Solyc02g068565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQQNVFNLSSQSPILLIQESNREELHVRLRNARFMSNAPRNKILQAYVISLMPEGPDFLA >Solyc01g106440.3.1 pep chromosome:SL3.0:1:94226920:94238417:1 gene:Solyc01g106440.3 transcript:Solyc01g106440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B2L2] MALSEIEIVSDSNDQQTSGFRNPNEPAIVDVYAACAYGDFDKLRKFVEVDGVSVYQPDGNGYYALQWAALNNFADIVQYIIEHGGNVHAADNSGQTALHWAAVRGSIAAADVLLQNGARVEAADVNGYRAIHVASQYGQTAFVNHIVAKYHADLNVPDNDGRSPLHWAAYKGFGDTIRLLLFRDAFQGRQDKDGCTPLHWAALRGNAEACTLLVHAGTKEDLTVKDNAGLTPAELASDKGHLQIARILSNAQRSQRKQWKDETCPAKMGHKGYAPILFSVVVVNVILFISSVLFAPGLTKVTAVVALWGWTAVSLAVAALLVLVRCSSKDPGYIKTAVAGQPDAQDPLLNIDLNNTSSWTGNWSQLCPTCKIIRPVRSKHCPTCNRCVEQFDHHCPWVSNCVGKRNKRDFFIFLCMGSLTSIIGAAIALQRIWTSVPLLVADESWLHHVFFAYPGIIAFLFMDGVILIASVTLCVIQISQIARNLTTNEMANAVRYGYLRGPDGRFRNPYNHGCRKNCSDFLINGYTNDDEIAWPPLQHAAS >Solyc10g078790.1.1.1 pep chromosome:SL3.0:10:60619989:60621896:1 gene:Solyc10g078790.1 transcript:Solyc10g078790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCLALSLQPTNGPDILLQTREWFPPSRALMALSAFRQTRLAFSKQPQQPTAESYPSDPTMSLGDDPLAASSGQVIVGVESRYRVVYRLVNSIYVLAITTADDNDKDMVVNNVFECISIVNQAVSVVVTACRGVDVTPEKLAKKYAEIYMALDIVLRGVSNIRLAAMLASMHGESIAKMVHSAVHTENKIRGGDSWVNVEAHSLEQEGGLETFSKALFELPQETLEAGDEVAATLAITGGEKEEEKIEEIEVEQDPFAASDKINQPESLMGGFKKDKANESSDVAKALAGLDVTTLPPAAASQSTHIGVEGFEGEYGGIEFSNEGSTLREDFEGINDAWGGGLDASEYVGTKKVKKDQGLGGLELLATSEPPKAAAGATAEGAGKNLEDILVKKMNGPEMFITEEISAEFRESLLARVGLMGIVYLRTLPPKSSDDKETEFSFKVEDTAAVKRFVMQNSRVSSLGNGLFHVKTAPSNEPIPIIKYSLLPRLTPLPLRIRLVKRLSGSLLSVMLQYVANPDIPVPLTDVTFVLKLPVDPTLLKVSPKAVLNRSERELKWHVDEIPLKGHPGKLKARFPIDINDDDDVVELELFGYVKFSSQGTRSLSGISLQPALEGKTDFYEVDHRYSSGVYTCN >Solyc01g079480.3.1.1 pep chromosome:SL3.0:1:78379256:78379690:-1 gene:Solyc01g079480.3 transcript:Solyc01g079480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BZIP DNA-binding protein [Source:UniProtKB/TrEMBL;Acc:Q9SPF7] MASTQQPASSGSDGQRYATNDERKRKRMESNRESARRSRKRKQQHLEELMSQLTQLQNQSTIWREKIESVGRNFHTLDAENNVLRAQMAELTERLDSLNSLTRFWADANGLAVDIPEIPDTLLEPWQLPCPIQPITASADMFQF >Solyc11g030957.1.1 pep chromosome:SL3.0:11:24121390:24122376:-1 gene:Solyc11g030957.1 transcript:Solyc11g030957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQFVMTYKFGFFGEELIEILGLDRELVVSSQVAASSNEESADINSENLGFQLMQTDYA >Solyc07g040710.3.1 pep chromosome:SL3.0:7:49539060:49541243:1 gene:Solyc07g040710.3 transcript:Solyc07g040710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVIRTNSFKGTESETITKPKKNSINVTNCEPLKLMLHTTLSFKNLVQVQDSVVALPEPALMFSPRPVCELDAAAVKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNVEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDLWFLSESSQPFFYWLDVGDGKEINLEKCPRTKLHHQCIKYLGPKERESYEVVIENGKLVYKQSGVSVKSVEGSKSIFVLSTTRTLYVGQKQKGTFQHSSFLSGGAITAAGRLVAHSGILEAIWPYSGHYHPTEENFKEFISFLEEHKVDLTNVKRCAVDDDNLSEASNSQPSVDLSIAKESEERNTSESKKPEDVIINKKAQTFSFSKHLSSKWTSGTGPRIGCVRDYPTELQFRALEQVNLSPRVVNGDFNFSSPIPSPRPSPNIRLSPRIAHMGLPSPRTPISVPN >Solyc12g055780.2.1 pep chromosome:SL3.0:12:62711849:62723170:-1 gene:Solyc12g055780.2 transcript:Solyc12g055780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSFAHKRHLDTTTAFDTSTPTAKRRHQLLPSSATPPSNSFRTPPPPLPFLKLSPGEAFFRILCPADKTGGVIGKGGAIIRQLREETGAKIRIDDSLAGCDERVIVVIADSTKKDQTSSDAGGVNGEELPHSAFNDESSSQAQRALIRVLERILKVDEEGNAVQSEGEGRKDEFSDARSPQGVVICRLLAPSNQVGSVLGKGGKIIEKIRQESGAQVRVLPKDQMPACALPGDELIQITGSFSTVRRALLSVSSCLQDNTRVDLVNPSTPRFSGMSQNGTGYHTPEQFSRSSGVESAGINHRMVLEEEVVFKVLCPVDKVGNLIGKGGSIIRVMQTETGASVKVADSASDVDERIVVISARENLEQRHSPAQEALMRVLGRIAEIGFEPGAAVVSRLLVHARHMSCLFANGGILIAELRRVTGASIRIFPREQSPKYGSHTEEVLQVIGSMQSVQDALFQITCRLRDAMFPVKPHVSTIAPPHFPPYSEVPSPSFRPRHDPASPSYHSPVGYPHDRAPHFYGYERQGHAPYFDRPPSPGRWGPQGDNSRHTAGVADCSSASEAAPNLPETTVEMVIPEMYIKYVYGEDSSNLHHIKRVGQFALGNSVSLIGNISGAKVVIHDPVAGSACGCAILSGTKDQVEYAQTLIHAFIYCEKGDT >Solyc01g106750.2.1 pep chromosome:SL3.0:1:94385026:94389498:1 gene:Solyc01g106750.2 transcript:Solyc01g106750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSNKSPSPITARPVPPPHSRTSENTRRSFTGNPFSRPSLLSTQRGFNPVTPANSPADSARRVSNPGKEGLSWGNEEKENESKAAKLKSPAKGSKNFMSPTISASSKIAQSPKKKILVERNDPVRTSITFSDGKATFFSEEHNQNFEGTVNVMESKEIVHVEGLPPVTKAPKKVTFSEVPSNCNNASESLSDTVTMDSDICNDESLVSPAIAPLDADPSLPPYDPKTNYLSPRPQFLHYKPNPMIEVLLSKGKGMDVGEAKKLDDIFLSELLSENLSDIDGSESSLTEDSLKESDGSSSEEMFIEATVDQEEEEEPKVSVAAIPVAEETAEPALPVAEDISEAKMSAKPRSFSISKFSLLLVLVIAFLSISVTDSPILATPGTVDLSLSYLSIPSDIPVLAKANSYFKQYSGEAISYFSKLISDLGKVDYPQPLKFANLTDLAESSSMYHGYLKGSTSSEKLDIEIDPIELEEDDEEFETETYEEDFQMDTDLDDDDEVEIEEAHGLDIEEAEVDESDSLEEKDLEQSQHDLLETEEVSVVIAEGNVADISERDLDQGLTTSDVHLELDESESYIADNAISTDAKHESVNGNPEILVKDDSAVEDAQTVEIMQSPIDRNDGANAGAYQILGISSLALSLLAATVFYVKRSDKTMHPVVVHADQVPSKKFATHQEKHSSQNWPTEVDVSGESCPSEMSSFQISSSNSKKDPRSENDEAQSIEKKPRKSNKRESLTASEFSMGSPSYGSFTTYERIPIKHASGGEEVITPVRRSSRIRNHVISP >Solyc06g005260.3.1 pep chromosome:SL3.0:6:273067:274481:1 gene:Solyc06g005260.3 transcript:Solyc06g005260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAKAKEIVSGNPVAVFSKTYCPFCVSVKDLLSKLGATFKAVELDSEKDGSEIQAALAEWTGQRTVPNVFIGGKHIGGCDATTALHREGKLLPLLTEAGAIAKTSTA >Solyc04g009477.1.1 pep chromosome:SL3.0:4:2912034:2913595:1 gene:Solyc04g009477.1 transcript:Solyc04g009477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLERIVLFLRLNKREIQLPHKEKLGNFLSLPSIPDSEVLNRMKEYFDEGMEVKDLSRTLVGSLASVVVVLVAQDIYARQLPILDSVGQDIASEFDRLLHLIT >Solyc04g014770.1.1.1 pep chromosome:SL3.0:4:5013286:5013486:1 gene:Solyc04g014770.1 transcript:Solyc04g014770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFRVFNFIIVIVVIMVVSVAAHEGHNHGPMAAPMDPGSAITSAFPSAMAGLVALIFSFMVIRERI >Solyc05g041995.1.1 pep chromosome:SL3.0:5:55297293:55303674:-1 gene:Solyc05g041995.1 transcript:Solyc05g041995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPTNNNIHKSQHKREEKLRNITKKKTQVTPAAITDDQELRQLKWGVQSDLMVSILKDCISTTNYKILEDQRECKVQNNI >Solyc01g095260.1.1.1 pep chromosome:SL3.0:1:86465634:86466530:1 gene:Solyc01g095260.1 transcript:Solyc01g095260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVQPNRSLALFSIVILVLAASCDAGGIAIYWGQNGGEGTLAETCATKNYDFVNIAFLPTFGNGQQPMIDLSGHCNPNVGECTKLSTDIKSCQAKGIKVILSIGGGAGSYYLASADDAREVANYLWNNFLGGQSTTRPLGDAVLDGIDFDIEGGTNLYWDVLAKSLSAYSSMGKKVYLTAAPQCPFPDAWIGNALKTGLFDYVWVQFYNNPPCQYSSSDFNNLEAAWEQWTADIPATNIFLGLPAAPAAAGSGFIPANDLISQVLPSIKNSTKYGGVMLWSKYYDDQTNYSSSIKSDV >Solyc08g065590.2.1 pep chromosome:SL3.0:8:53784480:53810958:1 gene:Solyc08g065590.2 transcript:Solyc08g065590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPAPKKLVYTMELTVITSYPNEDIAGSTRAVIRVMIAGSMYEEKVEGSSLHVVEAGTIKGKHRLSEHNIPMEADVCHAYQILKVGGLKDENIIVFMYDDIANNTANPRPGVIINNPHGHNVYKGVPKDYVGDDVNAKNFFNVILANKSGVVGGSGKVLKSGPNDHIFIYYTDHGSPGMIIMPSGEPIYADELFNVLKKKHASKTYDKLVFYLEACESGSIFDGILPKGLNIYAMTASKPNEDSFGTYCGESTPIDSCWGQCPPPEFKGLCLGDLFSVAWMEDSDVQDRKTNTLHGQYIRVAKRTAANLTYDKYGSHVKEYGDKVVSFDPLVVYMGETSKNHSHDSVDAKSFSTSSSRNVDQRSTELFYLVIKHQNAPEGSDEKYEARVKLNEVMSQRSQVDNNVKHLADLLFGVEKGNEILHSVRPAGQPLVDNWDCLKSYVKIFETHCGRLTVYGRKHVRGIANICNAGITSEKMDAMSAQAPGVIINNPHGHDVYKGVPKDYVGDDVNAKNLFSVILANRSGVVGRSGKVLKSGQMTISSYTMLIMVPLDLFVIDLEVCESGSMFDGIPPKGLNIYAMTASKPDEDSFGTYDVQDRKTNTLHGQYSRVAKRTAANLTYRNYGSHVHKYGDKVVVFDPLAAYMGETSKNHSHNSLDAKPFSTSSSRNVDQCSTELFYLFTKYKNAPEGSDEKYDALKSQVDSNVKHLGELLLGVEKGNEVLHTVRSAEQPLVDNWDCLKSYVKIFEAHCGRLTAYGRKHVRGIASICNAGITSEKMAAMSAQAFST >Solyc05g054090.3.1 pep chromosome:SL3.0:5:64915777:64917019:-1 gene:Solyc05g054090.3 transcript:Solyc05g054090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVEVQSTPAPEVVTVPAEIEAKITPETIKTEEVAPVVAEETAPAPIPTPTEETALVEEKAVPAVEDTVIEPAPEPEEEKSAPAVEETVAEEKTEDSVLAEETVGAEPEVVDDEKVEVESEAKEDEEKVVDAPAVEQVEKIEE >Solyc05g008895.1.1 pep chromosome:SL3.0:5:3109424:3109711:1 gene:Solyc05g008895.1 transcript:Solyc05g008895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMRFVSIFAIVLFLVTKANVSCNPLQLSACANAITSSTTPSSICCSKLKEQRPCLCKYMKDPRLQKMISSPNAKKVATTCGSPFPNC >Solyc08g076000.3.1 pep chromosome:SL3.0:8:60183288:60190010:1 gene:Solyc08g076000.3 transcript:Solyc08g076000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAFLQVVLENLTSFIGGKLVLIFGFEKEFEKLSSVFSTIQAVLQDAQEKQLKDKSIENWLQKLNSAAYEVDDILGECKNEATRFEQSRLGFFHPGIINFRHKIGKRMKEIMEKLDAIAEDRRKFHFLEKITERQAAAATRETGFVLTEPKVYGRDKEEDEIVKILINNINVAEELPVFPIIGMGGLGKTTLAQMIFNDQRVTKHFDPKIWVCVSDDFDEKRLIKTIVGNIERSSPHVEDLASFQKKLQELLNKKRYLLVLDDVWNDDLEKWAKLRAVLNVGARGASILATTRLEKVGSIMGTSQPYHLSNLSPHDSLLLFMQRAFGQQREANPNLVAIGKEIVKKCGGVPLAAKTLGGLLRFKREESEWEHVRDNEIWSLPQDESSILPALRLSYHHLPLDLRQCFAYCAVFPKDTKMIKENLITLWMAHGFLLSKGNLELEDVGNEVWNELYLRCFFQEIEAKSGNTYFKIHDLIHDLATSLFLASASSSNIREINVKDYKHTMSIGFAGVVSSYSPPLLKKFVSLRVLNLSYSKLEQLPSSIGDLLHLRYLDLSRNNFHSLPERLCKLQNLQTLDVHNCYSLNCLPKQTSKLSSLRNLVLDGCPLTSTPPRIGLLTCLKTLGFFIVGSKKGHQLGELKNLNLCGSISIAHLERVKNDTDAEANLSAKANLQSLSMSWDNDGPNRYESEEVKVLEALKPHPNLKYLEIIAFGGFHFPSWINHSVLKKVISIRIKSCKNCLCLPPFGELPCLESLELQNGSVEVEYVEEDDVHSRFSTRRRFPSLKKLRIWFFRNLKGLVKEEGEEKFPMLEEMAILHCPLFVFPTLSSVKKLEVHGNIKARGLSSISNLSTLTSLRIGANYGSTSLPEEMFTSLTYLEYLSFFDFKNLKELPTSLTSLNALKRLQIESCDSLESFPEQGLEGLTSLTQLFVKYCKMLKRLPEGLQHLTALTDLGVTGCPEVEKRCDKEIGEDWHKIAHIPNLDIH >Solyc07g052610.3.1 pep chromosome:SL3.0:7:61204845:61206268:-1 gene:Solyc07g052610.3 transcript:Solyc07g052610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin [Source:UniProtKB/TrEMBL;Acc:K4CFG0] MLHEGGSDEFHRRPDPSADDVATPRVLTILSYVLEKLVARNDQLLLLGRQVGHDNELMSNGAGGPRRVLGKNFNAFHGVRAPNISIPKYLERLYKYTNCSPSCFVVGYVYIDRLGHKYPDSLLVSLNVHRLLVTSVMVASKMLDDAHYNNAFYARVGGVSNAELNKLELELLFLLDFGVNMSARVFESYCQYLEREMLSNGPTLKIEKSAINSITTSTVDDATEISVEDIDHTSSPSQLLD >Solyc05g023990.2.1.1 pep chromosome:SL3.0:5:30172538:30172672:-1 gene:Solyc05g023990.2 transcript:Solyc05g023990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VTDDLNKCVSLNYLDVGNNESTGSFPDISSLTELTHSHGILWRI >Solyc02g077670.3.1 pep chromosome:SL3.0:2:43126476:43131134:-1 gene:Solyc02g077670.3 transcript:Solyc02g077670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVDYYKILQVDKSATDDELKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDSQKRAIYDQYGEEGLKGQVPPPDAAAGGPGGATYFHTGDGPNVFRFNPRNANDIFAEFFGFQTPFGGGGMGGGGGGGMRGARFSSSMFGDDIFSSFGEGRPTIRKAPPIERTVPCSLEELYSGTTKKMKISREIADASGKTLPVEEILTIIIKPGWKKGTKITFTEKGNEEPNVLPADLVFTIDQKPHSVFTRDGNDLVINQNISLVEALTGYTAHVTTLDGRKLTIPINKVIHPNYEEIVPKEGMPLPKDPSKRGNLRIKFNIKFPTRLTADQKTGIKRLLPS >Solyc07g053100.3.1 pep chromosome:SL3.0:7:61687260:61690535:1 gene:Solyc07g053100.3 transcript:Solyc07g053100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSIYREKEEQEVSYSLGKTMEFDSFLPLLLLVFSCCCLPVLPCQNCVEVGKSITGNQKLISSDRSFALGFFIPSNSMYTYLGIWYNIIPQQTVVWVANRESPIPSNASPVFTVGGDGNLVIFDEKGELIWSSNVSSTSREPLLTSNSTIGILLDTGNLVLRYYGESNYLWQSFQHPTDTLLRHMRFGFNKISGLRNVITSWTSNEDPQPGNFSFGIDRVMRFVIWNQNDIYYRFDDGCRAYILATVWCFTVVTENDNVYLNLAYYRIFLRLRVVLNPSGQLQVMVWNKNKSNKWNVEFEAPRSKCELYAHCGPFGTCGIRSKGLCSCLDGFEPKFSTDWANEIWNGGCVRKIALGCDGGDGFFKHENMKLPDYAVSLGNMSTEECETQCIRNCSCSAYACTRNACFIWHGDLLDIGHDITNGRTLYVRVHNSEQVTNAQSRNSARRYKILIAKIVSASFAILLLISISAFIFKRKRLRRRGESSISKLDGDSALVSSMPSGSLVGKDDMKLVQYSLQNIRDATNNFHEENKLGEGGFGPVFKGSLPEFGDVAIKRLSRKSSQGLEEFMNELKLIANLQHKNLVSLLGCCVEGEEKILIYEYMPNCSLDKFLSDPSLKVTLGWDTRFGIIEGIAQGMLYLHKYSRLKVIHRDLKVSNILLDQEMIPKISDFGMARIFGTDQTQASTKRVVGTYGYMSPEYVVYGQFSEKSDVFSFGVLLLEILTGERNSDFFTTEVCVSLLGWAWKMWKEGRMLELIDPSIRAICDSNKAARSVLVSLLCVQEVPTDRPTMSDIVVMLSNETTPIPEPKEPAFRSSWRHQTSSDFSINELTLTLPVPR >Solyc03g116940.2.1 pep chromosome:SL3.0:3:67705171:67712984:-1 gene:Solyc03g116940.2 transcript:Solyc03g116940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLYWAGNKIMVLTMETCNVHQSSPYQFLARLHSKSFSPLMNMYYGNASLRFKILRLASILRCLTELSNSMLPLLLKFKEREGPMISPWGSLNQIRRCYVYAILSSYKIRASIALVFDNIFTKYSDFTDNCMSIQVQIHGAESLPQMLAEYVYSRSTLKGQRRSESIQITNLEQLLSMVKQVPPASVRDAIQPAMEALVTDGVLRHPDIDVKVSVASSMSEIIRITAPDQPYNDARLEAILAFGKLSCLEGRCYSKAVSIIEVLAKYQTLDHPDEVFMNIKEIMTMIIKESDDIPMQLLNTLVSILISSVKKENQNVSPRSYVLGEKVLQESAVKLYPYFQKAVTDLGISFNNYSEVVELIWPRLKLKLRWVYLIFKVESAPQELAPHSAHKNDDVVLETVSSLKEPEAAKEAVDPEAAQTSKKRGWKPIFLNKAEEGYVHAWTSTERRSNIRAEVLGSISNTKEINFPIACKKQCKERKRRKTKQDNSTPKGGRRNKGVKVDVHGYKVEAPILTAIFAKYGDIAVNCHYKSLASRASLLDLVCDVVKRLKAGDVGSSSIKQMKSFVSTAVEVVLDVAWLQQFLDEISKEEDMEKRLHMDIDILLR >Solyc08g041880.1.1 pep chromosome:SL3.0:8:25367724:25368254:1 gene:Solyc08g041880.1 transcript:Solyc08g041880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGDGIGHTSRWGEGGGLKAQFLKMAPLVEVERMTSGNLLKRGSLKARRLKLGLGIFGARHRQEGEPGLGLEERRLKAGTGQWGLGEQCLEARWESAWLGNFYFD >Solyc07g055190.3.1 pep chromosome:SL3.0:7:63433179:63435501:1 gene:Solyc07g055190.3 transcript:Solyc07g055190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CG58] MASLTSNLILAFLCVLFGFVCFSNAQLSSNYYGASCPNLKTIVGNAMKEAVNREARLGASVLRLFFHDCFVNGCDASILLDDTSSFTGEKNSNPSMNSLRGFEVIDTIKTQVEAACPNVVSCADILALAAQKGVASLGGPTWTVQLGRRDARTASLSSANAQIPMPTSTLSTLISMFSAKGLNAAEMTALSGSHTIGQARCASFRDRVNNATNINSEFAATLGATCPASGGDSNLAPLDIQTPSQFDNKYYQNLEARRGLLQSDQELFNGGSQDSLVKSYSSDGEAFRRDFASAMVKMGNLSPLTGTNGEIRRNCRAIN >Solyc11g068720.2.1 pep chromosome:SL3.0:11:53646362:53650573:1 gene:Solyc11g068720.2 transcript:Solyc11g068720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRQNWNGEECNNKVRKRGGSSSSSSSLVQSYRLKRAVLVGKRGGGSNSTTPVPLWKMNSRSPSLQNDSGNSSKIFKNLQQQTAKGTVSDLSVSARKLGATLWEINGVVLPIPKKEENFLKSSSKFGALASQITPVSERMERSNLDSDRRRTSIGSHRILQTDFSLQNGCLIEVDQTQNRGSSVDRHRLKDVKNGLSASKELLKVLNRVWGVEEHQSVCLSLFSAMKAELDRSCIQVTKLIHEQRYSNYHGEVDVLLKQFEEEKASWKLKEQDKIYAAITSIAKELKVEKKLRKQTERLNKKLGKELADTKTSLSKATKELEGEKRAREILEQVCDELARGIGEDRAEVEELKRQSVKVREEVEKEREMLQLADVLREERVQMKLSEAKYQFEEKNAVVDKLRNELEAYLRSKNGQEHGGESPNYERIKELERHLRETLPSTCYYQDKEKENGEVLDDDDDDNDSADSDLHSIELNMDDNTKSYQWCSTLQDDPKRSSVSEKSKGRRSISEKFPRQSISIERETSDGIEWEFSAGGGKENMSTFDTCDKGRSNFHENGPIFDFSSHVWKKDCEDEIERYKMIKDLRDHIVSASQKTPSQQSFSSPTKNWNQQNLPSSDAERVINEAFAVLQGAN >Solyc07g008865.1.1 pep chromosome:SL3.0:7:3840317:3844050:-1 gene:Solyc07g008865.1 transcript:Solyc07g008865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDLYFNSSNPGSDIYENEGDPVDSDEVVDPPLRISNPRITVVVKKSKNTIPGKEKNGYTTGGGYTGDATATSIGVSGGVTGGARGDSNGATGDNGGATTSATSTGVTGGSGGTTTKRPATTSTAYEGATTATTTASRSANIQEAKNREANNNCFVVLFGANDDVIKRSGTIDRELHSTLLKSSVLTNIDLDYKPNGLRWKGGTTITQRKLQEQSYK >Solyc05g013480.3.1 pep chromosome:SL3.0:5:6685952:6691394:-1 gene:Solyc05g013480.3 transcript:Solyc05g013480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVVVGNIALLLDGTHVTTPRAVVLPDRKTRDVLLNFFVKKDPCQNQSMYISSGFENERERVNPKVGFRGKANSKVGAVDYENSSDDENGNGVEDEGEGGEGGGEEFDWEKEMKRRVKELEEMKELEKKAEELQNRVDEEYGEEDEISEETEEEKRERVRRELEKVAQEQSERRKTAQLMFDLGQKAYGKGMYGRAIEFLEGALTIIPRPTLFGGEIQIWLAMAYEANNRHSDCIDLYKQLERKHPSISIRRQAAELRYILQAPKLKISQEEMVTIPLIGSSYDSYAGSWTDKKKDQDERQSRSTTNQLPSSRDWVGDFLVWKPPVGLEKSQSFWAILTIWIALVGAALFLPK >Solyc02g090230.3.1 pep chromosome:SL3.0:2:52489462:52496966:1 gene:Solyc02g090230.3 transcript:Solyc02g090230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTFTPQAQQPSLFQTPGQQQASPFQTPGQQQSSPFQTPGQQQASPFQTPGQQQPERILEYRDESQRLDQCSRLYDSSVSNDGFELDASRSIQELGGISTSMERQKAILQELMIVVKDMLRNTEVAVRSFMMLRPKFLHQSAPATASATAPSQASGATVAPTASGSAQSTPNAAIFDFYSGIPKKPSPFLQQTVARFEKYLVECRQWVEELEQLMALDSDRNSMNSNSSLLQSLPNVMSNVHGFFVHVAAKVESIHQYIESMKTAYLADQRRRGDGSDPFLEADRRETAKQEAAAKRVHPTLHLPTISQPSTQVAGLISSSAAPGVSGTPQTSSAVSSASAGSGSSLFATPSVAASSSSLFTTPTMSAPVSSLFGTSGASPQSSLFGSLSTSTPAFSTPLFGSTPASGVSSFATPFASGPALASGASFGTQSNHHGSPTTGRLEQKVVQADARECVW >Solyc05g015093.1.1 pep chromosome:SL3.0:5:9832496:9835369:-1 gene:Solyc05g015093.1 transcript:Solyc05g015093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALELNCSSRQAFVMRVNGSTLRLTLREFALISGLNCVNEENDFIFDESESNRFMEKYFEGVKLIRKIDIMRSFHRKWKAQSVSMGEKSFLFDEEETEQEVAQKVDDHIPRLLNWQTTNESRRYKKLMNTIFSDVNNKIKFRNITPNQRELEVLQLPSEGIENQAPPQYSDSSADDLDDELIDNNDDPREGSCDDQDSDDDFQAPPPQAVKVAKNLEPRISVKQPMKKNVVSKKRTRGSEVEGWLKELSDFIKEVKQEFVEIRNLINDNFKTVLTAINSTRDEQEHSDDHIVPPNSNDEDGYEPPYTSNKESPSNQVLVVQCDKLESGNSEDALQQRQIKPLSMSIWVRTLIYRKHDQDHYKKNLAEIPVAINLGVLLIDNKNWFYNLYFKGKLLNNSHVDVILYYLRKEAKYDVGGSYKYTTVDSCHW >Solyc09g009180.3.1 pep chromosome:SL3.0:9:2524540:2534413:-1 gene:Solyc09g009180.3 transcript:Solyc09g009180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASEENSALFPIFVLTLMALPLVPYTIVNLFGAFKKKAAKINCQCSVCVRSGKYHKSIFRRISNFSTYSNLTLVLLWVVMAVLVYYIKHISTEVQIFEPFSILGLEHGASDSEIKKAYRRLSIQYHPDKNPDPEAHSYFVEFISKAYQALTDPISRENFEKYGHPDGRQGLQMGIALPQFLLNIDGASEGILLLGIVGVCIILPLTVSVIYLSRSSKYTGNYVMHSTLAAYYHLMKPSLAPSKVMDVFIKASEFMDIPVRRSDEEPLQRLFVLVRSELNLDLKNIRQEQAKFWKQHPALVKTELLLQTQLTRETAALSPTLQRDFRRVLELAPRLLEELMKMAIIPRPPVGHGWLRPAIGVVELSQSIVQAVPLSARKAAGGSSEGYAPFLQLPHFSEAVVKKIARKKVRTFQDFRDMTPDERADLLTQVAGFSNGESHDVEMVLEMMPSVTIDITCETEGEEGIQEGDIVTMHAWVTLNRGSGLIRALPHCPYFPFDKEENFWLMLADSFSNDVWLSQKVSFMDEATSIIAVSKTIQESKEGSGASAREINVAVKEAIEKVKNGSRLVMGKFQAPAEGNYNLSSFCLCDSWIGCDAKSNIKLKVMKRSRAGTRGGFAADETPAMEDGIEEDEEEEEEDFDDYESEYSEDEDDTKETKSKGAVANGSAHNKGNSSGSDESGSEAD >Solyc03g006360.3.1 pep chromosome:SL3.0:3:957494:960872:1 gene:Solyc03g006360.3 transcript:Solyc03g006360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEKLWDDVMAGPKPDKGLGKLRKSITLIQNHGGEGGEGASKSYQRSLSMPGSGSSLSSPRTPGSPGTPNTYSPTAARKDNVWRSVFNPGSNSATKRIGAEVFDKPSHPNAPTVYDWLYSGDTRSKHQ >Solyc06g068160.3.1 pep chromosome:SL3.0:6:42356630:42358264:-1 gene:Solyc06g068160.3 transcript:Solyc06g068160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SNF4 [Source:UniProtKB/TrEMBL;Acc:Q9M727] MQATAEIQAAGSPRRSQKHQMLKDKQVKDLIIDKRRLVEVPYTATLADTINTLMANKVVAVPVAAPPGHWIGAGGSMILESDKQTGAVRKHYIGMVTMLDILAYIAGNGYRDDDDDLTKKMMVPVSSIIGHCLESLSLWTLSPNTSIVDCMEVFSKGIHRAMVPVNGRLENVVGVELTESASCYRMLTQMDLLRFLNDQQELKAIMSHKVSDKQLQAITDTVFGVTNKAKVIDVIKCMRTASLNAVPIVESSNDITEDHTQLVNGKKRKIVGTFSATDLRGCPVSKMQPLLNLEVLDFLKMLSGAPNTGLRSSWREQVTCRPESSLGEVVEKVVSDNVHRVWVVDEQGLLEGVVSLTDMIRVIRLWYLTEFLQ >Solyc06g009160.3.1 pep chromosome:SL3.0:6:3095943:3105175:-1 gene:Solyc06g009160.3 transcript:Solyc06g009160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKQQRANDGKSTDRKILSEVGEHGVAIITINRPPLNLLSVDVVLSLKEKIEEAIRKDSVKAIVITGSRGNFSAGFVVTAFGGSQQRKTHRELGFMSIDFITDTLEASRKPIVAAVEGFCLGGGFEIALACHARISTSTSKLGLPELQYGILPGFGGTQRLPRLVGLPKALEMILTSKRISGDEACNMCLVDAISPSDQLLGSACQWALDILECQRPWSISLFRTDRLAPLAEARTLLNSVRAQIQKQNPNVIHPLVCIDVIEHGILCGPRNGLWKEAEALHELRQSDSCRSLVHVFFAKQNTSKIPGITDIGLVPRKINKVAILGGSLMSSEIATVLVLANYYVIMKYIDQNSLQSGIGRVNANLEGRVKEGRMTQEHYKKACTLLKGVLSYDNFKDVDLVIEDMPDIVSLKQQFFADLDECCPHHCIFASTTCIVNLDLIGERMKPCREIVGIHFFSSPSVTDLLEIVRTKRTSPQTLVDLLNFGRRIQKTPIVVQNCTGFAVRHMCFPYLQAAMLLVEHGADVYQIDKALTSFGLKLGPFRMLDQDGFLSAAVHDVKFLETFPDRSYKSNLIPIMRKNNGEGKYSCQGFYTYNDKEEASPDPEISKYIEMARSMSACRMISEAIIVKSSDLDVASVLGMGFPGYRGGIIFWSHSVGSKYICSKLEEWSKEYGEFFKPCAYLVEQASKRSSLGAQVKQAKSLL >Solyc12g017835.1.1 pep chromosome:SL3.0:12:7453579:7491582:1 gene:Solyc12g017835.1 transcript:Solyc12g017835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAAGGFITRALESMLKECSNKKYSALQIAIQSYIDNSKASSQQSLSTESDAATSSAVDQSSTDTGVSGNEAAPVDSTTALPSGEGAEQISRPSNQSGTIVTALAQAGNTLSQAQAELVLNPLRLAFETKNGKIMELALDCLHKLIAYDHLEGDLGLDGGENVTLFTDILNRVCGCVDNLSTDSTTLQVLKVLLTAVASAKFRVHGESLLGVIRVCYNIALNSKSPINQATSKAMLTQMLSIIFRRMENDLGSRSHGSVAHQETTDTNGPNVKVEEVSHNDPEYKEITEGGDAPNVVQAKDASVASVEELQSFVGGADIKGLEAALEKAVHLGDGEKVTKGIELESMSPGEHDALLLFRTLCKMGIKEDNDEVTVKTRILSLELLQGLLEGVSDSFTKNFQFMDSVKAYLSYVLLKASVSQSPAIFQYATGIFSVLLLRFRECLKGEIGIFFPLIVLRPLDGTDLNAKTSVPRMLEKVCKNSQMLVDLYVNYDCDLQAPNLFERMVTTLSKIAQGMQSAEPNSVATSQIASIKASSLQCLVNVLKSLVEWEKRWSELERLSNRNQSSEDETFKGDSDKMRDVDDSASNFEKLKAHKSTVEAAISEFNRKPTKGIEHLISNGLVENSPTSVAQFLKSSPSLDKAMIGDYLGQHEEFPVAVMHAYVDSMNFSGMKFDLAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADIAYILAYAVIMLNTDAHNPLVWPKMSKDDFIRINATDEAEDCAPKELLGEIYDSIVQEEIKMKDDPVGLAKSSKQKPEAEERGRLVNILNLAQPRRRSSVDPKSESEAIIKQTQAIFRNQGGKRGVFYTSHNTKLVRPMIEALGWPLLATLAVLMEEGDNKARVSVCMEGFKAGIHITHVLGMDTMRYAFLTTLLRLNLLHVPRDMKSKNVEALRTLLAICDSDAEALQDTWIAVLECISRLEFIVTNPSMASTVMQGSNQISRDALLQSLRELTGKPTEQVFVNSVKLPSESVVEFFSGLCKVSAEELRQYPARVFSLQKLVEISYYNMARIRMVWARIWSVLATHFIFAGSHPEEKVAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRSSRSETIRRLIVDCIVQMIKSKVGSIKSGWRSVFMIFTAAADDELESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALKPVDTTEDETCDVTEHFWFPMLAGLSDLTSDPRPEVRNCALEVLFDLLNERGGKFSSTFWENIFHRVLFPIFDHVRHAGKENLSSTDEWPRESSIHSLQLLCNLFNTFYKNVCFMLPPLLGLLLDCAQKSDQSVVAISLGALVHLIEVGGHQFSYNDWDTLLESIRNASYATQPLELLNDLGFENSKHQTALHNVTENGNDGGHSSDVLEDTHGSERPADLEETGGMPSPSGRSEKPTVPEGLDRSQTIGQKIMGNMMDNRFIRSFTSKPKIQASDILPTSPSKLLADDAEPEAKDEDESSMLATIRSKCITQLLLLSAIDSIQKKYWNKLKPTHKITIMDILFSVLEFAASYNSYSNLRLRMRQIPAERPPFNLLRQELAGTSIYLDILQKTTAGINSVREESTETTVAQSGNSFINNDATSSDKFQEQGSIKEDKFQQIAEEKLVTFCGQVLREASEFQSCTTESANMDVHQVLELRSPIIVKVLRGMCSMNSQIFRSHLREFYPLITKLVCCDQMDVRGSLADLFNMQLNPLLA >Solyc03g113830.2.1 pep chromosome:SL3.0:3:65365257:65366978:-1 gene:Solyc03g113830.2 transcript:Solyc03g113830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTHGRCSSVSSAMTKRQAMAADSLALENNQAGNLNAGSSKKRPVHAFVAPKIIGGKNALSPVGELGMVEMTRL >Solyc11g032140.1.1 pep chromosome:SL3.0:11:25593095:25594759:1 gene:Solyc11g032140.1 transcript:Solyc11g032140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGVVCKTHHGLKPLHTYDIKGVMNKSSGLQSTDTSIGRPFDHRYLFICLENIRFELQMKELKWERVRFVEDLQREQTLITNAKKKFEIRKQEHVNFLSQRSSNLSRMYA >Solyc01g097100.1.1.1 pep chromosome:SL3.0:1:87923724:87925016:-1 gene:Solyc01g097100.1 transcript:Solyc01g097100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRSSGSTYNAKRKGKEIYEENSNTMDFAEFYAQRGNKGITICERSSNSRSEEMARFLGTSNDHSSGQKLQSRDRTSLNQVSPNNFLSKSIKEMSVFPRQHHKPRIIVHPSKIIGPSRFSQNYDQNTQRTSVSAPRNFSLLKLLLEEDNISTLTDEELLYARELLSADQGLPLEGQGMQQFPCSQVGSHQNIERASVPATSDFSYMKFLMDDNSSVLTNDLYEKQLLSALCSLSSEGQMAQQLPCSQVGNYPFENALAGQMVQQWPCSQVGNYPFDKASEAQMVQQWPCSQVGNYPFDNASLEQMMQQWICSQAGNYQFDNSSLGQMMQQWICSQAGNYQFDNSSLGQVMQQWSCSQVGYNQFENALQQVNLQNYQNQVLQQPQQTSYMNLLKRDDISYMDLLTRDDISCEDTLTTEELSYKDLLTREDI >Solyc03g115300.2.1 pep chromosome:SL3.0:3:66554617:66556378:-1 gene:Solyc03g115300.2 transcript:Solyc03g115300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Expansin [Source:UniProtKB/TrEMBL;Acc:K4BL32] MAKHQSLFILFITFVVFFLVDAFESKEVEGNLDEQVWNNARATFYGDMGGGQTMQGACGYGDTIKQGYGLETTALSTALFNKGSTCGACYQIKCVNAPKACHPDQVIIVTATNLCPPNSKKTNDDWCNPPQKHFDLTMPMFIKIAEQTAGVVPVVYRRVTCQKKGGLKFEIAGNPNWILVLVFNVGGVGDVVNVKIKGSKTQWIPMSRNWGQNWQANVQLGGQSLSFQVQTSDGKWVQSDNVAPDNWQYGQTFEAKNNF >Solyc09g061530.1.1 pep chromosome:SL3.0:9:59756362:59756977:1 gene:Solyc09g061530.1 transcript:Solyc09g061530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSLHTDGAISLVIRKEIVLGRPVVVKEMFKVTHIKKSTNPKEEKRWIEPRAQETYMSQEYHCTLPLESRDRSFIEEESENLWKQSAGEPIRGSVYDYPGKTYKKKHAWYCGSSFYSFDGGDKETISAMEGKIAFLKA >Solyc01g008840.3.1 pep chromosome:SL3.0:1:2798562:2819783:1 gene:Solyc01g008840.3 transcript:Solyc01g008840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSDADMEDYGFEYSDEEQEEQDVDIENQYYNSKGMVETDPKGALEGFAEVVHMEPEKAEWGFKALKQTVKLYYKLGKFKEMMDSYREMLTYIKSAVTRNYSEKCINGIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCKKEDGTDDEKKGTQLLEELYNKALSVKSAIPHPRIMGIIRECGGKMHMAERKWAEAATDFFEAFKNYDEAGNHRRIQCLNPSVKVAKDFTVKFILWRGGAVKSGVKQNEITFPIRSFQKGFLVDFEVFGALFYTEGSSTRCRFLVQTVYAPLVMWYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKIIKSNRRTIMDDPFIRNYIEDLLRKVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEDLLVSLILDNRIDGHIDQVNGLLECRDRSKGMKKYTAIDKWNTQLRSLYQTVGNKNRVGVSIMNRTKIKRRVGKYEVGRTIGEGTFAKVKFAKNSETGESVAIKILDKDKVLKHKMAEQIKREIATMKLIRHPHVVQLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREEEARKYFQQLIHTVDYCHSRGVYHRDLKPENLLLDSSGDLKVSDFGLSALSQQVRADGLLHTTCGTPNYVAPEVLNDRGYDGATADLWSCGVILFVLLAGYLPFDDSNLMNLYNKISAAEFTCPPWISFGAIKLITRILDPNPTTRITVPEILEDEWFKKDYRPPVFDEIEDANLDDVEAVFRDSEVSIHLSILEERSVCNTKLISRATPLLALQQEYHVTERREEKPTSMNAFELISMSQGLNLGNLFDEQGFKRETRFTSKCPANEIISKIEEAAKPLGFDVHKKNYKVVMKFTYRNMRLQNLKAGRKGNLNVSTEVFQVAPSLHMVEVRKSKGDTLEFHKGFTPKGSPSKNSQRPWQTPRPMRRFGNSFQPAIDAPRLEPH >Solyc09g005980.3.1 pep chromosome:SL3.0:9:668911:673634:1 gene:Solyc09g005980.3 transcript:Solyc09g005980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRVGNSTRLYVGHLSSRTRSRDLERAFSKYGRHLPGLKVNLERVALVDLMESLAGVRDVDMKHDYAFVEFSDPRDADDARYYLDGRDIDGRRIIVEFAKGVPRGPGGSREYLGKGPAPGSGRCFNCGLEGHWARDCKAGDWKNKCYRCGERGHIERKCPNSPKKLSRRSYSRSPARSKSRSRSRSRSPRRSYSRSRSYSQSRSPPPKREQVDQVKRSRSYSRSPEPRKDSPSPPPKTRKRSPTPEEGSPMEAKSPSSPMREEGAYSQSPRERSVSPSSTRRDSPAPRKYDDDSPAEANGGSRSPSPKYQRNHEDDEDEGEFRNQRSGRESQSP >Solyc09g030375.1.1 pep chromosome:SL3.0:9:32305005:32305606:1 gene:Solyc09g030375.1 transcript:Solyc09g030375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGTLSNYKKVSKELSVNVSVRPNATQLATSNVRGSKNTKLVLYVDDIFLATNDLGLLHDTKRYLTNNFEMKNVGSDRI >Solyc08g014377.1.1 pep chromosome:SL3.0:8:4364180:4366252:1 gene:Solyc08g014377.1 transcript:Solyc08g014377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFRLTNAPSTFQGAMNDLFIPDPQTHQQHLQTALNLLSVNFFFPNPKKCLVNTKLECLVPKNLKELRGFLGLNGYYRHFVKYYGIIACPLKELTKKDAFIWHAKVEQIRDQLSYDLSIHPDFSLSANHIYYKSWLVIPDYLKLKAKILADSHDSPTGGKRGYSKTLKRKHKYETLAPAGLLQPLPIPNRVWEDTSLDFIVGLPPSKSFDTILVVEYSFNTGYHSSTNMTPFQIVYGRGPTLLHPFVHEETKIVELEQQLMEREQMLQKSLAKRINEKLSPRHFGPYKIIRRVGPVSYELKLPETSK >Solyc03g026160.3.1.1 pep chromosome:SL3.0:3:3594575:3596749:-1 gene:Solyc03g026160.3 transcript:Solyc03g026160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIITLKLKRTTLFNVLFKEIT >Solyc06g065360.3.1 pep chromosome:SL3.0:6:40883943:40911062:1 gene:Solyc06g065360.3 transcript:Solyc06g065360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLGSDLLIFSNEKYVAVSLHLWDVSRQVTPLTWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTDDIFLLKGISEDGTPAFHPSVVQQNGLSVLRFLEENCKQDPGAYWLYKSAGEDAIQLFDLSVIPQNRPADDTDDSSCSVPSLINRGRSDPLLSLGTILYRIAHRLSLSMSPENKSRCASFFRKCLDFLDAPDHLVVRACAHEQFARLLLTYDEMLDLSSEALPRESEVTSVDAEEELVESLISVSLSDVHDSLVPKVEPDNNIETLPAIGSDDFVRVTSDEAKFSPRAMTAPRGGNTVCLQEASNSREKSCAVCDLSKMSPKVQTVADPISTKLAAIHHVSQAIKSLRWKRQLQSNRMDLQNSAKNQDELPSAPSFSVCACGDTDCIEVCDIREWLPTSKLDDKLWKLVLLLGESYLALGQAYREDGQLNQALKVVELACLVYGSMPQHRQDSKFVSSMLVCSLPEVESDDKSEKAGSSLSDGCFMYDQSSDSYLFWAKAWTLVGDVYVEFHSTDGDKMPVQSEQKPLTKELKMSSEVLREVERLKKTLGQSSQNCSSCSLLNCSCQSDRASSGSSASSSNRDSRSKSYGRKQKKKSHTKANAHAHSGTFVDIHQKAESSTSESKLLMHKKNIARIEMSNKLKDSSEAKNSGATNSDRDNMAVKMDGTSAYKCSETLKEESERKSGGIFKYLRGTVAGDADNLSNALNCYDEARNAMVGHLANSEDLQSLIRKKGWVCNELGRKRMKRNELDEAEVAFADAINAFKEVADHTNIILINCNLGHGRRALAEEMVAKIENLKEHAILHDAYMQVLQGAKMEYRESLRFYGSAKTVVNHVTEESDVDSSYLRNEVYTQFAHTYLRLGMLLASEDTFAEVYENCVLEDSFNSSVSRPKIDRRKHEISANDAIREALSVYESLGELRKQESAYAYFQLACYQRDCCLKFLEQDQKKHGSSKGEKSFLHRVKQYASLAERNWQKSLDFYGPKTHSFMHLAILVERAGLLLDLSNLLHYNVVLESALTCMFEARHVPVDELGKDNPKICDKYWSQLQKLLKKMLSVSLCATKSSANSQHNASSKSADAGKLRELYKMSLKYTDFSQLQVMHDLWTS >Solyc03g005120.3.1 pep chromosome:SL3.0:3:75615:81266:-1 gene:Solyc03g005120.3 transcript:Solyc03g005120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASWNSIPLEVVYTSLGWIAFFSWSISFYPQVILNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASMFFSSVVQKQYREKYGLNEMIPVAANDVAFSIHAVLLTAFTLFQIAIYDRGSQKVSRTSIGIVCVAWLTVAVCVFIALPSHSWLWLISCFNTLQVVMTVIKYIPQAIMNFQRKSTIGFSIGNILLDLLGGVTNYGQMAVQSIDQNSWVNFYGNIGKTLLSLVSIFFDILFILQHYVLYPAWKIGTCRDVDVIGKKPLLKTSDHENKEDV >Solyc10g076537.1.1 pep chromosome:SL3.0:10:59617383:59617735:-1 gene:Solyc10g076537.1 transcript:Solyc10g076537.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHCLFHVECSIRDKQIPKVKSFETNCAYIVYKTNPRVPNGFLTLLDASIRRKEITLSNTINQELMFNPLQGQLTEI >Solyc09g089620.1.1.1 pep chromosome:SL3.0:9:69856267:69857127:-1 gene:Solyc09g089620.1 transcript:Solyc09g089620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:AT-hook motif nuclear-localized protein [Source:UniProtKB/TrEMBL;Acc:K4CVY8] MANWWGGQVGMSGLEPGSCPPMLLTKQLSVNESGSSGENQEDNDRDNGDHEPKEGAVEVGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHIMEVAGGTDVADCIAQFARRRQRGVCVLSGNGSVANVTLRQPSSPGAVVLQGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGPLIAAGPVMIIAATFSNATYERLPLEEEEEVGGDNPSQSQVLTGNSPPTLGSNDGRPQQHQQHELHDPSSLPIYNFTPNILPNGGQLNHDAYAWTNPRPPY >Solyc11g044490.1.1 pep chromosome:SL3.0:11:32824645:32825309:-1 gene:Solyc11g044490.1 transcript:Solyc11g044490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSLRPENPLLSMFIGLGNLGDASYVFDKTEKRNVFLVCFWEMEEKDVFLVFFFCENGHFDEALDLYQRMLWIGIRPDVYIFPCVSRTCGGMPDWRIGRKIHAYAIRFSHDSEIDIVNALIIMYVKCGDVCSARVLFDGMSKRDRISWIAMISGYFENACEALGNERLGRALHGYVSRMNFNSSLIQI >Solyc10g055820.2.1 pep chromosome:SL3.0:10:57382210:57384416:-1 gene:Solyc10g055820.2 transcript:Solyc10g055820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFSYNNKMRHFEFIVFSLLFSSPLLTASAEQCGVQAGGALCAAGLCCSKFGWCGYTDAHCAPGNCQSQCRDSPEPSGDLSGVISNSMFDQMLNHRNDNTCQGKNNFYSYNAFISAAKSFPGFGTSGDTTARKREIAAFFAQTSHETTGGWPTAPDGPYAWGYCFLREQGSPGDYCTPSSQWPCAPGRKYFGRGPIQISHNYNYGPCGRAIGVDLLNNPDLVATDPSISFKSAIWFWMTPQSPKPSCHDVITGRWQPSNVDQAANRLPGFGVITNIINGGLECGHGNDNRVQDRIGFYRRYCEILGVSPGNNLDCGSQRSFGNGLLVDTM >Solyc04g040120.1.1.1 pep chromosome:SL3.0:4:12045004:12046131:1 gene:Solyc04g040120.1 transcript:Solyc04g040120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNMTKIEQKIKVPLLKPPFKLSELKKAIPPHCFQRSLVRSFSYVVRDITLVFVLGYIAANYIYVLPSPYYYLAWPIYWFALGSAFTGIWVLAHECGHHGFSNYQWIDDTVGFILYTSICVPYFSWKRTHHRHHSNTGSLEYDEVYVPRLKSELQWFSKYFNNLPGRIIAFTTTLTVGWPSYMAINASGRPYDRFASHYNPYSPMYNDSERLLIYLSDLGLISMIYMWYRIAMVKGLAWVVCMYVAPLQIMSILVVVLTYLNHTHALVPHYDSSEWDWLRGTLATVDRDFGILTNVFHNVTDTHVLHHLFTTIPHYHAMEATKAIKPILGDYYNFDSTPVYKAIWKNINECIYVEKKEETQDRGVFWYKNKL >Solyc01g098380.3.1 pep chromosome:SL3.0:1:88779882:88785753:-1 gene:Solyc01g098380.3 transcript:Solyc01g098380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAIIKVPLYINGGGMRYSSSSKNGCNSFVRGRKYSVKMCSAPAQNVEVLVKSKIEPLPIMVILFELGLFYFDVKVNGCTGKMGRAVLEASIAAGLQPVPICFGGPQDEGKTVEAGGKEIIVHGPSDRENLLSSVFEEHPNLIVVDYTLPAAVNDNAELYCKVGVPFVMGTTGGDREKLYKTVVDSKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLQVMESHQASKLDTSGTAKAVISCFENLGVSFDLDKVQLIRDPVQQVEMVGVPEEYLLGHAFHMYHLTSPDGTVSFEFQHNVCGRSIYAEGTVDAILFLAKKVKLKEEKRIYDMIDVLREGNMR >Solyc08g079210.1.1.1 pep chromosome:SL3.0:2:53126125:53126484:-1 gene:Solyc08g079210.1 transcript:Solyc08g079210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPSKLFPKAHARKLSKNSPFPVLSPSLALPFVPRLPHASFSSLTRPKPFFSFFFFASTQSQKEQTSFPANANNPTFFSLPPLFCLNETRRQRPIPCQAGLRNVVVVLSNTPVPWKFD >Solyc08g080500.3.1 pep chromosome:SL3.0:8:63897776:63902465:-1 gene:Solyc08g080500.3 transcript:Solyc08g080500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGNRDLERGGGTKPRNGSYQPPPTTAAPYYMETSESQWTSWLVPMIVVANVAMFIVIMFVNNCPSNINNFGRGGGRRQCVARFLGRLSFQPLQDNPLFGPSSSTLQNLGALEWDKVVHGHQGWRLFTCIWLHAGVVHLLANMLSLVFIGIRLEQQFGFVRVGIIYVLSGIGGSVLSCLFIQGSISVGASGALFGLLGAMLSELLTNWSIYTNKAAALITLIIIIAINLAVGLLPHVDNYAHIGGFMGGFLLGFVLLLRPQFGWLERQHLPAEGRLKSKFKAYQYVLLLIASILLIVGFTVGLVMLFRGVKANEHCDWCRYLSCLRTSRWNCEN >Solyc10g050140.1.1.1 pep chromosome:SL3.0:10:47926046:47926696:-1 gene:Solyc10g050140.1 transcript:Solyc10g050140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHMSLVHCPKCDKSNHGYQVLMDHVLSHPFNNEMEMNIVQGSNNLSELLPIPSESVQHPRIMLMSPPPSSYDDHLIRGNDDGKIVHANLKLPPPPPSYHASSMRGNIYGRDVNKNQQLLPPQSYHSLSLRVNDNNIGIENQLQQNASTCKKDMCARFQFNSHCPKWNPSSVFEGHHIKEINFPILDKSDLCQWKSKPQPICDNETSEVDLSLKL >Solyc01g087015.1.1 pep chromosome:SL3.0:1:81810999:81815175:-1 gene:Solyc01g087015.1 transcript:Solyc01g087015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMPLSSSNSGNGGYYNNNTTSSGTGRGISNFQNNGYRNKESLNSTVNFVIIKVILKETCYKLHGYPKKKGGVSSYANNASTGNESGMIDSTSGSNARTNKSSNDTTLGQGVSMFTQEQYYEILQMLRKGKSKEVDTMANVATAGVSGTSGASNHMVHNFGLMSQSTNLDVQGGMRVNLPTGDQVSISHIGESLILKDKVPSNDQVSSEQCAKEAAYKRIRPISFNSTADSLSSLWHKRLGHAPLKVLSRIKELNIVSVHEYHYHLFPVLDLHDSSYIESASDNISIQSSNPTTSIQPQTSSNTTTPLVPLRRSSRQSKPPIWKYALGLVSELGLAGCKPSSTPLEFNHKLTSTVFDEFIGENANVEDLLLDDFGKYQRLIGKLLYLTMTRPYIAIVVQVLSQYMHSPKSSHMEAALRVVRYIKGTVGLGLFMPSNKDNEMVAYCDSDWGACVETRRSVTGYMIKLGGALVSWKSKKQSTVSRSSAEAEFRSMTTTVAEIVWLKGLFRELGMEIKLPKSN >Solyc05g024160.3.1 pep chromosome:SL3.0:5:30516539:30522622:-1 gene:Solyc05g024160.3 transcript:Solyc05g024160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRIAKPKVSIRILTHLIFGAPTLTRRSYSSGVKVKQMMVREALNSALDEEMAADPRIFLMGEEVGEYQGAYKVSKGLLHKYGPDRVVDTPITEAGFTGLATGAAYYGLRPVLEFMTFNFAMQAIDHLINSAAKTHYMSGGNISVPIVFRGPNGAALGVGAQHSQCYAPWYGSVPGLKVVAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFRVSEEVLDPNFTLPIGKAKIEKEGTDVTITAFSRMVGYALKAAETLAKEDINAEVINIRSIRPLDRSSINASVRKTNRLVTVEEGFPQHGVGAEICASVVEEIFEYLDAPVERITGADVPMPYAANLERMALPQVEDIVRAAKRVCYKSISMAAAV >Solyc07g041830.3.1 pep chromosome:SL3.0:7:54291243:54295633:1 gene:Solyc07g041830.3 transcript:Solyc07g041830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIAFERNSTPDHQIERPGFMHGMTFVPIYNSPDLGVGESMVQVKREDEDDRTSSSSSSSIGRNSDDSPLAGGSSSNGCPGEGDGEEVQSPFKPGALDNLESLEEVLPIKRGISSFYAGKSKSYTSLADAVSCSSLKDMVKAENAYSRKRKNLLAHSNFFGKNRNHFPRNNSCGLYKRPISSRSSLALGATSSCSESNNSSESLNSNASSPHFSLPPLPPQPRRYSIEPSSSPPDQKLSPWRSFSLSDLQGAAAGTPSLMGIKE >Solyc05g012590.3.1 pep chromosome:SL3.0:5:5805783:5808914:1 gene:Solyc05g012590.3 transcript:Solyc05g012590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPEKSSAQIKDKDLFKAAESGDSSLFKSLPEEQLLKSLSLRNEDDRSLLHVAVSFGHSEVVKILAAADPSVSGINSGDEEGWVPLHSAASSGNVEIVEILLSRGADVNLKNDGGRTALHYAASKGQVKIAELLISRGAKINAKDKACNLMLWTTHLLEKLIIFDRCTPLHRAASTGNSQLCELLVEEGAEVDEVDKAGETPLMTAVVCGNKEVALLLIRHGADVDIEDKEGYTVLGRASNDLRPVLVDAAKMMLEG >Solyc03g112230.3.1 pep chromosome:SL3.0:3:64178943:64183247:-1 gene:Solyc03g112230.3 transcript:Solyc03g112230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESSIVIKVKYEETLRRFNACVINEKLDLDIGGLRDKIIRLFNFAHDAEITLTYIDEDGDVVTLVDDADLQDVVRQDLNPLRISARLNAAERSGRASARSSGNSTPLRSPRVQPPFLNLNSRVSDVLKYIPEPLRESVMKVCSDVTASASSSAPILAELVDAMCELGLSHYQNQVSGPQPVKEAGSCSGISKGNAMSADGVMPNVKIGESSAKKNGPLTAVHGEEPTLKTTEPKPNASNAAVDASVKLVSKSETLEGDRTKAQSSSFEASKAQKDKKFDVRSLDGRTIGYGYARNSPIPPEKTSDEQPSKGHPVAKPVDLGGSASSSNVKQCNWDSLNADSSGSLINIPYDGFTPSHVVHLNTVNVNDSHNAGSSGSSMKMPYDGYIPAVRHLGPLIPVNACPFSGMPTENNPIPPQNFSFEVPLKRSHNHSDGTGTIFHKGVRCDGCGVHPITGPRFISKVKENYDLCSICFAEMGNDADYFRMDRPLTYPHPWSFKGLHDLHGRLRPRPPTVPQVIRGFGLKAGRPKLDSRFIQDVNVLDGTIMAPLTQFTKIWRMKNNGNLVWPQGTQLVWIGGDKLSDRFSVELEMTTAGLAVDQELDVAVDFAAPEHPGRYISYWRLASPSGQKFGQRVWVLIQNVRSLFMKVDALLSLPKRGLVHEAFQGLNLNLPPASSGVSGADIINVNSEPHNVVPEPKSSNTMELVDSVAEVNQNMEQEVKFPINDSLLVGFGDKSSSPSASGSTISYPIIDLTKEPPSEDSSMQPSAVVAMQAPPLQDARGNFEVETSLLQELEEMGFKQVDLNKEILRKNEYDLEQSVDDLCGVAEWDPILEELKDMGFCNKEMNKKLLKKNNGSIKRVVMDLIAGEQ >Solyc01g012650.1.1 pep chromosome:SL3.0:1:9763116:9763608:-1 gene:Solyc01g012650.1 transcript:Solyc01g012650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIGMDIDFIDTLMQRHFIVNAKKLVNVRHLEITHLKCLGDVVLDYVVTTQLYFKYSRLILRLITYLRSTFLNNECSTQSEVKASMLEHIVHAFLGRYVVLSRNLRNWISCPHLDGIVKLHYEMRWRCYFQE >Solyc02g077110.3.1 pep chromosome:SL3.0:2:42729336:42739129:1 gene:Solyc02g077110.3 transcript:Solyc02g077110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCINKGLEAVSIKIRNFFKKKKGIENRDNSVAERWEILNGKNNWEGLLDPLDYDLRRYLIHYGQMPQAICDSFNSEIASKNLGTNRYSKKNFFKRVGLDKNNPFKYEVTKYIYGASDVPSKTEKIKSSNWIGFVAVATDEGKVALGRRDILIAWRGTMCPAEWNDDSDWVLVPPTKIFGENTHDTLVHRGFYSVYTSLNDASKFNRTASARDQVIEEVKRLVEQYKRDKVSITLSGHSIGSSLSTLCAIDIVVNKINKEFPVTAFLFASPRTGEANFKKAHQHLKNLQILRITNALDEVPEKPDRGQVEGSDTDWRVYEHVGYEVKIDTTKSEYLKKDVNNHSLEVYLHGIAGTHGPEGEFKLEITRDIALVNKETDALKNEYGVPVYWRTVQNKGMVQQEDGSWILNDREDDTDLSEWRILSGNSNWEGLLDPLDNDLRRYLIRYGEMTQAARDAFNTNKISKYAGTCRYSKKNFFSRTGIEISNPFKYEVTKYIYATSAVQVPEALFIKSLSREAWSKESNWAGFVAVATDKGKIALGRRDIVIVWRGSVQTLEWFNDFDFIQVSATKIFGEKSDPKVHHGWYSIYTSDDSRSLFNKESARDQVLGEVKRLMEQYKTEEVSITVTGHSMGASMATLNAGDIVFNGINKGFPVTAFLFASPRVGDENFKRTFSKLENLRALRIRNAPDLVPSYPLFGYSDVGVELAIDTRKSGYLKSPGDQSSFHNTDCYLHGIAGTQGSKGGFKLEVERDISLINKYLDALKDEYGVPTSWWVEKNNGMVQQQNGTWILVDHEDDDF >Solyc07g032787.1.1 pep chromosome:SL3.0:7:41131136:41131683:1 gene:Solyc07g032787.1 transcript:Solyc07g032787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFDGRELLRKYKGEQIMFIGDTKSYKTKLTNQSVTVIFEASKVFICFN >Solyc04g015680.3.1 pep chromosome:SL3.0:4:5956691:5977826:1 gene:Solyc04g015680.3 transcript:Solyc04g015680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVGKKEENMAVEIVKPRIDKRDYRRIVLQNNLEILLISDPETDKCAASMNVCVGAFSDPEGLEGLAHFLEHMLFYASEKYPVEDSYSKYITENGGSTNAFTSSEDTNYYFEVNADGFEEALDRFAQFFIKPLMSADATTREIKAVDSEHQKNLLSDPWRMNQLQKHLSAENHPYHKFSTGSWDTLEVRPKERGIDTRQELLKFYSENYSANLMHLVVYSKDSLDKVEQLVRGKFQDIRNIDRNQIHFTGQPCIMEHLQILVRAVPIKQGHKLKIIWPITPGIHHYKEGPCRYLGHLIGHEGEGSLFYVLKKLGWATSLSAGESDWTNEFSFFKVAIDLTDAGQDHFEDIMGLLFKYIHLLQQAGASKWIFEELSAICETAFHYQDKIRPSDYVVNVAMNMQHYPPEDWLVASSLPSKFNPSIIQSFLNELNPDNVRIFWESTKFEGNTSMTEPWYGTAYSIEKVGGDSIKQWMEHAPSEELHLPAPNVFIPTDLSLKPVFEKTKVPILLRKSPYSRLWYKPDTAFSSPKAYVMIDFSCPYCGHSPEAEVLTEIFTRLLMDYLNEYAYNAQVAGLYYDISKTNSGFQLTLFGYNDKLRVLLEAVIEKVAKFEVKPDRFSVVKELVTKQYQNFKFQQPYQQVMYYCSLLLKDNIWPWNEELEVLPHLKVDDLVKFYPLLMARSFMECYVAGNVEQAEAESMIQLIEDVFFKGPQSISKPLFASQHLTNRVVNLERGVNYVYAAEGLNPSDENSALVHYIQVHQDDFMLNVKLQLFALIAKQPAFHQLRSVEQLGYITVLMQRSDSGVHGVQFIVQSTAKDPKYIDTRVELFMKMFESKLYEMTSDEFKNNVNALIDMKLEKHKNLREESRFYWREISDGTLKFDRRDREIVALKQLTQKELTDFFDEYIKVGVPRKKALSVRVYGSSHSSQFQAHKNEQMEPNAVQIEEIFSFRRSRPLYSSFKGGFGHVRL >Solyc03g121190.3.1 pep chromosome:SL3.0:3:70853802:70854404:-1 gene:Solyc03g121190.3 transcript:Solyc03g121190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAIIPRCLIVITLVFIGLILSPGIQEGVAARSNVYREVLVRRSICPACVCCQPSTPGSCCSCCASPINSQSNNVSP >Solyc05g048750.3.1 pep chromosome:SL3.0:5:60189652:60197875:-1 gene:Solyc05g048750.3 transcript:Solyc05g048750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGDGKGSLDNADRKKGDSGGSANGKRIHSDNQVQAKTVGNKRKDDKSSLSGVGDEESNAHQKRNKKRKRKEVKDLRFDTTELGITSSKRKERKKQRLAEMKKKHKKANTDEHMDFPGREEIKFGEVVEAPPKLAVPKAFKSNAHDASKERLRLQAVEAYRQRKGWTSRLGLQISPPITETPLL >Solyc02g062860.3.1 pep chromosome:SL3.0:2:35340056:35342164:-1 gene:Solyc02g062860.3 transcript:Solyc02g062860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGEGPSLKEINKYACACSIVASMISIIFGYDTGVMSGAMIFVRKEFHISDVKTEVLAGILNLCALIGSLSAGRTSDYVGRRYTIVIASFLFFLGSVVMGWGPNYTILLLGRCIAGVGVGFALMIAPVYSAEVSAPSTRGFLSSLPEIGISTGILLGYLSNYVFAGLPLRVGWRVMLGLAAVPSVFLGFGILRMPESPRWLIMKGRLRQGKEIMYKVSNSPEEAEFRLTEIKRNLGMDENCDDDVANVSNSIKKQDEGVWKELLLRPTKSLQRILLAGVGIHFFEHATGIEAVILYSHRIFAKAGVHDHKHQILATCGVGLTKFTFIVLSTILIDRVGRRKLVLTSVIGMIVALTGLGTFLTLAEQSGGKLIWALVLSIITTYSYVGFFNVGLAPVTWVYTAEIFPLRYRGLGVGIGVAVNRLMNATVSMTFLSMMSAMTIAGVFFMFAGISVIALIFFYFFLPETKGKSLEEMEALFTKAKDSKNVRKEVEIGDH >Solyc03g078075.1.1 pep chromosome:SL3.0:3:51428868:51443504:1 gene:Solyc03g078075.1 transcript:Solyc03g078075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLAIPVSSVEYEFAFSTGEAFSTGECILDSYRSSLTSRLVQALVCLQDWLRSEPQPISIKKDLDFLEKLEEDFIMPQLHGSNARSPVWNHYEKLEENEVGSWTEITHILIIVPILLVS >Solyc02g082557.1.1 pep chromosome:SL3.0:2:46784852:46788603:-1 gene:Solyc02g082557.1 transcript:Solyc02g082557.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTCFARVLLLPLGLLSSGFGVHLSSLNKLNARVGPFKLIPYLGICLGMQIAAIESYFRSIYLSVDHVHRVQKHIWVYFLSSNLIALAVSLCVKVLSGLMRTTSYLDSKQELVMPEIGYQLLHNCTDQIQNWVWICNIHSQASRSFTRNLNLIHKKPTFVTLLESTSLEPWTGSEVISAIDLVLTRWTGADGRKICDDDLLCVHASPSPLSLLPSGFRIHLSNLNKLNASDGSFKLSGYISLQIWRLEAQLLTY >Solyc11g013100.2.1 pep chromosome:SL3.0:11:5951304:5957392:1 gene:Solyc11g013100.2 transcript:Solyc11g013100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYVERGVVKSKRSIWRLKTITDFFWSIINFISVFFVTMFSMEKTDSYRKGSGSSKKWDGGGPGGPGSGPYGGGPRGPPRGMDNVRGIDHSSLPACGSCCG >Solyc12g010160.1.1.1 pep chromosome:SL3.0:12:3283516:3283839:-1 gene:Solyc12g010160.1 transcript:Solyc12g010160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKFPVLLPDAALLNRLEQLPPVDYSFMSHCKFEHSNLGDIFDEFEEDEEEEELSYEEIMEEDEQEVEEAVNELILDEGIIEEEEKDVEVENMEATIETGWVVQTGI >Solyc06g034300.1.1.1 pep chromosome:SL3.0:6:24120706:24120876:1 gene:Solyc06g034300.1 transcript:Solyc06g034300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQLFIFPFHYQGLRALSANTGFANIGVPLVLCVPKHGSFFMDIRTTIVPENNL >Solyc01g009390.3.1 pep chromosome:SL3.0:1:3528801:3535062:-1 gene:Solyc01g009390.3 transcript:Solyc01g009390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGKLMPNLDQNSTKLLNLTVLQRIDPFVEEILITAAHVTLYEFNIDNSQWSRKDVEGSLFVVKRSAQPRFQFIVMNRRNTDNLVEDLLGDFEFELQLPYLLYRNSSQEVNGIWFYNSRECEEVANLFNRILSAYSKVPTKFKLPTNQSEFEELEAVPSMSVMDGPLEPSSTTSNTADVPDDRSFVNFFSNAVRIGSGPNAAVQGQSYDSAAVVLPSPRPPPSSSPLIPPVSSSVPTAPPLHSPGNLQCHYGAPLLQPFPPPNLPPSLTPTSAPSPSNGHVISRDKVREAFLMLVQDNQFIDMVYRALVNAHHT >Solyc09g018140.1.1.1 pep chromosome:SL3.0:9:13283611:13284003:-1 gene:Solyc09g018140.1 transcript:Solyc09g018140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTENISKQQPSIFSHHPPSLFLLSPPTAEETPKIPSFSQVQRATASSHQNQTSVSIFSFSSSSLARPRHRNKPATPKLQIFFPLLHFSGENSLEHNQTIPVSPSQSDLTHNQTQTPIHFLIIPARSSKL >Solyc04g071140.3.1 pep chromosome:SL3.0:4:58155586:58163569:1 gene:Solyc04g071140.3 transcript:Solyc04g071140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGTSAVIESMGSAVNGKEVADVRFDPTAVVPELVPAVVKSEVDAAVNGGGQEQKREIVLGRNIHTSSFSVTEPDADDDSTGDKEAYMASVLARYRKTLTDRTKYHLGYPYNLDFDYGALTQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWDIEKDEYWGYITNCGTEGNLHGILVGREVFPEGILYASKESHYSIFKAARMYRMECEKVGTLLTGEIDCADFKVKLLANKDKPAIINVNIGTTVKGAVDDLDLVIKTLEDCGFSHDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQLTRLEHINALSSNVEYLASRDATIMGSRNGHAPLFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLIGAGISAMLNELSSTVVFERPKDEEFVRKWQLACERNMAHVVVMPSVTVDKLDNFLDELVEARSIWYKDEDVKPPCLASDIGSKNCCCPQH >Solyc03g079910.3.1 pep chromosome:SL3.0:3:53216371:53222707:-1 gene:Solyc03g079910.3 transcript:Solyc03g079910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNVNQFSQPCIYGNVSKVPRFDFFRCLTYTLLSISLELRKKISFFDDDDIIVGFKAGYFVSSYAERKSRFMKWLTKIFKGNRGLPRGQQPQFLGDENMVWRAPARTLDDHSKASKEKEELDHATAVSLSEDLKRPGYKCRTDNDEDLERSLDHDLSSSSYPPYPPPYAPSYAPWEYNPRSYRICNGCHGDIGSGNYLGCMGTFFHPECFLCRACGYPITEHEFSLSGNNTYHKSCFREMTHPKCEVCFQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDVTKRCCSCERLEPWNARYVSLGDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKIEQQVPMLLVERQALNEAIEGEKHGFHHMPETRGLCLSEEQTVTSILKRPRMGGREVVGIRTHPQKLTRKCEVTAILVLFGLPRLLTGAILAHELMHAWLRLKGYRNLSLEVEEGICQVLSYMWLESEVMPGSRDMPSTSTASSSSMSSTKKGVKSGVENKLGEFFMHQIAHDTSPAYGGGFRSANEAVNKYGLRCTLDHIRLTGSFPL >Solyc11g040020.2.1 pep chromosome:SL3.0:11:40329988:40331038:-1 gene:Solyc11g040020.2 transcript:Solyc11g040020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSTFPSKSSHLSHKYGLEIFNKTRKVYKLKPLVHLSFLNSVLCMKQNFKSQPSDIFLCSAPKKGSTWVKDLAFSILTRHKDFTNIPLLNKLPHACIPFLEFCFLSNRKFVDEDRFSNSNIIYIFREPKDTFVSWWNSHKRSKPERVFFFKYEDLTEATLVYVKKFVDFMDKPFSKEIQERVRNLKKQTIFFFKKREIGDWKNYLTKDMEKFIDHITLEKFKSSGLTFVYSVK >Solyc08g013710.3.1 pep chromosome:SL3.0:8:3171215:3178212:-1 gene:Solyc08g013710.3 transcript:Solyc08g013710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDVGVVRHDSTVHCDDPSDIEKQSGEISNHDLATERVDQSVLTIVVPASESINSQDPTLITDPVGNISTIVESPKKPYLSRNHSFQEQCRVCQEEKEEELIDLGCHCRGGLAKAHRTCIDTWFSTRGSNKCEICQQVAVNVASPEAHAPGCLNPLWVAFCILIGGLFLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLALEFCHDRSIRSVVHRLEGNVSLGYHPTV >Solyc03g053000.3.1 pep chromosome:SL3.0:3:23652810:23653797:1 gene:Solyc03g053000.3 transcript:Solyc03g053000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKNPTVAASTSIFKKVLLNCSAQAKVYGSCVAAKVPEVERDMCLKEFLELSNCMKSMIRRKA >Solyc12g019130.2.1 pep chromosome:SL3.0:12:9244409:9246360:-1 gene:Solyc12g019130.2 transcript:Solyc12g019130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDFKTMKFTSVSCFLFFCLIILIIPSCLAYNVVNFGARGDGRTDSTCAFLRAWSSACHSTSQPNVYIPRGTYLVRTLNLNGPCKRRVEFRIDGTLVAPVNYNAIGNAEFWIMFYKVSGVNVYGGTINAKGHGYWSCRKGGKSCPQGARSIQFMWCNNVLLKGLTSLHSQRVHIGIGYSSNVRVENLKITAPSGSPNTDGIHVQNSRGITIYDSIIKTGDDCISIGPASANMWIEKVGCGPGHGISIGSLGHSLNEDGVANITVANSVFTKTQNGVRIKSWARPSGGFATNIMFRNLVMRNVGNPIFIDQNYCPHNVCPRQSSGVKVSDVTFKNVKGTSSTQAAMKFDCSASNPCTGIKLHDINLTYNDRLRRPAFAYCKNARGRHTGKVFPKSCI >Solyc05g052870.3.1 pep chromosome:SL3.0:5:63898622:63906129:1 gene:Solyc05g052870.3 transcript:Solyc05g052870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTLCPNLDNEDGLETVLEVPIPEEIAVSVIGGRNAEIQLLLGVVAAPLIPHPIKCNNHSLNKKINDHPIETSMAKYIVQQYIAAAGGEHALNSINSMYAMGKVKMIASEFIEGDGLGLNSGKVMKIKTAKNGAGEMGGFVLWQKRPDLWSIELVVSGCKISAGSDGKVSWRQTPWHHSHASRGPARPLRRSLQGLDPRSTADMFSDSICIGEKPVNGEDCFVLKFEAEPSSLKARSSSNVEIMRHTVWGYFSQRTGLLVQLEDSHLLRLKSPKDDVFWETTMESLVQEYRTIDGVNIAHSGKTCVSLFRFGENSEGHTRTRMEEVWTIEEVDFNIKGLMDEQESLPPHVLIFPLPLQGPVNSMFKLAELLCFSGLHITILLTENIHNRLVLHTDISSRFDQCPGFQIKTISDGLPDDHPRDGGKFLEVFDSLRTKTKTLFKDMLTSDSLCVDVDGKVRGRVSCIIADGVLGFTCDVADEVNVPIFCVRTISSCCLWIFFCLPELIESGEYPFKDDNLDEPIKSVPGTETFLRRRDLPEFCRSGDLTDPNIQLFKTEGRENSRAYGLILNTFEELDQPILNQMRTICPNLYPIGPLHAHLKKKQTTLRSSSNSLWAEDRTCIDWLDDQLPNSVIYVSFGSIATMTNDQLIEFWYGLVNSKQNFLWVIRPNSIGDEEWRSKMPVDLVKGTKERGYIVEWAPQEEVLAHPAVGGFWTHCGWNSILESVYEGKPMICWPYFFDQQVNSRFVEKVWGLGLDMKDTCDREIVEKMVKDLMVLRKQEFLGRANHMSKLAKNCLMEGGSSYSNFDRLVKDIKSLSNKVQSLLK >Solyc03g114450.3.1 pep chromosome:SL3.0:3:65932549:65935971:1 gene:Solyc03g114450.3 transcript:Solyc03g114450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALRASATAKSPLPLPPPPPSSSSSTSSPPKVFSLPKLTQKPVSVSFSTSTALFLFPLFTATHEARAINLPKEDIVSSLNQVESVVNQAQEVGSNIFDTVSGVIGPVIEFVKPGIDAALPLVKQAGEEVLKNASPVISDATKKAQEAMQSAGMDSEPVMTAAKTVVDAAQQTSKVIEGAKPIATSTVETISSTDPAVIAVAGGTLFLAYLLLPPVFSALSFSFRGYKGELTPAQTLDNMCSKNYVLIDIRTEKDKDKAGIPRLPSSAKNKMIQIPLEDLPSKVKSLVRNPKKVEAEIVALKISFLKKINKGSNIVIMDSYSDSAKTVAKSLTSFGFNNCWIMTDGFSGGKGWLQSRLGTDSYNFSFAEILSPSRVIPGRRFGTTGTVKLLSD >Solyc01g068070.3.1 pep chromosome:SL3.0:1:76910498:76928946:1 gene:Solyc01g068070.3 transcript:Solyc01g068070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSRCSLQTGQYLGEISALCFLHLPPDFSSLPFLLAGTGSQILVYDLMVGKLIKSFDVFDGIRVHGVSLEAFNEHLSDTHITFKIAVYGERRVKLFSLQIQRVSNSQTEQQTCFELTLSLVVLLPKFTHWVLDVSFLKWDGATSSNKGSHCLAIGCSDNSVHIWDMLRCSLLSTVRCSEKCLLYSMRIWGDDVGSLRVASGTILNEVLVWKVGRKAGPDVIGNPNLILTTYEGLQLPYQQYEAINICKLIGHEGSIFRLAWSADGFKLVSVSDDRSARIWTLGADGPNHVVDDSVLFGHSARIWDCCIFDSLIITAGEDCTCRVWGMDGTQLTRIKEHVGRGIWRCLYDPDAALLVTAGFDSSIKVHRLQASFSNGSAGGIVEVQDSTVKKEEFALYIPNFREHVGLMNSKSEYVRCLHFSREDSLYVATNNGYVYHAKLYDAKEVKWTELLHIGEEGPIVCMDLLSHCSNVTKDIENWVAVGNGKGTMMIAKVVGDVLNPRVELTSTWSAEPERQLLGTYWCKSLGPMFLFTSDPRGTLKLWRLFNPLPSVSDDVMRRCCVSLIAEFRSCFGMRIMCLDASVENEVLVCGDIRGNLLLFPLQRDILFSMSTASEIYITPLNNFRGAHGISTVCSISIASFSPTQLEIHSTGGDGCICYFEHDRSHHNLEFIGIKQVKELSTIRSVFTNADQQDDLPSGSCAIGFSSSDFIIWNLISETKVLQVTCGGWRRPHSYFLGDVPEMKNCLAYVKDGVIYVHRHWVTTNERVMYPKNFHLQFHGREIHTICFISRDSLCSLNEKQDTFSEMIWVATGCEDGTVRLTRYASETENWSTSKLLGEHVGGSAVRSIFFVSRLHRMVLDASDVHESVNSEKWFLEDPEDCSLLISVGAKRVVTAWKQKNKMRIREEGTLGTECHIKNDLHFHGSSLSASFQWLSTDMPTRERNNGKQQIKKVRETVENGGSFSSEDKRSYSESCLPDIFENDWRYLAVTAFLVQVAGIRCSVCFVVVACSDATVTLRALLLPYRLWFDVALLTPLSSPVLALRHIVVPTCPPVQGTIQFGSRYIIISGSTDGSIAFWDLTDHVDKFMQQLSALQIGKGLDSQKRPRTGRGSQGGRQWRFLGSQVSNKTTSDEQLSEVPFSREKPDNGFCATVTGTGKNVQHHALQGISRSVENTHVFSPDTPTRIKEVLQKACPLHIFKDVHQSGVNCLHVSDIDGPEVSDRKFTFYILSGGDDQSLNCLSLDFSPTSMRQSSENSTLEQNSTSTSQNIGGDVHNYQVGNHHIKFMLHDNITSAHSSAVKGVWTDGRWVFSTGLDQRIRCWHLEERGKLTEHKHMVVSVPEPEALDARVCGRNHYQIVVAGRGMQMFDFFAPDDMKDGN >Solyc06g072470.3.1 pep chromosome:SL3.0:6:44836544:44837566:-1 gene:Solyc06g072470.3 transcript:Solyc06g072470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKLTSRTSIKPLLDSNLAAYITREAFGISIRILEGEFKRALVQFVCDFEGFRCTNHMEIKLTITAMVAEAGSMAFTGITVELATGVFGRWEEVERVVRMRGI >Solyc06g036230.3.1 pep chromosome:SL3.0:6:25799190:25800184:1 gene:Solyc06g036230.3 transcript:Solyc06g036230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREKLSRYGSYEIDSMCRSSICFEELLFTDTKIGEKAPNVFVLSTTAKLSGANPEVAMTVPKRCNLTNRPVGFKCGPYTIP >Solyc03g121920.3.1 pep chromosome:SL3.0:3:71412973:71415651:1 gene:Solyc03g121920.3 transcript:Solyc03g121920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILVHDLFLRGKKVIFLLRKIYTTTKLFIQRRRNSSTAQASSLRLNISAEILDFPTRQQVAQAHLSCFRTALSVVRLEGIRGLYRGFGTSLMGTIPARALYMTALEVTKSSVGTATVRLGIPEPTATALASAAAGLSAAVAAQLVWTPVDVVSQRLMVQRVCAAPASCKYLNGIDAFRRILKTDGPKGLYRGFGFSILTYAPSNALWWTSYTITQRLVWSGYGCYFSKNGDDRIEDNIKSTLKPDSKTVMMVQGVSAAMAGGVSALITTPLDTIKTRLQVLDGDENGRRGPTVAQTVRNLVKEGGWMACYRGLGPRWASMSMSATTMITTYEFLKRLSTKNQENWA >Solyc07g065030.3.1 pep chromosome:SL3.0:7:67095777:67100165:1 gene:Solyc07g065030.3 transcript:Solyc07g065030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGDSWIREYNEGLKLADDITNMISERSSLPASGPEAQRHSSAIRRKITILGTRLDNLQSILSKLPGKQPLSEKEMNRRKDMLANLKSKVSQMASTLNMSSFANRDSLLGPEIKPVDAMSRATGLDNNGVVGLQRQIMKEQDEGLESLEETVMSTKHIALAVNEELGLQTRLIDDLDEHVDVTDSRLQRVQRKLGILNKRTKGGCSCMCMLLAVLGIVILVVAIYMLIKYL >Solyc02g078560.3.1 pep chromosome:SL3.0:2:43790871:43801649:1 gene:Solyc02g078560.3 transcript:Solyc02g078560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRTYGRRSRSMSRSYSESGLNDEVSEHTLSQENSQDIYSFGFSSQDSVHWSSNFNNSDPYDVGSSQGCQELSILPSRKEDRDLGFEGHDGVLWKPKKVKMFDWETYSLNSSQESDEFSFLPDGGEYGGLGKFDGGLHEPMKVKKTGKGKENGVLQKKKKKVKSKELGLPSLGPTATLMETQECGEMMEHMDEVNFALDGLRKGQPARIRRASLLSLLSICGTAQQRRLLRAHGMAKTIIDTVLGLSFDDSPSNLAAAGLFYILTSDGGDDHLLDSPSCIRFLIKLLRPVAAPASVAKAPTIGSKLLAMRLDADVTQDSVKGLDSTSSSITRKVQEVLISCKEIKPDDGNGHDRPELNPKWISLLTMAKACLSTISIEDTSGTVRRSKDNFKEKLRELGGLDAVFDVARSCHSVLEGWSKKSSQSIVDSKDNTAIESLVLLLKCLKIMENATFLSTDNQNHLLQMKGKFDSLNSPRSFTKLILSVIKILSGAYLCRTSFGSSNDGKVCDLSDGTARALELRSLSDKNDGSCQILCIDSSTTCYTSEGSCSQKNLGETQTDQIGSSISSLEFASTSTSDSWQLKLRIESSKSGSCSGTSEDFSFGVNKNSSKVNFLIGDNQRINGDKRLELMEESQDPFAFDDDFGPSRWDLMSTKQKVPETQIRQTSLFERDDEYLSLIVPSQQESSCQENKPQSSSKENNQSGQTSCSSVADDEMSTLLADCLLTAVKVLMNLTNDNPVGCQQIAAGGGLEALSALIASHFPSFSLHLDRNGLSKSSVGSDSDGHLNDQELDFLVAILGLLVNLVEKDGCNRSRLAAASISLPGSEGLFKGETQTDVIPLLCAIFLENQGAGEAAGEGKCLQWDDEDAVLQGEKEAEKMIIEAYSALLLAFLSTESKSIRQAIAGYLPDHKLSILVPVLERFVEFHMTLNMISPETHSTVLEVIESCRVR >Solyc04g074320.2.1 pep chromosome:SL3.0:4:60411952:60413958:1 gene:Solyc04g074320.2 transcript:Solyc04g074320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYEENPNWNNIEDDVTVALHIGLPNSSNNNNNIPIDHNKSDGNIIGATTQYWIPTPSQILVGFTHFSCHICHKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCEEGCKNNINHSRAKPLKDFRTLQTHYKRKHGTKRFACRKCGKCLAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHIASFGEGHGASSPANNNSFDGVEVQRSANFYV >Solyc05g042110.1.1.1 pep chromosome:SL3.0:5:55733735:55733938:1 gene:Solyc05g042110.1 transcript:Solyc05g042110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDDTFDNLKFLKLSGATLAKWEVGEECFPVLEKLHLWVCHKLKEIPPSFGYCFFKNYQYLAEPST >Solyc12g010237.1.1 pep chromosome:SL3.0:12:3353096:3361266:1 gene:Solyc12g010237.1 transcript:Solyc12g010237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNQLTISDKGCDDDNVLLSVLPKLGIEDSLTHILAWQIPGSERHDELDYLVSNEDNE >Solyc04g008110.3.1 pep chromosome:SL3.0:4:1762308:1770551:-1 gene:Solyc04g008110.3 transcript:Solyc04g008110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKMQSHHMLSVKGSEQFNSKRKHRFVPSQGYLPKLFALWIIWCTFFSIALYFYMDANHKEKRKEGLVSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYEKNPSAIDQSTFAEYTARTAFERPLLSGVAYAERVLNSEREEFEREHGWTIKTMEKEPSPIRDEYSPVIFSQETVSYIESLDMMSGEEDRENILRARASGKAVLTSPFRLLGSHHLGVVLTFPVYRSKLPENPTEHERVEATAGYLGGAFDVESLVECLLGQLAANHPIIVNVYDVTNSSDPLIMYGHQNPNGDASLKHVSKLDFGDPFRKHEMICRYLYEAPISWGAVTTAVFIFTIFLLIGYTGYKSASHINKVEDDFHKMQELKVQAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQDCGKSLIRLINEVLDRAKIEAGKLELEAVPFDLRSILDDVLSLFSDDSRRKSLELAVFVSDKVPEIVMGDPGRFRQVITNLVNNSVKFTLQGHIFVQVHLVELNKDGDKKDTCLNGGTESVISSSAFHFKTLSGYETADSQNTWNTFKHIIADNGLDYESATKVVNDDLSRDVTVMVSVEDTGIGIPLKTQERVFTPFMQADSSTSRKYGGTGIGLSISKCLVELMGGHISFISRPKIGSTFSFSVSFLRCEKHALGDLKKSHSDDLPTSFKGLNAIIVDKKPVRAAVTGYHLKRLGIRAEVVSSIKRAAATLGRNVSVVSNERKLDIILVEKDLWISEDVDLNLHFPNINQNGHVYKLPKMILLATNITNAEHEKAKAVGFSVIMKPLRASMLAACLQQLIGTGNKSRGKDMSNRSPSLRGLLCGMKILVVDDNRVNRRVAAGALKKFGAEVECAESGKAALALLQLPHNFDACFMDIQMPEMDGFEATRRIRELESIANEQQNGVSNCDGGTKRRRWHMPILAMTADVIHATLEKCLKCGMDGYVSKPFEEENLYEAVSKFFESKPNSDE >Solyc11g027880.2.1 pep chromosome:SL3.0:11:19229003:19233236:1 gene:Solyc11g027880.2 transcript:Solyc11g027880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETEHTHQPPLPAEEDILSPPSPEPLLASVEVESVVEAVEEHHSVVTVVEKEGPLTELPQEPTKTLAEAQQVSITLPEREPTESDKSKPVEDKKIPQSLISFKEESNKVSDLSDSEVKALEDFKFLVQEAVKDQTFTTGTTDLPQEVSIWGVPLLKDDRSDVILLKFLRARDFKVKESFAMLKNTILWRKEFNIEELVDENLGDDLEKVVFMDGHDKEGHPVCYNVYGEFQNKELYNKTFGDEEKRNKFLRWRIQFLERSIRKLDFSPGGINTIFQVSDLKNSPGPGKRELRIATRQALQLLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVFAGPSKTAETLYKYITPEQVPVQYGGLSVDYCECNPEFTSNDPVTEIIVKPATKQTVEIIVNEKCIIVWELRVLGWEVTYSAEYVPNTDSGYTVNIQKPRKMTTADEPVVSSSFKIVELGKILLTIDNPTSKKKKLLYRFKDKPYSD >Solyc03g034395.1.1 pep chromosome:SL3.0:3:6329596:6330680:-1 gene:Solyc03g034395.1 transcript:Solyc03g034395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVEFQNNSSWTFEQEEIVTAGDTTIVIESLSIPLFSSLTSSFFSILRPSFDTESFEDEPPNLKFQAFVDFGVKEDFDGLSSYVMHHGGENFVDIIWPGNTTSIPQGWVIPALPYYVPYEYVPFVAPDGKSAADYKELVHQAFLR >Solyc06g073810.3.1 pep chromosome:SL3.0:6:45693765:45704673:-1 gene:Solyc06g073810.3 transcript:Solyc06g073810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKQEKKEKSVGLDSESSLMRSVDEEAESNEDRSLKIVEKAMIPASCSNVIEEEEAEILTNIEMVSGGIDKGFKSFCRDMFPEDKAKEKENVDNTKAMDIGDCAAEKNPVGVSDNAVLRRLLRGPRYFDTPDKSWGTCYNCGEEGHAAVNCTSARRKKPCFVCGSFEHNAKQCAKGKACFICKKGGHRANDCPERSHGGSQSSKICLKCGDSGHEMFSCRNNYSNDDLKEILCYICKSFGHLCCAKYPDSGPREFSCYRCGLVGHTGLVNKRKHELSTPKKKVPKKRNEQKEFKSVPRDFGRAWKKKGKTEGGYTSGYKVKRRGGWVPDDLEDYPQSNNWRSPSTPRNKRAKISNSSSGGHASVSRSSRKSNRLDFDNSASYGSGNYHHHRFSTSGDFDHSTSYGSCKYQHYHRSSASRFGNSSRETGCTSSLVHQGLYGGLFNECGTEGFRYRVFVAVQFIFICKPSIMAEQTEKAFLKQPGVFLSSKKTGKGKRPGKGGNRYFKSIGLGFKTPREATEGTYIDKKCPFTGNVSIRGRILAGTCHSAKMNRTIIVRRNYLHYVKKYQRYEKRHSNIPAHISPCFRVKEGDHVTIGQCRPLSKTVRFNVLKVIPAGSGGVGKKAFTGM >Solyc11g070040.2.1 pep chromosome:SL3.0:11:54894269:54898084:1 gene:Solyc11g070040.2 transcript:Solyc11g070040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFLQLLCQMRKKEFFFSDFGACYSPLLVQVAKLNRLLICVNCFYLKMNKRTMFNFNISRTQKIECFFFLSTRRIMLRRFHQKVCGRTMNFTRMYSSKETTEVKLDPLTRLCKIMMSCPKVGLDIELDQSGIRVLPEMVEDVLKRFENAGMLAYRFFEWAGKQHNYEHSTRAYHSMIGSLAKIRQYQMMWDLVNEMKTKRMLNIETFCIIMRKYARAQKVEETLYTFHIMNKFDVPPNLAAFNGLLSALCKSKNVEKAQEIFDTKKNEFTPDSKTYSILIEGWGRAPNLPKAREVYREMIEVGCNPDIVTYGIMVDILCKAGRVDEAVEIVKEMDFSGCRPTSFIYSVLVHTYGLENRIEDAIDTFLEMEKNGVEADVAVYNSLISAFCKVNKFQNVYMVLNDMQIKGVSPNARTCNIILSGLIARGETDDAFKIFRRMLKICDPDADTYTMMIKMFCEKNEMKMARKVWKYMKRKQFVPSMHTFSALVNGLCDDADAPGACVLMEEMIEKGMRPGRITFEKLRKLLLKEEREDVLEFLQEKLNLMYNLLLLNLTSRVRISKCLVLFQQRIKIYILIWSTCQLYYVGKVRPVPCQILEFWKRGRTQLSCFNMVISDFNTSSGCG >Solyc09g018720.3.1 pep chromosome:SL3.0:9:16845240:16846264:1 gene:Solyc09g018720.3 transcript:Solyc09g018720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQAGPQPIFECDPYSNQKIPNSHLSTRLTLSRSSSLNSHLSAIRLLSSGKPLLTGHCSVLVRESRLEATHPQATADEVLR >Solyc01g088570.3.1 pep chromosome:SL3.0:1:83219567:83223082:1 gene:Solyc01g088570.3 transcript:Solyc01g088570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl carrier protein [Source:UniProtKB/TrEMBL;Acc:K4AYP7] MASALRSAILRHIRIPATQAVSANGLRLTAVRLMSSHDDHITKDEVIKRVLDVVKCFPKVDPSKVTPEVHFEKDLGLDSLDTVEIVMALEEEFKLEIPDKEAVRIESCEQAIEYVYNHPMSS >Solyc08g007250.2.1 pep chromosome:SL3.0:8:1830467:1836102:-1 gene:Solyc08g007250.2 transcript:Solyc08g007250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDILFNAVGGFVVEVGKFVSKCIYPKIENIVRFSSKIENLREEMGNLTKFRDEIKGKTEKDEREGYKPKPEVVKWIEDVCELQNEWEDMQQRVAAAKTLAYKCCPKCSLRLGVTTQAHNIRQQLFSLKQVGESFGSNLVVESYQMKKVEFIAGPSIKGQSAATRNLNEILRLLEDDKVCIIGVWGAGGIGKTTLVKNLNNELLKIDVSIRPKLSFGVVVWVTVPKPPIAIRKVQAQIADRLNLKVDAEGSEVRIASKISERLKQEKSFLVILDDVWEAINLDDVGVPQLKGSKVIITSRFLGVCKKMKSDTEMSISTLDVNESWALFMENAGDVANRVDIEPLAKKIARECDGLPLAIIVIATSMRGKNMVEQWEDALDSLKKSEPNDKDTREKVYQVIKWSFDSLEQTRNRDIQSCFLYCSLYPAAIPTDDLIRCWWAEGFLGEHDTYENAYNRGITTIEELKDVCLLEKEAHDCVKLHDVIRDVAKWIDDSSGLTDISRLKLVSASVKRLSFIIQDLPNNLTKCPKATSLLLQHNRYLRKIPQTFLLAFPALRVLNLSGSRIRELPCSINELHQLRALILQRCFMLDELPAIGNLCNLQLLDCDGTKLCCMPEGMDKLTNLMLLNMPLCGFKDNIDLGVFHELQRLEMLHLSISERGAVGAACFDEISHLPNLKSLSIYLDRSSISNMKSDQTWMRRLKRFHITVGKTTTPEEVSFDNNSTRAIRLLGFDIFNNKVWVSSMLQFASDLYLENCMGMTEFIRNHSFDGLKSLCIMNCSCDFGAFTEECDDDPLPNLEHLKISYVNDIMNVPHFGQLLGLRFSKLRKLDLHHCYSLTCVFYVGNGFSVPRHLEEISVSECGNLTELVEQLGSSSEIPRVRKLWLYSLVALRRFGEAERMWEHLEELYVRSCHEIMKLPLSIQTSKNIKTIKGEPTWWSRLEWDDQKYKSSLEHCFTKIPWFLTRDDWF >Solyc04g075010.1.1 pep chromosome:SL3.0:4:60994379:60995534:1 gene:Solyc04g075010.1 transcript:Solyc04g075010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRRQGGGKGLVGVLGVFWLSFASARHWEKEEAKGCWWLFQLVVSGELTELWLNTGCSIFVRKKRDMCLGVRVAGSFQESESRRICLSGCCLVVLLLATSERGKWYDGSSEFAINVRAQAKIEG >Solyc12g043090.2.1 pep chromosome:SL3.0:12:59686824:59690916:1 gene:Solyc12g043090.2 transcript:Solyc12g043090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFGCEVVDTWLVSCASMDDIEDNGRYPSNPYGMNQGYESSNRQKLPVHDPSYSRHVDNHYEFAPRVPPPSTTAATAPKPSFGGRNPLSDWSENETFILLDAWGTRFVRHGRKSLRSEEWQEVADRVSRGSKIERTDTQCRNRLDTLKKKYKKEKMKFAETGSSTSKWVYFKKMDMLLTSTPQKAGVSCGMDSGEYVFMSPKAYLNRANGLDEMRDSPANSESADGEEDGSEDLPPKRSRNVQPGGNGNGNGHSFKLIADSIHKFSEIYVKIENSKRQQMMELEKMRMDFHRELELQKRQIMERAQAEIARIRQGSDEENDMSAENNLLEFNHRDPSEFSQDMGIKYGDAVLLASRDYYALYDARSFAAWMMRKILHQIQQLWQPPPVSFKRNTYYFLDLTTKSMAFPFQFDTNCTQGRELDQLISIFKLKKRTIVPKHQRNSHYHYQHQVLKKEEEQGSLPSHTSLRWLPISRQIFMA >Solyc03g058630.1.1.1 pep chromosome:SL3.0:3:27414216:27414380:1 gene:Solyc03g058630.1 transcript:Solyc03g058630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRIHFFNLFLLSLSFISLSFLWHIFILLSLFFDLFILFLGECASCFESLQSC >Solyc04g015570.3.1 pep chromosome:SL3.0:4:5861255:5864837:1 gene:Solyc04g015570.3 transcript:Solyc04g015570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPEFPNLGKHCSVEDCRQIDFLPFTCDCCFKVYCLDHRSYIRHQCPTANKNNVTVVICPLCAKGVRLNPVEDPNITWESHVNTECDPSNYEKATKKKKCPVPRCKELLTFSNTIKCRDCTIDHCLKHRFGPDHSCAGPKKPEATFQFMNFLNGSKEDSKKAQPTTTSRWTTSLLKVVSSVKEKFNNEFNQPQQMGQSSRATNHSVTNNSSQVEPCPQCHLRFSTVRALIDHVQKVHEKNGVMNMTIDVCPRCSKGFRDPVALVEHVEREHKGSSM >Solyc04g010000.3.1 pep chromosome:SL3.0:4:3316461:3321689:1 gene:Solyc04g010000.3 transcript:Solyc04g010000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NHKNSQIPNLAIVKSQFTGVTMSSMPSTSAAATSSSSQFTYSNGTYFPTPFHLQQHPPQPYIGAAPPPVQLPVPSVYPAPAVIPGVYTLPQFQQAQQLFQRDAQTITPEALENVKAALASCEIEHKAEAKKKAVPRKAAGHSWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPTFNMAKVVRDKRTGKTRGYGFVSFSNPLDLAAALKKMNGKYVGNRPIKLCKSKWQERIDHEAVESHKNRSHKKPKQAKKGIFHK >Solyc06g050930.3.1 pep chromosome:SL3.0:6:33965822:33974992:1 gene:Solyc06g050930.3 transcript:Solyc06g050930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNFRSYFKSHHPQTLASLKSILNSLFTLLSKFNPMAANQNSVHPSDDPPPFDPSEPSIPVSYPLKTLEELESRSYFDSFHFPFSKASVKLPPYAANELPNRRRLLVCHDMAGGYLDDKWIQGGNNPDAYAIWHWYLIDVFVYFSHSLVTLPPPCWINTAHKHGVKVLGTFILEWDEGKHIANKLLSSKNSAQMYAERLSELAAALGFDGWLVNMEVSLDVGQIPNLKEFVSHLTQSMHSLVPGSLVIWYDSVTIDGKLSWQDQLNEKNKPFFDISDGIFVNYTWRENYPKLSAEVAVDRKFDVYMGIDVFGRNTYGGGQWTTNLALDVIKRDNVSAAIFAPGWVYETKQLPDFQTAQNRWWALVEKSWDISQNYPQILPFYSNFDQGHGYQFTVDGKQMSQTPWNNISSQSFQPFLRFSGESTGGNLKVAVDIKEPSYNGGGNLTFNGTLEGDFQFSARLFEGKLLLADSPVHFTYSVKSNGSSLLGLSLEFTSAATEQKSVLLASSGDSLLTMSRFVRHFDNVIMPHRVTKLESESSWVIQESSISMEGYTLTKIHAVCYKLRPEVHKSESQGKTMALSPSEYHAVLGHLAINSLTLNSGFPPSTSWLVEGNFTKLSSSNSNGSRKLNVKLDWKLKGGKTHPFPKYNIYVKKQPNLLIAESNGSLQLVHEYLGVTVLEAYYVSDLVVPSGTSSVTFIIQVCSMDGSLQKLEESPSLDLDVQGS >Solyc02g076640.1.1.1 pep chromosome:SL3.0:2:42367657:42367920:1 gene:Solyc02g076640.1 transcript:Solyc02g076640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTHHKNYYTAKWAAAHEDILAFFTPMWLSPLEIVYSWITGWKPSMAFRLVSGVGGAFSDEELKNIDGLRVKIRGEGNGEATGCNWG >Solyc06g082220.3.1 pep chromosome:SL3.0:6:48181682:48184092:1 gene:Solyc06g082220.3 transcript:Solyc06g082220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTYGYESGGSAPPPSGGGGGGYGSGGGGYGGSGGGYGGSGGSYGGGGRGGGGRGGGGGGYGGSSHNRGGGGGYHGGDRGGSGGYQGGDRGGGGGYQGGDRGGGGGYQGGDRGGSGGYQGGDRGGRGGGRGGGSGKEGDWRCPNPSCGNLNFARRVECNKCGAPSPAGSDDRGGRGGSSYNRGGSDGGYGNSRGGRGDNYESRNAGGGRTGSYGGSQGRDGGGYAQGPPHAPPSYGAADSNYPPPSNTYGGNPDAVPPPASYVGGPASYPPSYGAPGGYGGDTPADARGGGRGGPPGGYDGGYGGGARNTGGGYGSSPAEAPVKVKQCDENCGDLCDNARIYISNLPPDVTVEELRELFGSIGQVARIKQKRGYKDQWPWSIKLYTDDQGNNKGDAVLSYEDPSAAHSAGGFFNSTN >Solyc01g079970.2.1.1 pep chromosome:SL3.0:1:78968858:78970293:1 gene:Solyc01g079970.2 transcript:Solyc01g079970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKINFLSLFLCLIFLFSLSLAKTPTRPRAFLLPVTKDASTKQYVTTIDQRTPLVPIKLTIDLGQRFLWVDCEKGYVSSSYKPVPCGSIPCKRSFSGACVESCIGPPSPGCNNNTCSHIPYNPFIRTSTGGELAQDVVSLQSTDGSNPRKYLSTTNGVVFDCAPHSLLQGLAKGVKGILGLGNGYVGFPTQLANAFSIPRKFAICLTSSTTSRGAIFFGDSPYVFLPAGIDFSKRLVYTPLLKNPVSTSGSYFEGEPSTDYFIGVTSIKIHSIAVPINTTLLNITKDGKGGTKISTVEPYTKLETSIYNALTKAFVSALLNVPTVKPVAPFQVCYNKTSLGSNQVGPGVPPIELVLRNKNATTYTSWFIWGANSMVAVNNDVVCFGFVDGGVEFEPTTSIVIGTHQIEDNLLQFDIANKRLGFTSSLLFDEITCANFNFTTKA >Solyc06g050590.3.1 pep chromosome:SL3.0:6:33376098:33381953:-1 gene:Solyc06g050590.3 transcript:Solyc06g050590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAAVLRSFHHSVGTTSHVRSVIEMPGVIPTNNIAFSKPTKFSLKGSSNGARRISSPNKHGRLILSCAKTSETTVTAKSGDGNHKVPTESSPLPTATFPKGFEALITEVCDDTEVAELKLKVGDFELHLKRNIEAPVVPAPVVSAPPPPPPPPSASKPSISSTAAPAASPGKSTSGKISPFTNVAAEKSAKLAALESTGASGYVLVSCPTVGSFRRARTLKGKKQPPACKEGDIIKEGQIIGFLDQFGTELPVRSDAAGEVLKILFNDGEAVGYGDPLIAVLPSFHGIN >Solyc01g057100.2.1.1 pep chromosome:SL3.0:1:58369922:58370192:-1 gene:Solyc01g057100.2 transcript:Solyc01g057100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVDRFFFIPEEVHILPGSSSLMVRNNSIVGVDTQITLNLRSRVGGLVRVERKKNELN >Solyc09g089560.3.1 pep chromosome:SL3.0:9:69733693:69745385:-1 gene:Solyc09g089560.3 transcript:Solyc09g089560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASEEAGSARSLEGLSNGQRRCQSSEALAEWRSLEQVENGIPSTSPPYWDSDDDGDAGSKPSELYGKYTWKIDKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKNEHDWGWKKFMELSKVLEGFIDADTLIIKAQVQVIRERADRPFRCLDCQYRRELVRVYLTNVEQICRRFMEVRRGKLGKLTEDKARWSSFCAFWLGMDQNSRHRMTQEKSHPILKVVVKHFFIEKEVTSTIVMDSLYSGLKAIEGQTKGKKGKGKYLDAEEQLVPIVRLDNDMFVLVDDVLLLLERAALEPLPPKDEKGIHNRTKDGASGEDFNKYSIERDERRLTELGRRTIEIFVLTHIFSKIEVSYQEAVALKRQEELILEEEAAWLAETEQKAKKASDKEKKSKRKQGKQKKNNRKKKDKGRDGKTCIIEQEKAERDGCILDGNDYETEEPEAALEKPDMLENGSYVSDSVDCVPEVNHPDFEDRGACPVNWDTDTFEMHPSTEISFCGLSGLSAAQNGISGRSPPVIDDSSSTCSTDSVPSVAMNAPYRGTSLNHKNQKSPSRLVNHRSKSTSSTTDWASEIHKQPLDALPDTRKLSNTTVSHRATRSESQAIAHSHDREVLKKEVIVSQQRKLSEPDSERPPLEKPHVISHPRSPSKGAASAIQSKSELKDLATSDSNSVKRSSLDSSKLTYKPTTLANLAETAVLLKADPGKGIERQVAEKPSVHSVSITPQNFQSHQVTASATTEKSKSQVPALSRSLNGPVVHGPRPAASVVPMVPTSPLLACSVSAAGQLGSDPSPATHSYVPQSYRNAIVGNPVSGNATGFSQPYSPSSMVNCSQPYPQSPSRISGPLFLPQGSERTEPSRIRPSYSYGLSHDTVQNGVQWQSSQRNSRSISRDHPSILNEFQNFDVFQPVSRTHDRIPSELPACTSGRQSQSSLADEFPHLDIINDLLDDEQGIGRMTSMPTTGFQSYSNGSHHLNRRSSFPGDIGMPTDSGPSTSSNRFEQTRSYHDDIQHKFYEGPLDSASDMIRQPNPRFISGQIDSSAPNQWQMMSSDSSFRGIRTAENDPSNWYNAPDYSNMSSGSVNGYRVYRPPNGL >Solyc01g065843.1.1 pep chromosome:SL3.0:1:72308637:72310252:1 gene:Solyc01g065843.1 transcript:Solyc01g065843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILAWNKSSQLNRSYYIINGKLNGPKPNFLSLHKELELAFMNNTEVVCIFLTIKALTGEGRSIRREVIVTNESYRKNNCYLCRYDHKVMTLWGAFAEMEGQILQSLESDKPVLAFCDVKSSIYQVITPVEIGDFVLSKTLVSSLLINPQCEKSFLKNIRNDNMKAEKIDVRLKPSRLMQTVRQVKISNILNGSLKFNATVTDIDSNTDPWYPACNKCYKRVTFINSSSTCTYCRTQDVDKEARIILSFTMFDAAKYYFDCNVKEYVLSTSKKVNHLLYEILSCINNFILFQWKG >Solyc01g095010.3.1 pep chromosome:SL3.0:1:86252267:86257869:-1 gene:Solyc01g095010.3 transcript:Solyc01g095010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIYQGVVVVAMARNPSLIRAQSPMSSDLTSSDCSVLRGGASFRRRELIFVVNPRGFEVLLIGLFLGSIGAGANGTTGQQWKKLLPYLRSRLGNDCNICESLTSGPSHAIDITREAIREGADAVIAVGGDGTLHEVVNGFFCGGEPVCNHDMNSSHSTALGIIPLGTGSDFARTLGWKNDPHDAIERIAKVALYLLPQRIESSPEFGINVKGELILAYSKLWEIHLILAILSDSSKESFVAFIHSQSAKAGYYASRYKKFGNLCYVIGALQAFFRHHNQDLRIKVDEGEWEVCSQVTALCIGNAKYFGGGMKITPNANPSSGDYEMVILQDFKWYDFVLKLHKLYNGTHLSVKNVSSRRARSIEIEEISSSGSIFVQSDGEFLGFLPRKFSILPGVIELLC >Solyc09g005100.3.1 pep chromosome:SL3.0:9:59936:63701:1 gene:Solyc09g005100.3 transcript:Solyc09g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAMEIDSAGKDEEGKAKPVMVILIGAPGSGKSTFCDLVMRVSTRPWVRICQDTIGNGKAGTKKQCLTGAASALKEGKSVFIDRCNLDREQRADFVKLVGPQVEKHAVALDLPAKLCISRSVKRTEHEGNLQGGKAAAVVNRMLQKKELPKLNEGYDRITVCQDEKDVQGAINTYTSLGPSDKLPPGFFGQKTSDAKVQLGIMKFLKKKDPPGCSDAVMNVSRDNTLSHATKEKDSNQVLESCEEPKMASVGSSISLENAPTLAFPSISTADFHFNLEKASDIIVEKVEEYVNKLGNARLVLVDLSQHSKILSLVRDKAAEKNIDSKKFFTFVGNITKLYSEGGLHCNVIANATNWRLKPGGGGVNAAIFSAAGPILETATKAKAGSLSSGKAIVVPLPSSSPLFSGEGVTHVIHVLGPNMNPQRPNCLDNDYIKGCKILREAYSSLFDGFASIVRTQEESCKDKFDKEFKGEVQLEQGSRSGDQKAKREAVCETDMNKKFKSFVKELGPNVGSSVDGKTGGQSRKAWGSWVQALYDTAMHPERHKNIIEMSDDVVVLNDLYPKAQKHLLVLARVEGLDSLEDVKKEHLTLLKTMHSVGLKWAEKLLCENNSLTFRLGYHSVPSMRQLHLHVISQDFNSNHLKNKKHWNSFNSPFFRDSVDVIDEVSQNGKAILKDENILSMELRCHRCRSAHPNIPRLKAHIGSCQAPFPASLLQNGRLVFSER >Solyc07g049765.1.1 pep chromosome:SL3.0:7:60240304:60246263:1 gene:Solyc07g049765.1 transcript:Solyc07g049765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISPKTRNLVAFSAFISTIEPKNMKEAMMDADGVVEDSPKRRPSTKAVTQNLIGDAMKSNEDVLLARHAKKKKFHFPEPIKKIRTNYKFGKGSNSLLEYCKRHSPQIVEWRGVPSLEVDVNS >Solyc01g101150.2.1 pep chromosome:SL3.0:1:90873464:90876928:1 gene:Solyc01g101150.2 transcript:Solyc01g101150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIKLSTLILPKKIPKWVCSKPYFSSLSCACKIQNNPESKQTSTSSSDFETKIQYLKNKLHPETLVGVLHSTADLDSSLKLFKWASLQKRFHHSADTYFQIILKLGMAGKVEDIEGFCNEMVKDKCPNFEQVLLDLLDIFVRNSRLSEALCVLSCINLCSLNPSISVFNQLLGALVKEKKEFKDVLFVYKEIVKAGIAPNIDTLNSLIEALFAADRADAALEQYKRLHKKGCSPNGRTFQIIIGQLVERGRVDEALVILDDMFRIQCEPDYSSFYAHIIPLFCDMNKLDVVRRLFPVMRASKVLPDSSTYGAMIKCLCWNLLVDDAVKLVDEMVYNDVRPDDDVFMSIIDGLCELNMLSEAKEFLNDKEVASVLPYNALLEAILNDGTFNMARDLFDEMFDRNITDHCSWNIMILFLCDNKRLKDALKYVGRMIVSSVSLDSSTYSALIVGYCKLGECENALALFHQLRSKSGLLDLVSYAQLIECLCQKEEIQEATKVFWFMSTKRLALQSTSFGKIMEGLCASGYTVRAIKLLPVAYYCGTLSSPSAYNSIMRGLFSLGRASDLFMVLSRMVVDGCKMDGETYCILIQSMISLGHIEKLALLLDMMLSQGLLPNSETLSKLLEYLVENNQVRMIFSSIDKLVSEFEVVDSSMYNMLTNSLLKEGYNDKASFLLDLMLEKGWVPDADTHALLVGSSVEDETDGQNSSCDRFITQDNVGNILAEAICVSVFHIIH >Solyc02g086625.1.1 pep chromosome:SL3.0:2:49923138:49926093:-1 gene:Solyc02g086625.1 transcript:Solyc02g086625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNPSEFTSTQLKQISFNQSHDEQKSLPMNLPRSLENQSPQLAIFPPLGYSVFRDLNNHMDEFSPPSYVLVEEVLEESAVKLHPYLTKAVMASAFPLTTIR >Solyc03g116090.2.1 pep chromosome:SL3.0:3:67093232:67094163:1 gene:Solyc03g116090.2 transcript:Solyc03g116090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKISWPRYGHIMELGCHVRRPFRFKEHLKSNSNLIFIYSIKYLST >Solyc05g025720.1.1 pep chromosome:SL3.0:5:36095451:36096245:1 gene:Solyc05g025720.1 transcript:Solyc05g025720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMPQPPQNWPQNERDESYDAKNLRDSNESEEQSKQTRGPTMMHSGWGKYGENLHIELNEHGQVTESEGNRMSSKHGVLARNDILASLNYKDWRLVPIMYKDRIWAHIKENTNAADDMKRILMMSFGSK >Solyc04g008540.3.1 pep chromosome:SL3.0:4:2158109:2165189:-1 gene:Solyc04g008540.3 transcript:Solyc04g008540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tobamovirus multiplication 1 homolog [Source:UniProtKB/TrEMBL;Acc:Q402F2] MGRVETAVDPSSTAAVAAYRLHEAISWWDEVNESPIWQDRIFYVLAILYGVVSAVALVQLIRIQMRVPEYGWTTQKVFHFLNFLVNGVRSLVFVFRRDVQKLNPEIIQHILLDMPSLAFFTTFALLVLFWAEIYYQARAVSTDALRPSFFTINGVVYAIQIILWLIIWWKPVPVLVILSKAFFAGVSLFAALGFLLYGGRLFLMLRRFPVESRGRQKKLQEVGYVTTICFSCFLIRCIMMCFNAFDKAADLDVLYHPMLNFVYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >Solyc06g062440.3.1.1 pep chromosome:SL3.0:6:39507716:39510325:-1 gene:Solyc06g062440.3 transcript:Solyc06g062440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAAVISLIRSLEQLVQRKPHLISDETRRMVDSVHDSLEYFQDFLESTSKRRQKHCRKVEELEREIRMEVEKAEDVIELKIMYGIMKKKGLSKTFLRTLVGKIDATRERKAIRKTLLPFVKKIDAVKSNVMGISFGTNQVQSYDDTTTNEDLLPGHSSRNVAKLNPENIVVGLEDDLVRIIRRLKGPTLSREIIPILGMGGIGKTTLARKAFDDFETRNRFDIHIWVTVSQEYRIRGMLLDILRSTSEETNEESNIDRLMDMIYKKLKGWRYLVVMDDIWSSEVWDLMTRTFPDDNNGSRIILTSRQEEVASHADPDSNPHKMNLLNLDNSWKLIRDRVFGVEHACPPELEDIGEQIAQRCQGLPLALLVVAGHLSKISRTRESWNDVSKSVSKVVADESDICLGVLAMSYNYLPDHLKPCFLYMGVFPEDSVVNIVRLINLWISEGFISDELVGRDCMEDLVSRNLVMVRNRSFNGETKTCGVHDLIRDLILREAEKEKFLEVTRIHEATNPSAEKLRSTRRYCFHSHNQAAFWKLSSIIRTLHFFDGFQKLSKQVPLLVSFKLLRVLAILNDTFPTFPLEITKLVQLRYLQFTCYDNIHWSVSKLYNLQTFILGYGVAGLLPPTIPEGIWQMRNLRHLHIGDFFSFPIPSNKLQNLQELSRLALSSCTCELFSAIPNLKKLKIIGNYLMEMKRERLNSLSCLEKLEILKYRDDGIQPSQIPSKYVLPASLKRLTLSCTSLPWEDMANIITLPNLEVLKIKDNGFLGDVWMLNDEEIFKQLKFLLISWTGLKHWKAGSVNFPKLQRLFLKRCMNLEEIPQDFGDICTLESIELHKCSISAATSGKNIQEEQESIGNECLSVLIYNHP >Solyc06g030600.1.1 pep chromosome:SL3.0:6:20090074:20090666:-1 gene:Solyc06g030600.1 transcript:Solyc06g030600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLWGIQTSSQWLERSVCASVSKRQLITLSNIHRNEVAESNSIKGLGKLYTHGENPCNVVTNTGLAAIARGFPTLRDLSLLNVSFVGHKGLFEIACGYHLLEKLDLFQYPIITDKSLLDIAKNCRNMTSLTIDFCSNMGNESLKVVDQYFPNLKNFSIKKLFTHWGS >Solyc02g080540.1.1.1 pep chromosome:SL3.0:2:45302829:45303962:1 gene:Solyc02g080540.1 transcript:Solyc02g080540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCSNLTMLVSSKPSLSESSALSFRSAVSSFQLPNHNLSGPSNPSRSSSVIPVRCGLRDLRDRIDSVKNTQKITEAMKLVAAAKVRRAQEAVVGARPFSETLVEVLYNINEQLQTDDIDVPLTKVRPVKKVALVVVTGDRGLCGGFNNYIIKKAEARIRDLKALGLDYTIISVGKKGNSYFLRRPYIPVDKFLEGSNLPTAKDAQAIADDVFSFFVSEEVDKVELLYTKFVSLVKSEPVIHTLLPLSPKGEICDINGNCVDAAEDEFFRLTTKEGKLTVERDVMRTKTTDFSAILQFEQDPVQILDALLPLYLNSQILRALQESLASELASRMSAMSSATDNASELKKNLSRVYNRQRQAKITGEILEIVAGADALV >Solyc11g073230.1.1.1 pep chromosome:SL3.0:11:56557314:56558735:1 gene:Solyc11g073230.1 transcript:Solyc11g073230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINSRVKQATITLPTLRIHNLARFRIPIFQSQPYHSHHRFHRHNSKSSAETQKPHNTTRNLLRKPIPLLADLKQIQDPDEAISLFHYYQQMGFKHDYPTYSCLVYKLAKSQNFEVVETLLGYLQTHHIRCKETLFIGLIQHYGRAQLVDKAVELFHKIGSFNCSPSVQSFNAILNVLVDSGRSEAANEMLRSCSKMGIWLNSVSFNIIIKMWLKKGDWEMARQLFDEMLERGVEPTVVTYNCQIGFLCKKGDVEDAKSLFQDMVRKGKKANAVSYALLMEGLCSLGKYKEAKKLMFDMEYQGCKLKIVNYGVLMTDLLKRGEIGEANSLLVEMKKRGIKFDIVIYNMLINYFCKEGKTAEAYRMLVDMQIAGCCPIAITYRMVVDGFCKTGEFEEGLKVLNAMLMSRHFPRMETVRCLILGLLDKGKVEDACFVLEEMEKRKKKFNFDSWEVIVKDSCTSDRSVSQLLDELVF >Solyc01g060130.3.1 pep chromosome:SL3.0:1:69612435:69614558:1 gene:Solyc01g060130.3 transcript:Solyc01g060130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SAR2 description:GTP-binding protein SAR2 [Source:UniProtKB/Swiss-Prot;Acc:P52884] MFLVDWFYGVLASLGLWQKDAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGNIKFKAFDLGGHQIARRVWRDYYAKVDAVVYLVDANDRERFPEAKKELDGLLSDESLTNVPFLILGNKIDIPYAASEDELRYHLGLTGVTTGKGNINLAGTNVRPIEVFMCSIVRKMGYGEGFKWMSQYIK >Solyc02g075610.3.1 pep chromosome:SL3.0:2:42338125:42341912:1 gene:Solyc02g075610.3 transcript:Solyc02g075610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMILPQVKTKAILRTPKSTSLRHMDHGILKICYFLKKESKILTCSRAASVLSHVIKGNNLCKEKVLHIELLQPTPILGSPEPLLHCMVKYLALASCMKSKDGKSSTSENVFVQSVILKLLIIWLSDCPNAVQCFLDSHSHLTYLLELVSSPTTTVGVRGLTAVLLGECVIYNKSNASGKDAYSIADAISQKVGFTSYFLKFDEMQKSHLFTSAKPFLPIKPLTRSTVASMDEIEYGRTEPSDEHCMLTSVFDSPFIYSLECLVADIREKMVEAHRSPKSQVTVVPADLEQQGEENDVDYIKRLKTFVEKHYHEIQASRDFGFMFLINALCQFSTSLE >Solyc10g079010.2.1 pep chromosome:SL3.0:10:60785818:60794629:1 gene:Solyc10g079010.2 transcript:Solyc10g079010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLAQRNDEQNDNETAVFNASSKSISSNGFWSKHREDISYNQLQKFWSELSPQARQKLLKIDKQTLFEQARKNMYCSRCNGLLLEGFFQIVMYGKSLQHEDAGAHRTCSRVGTLKDQCDGELHATTGSEYDVQDPSVHPWGGLTTTRDGMLTLLDCYLYTKSLKGLQNVFDSSRGRERERELLYPDACGGGARGWISQGMATYGRGHGTRETCALHTTRLSVDTLVDFWTALGEETRQSLLKMKEEDFIERLMYRFDSKRFCRDCRRNVIREFKELKELKRMRREPHCSIWFCVADAAFQYEVSHDTIVADWHQAFIDTFGTYHHFEWAVGTGEGKCDILDYENVGLSGRVQVSGLDLSGFNACYITLRAWKLDGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEDEDSMDKDGNELDGECSRPQKHAKSPELAREFLLDAATVEKAFREGTARQNAHSIFVCLALKLLEERIHVACKEIVTLEKQEELSPNVDEESNLMGYTDSVSEAGEVNLSSPLSPNDEDDLLLDGYNHPSVQINSDDYFEEFSMNEGNGSFPADHMRHSGRLKFRKEFEPDSSLKWFDGRRCAVSGSGGAASKYEPRHHCDNFEASRSTNRLNKPLRSNAAKSSMKDGASKFVEKLNCSNIRKYDRYESSDCSCNQHSDDRAKLYPNMARGIGNNKPVSKLGCESDISKPYYGTKYNQVVYLRENCARPKSKTAIRNNLSSRDSSVIKKVWEPMELRKKYPRSSSDSDVTLRSSTFQVESTGIDKHPEPSISNNLGVSSSLQLNEEKGIQELRKSSSETKSNCASGFHLEDKSLCYVKEVAEDEVDSCLIPRSSSQRTLGLSQSSSSNSDNCSSCLSEGDSATSFSNPHNSESSSTSDSEDCSKNSEGRETSEVMQNAFAECYEVAQEKRTAAAKGEDVSSLTPNSVGTTVGSFPTTAASTNANVNGTLGMRPQSLRPPVHSQGTHFPRFQVPAMDYYYQTPPSWATTPVNGFIPFPHPNHYVFATPFSYGLNANAHFMQHGALQHLIPPPINHGHLPVFQSVAPTSDRCIKENARVSTVGRLKEEANVQRMAPVGQHTMEKSTTAGSGETEESRNSGFSLFSFTPDPFSLKEGMARNLSSNLRTNHIAGESGCNKKEPIEEYNPFANRIEFPFADI >Solyc02g068907.1.1 pep chromosome:SL3.0:2:39354472:39354918:1 gene:Solyc02g068907.1 transcript:Solyc02g068907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGYWHASLKDPKKVLFFKYEDLKEDTLLNVKKISEFLGCSFTNEEEEIVRICSFECVKNLEVNKDPMFCKACENKSELN >Solyc01g091820.3.1 pep chromosome:SL3.0:1:85244267:85250338:-1 gene:Solyc01g091820.3 transcript:Solyc01g091820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGNFWDLLKPYARPEGFDFLRNKRVAVDLSYWIVQQETALKGQIRNPHIRLTFFRTINLFSKFGAYPVFVTDGTASPLKSQARIARFFRASGIDLSSLPVAEEGISIERNKAFQKCEKECVDLLELLGVSVLKAKGEAEALCAQLNREGLVDACITSDSDAFLFGANCVIKNMQPNSNEPFECYHMSDIESGLGLRRNQLIAISLLVGNDHNLTGVPGIGIETAVRFVKSFSDDEILYRLREIGGGDLQDFQHEVNLDSSLIPSSDESPRKTKVPHCSICGHPGSKKAHLKFACQYCSSTANKGCIQKPLGFKCDCAPCDLDNKEKEQKRNKNWKLKVCRKIASEQNFRNNEITEMYLNKQQQYDGDYHLSWENPKIDMIVDYLAYYQHWEPSYTRQRMFPMLSTIFLRDVASNSKDQLLGGQYEFDSIQRVKTRFGHQLYVINWKKPTREMSNVTCIPSEDSDTELELGIADESTDLLNEPDSPQIHVKEGCNFLSTEEDMVLVQNAFPEKVSLFLRDKELKESRSRRKRPMKSENSESPKGVQLSITNFYRSSKVPCHEKPEESETGCPKISADTSRERDKEPIRNYSKSVRRKLLFD >Solyc09g011750.3.1 pep chromosome:SL3.0:9:5045830:5055009:1 gene:Solyc09g011750.3 transcript:Solyc09g011750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGARCSKFSFCWWHSHLKPSLLDSSDLENGGKNEKNAFPVFTEFSLDELKMATNGFSSENIVSEHGEKAPNVVYKGLLENGRWVAVKRFNRSAWPDSRQFLDEARAVGNLRSERLANLIGCCCEGEEKLLVAEFMPNETLAKHLFHWENQPMKWAMRLRVAFYLAQALDYCSSKGRALYHDLNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLKTGRVTPESVVYSFGTMLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGSELVRLATRCLQYEARERPNAKSLVTSLMSLQKETEVPSHVLLGIPHGTETPPQPLLLTPMGEACLRKDLTALHEILEKSGYKDDEGIANELSFQMWTNQMQETLNSKKHGDAAFRAKDFITAIDCYTQFIDGGTMVSPTVYARRCLCYLMSDLPQEALGDAMQAQVVFPEWPTAFYLQAAGLFVLGIENDAQEALKEATKLEAKRNKN >Solyc01g056915.1.1 pep chromosome:SL3.0:1:57474893:57493467:-1 gene:Solyc01g056915.1 transcript:Solyc01g056915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYVKNKDGALRIFIDNMQLNKVIIYKKKYQIRKIDDLFYKLQCASHLLKINLKSGYKVRDIDIQNEILRILLNTSKFFLSTYLRSRKSRVQSSFNMSFNCNILGMTRIFIFIFDKEEKNQNNKELRSLLIYLIDCIDFHPVPYGSTSCINTLFYFDKSMLPSSVNCFMVLELFCITTKTFLGTKFFLSAAMALDSLPNPTNEATLNFWAPSNNIISLNNNNQLTITNYQSWQYQWETVITSYDFLPFINEPPSTTAISVYKRQDHLIRSFMVASLSPEIIPFVTDNKTSYALWHNLATTYTKPSHSLIMSLREDLNTIQKGNLYITVYFKKIKEICTKIASIGVHISADDVFLHVVQGLSSEYDSIASALRARETAITFE >Solyc03g096550.3.1 pep chromosome:SL3.0:3:60136897:60138270:1 gene:Solyc03g096550.3 transcript:Solyc03g096550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASIYIFRIKRKESNCVYSVYVRTGQFFGSGTDSKIILTLYDADGYGLRINNLQAWGGLMGEGYDYFERDNLDMFTGRGPCLNGPICKMNLTSDGTGQHPGWYCNYVEVTSTAEHKRCNQQLFTVENWLGADVFPDGLTAIKNNCGRKSEEQLSIYDSQSYHVVDVI >Solyc02g071280.3.1 pep chromosome:SL3.0:2:41335097:41345253:1 gene:Solyc02g071280.3 transcript:Solyc02g071280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSENMQGSSALSCSSNSISAPVGLCCSQRLTLPFRNQRIGFLENPSSLKIFHFHRNTTLPLLNCTATEEIVEASETEGLFVETGYIYSVHGLQGEVRVKATTDFPELRFSQPGRRWLRQQVTGRDMIQEIELVEGRGHPGQKSWILKFHEINTIEQAQKLVGSALLVKNEDRPILEEGEFYTPDLVGMKVFLKETRELVGTVINVFNSGASDLLHVELLPNQNARPQLEGSASGPLVWVPFVEAIVPNIDLSKREMLITPPKGLLELNIRADERSKKERRQLEWKERKKSQKRLIAAKKILHEMEQDHIFHGFRYGEKNQRSFLANQIVDVNSILLQHALQNKKIPYKRWSFPDFVNALQVNNTLKLSKEFFSKENVEHSSIVSKVQEQGHCLISSGKVAIVLALTETKLLGTSFIPEPAKPHEEIAYLHVKGLLNDSHRLLKMEDRPSVPLIVVCPAESIEYLKQLFMDHDYFSFDSEKVWFLEEEKLPVVSASQEEENKHKILMKSPWEILQRPAGSAGIVTVLSSQNLLEHLHVMGVEYIQVCSGNQEFINGEMLLGFTKSREANAGIQVFRDVGYLEEHFNIVFSIDFARKLTKKIDKLQFEAILKPNQYVQMVEKEWVDVIPSSPSSYEFHSSIYSCLNSCPPSKVCLVDITA >Solyc11g066860.2.1 pep chromosome:SL3.0:11:52953059:52954349:-1 gene:Solyc11g066860.2 transcript:Solyc11g066860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSPRNHRRGGSEEKNRKGKLSEKSMSFHVQSSEGSTSELLRRPRTVPDLLSAGRISAGTGKSPEMDKLKLTKLLLNVTIQRSIGPVHVLISLESTVQDLIAAALRQYSKEGRRPVLTSVNPADYDLHYSQFSLESLDREEKLIGLGSRNFFLCPKTSNSAGAGAGTSSSSSSCAKQADKATATKISLPWLKFMDFML >Solyc04g056735.1.1 pep chromosome:SL3.0:4:54734045:54734620:1 gene:Solyc04g056735.1 transcript:Solyc04g056735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSRSTRICIIWGGKPEYWEWLSHLSSRFYIFALPLILKEINLSNIIKEEKWLNLIESVGLISEEKIRTRMLSKRTSLYFAYLVFKWEDSYRVKAVPFSRLGPRARLPLKRGSEGNVEERLIEFRNFSWKHAFIVQGIEFRP >Solyc10g083260.1.1.1 pep chromosome:SL3.0:10:63223521:63223745:-1 gene:Solyc10g083260.1 transcript:Solyc10g083260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKAPKQGDLAEVEIDDDDADDEMLPPHEMVARGSMRSPRTTFSVLEGVGRTLKGRDLRQVRNAVWRQTGFQD >Solyc07g040660.3.1 pep chromosome:SL3.0:7:49380376:49384424:1 gene:Solyc07g040660.3 transcript:Solyc07g040660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLTNAHTIGCPARSGGSTQPVMSQAKAHEFVKLMSENGHLKSATMDLQFLKLTDSSCFISSMITVDKLLGLAMLHVTPRTRSIVNNIKNIKFEEPVREESAEQVVQGSYVHL >Solyc06g036470.2.1 pep chromosome:SL3.0:6:26188638:26190918:1 gene:Solyc06g036470.2 transcript:Solyc06g036470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFISSVFALLLLFVAELHVCVVYGSVILGSRLLAKEKQAWLSNNGTFAFGFTPTVDSNDQYQLAIWFAQIPGDRTLVWSPNINSPVSKDAILEFDTTGNLMLMDGDTIVWASNTSKAGVELAVMSENGNFILYSTNLSTIAWQSFSHPSDTLLPGQSLTVSLELTSSKSPSHGRYYTLKMLQQPTSLSLALTYNVPNSYDSSPEFYSNFSYWSRPEISNVTGDVTAVLDKAGSFGIVYGSSSDGAVYVYKNDGDYGGLSSAVNQTNSNAPSILHRLTLEVYGNLRLYRWDNDVNGSRQWVPEWAAVSNPCDISGVCGSGICNLDRSKTNASCTCLPGTSTEGNDVSCLGNFSVTGKCGPQHENLMSQFKISRVQKINYYFSESSVIGNYSDKGTLSKCGDACLSNCDCVASVYGLSEEKAYCWLLRSLEFGEFEDPVSTLFVKVEANARVSGKPGNSSDESKSRHDKVLVLPIVLSISFCFSVACCLSISIEEDL >Solyc12g016207.1.1 pep chromosome:SL3.0:12:6352344:6353649:-1 gene:Solyc12g016207.1 transcript:Solyc12g016207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGFYVLAHCNEHTPVYPDVVLLLVTDALAGKILSTSSTYYCWNKKPEVDEELPYEIIVEQSAFGPHYLGTNVALDRCIVILKELVRDIIYPSQGLWSSSTLVIHNKYAWGAIIGHATRSLDIAIAAWTSEFLN >Solyc08g014613.1.1 pep chromosome:SL3.0:8:4845174:4846880:1 gene:Solyc08g014613.1 transcript:Solyc08g014613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQLDPLVVVHGSPLENTESQDVEAENDNEDNRQLADTGTVNEDSQNDEIENTSVDQMSIPSLTEEVTENTHAVPSHVESNIRRSSRSIKEPMWMKDYTITKRHSSTKHPMASYLNYEKLKPECRSFLNDLLITGSNTQLITEVKACLHKQFKLKNLGELKFFLGIEVLRSSEGIILNQRKYILELIAEAGLTGAKPASTPMESNLRLTSVDHDQANGYSADTVLHDITSYQRLVGKLLYATITRPDISYAVQTLSQFMQSSKKSHMEAATRVIRYLKGSVGQGIWLHSEPTNTITCWCDSDWAACPNTRRSITGYVIKFGESLVSWKSKKQQTVSRSSAEAEYRSIASVVSEITWLLGLFKELGVD >Solyc07g005035.1.1 pep chromosome:SL3.0:7:56603:57076:1 gene:Solyc07g005035.1 transcript:Solyc07g005035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDINHSYAKVVEDSKWQSSNTYVPEIKRQEVSTTATIEYVRGNFCNLGSDRHRQLGRKLLGFNLQDDRQYFPFGFPNRNMAELTLQGGWTWKRSWVQLEWWNPIPGCKQAAKKSKTTWIRAIGLPLHLWSNEIFRQIGDLCDWFEESP >Solyc09g011380.3.1 pep chromosome:SL3.0:9:4710068:4715198:-1 gene:Solyc09g011380.3 transcript:Solyc09g011380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGFEPYHVPQQSRRDKLRVLLDENNNSNCQIPPLYDPSIVLSSDLSIFQEINGNPFLYTSQIPRFLDHNNTNTNSNSNSNGQGNLSLSLSSLHNNDLSSSKSITTTTSCVPLGPFTGYSSILKRSKFLKPAQILLDELCHVTKGIYVDDSNDDASNLMMDTPQGFTNGEEQFGKKKSRLISMLDEVYHKYKQYHEQLQMVVASFESVAGLGNAAPFANVAIKTMSKHFKCLKDAIIDQLQFTTRKSSHGQINCERGVGISGKGIYCQRQETQPVWRPQRGLPERAVTVLRAWLFDHFLHPYPTDTDKVMLAKQTGLSRNQVSNWFINARVRLWKPMVEEIHMLETREGHNKSSSHREGPNNNNNNDSNNPIEHFPMSNSNACESNTPSTSTSRLQDLPSKRTRNELTNIPNNIGRIADSINLSYGNLSTGVGGGVSLTLGLHQNSGNIGLSEPFPMNAARRFGIDSSTSSGRVIFGGFDEQNGQFGRNNSMVGGQFLHDFAG >Solyc03g095373.1.1 pep chromosome:SL3.0:3:57877830:57892335:-1 gene:Solyc03g095373.1 transcript:Solyc03g095373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KDGLEKCTSQPTPMAVSSSMNGADTPFADITHLRSLIGALQYLAITRPDIQFAVNRVAQRMHQSSEHDYHCLKRILRYIFGTLGRGLLIRHGDLELRGFSDSDWANDKNDRKSTSGFLVFFGGEPDLLVYKKKTKVSRSSTEAEYRALALLAAETIIETFENLSCKLLHDPIVMTNPKSSKRTFAKNKHASTSKDPKTPKKRGRKAAPPIVRPTLPTVVEHLFDYHYIA >Solyc07g022790.3.1 pep chromosome:SL3.0:7:21534049:21620356:1 gene:Solyc07g022790.3 transcript:Solyc07g022790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDAYARSVSEVLEFFAVDPTKGLTDLQVTQHAHSYGKNVLPQEKSTPFWKLVLKQFNDLLVKILIAAAFISFFLALANGETVISAFIEPSVILMILAANAAVGVITETNAEKALEELRAYQADVATVLRNGCFSILPAADLVPGDIVEVSVGCKIPADMRMIEILSDHLRVDQAILTGESCSVEKELDATTATNAVYQDKTSILFSGTTVVAGRARAVVIGVGSNTAMGSIRDSMLMTEDEVTPLKKKLDEFGTFLAKIIAGICVLVWVVNIGHFSDPAHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVLQSLNNGPMNSEYVVSGTTYAPEGFIFDSLGAQLDIPAQYPCLLHIAMCSALCNESVIQYNPDKRIYEKIGESTEVALRLLAEKIGLPGFDTMPSALNMLSKHERASYCNRYWESQFKKVSLLEFSRDRKMMSVLCNRKQMEIMFSKGAPESILSRCTNILCNDDGSTVPLSAHIRAQLEAKYNSFAGKETLRCLALALKRMPMGQQSLSFDDENDLTFIGLVGMLDPPRDEVRNAILSCMNAGIRVIVVTGDNKTTAESLCQKIGAFDHLGDFTGFSYTASEFEELPALQKSVALQRMTILSRVEPSHKRMLVEALQNQNEVVAMTGDGVNDAPALKKANIGIAMGCGTAVAKSASDMVLADDNFATVVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAMLGIPDTLVPVQLLWVNLVTDGLPATAIGFNKQDSDVMKSKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFIWWFVYYNNGPKLPYTELMHFDSCSTRETNYACSIFSDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIIFTMILHILILYVQPLSALFSVTPLSLAEWTVVLYLSFPVILIDEILKFFSRHSGIRFSFRFRRADLLPKREIRDK >Solyc07g064820.1.1.1 pep chromosome:SL3.0:7:66936441:66937913:-1 gene:Solyc07g064820.1 transcript:Solyc07g064820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIRGPIIGRGSSATVSLATDSSGVVFAVKSTEQSCLQREYSLVSQLNSPFLVKCFGFEQNEQVYNMFMEYVQGGTLSDLIKRQGGSLNECMIKLYAQQILQGLDYLHSIGIVHCDVKGQNILICENGNVKIADLGCAKLMKDGKNSGFSGTPAFMAPEVARSEEQGFAADIWAFGCTIIEMATGLVPWCEINDPVSALYRIGYSGDMPQFPNNMSKDATEFLGKCLMKCPNQRWTAKQLLQHPFLQSVETNSWKFEELKRDSPTSILDQGFWNSFEVMESSSFESTNTIDSAADRIRQLIGTEGISCSLMPNWIEDEDWVTVRSNETEEKSIISEQNCEMIDDFGELLDMDTSVSIIFPEDEIVDSLNLEALLVDCINYEIISIFHTVNSSINLIISPEIVGDVFVSEFNDSEMKRLKFFGPIMYSFSHLTLVVIFLLFKFNNFLKIISISTRDRNKICVICNQSVDSTGRIILNCNLSLTRQTDKCQ >Solyc04g005180.3.1 pep chromosome:SL3.0:4:123785:128273:1 gene:Solyc04g005180.3 transcript:Solyc04g005180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSHSLTGTYSFPFYPSLPIRRTIINVIDYNHFPLLTSRRFTLHRLCKKTNFQVVRCSRQENRVLEPEDAALLISTCITRNLSPALTLEQGLEKIKEAVEELKAKPPCSSNGMFRFQVAVPPSSKSLNWFCCQPESSGVFPQFFLSKEKQNPSYKSVEMGHTRGIFGIGAAINLKGFSATKESGEFGRCCAVESTPVVAYGFLDLSFDTISSFMKQEAGLFYFFVPQVSASILSAMVAWNDTCMCTFEEALQTYESSLLQAERNFSFEEDGRCSNHIGATDRKVHAQEGQVQMVILSFKIAL >Solyc02g011850.2.1 pep chromosome:SL3.0:2:14145595:14146490:-1 gene:Solyc02g011850.2 transcript:Solyc02g011850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTIRYIKNNLLEQAVRAEMSQYFFDTCKSDGKQRMSLHTREIPLLSLQKPLANELDTQWVSTKKEKCTNLNKEFLNGLEIVNMKSLFLDILGVSSSIINNTLLENLEKRVRLNRIHTIFLPNIDYEEFEQNGYTINKEPLSKEIDDFLTLINESGNEAKSSLNLKGLSIFSNQEHRRANSEKRKKYFEFVFGAIDSYETKLGRKSIGLKEISKKVPRWSHKLITDLDDKWVNLKIAHQWIINFVQEKPKM >Solyc07g063410.3.1 pep chromosome:SL3.0:7:66014470:66015920:1 gene:Solyc07g063410.3 transcript:Solyc07g063410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVQEMDPLTQLSLPPGFRFYPTDEELLVQYLCRKVAGHDFSLQIIAEIDLYKFDPWVLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTDGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLSEPTTKTGSSRLDDWVLCRIYKKNSGGQKSSCSDLQNKDISHASSSSSSSQFDDMLESLPAIEDRYFSLPRVNSIRNFQQNDKINLQQLSSGNFDWAAMAGLNSFPELRTGNQVPTPGNQTPVLINTNQYHNHNDNLNNFNEFFANSTALNFHGEVKFEGGVDQEVESSVRAQRLNSVNPGFFQENSTGFSSSYTNSVPDPFGIRYPTQTVNMGFTG >Solyc02g082510.1.1.1 pep chromosome:SL3.0:2:46754078:46755355:1 gene:Solyc02g082510.1 transcript:Solyc02g082510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKDEASSSSHVLSIPREDTPLLGKIQHLSSPSKTFANVFIAIVGAGVLGLPYTFKRTGWVMGSIMLFSVAFLTYYCMMLLVYSRRKIESHIKAAKISSFGDLGFAVCGPVGRLSVDLMIILSQAGFCVSYLIFVANTLAYLFNYSIAKPDPKILGLSPKAAYIWGCFPFQLGLNSIPTLTLLAPLSIFADIAELGAMGVVMVEDVMIYLQNRPVLEMFGGFNVFFYGLGVAVYAFEGIGMVLPLESETRDKDKFGKILGLAMGFISLLFGAFGVLGYFAFGEDTKDIITTNLGQGLLSTFVQLGLCINLFFSFPLMMNPVYEVMERRFWEGRYCVWLRWLVVLAVTFVALAVPNFADFLSLVGSSVCIVLGFVLPSLFHLIAFKDELRWYGFASDAALIVMGTIFAVYGTSSSLMEIFAKKA >Solyc07g065840.2.1 pep chromosome:SL3.0:7:67565749:67569469:-1 gene:Solyc07g065840.2 transcript:Solyc07g065840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HSC80 description:Heat shock cognate protein 80 [Source:UniProtKB/Swiss-Prot;Acc:P36181] MSDVETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDGQPELFIHIIPDKANNTLTIIDSGIGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKMVLYLKEDQLEYLEERRLKDLIKKHSEFISYPISLWVEKTIEKEISDDEEEEEKKDEEGKVEEVDEEKEKEEKKKKKVKEVSNEWSLVNKQKPIWMRKPEEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCDELIPEYLSFVKGIVDSEDLPLNISRETLQQNKILKVIRKNLVKKCVELFFEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKFAELLRYHSTKSGDEMTSLKDYVTRMKEGQNDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYSIGQLKEFEGKKLVSATKEGLKLDESEDEKKKQEELKEKFEGLCKVMKDVLGDKVEKVIVSDRVVDSPCCLVTGEYGWTANMERIMKAQALRDSSMAGYMSSKKTMEINPENSIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLEEPNTFGNRIHRMLKLGLSIDEESGDADADMPALEDPEADAEGSKMEEVD >Solyc07g054750.1.1.1 pep chromosome:SL3.0:7:63104615:63104875:-1 gene:Solyc07g054750.1 transcript:Solyc07g054750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSRAWVAAVSVGVVEALKDQGVCRWNYTIRAINQHAKNNLRSYLQAKKMSSQSSSSLVSDNSKVKQSEESLRKVMYLTCWGPY >Solyc06g084550.3.1 pep chromosome:SL3.0:6:49675819:49678747:-1 gene:Solyc06g084550.3 transcript:Solyc06g084550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDIADDIPCSSIAVDSVIRMGTAGLIWGSCSGPYDANKLGLSGINRASFIAKSVGRLGFQWGLFAAIFSSTRCGFQRYRRRNDWVNVLTAGVVAGAAFGAGTRNWKQVAGATGLVCLLCHVAEDSR >Solyc05g041520.1.1.1 pep chromosome:SL3.0:5:52951672:52951845:1 gene:Solyc05g041520.1 transcript:Solyc05g041520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSHVEVFLRVVACAHILQGYGLTKTCVDTFVSLPNQFHILGAAGPALPSMNVCLV >Solyc05g041910.3.1 pep chromosome:SL3.0:5:55034291:55037155:1 gene:Solyc05g041910.3 transcript:Solyc05g041910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome b561 and DOMON domain-containing protein [Source:UniProtKB/TrEMBL;Acc:K4C0H2] MDSKLLTSNLVLFSSILLTLFTFSYGQNCSTHQFTNNNIFSTCNPLPVLNSFLHWTYYPDNHTVDLAYRHGGVTESSWVAWALNPVGTGMAGCQSLIAFRNSSGQIHAYTSPIAGYSTDLSEGALSFGVPRISAEFVGSEMIIFATLELPTGRSSFTQVWQNGAVSGQALQVHRTSGDNLRSVGTVDFASGQTSADGGGISASARQRRRNIHGVLNAVSWGVLMPMGAIFARYLKVFKLANPAWFYLHAGCQTVAYAVGVAGWGTGLKLGSDSVGIRYDTHRNIGITLFCLGTLQVFALLLRPKPDHKFRLYWNIYHHVTGYTVIILSIINVFEGFDALNGQKNWKKAYIGVIIFLGAIAVLLEAITWFIVIKRKKTSVSDKYPHGNGTNGYASRSHDQTA >Solyc03g113780.3.1 pep chromosome:SL3.0:3:65311475:65319009:-1 gene:Solyc03g113780.3 transcript:Solyc03g113780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAD(P)H-hydrate epimerase [Source:UniProtKB/TrEMBL;Acc:K4BKN0] MLRKAKRMSCLLSQTLLPSSAANSVCNFKPTIAPHHLLLPRLLGLRPKIGFLGSLRGMATKMDIIQQNPEAISYLNQKEAAQIDEILMGPLGFSVDQLMELAGLSVAAAIAEVYRPSEYSRVLTICGPGNNGGDGLVAARHLYHFGYKPFICYPKRTAKPLYDGLVTQLESLSVPFLSLEDLPKDLSDSFDILVDAMFGFSFHGSPRPPFDDLIQRLVALKLNGDTHQKSPAIISIDIPSGWHVEEGDLSGEGIQPDMLVSLTAPKLCAKRFSGSHHFLGGRFVPPSIRNKFNLQLPAYPGTSMCVRIGKPPKIDISSLRENYISPALLEEEVVADPFDQFQKWFDDAMVAGLKEPNAMALSTTGKDGKPSSRIVLLKGFDKDGFVWYTNYEGRKGQQIAENPHAALLFYWDDLNRQVRIEGPVQKVSDEESEQYFHSRPRGSQIGAIVSQQSTVIPGRHVLLDEYKQLEAKYSDGFVIPKPKHWGGYRLKPEMFEFWQGQKSRLHDRLRYIPETADGKTVWRIERLAP >Solyc09g057683.1.1 pep chromosome:SL3.0:9:50413993:50418793:-1 gene:Solyc09g057683.1 transcript:Solyc09g057683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDKIDAINNLEAPMKVPELRSFLGLANYYRRFIFNYSEIAAPLTDLLKMDPCQAAFERLKLAVMEEPVLDLPDFSKPFEVHTDASDFAIGGVLMQEMGGLLLQIGEGLKLSSGYFRCQLKYSIN >Solyc03g006610.3.1 pep chromosome:SL3.0:3:1187165:1192695:1 gene:Solyc03g006610.3 transcript:Solyc03g006610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEKSRVSKELNAKHTKILEGLLKLPQNRECADCKARGPRWASVNLGIFICLHCSGVHRSLGVHISKVRSATLDTWLPDQVAFIQTMGNQKSNSYWEAELPAKSDRVGIENFIRAKYVEKRWIPRNESVKSSPGARGERNSASKTGTARDAAYIKRTMSLYQERKSSPLPDADRTTLALRNRLHNAPSESPKQLAAPDPKPEVVQNAKPVASVEEPKPKANVSPDPRENVHQNVEPSISQVAKAKPEANFSPTLSISTINHAASVSKSYTEASKANDSVSKTQPVASPKPAEAKAVIQQNATIVNKNKFDAGIEELIKDFQWNTQTVSAKPLNNVTNEQKTSPAPHQPQLMPATMNHFSSSQTAYQSSNVNQYIPQNWGSIGTQVIHGTKQMGGTQYIHASTPPAYAMNPSMFSAMQVVPILHGTTTTTAGAIRPLSSFPTVPVVSMQSGYNYDFSSLTHQMLSRR >Solyc11g073110.2.1 pep chromosome:SL3.0:11:56497028:56499664:-1 gene:Solyc11g073110.2 transcript:Solyc11g073110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATFVRAKDQKWKDRETSNKVWIENQNHKLKSERKVPVVYYLTRNGQLEHPHFMEVTLSSPDEGLYLRDVINRLNFLRGKGIASLYSWSAKRSYRNGFVWHDLADHDFIYPAHGQEYVLKGSQLVEGATTLTSKNQVPEVRKLPAVSRRRNQSWCSADFHEYRVYKAESSSNEYSGKAGADASTQTDDRRRRRREIGIGEEEEEEVSISPPPSDSSPETLETLMKADGKVIVRPETDESNGKSRGSSSVLMQLLWCGSMSFKDCGPGGYGKDHGLSHYKSRVPRGPVAEISRRVEHQGITKLEEYLSGSLIETNKDEYPALKRSSSWNADGSAKLEIREKEIEGVRAKCIPTRPKNQSSRKELGSSIHLSSSSSSQQGSKRLVLPPQL >Solyc08g078110.1.1.1 pep chromosome:SL3.0:8:62074723:62074896:-1 gene:Solyc08g078110.1 transcript:Solyc08g078110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEYMEEMDKLGMIIMEMLAHGLGLADDFFSKNFEEKEATIFRISRDILLVLLQRRL >Solyc07g065940.1.1.1 pep chromosome:SL3.0:7:67653435:67653647:-1 gene:Solyc07g065940.1 transcript:Solyc07g065940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCMLCVCLIAIVVAIGFLFGFGVFKNGFHKLKDTFHLADDCINAVASHCSSSRPFLGFPHPNATAPSPLN >Solyc10g017700.1.1.1 pep chromosome:SL3.0:10:5829985:5831256:-1 gene:Solyc10g017700.1 transcript:Solyc10g017700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRNPFSPKMEIGCSPEEPTGIGSSSSCSSSPYIPNSTFSELGLQEKMNSRSPFSPKMEIVSSSKQPQDFEIGSSRSRVAETGSLDLENQPRIGQTITDPDNNDTYILNLQIGSFSNGHCPVYKAKFFKYLIDVGKSIPYGYVTLKIVNMNLHEHELHLVLSQSHPRVTYRKNPNIIRCKKTFIAANLFCIVLPYMSEGSLRSILSTRPEKKLPENFIPVVLREVLLGLRDELHIFYTPMLHKSLSAGDIFIDIHNYTKEMSIKLAFEISTYDLEPQEASLFLNTKSISIWGAAPEVFESENEDNRGAKSDIWLLGITALELVYGTLPVMNRTDLNYIINKLREKKKFPRSLEKMILKRDKKLKKVMDFAKRKKRVFSQEFEEMVLSCLREDPDDRPTADELLRTPFFSDIERFKQFVLSEQ >Solyc02g094280.3.1 pep chromosome:SL3.0:2:55497163:55505072:1 gene:Solyc02g094280.3 transcript:Solyc02g094280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTENSGTVEISEPLLEETSKIVESDSENIPDWKEQITIRGLVVSAVLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTGFMSQLGFSVKPFTKQENTVIQTCVVACYGLAFSGGFGSYLLAMDEKTYNLIGPDYPGNRAEDVKNPGLLWMMGFIFVVSFLGLFSLVPLRKVMVMGYKLTYPSGTATAMLINSFHTNTGAELARQDIHYYSGVGGFNYSIIFMFLGRTYYETSSSSMNITSIICSYRNQVGRLGKYLSISFCWSCFKWFFSGIGDACGFDNFPTLGLTLFKNTFYFDFSPTYVGCGLICPHIVNCSVLFGAIISWGILWPLISQRAGDWYPADLGSNDFKGLYGYKVFIAISLILGDGLYNLIKIVSISVMEIFRNSSMENNIPLVMEVIGGESSRLELEKKKRDEVFLKDRIPFWFAGSGYVALAAISTATMPIIFPPLKWYLVLCSYLIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASLVGSNGGVVAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCIIAPLTFWMYWNAFDIGSPDSPYKAPYAVIYREMAILGIEGFSELPKHCLALCCGFFVAALAINLLRDVTPAKVSQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWEKINKKDAEDFAGAVASGLICGDGIWTIPSAILSIFRINPPICMYFGPSVRT >Solyc02g092230.3.1 pep chromosome:SL3.0:2:54015554:54020325:-1 gene:Solyc02g092230.3 transcript:Solyc02g092230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGKEKLTGNGSREDNELLSPSKEGKGKRLWKKVKYQLVEYHSLPGYLKDNEYILGHYRAEWPLKQALLSIFTIHNETLNVWTHLIGFFLFLALTIYTATKVPKVVDLHSLQNLPDVLRKADLHKLQAELLTCLPSLPYMPDLHKLRDGLLRSPSNWHIIDLLHNCLPERFSHSNHTDVCVLRSVKEDVANILAPLLVRPITRWPFYAFLGGAMFCLLASSTCHLLSCHSERLSYIMLRLDYAGIAALISTSFYPPVYYSFMCYPFFCNLYLGFITLLGIGTILGSLLPVFQTPEYRVIRASLFFGMGLSGAVPILHKLVLFWHQPEALHTTGYELLMGIFYGIGALVYAMRVPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYRAGLVYLRWRDLQGC >Solyc11g071360.1.1 pep chromosome:SL3.0:11:55165165:55165970:-1 gene:Solyc11g071360.1 transcript:Solyc11g071360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHREAMCLSEKELVMRSPLVEYIHGEEYGVESNKDENVDGGVVFDFVGGSNGSASGGGGGVDSKFDENMDGILVVVTLVVDGCGGSGGVDVDDSDFHMDGVLVVFVLVVVDDGSGADVDDSDFDTNGVLVVFVLVIVVVVGGVGVVGVALGGDSGDGTNIDDSDFNENVDGVLVLLLLLVVVVTDGDAGNFDDSDFDKNVLWDLFCTTFIATFALKCGMIK >Solyc01g081360.1.1.1 pep chromosome:SL3.0:1:80443512:80443967:1 gene:Solyc01g081360.1 transcript:Solyc01g081360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRFMMVIGIVGVLLICGINCEKNYGRRLLEDYCPPDDDENFNQTDQPVLVSYGFDLTQVRDQGNTYITGEPIDPADLETFNTFEQNTCDSNNTSDTPTLDEPIGVGEPLLTSDQNTTQSNQIPFGVDLTEVRDLSDSNTFNAFGVDEYF >Solyc07g056250.3.1 pep chromosome:SL3.0:7:64215058:64216976:1 gene:Solyc07g056250.3 transcript:Solyc07g056250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKISAEEMKNEGETTAKESGIESHPYAFHVSGPRNVSSPNWKDLINSSWRKDANYKRTVMACFIQAVYLLELDRQDNRTEQNALAPKWWIPFRYKLVETLKDERDGSIFGAILEWDRSAALADFVLMRPSGAPRGVLALRGTILKSQTMRRDIEDDLRFLAWESLKGSVRFSGVLKALKAIADKYGSNNVCIAGHSLGAGFALQVGKALAKEGIYVEAHLFNPPSVSLAMSFRNIGEKAGFAWKRIKAMLPSKADSQISCEEGGATSFPVGLKQWVPHLYINNSDYICCSYTYADGAQNDQTAANKENAKQTTNCRQAAAKLFLSSKGNQKFLEAHGLEQWWSDNLELQMAISNSKLISQQLKSLYTMPAAQLTPVKR >Solyc05g011840.3.1.1 pep chromosome:SL3.0:5:5074911:5076056:1 gene:Solyc05g011840.3 transcript:Solyc05g011840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIFHLCSPPNCSNFQSYTFLIFHLPLHNFLPFWRMHIPRIQQDV >Solyc06g065340.1.1.1 pep chromosome:SL3.0:6:40876257:40876931:-1 gene:Solyc06g065340.1 transcript:Solyc06g065340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTLTTPSPTNDVDDHHQSHDTTFSTPLFRSPPPTTRRSTPPSLSSSPSHSSNSSFGSSLSFHDILDDHNSPISPTTPLQFPKGVPFSWEKIPGIPKQIFSKKNNTTTSLGQLLPLMPPPCGNGNNGSSKKITSFLDEFSPRKNVAKSFRKDPFFAAFVECSKDDQQQYDDDIWKNSSKVPSIRSLSDRFGFISMYTSCKRTCTVSESIVYLPRSRNNYSRKY >Solyc08g077200.3.1 pep chromosome:SL3.0:8:61222622:61228579:1 gene:Solyc08g077200.3 transcript:Solyc08g077200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding THKSFVPKRTCLRTSVNCEISEPVNGKPRVPIINDGTLPKFLQASRLQNSVSRNNNRLKIFTGTANPSLSQEIAWYMGFELGKVKIKRFADGEIYVQLQESVRGCDVYLVQSTCPPANENLMELLVMIDACRRASAKTITAVIPYFGYARADRKAISLCVLFYYFLFIGIGVLSTQGRESIGAKLVANLITEAGADRVLACDLHSGQSMGYFDIPVDHVYCQPVVLDYLASKKISSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHQEGAREVYACCTHAVFSPPAIERLSSGLFQEVIVTNTIPAIEKNCFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Solyc03g116450.1.1.1 pep chromosome:SL3.0:3:67362863:67363465:-1 gene:Solyc03g116450.1 transcript:Solyc03g116450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFKGTRFYIMQLIVSLISFLLYLQVEIVGGFQENVTYSSFNSSSSWLKRVVKNPRAIGCGNRPWICNEGDFPPRIKKRCCRNRCIDVTSDVNNCGFCRIKCPFTWQCCQGICIDTNMSPFHCGSCVRRCQPPSLCFNGMCGYAQPMPPWPFPPRPPKPPRPPYPFPPTPPRPQYPPFPRPPYQYPPRTPQPPCSPPPLL >Solyc03g046207.1.1.1 pep chromosome:SL3.0:3:11971129:11974719:-1 gene:Solyc03g046207.1 transcript:Solyc03g046207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPWMTLGASLVAKVVDYTVAPLGNQLRYLYQYNKNAENLRKQAERLQEKTDDIQALVNYAKRNDREIKSTVKNWLEEVDEITAEIKSWDDKTQNLSKMCLRHLVSRYKQSKMATKKIMTIQELLGRTLTEDVSIPQGPPLNIPFISDQEKPEKDQVTEMVASSSSIVSQNVSITNGALQSKDDVSIEQRLTMDSIIGYQLRKNVANIIYQQREAVDQIIEELQDDHYKVIGIYGMGGIGKTTLAVEVGKLARDCGIVKRVIMVGVSQTPNIRKIQGLIADMLCYRFEEESTLGRAGRLYMQLSMESVLLILDDVWSYIDLAEIGIPHGDEHKACRIMLTTRQKDLCTAMGTKGVPLRLLSKEESSHLLRKYACTSTSDLCPELDSMVIKFVEECQGLPLALVTVGSALRGKEQVEWEAALQLLKKSQPFTPTYASKTIFSCLELSYNFLESEEIRLCFLMCCFYPEDHEISIEDLTRNWTGKGLFSNVDTIEEARARVFLRVGQLKSSCLLLDIGKEGFVKMHDVIRDFAIYKASEEKHGFMVRAGHNLNKWPQRESFSQKTAISFMHNNIHVLPTDVHCPNLQILHLGENEGLEQIPVDFFMHMKTLQVLDLSERVGVHSLNPHYQLVPNATKKNTFPLSFPSSVEVLTNLRTLRLDHCRLADVSILGKLKGLEILSLYGSSITQLPNEFGDLVNLRLLDLSFCGYLQKIPENLISRLVRLEELYMGWSFRLWQLADGSAEGSGQVSLSELMSLPQLNILCVEVSTLLAFPENFDLPSLHKFEITVGYHSAICYPNSRRFYLRELKTGIPNGMNHMLQFSNELTMFCASKVILKSIFDVEGGLNHLKTLEITANDDITYFIDEVLHSDAPLVLGSLEKLHLRTFKKLYSLSVHSIKPGSFQNLRILKVEFCHDLFFLLQPSLLQRLSSIEEVHVYSCNKLFKLFQLNGAAFDEEQKLLSTLKMIGLDRLPMLFEIWGVPKQLLLNATLQNKQCFNNLTDVAIKYCDSLKYVFPFVAAQNLCQLDNLQIVGCHRLTRITGEAPEGMPANVQNGHVLFANLRAVHIGSCRNLRNLFSVTTARSLGKLEELKVNDMPNLVELISNEESERREENDKIIVLPELKVLRITNSGNMERLCTEAFCMDLPSLEEFVLLECPKMADTVKRGLGSASNLLKAQIEEQSFFGTMAREVFSRKV >Solyc08g067930.3.1 pep chromosome:SL3.0:8:57049437:57056782:1 gene:Solyc08g067930.3 transcript:Solyc08g067930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFKKMKGEEKIEKIIRGLLKLQHNRRCINCNCLGPQYICTTFWTFVCTRCSGVHREFTHRVKSVSMARFSEEEVSALEAGGNERAKEIYFNTWDTYRNSYPDPSDLYRLREFIKNVYVDRKYTGERGREKLPVVKTSYKDDYKEMYSPGARDADRCSSETYSPRRNDDMNLRSYSNIEDRRSPRNYNQGARFNRERSLSSRFEIVDDRFRDDGTSDVKRYQYHIFSKSERRSDSPASSPKIHSIKDILGDKVIPLEVGESPKQSAGGVTREISANDEKKEKEKDKAAIANIDSLIDWETEPQPQQETQQTETDPPKETTTPPSSAAKVSNMDLLESLFIDMSTPPVASTEIPAATEATPVGTVASTNATQSAGASPVVSSSFGPPVASFESTLLFPRPNDSTAIPIHNQHSAVPVGINSPNVQQSTASFGVAYGQKNTSQPTGNAEAPPTDPSPLQPSQAASTTALNTSPSNDPNTNGRKELPMDLFTSSYPPLAAAVPGWQFPPHGMAYGMQYHPSVMAISAPPNSAKTRNPFDISHDGPQVQAPEFPSMLPMQAALPTNVQPQPYALAMHMPPQTTNYGMTISGGAYAGQQVPNNMALANPQGNTSFGKDDSAFASLNPLQQSNDAFYAPARSNSFSSVGGNPFG >Solyc01g100095.1.1.1 pep chromosome:SL3.0:1:90057491:90057916:-1 gene:Solyc01g100095.1 transcript:Solyc01g100095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFMHGSSHISTITIMFCTCVYMPFLQLKHTIRTIFAKFIIRVHLYLQPCKGDLLEDSSCKLNLPASRFLDLDNMLSSCIEETCSICLVEFEKEHVVCQLPRCNHVFHIDCIEKWLERCQFTCPLCRSLLIHRTNPSPCK >Solyc07g021070.1.1.1 pep chromosome:SL3.0:7:15835751:15836101:-1 gene:Solyc07g021070.1 transcript:Solyc07g021070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAVTDCHKIMLTDVKGMLALYNPKLQTTQRTTIVGTMYSFDYENYEESLVLLDKAELLPLVDKASEESTNDDDDDDDDDDDDDENQVLEHLRNHVMHERVTTLLGALNCNEQML >Solyc03g034200.3.1 pep chromosome:SL3.0:3:5916323:5923224:-1 gene:Solyc03g034200.3 transcript:Solyc03g034200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEYKRNSLKQRSNPQFKRKGGSKNAKLNFSSHERSSENSQSSDTTYCILCQSKKVGSVIGKGGSIIKALREETQAKITVADSVPGSDDRIVIISSPSTKLARRQNNDKNNDNPETKEENYSMEPHCAAQDALLKVHNRIVEEDLLGVQNEDKSEAVIITRLLVPNNLVGCLLGRKGDVIQKLRSETGASIRVLSAEHLPACAMTTDELVQISGKPALVKKALYEVSTLLHQNPRKDKPISSFPMVHGAQGFHPPGPPMENMIPPGKPMWSQSKTNLNGMPPALGVGGYRNQLTGFGRADFDYGPPPSAGEAPGDFTMKILCSAAKIGGVIGKGGFNVKQLQQETGAGIHVEDVAPESDERVIRVSSLESFWDPRSRTIDAILQLQSKTSEFSDKGIVTTRLLFPSNKVGCIIGQGGQVINEMRRRTQADIRVLSKDEKPRCASADEELVQISGSIGVAKDALVEISSRLRERCLRDANSKVESTHVRPLPGFVPSEDFRSRDPQRSGVMGAGSSRRYEHLKGAVRECDHPSYPDLPIATRFSNTRSPPEMKFPDHSYGTAKGTGGYNIDEFAGSSARYQDPRSIGPGFVDDIRGTSDRMNAGHNVFHGSSENLNAGRPTFQGYGSPAGQSSNIHQGAYHQNYAAQQSAYQSYPAKGGYPSGSPSQLPYQNPNPHQAPYQNINSQQHQHQQAPPPYQNMAAQGSYHY >Solyc03g083665.1.1 pep chromosome:SL3.0:3:55051874:55053843:1 gene:Solyc03g083665.1 transcript:Solyc03g083665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPPNIETDPFQEAWIGDVEDTLVEWKVTFFTLGHHDQNEILGPWSPKKVRTPTFPIPKQLGTTTRKSCYGVSKTEFIPLPGPSGQASGAEFEEDHFGIDVLLESWEKSTETGMLVDQPEPEPGHVPPAIQVSVQSIQRRLLAKYSYTPPIGIMYLTEIEAKDLFEVKVEILRIMAVFDQMEDWMGRGARALVHLDYRPMDNSFLLGKEEVSIPLLLFLDRSLTLDEEKDFSPEYEI >Solyc02g032850.3.1 pep chromosome:SL3.0:2:29901500:29911832:1 gene:Solyc02g032850.3 transcript:Solyc02g032850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSEASSFESSETLAALVASTPLLEESWKVCGVADASVGCNFAVNRVGETAYVGFSGVKLGAGVDQSCRNLVPLPDELFFSLCVDGPDPAMVHAGLLHLFQSVYIDNLFRDQMVEIMNTSKSIVITGHSIGGAIASLLTLWLLCRLQTICSVICITFGSPMLGNQSFSRAILQKRWAGHFCHVVSQHDIVPRLFFAPSCCFQFISYENKTQLFHVVLDSLGVVSRGECKSSFCPSGSYLFCTNKGAVCVDNGMVVIKLLYFTLLNSSQSSSLEDHLDYADFIQKVQWQFIENRSFTEGSIPKSSYKAGITLALESLGIASHEVNFEDAKEALKKAKKLGRTRNLNSANLAIGLSKINPFRAQIEWFKASCDNSAEQMGYYDSFKQRGASKRGFKVNMNRIKLAQFWDSLIDKLEANELPYDFHKRAKWVNASQFYKLVVEPLDIAEYYRTGMHLVKGHYMQHGRERRYKIFDKWWKTENDTDNPTARSRFASSTQDSCFWARVEEARDSLIKVRAEGDARKFLKMLEDVTKFDQYAKRLIENKEISQDVLAKNSSYTKFIEEWKDLQSQLQLLQPQFP >Solyc09g082610.1.1.1 pep chromosome:SL3.0:9:68761678:68762199:-1 gene:Solyc09g082610.1 transcript:Solyc09g082610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTPWVTIFLIPFIFLRYASADLVSNVCIQTRNNALCSQTLYNSSEYSNYTDAKGLAYIMIGAALDRAAHNLVYVKELIDNQNSTNPNDPNQCLQNCYKDYSLLVKAIIPDANQSLSAGTTFVAAVAILYSADKILDCQDEDCVKISSNLNDKCNEYADFAQVVVDVLRVTP >Solyc10g079360.2.1 pep chromosome:SL3.0:10:61039195:61041886:-1 gene:Solyc10g079360.2 transcript:Solyc10g079360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFLLFHHFPLSLMDAYCSDCRKSTEVVFDHSAGDMVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPNNPLLSDGGLSTVISKPNGTTSDFLTSSLGRWQNRGSNADRSLILAFKAIGVMSDRLGLVATIKVCSTPEVLILIFNQMTLRCQEVSADICLC >Solyc02g079520.2.1.1 pep chromosome:SL3.0:2:44610436:44611094:-1 gene:Solyc02g079520.2 transcript:Solyc02g079520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLGRDVVFEDFFPSMMEKLGSEGFLKELKNGFRALMDKEREVITFESLKRNSALLGLEGMSDDELMCMLREGDLNGDDCLNEKEFCVLMVRLSPDLMEKSRMWFAQSIENDLM >Solyc05g014590.3.1 pep chromosome:SL3.0:5:8469391:8471998:1 gene:Solyc05g014590.3 transcript:Solyc05g014590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENMVEENNNYLETTILFQQDSYLDEPIMSSYYDSTSPEGSQSSKNIVSERIRRNKLKEKLFALRALVPKITKMDKASIVKDAIEYIVKLQKQDRRIRGEISKLESETSNKNSTHLQHETFDFSNPKTLDEHQYGYHSSPIDVLQLRVSSMRDRIVVVNLTCIKRKDTMIKLCDVFESLNIKIITTNIIAYSETLLNTTYIEADVEESNLLMLRIQSAIASLNNSDSPLSS >Solyc01g014850.3.1 pep chromosome:SL3.0:1:15512910:15523902:-1 gene:Solyc01g014850.3 transcript:Solyc01g014850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDGGRKRGRPQGPMNGNGGFKKSKQELESIPTGIGSKSKPCTKFFSFSGCPFGEGCHFLHYVPGGLKAVTQMLGSNPALPAAPRNSIAPPSFPDGSSSPVVKTRLCTKFNTAEGCKFGDQCRFAHGDWELGRPAAPLHEESHGMFQMHGRYGSRPETNPTGLGAAASFGSSATAKISVDASLAGRIIGKGGVNSKHICRVTGAKFSIRDNESDPNLRNIELEGTIDQIEQASRMVRELIQSVTTSAAPPMKNSSSSVTSNYKTKICENFTKSTCTFGEKCHFAHGAEELRKSGP >Solyc01g111430.2.1 pep chromosome:SL3.0:1:97591742:97594119:-1 gene:Solyc01g111430.2 transcript:Solyc01g111430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRWGFKIYVRFSLGYKKMEKVGSKVEDEFTWLPRGLICKARVEADEILRRVFERILLMFLDSVKKYYRVIKCFYSSLPWECSVKKYDLYELSRMLSEFMKMGKVKDADRLFEKIPEKNVVIWSIMIHGYSKNGLHKNSVECLTSMRNFGLVPNSFTIVGVLVGISGLKDLLLGQSVHGLTVKLGWEDNSFVGTSLLEAYAKCGNISDSGKIFEDIKSQGLVPWNAMIGALVHNELFEEAFLLFNRSRESGLFPNSMTIMALTQSCVAMGSKCLCESVHAMALKFGLVFNIQVNNSLLFLYSTLMELPAAWEIFDTMEKKDVISWSTMMSLLVHLEYASDAIKLFLHMRYSENEYDHLILMNLISACGISGNLKMGRSVHAQVVTHGFGSELPLFNAMITMYARCEDLNSSRTVFDHSTIKSMVSWTSIISGLLHNGRPREALDMFISVRIEENFFTDSVLLVSALTTAGEMVASELCMQLHCHTIKTGLTNYRSIQNSLISTYSKCGNVELANNVFEQMASLRDVVSWNAIINGYGINGHGETALSLFYEFSKSGGIPDSATYLSILSACSHSGLASDGLLIFSQIIDENRIEVGAEHYGCIADLLARAGYVPDVSSFLNGDGKTLWKVILNECARNSDLKLAEFAARKFHEQIKKDPGQLVLLSNLYASVGRFKDAEALRSSMDTQKLIKVPGFSILTGNL >Solyc08g068220.3.1 pep chromosome:SL3.0:8:57381111:57396198:1 gene:Solyc08g068220.3 transcript:Solyc08g068220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLSVFTKLVYKAKILFESLGQLDTNVDFRLSTMMLRFVSGFKEFSEWAQCAILDLVSKYVPSDSNEIFDMMNLLEDRLQHANGAVVLATIKLFLQLTLSMADIHQQVYERIKAPLLTLVSSGGPEQSYAVLSHLHLLVMRAPYIFSADYKHFYCQYNEPFYVKKLKLEMLTAVANESNTYEIDPDQRDYWCCTSHKSEFLLGGIRGRTVGNGSRVSFWNIDVTELCEYAANVDIPMARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDHVTAETLVLVKDLLRKYPQWSHDCIAVVGNISSKNVQEPKAKAALIWMLGEYAQDMQDAPYILESLIENWEEEHSAEVRLHLLTAVVKCFFRRPPETQKALGAALAAGVNDFHQDVHDRALLYYRLLQYNVSIAERVVNPPKQAVSVFADTQSNEIKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFAFSEEIGNLSLGEESTDNVAPAQRIEANDKDLLLSTSDKEESKGSIHNSSAYSAPGYDGSLAALSQTDLVSLDYKPTPNVPSATFAIDDLLGLGLPAAASPPAPPPVLKLNTKAALEPNAFQQKWRQLPISLSQETSISPEGVATLISPQTLIHHMQGHSIHCIASGGQAPNFKFFFYAQKAEEPSTYLVECVVNSSSCKVQLKVKADDQSTSQAFSELFQSALSKFGKFNKSHNVVYNIECFMLLPCNSAAKNHFFVPCELNRKPHWGVVVNLCGQFLSDLFQIPSVISPGVVLVNYMMNAATSLFRRLNIRELVTSTPAYNTATDVSGDGLSLMFRRWATKKTAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGNYVGIGRDHTLYALKEGCVKFERHKLSGRKWVHVEPKDGHVLHPVYSSTTASESKTAT >Solyc10g007860.3.1 pep chromosome:SL3.0:10:2057431:2060943:1 gene:Solyc10g007860.3 transcript:Solyc10g007860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNLVLLYTSLAIGILTLICVLKRVNGWFFTFFTKKCNLPPGDMGWPLVGNMIFFLLSFKDNNLSSFFTYFVTRFGEGGMYKAFLFGKPSIIMTKVEISRKIFMDDENYDRGMPNYILKILGQAQVGGFTREESKTLHRITTLIKSDISLLSNYFDFANEIVKKSFVKLVEMEEPIDVILAIKRPAFEVLMRILIGDGVDNDMVNILFEETIYLIHGCHGLPFNIPGSAYNRGLKARKAMSKIYKYILDERKVMIGKNKTREKSNILLDMMLNTQDDDNEGFNDEKIIAMLVSYTFAGFESVALVASTAIMYLEKHPHFLDKAKEEQEDIVKRRSSPNEGLNFHEIKEMKYLSNVINESLRIATAKTIFFREARTTININGYTIPKGWKFLSFSWNYHFDPHTYVRPKEFNPSRWDDLKTKPASFLPFGVGPKMCPGANLARLEVSVILHYFLLNYRVERVNSESKIEPPRSCLVKFKKI >Solyc02g094190.3.1 pep chromosome:SL3.0:2:55427253:55430771:-1 gene:Solyc02g094190.3 transcript:Solyc02g094190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLKERFSLFFNNRWLVFVAAMWIQSFAGIGYLFGSISPIIKSSLNYNQRQVARLGVAKDLGDSVGFLAGTLSEILPLWAALLVGAVQNFIGYGWVWLIVTGRSPTLPLWLMCFLIFIGTNGETYFNTAALVSGVQNFPKSRGPVVGILKGFAGLGGAIMTQIYALIHSPDHASLIFMIAVGPAMVAIALMFIIRPVGGHRQVRPSDSFSFSLIYSICLILASYLMGVMLVQDLVDVSHTVTTIFTGILFVLLLIPVVIPISLTFSQETRVPSEEALLSESQKQDPGVSGHDQEIIFSEVEDEKPKDVDLLPALERQKRIAQLQAKLAQAAAEGAVRVKRRRTPHRGEDFTLMQALIKADFWIIFFSLLFGSGSGLTVIDNLGQMSQSLGYDNTHVFVSMISIWNFLGRVGGGYFSEIIVRDHAYPRHAAMAIAQVVMAFGHFFFAMGWPGAMYIGTLLVGLGYGAHWAIVPAAASELFGLKNFGALYNFLTIANPAGSLVFSGVIASSIYDSEAEKQAQQRHPGQWNGTSFLSSFLAVDEPLKCEGAICFFWTSLILCGLCIVASILSMILVYRTKTVYTSLYGKSRT >Solyc03g093380.2.1 pep chromosome:SL3.0:3:56075833:56084460:1 gene:Solyc03g093380.2 transcript:Solyc03g093380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKLQKYFLFPLLVLLAITLLTHCSPPVYDDQSGFVSIDCGLPENSTYSGSMFPVTYTSDEMFINTGVNARLLPKFISNYTEIYLETVRSFPQGKRNCYNLRSSKDKDSKFLIRAFFMYGNYDNKSHVPKFDLHIGTELWDTIQLDNSSHVVTKEIIHVFSTNYTNVCLVNTGNGTPFISALELRRFRNSMYPSEYEISLELKMRYNFVYGTMPLRYSFDAYDRIWEALQLPEWDSVSTRREVDRDIENDFYPPTLAMGTAATPLNSTTWTLSWGPADPNIDYHTYLYFAELVSLLPNQTRKFDVIINSETASWEEFEPEYLTTFVMSDKRKASNFNYTLRQTNNSTLPPLINALEVYAAKRFFKVHTDENDVDAIMEIKRTYDVKKNWQGDPCLPKDYTWEGLRCNYSSSNSTRIIGLDLSSSGLSGDIPSSLSNLTALQYLDLSDNDLTGPIPSSLAGFAFLRFLNLTGNKFWGSIPLGLAEKANNETLLLSMDGFVPLIKNQCQSAQCTKKKLSIPVVASVAVLLMLLIVAITIYYFTKRKGDKRVDSFDSRSQRFSYTKIVSMTNNFEKILGRGGFGLVYHGYLDNKEVAVKMLAETGYKEFQIEAELLGRVHHRNLISLVGYCYEGAYMALVYEYMANGTVKEHLNGPKSLTWIERLQVALNGAEGLDYLHNGCTPPIVHRDVKSTNILLDDNFHAKLADFGISRAFSVDESSFVSTAVVGTIGYLDPEYAHLQKLHEKSDVYSFGVVLLELITGQPPVITSKNCHITQWVGNSLTTGDVADVIDPSLDGTYDSELVEKYVRLAISCCSPSSANRPTMHYVSSRLEEYLEAATEATKGIMVYIEDSTTIPYDSNFSNKF >Solyc08g067190.3.1 pep chromosome:SL3.0:8:56235134:56258171:-1 gene:Solyc08g067190.3 transcript:Solyc08g067190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAAAAMAGLSKAPGAFTITPHKLSICILIQVYAPPSQSSVPFPFSSVAQHNLLGSFLLSLTKSSEDIFEPKLDELVAQLREIGGVLNHWLSDHLARKLSSLASPDDLFNFFNDLRGLLGGSDSNVMDDDQIILDPSSNLGVFIRRCLLAFNLLSFEAVCHLLTNVAIYCKESLSTYEISHFNESDSDTEAPMHYENMDLENLVVENVKKEIESRNVVDERLSFHNHAPKALVRAIEDRNFSLGSQIRNISKPREVGASASASSSRDVSDPDDSPSGAFLRTNWQIQGYLLEQADTIERQGSSFPLNAFESVLKDLLKLAPELHRVHFLRYLNSLYHQDYHTALENIHRYFDYSAGTEGCDFTSSSSTGCNSFGRYEIALLCLGMMHFHFGHPKQALEVLTEAVRVSQQQNNDSCLAYTLAAICKLLSEFGVSNMRGLIGSSYSPVTSIGTSLSTQQLLYVLLRRSLKRAESLKLKRLVASNHLAMAKFDLTQVQRPLLSFGPKASMKLATCPTNVCKELRLSSHLINEYGDEASLMISDGAFCTQWIKNLKKPKGSVVFSQENECRSNTDAFQFCGQPCSIPRSVLQLLGSSYLFRATAWEVYGSSPLARMNALLYATCFADSSSLDDVALACGKLIQHLAEFKGYKEAFAALELAEEKFVSLSKSQIQLVKLQLLHDHALHKGNLKLAQQLCDELGVLASSVNGVDIEIKVEASLRHARILIAANQFSQAAAVAHSLFSICYKFSLQVENATVLLLLAEIHKRSGNAVLGIPYALASLSFCKSFNLDLLKASATLTLAELWLSLGSSHAKRALALIHGAFPVLLGHGGLELRARAFITEAKCYLADSTFSVCEEPEMVLEPLRQASEDLELLEYHKMAAEAFYLMAIVYDKLGQMDHREAAAQSFRKHITTLESSDI >Solyc10g038185.1.1.1 pep chromosome:SL3.0:10:20427194:20439499:-1 gene:Solyc10g038185.1 transcript:Solyc10g038185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KH >Solyc02g080510.1.1.1 pep chromosome:SL3.0:2:45272134:45272754:-1 gene:Solyc02g080510.1 transcript:Solyc02g080510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKLATSRSEMLLGNYPSYRNGTATVNGSSELGEDDVWATVDDMDDHDSRGEWSPRAAAEGNNGYVNRKVQQSDHHHHSHRGRQVGGLSLAFDDSGKTASPRILHQFRGQDAPSPRVAHMATSAPVNVPDWSKIYRVNSVESLHDSDDGVDDHDSEMVPPHEYIARSRNSNAHSVFEGVGRTLKGRDLSRVRDAVWSQTGFDG >Solyc10g054950.2.1 pep chromosome:SL3.0:10:56108562:56109819:-1 gene:Solyc10g054950.2 transcript:Solyc10g054950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLCWQPNAGTTINSQILKEVSKCVESINGVKEGGWKNTFCFYKPMLKEQANASEFPQHFLGASLQEQPDKFYMALSKKRLIVEAESSMQTIMENLQSYIIKFALNCEGFQYRLGDFRVRVGKVVPMNSENLRGIVMEMEYLPISSWKTSHLIMSGFFEILKETLGKKSLPGHFVHAEPNFSEFGLSDQYTSRHTVVQYASILAQLSTRAQ >Solyc04g082490.3.1 pep chromosome:SL3.0:4:66210849:66227562:-1 gene:Solyc04g082490.3 transcript:Solyc04g082490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEFSSRAIRLHFLRISFSISLFQVLFIGYTVTAQTTNSSGIERHAEGYCSMYDICGARSDGKVLNCPFGSPSVKPGELLSSKIQSLCPTITGNVCCTETQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPNQSQFINVTSISKVKKNSTVNGIDFFITDTFGEGLFESCKDVKFGTMNTRAIEFIGAGAKNFREWYAFIGRLAPPGVPGSPYAINFNSTAPESSGMKPMNVSTYSCSDTSLGCSCGDCPSASVCSSSAPPPAQTEGSCSVRFGSLKVKCIEVAVTILYVVLVSVFLGWGFLHKKREETPVSRTKPLISATGNGVIRQSSRQKDENIPMQMLEDVPQISSGVQLSIVQGYMSKFYRRYGTWVARNPILVLCSSLFIVLVLCLGLFRFKVETRPEKLWVGHGSRAAEEKLFFDSHLAPFYRIEQLIIGTISDADNGKAPPIVTEDNMKLLFDIQKKIDAIQANYSGAMVSLPDICMKPLGTECATQSILQYFKMDRSNFDNLGGIEHVEYCFQHYTSAESCLSAFKAPLDPNTALGGFSGNNYSEASAFIVTYPVNNAIDKEGNYSKKAVAWEKAFIQLVKDEILPMVEAKNLTLAFSSESSVEEELKRESTADAITILISYLVMFAYISLTLGDTPRFSSCYISSKVLLGLSGVILVMLSVLGSVGFFSAVGVKSTLIIMEVIPFLVLAVGVDNMCILVNAVKRQPMELPLEGRVSNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALICFDFLRAEDNRIDCFPCIKVFGSNADSEKGNQQRKPGLLVRYMKDIHAPILSLWGVKLVVICVFAAFALASIALCTRIEPGLEQQIVLPRDSYLQGYFNNISEYLRIGPPLYFVVKNYNFSSESRQTNQLCSISQCDSDSLLNEISRASLVPESSYIAKPAASWLDDFLVWISPEAFGCCRKFTNSSFCPPDDQPPCCSPSSGSCSSNGVCKDCTTCFRHSDLANDRPTTEQFREKLPWFLNALPSSDCAKGGNGAYTTNVELEGYEDGIIKASAFRTYHTPLNKQVDYVNSMRAAREFSSRVSDSLKMEVFPYAVFYMFFEQYLSIWRTALINLAIAIGAVFIVCLVITCSFWTSAIILLVLTMIVLDLMGVMAILKIQLNAVSVVNLVMAVGIAVEFCVHITHAFLVSSGDRNQRMKEALTTMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLIFLPVLLSIFGPPSRCVLVEKQEDRPSTSSQF >Solyc08g016442.1.1 pep chromosome:SL3.0:8:7605350:7605626:-1 gene:Solyc08g016442.1 transcript:Solyc08g016442.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALENAREKGWTKVQILSDAKNVVDMVLQRTIVSWEIETLSEDIWTLMKCFAMYAKLFGFLISLDGL >Solyc12g042210.2.1 pep chromosome:SL3.0:12:58194225:58196000:1 gene:Solyc12g042210.2 transcript:Solyc12g042210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDRRYGKRPFSSNEWEEKEDINFPIYSARSQHDMSAMVSVLSQVISNTNNTTNISSSSSMHEIDPLTLPQPTTNQIHEQGNQQRKRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEAAALAYDEAALRFKGNKAKLNFPERVQSGNTQYLTTTHQQQQQHYAFNNNNNNIPQMVTQPNLYQQHFPNVHHYAQLLRDGSNNNIDNMMNFGVSDQSSFYHHHQQGNFISPNTSLELQQQQQQASYYHNQQEDFLRYTMDFGNSSNYSTGPPSESNWMDFEPKK >Solyc10g052670.1.1 pep chromosome:SL3.0:10:53177017:53177675:-1 gene:Solyc10g052670.1 transcript:Solyc10g052670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVIGIHKGRTEFCSDLDKTSRIGLQVLQSRSFIGKPLMADQNTERKKNPTKKKGVTKEQEKHGQPPTKAVQSSEKQEDEHVELRTSARTKVQGGQHQVDHGELSSMGWITPFKIGRSQGPNQLKIVSDNSFQMLNKSNEVNSPTPRSGQTVGGGTIFLEGNG >Solyc12g036677.1.1 pep chromosome:SL3.0:12:47741695:47742364:-1 gene:Solyc12g036677.1 transcript:Solyc12g036677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLFIAGTETSTTTIIWAMTEMIRNPSVLAKAQTEVREAFKGKETFNEDVIEELKYLKQVIKETLRLHPPLPLLIPRECREDTNINGYTIPLKTRVIVNEDAGSFIPERFEHNSVDFMGNNFEYLPFGAGRRMCPAMSFGLINVYLPLANLLYHFDWKLPHGVKLEDVDMNEFSGIAAARKSELYLIALLNILLMNDIYYRSRGVLCNS >Solyc05g015770.1.1.1 pep chromosome:SL3.0:5:12029540:12029791:1 gene:Solyc05g015770.1 transcript:Solyc05g015770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKDPAKIIKDGLSKTLVFYHPLAGRFIEGPNKKLMVNCNGEGIMFIEGDASVELEKLGESINHHVHILIYYFTMFLVLMES >Solyc01g097195.1.1 pep chromosome:SL3.0:1:88025371:88025810:1 gene:Solyc01g097195.1 transcript:Solyc01g097195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALCFPIEVFVGSAWGKVNLPWLMPRPAEDLDQIGQKVAIGMVQLYVYCRHALLLVKTNISNRFEEAANAFYEGVQEAVEAGREFRGTGQA >Solyc12g010380.2.1 pep chromosome:SL3.0:12:3429830:3438972:-1 gene:Solyc12g010380.2 transcript:Solyc12g010380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMIASVTMNFPHISTHNISSNQTFSPICTNNPSNFSSSSSSSIPISSNSIRLSSSIAYSEQLIASHNVNRRTKNRKIKVISARSEPLKVMISGAPASEKDVVGWMKSLYPLQEVSGLSNGSGEISGSERFLLQYWSYATQILFSQTNGLIEQQKFVFCGFGLVHISTGDLLRAELSAGTDIGNKAKEYMNAGRLVPDEIVTAMVTTRLSKEDAKEKGWLLDGYPRTLAQAESLERLNIRPDIYIVLDVPDAILIDRCVGRRLDPLTGKIYHVTNFPPETEDIKARLITRPDDTEEKVKSRLQIYKQNAEAILPVYSDIMNKIDGNRGKDSVFAEIDSLLSRVQKEEQDARKSEESAISSTRADMASLSKDWRGIPTRLNNIPHSREIREYFYTDVLQATQRAVNDGKTRLKIEINIPELNPSMDVYRIGTLMELIRVLALSFADDGKRVKVCVQGSMGEGALAGMPLQLAGSRKILEYMDWGDYGALGNFINIGSIGGKEVEKQDDVFILVAPQNAVGNCIIDDMRAMTDAAGNRPIILVNPKLKDLPASSGIMQTMGRDKRLEYAALFEICYQFRLLYYAGTQYPIMGALRMSYPYPYELYKRVDESPGKEKYISLATFAKRPSIDEMNDAFEGKSRNQEKKAEGFWYVINYPPFYLCFE >Solyc12g042770.2.1 pep chromosome:SL3.0:12:59130072:59135918:-1 gene:Solyc12g042770.2 transcript:Solyc12g042770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIVCGALIIAILLQITIAQTEHIVGDSFGWSIPINGAAAYAMWADANSIKIGDTLASKMAAASTSPSLFPATRSASNTNTSPSVSNIYATSFMGAHLRTYYPATRKLVRIHRGIVAAAVATSPAEEIKEYVLPSWAKFDLGISPVYWKTMNGLPPTAGERLKLFYNPAASNMVPNEDFGIAFNGGFNQPIMCGGEPRIMLEKIRGKADPPIYTIQLCIPKHALSLIFSFTNGIEWDGPYRLQFQVPKAWRNKPTEFFTEGLTQELSQEGACDRAIFPDSSILITRCAMVGNLNVDGGDRCNLDLVPGCIDPDSPQYNPLANVDDGSCPPYSDSED >Solyc09g055425.1.1 pep chromosome:SL3.0:9:41187994:41188960:-1 gene:Solyc09g055425.1 transcript:Solyc09g055425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVIALTDNYLTGNLPITICDHLPDLKGLYLCKNSLDGVIPPNLEKCRKLQKLELGDNEFIGTLPRELANLTALTYLYISDLHLEGEIPMEFGNLQKLHELDLAQNEVTGSVPHNIFNMSALQNIDFGENKLSGKQAAQPTPYKCTLLAKLRYLDHLNLS >Solyc09g048980.3.1 pep chromosome:SL3.0:9:34829528:34834769:1 gene:Solyc09g048980.3 transcript:Solyc09g048980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSQQQSPFITRTIFSDVAGDFTIALNGESFLLHKFPLVSLSGKIRKMVADAKDPNLSELDLTHVPGGPETFELAAKFCYGINFEITTTNVARLRCVAEYLEMKQDYREENLIARTETFLVEVVFPNIEKSVEVLSSCEDLLPTAEEVGISDRCIDAIATNACQEQLVSSLSRLDCDSGSLEHKEWWVEDLSVLSIDFYGRVIMAMGHVGLHIDSIIASLMHYVQVSLKGIGKPQIWNPARAYPCKGEKGQKTIVETLVSLLPPERSSSVPLNFLFGMLRIAIMVDAVLACRLEIERRIAFRLEMVLLDDLLVPSLQTGDSLFDVDTVKRILIHFLQRIDQEENGDCGYESENLDSPSHGSLLKVGRLIDTYLAEIAPDPYLSLEKFIAMISVLPDYARVIDDGLYRAIDIYLKAHPMLNEHECKKLCKFIDCQKLSQEACNHAAQNDRLPVQMTVQVLYFEQLRLKNALCGSCGDTQKMSSGVTSAAMSPRDTYASLRRENRELKLEISRMRVRLSDLEKEQVFMKQGMIDKTGQGKTFLTSLSRGIGRFGIFGEGKRRKSEGKTARSRRYSDS >Solyc10g084520.1.1.1 pep chromosome:SL3.0:10:64137641:64137799:1 gene:Solyc10g084520.1 transcript:Solyc10g084520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKQDINENEVYVTLPMKELSISKNANRCSMITVLLLQTKILFSKNEKVKEQ >Solyc06g074030.1.1.1 pep chromosome:SL3.0:6:45908247:45909041:-1 gene:Solyc06g074030.1 transcript:Solyc06g074030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIKIRQVWAHNLEPEFQLISTVIDNYPYISMDTEFPGVVFKPNVSYNPPSDQYKLLKSNVDVLNLIQLGLTLSDAEGNLPGLGSDGGGYIWQFNFSDFDVERDLYAPDSIELLRRQGIDFEMNRECGINSARFTELMMSSGLVCNESVSWVTFHSAYDFGYLVKILTRRELPGGLEEFMEVVRVFFGNKVYDMKHLMRFCNSLYGGLDRLAKTLSVDRAVGKCHQAGSDSLLTWHAFQKMRDIYFVNNGAERHAGVLYGLEVN >Solyc10g054400.1.1.1 pep chromosome:SL3.0:10:55219476:55220306:-1 gene:Solyc10g054400.1 transcript:Solyc10g054400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKITGANPKTTVHGVTTNNNLDTRNADRRVWLMKCPTVVSRFFEQHQHSTSVETDLSFNPPRPVAKVTVVVDPLIANDSTQFTMELAGTSSGNMPTHYSMDMSTDFIPMSIFSESGQGRVSVEGKIYHKFDVKPHHENIENYGKLCRERTNKYMTKSRQIQVIDNDNAKHMRPMPGFFATKASGSTAEKKKVPSKGLEMKRTRRDRDEMEEIMFKLFERQSNWTLKQLVQETDQPEQFMKDMLKLLCIYNNKGAHQGTYELKPEYKRAEDKTDS >Solyc06g068360.3.1 pep chromosome:SL3.0:6:42464789:42466045:-1 gene:Solyc06g068360.3 transcript:Solyc06g068360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPQQRFRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFAFNDTDSHSSSSTKYLSAALIAKLQRCQMKSLNMVNNRPGTMKLEDQNDRLSSCGNRGDHGITRRTVQMSVEMPVKYESQTENNNTQEFKSLEDHQIEQMIEELLDYGSIELSSVLQE >Solyc01g100460.3.1.1 pep chromosome:SL3.0:1:90360889:90361305:1 gene:Solyc01g100460.3 transcript:Solyc01g100460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anaerobic basic leucine zipper protein [Source:UniProtKB/TrEMBL;Acc:Q6A1K9] MSPLRQSASSSASDDDQRYAGMDEKKRKRMISNRESARRSRMKKQKLLQDLTGEVSRLQGANKNIVSKIDETTERYAICAAQNNVLRAQAMELTDRLRYLNDVIDSTGLAADVADPLLKPLQNPCAMQPIASSGLFKF >Solyc12g009245.1.1 pep chromosome:SL3.0:12:2527567:2527938:1 gene:Solyc12g009245.1 transcript:Solyc12g009245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTQMAVLAVGPGPLDEEGNRTLLSVSPGNTVLYSKYAGNDFKGVDGSDYIITLRASDVMAVLS >Solyc11g069820.2.1 pep chromosome:SL3.0:11:54745488:54755099:1 gene:Solyc11g069820.2 transcript:Solyc11g069820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSQKWQKSNASVRIVVIFLVFFIISVNQISAQQQQQSDNPGSKPLLAQVLYSSFSNFTSLFDPAVTNNLKFCIDDVYLLIVLLSFHFHDIFGGRIGDVLKRLCTAAEIKFYSQLLTQGRGNLKPNTNCNLSSWAPGCEPGWACSVGDNLVRLKDAKEMPPRIVDCQPCCEGFFCPRGLTCMIPCPLGAYCPISNLNDDSGACEPYRYQPPPGQANHTCGGADVWADVMSTTELFCSAGFYCPTTALKIPCNKGHYCRTGSTSQTSCYKLAICESQSDNQNITAYGIMFFGGITLILVILYNCSDQVLSSRERKQAKSRERAAKSARENVQAREKWKSAKEIARKHASGLQSQLSRTFSRKKYVSQQDPHKAPSHARSRSEAALPPLPLGMSHAKAKKQTNLTKMVQELEENPDSHDGFNIEIGEKNMKKPKTEKLQTKSQIFKYAYGQIEKEKAMQEQNKNLTFSGVISMASDIEIRTRPPIEVCFKDLTLTLKGKNKHLLRCVSGKLSPGRVSAVMGPSGAGKTTFLSALTGKAAGCTMNGVILINGKNEPMQSYKKIIGFVPQDDIVHGNLTVEENLWFSARCRLAADLPQPEKVLVVERVIESLGLQPVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRATRREALEGVNVCMVVHQPSYTLFRMFDDLILLAKGGLTVYHGPVKTVEEYFAGIGITVPDRVNPPDHFIDILEGIYKLPSTGLSYKDLPLRWMLHNGYPIPPDMLESSGSRASSAGDNSADLTSPATVTSEQSADLWADVKSNVEQKKDRMRFNFLAWSDLSNRKTPGVLLQYKYFLGRVGKQRLREARIQAVDFLILLLAGLCLGTLAEVSDETFGFMGYLYTVIAVPLLTKIAALRSFSLDKLHYWRESASGMSGLAYFMAKDTVDHINTIIKPAVYLSMFYFFNNPRSSILDNYLVLLCVVYCVTGIAYALAIYFEPGQAQLWSVLLPVVLTLIASKDSSSFTGKLGDYIYSKWALEAFVIANAKRYSGVWLITRCGVLKKRGYALDHWYPCLLKLILLGVISRCVAFFLLITFQKK >Solyc05g010710.2.1 pep chromosome:SL3.0:5:4907859:4913134:-1 gene:Solyc05g010710.2 transcript:Solyc05g010710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNGVHILIFPFPAQGHILPLLDFTHQLLLRGFKITILVTPKNVPILDPLISTHPSVEILVFPFPGHPSLPSGVENIKDVGNSGNTPIIGGLRKLRGPIVEWFKAQSNPICDFFLGWTLDLAQEVVPGLYLFLIFGRILRLYKDLGFVEFNGLPKSPRFVREHLPSVFQRFIEGGDPDWEIVRNGLIANGKSFGSIFNTFEVLESEYLGFLKKEMGHNRVQKLLTKAQMEALTIGLEKSGVRFILVAKQLTAQQEEQDFGSVSKGFEERVLGRGLVIKGWAPQVEILGHRAVGGFLSHCGWNSVLEAIVAGKLILGWPMEADQFINTWLLVDNMKTSIRICEGSDSVPDPMELGRKISEAMSSDLFKERAKKMSNEALEAVKIGGSSKRDLDSIVRDSKNGVHILIFPYPAQGHILALLDLTHQLLLHGFKITVLVTPKNVPILDPLISTHPSVEILVFPFPGHPSLPSGVENIKDVGNSGNAPIIAGLSKLRGPIVEWFKAQSNPPVAIIYDFFLGWTLDLAQEIGVPGIVFYGVGALLISIFFDVWKNIEAYKGLGFLEFNGLPKSPRFVKEHLPSVFLKFKEDDPTWEIVRNGFIANGKSFGSIFNTFETLDSEYLGFLKKQMGHERVYSIGPINLVGGPGRNGKCDDNANEKIFTWLNECPNESVLYVAFGSQKLLTKAQMEALTIGLEKSEVRFILVAKQLTAQQEEQGFGSVPLGFEERVLGRGLVIKGWAPQVEILGHRAVGGFLSHCGWNSVMEAIVAGVIILGWPMEADQFINTWLLVDNMKTSIRVCEGSDSVPDPIELGRKINEAMNNDLFKKRAKELKDEAYEAVKIGGSSKRDLDAIVTELAQLES >Solyc02g063490.3.1 pep chromosome:SL3.0:2:36110359:36116234:-1 gene:Solyc02g063490.3 transcript:Solyc02g063490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4B6N4] MQRSAERIATISAHLNPSPSSHQMEGLSAANCRAKGGSPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVANTPGVTADISHMDTGAVVRGFLGPQQLEDALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEGIAKCCPKAIVNIISNPVNSTVPIAAEVFKMAGTFDPRRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQIKPPCSFTPAETEHLTSRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLHGLRGDAAIIQCAFVSSQVTELPFFASKVRLGRSGVEEIFPLGPLNEYERTGLEKAKKELATSIQKGVGFVKK >Solyc06g054450.2.1.1 pep chromosome:SL3.0:6:37334819:37335685:1 gene:Solyc06g054450.2 transcript:Solyc06g054450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLFGRPALPFSTGKMSKLIAYSFFASLLFILQFSSPVLSIRRDVSLQNTYTCRTTVQGRYLIADDMGQVCDALSIDPHTRCCRGKSERFSCNGCNLVSQCCDSYEFCVSCCLNPSRTQKDLAVNVKISKPITAGMYSSIFDFCTGRCRHNSESVVHENAYLSEFHHCFSIPSNSSSGGGSGVQTEVRLAGINVVIGRQGESCDSVCKSSGQSCVPNKFVLLNQCETMHKYLSCKGGCLASVGADQPAEVIDDAPRDLNPGACLYTSQVSVLSCDGSHQHTKRLCPCA >Solyc01g049914.1.1 pep chromosome:SL3.0:1:47064385:47073063:-1 gene:Solyc01g049914.1 transcript:Solyc01g049914.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRVRRFGRWRSNQTLLPVRVNRLSNAVSCWYCDCKSSILNEPLFRFGRRYSRFLKAWFSMGVGFSLAVLFAVTMILLYEIVQILCLYYGNTQMSNVMNKYLFGFSSTPCILIVKGWKEESYGGGGIFSGGKVCKTLGSGK >Solyc07g053060.3.1 pep chromosome:SL3.0:7:61634665:61637281:-1 gene:Solyc07g053060.3 transcript:Solyc07g053060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTVWRIHDVLQKEAVLQKFSSCYTIGRGSANTLWIRGLASKPSSSSKPAAWPHVFTLFADRRSPADSLVNQDMREKVSHLKDELLAYSGDAEMFEKILADKGVSLFSRYADGSAVVELLQQLKSSPGLAVQAFDWRRRQLDCWSPMTVEEYSKAIVMAGRLKNIDLAAKLFKEASNKRLKSTSLYNALMTAYMINGLAVKCQSVFRDLKREATCTPTIVTYNILISVFGRLMLIDHMAATLREINDLGICPNVGTYNYLIAGYITAWMWDDVEKTYRIMKAGSIKPDLTTHLLMLRGYAHSGKLENMEEMYELVKGHVDRYGIPLIRSMICAYSKSSDVNKVQKIEELMRLIPKDDYRPWLNVILICLYAKEDLLDQMENSINEAFSCNTSVTTVGVMHCIISSYFRNNAVDKLANFVSRAECAGWKICRSLYHCKMVMYSSQKRLIEMEKVLSEMDKVNLDFSKKTLWILLKAYKTWGERDKLHQVLGIMCRHGYGIPING >Solyc05g051280.3.1 pep chromosome:SL3.0:5:62420558:62427254:1 gene:Solyc05g051280.3 transcript:Solyc05g051280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVACGVECVLVVGCIRWAWKRCTYIGNNDSATWPEATCEEFEPVPRLCRTILAVYEPNLHKPKFPPPGGYRLNPDWVVKRVTYEQTSGRAPPYLIYCDHEHKEIVLAIRGLNLLSESDYKVLLDNRLGKQMFDGGYVHHGLLKSAIWVLNNESETLSKLWIDNGRSYKMIFAGHSLGSGVASLLTIIVVNHKDRLGGIPRNLVRCYAVAPARCMSLNLAVKYADVIHSVILQDDFLPRTATPLEDIFKSIFCLPCLIFLICLRDTFIPEGRKLRDPRRLYAPGRMYHIVERRFCRCGRYPPDVRTAIPVDGRFEHIVLSCNSLSDHGIIWIEKESEKAFARLKEASAETTTSPPKVQKFERLKTLEKEHKDAIERAVSLNIPHAVGVGEEESSTQKEEEEPIEDITFEASQKHDEDASTSKAQGSDARTSLHEVVETLLNRDESGKLQLKRESTGPE >Solyc07g021000.1.1.1 pep chromosome:SL3.0:7:15308022:15308228:1 gene:Solyc07g021000.1 transcript:Solyc07g021000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPMIMEGDDYFLPGGNGGLVHALTKNVPSIFEKIIHATSYNRNSLKVINGGQLFEGDVTFPHFLLEF >Solyc07g055250.3.1 pep chromosome:SL3.0:7:63471198:63472254:-1 gene:Solyc07g055250.3 transcript:Solyc07g055250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNNRRPPENGSGNEEDNSDQEKTIAKAAGFVVVSGIAMSILKALNPFNHQNINSKTSEVKAIVFESAPSQTQPQVLQQCQPEPELIVKKPNCCVEKREKKEVSPNVIEIERGDTLWGLSRKYGVSIEEIKDANGLEGDTIYAGKKLVIP >Solyc11g006390.2.1 pep chromosome:SL3.0:11:1091539:1101449:1 gene:Solyc11g006390.2 transcript:Solyc11g006390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAGDGTAASASAGAYEGGGGAGGKFRKRPLRRNQTTPYDRPPTALRNPSWLTKLVVDPASKLITSGAHRFFSSIFSKRLPPPPPPTPLPPPPPPGPSQESQKLPQESHPNEYAGGLVVAGQGGDNDACSSGDGAFSELEQLLKQKTFTKTEIDRLTELLHSKAVDIPMGEEEKRAEAIQSRPALDSSSSLLEVNRSLKVTPGGYVPTPVMNSRILEDDIASPAELAKAYMGSRPSKVSPSMLSTRSLVVREDTPLLTNVHVQRSPIPSVTTRTAGFPGIRENGFATPKSHGRSAIYSMARTPYSRIRQTDVQKATSSGNYVYGGSSSSKAVSEHDVLFGSKQALKRRSYVLDDDLGSVGPMRRIRQRPNALSFGTSRGSSRVASAVNLRQEVSKVAGDVEDAKIMPARHVAIPPKSSETAAKILEQLEKLTPKGKSSESKLAAGKENKLTQNMLHGRALRSLEDLDSPKLLQSGQDSYKLENWSKVLSPNPRESKQSEIKQNGHASESTAIANKDTIFSFKDTQPNVEANSLEKNKSAAQHPYKKRAFKMSAYEDSFELEENGLSAQLADGRGKLELSAADQKPLCAEPTSKPADLLEAKTPSRVLCKNSDVVTLDTGAAAVNNTIFLSSAGSQSLNSNLLATASNKSKETNVDKVPPFLFSPSTPVTGSKPVSSLSSLASSPTDGRPNPFQWNSSQKAVDSNGKLEAVSTSGIFSFGAPPSTSSNGLFATSPAFSATSALTLGNFTNDVSTSSSNIAVSLTSASSTIGATAATAGSSNASAISLFGSSATSLVPKEPPTKFGFPTIPPKAVSAPATTSAAETTDVKAKSETGPTFGNLKSSPFGGASLSATGSGNSIFGFSSSVMSTATTSSTQSQGSVSSTGGESLASAETSVGGSGISAFSGSMPALFSLSASSPSTANFPVFGSVPGTSGQVSASPSKSDIVSSSSAASGIFTFGASSSASSAAGSSSVPTNGTAVFTFGASAAAPPSKNSGSPISSSSAPGMFTFSGSSSASSTNSVAISSSTTPSIFNFGGNSSSSSSSSVNTSATPGIFNVGGGSSTSPANAGKNSTIATPGIFSFGASSLTNAGSIVNPSPFNFGASSASSQASSTVGTFGSSWQLPKSTGFTSSFSSSTPSGFTFGASSSSFATPSTSPVVFGSTPSAASGSPFSFGAVSSTNSPSQPMFGNSAFAASPGNNDNMEDSMAEDLVQAPAPAVSFGQPSVSPSPGGFAFGSAPNPFQFGGQQSHAAPQNPSPFAASSNLVQAAPQNSSLFAASNSQEFGGGGSFSLGSSGPDKSGRKIVKVNRSKHRRK >Solyc09g082810.3.1 pep chromosome:SL3.0:9:68903774:68905407:1 gene:Solyc09g082810.3 transcript:Solyc09g082810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAFLILGLFLAIFVMISSDVLARELAETSTTTSEEDSKKSSNKNEVHEAEYGGYPGGGGGYPGGGGYPGGGRGGGGGGYPGGGRSGGGGGYPGGGYPGGGGYRGGGGRYPGGGGGGRGGGGYTGGGSGGGRGGGRYSGGGGRGGGGGRGGRGGGGRKCCS >Solyc03g058650.1.1.1 pep chromosome:SL3.0:3:27461038:27461313:-1 gene:Solyc03g058650.1 transcript:Solyc03g058650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPARGQGAGENYKPNLDEELITQVYSYAIARTSAEGVHGKITTPNNSPNVTNVHCIDKEIFGLAYVAYVNMCVASKMHSIASAVVGDRLKE >Solyc03g120520.2.1 pep chromosome:SL3.0:3:70374104:70395976:1 gene:Solyc03g120520.2 transcript:Solyc03g120520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRYRDERAVEVRGKVHNTNRSFTFRHMLVQKGFGGRGLTVAQKIWYCVATVGGKCMLARLQSFSAFRRWGNSEQELLLLLLPVLNSSSIKNFLLPFSKDKSSDSSVDDTLCSICQGNPTIPFLAIPCQHRHIVYMEAWAIPTVTTVFKHGAPLLRLFIAPNVANRLLLCNDMVVRSTTLVRYNNSLERSGLSCDQEKPDISDHFQHQYKKLENWEEIQNLNSIHNNNIIPSNSSFRVPIFDVKPEELVSQRLYSNYHHDLSPASSCVTTNLNHNNIFNFSSSPANKITNSNKVVEVKHQDHSSEVRKEKLGDRITALHQLVSPFGKTDTASVLSEAIGYIRFLQAQIQALSSPYMGNVAGSMGHTQQQSAKDFILPDAPMLVRGGEAIVPNVIKTVEVARNRGIPIIWVVREHDPLGRDVELFRRHLYGDGKPKPTSKGCVGAELVDGLVIQEGDYKLVKTRFSAFFNTNLHSYLQGIGITNLVVIGVQTPNCIRQTVFDAVALDYQRVTVIIDATAAATPDIHIANIFDMKNVGVATPTLEEWCQS >Solyc11g011660.2.1 pep chromosome:SL3.0:11:4690092:4694523:1 gene:Solyc11g011660.2 transcript:Solyc11g011660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISTKKLIKMARKWQKFAAMQRKRISFVRNVSDADSCSTSSSSIVEKGHFVVYTADQTRFVIPLAYLENEVIRQLLKMSEEEFGLPSGGPITLPCDSAFMDYIISLMSRGVTAGDLHKALVLSIPTSCCSTSSLYRESGNQQLLKLIKMARKWQKFAAMQRKRISFPRNVSDADSCSTASSSTVEKGHFVVYTVDQARFVIPLVYLENEIIRQLLNMSEEEFGLPSGGPITLPCDSAFMDYIISLIKKGITAGDLHKALLLSIPSSCCSTSSLHRESGNQQLLKLIKMVKKWQKFAATQRKRISFPRNSSDADSCSTSTSSIVEKGHFVLYTVDQVRFVIPLAYLEYESIQQLLNMSEEEFGLPTGGPITLPCDSAFMDYIISLVKKCVTAGDLHKALLLSIPSCCYSTSYSHLESGNKLLLVC >Solyc07g021030.2.1 pep chromosome:SL3.0:7:15722528:15723314:-1 gene:Solyc07g021030.2 transcript:Solyc07g021030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAFELSMMCGSSCSYWERAFVSSSPWRTKLTVLETELLASRFCLRKDHELGGTLCEGSESCNGWTIEHDVVGNLVRSLLKCSLSMRNYVGVVPPYYSGYITIAMMRNYPLLMHKFMSNKAKIPYLLKLIVYMNLYLYSLKIQD >Solyc10g076820.2.1 pep chromosome:SL3.0:10:59886977:59888995:1 gene:Solyc10g076820.2 transcript:Solyc10g076820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREMGFLSPLPEETTYLRNSNWLFEENKGTNWTVEENKMFEDALALFDKETPDRWYNVAAMIPGKTVNDVIKQYRELVDDVTDIEAGLIPVPASYMTTTSCTTRSFTLDWINHDQEIGAFHDFNKRFHGPSHGKRNTSNRSSEYERKKGIPWTEEEHRQFLLGLKKYGKGDWRNISRNFVTTRTPTQVASHAQKYFIRQISGGKDKRRSSIHDITTVNLTEMKENHTSSNSPENSVPSPQKQHNLSNQKSYIGFKTYGLKLHQRRMHSVILQGLQFDQYNTM >Solyc05g026080.3.1 pep chromosome:SL3.0:5:39720212:39735787:1 gene:Solyc05g026080.3 transcript:Solyc05g026080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVKIQLMLNSKMLIDGDGDAIVATSLLEVSNLVVLKGSSVIQSNANLGVHGQGSLNLTGPGDIIEAQHLVLSLFYSINVGPGSILRGPLENAGVNHTKTRLFCGHVNCPTELTYPPEDCNVNSSLSFTLQVCRVEDVLVEGFLEGSVVHFHLVRTVVVKSTGSISASGLGCTGGLGSGVLLPNGLSSGAGHGGKGGDAFYNGSYINGGISYGDTGLPCELGSGSGNHSLPSSTAGGGIIVMGSLEHSLIRLSVYGSIQADGESFGKYSTEDYRKVLSDIGPGGGSGGTILLFVQSLVLGDSSTISTMGGHGSPNGGGGGGGRIHFHWSDISVGDEYLPITSVQGTINVGGGIGRALGQDGENGTLSGKPCPKGLYGIFCQECPIGTYKNVSGSDRSLCAKCPSHELPHRALYIPTRGGVTDTPCPYKCISDRYHMPRCYTALEELIYTFGGPWLFGCLLFSLLMLLALVLSVARIKFGSGDELPGPVPARRGSPIDRSFPFLESLNEVLETSRTEESQTHVHRMYLSGNNTFSEPWHLPHSPPKAVTEIVYEDAFNRFVDEINELASYQWWEGSVYGILCTFAYPLAWSWMQWRRKKKMQQLREFVRSEYDHACLRSCRSRALYEGIKVAATSDLMLAYVDFFLGGDEKRDDLPPPLHQRLPMSILFGGDGSYMTPFSLQSDNILTSLMSQSVPPTIWYRLVAGLNAQLRLVRRGHLRANFSPVTSWLETHANPYLRAHGIHVYLTHSQPSASGYDQFGLLVCTVENEPVMPSESENRSLLLEKNPRTPANRWRKAFDLVRVNEHATVQKKIPGEILHDKNLQALKDQLTLCYPFYYIIRNTRPVGHQDVIGLVISILLLGDFSLVLLTLLQLYSISMLDVFFFLSILPLGILLPFPAGINALFSHGPARSAVPARVYALWNIISTINVVVAFICGSVHFHSQSSAKRHPNFQSWNFSMDDSGWWMLPTGLLLFKTAQASLINYHIANLEIQDRTLYSNDPDVFWRS >Solyc01g068190.3.1 pep chromosome:SL3.0:1:77100722:77103953:-1 gene:Solyc01g068190.3 transcript:Solyc01g068190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILTNSLSSSTFLRFNNLQLQQQQLLFVDSSSRILQLKKKSRGLSMVTRAGGISSGSYIFALVFPLSLLAITIFTSARVADNLDQKFLEELAVNETIAEGEEDDGSAVTPLEEKPATPRTRNRPKREVEPSSK >Solyc10g081530.2.1 pep chromosome:SL3.0:10:62691602:62703318:-1 gene:Solyc10g081530.2 transcript:Solyc10g081530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase subunit [Source:UniProtKB/TrEMBL;Acc:K4D340] MYGFEALTFNIHSGYLEAIVRGHRSGLLTAADYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTTTIVEKCTVKLVDEFNHMLCQATEPLSTFLEYIRYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIASLAVAQNMRELYRLVLVDTPLAPYFSECITSEDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLSFEADRRAVNITINSIGTELTRDDRRKLYSNFGLLYPYGHEELAICEDIDQVRGVMEKYPPYQSIFSKLSYGESQMLDKAFYEEEVKRLCLSFEQQFHYGVFFSYIRLREQEIRNLMWISECVSQNQKTRVHDSVVFIF >Solyc09g089870.3.1 pep chromosome:SL3.0:9:69966660:69971090:-1 gene:Solyc09g089870.3 transcript:Solyc09g089870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFDSFRDANWDLIDFNSFIDEESPIDFFWNDQTQDLSAVAEVEAPLSSAALQECIETECPRKRGRNESCSKQGNKACRERLRREKLNERFSDLCSVLEPGRPVKTDKMAILGDAIRVLNQLKTESEEYKEMNQKLMEEIKTLKEEKNELREEKLALRADKERMEQELKATASPASFIPPHPAAYQPAVNKMAVFPSYGYVPMWQYLQPSSRDTSQDHELRPPAA >Solyc11g068895.1.1 pep chromosome:SL3.0:11:53758119:53762184:-1 gene:Solyc11g068895.1 transcript:Solyc11g068895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSFLSLKDIIWLHSLCIIEFGWDRRFILSVKLMNDPVTGYPAAGALPQNTDGYGGVKLQVLDDREPVFRVDSLSVSNLNLTNSLISGKWDLRFIVKNPTKEMAIFYNDIAAAVFYDDASLSDTTVPPLFQVDTTQTARQVSFATAGAYMDNQAFDKMNKERSQKGAIGFNVRIVAGFRVSSGVYWNRMRTFVMVYCKDLSVGVGSNNSSGTLLGGARQCQVEL >Solyc09g097910.3.1 pep chromosome:SL3.0:9:72398184:72402312:1 gene:Solyc09g097910.3 transcript:Solyc09g097910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVAAMGIVSGGIGYLSQLFTSDIAASTHQFCCFSVNSSPLHMNFSRSGCLVKRGSLLSPRVSVSTTGNAKIDGVDDQLSLSPDEIKPARKSADWKAARTYSERGLIFEGKVEGFNGGGLLIRFYSLVGFLPFPQMSPYHSCKEPQKTIQEIARDLTGSVLSVKVIQADEDRRRLIFSEKEASWLKFSNKINVGDTYQAKVGSVEDYGAFVHLRFPDGSYHLTGLVHVSEVSWDLVHDVRDILTEGDDVRVKIINIDREKSRITLSIKQLEEDPLLETLDKVIHKDASVHKNSLDSNGSLVIEPLPGLETIIEELMQEDGIYDILISRQGFEKRVVSQDLQLWLSNAPATGDQFTLLARAGRQVQEIQLTTSLDQEGIKRALQRVLERVP >Solyc04g064600.1.1 pep chromosome:SL3.0:4:55778373:55780410:1 gene:Solyc04g064600.1 transcript:Solyc04g064600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCMHGAMYIHICNVCHVLLIVLSLHFLHKNQLFLHLFITSSSSSNKSICLSIFMDYSPPLSSPDSTPPPSPPHYIEEPKTLNEQQLNEFREKAMHIIRTHTHEEATKIFLKVDKANKHGLVETLGFFYPSFDERKKFLLKSTWFFNKFFIL >Solyc09g064400.2.1.1 pep chromosome:SL3.0:1:76555113:76555244:-1 gene:Solyc09g064400.2 transcript:Solyc09g064400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaJ description:Photosystem I reaction center subunit IX [Source:UniProtKB/TrEMBL;Acc:A0A0C5CUT2] MRDLKTYLSVAPVLSTLWFGALAGLLIEINRFFPDALTFPFFSF >Solyc03g078570.3.1 pep chromosome:SL3.0:3:52606511:52609203:-1 gene:Solyc03g078570.3 transcript:Solyc03g078570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVVSSLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSTEEGDAKSREFGVMYVETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTTNTSQSEQQGGGCAC >Solyc03g093130.3.1 pep chromosome:SL3.0:3:55733462:55735427:-1 gene:Solyc03g093130.3 transcript:Solyc03g093130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:Q6RHY0] MASSSSKLVLVMCFMISAFGIAIGAKFDQEFDITWGDGRAKILNNGDLLTLSLDKISGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYTLHTNVFSQGKGNREQQFHLWFDPTADFHTYSITWNPQRIIFYVDGTPIREYKNSESIGVSYPKNQPMRIYSSLWNADDWATRGGLVKTDWSQAPFSASYRNFSANACIPTSSSSCSSNSAASTSNSWLNEELDNTSQERLKWVQKNYMVYDYCTDSKRFPQGFPADCVQNI >Solyc07g032220.3.1 pep chromosome:SL3.0:7:36221155:36232228:1 gene:Solyc07g032220.3 transcript:Solyc07g032220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHGDKSPMGKWVASSFILTILLFFSIAESTNNSQVRCSKTCVAENCNCMSSLSFTLLNSFSHNAYLIYFFFSAIGIRYGKYCGVGWSGCPGEKPCDDLDACCKIHDECVEKNGMTNVICHEKFKRCIKKVQKSGKAGFTRDCPYDVAVPTMVQGMDMAILFSQLGNSKLEL >Solyc08g065890.3.1 pep chromosome:SL3.0:8:54289404:54300406:-1 gene:Solyc08g065890.3 transcript:Solyc08g065890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDEPWGPHGTALAEIAQATKKFSECQMVMNVLWTRLTETGKNWRYVYKSLAVIEYLVAHGAERAIDDIIEHTYQISSLTTFEYVEPNGKDVGINVRKKAENVVALLNNKEKIQEVRSKATANREKYVGLSSSGITYKASSASFSSSRSGLQSSERYGGFGNKSDGDSFKDSYNEKDRYDEDKVDQSTFKPKKGSSRYGSKVQDTVSASGSKMIKKVGEPEKSSSQSSTVPSTNYEEDFDDFDPRGTSSSKPSAGSSNQVDLFGQDLLGDLLDVPTPAPTATSTVNTNPSEVDLFADATFVSAKPETEMGVTSQTPMGVDLFASQPAPSGAASTIDFFAAPDPVIQSDNKSSKSDQMNINIVDPFASVPLNTFDNSDPFGSFVSHKPVPFPDENTSNGGNHDARDKVSKLSVEAKTPAKNTFQVRSGIWADSLSRGLIDLNISAPKKVNLADVGVVGGLTDGSDEREKGPTSFYSSKAMGQGIGYGKSGFPSAPTAGDDIFSSLNTQNYQFGSFQK >Solyc06g036231.1.1 pep chromosome:SL3.0:6:25802208:25803219:1 gene:Solyc06g036231.1 transcript:Solyc06g036231.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSIEGTARDILKVSNARFRKEDDEEALKWAAIYRETSNVSSYKERVDLDLPTIQVGSFDQLSVDAETCVGDRVKNDLHIGELTVRETLAFSSRCQGVGPDMVGDIFSSYEL >Solyc07g063990.1.1 pep chromosome:SL3.0:7:66435622:66438763:-1 gene:Solyc07g063990.1 transcript:Solyc07g063990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEGEAVPGNGGISSSNPDPPSPPKADSNSNLETELLCDESFSEIIEKEVVVVEEEVVSSDSLNERKRLRTDEEEDHATEDVSDSSKSRRIREEEAVSSDSLNERKRLHTDEEEDDATEAVSDSSKLRRIHEEEGQKEIDEQKGNQDSEEGENQESEVADEKDEENDKKEEESEQNEGKEDGENEDKDEEEKETEGGNQENNNGEEEDQNEEDETEEVEKDDELPQFSTAEEAGEKHNLREYRENVGGEDNVNRINSEQNEGNEDRDNGKKEEEEKETEEQKQKAVDKGKRPLFEESEEDEDEEEKQQAAKKWQKTSLVPITPNFSKFLQGESSRRTKTDQIQISTPKVDTELVDIQINYESPKDHDYHRDLNILIAMYDFKLNNGHLPYPHADELHNHIMDLMPDLNILGDDLTVKITAFEDDFNTALILDGDNPEMAQPIEREIFNLSKQLWGYSDDNVGDDQTLSERIAMFDMKSSRDHHPPHFNNDLQNEESTISADETEDQKSRGEGELQESELKYMLSKVEEKGKRPMSESYELKSINKPTFSNLERGGTSENESMKEDEYDYDHDIAILKSIYHYFFNHGVIPYPYSENFINYIEASISNLKFHGLELLTKILALEHQFFSMIEITAGRYPVIMHPVFREIFYLSMGLWGYPQYHYPVDNVDVITRNKERENEEKLQAVKKGKRPMSELYEFQYIVPTFSNPGIGAGTSENIQEEDYDFDQDLAILKSMYHYCFNHGGKLPRTSRELINYIEALVPKLKVRGQELQTKIVTLKNNVLAIMTIAGEFDPDKIHPVYREIFYLSMGLWG >Solyc09g089860.3.1 pep chromosome:SL3.0:9:69964136:69965827:1 gene:Solyc09g089860.3 transcript:Solyc09g089860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:WAT1-related protein [Source:UniProtKB/TrEMBL;Acc:K4CW12] MGTSIGTYKRFKPHILMVLAQIAYTFLYFITEASFNHGMNPHVYITYRHIVAGLAMLPFAYFLERKTRPKLTIALLLEIFVLSLLGVSLTLNMYFVSLNYTSPTLLASMVNTIAALTFVLAVILRLEDANIRNPRGIAKVLGTLVSLAGVMSMTLYKGPIMKSLGHPLIYIHRGNGVIHENWLKGSILIAASCITWSIWYIMQAYTLKRYPAQLSLTTWMSFVGAAQSAFYTVIVQHKQTAWTMGFNIDFWSTLYGGIVISGLVVYIQLWCTEQKGPVFVTVFNPLSTVLVAVLAYFVLGEKLYTGSIIGAVIVIIGLYLLLWGKEDQQPEMKEKEQCSTKNEAHHEEPRMQKFTSDAEKFSAEP >Solyc04g055160.3.1 pep chromosome:SL3.0:4:53722422:53728015:1 gene:Solyc04g055160.3 transcript:Solyc04g055160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERATPVRKPNTSAADLLTWSEVPPANNSASASGNASRSGARSHQPSDGISKVLFGGQITEEEAESLNKRKPCSGYKLKEMNGSKIFSADGEDDASESGAVNGNFNNRTSVRIVQQAANGISQISFSTEERISPKKPITLTEVAKQRELSGTLESDSDSNMKKQLSDAKSKELSGNDIFGPPAEIPPRSLAAARSIESKESKDMGEPAPRLVRTSVKVSNPAGGQSNILFGDEPVVNTVKKIHNQKFAELTGNDIFKGDIPPGSAEKPLSRAKLREMSGNDIFSDGKVESRDYFGGVRKPPGGESSIALV >Solyc04g050850.2.1 pep chromosome:SL3.0:4:48659938:48663186:-1 gene:Solyc04g050850.2 transcript:Solyc04g050850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKGFAFSIDSCGLDQKQFLNEARDESKKKSLLVLPPIFWVRISSGNDLEDPKPKIVVFASNNIMEAVTQYRLIRNLIQIQYSTYGYIRNVWNRFFLMNRSTKYKINQYLSNLKKSHKKWFEPLILISRTERSMNRDPDAYRYKWSNGSKGFQEHLEQSVSKKKSRFQVLFDRLRINQYLIDWSEVIDKKRFIPIHRSEIDIYEELKGPNDQLLKPFLLDDHDTSQKLKFLINGGTISPFLFNKIPKWMIDSFRTRNNGRKSFDNPDSYFSMIFHDQDNWLNPVKPFHRRSLISSFYKWNTRFPFSVEKARTNNSDFTYGQFLNISFIRNKIFFFSPIESQVSNIFIPNDFPQSGDETYNLYKSFHFPSRSDPFVHGAIYSIGDISGTPLIDGNLSDSEGKNLHLYLNFNSNIGLIHTLCSEKDLSSEKRNKWSLCLKKCVEKGQTYRTFQRDSMPWFLTSTGYKYLNLIFLVTFPDLFLQKKLCLPQWNLISDILSKCLHNLLLFEEMIHRNNESPLISTHLRSPNAREFLYSILFLLLVARYLVRTRLLFVSRASSELQTEFERVKCLMTPSSMIELRKLLDRYPTTEPNFFWLKNLFLALSLIRKRKNVNRDWIDEKIESWVANSDSIDDEEREFLVQFSTLTTENRIDQILLCLTHSDHLSKNNSGYQMIEQPGAIYLRYLVDIHKKNLMNYEFNPSCLSQTSCGENSFHFPSHGKPFSLRLALSPSRGILVLGSIGTGRSYLVKYLATNSYVPFIMVFLTN >Solyc07g052065.1.1 pep chromosome:SL3.0:7:60747819:60749239:1 gene:Solyc07g052065.1 transcript:Solyc07g052065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSVANIHLTAMFAPQSEEEKENKIYYVQILTTPNRACNERRHVEIYKETEINLLTIDVEC >Solyc02g068120.3.1 pep chromosome:SL3.0:2:38726135:38731049:-1 gene:Solyc02g068120.3 transcript:Solyc02g068120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMSSYWQFGDELRGQSKVSEDHTWTTGTVKLAEQLRSKGERVNNLDLSKSSAESRFRVYLGLQEDNKWENQYLNMLNFDTKITDNTTKSSFSNDIHNVNSVCPKSNVNSLGNMSFSKFSSNHTNDASSYGNNKNTESINGNNAVDKRFKTLPAAETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYKDSVRAITPGLPLFLYNYTTHQLHGIFEATSFGGSNIDPTAWEDKKCKGESRFPAQVKIRIRKLGRALEEDAFRPVLHHYDGPKFRLELSVPETLDLMDLFENTSVFAPV >Solyc01g010035.1.1 pep chromosome:SL3.0:1:4597476:4600523:1 gene:Solyc01g010035.1 transcript:Solyc01g010035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELIFTKIYHGRILSEIYVPTCVENCVCTQVLTLTLVKRVRLLTEVEKIHPLHLGEDPHPHPLHQC >Solyc07g063140.1.1.1 pep chromosome:SL3.0:7:65843100:65843522:1 gene:Solyc07g063140.1 transcript:Solyc07g063140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glutamyl-tRNA(Gln) amidotransferase subunit C, chloroplastic/mitochondrial [Source:UniProtKB/TrEMBL;Acc:K4CGZ7] MGSISALHYLKPTRTANGTSLFNFSKNRISRLPVAFRSYTVKSSVLEPPDVPRLAETARISLNPQEIEEFAPKIRQVVDWFGQLQAVDLQSIEPAIRANTEGDNLRGDVPEKFENREELISAVPSFEDPYIKVPKVLNKE >Solyc12g062675.1.1 pep chromosome:SL3.0:12:34002444:34021443:-1 gene:Solyc12g062675.1 transcript:Solyc12g062675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding CFAKDNIITRLGKIRRPNPNQTNRKITLHTPPRADRSFLPLGSPSISDQNPVNFLLDPITGIRVPSRSGIVISQRKIRRLVGKLNYLIVTRPAISFPVSVVRSPSDRRLTSGYCVLVRGNLVSWKSKKQNVVARSSVKLEYRAMATTTCELVWIKQLLNLLLVTYSAKLVQRHRAHTLILWYLLEASKMKHQSISCLASSLRDNQDSRQASERLYCPMGLGAIGPGLFP >Solyc10g080280.2.1 pep chromosome:SL3.0:10:61727053:61733647:1 gene:Solyc10g080280.2 transcript:Solyc10g080280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTLACASSLPWIWVIEALASSREIDTSLLINLVKKTPAISDDLGRNAREMVSLRLLESLFVRENSDANSVASVPGDKVELDPSRDCEDVLRCILLEVSASNLKTATPDMLKWDVLSFITKKRSILSKSHLQQFKDAIVKSTTSFSTSLKERSGLELGNHYRDGVTADAIDSDGFKQGRKIGGVNTRHAAPTENLDTLIQGNKNGLQENQPGSSLLPVKRSFDAPTAYEIEVNKTKAIPEISSDPCAKAAKKFKQDVAGFIQNTVPDLISSQRGGVPAESCGGSQSIVQKRNSKGDGTLEANGCLKDGKAKHAGSASVLCGIDSSIKDLLPQRMSSDKKFKNIGSSSNCCPEQGISSDSSLRVIQQDSRTCGSKGDLDYNLPRDLQNGEPSNADKENIEQSRESEFSSDTDEYHYESTALASHKNDFLNLQYAQGEDSLAIIDCTELNLCVKCNKGENLLVCSSDTCSLVVHESCLVSAPNFDYKGSFYCPFCAYSRAISEYLECKKKVSLARNDLAAFIGMGAGKQSKKSLPRSQVMKKHQSREGKNSLNEVTEAGSAPADRSSVGAQVIQTSAPKPEPSLPCNEKMRNSLNEVTEAGSAPADRSSVRAQVMQTGAPQPGTSLPHNDSGRNSLNEVREAGSAPPYRSSVGAQVMQTGSPQPEASLPEQCLVAEELCHDENRNKNSLEKAEPAGSHRSRQNQSREEEELCHDENRNKNSLEKAEPAGSHPVTRNSMHAEVTQVHPPQPHVPHEHVCQESSSIEVSSEEEQDEIGSGYLVQFRNQENNFCPWIPQMRRKKLPWTKMEEETLKEGLLRFSHFHDRWKRILDFGGDVFMKGRTPGDLKDKWRNISKADEKET >Solyc10g085840.1.1 pep chromosome:SL3.0:10:65025643:65026125:1 gene:Solyc10g085840.1 transcript:Solyc10g085840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGNGETSNNNNDNARLAIMELANMISVPMSLNAIIKLKIAYAIWEGGSNAPLSPNQILTKIRLQGGGDAENLFIMPKASTGLRKNTGSSVELPVSLTVRVFISIIFIYDS >Solyc11g006780.2.1 pep chromosome:SL3.0:11:1362311:1367656:1 gene:Solyc11g006780.2 transcript:Solyc11g006780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPKGLIYSFVARGTVVLAEHTPYSGNFSTIAVQCLQKLPSNSSKYTYSCDGHTFNFLLDSGFVFLVVADEPTGRSVPFVFLERVKDDFKKRYGSSIKNDGDPHPLADGDEEDDDLFGDRFSIAYNLDREFGPKLKEHMEYCMNHPDEMSKLSKLKAQITEVKGIMMDNIEKVLDRGEKIELLVDKTENLQFQADSFQRQGRQLRRKMWFQNLQMKLMVGGAIVIFIIIVWLFACGGFSC >Solyc06g083600.2.1 pep chromosome:SL3.0:6:49001056:49006531:1 gene:Solyc06g083600.2 transcript:Solyc06g083600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERELHGVDNENENTNLVDIQMLQQQQQHDCTDFDPMDETDIWLNENFSTDFTSLQDFPCMSSSSSTSFSPPTESDPSSGVVLKSDADEQDFDKKIDTIGDQECLNVMDNVGYIDVNEFLDPMISFLHNENPQEQQQQQQQQQLLPQEDDDQGFSFFQGDSELALMFFEWLKQNRDYICAEDMRSIKLKRSTIESASKRLGSTKEGKKQLLRLILDWVEQHRLQKKQMREAEAINQQALQNSVPYNFDPNACFYPPQWIPNAPFPDSSTPIMTGPIQGYTSDPYSNGGLFPPPYSQTMSGGATSPASAEYQPMDTSQSWSPSQFTMATTSQYNPFPENDSTNNVAMPDQSLFGAQYDPYQLFDGNGEIVPRLGSCATKEARKNRMARQRRLRPHHYRHQARNPRQITNEQSVMMAGEINNCVMTQANNPGNWVCWPSPPMAMVSQSLPPERPAMQSQNQQKQVSTSADKKQAFKSEKNLKFLLQKVLKQSDVNNLGRIVLPKKEAERHLPHLETRDGISIAMEDIGTSRVWNMKYRFWPNNKSRMYLLENTGDFVKANGLQEGDFIVIYADMKCGKYLIRGVKVRQNGPKSEGKKQMKKNPRKLSSAAAISSSPVAQAVR >Solyc06g073037.1.1 pep chromosome:SL3.0:6:45142712:45145351:-1 gene:Solyc06g073037.1 transcript:Solyc06g073037.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNYKGGKLLKHQRNRVSFSAKLPEDVRGAFADSTCVVKYSMDPLTDIKESIKEMVKNVGIKDWKEMEELVYCYIVLNSEETM >Solyc10g080380.1.1.1 pep chromosome:SL3.0:10:61785619:61785834:-1 gene:Solyc10g080380.1 transcript:Solyc10g080380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNGKFLLAIAMIIVILFFSSTAVAQDTAVAPTPPMETGMDTGNNGFGLPVSKAMVCFSVIFSMLVVLFH >Solyc12g096690.2.1 pep chromosome:SL3.0:12:66492356:66495101:-1 gene:Solyc12g096690.2 transcript:Solyc12g096690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytosolic Fe-S cluster assembly factor NBP35 [Source:UniProtKB/TrEMBL;Acc:K4DHE2] MENGEIPENAPEHCPGPQSETAGKSDSCKGCPNQEICATAPKGPDPDLVAIVERMATVKHKILVLSGKGGVGKSTFSAQLAFALAAMDFQVGLLDIDICGPSIPKMLGLEGQEIHQSNIGWIPIYVESNLGVMSIGFMLPNPDEAVIWRGPRKNALIKQFLRDVDWGELDFLVVDAPPGTSDEHISIVQLLQETGIDGAVIVTTPQQVSLIDVRKEVSFCKKVGVEVLGVVENMSGLSQPLSDLKFTRMTETGEQKDMTEWAMSYMRENAPEMLNLIACSEVFDTSGGGAAKMCNDMGISFLGKVPLDPQLCKAAEEGRSCFSDDKCQASAPALKMIIEKILAQKNISTENGA >Solyc03g123390.3.1 pep chromosome:SL3.0:3:71763190:71767139:1 gene:Solyc03g123390.3 transcript:Solyc03g123390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRLRFHNFLSFHLSIIAKIFRWLFSSLPTIKRFPLFVPFIDAYISLLFRFANLSPCTLDLDDQTTIHFWAPNHRRYDKPNLVLVHGYGGDAKWQFMYQVKSLAESFNLYIPDLLFFGKSYTTRKERTEEFQAKCVVQGLKELGVRNCSMFAISYGGFVGYRMAEMNPQMVEKVVILSSGVGCSKDQKEEQLKKIGRDPVELLIPEKPEDLHVLVNLSIYKYNHFKWAPDYFLQEFIDMISRTYLKEKQELVHHLLSNHTDCRLPILNQETLLIWGDKDRVFPLMFGYQLQRHLGPRAKLEIIKNTGHAANIESPDSVNALIKAFILPQSKDL >Solyc02g090130.3.1 pep chromosome:SL3.0:2:52383634:52415826:-1 gene:Solyc02g090130.3 transcript:Solyc02g090130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINLVNFKSFSLKVTQVAQVPKNWEINIMQMVGSTPLQSNDFWIGNCSIDGCDMTLSLREIQIILFAGEALSAVFSVEGTKSIEQQTHQKNSGESTRSQDEMVPDGTIVSIKDIDQHMYVAVDRVESGYNLVGAIHYSLFGERALFRVKYHQTRRWNSQVQYLSFISLYAKDELGEPLRLNCHRQSDFVDISSSSDSAWALWRALPYKHDIYDADVDLKTYLPQTKNVFYLVNKKNDCAAAFVNGFLEVVRKPGHPFKFKVFRDPSPYVNSVFLDGCLEREPGTILLHDTCISEGKDLSQRGSSFGITVAVVKVSLTIDYELSDSKEKVPLLQGSISFTDSYIQVSNTKVRAMSRLAVLLSYFDSQKDMWRDLMHPLEIDVFYRYTFLNQGPENSILWVPGHFYARIKELSMTITELSLDIILFIIGKLNLAGPYAVKDSTILANCCKVENQSGLTLVCQFYDNQDVSVAGRQATTIFLRHMALANRPPEASFFSIQLIERGLLSTSLLHLSLLETQSFAWRPRIVSLQESKTYPGPFLVAEVSPGTEDYLSIGVSPLLRIHNNTKFPMELRFQRPQHKEIDYASVRLEAGDTIDDSMTAFSAINLSGGRKKTLNSLSVGNFLLSFRPEVTDVLTNFENPSACWSDDLRGGKPVRLSGIFDKLTYQVRKAFSFQPIKYSLSTAHCAIVSEDRRVANIHFLVESIGKDVPIIYPDNFGYVRADKNSPVSLQEQKEIFLLPTVRFTNFLDMEIHVKLNDTGPPSTNSVDCVCNEATIHSGSAVNLYANPAAIYFTITLTSFGTSCKPINSSDSARRLQKRKTKVQFLDIELDFDNGKYFALLRLSRGLRGILEAAVFTSYTLENNTEFSLFCFPANHKLVSRHAGENIASLVSPELGSYLPPRSIKSWLSKCHKVHITLLDERASKAPLNLDVLSGLTGLNLEVEGEYGSKTVTKLGVSLKPSASKAVPLQVVSMHPRYVILNESDEIITVRQCFVEENGTDTVVTLNSKQRTALTLRSRNEITTMKRNPFLQNFLKKHAKPHNDSSFFVQFQPNKANFSWSGPVCIASLGRFFLKFKKSSDSVQQSDLATQHNSDICEFATVHVVEDGPTIVLRFCWPANIDLPYRIENHLENTSITYYQKGLPEPEVLASGSIAGYVWDDLRLDHKLIVQIDALHLQREINLDKVREWKPFYRIKQQRGLGLHLPLEKKPEDPKKNWFRQLTGLEINKLGFEVYAEGLTRVLRICEFSDRRRGDTSFHSCTKMQLRISCFAIQLLERAKQDVVDKDKSNALIYNPIILARLNRIDFDAVFAEKHKLNHLRVQSLSVEPKWIGAPFASMLRRHHVENIDTNDRVLRVGLVLAASSSSVKHVQHLSIVLQPLDFNLDEETLMRIVPFWRTSLRDTNTPSQKYYIDHFEIHPVKVVASFLPGESYANHSSTQETLRSLLHSVIKIPPVKNMTVELNGILVTHALVTLRELSIKCAQHYSWYAMRAVYIAKGSPLLPPAFASIFDDLASSSLDVFFDPSTGHLNLPGLTIGTFKLIRKCIDGKEFSGTKRYFGDLGKTFKSAGSNILFAAVTEISDSVLKGAEASGLNGMVNGFHQGILKLAMEPTLLGSAFMEGGPDRKIGLDRSPGVDELYIEGYLQAMLDTLYKQEYLRVRVIDNQPEEPTTKQFTYRRDCGTCEGISC >Solyc01g102640.2.1 pep chromosome:SL3.0:1:91271837:91273086:1 gene:Solyc01g102640.2 transcript:Solyc01g102640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDESKKPPVGQGLNKTAEITLLNVRCMNNSNEKEYIDGPMVNKYRDHKPLMKQ >Solyc01g095050.3.1 pep chromosome:SL3.0:1:86293695:86296781:-1 gene:Solyc01g095050.3 transcript:Solyc01g095050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMEDGAEKSNPSTQQEEEVVKKKYGGMIPKKPPLISKDHERAYFDSADWALGKQGADKKPKGPLEALRPKLQPTQQQTRYRKSPCAPSEGEDGIAPSEDPTANE >Solyc05g056100.3.1 pep chromosome:SL3.0:5:66319938:66326728:1 gene:Solyc05g056100.3 transcript:Solyc05g056100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKNQLQELAQRSCFNLPSYTCIREGPDHAPRFKATVNFNGEVFESPNYCSTLRQAEHSAAEVALNSLSNRGPSNSLAARILDETGVYKNLLQEVSQRVGASLPAYTTFRSGLGHLPVFTCTVELAGVTFTGESAKNKKQAEKNAAMAAWSSLKLLAQQSESAKPDRRRNDEQEHVTVARALQRYILKARAARVSFPIKFPTPNPRPSSVQQLSSTTSKLLPLICPRTAPRSRPVSPLCLKPASQSRPIDNTGSDASLSPRTAQTINNILKDSFAMDSHRVRPEKFPAAGAAPYIPVRHFGPHHRMAPPVTIRNAIPVFSAPPLPQSSQPPRVMRPPGLGVAPPVCIRQAVPVYAAPPVRAEELSTLDRVMRHSGLGMAPVYAVPSVPKAPLLVDEPLASKAPEMQVLDLPTCKAVLGKAESPHDHSEEKSCSEVQPTKREEPLDFEVSRSATMPVEGTKVAAEEKPLDFEVSRSPTMPVEGTMVASEEKPLDFEVSRSATMPVEGTKVAAEEKPLDFEVSRSATMPVEGTKVAAEEKPHDSLEIESLKQLKI >Solyc09g008980.2.1 pep chromosome:SL3.0:9:2349020:2351933:1 gene:Solyc09g008980.2 transcript:Solyc09g008980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPIDDKKETVTFRAVSHDEEGKTKITKLETNTHNIETLKHMEKKLVDKGVHRKDRRSIDGIPLNKQSKSGHGGKFTWEGPRDAMEYELDDDLPVAIDENDPNYVDQEEERRLLRGEVSGVEGLVVGEIDVAKVVDEGVARVHVVDRVLQENIHSRYYLLLIFRYLNCLSDSQTEEAVTTIAEVQHPEHPQAGGPAQKAVAEPVET >Solyc01g104860.3.1 pep chromosome:SL3.0:1:93079464:93081704:1 gene:Solyc01g104860.3 transcript:Solyc01g104860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLFIFFSFLYIFPFQEFIFIVLILKMALIFFILILSHLVGMSHAQLKVGFYGKTCPEAENIVTNVVRQVAASTQNIAPVLLRLHFHDCFVQGCDGSILLENGEIGERHAFGHQGVQGFDVIERAKQEIEKVCPGIVSCADIVALAARDAVVVANGPSYEVETGRRDGLISNLTLAANMPDVSESIQILKAKFSQKGLSEKDLVVLSAAHTIGTTACFFMTQRLYDFVPGGGSDPSIDPSFLPELMAACPRNGDVNARLSMDRGSSEEFDNNILQNVRSGFAVLRSDASLYEDVDTRNIVDSYFGIFSPFLGTSFEDDFANAMVKMGRIDVLTGKQGKIRSVCSTF >Solyc09g075140.3.1 pep chromosome:SL3.0:9:67362987:67367595:-1 gene:Solyc09g075140.3 transcript:Solyc09g075140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEYHEVFIRNSRGVQLFTCRWLPFSSPKALVFLCHGYGMECSRFMRGVGTKLADNGYAVFGIDYEGHGRSAGARCYIKKFDNIVNDCSEFFKSVCAQEEYREKKRFLYGESMGGAVALLTHKKDPSFWHGALLVAPMCKISEKVKPHPVVISLLTKVEDVIPRWKIVPTKDVIDSAFKDPAKREEVRENKLIYQAKPRLKTALEMLRTSMHLEESLHEVTVPFLVLHGEADIVTDPEISKALYEQASSKDKTIKLYPGMWHGLTYGEPEENIEIVFSDIISWLDKRNGENTGDASLIERSVCRATSTPPYEMHTVSSPATMKETKPHRTRPQANYLCGLKGRRMHHHSSM >Solyc09g082600.2.1 pep chromosome:SL3.0:9:68756376:68758202:1 gene:Solyc09g082600.2 transcript:Solyc09g082600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVITYSSSPTTSSTIFDIGNYSTKLLNIEPQSCINSSFPSPPKPLLISTPSQGGNFPVILFLHGYLLFNYFYSQLIQHISSHGFIVVAPQLYLVEGTDTTTDIKSTAEITNWLPDGLHRYLPSHVGPNLKKLGLAGHSRGGKAAFALTLGKVTNVTTDLKFSALILLMVWTTPPVLTYIPQSFNLDMAVMVIGSSLGEVKRNPLFPACAPKGVNHRDFYNECVRPACYVVAKDYGHVDMLDDETKGVRGKATYGLCKNGKSREPMRRFVGGIVIAFLDDYLKGNSSDLMPIKDGYVTLPVELRDVDFRM >Solyc07g055580.2.1.1 pep chromosome:SL3.0:7:63692321:63693037:-1 gene:Solyc07g055580.2 transcript:Solyc07g055580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNGSLDRWIYHKDEENGLRWRIRQRIITDIAKGLAYLHDECSQKIIHLDIKPQNILLGKNFNAKISDFGLSKLIDKDESKVVTRMRGTPGYLAPEWLRSVITEKVDVYAFGIVLLEILCGRKNFDRSQADENVHLLSVFETKAEQLQLMDIVDKNNEDMQIHKEAVTEMMSIAAWCLQGDFTKRPSMSLVVKALEGLVSVETNLDYDFTSLPEVEDDNRQREDTISLILPSILSGPR >Solyc02g068870.2.1 pep chromosome:SL3.0:2:39327407:39327657:-1 gene:Solyc02g068870.2 transcript:Solyc02g068870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FSYKTLFSSLFFTNHKHRQRLFSWLNFSLLHHNAIRMDNNGEDEGGEE >Solyc04g054330.3.1 pep chromosome:SL3.0:4:52266587:52275770:1 gene:Solyc04g054330.3 transcript:Solyc04g054330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVDQSESSPLVPPSVITDTSEIDLEAGSSEQIQCRICLETDGRDFIAPCKCKGTSKFVHRECLDHWRAVKEGFAFSHCTTCKAPYYLRVHVPTDRKWRTLKFRFFVTRDILFIFLAVQLVIALLGYLVFLIDTHHKSWLRFTWGFDSELSFYYLCGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCHPGMCADCHLPGTLCMWTDCTTCFESCAGAASECGGCLGGAGEAGLPLVLIMALIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEVNGNDWSPPALPPEHVQQLKSLGLM >Solyc10g076400.2.1 pep chromosome:SL3.0:10:59463822:59466644:-1 gene:Solyc10g076400.2 transcript:Solyc10g076400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKLVHKSWIIQYNLHSVLGFLLLFFLFVSFYLIGDNSDGVNFLAINKSTRKNSSPKCDLFSGRWIFDNVSYPLYKEKQCSFMPDDFACHKFGRKDSKYHYWRWQPYHCDLPRFNAKGLLEKLKGKRVLFVGDSLNKNQWASMVCLIESSGIPSLNPPIWKGNLITFEVKEYNATIDFYWSPLLVESNCDDPVEHRVRDRIIRVEAIEKHARHWIDADILIFDSFTWWLESHMTLQWGSFNSSDAIHKKVEMKLRRYEMALRTWSDWLEFQIERKRTKLFFMSLSSSHKNGTDWGRGNDQNCYGETEPISRREYWGNESDINMMQIAEASVNELKRKGLDIQYLNITQLSEYRKDGHPSVYKRNWVAPTKEQLLNPRKNSDCVHWCLPGVPDVWNQILHAYIMDSSRELNE >Solyc09g083200.3.1 pep chromosome:SL3.0:9:69300110:69302394:1 gene:Solyc09g083200.3 transcript:Solyc09g083200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITKPIFGFSLLIFISFIFNQNICYAQNPPSITGYTCNPNQFNPCQTYVLYRARGPQFLDLASIGDLFSVSRLMIANPSNISNPNTTLVNDQPLFVPIKCSCNNINSTFGSISYAGLNYSFKAGDTMYDMSVTKYQNLTTYQSVEAVNPTVEATKIAIGQTIKFPIFCKCPTTRQNQPRLLITYVFQPNDNISSIASRFRITPQSITQMNGNNIKILDTIFIPLPNLPNLTRPASSNTPPPPPPPTAPAPVIQENDRKGTVIWLAIGLGVCGLLLILILGLFYKEKTVKREKYSDIERQKSLYVGSKKGSIVDKDVEVNLMADLSDCLDKYKMYKMEQLWEATNGFDEECLIQGSVYKGTIDGEVLAIKKMKWNAREELKILQKVNHGNLVKLEGFCIDPKEANCYLVYEYVENGSLHSWLHGEKPEKLSWKTRLRIATDVANGLLYIHEHTRPRVVHKDIKSSNILLDSNMRAKVANFGLAKSGCNAITMHIVGTQGYIAPEYLTDGIVSTKMDVFSFGVVLLELVSGKEAINDEGKVLWAKVSDFSEGSEERKVSKLQEWMDESLLREELTIESVVNVMSVAISCLNKDPSRRPGMIEIVYALSKSIDLFSDVSEEGLSPRQVTAR >Solyc07g017908.1.1.1 pep chromosome:SL3.0:7:8494650:8495024:1 gene:Solyc07g017908.1 transcript:Solyc07g017908.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGDEPKTTCVTRYEVYEWLVMPFGSINAPDSFCTLMKKIFNPYLDKFVVVYFDDIVIYSSTLEKHVEHLRKVFQVLRENHIYVKRKKCKFSQHEVHLFGHVIRQGKYSCMRHRFGQSRSRRCPQ >Solyc03g019820.3.1 pep chromosome:SL3.0:3:62819241:62820590:1 gene:Solyc03g019820.3 transcript:Solyc03g019820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tonoplast intrinsic protein 32 [Source:UniProtKB/TrEMBL;Acc:K4BEV4] MAMPARRYAFGRADEATHPDSMRATLSELLSTFIFVFAGEGSVLAIDKLYPDTGLGSSRLIVIALAHAFSFFAAVASSLNVSGGHINPAVTFGSLVGGRISVVRAIYYWVAQLFGSVLASLLLRLATDGLRPRGFSVAAGVGNLNALVMEIVMTFGLMYTVYATAVDPRRGSLSTIAPLAIAFILGANTLVGGPFEGASMNPARAFGPALVGWRWRNHWIYWLGPFIGAALAGLIYEYGIIQHETVPRPTTHQPLAPEDY >Solyc01g109830.3.1 pep chromosome:SL3.0:1:96589386:96608291:-1 gene:Solyc01g109830.3 transcript:Solyc01g109830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATHLVSHSPSLHKSLKYPSNASQKLSFFKPNFPLKPISRNLSIRASVSTSEPQTQKFQHCFKKCEDGFLYCEGVKVEDVMETVERRPFYLYSKPQITRNVEAYKAALEGLDSIIGYAIKANNNLKILEHLRSLGCGAVLVSGNELKLALGAGFDPTKCIFNGNGKLLEDLVLAAQAGVFVNIDSEFDLDNIVAAARLAGKKVNVLLRINPDVDPQVHPYVATGNKSSKFGIRNEKLQWFLDAVKAHPQELKLVGAHCHLGSTITKVDIFRDAAALMVNYIDEIRSQGFEIDYLNIGGGLGIDYYHAGAILPSPRDLINTVRELVLSRNLNLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFIVIDGSMAELIRPSLYDAYQHIELVSPPPPEAAIAKFDVVGPVCESADFLGKDRELPAPSRGTGLVVHDAGAYCMSMASTYNLKMRPPEYWHIELVSPPPPEAAIAKFDVVGPGIGLVVHDAGAYCMSMASTYNLKMRPPENWVEEDESLSKIRHGETFEDHLRLFEVISPLVFRSVLLRFGKNRSVDLRCRSVLFSSFQVSYSVMASETKKGVSNGNGNAHFTPQRTYQVVVAATQSMGIGKEGKLPWRLPTDLKFFKGITGTTTDPTKRNAVVMGRKTWESIPIEHRPLPGRLNVVLTRSGSFDIATAENVVICGSLGSALQLLASSPYCLSIENVFVIGGGEIFRDSLNAHGCDAVHITEIETDIACDTFTPAIDTSVFRPWYSSFPVIENKIRYSFTTYVRVKNSGVETVNQSNSEIPENGSDSSDIEVKSFSFLPKMIFEKHEEYMYLRLVEDIISNGMLKDDRTGTGTLSKFGCQRVFWRGVIEELLWFISGSTSAKVLQEKGIHIWDGNASRDYLDSIDLKDREEGDLGPVYGFQWRHFGARYIDMHTDYSGQGFDQLADVINKVKNNPDDRRIILSAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCMIAHVSGLVPGDFIHVLGDAHVYRNHVRPLQDQLQKLPRPFPVLKINPLKKDIDSFVAADFELVGYDPHQRIEMKMAI >Solyc12g088670.2.1 pep chromosome:SL3.0:12:64929580:64934433:-1 gene:Solyc12g088670.2 transcript:Solyc12g088670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CYP1 [Source:UniProtKB/TrEMBL;Acc:O49877] MAAHSSTLTISILLMLIFSTLSSASDMSIISYDETHIHRRTDDEVSALYESWLIEHGKSYNALGEKDKRFQIFKDNLRYIDEQNSVPNQSYKLGLTKFADLTNEEYRSIYLGTKSSGDRKKLSKNKSDRYLPKVGDSLPESIDWREKGVLVGVKDQGSCGSCWAFSAVAAMESINAIVTGNLISLSEQELVDCDRSYNEGCDGGLMDYAFEFVIKNGGIDTEEDYPYKERNGVCDQYRKNAKVVKIDSYEDVPVNNEKALQKAVAHQPVSIALEAGGRDFQHYKSGIFTGKCGTAVDHGVVIAGYGTENGMDYWIVRNSWGANWGENGYLRVQRNVASSSGLCGLAIEPSYPVKTGPNPPKPAPSPPSPVKPPTECDEYSQCAVGTTCCCILQFRRSCFSWGCCPLEGATCCEDHYSCCPHDYPICNVRQGTCSMSKGNPLGVKAMKRILAQPIGAFGNGGKKSSS >Solyc01g094990.2.1 pep chromosome:SL3.0:1:86247548:86250404:1 gene:Solyc01g094990.2 transcript:Solyc01g094990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNRQQDHVVTFIWAEKNNVRSNFRRILTIFIFFFGLLYVLLYSLICFNPSFKFTQRPLDTFMRFNQENIIQKKLNTIPPEKTQLHHIVFGIGSSSATWNNRKEYVKLWWNPNKMRGFVWLDKNMNYDNVTTTSLPKIMISSDTSKFSYTNKNGDRSGIRISRVLSETVRADSGNVRWYVMGDDDTFFVPENLVRVLRKYDHKQYYYIGIVSETHWQNHEFSYNMAYGGGGFAISYPLAKAIEKMQDKCIQRYPYLYGSDDRMQACMAELGVPLTKEVGFHQFDLYGNVMGLLSAHPVAPLISLHHLDKIEPIFPHVTRVKALKRLKKPITLDSAGLMQQSICYDRPRKWTISVSWGYLVQINRGILPAREIEKPIATFNDWYQTKDENSLTFNTRPYHGKGCQRPYFYLLSNAYASTNDTTTSVYEHDGAPGGKCKWRMADPSKIRHVEVYKKPDPNLWDKSPRRNCCRVLPTNKNDTLLIDVGECRNGEPI >Solyc09g009580.3.1 pep chromosome:SL3.0:9:2998138:3003564:1 gene:Solyc09g009580.3 transcript:Solyc09g009580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSKNNFPLYTRESPTKLFSKNNFSSSFDKYKIVPSFKYEKRSMKNITIFSSPNNQHFSLKVVTNAPSIIMDDEKEIISQNANNGIGIVEFFEGKNILVTGGTGFLAKALIEKMLRTTPKINKIYILIRAKDKEAAFNRLKKIHGEYYKPFILNKLIPIVGNIYEPNLGMDIITSQQIAQQIDLIIDSAANTTFDERYDLALDANVNGPYQLMMFAKKCKNLKLLMHYSTAYANGEREGLILEKPFSMGESITKEKINSISPFTNFPSLHVDNEIDLISKLKNNITNNIGLEQIMKNLGVESAKLYGWQDTYTFTKAIGEMIINNMRDEIPILILRPSVITSSYKEPFPGWIQGYRVIDPSIFLYGKGELPAIYCDQDTDIPVDVVVNATMAAIAKHGYLQSPQLNVYHLATTLVNPVAIHQLFDYCYEYFTLFPFVNSKGDKIEVKEMKYFDKISDFSNYIWEVLSKQHKVQDLSEKELLKIHMRFKRKVEFLNNFSKLYEPYGFYRGRFHTGNMRILMEEMSEEERKNFEIDASNINWKDYLTRIHISGVKKHVFEGKKLPI >Solyc02g087060.3.1 pep chromosome:SL3.0:2:50222991:50231064:1 gene:Solyc02g087060.3 transcript:Solyc02g087060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMQCWSTDFLPFLAMVMVECCEMLMITLGKAAMNDGLNNLVYVVYYNALGTLFLLPCLIFHRHRSNMVPITLPILWRFFLLGLLGYSTNFLLCFIVIKFGENLTCELKCRICLVQAVAFTGIKYSTPTLAAALGNLMPGFTFLLAIIFRMEKLDITKASSQAKSVGTVVAIMGASIMTLYKGPRVLGSNLPSDSSHHELVLSQESNWILGGLLITTTCIMSSGWNILQTDTVKKYPEHMTIVFFTCFFGSIQCAILTLALESNPKTWMVKPGIGMIAIVFSAVSGSVFRYNVLTWCLDKKGPLYVAMFKPLGMVISAILGIIFLADPLHLGSVIGAVIITAGFYSVLGKMGSNNRETTFYKEVLPFAAMVTMECINVGLNTLYKAATNKGMNQEFFHLSIARFLPKSFFLELSGIIYASPTLASAISNLVPAFTFVLAVIFRMEKIQLKRSTTRAKVLGTVVSIAGAFVVTLYKGPKILVPTTATPNLLRQPLSSSQSNWMLGGLFLTTEYFLVPMWYIVQTWIMKVYPAEVTVVFFYNLTVSILAAIVGFLSEPDSNKWIIKPDIALASILCSGILGSSLNNTIHTWALRVKGPVYVAMFKPLSIAIAVAMGVILLGDTLYLGSIVGATVIAIGFYTVMWGKAKEMSEYNDSSDLESSPDQKFPLLHNYKNEGISNK >Solyc06g065450.3.1 pep chromosome:SL3.0:6:40965772:40969392:-1 gene:Solyc06g065450.3 transcript:Solyc06g065450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKQESLGKPNSQSEEEKKIPVFTVLKNGAILKNIFLLDNPPVTPVAITANQEFEEILVVGRHPDCNIVLEHPSISRFHLRIHSNPSSHSLSVIDLSSVHGSWISGSKIEPGVRVELKEGDKMKLGGSSREYMLHWIPISRAYDLENPFVAPLGEAEPFEEMEEKEHQDENGFALQNEGDDLVNKQDSSCSYSSLLPYVKCLTPSAPPMPEEMKSSFPLGHEEVNKNPSEEIHGESEISLLQPAYEPDKENDSPRALLVSGGFRTENEDSTPVRSQQRCLNIWSRRGKYSTVQIQTGRDRAVIEKVDIDTEVHTGDHEKVAMESVFHDPFSVGNKDEEDAFTPDKENHVPSSLLLGSEKNSCLADGQATKPILSCCMDENGEENITLEKVDFSANTHSPRSMKKMSCSEQQIKNPKSFRSSPMKEAFDSILTQAEGLEYYTENVGSSTLLSNIIKNSEQIFTPDKENMTPDSYSMRSIKKGNMEEVKQRKPFDKENLNDKVLEEQISESLACRNKSRMEVPVLKNRTDRVPFQPLLVNNSPNKTKSESPERKVKLNAKPVKCQEIMEACPFANNNAREEKRRWIMVVDITSLLNKESRKALQLLQGLKGTCLIIPRIVLRELDCMKRRASFFRRATEVFAALDWIDDCMVNTKSWVHVQSCLEETRPVAPTPPATAPPRFFNEENDIFPVGSVLSSPHCGLAEIVSPTAEDHILEYALLFKITNRDGQLVLLSNDLTMKIKAMAEGIICETAEDFQESLVNPFSERFLWKDSSPRGSTWSCADDFVLGPLRKTSKSGQAAKGLKLILLHNSHYRQICSGSTVS >Solyc12g087890.2.1.1 pep chromosome:SL3.0:12:64373089:64374820:-1 gene:Solyc12g087890.2 transcript:Solyc12g087890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERDFVSWFRRQYPDLQSAHSNFSSRGLNIGQQNSVPIYMPPYANEVPVKGSSPLSFSGLLEPKASQPTEAHNWFYCLPQLQQGFAPVLSTVPNEKFAPQSVDNYGVNEEANAGPGFAQKRFLVFDQSGDQTTLLYSSPNGTPVQCLPSWHPKSAAPCHLIKEGQQILGNGICPSGKYSGGEYYEENHRDDVESELHEDTEELNALLYSDDDDSYSEDGEEMSTGHSPSTMTAHDLPSWHDEMGEEVNSSEWPSKRRKQLDGGCDIPPSLVDTATSAKPFTCSDLEDDAESSCGNSHNNQVSELVSLSGKKRPRKDQILETISILQKIIPGGKGKDSMDVIDEAICYLRSLKVKAKSLGLDTL >Solyc11g018775.1.1 pep chromosome:SL3.0:11:9474796:9476258:1 gene:Solyc11g018775.1 transcript:Solyc11g018775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFIAIFSLLLLSTMQCHAQLSSTFYDRTCPNALTTIRTSIRQAISRERRMAASLIRLHFHDCFVQGCDASLLLDETPTIVSEKTALPNLGSVRGFGVIEDAKREVEKICPGVVSCADILAVAARDASSLVGGPSWTVKLGRRDSTTASHTVAETDLPGPFDPLSRLISGFANKGLSTRDMVALSGSHSIGQAQCFLFRDRIYSNGTDIDAGFASTRRRQCPQEDQNGNLAPLDLVTPNQLDNNYFKNLRQRKGLLQSDQVLLSGGSTDDIVLEYSNSPRAFASDFAAAMIKMGDISPLTGQNGIIRTVCGAIN >Solyc03g114650.2.1 pep chromosome:SL3.0:3:66057945:66058493:1 gene:Solyc03g114650.2 transcript:Solyc03g114650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFPNFVKKYVFWFRERSSTTGVSPNSPTTTVGLSLETVNTVTQPAELQLDVEESSPVVAAAAETNNIDKSMLFMAFCLTSGVGNGSRDHDSLPLCFQLLSFAILLAFTLLFVGRFIKSNYPAESELLERAGILFATTAFYHFMTMPFSLFLKGCSWGVYLFSLLAIAICNHY >Solyc09g075100.3.1 pep chromosome:SL3.0:9:67330022:67333690:1 gene:Solyc09g075100.3 transcript:Solyc09g075100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGRAVEALRAYRSVLKATHKAFAGDTFMLQQSAAEVRKKFEENRHVSSEADIQRLLEDATEASSFISTMIIQAKATPSGAFVVKPEKEHAGATLEIPSEEILKKSA >Solyc12g099290.2.1 pep chromosome:SL3.0:12:67467331:67474851:-1 gene:Solyc12g099290.2 transcript:Solyc12g099290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWWPLIVIAIAFAICKLLLMLIPDNVPSIDVDTSDVLDDGNQTKDNSFIYIPSRRHTDKVQCYEPATMKYLGYFPALKPDEVKERVVQARKAQKIWAKSSFKQRRLFLRILLKYIIEHQDLICNISSRDTGKTMVDASLGEIMTTCEKIHWLLSEGEKWLKPEYRSCGRSMLHKVAKVEFSPLGVVGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKVSEHASWSGCFYLRIIQTALAAVGAPENLVEVITGFAETGEALVSSVDKIIFVGSPGVGKKIMRSASDTLIPVTLELGGKDAFIVCEDVDVPHVAQIAARGALQSSGQNCAGAERFYVHKDVYSSFVAEVVKIVKSVTAGPPLSGKYDMGAICMQEHSERLQYLVNDALDKGAEIVARGSVGNIGEGAVDQYFPPTVIVNVNHTMKLMQEEAFGPILPIMKFSSDEEVVQLANDSSYGLGCAVFSGSQRRARQIASQLHCGVAAVNDFASNYMCQSLPFGGVKDSGFGRFAGIEGLRACCLVKSVVEDRWWPFIKTKIPKPIQYPIAENGFEFQESLVHTLYGLNIWDRLRALVNVLKILSEQPPAPTSNRRRND >Solyc06g066640.3.1 pep chromosome:SL3.0:6:41994718:41996169:1 gene:Solyc06g066640.3 transcript:Solyc06g066640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMATLTAVKPTSSVKGLAGSSIAGTKLNVKSSRLNVKQSKSRAGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTASGDILPIKKGPQLPPKLGPRGKI >Solyc12g010360.2.1 pep chromosome:SL3.0:12:3413393:3421486:1 gene:Solyc12g010360.2 transcript:Solyc12g010360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasma membrane ATPase [Source:UniProtKB/TrEMBL;Acc:K4DCC3] MAANLSLEELKNEKVDLESIPVEEVFQILKCSKEGLTKEEGQKRIEIFGPNKLEEKKENKVLKFLGFMWNPLSWVMEAAAIMSIVLANGGGKPPDWPDFVGIMVLLVINSTISFIEENNAGNAAAALMANLAPKTKVLRDGKWSEEDASLLVPGDLISVKLGDIIPADARLLEGDPLKIDQAALTGESLPVTKQPGDEVFSGSTVKQGELDAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSILVGIVIEIVVMWPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDQTLIEVFTKNADADTVMLLAARASRVENQDAIDTCIVNMLGDAKLAREGIQEVHFFPFNPVDKRTAITYIDNNGDWHRASKGAPEQIIELCGLSGPVLKKAHEIIDNFANRGLRSLGVARQTVPEKDKESAGSPWEFVGLLPLFDPPRHDSAETIRKALELGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGDHKDESIAQIPVEELIEQADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADSTDAARGASDIVLTQPGLGVIISAVLTSRAIFQRMKNYTIYAVSITIRVVMGFMLIALIWEFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLNEIFATGIVLGTYQALMTVLFFYLAASTDFFTEKFGVRSIRENEHGLTAAVYLQVSIISQALIFVTRSRSWSFVERPGVFLVVAFFLAQFVATLITVYANWDFARIHGIGWGWAAIIWIYTIITYLPLDVLKFISRYALSGDAWDSMIQNKTAFTTKKDYGKGEREAQWAVAQRTLHGLQTAESDGLFHDKNYRELNEIAEQAKRRAEVAKYTHE >Solyc03g007770.3.1 pep chromosome:SL3.0:3:2317035:2321125:1 gene:Solyc03g007770.3 transcript:Solyc03g007770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDQQIHPANEATPEGLPSLIRFISSEMDHDFDAIVNDHINNQSALAPDSNSSNSAIMISEAAAAERESEKIHAVSISMPPTPKKVGFAESIEAPDSAAAATSKDSKTKFYSQPMPRANTTNASLAGVHASCELPRHPRISKLKDKRFDSFKTWSGGLERQLSNLRGNRNQETEQESVAQPCAEPEPNTPVNIPVDRFFDALEGPELDKLRASEESILPEDKTWPFLLRYPISSFGIILGVSSQAIMWKALATSASTKFLHISMDVNLVLWWISVVLMAIVTFTYALKIIFYFEAVRREYYHPIRVNFFFAPWIALLFLALGLPPSVYQNLPHALWYVLMTPFLCLELKIYGQWMSGGQRRLSKVANPSNHLSVVGNFVGSLLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETLPKELHPVFFLFVAAPSVASMAWANIQGSFDFGARIAYFIALFLYFSLAVRINFFRGFRFSLAWWAYTFPMTGAAIATIKYSIMVNTVVTKCLVVILCTLSTFTVTGLLVTTIIHAFVLRDLFPNDISIAISERKPKTHRRWYHHRRAGSTDIDQFLKYADSAEAKDIEAALSGSVELISASAPKEVSQD >Solyc11g042423.1.1 pep chromosome:SL3.0:11:37227877:37228378:-1 gene:Solyc11g042423.1 transcript:Solyc11g042423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNDSLKTLKTSSRLETNPAMGIWAEEFEEIRSTFVEKPFSGNNKPNGYCDETNDIAYDSSGFKRELYLRKDYSVMDAYYLTPSWKKLRSFIVVGTFIYFTSPTIIKDTIPSTALLANSNMRD >Solyc01g098730.2.1.1 pep chromosome:SL3.0:1:89045620:89046569:-1 gene:Solyc01g098730.2 transcript:Solyc01g098730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIHRSDFGSGSDHSILLLDLEPRLLPSPVPVLYPFLELVSLGKVPPKLLARFNSARFITSFRTPSGNLRVYRSKFSPGSTSE >Solyc12g006610.2.1 pep chromosome:SL3.0:12:1084977:1087261:1 gene:Solyc12g006610.2 transcript:Solyc12g006610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNYASRAECYKCKTPMDSDFAFFLCNLDNQSMLFRPIKKALAMLLADSSKVSMGSSKSSTPVVSICLSSLVCNPFWYMHLIYF >Solyc10g009380.3.1 pep chromosome:SL3.0:10:3435134:3437938:-1 gene:Solyc10g009380.3 transcript:Solyc10g009380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIEQSNSTTKLPLFLTPQSMKLKYSSHHQQYSSSSGVLTPPIHTCLASVPFKWELHPGKPRPYCTDIIISFNDQPKFLEPPPRFYYLDKMTKIPSSPKLNVLHERGQLGTLVLYKHDDSTNNNVSRRGSYWWQQWFVKRRITKENGVGRGNLVISADCGTDAGLERNGNLSGFSHPNIWASMYEGFKNLIPWKSKKSKKEIIKIQT >Solyc02g080780.3.1 pep chromosome:SL3.0:2:45464603:45468875:-1 gene:Solyc02g080780.3 transcript:Solyc02g080780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGLQNPQAISSCQSFLDTSVLHELIPCKSKNVNPSISNLSSATLVRSPAKGVSSCSFSWNWPNQLKTYKLSQQSASYRLLCRSQDATSPENEYRSSRNIAISLFRRYKNFLERGGGDNLKEFISAGVNAYALGCTDEGLRKELFSLKESGVEIEAMETYGGSTSLKSKILSVEVDECIMWLSIIFITILCTPQPTIVRWSSTSPVSDEMIVQWKGFCAIIANAYFVRGMAWLPVKTLQLEQMAVAGHAEEPSVVASRMRLVFTTLEVVSPQWPRG >Solyc02g080900.3.1 pep chromosome:SL3.0:2:45563166:45566043:1 gene:Solyc02g080900.3 transcript:Solyc02g080900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISNLSIFIIFLVLQFLCITEAQSSICRNSCGDIPIQYPFGIDDGCGSPFYRHILVCTGGQLQLRTPSGRYPVRNLSYMDPHILVTDPLMWNCLDGDNFRPTRPFSLDTSTHFTLSSENDYLFFNCSESDVLVEPKPMFCERFPDQCDSTCDSSSYLCRHMPECPTALRSSSCCSYYPKATESLRLMLKHCASYTSVYWRNLGSTPAFDQAPEYGIRVDFDIPVTTRCLQCQDTAKGGGTCGFDIETQDFLCLCDKGNSTTYCNDHTSSHRRGVVAGTATAISVAGAFGIGAGVWYLKKLRAKAPVTHGVQTNENRLF >Solyc07g026775.1.1 pep chromosome:SL3.0:7:31476084:31482026:-1 gene:Solyc07g026775.1 transcript:Solyc07g026775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLVEMMARSIFCSRFKRKERNHRVCISPLLPELYTSKVREGRKEDDGLYLLIKNMAQEKLKLRSFVLPEKELKEHPLSEGSSLELTRETKMSLQQRFKLKELGKLKYIPGIEFVRSNNGIFMHQKKYAVPLIYEVGLSSARTVVTPMDVNMKLTCRQYDDQTKENQVTAYRDADWAACPLTRKPITGYIVRLGELVVSLKAKKQTVVSRSSIDAEYGSLPSTLEEFVWIVVDLLTKVSTNLNMTIS >Solyc08g077040.3.1 pep chromosome:SL3.0:8:61102814:61106849:1 gene:Solyc08g077040.3 transcript:Solyc08g077040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFRKLSGFLGLSREEGHEVRGVEDNNNGNIAPSSVDVAAAAATAQAQNVPRRGFSVPIQVPVERAQLGPILVRCSSRDGGVQGLRWYAKRLRIDEDGDVADEFLEEVLEDTRSRTEEHHRQYPKFELKYTTKPAKITSLALSTAGKIQHRVEHQGKLEWI >Solyc10g052815.1.1 pep chromosome:SL3.0:10:53554062:53556881:-1 gene:Solyc10g052815.1 transcript:Solyc10g052815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQLTEYKKCKKGCRKWRNKWRNKKKTMRQEVIEDCSNVKFNRNKSSLSYFGVNWPIDVMKLMKAVKVAVKT >Solyc03g116295.1.1 pep chromosome:SL3.0:3:67262112:67267509:1 gene:Solyc03g116295.1 transcript:Solyc03g116295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRVGEDEIDTSQTYSTAYGSLRFRLIHAKRKMEGEERGVVGVCRSRSVSVGLGKKRREGGRGSGIRCWVGAGDTQCLVTGYSNSDYLGDVDTRRSMTGYVFTLGGSVVSWKAALQPTVTLSTTEAEYMALTEAAKEEIWLKGLNQTSYE >Solyc02g070790.3.1 pep chromosome:SL3.0:2:40964042:40968061:-1 gene:Solyc02g070790.3 transcript:Solyc02g070790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAIQSLSFRPSPLEPLRKNIPQFTNVRSLGAKRVPLTVTASASTVSAPKREKDPKKRVVITGTGLVSVFGNDADTYYDRLLAGESGISLIDRFDASKFPTRFGGQIRGFTSEGYIDGKNDRRLDDCLRYAIVAGKKALENADLGGDRLEKIDKERAGVLVGTGMGGLTVFSDGVQNLIEKGHRKITPFFVPYAITNMGSALLGIDLGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMLAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKERDGFVMGEGAGILVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCILSSLEDAGVSPEEVNYINAHATSTIVGDLAEINAVKKVFKTTTGIKMNATKSMIGHCLGAAGGLEAIATIKAITTGWVHPSINQFNPEPSVEFDTVPNKKQQHEVNVAISNSFGFGGHNSVVAFSAFKP >Solyc02g033020.1.1 pep chromosome:SL3.0:2:30104327:30104935:1 gene:Solyc02g033020.1 transcript:Solyc02g033020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILNHSSSMANGEHYSYNNSNIRRASLPMETKAEWRQQNNPFSIMKTYSLEYWRQRNEPFLCYQDMESKMERRKINDPFSVMRIAFIPPMKSQMEWRQMSDSFSANKTTSFPPVETEEEWMQKIDLILTMRTTLFPPMETEMDRKERRDLQKQMRMDARQKRWD >Solyc05g013490.3.1 pep chromosome:SL3.0:5:6705026:6722755:1 gene:Solyc05g013490.3 transcript:Solyc05g013490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSSCPPSNEDWAAKARAWAADKAANDHQHQNSQFTHDSRPEQQSHYYEQYTQSADQHLQDMQQPPVLPSSNHHSITPVAPPQKPSFGHSQEPSFFSPGQSPYVLPYTARDGNFTGDSVASFPQQGNSSISPLVHQQEVPSSYSSIAGKEEAGNRHEAFYGSSPLPANSSHHHHAQLMPAPNKAAVMEERHYVMGPDLSDQPLDFAPRFSHEHDRHSQPYYVRADSGGSVGGADPVDPSVVGASPAPEHTASLFGRAQSSSFHPTVPSVGAHFGVGAGAALHPAAAFPADAYGISDRPKKAAVPNWLREEIIKKKAVITTSTAELPKEDSQSIEDEGVNKSYRKGDQADSKSIDSSRVGASPFPILLELFVEVEAARTAAMNQEIKRVLTEVLLKVTDELFSEIATRVLSEEDLSVDVEQKTGASSQKVLTSVQAVTTPKASAKVLIPLKPKDTHSVNASEKSTSTSPVDLLGLANYASDDEDDNEIQSSDKQKTKEHGNGKSREESEDHDRSPANLEKNPQKMSPNVGTDDRSTRYSAHEDAGGSFKTESRVPEDKMPGGKDAVKTEKTSQTPDCKKTKIDHSRSEDKRDKPDKSGKREVRKGSGTNDNGMLTSSKDRKTEIDEGNHGNHEERLARKEKVGDLDGSKDIVKEKSRNRAGVAIDNGRKRERTKDEKREKSRRKDERGSSRRKRHRSSSNDSRGRESKDKSTRANDPSDESSDDSRRKAQPNRHRSPSPLRSRKRHVSRSPHSKHTQRRRSPYPASESIRYLVGWEGGQGRDQDQGLLIVGEDEQTNTWSQDRVLKTNALAYSYWLPLLARTNDMKDLWCLYLLSACLLLTFATAKLLGLWLIWVWGFILIGVSFYATQFMPLPSYFKGQIKKTMLFNGELVDGPSITIFTAPRPFVGTVGERQALAIRSWLGLSPDISVVLFSQDSSVFSFAELFSHRVSVEPNIDFTSKASSSDVSVVIDPNTVLLSDFIKTIRHAHRLDHDWLLFSSSKSVPHFPFHLDADGKHWIRDDGSRVKTQKDFLSQEWEWNLCEEKMLIAWNSGDLPLHKGVLPPFLYGKGLHNRWLINEALLSDFRFVFDASWAISNLYLNDLGQDFDRTSGNFLGLATGKRFWEVAGNSNLAMLYGSLYFHEQNFSNIFRLFQCGGYYLFINSAQMVVYPLSYKGSSSLGKEVMFKSTIEKNTLECIDTIRSTEGAKDCSVKNYLNVSMPISLSLSLEILLSLRADKNKTVVLAVVGYSYKDMLMSWVCRLNHLQISNFLVCALDDDIYEFCVMQGLPVFKYANLETKISFDNCHFGTECFQKVTKVKSRMVLEILKLGYNVLMSDVDIYWFKNPLPLLSSFGPAVLVAQSDEYKLTGPINLPRRLNSGFYYAHSDAMTIAALEKVVEHAANSNLSEQPSFYDMLCGEGGYNRIDDSRCLEPHTNLTVQFLDRDLFPNGAYKDLWQERNVKEACLMKGCFIIHNNWISGRRKKLERQVPSGLWEYDMSTRMCLQTWHKTKFVYF >Solyc01g098640.3.1 pep chromosome:SL3.0:1:88970274:88975814:1 gene:Solyc01g098640.3 transcript:Solyc01g098640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METILSPPFSPLFNPKTSLSKPFLSLPHLPRSTKSICCSLRKQYSQTEKQSFSVPKSWVSHVQQGLAAIAISLALNFCPVVSSDSALASEFDVLNEGPPKDSYVVDDAGVLSRVTKSDLKALLSDVEKRKGFHINFITVRKLTSKADAFEYADQVLEKWYPSVEQGNDKGIVVLVTSQKEGAITGGPDFVKAVGDTVLDATVSENLPVLATEEKYNEAVFSTARRLVAAIDGLPDPGGPQLKDNKRESNFKSREETDEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Solyc08g044280.1.1.1 pep chromosome:SL3.0:8:22267940:22268134:1 gene:Solyc08g044280.1 transcript:Solyc08g044280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPEYVGESLHRQSCCCCLPEPAAGWMLFEVVGSCCCWFSSASLLLLLAGVADAWRLVVASWS >Solyc09g061370.1.1.1 pep chromosome:SL3.0:9:59183051:59183635:-1 gene:Solyc09g061370.1 transcript:Solyc09g061370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLHDIDFDSDMKERTTSAGTKMQPLLSDSSFRDITCKAGDRICSSLVAENPFTETRFCDLCCSESGFCRDCCCILCSKLINLDYDDYIYCEATVVSGHICGHVSHLKCALQDYMAGRVRGSINLDVEYLCPYCDSRMDLVPHASKLLNICTSIASYDDIEKILNVGIRILRGSQKSIAKELLHHIESINAKV >Solyc01g104070.3.1 pep chromosome:SL3.0:1:92435141:92444272:-1 gene:Solyc01g104070.3 transcript:Solyc01g104070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSLELLLIQFLMPDNDARRQAEDQIKRLAKDPQVVPSLIHHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQHRQLVKQSLIESITMEHSPPVRRASANVISIVAKYAVPAGEWSDLLPYLFQCSQSAQEDHREVALILFSSLTETIGNSFQPYFANLQSLLLKCLQDETSNRVRVAALKAVGSFLEFTHDEAEVIKFREFIPSILNVSRQCLASGDEDVAVLAFEIFDELIESPAPLLGDSVKAIVQFSLEVCSSPTLESNTRHQAIQIISWLAKYKANSLKKYKLVTPILQVMCPLLAESTDRNEDDDLAPDRAAAEVIDTMALNLSKHVFPPVLEFASLSSQSPNGKFREASVTSLGVISEGCLELMKNKLEPILHIVLGSLRDPEQMVRGAASFALGQFAEYLQPEIVSHYESVLPCILNAVEDVSDEVKEKSYYALAAFCENMGEEILPFLDPLMGKLLGALQSSPRNLQETCMSAIGSVASAAEQAFVPYAERVLELMKVFMVLTNDEDLLSRARATELVGIVAMSVGRTRMEPVLPPFIEAAISGFGLEFSELREYTHGFFSNIAEILDEGFAQYLPHVVPLAFNSCNLDDGSAVDIDDSDEDENVHGFGGVSSDDEAHDEPRVRNISIRTGVLDEKAAATQALGLFALHTKGSYAPYLEESFKILVRHSSYFHEDVRLQAIISLKYILIATQAALQGHNEGMTKTKEVLDTVMKIYIKTMIEDDDKEVVAQACMAVADIVKDFGYMAVEPYITQLVEATVVLLREQSACQLVESDSEVDDDDTEHDEVLMDAVSDLLPAFAKAMGSHFAPIFSKLFEPLMKFAKASRPSQDRTMVVATLAEVAQHMGAPIGGYIDTVMSLVLKELASADATNRRNAAFCVGELCKNGGDAALKYYGDALRGLYPLFGEAEPDNAVRDNAAGAVARMIMVHPETIPLNQVLPVFLKVLPLKEDHEESMAVYSCICNLVLSSNSQILSLVPELVNVFAQVAMSPVETPEVKAHVGKAFSHLISIYGHQMQPLLSNLSPAHANALATIAPQS >Solyc04g005470.3.1 pep chromosome:SL3.0:4:320618:322739:1 gene:Solyc04g005470.3 transcript:Solyc04g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRDRGIPAQRTQMSKETKRTVSWLERQFTTKASRDYDSSNSVDYPTAVAVAAFVVKSIEDKSNKDQTKTNIGADKPLSNIKSKANDIIERPEKSTAVKAAKSSSKVADKNVVIRTATLNQEPLNSTRSLKQSATFEDNRKNSTFKNIDIASAKTPIRLSMSQKEMTKGTTTTTNHVVVNSKANIWENEEMKKIKERYEVVLNFCMIEIGKHKLLSSNYKEIFRYEKQHYVILDWETKKKKKSKRHLEQIEAKLDRRRAMTKQSFYNDIERIEKIAGGAKAKAEQNQEKEEHKVKERANKIRSTGKMPATCLCF >Solyc05g024190.3.1 pep chromosome:SL3.0:5:30616871:30620391:-1 gene:Solyc05g024190.3 transcript:Solyc05g024190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIWGKMFFRYGFEGYQNISAGYLLGVGKPYYIFSLLSFISPQVFFQAKHTVTGEDRS >Solyc12g011180.2.1 pep chromosome:SL3.0:12:4021684:4032612:-1 gene:Solyc12g011180.2 transcript:Solyc12g011180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIKDGSVSGILPTNEVFAVHYPAYPSSVERAVETLGGIQGIVKARTSQSNKLELHFRPEDPYSHPTFGELKHSNNFLLKISKCKVRDVRSADSADSSCGIVIQSSRSLVNCEQENAAPKLNEPRCLSAGASKEIEMQTDTNLQEHLSANIVSHVSEAYHFNGMVDYQHVLAVHADDARRKKRQWAEVEPKFEKGGLMDVDQEDMMILLPSLFASKDMPDNIVPLPLSWLIILFMRGIAIEKFQTFCNFRLKSCTTVGSKRKQEGRHNWEIPKPVDWEKYIPQGSDRWRWQKAVSELFEERKIWAKESLAERLHDRGLKFRDNMLKRLLCGVAYYFLNGPFRRFWIKKGYDPRKDPESRIYQNIDFRVHHELRSYCESRSSSGLQHRWDDICAFRVFPCKCQLALQLCELKDDYIQQEISKPSKEETCNNVTGWFSFHTIDCLRRRIDVRFMSVCPHPRAESLLNSMSTRFEKSKRTHTYVKVARPEEQEKTNKDAENNEVDEQAENLYIYIWDAFTSYL >Solyc08g007845.1.1.1 pep chromosome:SL3.0:8:2364494:2366095:1 gene:Solyc08g007845.1 transcript:Solyc08g007845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKNRLVCEGKQSKKTEENPLFFVYCEAKSIGKISFPMIFSGLLLYSRSMISMLFLGRLGEMSLAGGSLAIGFANITGYSILSGLSMGMEPICVQAFGAKRYKILGISLQRTILLLLLISIPISSLWCNMEKILVFCGQDRGIAIEAQNYILYSLPDLITLSFLHPLRIYLRSQSIIMPLTYCAALASLLHIPINYLLVIVLNLGIKGVALSGVWTNFNLVGSLIAYIVVSKVYINTWSSISFDCFKGWKSLLDLAIPSCVSVCLEWWWYEIMILLCGLLVNPKATVASMGILIQTTSLIYIFPSSLSFGLSTRVGNELGANRPNVARLTALVGLSCSFVLGLMALAFAVLVRDVWASMFTQDREIIALTSLILPIIGLCELGNCPQTTGCGILRGTARPKLGANINLGCFYLVGMPVAVYLGFFRGYDFTGLWIGLLAAQASCAVTMLLVILSRTNWEDQAKRAKELTSTIEFEYEDEEKFIIIDEESPQSSIDNSYCHKIDGSSNV >Solyc10g075070.2.1 pep chromosome:SL3.0:10:58843218:58843830:-1 gene:Solyc10g075070.2 transcript:Solyc10g075070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific lipid-transfer protein [Source:UniProtKB/TrEMBL;Acc:K4D1U9] MEMSSKIACFIVLCMIVVAPHGEALSCGQVESGLAPCLPYLQGKGPLGGCCRGVKGLLGAAKTPADRKTACTCLKSAANAIKGLNLGKAAGIPSACGVSIPYKISPFTDCSKVQ >Solyc12g062595.1.1.1 pep chromosome:SL3.0:12:33945649:33945976:-1 gene:Solyc12g062595.1 transcript:Solyc12g062595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRRQLSRLQKYQGGIKYMIGVPDTVTIVDKHEEYTALRECITLGIPTICLTDTNCHPVLANISIPTNDDAISSIRLILNKLVFSICEGLSIYIRNP >Solyc05g006960.3.1 pep chromosome:SL3.0:5:1548863:1553463:-1 gene:Solyc05g006960.3 transcript:Solyc05g006960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYESYERINSRRLQKRLEPKIMGRKRERSHFNRHAPYSFPKRRRPTPITDDPLPVPEDNSSTNKQQPATVVVIGVPSECSVLDIKSRFEIYGSISRTRMDPNGLAHITYRSNDFAQSAVEAAEDSSFPITLHSKPVQVIWATDHASQWKEGVMRKDELSTSTVASKLVKAEVPLSRHGRSNRLGSAIVNPRDEDNDNAGGNVRAHVSTRLVEPYKGREIVAYDDIL >Solyc02g072010.2.1 pep chromosome:SL3.0:2:41896206:41897815:1 gene:Solyc02g072010.2 transcript:Solyc02g072010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATSPTPSTLSSFLEMANSISELHQAHAVMLKTGLFRDPFAASRLLTKATVLPLSSTETLSYALSVFTHIEEPNSYIYNTIIRAYSTSPFPQLALIIFLKMLNSVNKVFPDKYTLTFIVKACATMENAKQGEQVHGLVTKIGLEEDVYVYNTLVHMYAKCGCFGVSRGMIDGLIEDDVIAWNALLSVYAERGLFELARELFDEMPVKNVESWNFMVSGYVNVGLVDEARKVFDEMLVKDVVSWNVMITGYTKADKFNEPGKWVHAFIERNGIAVHNFLATALVDMYCKCGCIEKGLEVFNGTLRKDISTWNAMIAGFSNHGYLDDALKTFNELIADGIKPNEVTFVSVLSTCSQGGLLSEGRRMFELMINEYRIQPTLVHYGCMVDLLGRFGLLEEAEELVSKLPVKEAPSIWESLLSASRSHNDVKLAERIATKLLEVDPRDSAGYVQLSNALASMGRWDDVREVRRKMRSEGITKEPGCSMIEVDGVVHEFLAGEGIIL >Solyc03g007995.1.1.1 pep chromosome:SL3.0:3:2470717:2479077:1 gene:Solyc03g007995.1 transcript:Solyc03g007995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECAKMAPAVRKGKKKQVKDELDRIKQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEQGAAIAEAVALQVLLGEDTDDSCKLLLKKEEGWNTWDLNSSFDFFVGRGRPMLPRQDSSTYYSVEGADWVSGASGYRCMSNEWGNSEWMVSSETWVRDDINHQYFDEGNWEVARISPGFIAAQSLSSLQIAEDAPVDSCVFNQVEGVN >Solyc07g017700.2.1 pep chromosome:SL3.0:7:7732821:7743613:-1 gene:Solyc07g017700.2 transcript:Solyc07g017700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGSFVQRLFSINHQNWSLMAFTSSTFSVGPEDSSFLLSNKWYNQILSCVISTSIDPKGGGGKYPFNNVAMSLRLWGFGSFSNDSNISCAGSNNKYKNGFQVFAPPHSILLHDDEESSTSSATMNSNTNNDPSNKGSSDGFFNQDLHGKIVVAVDVDEVLGNFVSALNEFVADRYSSYHSVSEYHVYEFFKIWKCSRDEADIRVHEFFKTSYFKTGIHPIPGSQQTLQKLSRFCNLSIVTSRQNAIKDHTIEWIERHYPGLFQEMHFGNHFALNGKSIAKSDICRSLRANVLIDDNPRYAIECAEVGIKVLLFDYENSYPWSKSESLNGHPMVKKVHNWGEVEHQLASWIVPTNSSPK >Solyc01g079920.3.1 pep chromosome:SL3.0:1:78934870:78937979:-1 gene:Solyc01g079920.3 transcript:Solyc01g079920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TRMETLTFFLLLFPLHNIFFLCSCEVPQTTLYLPITKDASTLQYITEVGQRTPLVPIKLLVHLAGRSLWVDCDKGYKSSTYKPAVCNSTLCSFANSHACGDCLFKSQLQPGCNNNTCYIWGENPLINSYMDRAEIAEDILAIGSTPGVRITWQRFIFTCVESYLARRLANGVTGIVGFGHESPLSIPNQLGLDPTLNKKFGMCLSSSTRSRGVIFIGSGPYYVYNPKKIDISKNLVYTKVITNRGFLLSEEYYIQVSSIRIAGQDVPLNRTLLSINKNNGVGGTKISSTIPFTILHTSIYDAVKIAFIKALPKNVTLVEPPMKRFGVCFSSKNIRSTKVGPDVPVIDFVLHKPSAFWRIYGANSVVQVKKDVMCLALVGRDQTWEPSIVIGGHQLEENLLVFDLPKKKIGFSSSLKLKQTSCSIAELGEDVLAIGVQPITLVSQPRIIFICVESYIMERLAKGVTDTPIKGFGACFSSKNITSTNVGPDVPVIDFVFHKSSAFWRIYGTNSVVQVSKDVKCLAFVGQDQSWAPSIVIGGHQLEENLLIFDLPHKKIGFSSSLKLQQTSCSKYDNISKN >Solyc01g096790.3.1 pep chromosome:SL3.0:1:87666419:87670185:-1 gene:Solyc01g096790.3 transcript:Solyc01g096790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKRKVGRPRKNEEKGKVAVIGTSSPVNRRPPEFFKLFLSDQSSQQLVLPKDFSRKFGEKMKERSKIKDLCGNVWDVCIEKSEDGVVTFIGKGWEDFIKYQSLKNGYFLIFIYDDERDSSFTVKVFSTNGSKKSVPMTIQNTKGEDHVIVVE >Solyc08g067630.3.1 pep chromosome:SL3.0:8:56706372:56707385:1 gene:Solyc08g067630.3 transcript:Solyc08g067630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISTKFCLNLSPQPPPTSNYNNSIPPPSKKTQLSWQRKEKSWKNQCVLGMACVVIIGLEFDDSILVNQESTIAIAGDMQLQYVAGKSIQKWSEKRSCPPWNVNSLETIVPENLPRPVTRRRWENVDYNTTTQSAPEVKLVTKFSKGCFTM >Solyc04g051730.1.1.1 pep chromosome:SL3.0:4:50978269:50979801:1 gene:Solyc04g051730.1 transcript:Solyc04g051730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVGLGYIEIFVAILCFFLFHSLNHPKGYPKSWPIVGMLPSMLFHLKHIHDRLTVIMSVTGGTFVPKGPLFAEMDILATVDPSNVHYIMSQNFMNFPKGKKFKELFDVLGDGIFNADMDLWKIQRKTTRSLVTHQQFYKFLIKTSRDKVEKGLVNVLDHVCNKGVIVDLQDLFQRFTFDTTCILVTGYDPGCVSIDFPHVPFSKALDDAEEAILFRHVIPEPIWKLQRWLGIGEEKKLSKAWETMDDVIGNYISKKRDELTKIETHDDEVEENDEGFDLLTFYLKEGQGLGVNCDDKFLRDTILNLMIAGRDTTSSALTWFIWLVTKNPQVEKKLREEINSIIPKEEVGKFRVFNVHELNKLVYLHGALCDSLRLYPPVPFQHKEPLKEDTLPSGHKVHPKTMILFSLYAMARTESIWGKDCLEFKPERWISETGTIKHEPSYKFMAFNAGPRTCLGKEVAFTQMKAVAATIIHNYKVEVVEGQTIEPNTSIILYMRYGFKVRISRRWT >Solyc05g045850.2.1 pep chromosome:SL3.0:5:58450115:58451983:1 gene:Solyc05g045850.2 transcript:Solyc05g045850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGSRFMEFPPLKNPIPQRIVHGTTSEILQTIILCIIPIFIAIPSFALLYSMVEVVVNPAITIKVIGHQWYRSLPLHEEVDNRVVLPAKSPICFIITSADVPHSWVVPSLGVKCDAVPGRLNQTSISVQREVVYYGQ >Solyc02g061955.1.1.1 pep chromosome:SL3.0:2:34037701:34038010:1 gene:Solyc02g061955.1 transcript:Solyc02g061955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLSHTPPFRCFFFLRFYSPFTFLTGCDAFGFAELQGNNLAEKDGPPNVSLHTSYLLDNAKKAVEALRPSIVSCTYTSAFVAIDALVIINFPL >Solyc12g010460.2.1 pep chromosome:SL3.0:12:3480735:3482043:-1 gene:Solyc12g010460.2 transcript:Solyc12g010460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVILLIFLLYLSTLIHEAQGRHLKKGHKLQEKTNLIGSSKGVIGEVSTLCKDGHCSSFTTKGRLTKVAKKSHHWLPNIHEDYYGPRGHRPRHH >Solyc07g045430.3.1 pep chromosome:SL3.0:7:58654884:58657885:1 gene:Solyc07g045430.3 transcript:Solyc07g045430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFEEASIDMKMEIFEAIEDNYGGVIVNMKKEESMDFLKFHTMLKASISHWRIKLPIELAHLVDAAVKEGFWYHHAEATYLMLVYWIPHEIPHTFPANASHRIGIGAFVLDQDGQVLVVKEKSGNVTGTWKLPTGVVDEGEDICMAAVREVKEETGVNLFFICMLKPLNFTINKQDAEIEEAK >Solyc10g005300.3.1 pep chromosome:SL3.0:10:218756:221860:1 gene:Solyc10g005300.3 transcript:Solyc10g005300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTHLKSSRSSVYETRTTSKYDDSEGLAATMLRSATMHPGVSKQNMMAEDILGYGNVNISAEVFTFRELAHATDNFNPEFLVGEGGFGRVYKGHLKRTDQVVAVKQLDRNGVQGNREFLAEVLTLSLIKHPNLVNLIGYCADGNQRILVYEFMHNGSLEDHLLDFPSNKKPLDWYTRMKIAKGAAQGLEYLHDIANPPIIYRDFKASNILLDECLIPKLSDFGLAKLGPAEGEDHVSTRVMGTYGYCAPEYSMTEQLTSRSDVYSFGVVLLELISGRRVIDNTRPPEEQNLISWAKPLFKDKNMLTEMADPLLEGNYPVKELHQALAIANMCIQDEDYTRPLISDVVIALEYLAMPRDDEVTISKTEVEYSADELCLKDLTTETNCVS >Solyc01g065593.1.1 pep chromosome:SL3.0:1:71775396:71778015:1 gene:Solyc01g065593.1 transcript:Solyc01g065593.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSHCVTGVFGITSPSRDHNPLLSVIVVFLTFLRLRADDNHVPYVSAFPKSPLSFKNNLVMSKPGKFLHFYLRIDGLSIGTILLTRFITTLETLEAWPVTRDSRLFHF >Solyc05g050580.3.1 pep chromosome:SL3.0:5:61588009:61592658:1 gene:Solyc05g050580.3 transcript:Solyc05g050580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin-protein ligase [Source:UniProtKB/TrEMBL;Acc:K4C190] MELDSIECVSSSDGMIDDDEIPLHHPHIIHSQYSSSKTSNNNNINNSSSNNDGIAAIHSTTSVHELLECPVCTNSMYPPIHQCHNGHTICSTCKARAHNRCPTCRQELGDIRCLALEKVAESLELPCKYGSVGCPEIFPYYSKLKHESVCNFRPYSCPYAGSECSVVGDIPYLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFNCFGQCFCLHFEAFQLGTAPVYMAFLRFMGDEMEARNYSYSLEVGGNGRKLTWEGTPRSIRDSHRKVRDSHDGLVIQRNMALFFSGGERKELKLRVTGRIWKEQQNLDGGACMPNLCT >Solyc12g040325.1.1.1 pep chromosome:SL3.0:12:54278638:54278664:-1 gene:Solyc12g040325.1 transcript:Solyc12g040325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VAIWWFFL >Solyc01g105320.3.1 pep chromosome:SL3.0:1:93421133:93423185:-1 gene:Solyc01g105320.3 transcript:Solyc01g105320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYMVCQKCRQQLPLPPHSKLFRCACGNMIPLGNSERSLPIQEHGRFSSKMRRFRDKFRKNSSRENSRSPSPSVSPRLSLIFTKPAPSGKRALLCGVTYKKEKFRLRGTLHDVHSMSDLLVRKFNFTNDSILILAGIKFNFLICLSKKYILNETISAEEEAFKPPTRRNILQAFKWLMEDLKSGDSLVFYFSGHGLRQPDFCEDELDGFDETICPLDFRTNGMISDNDINDILVKPLLPGVTLHAIVDACHSGTVLDLPWVYKENRWVDNRPPSGANKGTRGGMVFGFSACRDNQLAADTSAFSAEKTMMGAMTYTFIKAIWEIPDITYQGLLDNMHKAIENVNEARCPLLKIFQRKIDQEPVLSSSEKFNTDIRFKL >Solyc08g082960.3.1 pep chromosome:SL3.0:8:65717529:65721276:1 gene:Solyc08g082960.3 transcript:Solyc08g082960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEIITSEGVSSSSELNSSSRIICRVCQKQFSQYTCPRCNTRYCSLPCYKSHSLRCTESFMRENVMEELQHLQPNDGSKQKMLDILKRFHSEDEADIMDEDDSPLSEETIQKVLSGNQISFEDLSAEEKKHFQRAVASGELSKLIKPWEPWWSKPSAKYISLGQDGTQLVQPLVKEDTAVSSEDRIGSDPLHDIPLGPDSPLPSVRKLSAAGPSPLLAVHLVDIMYSYCFTLRLYNGDWQSDPVGSATVLLSVSSVLGQGGQPETVLEALSHCMEQTCSSAFRHTGGLQFALGLINDTITLLHLDTPAVVCLLCDLRRLIQHAEKDLKSEKYCKSKSSEMKTKLKSAERKVYFIMCWAHEQPKEAWSSIAAIVEAEKSRLVEFVGSKTSVPKMTKVQSEGRPLIKEVE >Solyc04g025860.1.1 pep chromosome:SL3.0:4:20752839:20753335:-1 gene:Solyc04g025860.1 transcript:Solyc04g025860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDISKSYSLNNISSLALSHCRVGMKLRFEGVIEKLPHLFPNSITMMLIWKSRLTEDLMLILGMLSNLKNLDLISSYAGKEIMCNDNSFSQLEFLQLEDPRNLERWYLGTSVMSLIKGLGIHYCPNLKEIPERTKDVELLKRNYMW >Solyc12g088340.2.1 pep chromosome:SL3.0:12:64745542:64752184:1 gene:Solyc12g088340.2 transcript:Solyc12g088340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKEIGDREFGKLRPNLFSNRIKSARIASIQLSNYKDIISPHRGSVNSLQVDLTEGRYLLSSASDASVAVYDVQRATDYDESGLIAKHKHILLVDKQHEQGHKYAISTANWYPIDTGLFITGSYDHYVNVWDTNTTQVVVNFKMPGKVYNTAMSPVATSHMLIAAGTEDVQVRLCDISSGAFAHTLSGHRDGVMSVEWSASSEWVLITGGCDGAIRFWDIRRAGCFRVLDQSHNQLGRRPSLLTRSTASKGSTLKPSSGGPNSSAKGRPSQKKMGNSASIKHSAIARQVRGFGKQRLHPGMLSSQDRATAHYGAVNGLKVTNDGMYLLSAGSDSRLRLWDIDTGCNTLVNFETSRLQATKATQLAISHDSTLVFVPCMSTTKAFDLWSGKKMMDLRGHYENVNCCLYNSLDQELLTGGNDRKILVWSPSRTTTEELEGREGQAFAIDQDNWSD >Solyc01g102350.3.1 pep chromosome:SL3.0:1:91057118:91062557:-1 gene:Solyc01g102350.3 transcript:Solyc01g102350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4B1G3] MAKLLMWFLGVFFVFTKLVDCYEFEEFFYNKTEGAFLESVYGASAAAPPPLMIGLTIIHGAAARGAVCLDGTLPGYHIHRGYGSGANSWLIQLEGGGWCNSVRSCVYRKKTRRGSSNYMEKQIPFVGILSNRAEENPDFYNWNRVKVRYCDGASFTGDSEDKAAGLQYRGKRIYQAAMEELRSKGMRYAKQALLSGCSAGGLASIMHCDDFSNSLPHTKVKCLSDAGLFMDANDVSGGHAIRDFFGGVVKTQGLQNTLPRTCTNHLDATSCFFPQNLINNIRTPLFLLNAAYDSWQLQESLAPHTADPHGLWHDCTLNNERCSPSQIQFLQGFRIHMLNTVKRFAASRQNGLFINSCFAHCQSERQDTWFSDNSPTINNKPIALAVGDWYFDRSGVKAIDCAYPCDRTCHNLVFK >Solyc11g008960.2.1 pep chromosome:SL3.0:11:3111217:3120243:-1 gene:Solyc11g008960.2 transcript:Solyc11g008960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFLAILILACLYSFVSPDQQGDALFALKSSLNASNDQLSDWNQNQVNPCTWSKITCDDNSNVLMVSLSNMGFTGTLTPRLGVLVHLNTLSLQGNGITGKIPEALGNLTSLTMLDLEKNRLSGEIPASLGNLKKLQFLFLSQNNLSGTIPDSLSGLPNLINLQLALNDLTGKVPDSLFQVPKYNFSENHLNCGLNFSHRCVSDSEGSPSKSKTGLVIGIVGGFLGIILLGGLMLFFWRGRNKGYKREIYVDVPGEVDRRIPFGQLRRFAWRELQLATDNFSEKNVLGQGGFGKVYKGVLSDSTKVAVKRLTDYESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTPTERLLVYPYMQNLSVAYRLRELKPGESVLAWPTRKRVALGTARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGIMLLEIVTGQRAIDFSRLEEEDDVLLLDHVKKLQREKRLDAIVDRNLHKNYNMDEVEMMIQVALLCTQGSPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRRQEYERLQRRFDWGEDSIYNQDAVELSGGR >Solyc12g010900.1.1.1 pep chromosome:SL3.0:12:3807573:3809741:1 gene:Solyc12g010900.1 transcript:Solyc12g010900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLARPTIINDLPDVILSNIIAAVSDVRSRNTAALVCRKWLVLERATRTSLTLRGNIRDLFMLPTCFRSVTHLDLSLVSPWGHPLLSPRATASSDDADSVLIAHLLRHAFPIVTSLIVYARNPHTLQFLPVQWPQLKYIKLVRWHQRPQLASGDEFNLLFQGCPQLGSLDLSTFYCWTDDIPPALELNPLVAGNLTVFNLMNASFSEGFKTDEIRVITKCCPNLKELKIACMFDPMYIGFVGDEALVCISINCPKLTVLHLADTSTLSNCRADPDDEGFTTDDAKFTVSTLIEVFSGLSLLEELVLDVCNNVRDSGPALEILNTKCPKLRSLKLGQFHGVSMPIGSKLDGVALCQGLKSLSIRNVGDLDDMGLIAIGRGCSRLTKFEIQSCKKITMRGMRTLASLLWKSLVDVRISCCKNLGASSSLKALEPIQERIQRLHIDCVWDSVEEIENLNGVEYGFDLNKTNGGEASTHPNGSGDTFGSMDDDIVFNRNKRCKYGYDLNSVCMEDNGHGNGFGGRTWDRLQYLSLWIGVGDLLTPLAAAGLEHCPNLEEIKIRVEGDCRLWSKPSERAFGLSTLLRYHKLVKMHLDCGDIIGYAHTAPSGQMDLSLWERFYLFGIGTLNLRELDYWPPQDRDVNQRSLSLPAAGLLQECITLRKLFIHGTAHEHFMMFLLRIPNLRDVQLREDYYPAPENDMSTEMRSDSLSRFEAALNRRQICD >Solyc08g078690.3.1 pep chromosome:SL3.0:8:62584816:62589857:1 gene:Solyc08g078690.3 transcript:Solyc08g078690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGELLKIEPLELKFPFELKKQIYCSIHLSNNTEEHVAFKVKTTNPKKYCVRPNTGIVSPRSTSDVIVTMQAQKEAPSDMQCKDKFLLQSVVATPGVAAKDITQEMFNKEDGRVVDECKLKVIYLPTAQPPFPVAEGSEEGSPPKESMKDNGHENGSEAKSVISRLMAEKAAALQQSNKLRQELELVKRDMTRSRRGGVSFIPVVVVGLLGILLGYILKKS >Solyc01g049797.1.1 pep chromosome:SL3.0:1:46510938:46511432:-1 gene:Solyc01g049797.1 transcript:Solyc01g049797.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRWYYRKYVACCGTIYRPLSDLLKWDACKWNDEADLAFATFKCAMFSTPILVLPDFTKDFIVETNSRYNVICVVLMQEGRPIEKEYMSLLNVVDKLRSYLQFKHFVIQADHHSLKYVIEQNLTSH >Solyc12g039110.1.1 pep chromosome:SL3.0:12:53272483:53273225:1 gene:Solyc12g039110.1 transcript:Solyc12g039110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTENTSTSSPVSLPNSPTILTMNSNEQFININVVTQAMSLRESLRNLKNGNIFITSYSQKIKQICSTLACAGIEILIDELFLHALHGLPAEYDTIIASLRARETPMTFEELHEKLLDFEQNLIRSSSYTTVAITTNFAAKPLLQNNHSHPNHASST >Solyc01g060350.1.1.1 pep chromosome:SL3.0:1:70261993:70262280:1 gene:Solyc01g060350.1 transcript:Solyc01g060350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPGLVLQLSRGSGRGRETRARLNSMGALRGCFGRVAYCWCFWFRSCSGLTLLRLRMCYCWMREIECRVVKGLFGPGLVNWAKNLVFLLFALKS >Solyc03g097530.3.1 pep chromosome:SL3.0:3:61303948:61306226:-1 gene:Solyc03g097530.3 transcript:Solyc03g097530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQSLKRRTRISLISVVFAFFLLFIIGPEFFTGILIGPNFMADANSAITGNTNVGPHRKLLNQGNEVETTRIWGDKCSKSDIVINQGPTAPLPSGIPTYTVEIMNVCVSGCDISGIHLSCGWFSSARLINPRIFKRLHFDDCLVNDGKPLINGHTISFQYANTFRYPLSVSSVVC >Solyc01g098300.1.1 pep chromosome:SL3.0:1:88746688:88749524:1 gene:Solyc01g098300.1 transcript:Solyc01g098300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIEDKTLFCFCHWGKRNKVLPDGSISYEGGITDQVIVKTGVKYDDFVIAVFDRLGIDASDKMLFFTVKFDRSELIRLRDQAGIDTMLHFNDSYVHIYASSLEKEPDSRPPSGGTKNIGFTIASDKQPDTTPIADDPNGNKCGVLSETVVGKLPPHQEPFFGQNNIQNDVNALFRSWNYLRQKQLTSSGILKTFWRKCDTCDTSYLCYRKDVNHALRCTTCTTFDLDPKGAACRPKQSQPGGQDKHLESKLNEPLKQTELPNQETLRMTGGSAWFQPTQTGSQQVAATTMEACKSRKRYRNQTTEPSESDDSSINVDTEDIWAVCDKLDSTPRLYAHIRKVSGPEFKIKFRWLEPHPEEDQREECAWIRSELPVGVQCVMGARGMNIVYPREGETWALFKDWDIRCRKS >Solyc06g083330.2.1 pep chromosome:SL3.0:6:48821230:48822358:-1 gene:Solyc06g083330.2 transcript:Solyc06g083330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELFLSINSTNTQFYMSSNRGIMKKKILEDFLKELDVFEEKKIESKGTKFHKAKDWKRTLGCKFYEERLSASNSSGEGMDLLWEKYEIDSIKKENRDDKKNKKMKKKEEVKSYVKVEQINEHIDQLCCLQALKLSARKMNLGIGRSNFVRISKAIKGLKWIHHVSKNNKKVHCGD >Solyc03g007930.3.1 pep chromosome:SL3.0:3:2432927:2433811:1 gene:Solyc03g007930.3 transcript:Solyc03g007930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDISLPRAMTALSGDMQVRFLMIRCFVGIPNAIPYSSQPLFTAMQSSPETMKQSSILVLEQESG >Solyc06g050305.1.1 pep chromosome:SL3.0:6:32979383:32979929:-1 gene:Solyc06g050305.1 transcript:Solyc06g050305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLVLKFHIHTCKPVLTPIISCTCIALEDGTLLSNPSEYRSMVGALQYLTMTRPDIAFAVDIVSQIMHAPRTTHLYCVKRMLRYLQGIPVHGLFLRASSSNSIVTSYFDADWAGCPNTRRSATGYMVLLGSNLISWHAKKQPTVSKSSIEAE >Solyc04g077860.3.1 pep chromosome:SL3.0:4:62827873:62830497:-1 gene:Solyc04g077860.3 transcript:Solyc04g077860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTLLDALNVRVVGSGERVLVLAHGVGTDQSAWNRILPFFLRDYRVVLYDLVCAGSVNPDFFDFRRYTTLDPYVDDLLHILDALAIDRCSYVGHSVSAMIGILASIRRPELFSKLILIGASPRFLNDEDYHGGFELGEIEKVFSAMEANYEAWVNGFAPLAVGADVPAAVREFSRTLFNMRPDITLFVSRTVFNSDMRGVLGLVKVPCHIFQTARDHSVPASVATYLKNNLGGWNTVHWLNIEGHLPHLSAPNLLAQELRRALTHR >Solyc09g016935.1.1 pep chromosome:SL3.0:9:11824431:11826880:1 gene:Solyc09g016935.1 transcript:Solyc09g016935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQDHLPGRYALDLSHTPYPKFSRFNFTVSSQRLNLLSISSFEESASSNQNHPAEIRGSEAHTDDTSGIDDDSNRHDTEGAKVMQMQYSMPTGKVFSFKL >Solyc03g095180.3.1 pep chromosome:SL3.0:3:57576043:57582855:1 gene:Solyc03g095180.3 transcript:Solyc03g095180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAATASATLFSPFLPSPGFHESCRSLNWRTHKKQIASKAGTVKVTAKFELNPPPYPMNALEPHMSRTTFEYHWGKHHRAYVDNLNKQIVGTELDELTLEDIILVTYNQGNLLPPFNNAAQAWNHQFFWESMKPGGGGQPSGELLKLINRDFGSFEAFVKEFKAAAATQFGSGWAWLAYKANRLDVGNASNPHPSDEDKKLVIVKTPNAINPLVWDYSPLLTIDVWEHAYYLDFRNRRPDYISIFMEKLVSWEAVSSRLEAAQAQAAEREKEEERKKREEEEEYQDGNEVREMYVETTDSEAD >Solyc11g020930.1.1.1 pep chromosome:SL3.0:11:12975619:12975768:1 gene:Solyc11g020930.1 transcript:Solyc11g020930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVICLQPILFNYYICISYTSPGSHKGVHAFLLIKCSLTFKKLVLLFDD >Solyc01g103560.3.1 pep chromosome:SL3.0:1:92014480:92021786:1 gene:Solyc01g103560.3 transcript:Solyc01g103560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:tRNA pseudouridine synthase [Source:UniProtKB/TrEMBL;Acc:K4B1T1] MSNDQLQPLISETNKHSLDQRPESPQRPSKLPKVNDDGGNDVVEGEEQKESVTRTMNPNPRLQRYLLAIEYIGTRFAGAQQQPNCRTVVGVLQEAFQKFIGQPVSVFCSSRTDAGVHALSNVCHVDVERISKRKPGDVLPPHEPLVVKRAVNHFLQKNAGDIMVIDVRCVPDDFHARYKAQERTYFYRLLSGPEPVSSFERDRAWHVPENLDLLAMQKACNILIGRHDFSSFRAAACQANSPIRTLDELNVIEVVSTPFFPSISERLKSSSVMEDPTVYLGSDTNHSQISFNTNEGKLEGSNGEACQEFGLRRRHRCFVVTARARSFLYHQVRLLVGVLKSVGAGDLTDPDVKRILEAKSIAAAPPMAPACGLYLGHVKYDLPPENNQS >Solyc02g011725.1.1 pep chromosome:SL3.0:2:14132648:14133354:1 gene:Solyc02g011725.1 transcript:Solyc02g011725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRYCEIEDILTHISYSIVSIVITIHFITFLVDEIVKLYDSSQKGIIVNFFCLTGLLVTRWVSSGQFLLRNLYESLIFRSRSFSLIHTIPYFQKNVLILSKITGPSAILTQVLLLHAMQPFMWIVIISSTSSDYISKKQKAFF >Solyc01g098060.1.1.1 pep chromosome:SL3.0:1:88533826:88534284:-1 gene:Solyc01g098060.1 transcript:Solyc01g098060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRKRRTEIEKLTKQSDRLTTFSKRKKGIFKKAELLESLTSSRVTSVVFSPSGIPYTYGNVNSVIKKHFPSCNRSEISTTVMNSHHDVSGESSGSKSLSIPKENGLRRWVEDIDVEGCQNLNQLFMLKEQLEGTREKIISSDPESFEALFM >Solyc05g023820.3.1.1 pep chromosome:SL3.0:5:29369322:29369942:1 gene:Solyc05g023820.3 transcript:Solyc05g023820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKTRPLTNLVNGGGDNYDENNIEENNYNLDLGSRKSKSRKPTKRVRTNWHSSAAKFETPNGGGIHEENFFREDNNDNEEFREFELEGSESPVKEHSPINSMEHMGLQYWDRRGIRTRVSESRDHHDDLNNGGVHNETNSGDKSIGVRDWLVDLGLGRYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFNAILKLRKGFS >Solyc11g018550.3.1 pep chromosome:SL3.0:11:8671912:8678516:1 gene:Solyc11g018550.3 transcript:Solyc11g018550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLTGATSHLLPSATIAATSASTTARLAISFSSSSSSSLKRIRSSPLLPHIFRYQKRSLIGTTSSGRFSTFASPKCAASDPDQLKSAREDIKELLKTTSCHPILVRLGWHDAGTYNKNIEDWPQRGGANGSLRFEVELKHGANAACNSGLVNALKLLQPIKDKYAGVTYADLFQLASATAIEEAGGPKIPMKYGRIDVSGPDECPEEGRLPDAGPPNPSSHLRDVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETRYTKDGPGSPGGQSWTVQWLKFDNSYFKDIKEQRDEDLLVLPTDAVLFEDSSFKEYAEKYAVNQDVFFKDYAEAHAKLSNLGAKFDPPEGFSIDNNPTQVQPEKFVAAKYSTGKRELSDAMKQKIRAEYEGLGGTPDKPLPTNYFLNIIIVIGVLAILTYLLGN >Solyc03g005350.3.1 pep chromosome:SL3.0:3:204654:211319:1 gene:Solyc03g005350.3 transcript:Solyc03g005350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNPPEVYAADDFLEQILAIPSYASLPVTDLTAGASSENSTSGVSQLQQQPLFPLGLSLDNGFADANNTGGFQVKTEREAMNMGNLYPGLEHLQSHAVCLSVPQVHQVQPFQGHPTSSAIVTIPHQPAIRPRVRARRGQATDPHSIAERLRRERISERIKALQELAPSCNKTDRAAMLDEILDYVKFLRLQVKVLSMSRLGGTSAAAQVVADIPLQSVEGDTCESHSNQRVWEKWSDSETEQEVAKLMEEDVGTAMQYLQSKSLCIMPISLAALIYPTQQSDNQSMVKPEQAAPL >Solyc12g010800.2.1 pep chromosome:SL3.0:12:3715678:3717691:-1 gene:Solyc12g010800.2 transcript:Solyc12g010800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLPPRAPNMTQNWPDFSLHQKMENLAPSAHNLWADEFLDMSSRRGSHRRSMSDSIAFLESPMVEECRRLSSTTPGSGGTTNGHDEFERFDDEQQIMSMFNDDVHDMSCSNPSSPSDYINVTEDKKMNGPDQMMMQRKSDQNEEVESSCKTNEELATANQNASTNNNEYSSERVVDPKRIKRILANRQSAQRSRVRKLQYVSELERSVTTLQAEVSVLSPRVAFLDHQRLLLNVDNSALRQKIAALAQDKLFKDAHQEALKVEIERLRQIYYQQNMKQQMDNNNTTPVADSTNTPPEIKVQLSVN >Solyc06g005790.3.1 pep chromosome:SL3.0:6:818326:823966:-1 gene:Solyc06g005790.3 transcript:Solyc06g005790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFHIVLERNMQLHPRHFGRDLREKLVSKLMKDVEGTCSGRHGFIVAITGIESVGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQSGDVPNYTTSDGSVKIQKESEVRLKIIGTRVDATEIFCIGTIKDDFLGVISDPGTNA >Solyc02g087880.3.1 pep chromosome:SL3.0:2:50789257:50792694:1 gene:Solyc02g087880.3 transcript:Solyc02g087880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin alpha chain [Source:UniProtKB/TrEMBL;Acc:K4BBU4] MRECISIHIGQAGIQVGNACWELYCLEHGIKPDGQMPGDVTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAILLDNEAIYDICRKSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVNEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNTAFEPSSMMVKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGCESGDGEDDENEEY >Solyc01g096160.3.1 pep chromosome:SL3.0:1:87135025:87141758:-1 gene:Solyc01g096160.3 transcript:Solyc01g096160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKYNHRSRVKADLTAILRKSWYHLRLSVRHPARVPTWDAIVLTAASPEQAQLYEWQLKRAKRMGRIADSTVTLAVPDPHGQRIGSGAATLCAILELAKHYQQLFLESQCRNSRRKEPSPSFIDLIAKKHILLLHAGGDSKRVPWANPMGKVFLPLPYLAADDQDGPVPLLFDHILAIASCARQAFQNEGGMLTMTGDVLPCFDASTMVMPNDASCIVTVPITLDIASNHGVIVAAKSGISNDTNSINLVENLLQKPCLDELVRHQAILDDGRTLLDTGIIAVRGQAWLNLVKLACSSQSMISELLEKKKEMSLYEDLVAAWVPAKHEWLRSRPLGDELVNSLGEQEMFSYCACRLNFDAGDLLFLHFGTSSEVLDHMSETGAGLVGRRHLCSIPATNVSDIAASAIILSSKIEPGVSIGEDSLIYDSFISGGIQIGSQSIVVGVNVPATSDTTERLPFRFMLPDRHCFWEVPLVERTERVIVYCGIHDNPKIPLSNGTFCGKPLRKVLDDLGIQDTDLWISENTLEKCLWNAKIFPILPYFEMLTLASWLMGLDNQINETLRSSWKRSQRISLEELHKSINFSHMCLGSSNHQADLASGIVNACLNFGLLGRNLSQLCQEILQKESTGIEVCKGFLFHCPNLQAQNSAILPKSRAYQVHADLLRACGDEEMALETEQKVWASIADETASAVRYGFKGKMTSLSENLAGSSSWFASNPDNTSGCCGESFHHRTVKIELPVRVDFVGGWSDTPPWSLERAGCVLNMAITLEDSLPIGTVIEIEKGTGIFISDDVGNQLSIEDLSSIALPFEISDPFRLVKSALLVTSVIHEKILQSVALQIRTWANVPRGSGLGTSSILAAAVVKGLLRITDGDESNENVTRLVLVLEQLMGTGGGWQDQIGGLYAGIKFTASFPGIPLRLQVIPLLASPQLIKELQQRLLVVFTGQVRLAHQVLHKVVTRYLQRDNLLVSSIKRLTELAKIAREAFMSCDIDALGDIMLEAWRLHQELDPFCSNEFVDKLFAFCDYYCCGYKLVGAGGGGFALLLAKSAESAEELRHSLVNTSDFDVKIYGWKIFLENY >Solyc09g042260.3.1 pep chromosome:SL3.0:9:22915017:22919182:-1 gene:Solyc09g042260.3 transcript:Solyc09g042260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCFTVLKSKKKKSEQSIHIKRVNPQEHSPTALPEPQVQTRSLQSAPPSFRTRVKPVQSNNRVTSSRARALSAPSSLDTAEQDALASNECEEHDEFRSRIGLIKEYQSPSPQPLPLPSPQSAAATLKTMGSFKVGNASGPLNASGPLPLPLTLPSTLPSTGALRNFSFEELAAACHRFSPERCMSEGLSSVIYKASFGDDATGAKKLEATVTRLHPSSQGLKEFVTEVNTLASLQHPSLCKLIGFHAREGSEHRMLVYEMLFHGSLDRLLFGRSDGPPIDWNARTKIALCAAQGLTFLHEEGPFQAMFHEFSTANIQIDKDCSAKLSGYGCITRIQETDISCSSAALANLSEETLERGLVTPKSNVWSFGIVLLELLTGRKNLSSRHPKEERNLVKWSKLFLADDSRLSLIMDPRLKGRFPAKAARTVADIAQRCLQKDPSERPTMRAVVEQLKTVQVMKYPSRFPLQEPRAVGVKHMSKSPSLNGIITPTSRLSFSPSPPTHPISISPTRTAAPLLSLPSCSSILSTEDFDRLENRRPSSSSVRRSSVEGF >Solyc06g076550.3.1 pep chromosome:SL3.0:6:47695534:47697026:-1 gene:Solyc06g076550.3 transcript:Solyc06g076550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEKQKSSRIVLVPCPFQGHINPMFELASLLYLKGFSITFAHSQFNFPNPSNHPCFSFLPIPHDLSQFQASSSNFIALLLALNDNIAMPLRDILVHQQQQNDEILCIIYDSIMYKVAEVANNLKLPSIILETSSASLFWTYAAFNRLESEGYFPLKDSIAEDFVPGLDPLRFKDLPIFNFPNVDDLLHLIKTTSDIRTSSAVIWNTTECLEQPILEKLKQHYEIPCFPVGPMHKSIKNVINRTSLTTEDRNCIMWLDKQVAKSVLYVSIGSLASITEREVTEIAWGLVSSNQHFLWVIRSGSITGSDPKSVFHEKNLKEAIEKRGYIVEWAPQKEVLGHFAIGGFWSHCGWNSTLESICEGVPLICRPCFGDQKMNSRYVSYVWKVGIVLENELERGEIERVVRKLMVSEEGQEIRQRAMDLKLEIEKSVAENGSSYKCLDDLVKFLLSL >Solyc11g005880.2.1 pep chromosome:SL3.0:11:704703:709422:-1 gene:Solyc11g005880.2 transcript:Solyc11g005880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWGITGNKGRCYDFWMDFSECMSRCREPKDCVLLREDYFECLHHSKEYQRRNRIYKEEQRQLRAASQKKKEGVDGGGGGHH >Solyc11g042450.1.1.1 pep chromosome:SL3.0:11:36750707:36750868:-1 gene:Solyc11g042450.1 transcript:Solyc11g042450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIITIWVSVRWPGPPLSLDLNPSSFDPREKYWTRFPTEGSKITPPHPSCEFR >Solyc12g027730.2.1 pep chromosome:SL3.0:12:25970063:25973086:1 gene:Solyc12g027730.2 transcript:Solyc12g027730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKCCVEQKMGKFAFFLIYALLEWVMILLLFIEGFLAFFSNEYAKLFDLKIPCLLCTRIDHILVHRDASFYYNDSICEVHKKDISSLAYCHVHKKLSDIKNMCEGCLLSFATEKDADCDRYKSLVGILHKDIDCFVKDALHTKKEDNEVMLQTTTSFVWCSCCGEPLKMRSKSNPSSQAPWRNEDYTQLKFISDNDLDDGAGREDNIKGASEEINDNALKTANFTRNMFFGIPLSDSCQASPRWSHRPRKLSMELISESNDVVNESDNDILHRLKRQVHLDHKSVIALYMELDEERSAAAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQVMKDLLLKREEDIKVMEVVVETYRERYGDMKGVGSEVCEVGEYQEWNSYSFSSLSERSTCVSPDEGDQHRLGPFEPHLDFEHERCYLMGLLTKLEDKITTSKDGFYESEGKGSENKAILRTEVSVIRERLSAIEAESMFLKHATMTLQRDDEGIKLLTEIAQHLRRLRQSKDGSA >Solyc07g053860.3.1 pep chromosome:SL3.0:7:62395954:62404562:1 gene:Solyc07g053860.3 transcript:Solyc07g053860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESVKGTVTSLSSLFPVEEAQKAAKRVEEIISERQKQLDQLRDFADDNNTLIRLVQRLPDELHHDIMVPFGKAAFFPGRLIHTNEFLVLLGEGHYAERTAKQTVGILNRRGKALEVQVESVKALMQDLKAEASFFDATASEAAEGLVEIREDYVEETSPKEASMIGIVEPELPISSQAEDVSHVKDEEYDRIFSRLAELEKEEEEAEHANQEEIGSDDSDVSPGHVILEEEMKSLELEERGKSSRIQSTEKFPDHWHVEGSKATSKENCLPENLAYGKFNLTENAPMEPQAEEDVKAPVIAETKAEAHPSEPQFDSSKAFTGSIVEHNSDVNSKVQSVSRSSKPVSRFKMQRK >Solyc09g057925.1.1 pep chromosome:SL3.0:9:52587233:52587577:1 gene:Solyc09g057925.1 transcript:Solyc09g057925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLVDAGITKIPQIVILPPINRTDSSDSDGYNQPLRDVAKVSDASDTCGFFQVINHGIPIFVLDEMLQGDENFYKKDIKFKK >Solyc12g095850.2.1 pep chromosome:SL3.0:12:65944984:65955459:1 gene:Solyc12g095850.2 transcript:Solyc12g095850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSLLSHFSMVTYSAPRFVSSTSSGLSIGGYLRRTRMIRQQAGGDFRCFCSSVAVSEPVNSESSSSPVRKRIVSGVQPTGSIHLGNYLGAIKNWIRLQDTYETFFFIVDLHAITLQYEAQQLLKATRDTAAIYLACGVDPSKASVFVQSHVRAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMAADILLYQSDLVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGALFKVPEPLIPPTGARIMSLTDGLSKMSKSAPSDQSRINLLDSKDVIANKIKRCKTDAFPGLEFDNAERPECNNLLSIYQLVSGKTKQEVAEECRDMNWGTFKIVLTDALVDHLHPIQVRYEEIISDSSYLDEVLAEGARKAADIADVTVNNVYQAMGFLKR >Solyc07g062380.1.1 pep chromosome:SL3.0:7:65277246:65278125:1 gene:Solyc07g062380.1 transcript:Solyc07g062380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQRFYETWFDQLKEIVRQLNQVPRPATSDHHRELHQLLVQKVVSHIYEYYRVKSLAAKNDILSVFSAPWSTSLERSLHWIAGWRPTTAFHLIYTESSILFESHIIDILRGLRYGDLGDLSPEQLARVSEFQCETVHEENSITDELNDWQDGASEIIGLMGDIEEKMEKLVEILEKADKLRMKTIENLVQLLTPQQAVEFLIASAHLQFGIRKWGINHDRQRENP >Solyc12g099300.1.1.1 pep chromosome:SL3.0:12:67476326:67477474:1 gene:Solyc12g099300.1 transcript:Solyc12g099300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDVSSFRRFNRVPEELKKGSECSYSPKLVSIGPFHHGVDNLKTMEEHKWCYLNTLVSRKPIHTQTILDKCVKTLRNLEDKARKCYGENTFEQIGSNEFVQMMLLDSCFLIELFIKFVIKGFRRRDDILFINYDMFFRLRCDLILLENQIPFFILHQMFNLVPIPKECTYSLMQLVLLFFRKLIPGEGLVTIEKFGPSVHHILDLIHHCYLPTSPQVHSNGTQKHMHNVLHLHDVGIKFKRAISDSVMNVRFTKGRVLEIPSLKIHSYSEILFKNMVALEQCGTFRSGTKHVASYVYLMKSLVRSADDASYLTDREILDSSMYNDDEIFQLMMRLHVEFDVKDFYYSGLCEKVNGYKKKNKWKKCRQKFSNVYKKTAKRISC >Solyc07g043070.3.1 pep chromosome:SL3.0:7:56726303:56727653:1 gene:Solyc07g043070.3 transcript:Solyc07g043070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYGYPMVMVIEHLQCSMSNDLLCKFPDNSAFDFDYTQSSIWSPLVPRPLSSASNRRLSSGLSRKLSYDDVSLGCTNFNKVTAKIKRKLSNAVTENMREYHSLKKRKKKEFEFTRLPSSSKLTSTTPTPRKGWVKVLKAATKHFKKKKNKKIESTVDVNFCKSLTENSLVRTSTYP >Solyc07g019700.1.1.1 pep chromosome:SL3.0:7:13123403:13123573:1 gene:Solyc07g019700.1 transcript:Solyc07g019700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQEISRRATHEFHPSLPLCPPSNVVKEHCFSIISPYCFSPNLRFSVLFFSFILL >Solyc10g078940.2.1 pep chromosome:SL3.0:10:60711917:60715533:1 gene:Solyc10g078940.2 transcript:Solyc10g078940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCCGGGEEDVYSGGPPSNQNTAPPRAGNPYGGGAGGASDRGEPRSAARGGTPQKVLPIEAPVFTLDELNRLTGNFGQKALVGEGSYGRVFCAKLSNGQQVAIKKLDTSASPEPDSDFAAQLSMVSRLKNEHFMTLMGYCLEGNNRILVYEFATMGSLHDVLHGRKGVQGAEPGPVLTWNQRVKIAYGAAKGLEYLHEKIQPPVVHRDVRSSNALLFDDFTAKVADFNISNQSSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAIAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPESQA >Solyc11g006670.1.1.1 pep chromosome:SL3.0:11:1276895:1277629:-1 gene:Solyc11g006670.1 transcript:Solyc11g006670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKMNLGSWQWPSCTHSKTQSFRANHIFKTINSIFLDPSNTDHHHHGVVEIETTPESWFTNSSESASFSTESEETGEPLMELIIKGVRSERLFFEPNCTSSSILEHQDQSQDQNQNQNQSQSQSRDQDQSQSQSQEKLKEIEEDVDEELPFKESVALALESEDPYLDFKKSMEEMVDTHEIKDWESLQELLQWYLKMNGKNNHGFIIGAFVDLLIGFTPSNCDSITCYSSAASSFSSIEEKGE >Solyc06g064600.1.1 pep chromosome:SL3.0:6:40374737:40377276:1 gene:Solyc06g064600.1 transcript:Solyc06g064600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLLLVQCRNYCHTQAIASNSQISQFARLGQIQNARRVFDEMPKKNVTSWNSIITGYFQNHLPNEGQCMFDQMPERNIVSWNGLISGYVKNRMVKEAREVFDKMPQRNVISWTAMVRGYVEEGFVEEAEALFWQMPEKNVVSWTVMIGGLIQEGRVDEARRLYDMMPVKDVVVRTNMICGYCQEGRLDEARDLFDRMPKKNVVSWTAMVSGYAQNGKLDIARKLFEVMPEKNEISWTAIIISYVQYGRFEEAWKLFEVMPVRTTPACNAIILGIGQNGEVAKARMVFDLLKEKDDATWSAMIKVYERKGYELEALDLFHQMQVDRFRPNFSSLISILSICASLASLNYGREIHAQLIRTECDDDVYVSSVLITMYIKCGDFVKAKLIFDRFSPKDVVMWNSIITGYAQHGLGDEALEVFREMCSLGITPDEVTFVGVLSACSYTGKVKEGQDIFDSMNSKYQMEPGSAHYACMVDMLGRAGRLNEAMDMINKMTAEADAIIWGSLMGACRMHMNLDLAEVAAKKLLQLEPQNSGPYVLLSNIYASKGKWADVASLRKSMQSREVVKSPGCSWLEADKEVHMFTGGESMPHPEHESILKILEKLSPMLREAGYIPDGSFALHDVEEEEKLHSLNYHSEKLAVAYGLLKLPQGMPIRIMKNLRVCGDCHSAIKLIAKVTGREIILRDANRFHHFKDGHQARPLIIASMQPSGLEFHLLELVS >Solyc02g079950.3.1 pep chromosome:SL3.0:2:44884038:44886831:-1 gene:Solyc02g079950.3 transcript:Solyc02g079950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Photosystem II oxygen-evolving complex protein 3 [Source:UniProtKB/TrEMBL;Acc:Q672Q6] MAHAMASMGGLIGSSQTVLDGSLQLSGSARLSTVSTNRIALSRPGLTVRAQQGSVDIETSRRAMIGLVAAGLAGSVAKAAFAEARSIKVGPPPPPSGGLPGTLNSDEARDFSLPLKNRFYLQPLTPAEAAQRVKDSAKEIVSVKDFIDKKAWPYVQNDLRLRAEYLRYDLKTVISAMPKEQKGKLQDLSGKLFKTISDLDHAAKTKNSAEAQKYYAETVTTLNDVLANLG >Solyc01g106940.3.1 pep chromosome:SL3.0:1:94529409:94540017:1 gene:Solyc01g106940.3 transcript:Solyc01g106940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAPKQRWTPDEEAALKAGVRKHGPGKWRTILKDPVFSGVLYSRSNVDLKDKWRNMSVMANGCGSREKARLALKRMNQARKQDERSLSVSTEAISDEEMTEARLATTSSDSLQMRGSKRSIIRLDNLIMEAISNLKEPGGSNKTTIATYIEDQYWAPTNFKRLLSAKLKYLTATRRLVKMKRKYIIAPTLVLSDRRRIPSVPLLESSQRISSRVDPDDINTLTKAQVDFELTKMRSMTPQEAAVAAARAVAEAEVAIAEAEDAAREADAAEADAEAAQAFAEAAMKALEGRSIPRMVRYLYNIGSVTWFFLIASYIPSVPLSFLSFPSMDGNLNDNASLRARIKELERERDELHKDIEQLCMQQSGPSYIGLPTHVPLHRIAGLEQETENLKKKLAACTRENQNLQEELSEAYHIKGQLASLHSAEVSKNIEAEKQLKFFQGCVAAAFSERDHAVMEAEKAKEKEELISRGFHELQQRIEELHCELLEEKILTATLQSEMDGEERLDEAFKEVVHKFYEIRQQSLEDIEEDVSWEDKCGCLLHDLSEMWTFSNPEETSTLKYIILSEEKLRAQISAFRLYHSQHKIDITSLLDEGFSHIKSAVNMVDEKLKDCSMSERDINSSQVDNLKNELEHQDVRVNNDDGSELIFKRNQPSLTTTIAVGNSDASKALAVALNEKVETLLLLSQQEERHLLERNVNAALQKKIEDLQRNLLQVFL >Solyc10g008230.2.1 pep chromosome:SL3.0:10:2329555:2332797:1 gene:Solyc10g008230.2 transcript:Solyc10g008230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPVIVEIFINCWREKVGMAHAAVVSLQQKLQEMLKGDDSRYPRLCEAVSSWHAFLEDSLSVRNAPEAVEHLEKWVEDLATQLLDGIHLYELEKRSPDFSPVGSKVFQEVVVTAGSDSIKAYVMKVMNAQNDNDALPTQDTVESDSKCIPHLQATMLDLDNDLMTVKSRLIGPPSKLTVVSIVGMGGIGKTTLARKVYDDIYMEHHFYIRAWITVSQMHQHREMLLGILRCFSLVNDNTYQKNIEQLAEQVYRSLKGRRYLIGMDDVWDTNAWDVVKRSFPDEKNGSRVILTSRLANVGIYASSGSPPHYMRCLSVDQSLKLFNLKVFGRETCPLELEKATKQIVEKCQGLPLAIVVVAGFCSKISKTEDCWEDVAHKIGLVVSRETEECMDLLALSYKHLPLHLKTCFLYMGAFPKDFEITVSKLMKLWIAAEFVKRTPEKDFEEVAHGYLRDLIDRSLIMVKKLTSSGEVKTCKVHDLLHDLIIREAWKERLIYFTKSNVILSPPVASFEHRIIFNFHRAPSTHLKHVYDKPLLPCASSFLCFGRDGTPRCCSQVDSFVTFTNFKWLTVLDICFQPFDHLPCEIWKLSTLKYLALASFSVLPPSVCNLRYLQTLIRYSHQASICLPAEIWEIKNLRHLYFRKCCYFPIVQSIQKDSLGSSRHSNLALTKLRTISYITFGSIKRRVLKRMHKLKKLGIRESEEECLTAEQMSGNLKKLVLLEHLETFKGFFIKPWLPKQCDVFPPTLKKLTLRGSLQLTTLQIGFEKNKLAWEMMILWCGSTNSQTLNPKACICFKFGVLP >Solyc04g076890.3.1 pep chromosome:SL3.0:4:61909717:61911495:-1 gene:Solyc04g076890.3 transcript:Solyc04g076890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-fold modifier-conjugating enzyme 1 [Source:UniProtKB/TrEMBL;Acc:K4BUC6] MEGWDPSTKSTLTQIPLLTIKAGPRDGAAWTQRLKEEYKALIAYTSMNKSKDNDWFRISAANPEGTRWKGKCWYVHNLLKYEFDLQFDIPVTYPATAPELELPELDGKTEKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEIPVLVDSGMIKHKDDVATSSES >Solyc09g011535.1.1 pep chromosome:SL3.0:9:4832140:4832348:-1 gene:Solyc09g011535.1 transcript:Solyc09g011535.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQVKLLGFWYSPFSHRIEWALKIKGVKYEYIEEDPHNKI >Solyc03g119420.3.1 pep chromosome:SL3.0:3:69521668:69529001:1 gene:Solyc03g119420.3 transcript:Solyc03g119420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Anamorsin homolog [Source:UniProtKB/TrEMBL;Acc:K4BM91] MDGQVGDGSILVLVEDVMVPISLVYNAISILKKEGVEKLDPMIVTQASTQSLTSVESASRDIVALICRSLEFPSDKMCGDISRVLKPGGTVLLSLSSQSVSKANSTHERKLLLAGFSDAQSSEAGHSIMITAKKPSWKVGSSFSIKKITKSLPKVQIDDDSDLIDEDSLLTEEDLKKPQLPNVGDCEVGKTKKACKNCSCGRAEAETKVQLGPTAEQLDNPQSACGSCGLGDAFRCGTCPYKGLPPFKLGEKVTLSASFLDADI >Solyc11g056250.1.1.1 pep chromosome:SL3.0:11:45588075:45588245:-1 gene:Solyc11g056250.1 transcript:Solyc11g056250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGPVHTARHTLGIGFARSIGPMITHDFCGTLVPQRPFVVLLAHTTVGSSTGVKS >Solyc05g054497.1.1.1 pep chromosome:SL3.0:5:65263670:65265065:-1 gene:Solyc05g054497.1 transcript:Solyc05g054497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWPSV >Solyc04g079700.3.1 pep chromosome:SL3.0:4:64148315:64150236:-1 gene:Solyc04g079700.3 transcript:Solyc04g079700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHSMPNMSSIAVMDDDDFDVRHSSFSAYDPNRLSEDGSPPMLSPWNQTCSPFTKSSWHNLDDNFPKNLPQNGLIGSLVREEGHIYSLAAKNDILYTGSDSKNIRVWKDMKEFSAFKSNSGLVKAIIIAGEKIFTGHQDGKVRVWKVQSKHPNNHKRAGSLPKLMDLFKASIKPSNYVEVKRNRTTLWIKHCDAISCLSMDHSEGLLYSASWDRTFKVWKVDKSKCLESVKAHDDAVNSVVASVDGIVYTGSADGTVKVWKRESNGKTVNHVFVQTLLNQECAVTALAVNKPGSVVYSGSSDGFLNFWEREKKKLTHGGVLKGHKLAVLCLAAAGNLVFSGSADKNIIVWKRDGPVHMCLSILTGHNGPVKCLAVEEDKESSSTGSEKKWVVYSGSLDKSVKVWSVTEMANNLHEMATMQQNGQEDNSWDSIPSVKYSSDGLSM >Solyc02g078850.1.1.1 pep chromosome:SL3.0:2:44064149:44064586:-1 gene:Solyc02g078850.1 transcript:Solyc02g078850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKISITLLALLLIITFNSTIATRHIHPNKHGVGKTKKGSGEVRNNNGGDVGFGGIFGPGGGFNIPGLGGGVFGGGFGGPKGGYGKGGVIRSSVVCKVKGPCFGMKLICPAKCYKSYSSAGKGYGFGGGSGGCTMDCKKKCLAYC >Solyc05g009240.3.1 pep chromosome:SL3.0:5:3379236:3384693:1 gene:Solyc05g009240.3 transcript:Solyc05g009240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKHLSSIANDVIHSCAMRLGTSADELVHEFEDGWDPKMGCYSTKLVEFCCSKALTDVCSKLEEALVDGSFSRITFDMMLAWQMPSSDDEERHSECVAKEKEDRKVTCKLPREQDDISLFYSDVMPLLVDNEPGVGEDAFLWMGTLVHLVADIVNGRFTFETLTASTENRLHYPAYDMFLKEIEKCVKHLQTQMTPTGMEMADDEFILHVEGTASSQRVVRHIGGTSWPGRLTLTNYALYFEASGVLSYKEAIKLDLSKDCEQSVTTAATGPWGAPLFDKALVYESSELQEGIVIEFPEITSSTRRDHWLSLVKEIMLLHRFLRKFKIDSPLESWEMHARTILGIIRLHAAREMLRISPPGPKNFLIFDLLDELPKGDYVLKELAESLKKVDTRHPCSASSILRSLNVSQMFVPSVELKEIQKDINDVPTSPVQVDHVSSLGSAIDQSREEAKEIQKAKATVEDLKDEGIGNSVQVLMGLLKPLKKLVPYVEEAFTWERPLSSTILVVTTMVVIYNEWVGKAIAALLLGIVATMIWARRRRIPDKVDRIVIYTGSDQTTMESIVSAQLGLRSVYDLIKTMNVTILKIWSVLVSKAPKHADMVMVALVVAAVILAVVPFKFILMALTSYGFLAASNIGKNKQNEKGNRRLQEWWDSIPVVPVDIVDEIEPNT >Solyc02g072240.3.1 pep chromosome:SL3.0:2:42117172:42121668:1 gene:Solyc02g072240.3 transcript:Solyc02g072240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cellulose synthase [Source:UniProtKB/TrEMBL;Acc:K4B8J8] MMESGVPICNICGEQVGLANTNGEVFVACHECNYPVCKTCIDYEIKEGRNACLRCATPYDENEQESTNHAIVASHPDTAQDAGVHARTVSFVSTVDSEYHDDTGNPIWKNRVESWKEKKNKKKKNQSKAVVQEAAEVPPEQQMEEKPQLADAAEPLSRIIPVPKSQITPYRIVIIVRLIVLCLFFHYRVTHPVESAYPLWFISVFCEIWFAFSWVLDQFPKWSPINRETYLDRLSARYEREGEPCQLAPVDFFVSTVDPMKEPPLITANTVLSILAVDYPVEKVSCYVSDDGGSMLTFESLAETSDFARKWVPFCKKFSIEPRAPEFYFTQKFDYLKDKVQPSFVKERRAMKREYEEYKVRVNALVAKAQKTPEDGWTMADGTPWPGNNSRDHPGMIQVFLGHSGVHDIEGNELPRLVYVSREKRPGYQHHKKAGAENALIRVSAVLTNAPYILNLDCDHYVNNSKAIREAMCFLMDPQVGRDVCYVQFPQRFDGIDKSDRYANRNIVFFDVNMKGLDGIQGPVYVGTGTVFNRQALYGYSPSNLPTIHKSSSSFSCCCRRKKPAKEKDLTEVYREAKREDLNSAIFNLREIENYDDHERSLLISQMSFEKTFGMSSVFIESTLMENGGVPDSANPSTLIREAIHVIGCGYEEKTAWGKEIGWIYGSVTEDILTGFKMQCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGFGGGRLKWLQRLAYTNTIVYPFTSLPLIAYCILPAVCLLTGKFIIPTLSNIASILFLGLFLSIIVTSVLELRWSGIGIEDWWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAADDGEFADLYLFKWTTVLIPPTTILIVNLVGVVAGFSDALNKGYEAWGPLFGKVFFSFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVSKDDPSAMVQNCIDMDC >Solyc11g043130.2.1 pep chromosome:SL3.0:11:33753033:33769721:1 gene:Solyc11g043130.2 transcript:Solyc11g043130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESMTELCDLIAQNPAQFVEKLAWICGRCPPPEALLVGSPRVSRSQLNAILAVARFLSKCPSHSDEMPKSIILAFYRSIPSSFNQSFWPQSFTNDSILSFFREFLEYICKACELSPEFSIDVARFTGNILISALGNPNGDFGVSNAVLKAMCYNFPPIPHDDANKLVLALLEQFDNFVPQELATSAHSSPMSMSNVDLLPASSGYSDGGGDAAEKRAIASFEEEPIERIEKQEIALKLIGNVLEKVTIDANLLESVRGIVKQQFQSMVTFLKIRKRDWSEQGQSLKVRINAKLAAYQAAARLQIKALASLDLDGKSSKKLLQGALASLIGAAEACLFSVWRKWRACEELFSSLLSGISHAAVARDGQMLRVLLIRFKSLVLATCAQTDTWGSNQGPMFESVLKTSCEIIQFGWNKDRSPVDTFIMGFPICERNGYEEEVERENHAVPSLQLNVIRLLADLNSSVKRPEVVDMILPKFIESLEERDASIPGLLRLRLLDAVARMASLGFEKSYREAVVLMTRSYLSKLAAVGSAESNTAAPEATTERVETLPAGFLLIARHLTTPKLRTDYRQRLLSLCSDVGLAAESKSGKSGADFLGPLLPAVAEICSDFDPTVDVEPSLLKLFRNLWFYIALFGLAPPLQSHHTMTKSVSTTLNSVGSMGAIALQAVSGPYMWSADWSSAVQRISQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAAISQRTALCAALGGRMEVSAMSTISGVKSTYLLAVAYLEIIRFSSNGGILNVGPSSTASRSAFSCAFEYLKSPGLTQAVSQCLTAIVHRAFETAVAWLEDRRSETGPEADYRESTLSTHASFLVKNLSQRDEHIRDISVSLLNQLRDCFPQILWNSSCLDSLLLSVQNDPPSSVVNDPACVASIRSLYQKTVREWIVVSLSQAPCTSQGLLQEKLCKANTWQKSQPTAEVVSLLSEIKIGTGKNDCWTGTKPANIPAVMAAAAAASGAKLKLTEAFNLEVLSTGMISATAKCNHAGEIAGMRRLYETIGSLDRPAVGSVDIKENMQQNPESFSEVLLTKFVRLLQKFVNAAEKGGEVDKSAFHETCSQATALLLSDLGSGSKSNVESFSQLLRLLCWCPAYILTSDAMETGVFIWTWLVSAAPQLCSLVLAELVDAWLWTVDTKRGLFASELRCSGPAAKLRPHLVSGEPEAPPEKDPVEQILAHRLWLGFFVDRFEVVRHDSVPQLLLLGRLLQGTTKLPWNFSSHPAATGTFFTLMLLGLKFCSCKSQGNLRNLRAGLQLLEDRIYRASLGWFAHQPEWYDMNKNFALSEAQSVTMFVHHLLNEQLDTPQLDSRGRALENGSSLNDVRDQYHPVWGQMESYAVGREKRKQLLLMLCQHEADRLDVWAQPTVKEITSRLKISSDKWVDFARTAFSVDPRIALCLAARFPTNNHLKAEVTQLVQTGIRCLNLTKKTISNMDRGT >Solyc04g071440.3.1 pep chromosome:SL3.0:4:58506204:58510657:1 gene:Solyc04g071440.3 transcript:Solyc04g071440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubby-like F-box protein [Source:UniProtKB/TrEMBL;Acc:K4BTD6] MSFRSIARDIRDSIGSLSRRSFEVKLSSHNRGKSQSAVHELHDHAIVIQSSCWASLPPELLRDVIKRLEASESTWPARKHVVACAAVCRSWREMCQEIVQRPEFSGKLTFPISLKQPGFRDGTIQCFIRRDKSKLTYQLFLCLSPALLVENGKFLLSAKRNRRTTCTEYIISMNADNISRSNSSYIGKLRSNFLGTKFIIYDTQPPYNSSSIPPPGGSRRFYSKKVSPKVPTGTYNIAQVSYELNVLGTRGPRRMNCVMHSIPVSSLEPGGTVPGQPELLPPNLEDSFRSMSFSKSIDASTEFNSARFSDIGGPSEVDEEGKSSLLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQRAASAPTPAQPAQSSSDQDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Solyc10g019050.2.1.1 pep chromosome:SL3.0:10:11326641:11326772:1 gene:Solyc10g019050.2 transcript:Solyc10g019050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PAHSNPPIRPGFPFYFAQEETQIRERMTTSIPVHPERQAIPIA >Solyc08g082030.3.1.1 pep chromosome:SL3.0:8:65068283:65068788:1 gene:Solyc08g082030.3 transcript:Solyc08g082030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKGENIDKASSSTSSIKWDCGSPLYDSYELVSLVHMIERNTMILPVTEAGYSSPEPEIDVVKMRRCYSSKEGENINSDGNK >Solyc02g023990.3.1 pep chromosome:SL3.0:2:25172246:25179141:1 gene:Solyc02g023990.3 transcript:Solyc02g023990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHFSVAYGKECVSDLGFSNQKMKLNFVTQRVKRLYLRRGYTFSTLASAVRWEGGVSMVQGASRGIGLEFVRQLLEKKDKGYVVATCRNPSGAAGLLELKNKFPERLDIHPLDLTVESTIEDSVKSIGDKYGSVNLLINASGVLSTPNVLQPETTLSKVQRSSLLLAYDINAVGPILVIKHMWPLLKSGGGSGTDKDFAIVANLSARVGSIGDNALGGWHSYRASKTALNQLTKNVSVEFARRKDPIICILLHPGTVDTDLSQPFQKNIPKEKLFTKEFSVQKLLSIMNNTKRCDNGKFFAWDGQEIPW >Solyc12g040400.1.1.1 pep chromosome:SL3.0:12:54806164:54806349:1 gene:Solyc12g040400.1 transcript:Solyc12g040400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLIHLKPTCFLVYGLHLLGAVSKLASMFDLIILLCPLKILVKIRDMSYPLLVKLIMRYL >Solyc08g068716.1.1 pep chromosome:SL3.0:8:57916750:57918193:-1 gene:Solyc08g068716.1 transcript:Solyc08g068716.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLGPRGLQQQSEPNTSTADYNHSNSAHTAGTPVDVYVKLTSRQFDDHMKVKEAIEDPLVDHKAYQKLIGKLLYLNMTRPDITFSTQSLSQFLQQPKKSHINAALRVVRYLKKEPGQGLLFSSSSNEEIDAFCDADWGSCAITKRSDI >Solyc10g055630.2.1 pep chromosome:SL3.0:10:57054673:57056433:1 gene:Solyc10g055630.2 transcript:Solyc10g055630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmamembrane intrinsic protein 29 [Source:UniProtKB/TrEMBL;Acc:K4D1F3] MSKDVIEEGQAHHHGKDYVDPPPAPLLDMAELTKWSFYRALIAEFIATLLFLYVTVATVIGHKKLNALDQCDGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYIIAQSLGAICGVGFVKAFMKHYYNTEGGGANFVQPGYNNGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGNEKIWDDQWIFWVGPMVGAMAAAIYHQFILRAGAVKALGSFRSNQTN >Solyc06g024415.1.1 pep chromosome:SL3.0:6:11196702:11197603:1 gene:Solyc06g024415.1 transcript:Solyc06g024415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPSLDSTHRRTMSVVAWHHRPWTTHTIDNVGRGITSLPLDDTHGRTTSGVTTHTVERRWAWHDITDLGQHTRLNKVGRGMPSSPLGNTHHRTTSAHTVERRRAWHAILALGRKTQSKDVRRNISSLPLDSTHSRTTSCVACHHRPWTTHTVGNVGHGMTSSPLDNTHSRQRRTWHHITALGQHTRSDDVGRGMTSPPLGSTHSRTTFGVA >Solyc12g089160.2.1 pep chromosome:SL3.0:12:65249029:65252332:-1 gene:Solyc12g089160.2 transcript:Solyc12g089160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHFAVDSLANFTHLTSFNSSGFVLSGPIPYWFGQKLTQLKHLDLSSSSILGSLPSSLGSLTNLDYMSLFNNSITGTIPLELGKLRSLRVLDLSWNSLTGEIPSSVSNIMNLSVLDLSSNILSGKIPVEFGSFLGLKLLNLSYNSLSSYIPSEISNLSGLVELDLGFNYFSGSLPEGLLRMRNLSKLLVGNNELEGALSSSLFTSNDSVLEYINLRSNRFEGKVPDVLWFLSDHLRVLDISGNNFTGVVSNSTYFSVTGASYNLSNNLFYGNVTFDVRSFQSIDLSKNYFQGLAPAISGVRVMDNCFKGLLNQRSLDDCSKFYADRGLVFVDGGIGTRVHRASKGRHKCLFVMVGVFGGVGVLMLMALVILLFWKICYRGNTNERGISNVSPVVEGGNNQAAPVKFSRDTSGSIESFTYDQILKATSNFNETDLIKNGHTGDIFRGILEGGVTVVIKKVNVHHIEKETYKLELDLLNWTTHHRLVPFLGHCLDHENEKFLVYKYMPNGDLFNLLSRDTDLEDENEQSLDWITRLKIATGVAEGLVYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLSDVCAQEGDSQNLITKFFRTTKNPEGEPAGTSFTSCSTYDVYCFGKVLLELVTGKVGASHLDDVSTKEWLEKLLPFITIRDKELVSKIVDPSLIIDDDLLEEMWSVAIVAKACLHPRPSRRPEMRRVLKALENPFKVVREGSFNSIRLRNTSSRRSWSAAFLGSWNLSSQDSINASGQTSKEAGMNLKQSGRVGLSHSISSGNEHSSSCKRSSSEIFPEPQDTLDIERQDMS >Solyc06g005460.1.1.1 pep chromosome:SL3.0:6:479038:479598:1 gene:Solyc06g005460.1 transcript:Solyc06g005460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTFSSSFSPFDSTFVLCLIVASLITPIRSNYLSDVCIKSKSPKFCLRVFGPNPHRSPYELTQEAINLALRNAYAIANKIAMFYYETNDNNLKTIYNYCSDYYRNAINALRGAKENFRKDGLRYNSVYVAGNFAQKANFYCENEFQRIIGYVYASTLTKNNERMVNFGSIIVAAADVLSNSTLVEK >Solyc08g075030.3.1 pep chromosome:SL3.0:8:59308275:59312340:-1 gene:Solyc08g075030.3 transcript:Solyc08g075030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectin acetylesterase [Source:UniProtKB/TrEMBL;Acc:K4CMM1] MKIEVVHEIHMRGLTKTFFLALLALLCITHVLGTDDNYSYINITVLHSATAQGAVCLDGSPPAYHLDRGHGTGLHSWVIYLDGGGWCNNISDCLNRSTKFLGSSTKMKRQSFFGGILHNTSKENPEFHNWNRVRVKYCDGASFTGDVEQINPENKLYFRGARIFKAIMEDLWCKGMKNAENAILTGTSAGGLATILNCDKFKSLLPGSARVKCVASAGFFIDGKTITGTSHIQEMYEEIVSLHGSAKNLPSACTSAMEPSLCFFPQNVVSYIQTPLFIINSVYDSWQINNTLVPSYLDPQHAWKGCIKNISSCTSSQLIIIRAFGVEFLKIFGALPPCFTRGYFLTSCYSHGDILSTSYWYNTTSPRLLSKTIAEAVGDWYFERAGFQHIDPYPDVKNCK >Solyc09g059810.2.1 pep chromosome:SL3.0:9:56284658:56285405:1 gene:Solyc09g059810.2 transcript:Solyc09g059810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLEEVKDGYKEEIYEMIIDRSMLLDESFHHIVDEDPALLRGNLLMQFKHEKDVWPGVLREWFFLRRFFPNSESKVDPVHLEYFTFCGRMIALALLIKIQIGFVFDRDISLEDILDVDPTLYSSCKQILKMHPQKVYQDILSLTLVNDVEEMGSRTTIELCPNGKDILVNSKNRKQYVNLLIQHFFVMSIAS >Solyc07g052620.1.1.1 pep chromosome:SL3.0:7:61213066:61213458:-1 gene:Solyc07g052620.1 transcript:Solyc07g052620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSGRREGDEKTSSTSYCKGPSKEGESIISSAITCALCSSEASVYCEADNAFLCRKCDRSVHGANFLAQRHIRCLLCSVCRKTTRRFLIGTSSELILPTIARLEQRNRSRSAESETTDYRTTPQELFLFI >Solyc06g048875.1.1 pep chromosome:SL3.0:6:31941266:31941617:-1 gene:Solyc06g048875.1 transcript:Solyc06g048875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKLNYLIVTRTNISFPMSFANHQLLIKVYPSRIKTMSISLDIQTPFDRRSTSEYCVLVGGNLVSIKSKKQSVAALSGTEVEYRAILVETCELV >Solyc12g016165.1.1 pep chromosome:SL3.0:12:6239895:6250138:1 gene:Solyc12g016165.1 transcript:Solyc12g016165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSGFGGNGVSLTGGDGDSMTGGGGDSATGDGDVSVTGGGGDVDRSDLGEDVDGLVVGIHRQRMHTCYGKVEHIPHVQADITLINKGSMRSDFKMKIIDFQTEIDRSYSMIIFGSRKHNDLLFTWAYLVENVPENILYYKRC >Solyc09g090450.1.1.1 pep chromosome:SL3.0:9:70438102:70438878:-1 gene:Solyc09g090450.1 transcript:Solyc09g090450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKETVQSSTLNLQQLNIIPKETVLNLQQFTMMPKETVQSSTLNLQQLNIIPKETETAQSSTLNHQQILKPIMIPKETIQRRRRNSSKPKLMQEVKIETVTPPFPWATNSIATVHTLQYLLSKQLIIISGDVQCRRCEKRYTMEFDLKEKFVEIGSYVMKNKTFLKERAPSIWANPILPTCQFCNQENSAKPIICSDKNKINWLFLLLGQMLGCCTLDELKFFCEHTNNHRTGAKDRVLFLTYLTLCRQVDPTGPFHR >Solyc04g018110.1.1.1 pep chromosome:SL3.0:4:9603463:9604191:1 gene:Solyc04g018110.1 transcript:Solyc04g018110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESYLNLLHFFFLSTSFINSYSFSKFSTMKFPKNFFKSKKSRSLSRSDDPSYSSSSSSSNKGGSVGGISTPTSVLPTLSNEISADEWSDISTAGVYSDLVRAFSVIDNGDGKIKKEKLEAILSRVGGKSPPSEEELVLLLDELDENGDGCISLENFETITSAFESTPTSAAGDAGEMRDAFDFFDEDHDGKITGEELFNVFRMIGDERCTLEECKRMITSVDKNGDGFVCFDDFCLMMEQQR >Solyc05g018562.1.1 pep chromosome:SL3.0:5:22254126:22254655:1 gene:Solyc05g018562.1 transcript:Solyc05g018562.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDIFRPHLRHFVLPFLEHAFGASRDCLTVARLSALSVFFGQSSVDYLDHIISAQGLAVNPDKIEVIQQWHSPKTGRCITCCGKTPTSGQRQKSRPLTLVRPNYFLLLSYVCLTLAKNFRLRLMLRGKALVLYFL >Solyc09g083350.3.1 pep chromosome:SL3.0:9:69437776:69444479:1 gene:Solyc09g083350.3 transcript:Solyc09g083350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVPSHQFYPRTTRLSFFRYSSSKPFKKPNFHAPHDIVNQDCIFKQNPSKRSNFVVTPHDVVNKDCIFKRTPLKRSNFVVKNSSRRWNLDTISPNLKSRDSGTSVFSSSWLGKWNETRNDIKLKKAQIVLNYRNSNGDTSGSDCEESISGSTMDRIVEKLKKFGYADEATEKEKREKRVVEKGSIEDIFFVEEGILPNVRGGFSEETPFGDENIIAKDGVVGFPWEKPLVKKEESNSMASRSRTHLAELTLPASELRRLTNLALRIKNKSRITGAGVTQQVVETIREKWKTSEVVRLKVEGAPALNMKRMHEILERKTGGLVIWRSGTSVALYRGVSYETPSERMKKRIMRRDEIRQKNSPIVDGESNQNSRNDVDSLREDSVDTSEENKSIDRQSEVNYEDEVDKLLDGLGPRYTDWPGSGPLPVDADLLPGIVPGYQPPFRILPYGVRSTLAAREATALRRLARVLPPHFALGRSRQHQGLASVMVKLWQRSSIAKIAIKRGVQLTTSERMAEDIKKLTGGMLLSRNKDFLVFYRGKDFLSPEVAEALLEKERLAKTLQDEEEKARLRASLNLTAGVTTINSSRTAGTLGETLDADARWGKRLDDKHKENVMREAELLRHGDLVRKLEKKLAFAEKKLMKAERVLSKVEETLNPLDRHAEPDSLTDEERFMFRKLGLRMKAFLLLGRRGIFDGTVENMHLHWKYRELVKIMVKAKNFEQVSKIALALEAESGGILVSVDKVSKGYAIIVFRGKDYSRPPTLRPKNLLTKRKALARSIELQRREALLEHISAVQTRVGQLTAEIEQLASLKDSADDELYDKLNSAYSSEDEDSEEEGDDAFIEVFDNDNDVVHRSDDSDDIPHPEREFQYIHQNESERELV >Solyc07g062680.2.1 pep chromosome:SL3.0:7:65500244:65501822:1 gene:Solyc07g062680.2 transcript:Solyc07g062680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESLRFQFGKEEEENQQQSENEPQLYLHPHNQQQQPFFNQHHLIYGRLDSQQLLPPQPTPKKRSYLPSTSSLGQNVEHAAALRGKMAETSRLGIRNTVGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKPAIDELAELPAWKPTIGTASAAAATNTNLEQEQAQKQQEDNNFAFQQGNVSLFDNVAGPSSKRAIESNTASFLPPSLESDAIADTIKSFFPMGSSTSANSSAMQFHSFQEPHMLSRANSQNQDLSLSLQFQDPILLHHQNQQAQHHNQTNHREQEQVQGQAPAHFGGNTPLGFDTSGWSMHQRLRSWSDSREIGPGGSGGAVTGPGGYLFNSPPAPALLQQLFGQNQFFSQRGPLQSSNTSSVRAWMDPSAIAIASGDPSNHHQAALSMYPSTIPGYGFASEVGGFSGFRIPARIQGEEEEHDGISDKPSSASSDSRH >Solyc03g123780.3.1.1 pep chromosome:SL3.0:3:72014777:72018040:-1 gene:Solyc03g123780.3 transcript:Solyc03g123780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKDSRLQSGLTYHQFRQAMLLTSIHSSSPHHKSLYYPIILILVLLLSSVATICHASCNQLDRDSLLSFSVGISSPSPLNWSSSADCCTLWEGVACDDNGRVTTLWLPSRSLFGNITPAIANLTKLSQLSLSNNRFFGPLPDGFFNSFSTLQIIDLSYNRLSGRLPLSDRLPSPIKTVNLSSNHFNGTILSSFLEPAINLESFDISNNSFSGPIPSFICSYSAAVRVLDFTSNDFRGQMPQGFGSCSSLVTLRAGFNHLSGFIPDGIYSVSTLQEISLPGNKFSGPIPESIVNLVNLRILALYGNELTGLIPQDIGRLSRLEQLLLHINNLNGTVPPSLMTCTRLTVLNLRVNFLEGELSALDFSNLSRLGIIDLGNNFFTGSIPQSLFSCRSLTAIRLATNYLTGDISPGIMSLQALSFLSVSNNSLTNFAGAIEVLKGCKNLTTLILTKNFYNETLPDNRDLIGSEDFQNLQILGLGGCNFAGQIPTWLVKLGRVEVLDLSMNQITGKIPGWLGTLQNLFYLDLSQNFLYGGFPVELTQLQRLASQEAADQVDRSALELPVFVQPNNASNQQYNLLSNLPPAIYLGNNNLDGNIPTEIGQLKYIHVLDLSKNNFTGNIPETISNLTNLEKLDLSANNLSGEIPSSLKGLHFLSSFSVAHNNLEGPIPTGGQFDTFPITSFLGNPGLCGQILQHPCPDRSGITQPSAVRKTSKRKILIGLILGISFGIAFTVIIIAFWIFSKRRILPRGDAEKNDLEIVSYNSTSGLSAEIGKDNSMLVMFPTNKDQINDLTIFDILRATNNFNQANIVGCGGFGLVYKATLADGTTLAVKKLSGDMGLIEREFKAEVEVLSTAQHDNLVSLQGYCVHDGCRLLFYSYMQNGSLDYWLHEKTDGASQLDWPTRLKIAQGASCGLAYMHQICEPHIVHRDIKSSNILLDEKFKAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYSQSWIATLRGDVYSFGVVMLELLAGRRPVDMSKPKMSRELVVWVHLMRNEGKQEEIFDPILRDKGFEEEMLQVLDVACMCVSQNPFKRPSIAEVVEWLNRVVSNEGAPK >Solyc01g106970.2.1 pep chromosome:SL3.0:1:94566323:94568509:-1 gene:Solyc01g106970.2 transcript:Solyc01g106970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITALYMPSTLAIKASQQLSNFVPIFLTIRSGRAKIQHNTTTKRPSGTGIYSNPAAADSNICIRVSQDLQKKISRYLISQEADYMSFRLVCKLWRSIAPPLRWKVAVDGDDDATRCYDQDSMWLLSLNQNDGLCTFYNPFRNFNCYMSNNDLIGCEIRYAKDGWLLVSKGKSLFFVEPGKQIIHLPQKADEYFCDIMSFSASPTKSSAWVIFGIALFMSLHVRISYLKKGDDKWTTIIRDGNFPPSCCSPVYFGEEFCVLSQLGDVGVFGFFKDGTPYWFVHKLSDVYSPPICGACRLFLVQHDEYLLHSVVVTPQYDVHVYELYFPSNIIVKLVKQVKNWLLFTSEASSFGVCGMKVNVDNAVFFPTFNTSNNYTYYSLEDVAFKVLKDNCTEKTQQKELLNRVWIRCELKRN >Solyc08g059715.1.1.1 pep chromosome:SL3.0:8:44920727:44920753:-1 gene:Solyc08g059715.1 transcript:Solyc08g059715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSKLHKLQ >Solyc11g040220.2.1.1 pep chromosome:SL3.0:11:38446196:38446417:-1 gene:Solyc11g040220.2 transcript:Solyc11g040220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGSRSFHLQKSCCSAFVYPAASKRKYNWSVKAIRRKTTGTGCMRYLPNVPHRFKTNFREGTEVAPWKKGVACP >Solyc02g082320.1.1.1 pep chromosome:SL3.0:2:46585019:46585948:1 gene:Solyc02g082320.1 transcript:Solyc02g082320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCFSSGLCPHSVVLWNIATHKFTTFHGIIHRVPPKNHITMRSNSLATDLATGFTFLPITNEYKLVRIILYLNHSAYIRITRWDDSMKFLPATEIDVDFPYQITQFGGRCLNANDAIHWLGRRVEGGPSIVIAFDMTEDKLREIGTPFTNGMERCKSYHRKLLLLNDDRLAIFGSLLSDENQQGYCNYSYTLWALNDYRCASECWSKQYTFETEYDLQPMGFRFNGEVVVIRMKGLPSKMCLYDRHTDQIKDLPVVIDNSMYYSSIFYYKESLVPLPPPPSNNNVCSFQYCPFQYCPPSIIHPDDEEEE >Solyc02g011975.1.1 pep chromosome:SL3.0:2:14177176:14177511:1 gene:Solyc02g011975.1 transcript:Solyc02g011975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRFMDGIKYVVFIDKSIRLLGKINILLMSNQDQLRQK >Solyc08g016720.1.1.1 pep chromosome:SL3.0:8:8729953:8731698:1 gene:Solyc08g016720.1 transcript:Solyc08g016720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCED2 description:9-cis-epoxycarotenoid dioxygenase NCED2, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:K4CJJ1] MTSTIANYRVSHSFSPSTSYSLDFTLPSKSISMKNHTTTKTKIHSALLTLPKQNNTPKNQPQFQTSHWNFFQKAAAKALDIVESALVSRELQNPLPKTADPRVQIAGNFAPVPEQSVRHNLPVTGTIPDCINGVYVRNGANPLFEPVAGHHLFDGDGMVHAVTVENGSVSYSCRFTETERLVQERELGHPVFPKAIGELHGHSGIARLLLFYARGVFGLVDHSHGTGVANAGLVFFNNRLLAMSEDDVPYHVQVLPSGDLQTVGRYNFDDQLKSTMIAHPKIDPVSGELFALSYDVVQKPYLKSFKFSPDGEKSPDVEIPLDVPTMMHDFAITENYVVIPDQQVVFKLQEMIKGGSPVIYDKNKKSRFGILPKNAENSENIIWVESAETFCFHLWNAWEEPETDEVIVIGSCMTPPDSIFNECNENLKSVLSEIRLNLKTGESTRRQLLSPSDQVNLEAGMVNRNKLGRKTQFAYLAIAEPWPKVSGFAKVDLSTGEIKKHIYGDKRYGGEPLFLPRNVNSEKEDDGYILAFCHDEKTWKSELQIVNAMTLELEATVKLPSRVPYGFHGTFISSKDLQNQV >Solyc07g054780.1.1.1 pep chromosome:SL3.0:7:63110918:63111169:-1 gene:Solyc07g054780.1 transcript:Solyc07g054780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSRRAWIVAASVGAVEALKDQVGLCRWNYPLRSLAQHTKNNVRSYSQAKKLSSSITTKSEKMEKSEESLRKVMYLSCWGPN >Solyc06g007640.3.1 pep chromosome:SL3.0:6:1645658:1651100:-1 gene:Solyc06g007640.3 transcript:Solyc06g007640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDTQSVATLMDSTTSKIQQLQKAFAELESHRAVTLNLKWKQLEEHFHGLEKSLKRRFTELEDQEKEFETKIVQSKKILENRQAAVISSEKASLESLQEKRDAAVSAITIAMEKHMKSNFMEPAGATSEVQGESSMFDAKPLDYIPLENTEDYMKPFKNGVVEVRGYPDLVKLCQYMDSEGLHKFISDNRKNLAAVREEIPSALRTAVHPACLVLDSLKGFYPSEVSISDAKKDANLLGLRRTCIMLMECLSILLTTLELDSVSSLISASVKERAKAIAEEWKPKLDELDIDANNGNSLEAHAFLQLLATFGINSNFNQEDLYKLIPMVSRRRQTADLCRSLGLSERMPGVIDVLVNNGRHIDAVNLAFAFELTDKFSPVSLLKSYLNEANRVSSPVKSGNAPPTTAQNDVNEKQLTALKAVIKCIEEHKLKAQYPVDPLQNRIHQLEKEKADKKRATEVAKPQPKRPRANCVGNGARATSVASEKNFYASRMTDRYPQYIYDRPYAYPGPADTHVPPFLGTAYNFPPGHGNFFGNGYHYQATYLH >Solyc01g066570.3.1 pep chromosome:SL3.0:1:74452953:74454340:1 gene:Solyc01g066570.3 transcript:Solyc01g066570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLSVVLEGCNNKDINTINASSTWQILNKASMVLMKPTSPFSTPKSPEPSGFLDSCFLCKQKLLPGKDIYMYKGEWAFCSVECRCKQIFMDEEESMKTKSKASIKSCTSSSSSSSSSYRSRKTAARNRPNAFAY >Solyc04g018120.1.1.1 pep chromosome:SL3.0:4:9608482:9608730:-1 gene:Solyc04g018120.1 transcript:Solyc04g018120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQHCYIDSIFVESNFLQARFIFQLVWILLLTEHKLRPSITTDSSLGSIMCYIVQLIHGLSYKDLLRIILITFLWSMSVAFQ >Solyc08g007755.1.1 pep chromosome:SL3.0:8:2270018:2271545:-1 gene:Solyc08g007755.1 transcript:Solyc08g007755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKLTMENGDGTNWKRIRAEDVICELKDDGDFDKHRLKILGFVLFALSKLYVIGIGISAYTGSGARVEGSLEYKRKKEVLADCDMTYEACISGAGASCLGEKRCRGRLELRFDL >Solyc06g084140.3.1 pep chromosome:SL3.0:6:49409670:49412461:1 gene:Solyc06g084140.3 transcript:Solyc06g084140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNADNYGYPSLMDNHTTGIHRVEIPPPQPFFKSLKNTLKETLFPDDPLKQFKNQKPLRKFILGVQYLFPIFEWGSRYSFGFFKADLIAGITIASLAIPQGISYAKLGNLPPILGLYSSFIPPLVYALMGSSRDLAVGTVAVGSLLMASMLGAQVNPVENPTLYLHLAFTATFFTGLFELALGFFRLGFIVDFLSHATIVGFMGGAATVVILQQLKGILGLQHFTHATDVISVLRSVFAQTHAWRWESAVLGFCFLFYLMLAKFLSQKRPKLFWVSAMAPLTSVILGTILVYITHAEKHGVAVIGELKKGINPPSIMDLSFGSKYMTTAIKTGIVTGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGMMNIVGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMALAVMVTLLLLTPLFHFTPLVVLSSIIIAAMLGLIDYNAAIHLWHVDKFDFLVCMSAYIGVVFGNIEIGLVMAVTTTTTTS >Solyc10g086210.2.1 pep chromosome:SL3.0:10:65237691:65243002:-1 gene:Solyc10g086210.2 transcript:Solyc10g086210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISAFRNTLFTHYLLNPKPFFPLLLISTQKSTFVSSTSSLCKPRSHSTFLSTLMAGGDFLNPSSDKLEKQFEDFRHHLEESGNLRERIRAVATEIDSVTRLIYASLLLVHQSRPVAEVIERAKSQIGVLKELYSRLSEIIRECPGQYYRYHGDWKSETQTVVSLLAFMHWLETGTLVLHSEVEEKLGLTPTEFGLDVDDYLIGICFMSNELPRYVVNQVTAGDYDCPRKVLKFLTDLHAAFRMLNLRNDFLRKKFDGMKYDLRKVEEVFYDVKIRGLAANGDSTGEKQAQGQS >Solyc09g018265.1.1 pep chromosome:SL3.0:9:14009831:14012339:-1 gene:Solyc09g018265.1 transcript:Solyc09g018265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAREIGREENVLYVLSGKSKLQQSSSSDFLCSVKGSHTLKKSEDVIYGTRGLGMHLQSLLIRLYVPCEVGYNDRDDIERSVLNGGTISQSLVQSSQAEESAVPVAPVRSTRRSERDKNYPSHIEAAVRIVRYVKKEPGQGVLLSSNSNMDISAYCDADWAACLNSRKSVTRYIVKIGDSPIT >Solyc04g056742.1.1 pep chromosome:SL3.0:4:54785326:54785698:-1 gene:Solyc04g056742.1 transcript:Solyc04g056742.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVSIFLLISFHFLLRKWKKYFSNNSQTKELPPSPWKLPFIGSMHHLADGLPHRVLRDLVKKYGPLMHLQLGKVSAIVVTSPDMAKQTRHRFKRQSEV >Solyc06g060735.1.1 pep chromosome:SL3.0:6:38877821:38886545:1 gene:Solyc06g060735.1 transcript:Solyc06g060735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQVSSSANCSELNAMFTSGNNTNAMVPRSRPYNSSSYDPNAFCDYCKRTCHTQAVCYQLHGFPPGFERKKKNPNNTYQGRGRSSNEYHGRGRFNNDRRQNITANNAVSDGDIGVNRGENSRSQGYGRGAINTEHIEYQKGMTMLREQYNQILHMLGQTNMQQENSEGASTSHYNANVVQAKCSSAGESTSNEAALIVTSVKNGWIIDSGAINYMTHNSDILTHMHPLPSNKPRNVQLPNEASQAVSPSGVVESTEQSTKVSNDQISEVRRSQRSTKAPLWMQDYVASDTFKMKDLGELRYFLGIEFARSQKGIVMHQRKYSLEIISEAGLEAAKPASTPIDPYVELTTKEYDEINGTGKEDKLLEDPTAYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLSAALKVVKYVKGQAGLGVLLSSKNNKQLKVCCDSDWGACLHTRRSVTGFIVKLGDSLISWKSKKQGTISRSSAEAEYRSMASAVAEAAEWVPTTESLINL >Solyc04g051270.2.1 pep chromosome:SL3.0:4:50165845:50167991:1 gene:Solyc04g051270.2 transcript:Solyc04g051270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BS87] MGKAVILVVRLVALICLVGSLILLLTSSQYSDTQRLFYSDDELQIKFTDFRVYVYMLVCIGVGIGYNLFQTILSLSIGVSLLDLFGDMIIANILVSGAAATFGFTLELSRSTDLEPTSFFNKIFVSAGLSLLATLFTLISLISSHVALKG >Solyc03g007340.3.1 pep chromosome:SL3.0:3:1903058:1905915:-1 gene:Solyc03g007340.3 transcript:Solyc03g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKLASAREMRLYGPRLTGNRFEYINAGLYLFATILLAGGFVAQISKEQLSGLVLLQIALAVIVVVNVHDLLAHLAGIDYRFLLLGFDPQLALVEFAVPVVHSVGTILNFIAILFLFTQAEKGYGSHKMEKQAVSLLIAGPALWLLGSIHNSCQIYERADGHVQILQESVNIPFLLGSLLFLVGSLLNWREQSRYAHHGLKLLSEDWMLFGLFGSLLLFIGGLMNVVKVFKMQQMSGLRLEKLRGGAQDRLIQEREGQMPLLIEEQRRRRQSSGEEGQMPLLVEEQSRRKKAPVKETTSTTIAPTPYKDVLVGQA >Solyc01g056240.1.1.1 pep chromosome:SL3.0:1:52612548:52613033:1 gene:Solyc01g056240.1 transcript:Solyc01g056240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGSATHLMMGPYNGLIVLSDDEHVVLLNPSTRKYTLLQPSPFEICPPGFDHYIRGLGCGIDLTMNDYKFVRNNEISSDPSKDPCMRGNKVEVYELNIDAWREEYYEEEKLPSVNWSPCSELFYKGVCHWFASGDGEVILCFDISSDTFRNIKIPRTCFFF >Solyc02g086560.3.1 pep chromosome:SL3.0:2:49860784:49863634:1 gene:Solyc02g086560.3 transcript:Solyc02g086560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLSLQSHLSLRSSTSTYPARGPGIAVPEFVKLLKGPSKSRYLPQRYCMATSSREGLKGIEEGDGVIIVDHGSRRKESNLMLNGFVAMFRERTKYPIVEPAHMELAEPSIRDAFSLCVQQGARRVIVSPFFLSPGRHWNQDIPSLTAEAAKEHPGVPYVITAPLGLHELLVDVVNDRINHCLSHIAGKADECSVCAGTGKCQLRQ >Solyc03g033480.3.1 pep chromosome:SL3.0:3:5048786:5060501:1 gene:Solyc03g033480.3 transcript:Solyc03g033480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANSGKSTTNASLLRWKILRRNLIRRSSSHSEDKSEMGIQRISRKATHGFNLIPFHLMKDRVEENVNSMDSSRNATLCYTLPVTNASPLILHQRADDMAHLNDFEVCNRYDIDNTGLVCQWPSEDVLAHYCLSRANIFRGKRVIELGSGYGLAGLIVAMTTEAREVFISDGNPQVVDYIQRNVNANSGSFGGTEVKPLMLHWGQEKDSDISNTFDVIIASDCTFFKEFHGALVRTIKSLLKKEGPSEAILFSPRRGNSLDKFLGEVKDSGLHFSTDEMYDTEVWRRHQGFVEAMDEEISNSARRMSMRTRKIAPKMAAALASSDNRTQAMLARLDALEMLPLPLVLARLELNLFLGLFHKKYPKGTKRKTRQAKALENKRAPKSFLELLNEANLESLPPHVPTYLRAAVGPPSSIARRHFCTVCGFSSTYTCVQCGMRFCSIKCRTIHNDTRCLKFVA >Solyc07g039635.1.1 pep chromosome:SL3.0:7:49158261:49161786:-1 gene:Solyc07g039635.1 transcript:Solyc07g039635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKQNQSKNMFKSAASSSLAPGYFPQSQDQASSSKTSRHVTYSSSDGDSLKFVSSTFDGVSSKQTKHKDNEVNHDWFVDVIDQNQVTKTVRMKIRDVHNMENGLRIIVECDE >Solyc02g005513.1.1 pep chromosome:SL3.0:2:9540811:9550354:-1 gene:Solyc02g005513.1 transcript:Solyc02g005513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTERLNINEIDENIEEWICKVQVVDKGHPRTTREGNKKYQLMILQDEEETQIQVIMHGTDIAHYANEFVPFQTYLLSGAFVSESIKACGISLHQFSWTIDKGIVFEPIDKVIPPEPPLLPPTLLKITSFDSFDYQVIGFEFDILALVINGSPPSYASNLALVINGSPPSYASNGNRIQEFIINNYECQFEVTIKDDTGSTTAMISDKIGEELLSLTVAEIHDICCIKKQLLSLVSMQHILLGKTFTVQIKKLFAKNKDASSAKLFSMSITEKDIASNLPLPINTPTTPESSKRKMKQIMIKED >Solyc01g088170.3.1 pep chromosome:SL3.0:1:82906672:82929069:1 gene:Solyc01g088170.3 transcript:Solyc01g088170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEERQKKGGSLVFAVNGERFELPCVDPSTTLLQFLRSQTFFKSPKLGCGEGGCGACVVLVSKYDPKLKKVEDFSVSSCLTLLCSLNGFSITTSEGLGNTRDGFHSIHERIAGFHASQCGFCTPGMCMSFFSALVNADKGNKPDPPPGFSKLTSSEAEKAIEGNLCRCTGYRPIADACKTFAADIDIEDLGFNAFWKNGDSKQMKVSKLPPYDPTKNFNTYPEFLKSESTTNLDSLRYSWYTPVSIEDLQSLLNSNVTENGASFKLVVGNTGTGYYKETQRYDHYIDLRYIPELSIIKRDQAGIDIGSTVTIYKLISFLKEESKINFGSYGKLVSDKLAYHMEKIASPFVRNSASVGGNLVMAQKNGFPSDIATLFLGLCATVSLMTRHGLVKLTWEELLLKPPLDSRIVLLSVSIPFKKDQNSKFLFETYRAAPRPHGNALAYVNAAFQADVSLCQNGFLINYIRLAFGAYGTKHATRAKMIERYLTGKMLNIQVLYGALKLVKLAVVPEDGTSHPEYRSSLAVSYVFEFLYPFTDAHSALSGGLFNGINDTSVEKVLKSSKDGCISQGRKQTLLSSAKQVVESSTEYYPVGEPMKKVGAAMQAAGEAVYVDDIPSPPNCLYGAFIYSTKALAGVKGIQLESNQLTDGVAAVITFKDIPIGGANIGATRFSDPEPLFADDLVRYAGDRIAIVVADSQRSADVAARTALVEYDTANVDSPILTVEEAVEKSSFIQIPPFLYPKQVGDFSKGMAEADHKILSAEVRLGSEYYFYMETQTALAIPDEDNCMVVYTSSQCPESAHRVIATCLGVPTHNIRVITRRVGGGFGGKGVRAMPVSTACALAAYKLRRPVRIYVNRNTDMIMTGGRHPMKVTYSVGFKSSGKITALHLDLLINAGISEDVSPILPLSVIKALKKYDWGALSFDVKLCKTNLTSKSTMRGPGEVQGSYIAEAIIEHVSSSLLLEVDLVRNKNAHTFESLNFFYGNIVSVGEYTLPSIMDKLAVSSSFFKRREMIKQFNQKNTWKKRGISRVPIVHEVSQRSTPGKVSILQDGSIVVEVGGIEIGQGLWTKVKQMTAYALGLIESSWAEDLVEKVRVIQADTLSIVQGGLTAGSTTSESSCEAVRLCCNVLVERLTPLKNQLQEQNVSVDWPTLIRQAQMQSVHLAAHSYYVPESSSKNYLNFGAAVSEVEIDILTGETTILQSDIIYDCGQSLNPAIDLGQIEGAFVQGIGFFMHEEYLTNEDGLMVSNSTWTYKIPTIDTIPQNFNVHVVNSGHHKKRVLSSKASGEPPLLLAVSVHCATRAAVKAAREQLKQWDKLDGSVSEFYLDVPAILPVVKTQCGLDYVEKYLETLEKQKKGTSLVFAVNGERFELPCIDPSTTLLHFLRSQTCFNSPKLGCGEGGCGACVVLVSKYDPKLKKVEDLSVSSCLTLLCSLNGFSITTSEGLGNTRDGFHSIHERIAGFHASQCGFCTPGMCMSFFSALVNADKGNKPDPPPGFSKLNSSEAEKAIVGNLCRCTGYRPIAYACKSFAADIDIEDLGLNSFWKNGDSKEMKVSKLPPYDPNKNLSTYPKFLKSESATILDSSRYPWYSPVSIEELRSLLYSNVTEIGTSFKLVIGNTGSGYYKETQRYDHYIDLRHVPELSIIKRNQTGIEVGATVTVSTFISFLKEESKINFGSYGKLVSDKLAYHMEKIASPFVRNSASVGGNLVMAQKNGFPSDIATLFLGLCATVSLITGHGFENLTWEELPLRPPPDSRTVLLTVSIPFKKDQNSKFLFEAYRAAPRPYGNALAYINAAFRADVSLCQNSFRINNIRLAFGAYGTKYATRAKKVEKYLTGKMLNVHILYEALKLIKLAVVPEDGTLHPEYRSSLAVSFVFEFLYPLTDAHSVISGGLHNGINDISVEEVFKSSKDGCISQGKKQTLLFSAKQVMESSTEYYPVGEPMMKVGPAMQASGEAVYVDDIPSPPNCLHGAFIYSRNPGVKGIQLKSNQLTNGVTEVITCKDIPSGGENVGVITRFDPEPLFADDLVRCVGDRIAIVVADSQRSADVAARTVLVEYDTDDIDSPILTVEDAVEKSSFIKISPFLYPKQVGDFSKGMAEADHKIFSAEVRLGSEYYFYMETQTALAIPDEDNCMVVYTSSQCPERAQYMIATCLGIPEHSIRVITRRVGGGFGGKAIKSMPISAACALAAYKLRQPVRIYVNRNSDMVMTGGRHPMKVTYSVGFKSSGKITALHLDLLINAGISDDVSPTIPLNVIKALKKYDWVPYLSIKSAMRPPGEVQGSYIAEAIIEHVSSSLSMEVDSVRNKNVHTFESLNLVYDNIVSVGEYTMPSIMDKLAVSSNFFQRSKMIEQFNQKSTWKKREQSKPGKVSILQDGSIVVEVGGIEIGQGLWTKVKQMTAYSLGLIESSWAEELVEKIRVIQADTLSIVQGGYTAGSTTSESSCEAVRLCCNVLVERLSPLKKKLQEQNVSVDWPTLIRQAQMESVNLAANSYYVPESDFTSYLNFGAAVSEVEIDILTGETTILQSDIIYDCGQSLNPAVDMGQIEGAFVQGIGFFMNKEYLTNEDGLMVSNSTWTYKIPTIDTIPRNFNVHVVNRGHHEKRVLSSKASGEPPLLLVVSVHCAARAAVKAAREQLKQWDKLDGSVSEFYLDVPAILPVVKTQRLNIVFL >Solyc12g049030.1.1.1 pep chromosome:SL3.0:12:61398547:61399701:-1 gene:Solyc12g049030.1 transcript:Solyc12g049030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGGNMSVPTTKTEQKKNPLQRAPISKPPFTLSDIKKAIPPHCFERSLIRSSYYVVHDLVLIYIFYYIASTYFHTLPSPYSYLAWFAYWIVQGCVSTGIWVNAHECGHQAFSHYPLINDTIGFILHSALLTPYFSWKYSHRRHHSNTASLENDENYVPKTKSELKWFTKAYANNPLGRLFILVFTLTVGLPLYYAINVAGRPYDRFASHYNPYSPIYNNRERLQIYISDIGVIATSYVLYRVACTQGLTWLVCIYGVPLLIVNGFIVLITFLHHTHSSLPHYDSQEWNWLRGALATVDRDYGVLNYFFHNIADTHVMHHLFSSIPHYHAIEATKAIKPVLGEYYQYDGTPIYKAMWRDFKECIYVEKDNESQEKGVYWYKNNI >Solyc12g013700.2.1 pep chromosome:SL3.0:12:4542150:4545137:1 gene:Solyc12g013700.2 transcript:Solyc12g013700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAIFHKAFAHPPEELNSPASKKCLLPQQTLQKFVSTRPFDTSYVTFGDVAALAFVRPNCTSLLNHKQRYFCGYDDIYCLFMGSLNNLCAQIKQYGLSPKGTNEAMLVIEAYRTLRDRGPYPADQVIKDFEGSFAFVIYDSKTGTVFVALGSDGGVKLFWGIAADGSVVISDDVEVIKAGCAKSFAPFPTGCMFHSEKGLMNFEHPMNKMRAMPRVDSEGVMCGANFKVDMYSRVNSIPRVGSEANWSDWNTSY >Solyc12g015660.2.1 pep chromosome:SL3.0:12:5654660:5659473:-1 gene:Solyc12g015660.2 transcript:Solyc12g015660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLGDLNKVWEIKALKRKPKNEEANKILEKIAKQVQPIMRKHNWRVKLLSEFCPKRAALLGLNVGAGIHVKLRLRRPNNDEEFYPYNEVLDTMLHELCHNAHGPHNASFYKLWDELRKECEDLIAKGISGTGEGFDLHGRQLGGRHPQPSMSSLRQTAAAAAENRARLKSLLPSGPRRLGGDHSIKSALTPIQAAAMAAERRLQDNIWCGSELCELSDLDETSDALPETLPLGHTSDRSKLSNGFDSLSSKVTSRKRSRESNSVSSSKSLHGHTVTKPVSKPLSNHDKEIAHRVGQVEGKSHNVASRGYTESFIDLTGNSSSSTSIHGHDDLHGPNESMMWECLMCTLLNPPLAPLCKVCQTQKPKDVDDRNSIWSCKFCTLDNSLKLDQCTACGEWRYSHGPPVATSAPNLGT >Solyc01g094580.3.1 pep chromosome:SL3.0:1:85872993:85881792:-1 gene:Solyc01g094580.3 transcript:Solyc01g094580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:K4AZJ9] MASEMQRYDTNEDDEEMGMDVKEEDDEDEDDEEKNTAMHAIAGFDGVHGSNSSSRFQHHQQYQEQPTPGGSRRCRPLEEKERTKLRERQRRAITAKILAGLRRHGNYNLRVRADINDVISALAREAGWVVLPDGTTFPSRSQPQGTGSAGGTPTTMVTSSSSHIPTQHIPPGSLKGVPSGFQNTDDQSACQMKSVLVPTSSPYDSSSTARSQTSAMVADGLDTQNDPFLVGSADSIDKQVVDIHTKLQERDFAGTPYIPVYVMLPLGVINMKSELVDADGLVKQLRVLKSINVDGVMVDCWWGIVEANAPQDYNWNGYKLLFQVVREHKLKIKVVMSFHECGGNIGDDVCIPLPHWVSEVGRSNPDIYFTDRAGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRVEFDEFFEDGIISMVEVGLGPCGELRYPSNPVKHGWRYPGVGEFQCYDQYLLKNLRKAAEARGHSFWARGPDNAGSYNSRPQETGFFCDGGDYDGYYGRFFLNWYSHVLIDHADRVLSLAKLAFDGTCIAAKLSGIHWWYKTASHAAELTAGFYNPSNRDGYVVIAAMLKKHGAALNFKCAEMRMLEEPVDFCDALGDPEGLAWQVLNAAWDVSLPVCSENALLCHDRGGYNCLLEKAKPLNDPDGKHIFAFTYLRLSPLLMDGQNYMEFERFVKRMHGEAVLEFPS >Solyc09g011410.3.1 pep chromosome:SL3.0:9:4747331:4750966:-1 gene:Solyc09g011410.3 transcript:Solyc09g011410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCTSRESNPDKYAYSQNSQIAIRKDIDECREDAGIISVERRIDCFEALFRKKMSLLSSSFLVSAPCKSISSSKTILGESQLFLSTKFSSLSLTTTTTTITNVPYRKLIIRMGGGPRTYPGGVSKWQWKRMQAKKSKQLLKARLARERQIYEMRKRAELKAAVSELERPWEVVEKAPTLFSVSADEHLRVLADRFQKPGGFDMWSDKDGPELFKPEDGLPSARFFPKGVVHSIKPYGNVENTDRGSDEFSNLGSDSQSGSDRLVHAQMQAELAKKEVESDKKVRMKSARNEQKNSTKLRSGSNREGNEGLVSNNVSKDGNSRTGMQNKLRKSTDQRKLSVHAEKFNSAEAGKSRVKNKGHIPVDSDGKHGRFNLVDMFDDSDSPVFELSLLNDGSYELQPDN >Solyc06g048377.1.1 pep chromosome:SL3.0:6:31023780:31038222:1 gene:Solyc06g048377.1 transcript:Solyc06g048377.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRHRGVGIRDGVEHHFQLTQFLRKVLQPRGELQLHLLRHPLLHSKNLPSTLRIENFQYSFPFLEWVIRRPRRGDLLRLAEELIHIEIDFFVQLCSDSQSYSNHDRKFQPANRQRNNRTDSQGTISSISGVNTTCSSGGSESGQYGQTSVPQAHFSYGLNTNVQISEWGRKVDESQIGNGDTSATHHATSNLDMMSKGSMTQLDAPSGNTTQALKEKLSELQKILSEAKEKRRLKKCFSLITICSLGPNSSYEPQVDNINTVEEADAYKEYLVSAMERVQQSNVIKLLLRSYYMEANQTCQTDGKIKAKSSYGIFRSLEGICSFYLTNRTFFVIRHVIFREDAFPFANESGSNYHPIFGDTVHGSGAFTESTPILLICDEETVVLDDRGYYMHAIEKQEQEVTEQQKEIENVVPRIVTDKVDHVVEFAVPGLTKMSTINTQTPTWVRNEGSECSIIHAMMINACILRSEFVAVDVT >Solyc03g098430.3.1 pep chromosome:SL3.0:3:62170221:62171614:1 gene:Solyc03g098430.3 transcript:Solyc03g098430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFMIVFLILSMLLNPGVGVNFTDVFESSWAPDHIAVVGDEVTLSLDSASGCGFESRFKYLFGKASAQIKLVEGDSAGTVIAFYVRDKNHMSSEGANHDELDFEFLGNVSGEPYLVQTNIYVNGSGDREQRHGLWFDPTTDFHTYSFFWNHHSIIFSVDDIPIRVFKNKEKKGVPYPKNQGMGIYGSLWNADDWATQGGRVKTNWSHSPFVTTFRSFEIDACDLCGEDTIAAGAKCGKLAKFLWDKPSKNGLEKSKKRQFKMVQNKYLVYDYCKDTARFNQMPKECLY >Solyc03g006640.3.1 pep chromosome:SL3.0:3:1218096:1221227:1 gene:Solyc03g006640.3 transcript:Solyc03g006640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGNDCENLGLGIVRSTSIGRKRVTLSNSGDVNFVSTTPTKRICSQNSFSTDDKSDLETLPKDILIKIVCRADHDDLKSLFHVSRTLREASVIAKGWHFDFSTPKKTLPFRDALDVEEFVDNDEEEKAPNAPMQSKMARSRFNSKKLAGISVALFTDS >Solyc09g011770.3.1 pep chromosome:SL3.0:9:5062106:5065979:-1 gene:Solyc09g011770.3 transcript:Solyc09g011770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMASVDVSNVDLEAGAGAGGHSRRCSGSGSSVCFSDADEGSCYSQFYSTADGSNYDDYSFACATESEIGEVMEVSRRVSSVAESDRSVDLENGIGETKLHVGKIERDCRICHLSLVSSGPESSFAIELGCSCKDDLAVAHRHCAEAWFKIKGNKTCEICNSLARNVIGPNDVESAQQTNESSAVATSAASAPISAASEARTCLNGHRFLNFLLACMVFAFVISWLFHFNIPS >Solyc06g069760.3.1 pep chromosome:SL3.0:6:43559612:43562357:-1 gene:Solyc06g069760.3 transcript:Solyc06g069760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCDSEIKLFGKILPVVVSGVGRGLSGSDGVIYDGNRNGSDLDRCLEGSKASSVEKDEGSEYEKQEAEKDNITGELSEAKSEEGDQNQMIEESENPKTPSESESSPKSSTEEDPQAVKSSKTENEPTNVTNSEQNNLKKPDKILPCPRCNSLDTKFCYYNNNNVNQPRHFCRSCQRYWTAGGTMRNLPVGAGRRKNKNLASQYRNISIPEGLLAAGIESPNGLIHHPLFKPNGTILSFGPDLPLCEPMASALNQAEKRVSTGIQNGSHKSEVKNSSCKGGDSGDECCRGINIPTPNMMVEEGKGEPHKAVMHSINGIPSPVPCLHGVPWPFPWNAAVPVSAICPIPFPMPFFPTPYWNCSVPPWSNPWLSPPLRAANEKTSGSDPTSSLGKHSREGDLLKPSNPGGKEQSEQKYSEGSILVPKTLRIDDPDEAAKSSIWSTLGIKYDSTNRGEFFKALQPKSNDKHNKANTFPVLHTNPAALSRSITFQQGA >Solyc09g074400.3.1 pep chromosome:SL3.0:9:66618742:66627990:-1 gene:Solyc09g074400.3 transcript:Solyc09g074400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable cytosolic iron-sulfur protein assembly protein CIAO1 homolog [Source:UniProtKB/TrEMBL;Acc:K4CV17] MNFEDENFELREIQRLEGHTDKVWSVAWKPATGINGVSAVFASCSGDKTVRIWEEDSTGSFQCQAVLEETHTRTVRSCAWSPSGKFLATASFDATTAIWENVGVDFECVSTLEGHDNEVKSVSWNNSGSLLATCGRDKSVWIWEVLPGNEFDCVSVLLGHTQDVKMVQWNPSMDTLFSCSYDNTIKVWIEDGDSDDWHCIQTLGESNSGHTSTVWSLSFDASGDKMVSCSDDLTIKVWTSKMQEGDQNAPWEHVCTLSGYHDRTIFSVHWSREGIIASGAADDAICLFVENNDNEVEGPSFKLLLKKEKAHEMDVNSVQWNSGDRRLLASASDDGTVKIWELVRLSGQKLL >Solyc06g035600.3.1 pep chromosome:SL3.0:6:24753143:24756134:1 gene:Solyc06g035600.3 transcript:Solyc06g035600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSRPFSSTSFPLQKAAPYLNSSNSIASAKELHAHLIRTQKYSDPFAISHVIRLYSLFPTSLHKALVAFNQTERPTLPIWNYMIRGLSKSDRPIEALHMYVRMRQQGFPGNNLTFIFIFKACVQLSDIVLGQAVHVNVLKLGYQSYLYVCNALIYTYGSCGDLVGAGKVFDRMSERDLVSWNSLICGYSQCYKYHEVLGLFASMQAENVKADAVTLVKVVLACSYLGDFDTADFVAKYIRDSCVRIDVYLGNTLIDMYGRRGLVILADEVFTKMKEKNVVSWNAMIMGYAKAGDLMAARKLFDKMPNRDVISWTSMITGYCQANRFSDAIALFQEMMAIKVKPDEVTVASVLSACAHLGIFDVGKAVHEYVHQHDIKMDIYVGNALVDMYCKCGSVNTALEVFLSMSKKDTVSWTSMISGLAVNGFHDNAIQLFSQMLGEGCKPTHGTFVGVLLACAHAGLVDKGLEYFESMKKRHGLVPEMKHYGCVVDLLCRSGNLNRAFEFLNLMPMVADVVLWRMLLSACKLHGNVVLAEIAANKLLQLDPDNGGNYVLSSSTYATAERWDDAMKIRRLMDEGAVQRPLGWSSIEVDCLEDFECSFSSRIARIKLPSGSKKIVPSGCRAMIGQVAGGGRTEKQCLKLVTHTTNTVLRGTVGLRFVVLL >Solyc02g087670.3.1 pep chromosome:SL3.0:2:50660978:50662779:1 gene:Solyc02g087670.3 transcript:Solyc02g087670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4BBS3] MGGTKLVYLSLLLFSTFLVINGHIGEFDEVWRRRAQEADEWAIKAYKPDPINVTLAFAKETGQALKEIKEAKLAVNGTRRELKGGGKKYDGPCSVTNPIDRCWRCQPDWADNRKRLADCAMGFAKGTTGGKAGEIYVVTDSSDDTSDPKPGTLRYGVIQKEPLWIIFAKSMTIRLHQELIVQSDKTIDGRGVNVHIANGAGFMLQYVKNVIIHGLRIHDIVVGSGGMIRDAMDHVGQRTQSDGDGISIFGSSNIWVDHVSMWSCYDGLVDAIEGSTAVTISNSHFTDHNEVMLFGASDSSSIDQRMQITVAYNHFGKRLIQRMPRCRWGFIHVVNNDYTHWNMYAIGGSQHPTIISQGNRFIAPPDMFKKEVTKRDYSPESVWKQWSWRSQGDLFMNGAFFVESGDPDWTQKHIQLFDGVVSASGDQVTWITRFSGALNCKPGEAC >Solyc02g062410.2.1 pep chromosome:SL3.0:2:34548792:34550526:-1 gene:Solyc02g062410.2 transcript:Solyc02g062410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILSDYQEENQEQTMEIIEKEQDNSSTLAPKEEEISSSTPKEEKLKPNKSNGLDMENYSWGQSLQEVTINVPVPPGTKSRFIIVEIKANTLKVGLKNQPLILDGEYFKGVKVDECYWSLEDEKEISILLTKQNKTDWWKSLFKGGEEIDTQKVEPEPSKLSDLDTETRAAVEKMMFDQRQKQMGLPSSEEIKNQDMLKQFMEQNPHMAKNFGNANMMMPNSRMMG >Solyc09g018845.1.1 pep chromosome:SL3.0:9:17581589:17583451:1 gene:Solyc09g018845.1 transcript:Solyc09g018845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSLKKVRDKEPIPPGRICGWHTYFWIAFIPFDLLIAVGTKLEHVIIQLAHEVAEKHVAIEGELVVQPSDDHFWFKRPQIVLVLIHFILFQNAFEIAFFFQDIGKLKVKSSGQFGMRKCRRKRVFDDDVIVTLPLYALVTQVDMKIQIKSELQMGTHFKKSIFDEPIQVGLLGWALKAKMKRGLKAATDAGTSTEGSTVRLQMSAINQKETTHLNWEHNYNQL >Solyc12g056300.2.1 pep chromosome:SL3.0:12:63192246:63198823:-1 gene:Solyc12g056300.2 transcript:Solyc12g056300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITRGKMRNCVLIGILVTVGLVFLKCDAFPANEGSYMMLFCKLVTGIVSYLGVIWFCTVHALNNFREAIYEDPHLVFSNWNAVDSDPCGWSGIFCSMAQDHVIKINISGASLKGFISPNLHLISYLEELILHGNVLIGTIPKEIGLLKNLKVLDLGSNQLAGPIPLELGNLSNIIKINLQSNGLTGKLPSELGKLIYLQELRLDRNKLKGPLPASNGSNFTSSAYGMNASGAKPTGLCRLSQLRVADFSFNFFFGSIPKCLDYLSKTSFQGNCLQAKDPRQRSTALCGGTPPAKSNPAQSNNKHRTVDGRDKHKSASKPTWLFVLELVTGVIAGSLFLVAILAAIQKCKNRSSIIIPWKKSASMKDHLKDHMEVYVDTEMLKDVVRYNRQELEVACEDFSNIIGSSPDSLVYKGTVKGGPELAVISICVKEEQWNTFLEVYFQKEVAELARINHENTAKLLGYCRESSPFTRMLVFEYASNGTLYEHLHYGEGCQLSWTRRMKIVLGIAEGLKYLHSELDPPFTISELNSNAVYLTEDFSPKLVDFESWKTTFARSEKNSGAISGEGSMCVLPNSLQSRHLDMQGNIYAFGVLLLEIISGRPPYCKDKGCLVDWAKEFLELPEVLPYVVDPELKHFRYEDLKVISEVVNLCICPNSSSRTSMKDLCAMLESDIDTSITAELKASSLAWAELALSS >Solyc12g035195.1.1 pep chromosome:SL3.0:12:39330625:39335957:1 gene:Solyc12g035195.1 transcript:Solyc12g035195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLGCKSVILCSPFFRFSTMTSRLKFAFVRSPTINPATSEAVGLLYCRPSWLLSDRMRIWNCACGPFVRLIDLSTRPTIDVNANMEIQLRNRRIFPKVLPKFSRFGAQQAYVGGTKFNLVFDWPVYCRLSKRLRVICNVEEIYIRLYFEQLHVLSMRTDTVCVLSHACVKPVVGVVNLLEFLLVAVDVNCVILNKFSSRGRCWIVQIFSCINSCVVIGSPFPVVRMSSPICLRYNLLPSDVVSFASLPFYFVLSFCGFILIVKLDCKHFLSPPSFKLKLLNLSLNKNSLPQRISICNGTCVSPLYIGLINFAAKLSSNFSANPLKRTKVVFPRLSLTLISCMICSANQSRLLCVSKFLVTYELECIDGLSLLVVLESAMHYIDIDGI >Solyc08g061930.3.1 pep chromosome:SL3.0:8:50061947:50065499:1 gene:Solyc08g061930.3 transcript:Solyc08g061930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytokinin oxidase/dehydrogenase-like [Source:UniProtKB/TrEMBL;Acc:I0IUQ5] MLACLVERLVADNDTDSIPDPEKSVDIHGVLKDLNIEGSIDYGVTAISLGSTDFGGLYSEKPLAVIRPAGADDVVRVIRRALESPTLTVAARGNGHSINGQAMAHHGLVIDMKSMADNNRIDVNVNFMYVDVGGGALWSDVLKHCVLKYGLAPKSWTDYLDLTVGGTLSNAGVSGQTFRFGPQTSTVTELEVVTGTGEKIVSSNSQNSQLFFSVLGGLGQFGIITRARVLLQPAPDMVRWVRVVYSEFHEFSSDAELLITNPESFDYVEGFVFVNSDDPVNGWLSVPLDSNQTFDPTHLPKKIGPLLYCLEVALHYNKHEDPFIVNMMIEKLLGKLRYLKHFRYEIDLTYMNFLSRVDHVEEAARGSGIWSTPHPWLNMFVSKKDIDAFNRIVFQNILRNGINGPILTYPLLRSKWDNRWSVALPKNEIFYLVALLRFSHAHPTESEINQMVAQNEEIVQTCIKNGFDFKMYLPHYNSTVEWKRHFGDQWGRFVNRKRQFDPKYVLAPGQKIFTRNHQF >Solyc11g013370.2.1 pep chromosome:SL3.0:11:6351480:6372344:-1 gene:Solyc11g013370.2 transcript:Solyc11g013370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:E3 ubiquitin protein ligase [Source:UniProtKB/TrEMBL;Acc:K4D6E1] MENLLPLDTAVLLHQNQKLSQKLEAQKIEIAVLEEKFTELRDKQKPYDNTLSAIQKSWEELVGELEICSTRTEDPIRHGNASNDQSCAEDGSVYACDDSFLSLLLQTGATGSSSDVNTQTEYEQKKMDDQKIVKIFRNIVSTVDNVRQMKDKLCAAVLEVLPEDGSCLQKSLSDLHVGVKNLIQTINELHLKHRSLAGALQNHRDTDAKNKAELKCLRGELEKTIAHLDESNRKLAILKAEKDAAKGVLFPVLNLGNKHSANDKARDKQRDMQDMESTLKEYLDQSSFRLFELKRLHEERIDILKQLSNLQNKLKNLKAICSSQPYILVKDQLAKAKEDLSLYQSLYEKLQVEKDNLSWREKEMNLKNDITDVFRRSSTIADSRIAWLEKEMQKHMQERNMIEGKLEEASREPGRKEIIAEFKKLVSSFPETMGDMQNQLSNYKETASDVHSLRTDVQSLSSILDRKSKEIEALSAKSASQVTEMLKLQAVVNDLKESDMHLKLILEMYTRESAFSRDVFEARSSEYRAWARVQSLKTSLDEHNLEVRVKSAIEAEADSQQKLGAAEAEIAELRQKLDASKRERSRLSEVLKSKHEETEAYLSEIETIGQAYDDMQAQNQQLFQQITERDDYNIKLVLEGVRARQQRDCLAWESQITERAVEDANTMVSSYEMKAAKIDDQLRGCSDLIQKLAEDRGQNSLALENTQKRFLDVRKSSQQLRETLEEWQSKIDEVRVDLAQLQIELEKERFERKRAEEDVEALRRKTSRLRSHIEGSSVIEKLQQKLREYKEILNCSICFDRRKEVVLAKCYHLFCNPCIQKIVETRHRKCPVCSASFGANDVKAVYI >Solyc03g006620.3.1 pep chromosome:SL3.0:3:1197391:1199002:1 gene:Solyc03g006620.3 transcript:Solyc03g006620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSTSNLMLTVKFLLISIGAVSSAIAIKSSVPLILYEFPKIWSGLISWLKPPYLYALLNGIIIIIAATSRSNRKEQQQQSGEQSRSLISAETPPVYSDFVTVSRSKMSEYAPELVDEPEVFEVMPLNSIGTPPVNSDLVEVCTSEMCEYAPEVIDEPEVFEEKTEGVIEPLELVEVKSMVTIVNENEGENDEFVSSNSVFTPLPEVETELIQRLTTEKPLVTSRFSHRKPLMRTSPEGVKSLRVARVKRQETLESTWKKITEGRHVPLTRHLNKLDTWQHHNQNRRSESPVQNIKKALEPSPSQDELNRRVEAFIKKFNEEMRLQREQSLQQYTEMINRGV >Solyc04g082730.2.1 pep chromosome:SL3.0:4:66390088:66390816:-1 gene:Solyc04g082730.2 transcript:Solyc04g082730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCIGGNLSVDVLPNGNVNLEGIVRASITAPTISNRRTRRIFPLGRHFTINFTLPGTADPTIVRAKLDSKGTAFKRWIVLLIYLARFSHIGNQAGELMEATLFSPARCKGEFYMHHYSSFEADSSVKN >Solyc08g074800.3.1 pep chromosome:SL3.0:8:59065765:59067889:1 gene:Solyc08g074800.3 transcript:Solyc08g074800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKVTVAILGRRLLNDTSPVCSNFPKFFHYMPSLLELDICGAILEYLIKGGLPESPPTALYNIKSLNILSMSLRNAEVVSSAVYLITSCPKLQDLTLEFYQVSVGILLNLL >Solyc05g012430.1.1 pep chromosome:SL3.0:5:5681483:5684678:1 gene:Solyc05g012430.1 transcript:Solyc05g012430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAYTFEVGGERLLPSELPLLSAPKKPLWSCEGKEQKVGHFLKGKSIPEVFSSEATSLLKWKSTLHYKNDSLLASWTQNSSECRDWNGVKCSNGRVNSLDITNASVIDTLYDFPFSTLIFLEYVNLSINHFSGIIPLEIGKLTNLVRLDLSNNYISGTIPPQIDSLTKLGTFHISMNQLNGSIPKEIGYLRSLTRLALNTNLLEGSIPTSLGNLNNLTYLCLYGNRLSGSIPVEIGKLVNLIETYLGSNQLAGHIPPEIGNLINVRVFSASSNEISGPIPVEIAKMQTLELLDLSDNLLEGEIPEQLVSLSNLVWLDLSYNRLTGCIPQGRQFSTFGENSYAFQSNIGLHGYPVTRRCHNKGVEEGNDTSSLLDQEINSQFLIEWKAVLLGYGCGLCVGFSIGYFMISTGNPKWLSNFIEEYEHTITTQRRKKQQGQRDNRRRNNQQSQRDNNRRNNHTSKKYLKSGLSI >Solyc01g005705.1.1 pep chromosome:SL3.0:1:486165:493420:-1 gene:Solyc01g005705.1 transcript:Solyc01g005705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAIRIKAEKELDLSGTNEVRMTTKQVDRERFHYSHHLFSWLENSETSSPILFPEDLMGPCNLFDLKLKTCKSEEKELDLSGINEVRMRRKQVDRESALHSAPPPSNFPRESTS >Solyc03g118990.2.1 pep chromosome:SL3.0:3:69203873:69208430:-1 gene:Solyc03g118990.2 transcript:Solyc03g118990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPFLKSSQYNVKKDVQFLPISGLLGSNMKTWVEEGICEWWDGPCLFEAFDAVEVPLQDPEGPLRIPIIDKFKDPGTVVMGKTESGSICEDDSLLMMPNKAVVKVPALFFDEDRVRYTDPGKMYELAKPIPAVTEFVAELQILELLFNAIFTAGYKAVLHIHVIVEECEIVELMQQIDIKKKKSMKKKPLFVKNGAIVLCRVQVLIFRNNHARGKVS >Solyc06g084624.1.1 pep chromosome:SL3.0:6:49740505:49741348:-1 gene:Solyc06g084624.1 transcript:Solyc06g084624.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVRQDIFYAYGLPSRLVRPIWKVKRAPKRPYPSFRRFSCAIAHHFLGDPDFDVKNAKFFCGRPSRPCLCIRLAITACTTHLEGDPDSDVKNVKFFHGRPSRPCLCIRLAITACTTYLEGQTSPEVSIPLISTIFVVIWIPTSKMPNFFVDVRQELYYAYGRPSRLVRPIWKVKRSPKQAYPSFRQFSCAIEHHFLGDPDFDVKNAKFFRGRPLRHYLCIRLAITACPTHLEDQTSPEASIPLISMIFVCL >Solyc06g050645.1.1 pep chromosome:SL3.0:6:33469556:33471580:1 gene:Solyc06g050645.1 transcript:Solyc06g050645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTGEATITLQDIEILFGMVVDGSPIILNGADSLGIIDMHPSNLYVHPGPVEHDIWAWERIIPLQPLPKPLRTNQLEASTVLAHKWTRRRNHQNEARTPYSEDVINGLPEWCWSGQRIWMAQVPLIYGIYREWQMIDRVVRQFSYLQHIPGPCTQFSEYHFKRDKRSKIKQKI >Solyc09g014622.1.1 pep chromosome:SL3.0:9:6351033:6351307:1 gene:Solyc09g014622.1 transcript:Solyc09g014622.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDAGARDFKNVNRLYKVSTIIDMLNSVADNEKKTKMVETLILEAKVTYENPVYRKEHFHSK >Solyc09g056035.1.1 pep chromosome:SL3.0:9:46961225:46961686:1 gene:Solyc09g056035.1 transcript:Solyc09g056035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYRMTLRTLQLVGRMRSSRHPLMNDLNSLIHDLCNCNDNTYINVNVTRKTLLPNPVTVYRLFAEFCKILRGAAAAGVEDALYILCGSSLA >Solyc06g068620.3.1 pep chromosome:SL3.0:6:42652648:42655554:-1 gene:Solyc06g068620.3 transcript:Solyc06g068620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPHIKSGSKTHHSFINLQSFRSLLQQRAHCKPFNFQPNIQVEEEEMEDLWQKMVFPVRRVWGAVSARVKPRKDGAGLLKLQGDIQSCGYEDVQVMWEMLGGTDSELTSRHIKRKAPTVV >Solyc02g091230.3.1 pep chromosome:SL3.0:2:53224948:53235039:-1 gene:Solyc02g091230.3 transcript:Solyc02g091230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECRICHDGDDDSNMEVPCSCRGTLKYAHRKCVQRWCNEKGDIICEICRENFTPDYTAPAPPLFGRFTMNTVGNWDVSMGGVDYHHFGALFSTDDNFVGNESDEYTTFYPRSLICCRIVAITFVLLLMLRTMLSIIFGVAGDNSVSLVMLSVLKAIGIVLAIYVLVKALIAVRHWRHQQDRHHSEIASSDEENELPLQQLQHFQIAPSNNESELPLQHPQPQFLHVQ >Solyc11g066430.1.1.1 pep chromosome:SL3.0:11:52494839:52495261:1 gene:Solyc11g066430.1 transcript:Solyc11g066430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:H2B-2 description:Histone H2B.2 [Source:UniProtKB/Swiss-Prot;Acc:O65818] MAPKAEKKPAEKKPAEEKKAEKTPKAGKKLPKESGSSGADKKKKKSKKSIETYKIYIFKVLKQVHPDIGISSKSMGIMNSFINDIFEKLAQESSRLARINKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Solyc03g071835.1.1 pep chromosome:SL3.0:3:20982563:20986491:-1 gene:Solyc03g071835.1 transcript:Solyc03g071835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKCDEVSKTGKTALPIEFQIFLLCKFTEIKFKADENEKEQHIYSGQLNPSSLKGFHAPLHRILLRPVDNIQVTQAKPQK >Solyc06g069640.3.1 pep chromosome:SL3.0:6:43491120:43492079:-1 gene:Solyc06g069640.3 transcript:Solyc06g069640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNSHDDQYGRKVMKDVSLHELREKLVEFSRVRGWDQYHSPRNLLLALVGEVGELSEIFQWKGEVARGLPNWTSDDKEHLEEELSDVLLYLVQLAHVCGLDLGQAALTKIVKNAQKYPVTKPT >Solyc07g066350.1.1.1 pep chromosome:SL3.0:7:67952986:67954188:-1 gene:Solyc07g066350.1 transcript:Solyc07g066350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASACVNKMGMSPEKFLDCSPAAKYKSYGWLSPRMSFSRDGEASKVAGAKQTSVENDKVEELDPEVSKDMGDFEFCLEDPVNMLPADELFFDGKLVPLHLSMIRPAATTSAAVSASPDTPQVRMRNEICNADPYLFSPKAPRCTTRWRELLGLKKQNQNNSAKQETQRTSSLPSSAAHKSLKNFLHRNSKSLSSSLDSSLHLPLLKDSDTESVSISSRLSLSSSSSGHDYDDLPRLSLDSDKPNSYSRNANHISSHRRVRVVKYRVSSSENPLANRASRSPVRKQSDSSVTTVRGASVDSPRMNSSGKIVFHSLERSSSSPSTFNGGPRYKHRGMERSYSANVRVTPVLNVPVCSLRGSSKSGVFGFPLFSSSSSSSAVSGNKAGHHHSNIRPRTDRIKE >Solyc03g031970.3.1 pep chromosome:SL3.0:3:4484895:4493497:1 gene:Solyc03g031970.3 transcript:Solyc03g031970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSTSGMGQQAHEGENKCLNSELWHACAGPLVCLPTVGSRVVYFPQGHSEQVAATTNKEVDIHIPNYPNLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTLQEQKDTYLPVELGIPSRQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPCQELIARDLHDIEWKFRHIFRGKLRRILSLLCVDLHCVKLQEKRKKKQDSSWCIGWVKDEMELRPYEVHIRQPQQEKGKQNNRLNRLVDGLEWGSYRMRKQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWYFKNEKNQLFLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAASTNSCFIVFFNPRASPSEFVIPLSKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGIGDLDPVRWANSHWRSVKNMPKFFFKNDDILASELMVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWYPGTSSFQENNSEAINGMTWLRGESSEQGPHLLNLQSFGGMFPWMQQRVDPTMLRNDLNQQYQAMLASGLQNFGSGDLMKQQLMQFPQPVQYVQHAGSVNPQLQQQQQQQETMQQTIHHHMLPAQTQDNLQRQQQQHVSNQTEEQSHQHSYQDAYQIPNSQLQQKQPSNVPSPSFSKPDIADPSSKFSASIAPSGMPTALGLLLPTTVSNVATTSIDADISSMPLGTSGFPNPLYSYVQDSTDLLHNVGQADAQTVPRTFVKVYKSASLGRSLDITRFNSYHELRQELGQMFGIEGFLENPQRSGWQLVFVDRENDVLLLGDDPWEEFVNNVWYIKILSPEDVQKLGKEEVGSLNRGPPERMSSNNSADGRDFMSGLPSIGSLDY >Solyc08g076520.3.1 pep chromosome:SL3.0:8:60627437:60628638:1 gene:Solyc08g076520.3 transcript:Solyc08g076520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRQSLGSSGSKLHLAHGVVLVGGSRDESAVVTAAESQKIMAKDQASPSSLSNNYDEGEEQVRKSIKALNKSLSRAEKYIHLIPVLTFLCFFILYLFSHSPSDKDLAQFQGFEGFAKRIESANIDDELQRVLETKKPEVLAIRSVRNLQEIDRQDSNHRRHRKLADF >Solyc03g095870.2.1 pep chromosome:SL3.0:3:58968811:58971676:1 gene:Solyc03g095870.2 transcript:Solyc03g095870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLERWILQMHRLELNTSIRNKIKRNLSEQEDKLIDKKMAKLSCENEEISGDEDTEEEEDTGTGEIDEAMHKAEVAGNSDCPVKYKLVAHPAYVLNT >Solyc09g072817.1.1 pep chromosome:SL3.0:9:65938814:65939428:-1 gene:Solyc09g072817.1 transcript:Solyc09g072817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPERMVGRDSGVVRVHAKAIVITKTTASAKWPVGGGSLWSRTSFKSISGDNVVDADNEVKSSPGCLPIIVSIATTPKL >Solyc02g079820.3.1 pep chromosome:SL3.0:2:44798314:44799130:1 gene:Solyc02g079820.3 transcript:Solyc02g079820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHRARKLFDEMPHLNCPRTVFSFNALLEAYLKSEKYDEIGGLFRELPPKLSIQPDLVSYATAIKALCRAGLLDSAVYLMDEIENHGIKPNIVTCNTSLTAFYERKRFSEAENLWAMMEKRNIIPDLCSHNIKLISLVKANEVSKAIQFFDETVNKGFKPDKFQLQCYDKNGNLEEVNIWYEKMTQNGCLPDVSTFTILVNFACSAKKVDFALDLCKEAMKSRKAIHNTIMQRVVNCLVEHSKIETARELVKLAQSYKSFRNELSLP >Solyc12g009030.2.1 pep chromosome:SL3.0:12:2329705:2333240:1 gene:Solyc12g009030.2 transcript:Solyc12g009030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLASLDSVTNAHDDSIWSAAWVRSTEEYPALLLTGGLDETVRLWDPSNFACVQTFTGHCLGVVSVATHPTRRIAASASIDSFIRVFEVDTNNTIATLEAPPSEVWQLQFSPSGSNLAAAGGGSSSVKVWDTNRWELVTTMSIPRQGAPQPSDKSTNKKFVLSVAWSPDGSLLACGSVDGTISVFDVARAKFLHFLDGHTMPVRSLVFSPSLHDSRILFSASDDGHVHMYDAEGKTLLTSLSGHASWVLSVDISPDGAAIATGSSDKTVRLWDLKMRAATQTLTNHTDQVWAVAFGPTSRTDVRSCMLASVSDDKSLSFYQYS >Solyc01g087890.3.1 pep chromosome:SL3.0:1:82632519:82637096:1 gene:Solyc01g087890.3 transcript:Solyc01g087890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVEPVVDEENGEKETKIRDVEKGEMDSQQRGFQGQPTPAPAPAESGGENFHMSRMQRLSATNPLRLVMNAGTRVASPSPYNAPPPAQHRPHRSFPNPFHHRHPPAPAPSQQRHAPVPEPAPVPAPSQHHPSPAPSQTRSTPAVTPQPSVITLNSRSYTNKFSLFLFLIHMIGAIGLVCFLVFKGIQGLLEAGEAQRKEKRLLKFFLPQVEAVSLLSITLAFTWQKAMRLWPTFMVHFILWGSFIFTLAAGILLICFQRPSTDGVGVVLIIFAIGNGLYSCWVTPRIKFCTKILIKSLEPVPKFGDLNRPTYLTLAAGFLWMSMWILAVIGAINFYFPPLVIIGLVFSMAWVTEVMRNVVNLTVSRVIGLYYLRGMQSSTQFCFQRALSVNLGSACLGSLFVPTIEVLRVVARALNLLEGEDEFMFCCAHCGLKIMDSVFKRGNGWAYVQIATYGKSFVKASQDTWELFQKREMETIVDSDMTSAICFLTGVCSGSICVIVIGAWTFTVYPNFTATLSLLSAYVGYLLTRIAMALPHACVSSYYVCYAENPDNRLFDKTIQDRLNSMKTDRDVIVPTPRSVPSRFAR >Solyc11g039350.1.1.1 pep chromosome:SL3.0:11:45475259:45475579:1 gene:Solyc11g039350.1 transcript:Solyc11g039350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWSGINATRSSMICICKNEGQKIGKQKRKPGRPVDEVYLCNVRLKGGDSTRESGTFTATEEAHTFHQTNLSTERTEVDFFAIGNAVEIGIRLKREQYYKKAVRQK >Solyc02g078540.3.1 pep chromosome:SL3.0:2:43770573:43774469:-1 gene:Solyc02g078540.3 transcript:Solyc02g078540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clathrin light chain [Source:UniProtKB/TrEMBL;Acc:K4B978] MAAFDAFSMDGEVPAPAASTPFDDGDYDGSYTAFSNADTPPFHGSGGFGGDYDEVTVEHVSHTVDSPDPYGYGSDSFPNQTGSFGASAAPISNGNGKPYDLGEDTEGIFSSDGPVLPPPNEMREEGFALREWRRQNAIRLEEKEKREKEIRSQIIEEGEEYKKAFYEKRNLSIETNKTTNREKEKLYLTSQEKFHKEADKQYWKAIAELIPKEVPSIEKKGRKKDQDKKPSITVVQGPKPGKPTDLSRMRHILLKLKHTPPPHMIPPPPAPAKDAKVGKDGKDTKDAKAGKDGKDTKNAKDTVAPNGTPDAPTSDAKVTQTSEEPTAAE >Solyc02g088640.1.1.1 pep chromosome:SL3.0:2:51302628:51302981:-1 gene:Solyc02g088640.1 transcript:Solyc02g088640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEVEDKLALWWSGSSEFVNLRVLGDPQKGKWLRHIIRFPSNMKNVGTYQIYGCCDGEILIFLIRLDDLARSRSCYCHDVRKNTWKYLKTSLFSVKNCVDKGIYTYAERLFPLKKKS >Solyc08g013751.1.1 pep chromosome:SL3.0:8:3209978:3213224:-1 gene:Solyc08g013751.1 transcript:Solyc08g013751.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSCEKETPNDVWFLDSGCSNHMSGTKSLFKDLDESKKSDVRLGDNKKVQVEGEGTVSIMISQGNTKILEDVMFVPSLSHNLLSIEQLMISGYSISFDDGVCTIKNKKFGKTIAKVPMTNNKMFPLEVSMVEKCVMDCMMKNFEMSDLGLLHYFLGLEVKQGIDGIFLSQRKYATDLLKKFTMVNCKVATTPMNINEKLCRDDGSEMANATYFRSLVGGLNYLSHTRPDIAFSVGVISRFMHNPSKLHLGAAKRVLRYIAGTTEHGIWYSKATNFTLTGFTDSDYAGNIDDRKSTSGFLFNLGSGAISWSSKKQEIVSLSTSEAEYIAATSAACQAVWLRRLLADFNQKPAGVTKIFCDNISAIAMTNKQHYTVEQSRSR >Solyc11g069580.2.1 pep chromosome:SL3.0:11:54503753:54509485:-1 gene:Solyc11g069580.2 transcript:Solyc11g069580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYGNSRSVRFQDDLESSKYAAMNGDNVIKVKYNIDGSRLPEPASRMSEMEPHRTGKSLKAKVLSRVFSEDYERVKKKILDPRGPTVRRWNKILLVACLIGLFVDPLFFYLPVVQDEVCIDIGTNLEIALTVIRSIADVFYMIQIYIRFRTAYVAPSSRVFGRGELVIDSSKIAQRYYKKGFWIDLIAALPLPQVLIWGIIPNLSGSTMANTKNVLRFIIIFQYLPRFYLIFPLSSQIVKTTGVVTETAWAGAAYNLMLYMLASHVGGACWYLLSIERQEACWRHACSFEERSCDFGYFDCRRVNEPQRSAWFQSSNITKQCAPNTSDYPFGIYSDAVTANVTTASFFNKYFYCLWWGLKNLSSLGQNLATSTYIGEIGFAIIIATLGLVLFALLIGNMQTYLQSTTVRLEEWRIRRTDTEQWMHHRQLPQELRQSVRKYDQYKWVATRGVDEEALLKGLPLDLRRDIKRHLCYDLVRRVPLFDQMDERMLDAICERLKPALCTQGTCLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRIGPGDFCGEELLTWALDPRPGVILPSSTRTVKAVSEVESFALVAEDLKFVAAQFRRLHSKQLRHKFRFYSHQWRTWAACFIQAAWRRHKKRKGIAELKALENLMDETESVNGQLDKNASAPGSGFAARLTTSRRGHHKYSSSDSNAVSSLQKPAEPDFSVDEE >Solyc08g065385.1.1 pep chromosome:SL3.0:8:53377246:53387411:1 gene:Solyc08g065385.1 transcript:Solyc08g065385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTDPLDDLPTGLKLFIKNLHSLTPEKLNDSNFPSWFTTASTNLSAHRLMEYVDGTMEVPPATLTVTVDDDPGAAATTAVTLNPEFKKWSVVDAQLRACLLAIISPSIQNHLHGLTSAAAIWNHLQLRYNSLSRTHIFQLKEQLHGVQKGSDSMQKYLDSVVTIVDALDRLSSEYASIKQNIRTNIAHVTFAEASSWLLTEELNVQMEQKLKVRESSGSAEPHTALYAQSGQSAGYRGGRGCGSNRGRGGLPSRGNFSGGRGGFVDSFQHRGDFSGGRGSSLGRGGGPPCSSVICQICGKHNHAVWDCWHRFDNSYSGPSMSSPQTFYAANSVESNGELIHWASVGSCTTAPTPISPSQSTNGADVPFQNPRLFCNLVDGLQYLTVTRPDIQFAVNYVAQKMHSPMEQDFQTLKRILRGDLHLRGYSDSDWANDPLDSRSTTGYLIYFGPNLISWSTEKQKWVSKSSTEAEYRALSAAASEEERRCSVVYERGWWQTAG >Solyc06g007260.1.1.1 pep chromosome:SL3.0:6:1311311:1311529:-1 gene:Solyc06g007260.1 transcript:Solyc06g007260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNSCFPNNVTYNDVVRGFLRCNEVSEMASFMKEIAGRGFSFDATTTGFLINITRENRPGHHTKASLENWR >Solyc03g117840.3.1 pep chromosome:SL3.0:3:68330666:68333450:-1 gene:Solyc03g117840.3 transcript:Solyc03g117840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDWWHKMVFPVRRVWFAVSARVKARKNGAGLLKLHDDIQTCGYEDVQVMWEMLRKTESEVTSRHHKRKRRPLWRIFVWSNHSSNSSFSSEHAHQ >Solyc01g109890.3.1 pep chromosome:SL3.0:1:96649709:96655945:1 gene:Solyc01g109890.3 transcript:Solyc01g109890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHTEYYDILGVAATATPAEIKKAYYLKARTTHPDKNPGDPQAARNFQVLGEAYQVLSDPEKRELYDKYGKEDMPKDLMHPATVFGMLFGSDVFVDYVGELRLASIQTVEDEEDEVVPELRRQNIQEKLKKLQKERVEKLTTILKEHLQPYVEGRKDEFLQWAQSEAQHLAQAAFGEAMLHTIGYIYTRQAAREIGKTKRFMKVPFLAEWVRDKGHLIKSQAMAASGAVSLLQIQEEQKKYHEENKVEDAIKTMEEKKDIMIKSLWQINVVDIELTLSRVCQAVLKEPNVPKDTVRLRARAMKKLGTIFQGAKPMYRRDSSLRSENTDMVDSGPSSN >Solyc01g068150.3.1 pep chromosome:SL3.0:1:77062704:77068752:-1 gene:Solyc01g068150.3 transcript:Solyc01g068150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADEDFPPPVRLMNFVSEEQLAESKKTRGARVEDGTAQRDRPLYEILKENKDKKDAEFNERFKHQPPKALDEDETEFLEKLEMSRREYEKQVADEEDEQLRSFQAAVAAKSTIVHEIKEMPLVPKVQEPKLIKRKNPPANPLGMIVKIKPQAKKAKMDLVSSVSSLTTATSSDVDNVSTSETEESQRLTKRPIANADNHGPVTIGGLVSYSDESDDD >Solyc03g121620.1.1.1 pep chromosome:SL3.0:3:71197815:71198618:1 gene:Solyc03g121620.1 transcript:Solyc03g121620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRVYPAAKPAVPNGTAAPAPAPAAAAAANGGANQTFPANKAQLYNAARPTYRPMPPPRRKHRRSCCCCCCLFITLFIITIVLLAAIAGAIFWVLYRPQRPSFSVSTLQVSQLNLTSTKLASKFSLTVVARNPNKKISFFYDPINISFNSADVDIGDGSLPAFTHNRKNTTTLKTVVSSSGKNLDDSAISNLKSKLKNKKSLPLEIKLDTKVKVKVGSLKTKKVGIRVKCSGIKITVPSGKTPTKATTSNVKCKVDLRIKIWKWTF >Solyc05g020010.1.1 pep chromosome:SL3.0:5:25821652:25822202:-1 gene:Solyc05g020010.1 transcript:Solyc05g020010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFGTPEKQILIEPIFSQWIQSAHGKTSYGFDVILSSTTGPAFNAGRSIWLPGWLNAVNENSSSLFLTIGLGDFLVHHAIALGLHTTTLILVKETSKIISLGQFICENVCIAKNGRPLKSGQVILVQVYSKVIRSANPYLATPGATVHGHYGETLY >Solyc06g069370.3.1 pep chromosome:SL3.0:6:43236879:43239842:1 gene:Solyc06g069370.3 transcript:Solyc06g069370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPQSRPFGTEGRKTTHDFLSLYSPIEQDPRPSQGGHLQTHDFFQPLEQARKTVGKEENKVEVEAIEKQPPSAAHILPGGIGTYSISYSQQRFPKPEANTFAVTQTSSTDRDDRNSNCSSYSGSGFALWNESAMKKGKTGKENLAGDRHVVREAGLNIGGGKCTTSLERQSQLSSNHNHNTATLSSHSSPQQPSAMENQSFIHMITSAKNAQEDDDDDEEEFVVKKESPSPSRGLSCISLTVAFLSTLNLSFITEGQLLV >Solyc09g008670.3.1 pep chromosome:SL3.0:9:2123903:2127996:1 gene:Solyc09g008670.3 transcript:Solyc09g008670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TD2 description:Threonine dehydratase 2 biosynthetic, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:P25306] MEFLCLAPTRSFSTNPKLTKSIPSDHTSTTSRIFTYQNMRGSTMRPLALPLKMSPIVSVPDITAPVENVPAILPKVVPGELIVNKPTGGDSDELFQYLVDILASPVYDVAIESPLELAEKLSDRLGVNFYIKREDKQRVFSFKLRGAYNMMSNLSREELDKGVITASAGNHAQGVALAGQRLNCVAKIVMPTTTPQIKIDAVRALGGDVVLYGKTFDEAQTHALELSEKDGLKYIPPFDDPGVIKGQGTIGTEINRQLKDIHAVFIPVGGGGLIAGVATFFKQIAPNTKIIGVEPYGAASMTLSLHEGHRVKLSNVDTFADGVAVALVGEYTFAKCQELIDGMVLVANDGISAAIKDVYDEGRNILETSGAVAIAGAAAYCEFYKIKNENIVAIASGANMDFSKLHKVTELAGLGSGKEALLATFMVEQQGSFKTFVGLVGSLNFTELTYRFTSERKNALILYRVNVDKESDLEKMIEDMKSSNMTTLNLSHNELVVDHLKHLVGGSANISDEIFGEFIVPEKAETLKTFLDAFSPRWNITLCRYRNQGDINASLLMGFQVPQAEMDEFKNQADKLGYPYELDNYNEAFNLVVSE >Solyc05g008390.3.1 pep chromosome:SL3.0:5:2756435:2760306:-1 gene:Solyc05g008390.3 transcript:Solyc05g008390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDHFVFLVDRLLTESSLEAAIQSRDPKLQPSSITKSSVTDCSSQDSDPLSPRKMVECRICQDEDVDSNMEVPCSCCGSLKYAHRRCVQRWCNEKGDTICEICHQPFRPGYTAPPPIFRLGGIPMNFRGNWGIVRRDLNNPRLLAVVSSNRNFINSDDYDDDYAVSTSRSMMCCRSVAMIFMLLLVLRHTLPIIVNQAQDYSFPLVMLLLLRIAGIVLPIYIIMKAVTSCYRHQRQQAVLPISSSDEESDPVIHQPPIIIAP >Solyc12g011330.3.1 pep chromosome:SL3.0:12:4164323:4175079:1 gene:Solyc12g011330.3 transcript:Solyc12g011330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLLRMNRLLSSIVESCNCIIDPQLPADDLLMKYQYISDFFIALAYFSIPVELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFNMHTRNVAIVMTTAKALTALVSCITALMLVHIIPDLLSVKTRELFLKKKAAQLDREMGIIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECALWMPTRTGLELQLSYTLRHQNPVGLTVPIQLPVINQVFGTNHVVKISPNSPVARLRPAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTKRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDLARREAEMAVRARNDFLAVMNHEMRTPMHAIIALSSLLQETDLTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDIGTFNLHALFREVHSLIKPIASVKKLFVTLSLSSDLPEYVIGDEKRLMQILLNVVGNAVKFSKEGNVSISAFVAKSDSLRDPRAPEFFAVPSENHFYLRVQIKDTGIGITPQDIPNLFSKFTQSQALATTNSGGTGLGLAICKSIDTSSDGTQNRFVNLMEGHIWIESEGLGKGSTAIFIIKLGIPGRANESKLPFVTKLPANHTQMSFQGLKVLVMDENGVSRMVTKGLLTHLGCDVTTVGSRDECLRVVTHEHKVVIMDVSMQGIDCYEVAVVIHERFGKRHGRPLIVALTGNTDRVTKENCMRVGMDGVILKPVSVYKMRSVLSELLEHGVVLES >Solyc04g008930.2.1 pep chromosome:SL3.0:4:2547850:2549270:1 gene:Solyc04g008930.2 transcript:Solyc04g008930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTKKRSLKLNMSDYAGKSVISKMNKLGERMDCLAQGIREHVSLSPKLTETVKGKLSLGAKILQVGGLEKIFNQKFSVKYDEKLLNVCQCYLSTTAGPIAGLLFISTDKIAFCSERSIKFLSPTGKLLRIYYKVSIPISKTMKAKESENREKPSQKYIQVITEDDFEFWFMGFLNHQKTLRYLHHAISSS >Solyc01g105580.1.1.1 pep chromosome:SL3.0:1:93607124:93608446:-1 gene:Solyc01g105580.1 transcript:Solyc01g105580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPSAPTPDNLRRLKLSLFDQMDIGAYVPIVFNYLPNSTSSYDHDDKLEKSLSETLTKFYPFAGRFRKGIDPFSIDCNDEGIEYVRTKVNADDLAQYLRGQAHNDIESSLIDLLPVMHRLPSSPLFGVQVNVFNNGGVTIGIQILHMVSDAFTLVKFVNEWAHTTLTGTMPLDNPGFGQLPWLFPARALPFPLPDFNTTTAPNYKNVTKRFLFDALAIENLRNTIKANDMMMKQPSRVVVVMSLIWKVLTHISSAKNNGNSRDSSLVFVVNLRGKLSCTAPSLEHVVGNCVIPATANKEGDEARRKDDELNDFVKLVRNTIRDTCEAIGKAESVDDISSLAFNNLTKCIEKILHGDEMDFYSCSSWCGFPWYEADFGWGKPFWVSSVSFGHHGVTNLMDTKDGDGIQVTICLKENDMIEFERDPHILSSTSKLAFHSLG >Solyc09g042720.1.1 pep chromosome:SL3.0:9:25308420:25308850:1 gene:Solyc09g042720.1 transcript:Solyc09g042720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQLSLMLGNVLLSMFVRLGILGDPDWRKGREIHSYVIRFSYDSEIDVFNTLITMYVKCADVCSARVLFDEMSKRDRIS >Solyc11g063697.1.1 pep chromosome:SL3.0:11:50088417:50089591:1 gene:Solyc11g063697.1 transcript:Solyc11g063697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTFINNEEFKKKVIFIMGATGTGKSREIEPNSDFTAEDFCLQAVIYIEKILKTQRVLIIVGGPNSYIEKLVEDPVFMFKYKYDSCFIWIDVEQSVLNRRVDMRFDQMVKAGLVDEVRQIFIPDADYTKGIRRSIGVPEMDRYLREETNIDGDDESKKMILQYSISSIKRNTRMLICNQLAKIQQLISEKMWSVHHIIATDVFKEDKEEDLDEAWTNTVLQPCLDIVKIFLKNDHHNIIIECT >Solyc06g072590.3.1 pep chromosome:SL3.0:6:44910223:44912837:-1 gene:Solyc06g072590.3 transcript:Solyc06g072590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFYRLLRMGCGVSKIDANGVATPNRSILPNFPTRKKQSNKCNIPPKKQPMLLMPENDDRLSNSALKCDVDLNYVAPKAYKDENDEKKIAKIKTMDDNAFPGSPSFRVYFTDKDIETKKINDGYNKVGLKDVTPVGTTISSTKVCM >Solyc02g082740.1.1.1 pep chromosome:SL3.0:2:46945745:46946317:1 gene:Solyc02g082740.1 transcript:Solyc02g082740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4BAE4] MALSLCYSSFLSYLLLSVLLCREATSISHHHHHHHELKSIHFTLFQHETINKTAYLIVNGVAGPSTSQTTTPFGTLYVFQDPLTLKPNSNSKIVGTAEGTSITSSLNGLQSISTVKITLMMNHYKGSISVVGGTHNTKPSNHPVVGGTGDFLFVQGYVTSSPVDLSGITVTYKIQFHLYWPPYAIPSHPK >Solyc10g078970.1.1 pep chromosome:SL3.0:10:60739002:60739989:-1 gene:Solyc10g078970.1 transcript:Solyc10g078970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIGREAVDYMNMKSFSQPLRKKSIRLFGKEFSVGDSTNMSESTDKNPLHHEPKPNTMSISANRIDKTGHVDEISRKYECYYCFRSFPTSQALGGHQNAHKKERQNAKLSHLQSSIVHETNRNRFGEPSTAATRLTHYHSTWSNINNNNVYSPNYNEAFWQIPPTIHHYQNNINPPSSFSHDSFFPNDEEKREVQNHVSLDLHL >Solyc05g041510.1.1 pep chromosome:SL3.0:5:52924422:52924927:1 gene:Solyc05g041510.1 transcript:Solyc05g041510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEFNPIIEIKNKIIEEVIKTNYFSIIVDCTPDISHQDQISFIIRSVDILKTQINVTKYFLGFFKVDDTSRKYIFEVILDEVKCIGLDIDNLRGQESDNGSNMKGKHQGVQKRLLDINPRLIYTPCGCHSLKFGTL >Solyc03g113940.3.1 pep chromosome:SL3.0:3:65470688:65473498:1 gene:Solyc03g113940.3 transcript:Solyc03g113940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGEHSANNSVPVSSSCRKLRKFFNAVLPIVRLRKLMKRLCVNPAVSTKLINLNAFKHVLKGTTHGRKLQEKLNAESLKLQFSNNVRGPIYTGLPIGDQEGNPLDLHLIDCSSQNIMNCGAAASAQVEILVLEKDFTRYIGGEKSLIRGNPQVSLKNGSVSVSHISFKHTRNSLKKRELRLCARVVYPINGIVEAITKPFFVKDRRSMGKSLKPLILDDQVWKLQTIRKDGPFHKRLMKENIETVQDFLTHYFLNRENLLRILGRHMNVKKLDAAVNQAKSKLELKKYVYRQENLRVVFTDVGELIGVQFCKEGHSFSFQQLVQELKAFATDMVKNAFQNGRQNFKILLDDDSFYNGFTSEEAYNYVNDTQQRQPVPNNNVANYMSSTSLKVNNYDNISQINGALPHQFHPDDNDLIDQYLPSIIWD >Solyc06g061210.3.1 pep chromosome:SL3.0:6:39325143:39327111:-1 gene:Solyc06g061210.3 transcript:Solyc06g061210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQNPLKFIGFLIICGMFLTILLTASVIFTDLPSDSVKNLPKANIVDVKPPNGTVNSEVEKDKLLGGLLPSGIDETSCLSRYESALYHKELFHKPSSYLISRLRDYEALHKQCGPHTKSYNRSVELIKSGQYNESADCNYLVWISFSGLGNRMLTLASAFLYALLTNRVLLVDPGVNMPGLFCEPFQDVSWLIPPDFPLFNQFSTFDQKSPHSYGYMVKHDVIRNSSTLPPYIYLHLAHDYDDQDKLFFCDQDQSFLKKIPWLVMKTNTYFVLSLFLIPSFEQELSNLFPHKVTIFYFLSRYLFHPTNSVWGLVKRYYQAYLERADEKLGIQIRVLETGVGPFKYVLDQIIDCTMKENLLPQVNRSSEPIVLNQTEKQRKTISVLITSLSPGYSEEIRKMYWENPTVRGEIVSVYQPSQEEYQQSENLLHEQKALAEMYLLSLSDKLITSAWSTFGYVAQGLGGLKPWILYKPNENRTAHNPPCVRAASFEPCFHAPPNYDCKMKTPTDTSKIVPHVRHCEDMSWGLKLFD >Solyc04g051185.1.1 pep chromosome:SL3.0:4:49955211:49965558:1 gene:Solyc04g051185.1 transcript:Solyc04g051185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPVTTISLIALEDQAKTKFTYLYGTYAFKCMAFVLCNATATSQRRMMTIFYDMMEEFIEELYSEKVLSRFRVNDAKPRTTPLTNHFKLSKEQSPKTAEERDQMALVPYASAVSSLMYAMVCTRPDIAHAVGVDSIYMANPGKEHWKAVKWLLRYLRGTSSTSLCFGKGNVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTAVSWMSRLMKSVSLSSTEAEYVAIAEARKEMIWLADYLEELGKKQNTPRTEK >Solyc10g054827.1.1 pep chromosome:SL3.0:10:55943224:55946508:-1 gene:Solyc10g054827.1 transcript:Solyc10g054827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYVELQGARRRDVWFVDSGCSNHMCGERGMFSSLDTSFTHNVKLGNNHKLMVGGKGVVKILLKGINYVINDVYYIPELKNNLLSVGQLQERGLDVLFKGGDQNTCNIFHPSRGKITEYVMSANRMFILLGESNDKTKEEKCLQVDISDEAELWHHRYGHLSYKGLHTLCSKEMVVGLPEIGDVKTTCEACVKGKHHPEETEEYIDDVTSPNNPSTGETITITSRVRKPPIGSVDYTTGEGLSDIEEEVNMARVETETLAFMIISDPTILGQKVGRDEAGVKVDSTLYKQMVGNLMYLTATGPDLMFVVSLISRFMANPTELHFGTAKRIMRYVKGTLEFGIWYQREGKSELLGYTDSDYAGDVDDSRSTSGYVFLMSGGAVAWSSRKQPIITLSTTEADLLQQRPILQGWNKSISISYFSVSSGVS >Solyc08g048070.1.1.1 pep chromosome:SL3.0:8:15201050:15201253:1 gene:Solyc08g048070.1 transcript:Solyc08g048070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALIDQLQVCDSLRMYNTLVERCFTDYADSFKRKNLDKQDKNRVRRCAEKFLKHSMRAELRFAELN >Solyc03g118947.1.1.1 pep chromosome:SL3.0:3:69193873:69194028:-1 gene:Solyc03g118947.1 transcript:Solyc03g118947.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIINSLEMWSFELMVLLPGLLPNPQLETSVLSIWFELCTSYLSSKIFHFQV >Solyc10g080620.1.1.1 pep chromosome:SL3.0:10:61973752:61973922:1 gene:Solyc10g080620.1 transcript:Solyc10g080620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTYTANDKLIKVKSFQSPFCLGLKLSFKPFPNFPTPNISCGNTPLLICLSVFVLL >Solyc08g061067.1.1 pep chromosome:SL3.0:8:46694796:46697149:1 gene:Solyc08g061067.1 transcript:Solyc08g061067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDGAHNTYLQTLDEAVKQLQEVATHIPLSAEKGSNPTAPEENRLNLASLYLEGTARDWFQLMHENHQLIGWDHFTKALVVHFGTKSMEAPKGILGKLQMTSTVQDYFSQFEQIAYRTSDPQNQFASFICFLFVACNLLPGVGRVPFRKLTLTEIQRKHEPPNESTPASPTFPSPPQDPNFLSPPTKPSLHTISNMDLSANLPQSLLFISLVICVCVSNNLTTFPFVSHTTLPPSRLQDHRIPLITGSTPVNVRPYCYPHFQKSEIEQLVSDMLKFGVIRPSFSPYSSPVMLVRQKDDTWCFCVDYRALSFITMKDRFPIPTQWRNFLMSSTMLFSFLNSICWMGTIKFDFIPMTLRKLHFAPMMATLSSFLFSLVRLSMTIYIMFLSFCARILQ >Solyc10g006880.3.1 pep chromosome:SL3.0:10:1298978:1301926:-1 gene:Solyc10g006880.3 transcript:Solyc10g006880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NAC domain protein [Source:UniProtKB/TrEMBL;Acc:Q56UP7] MESTDSSTGTRHQPQLPPGFRFHPTDEELIVHYLKKRVAGAPIPVDIIGEIDLYKFDPWELPAKAIFGEQEWFFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVFTSGGTQKVGVKKALVFYGGKPPKGVKTNWIMHEYRVVENKTNNKPLGCDNIVANKKGSLRLDDWVLCRIYKKNNTQRSIDDLHDMLGSIPQNVPNSILQGIKPSNYGTILLENESNMYDGIMNNTNDIINNNNRSIPQISSKRTMHGGLYWNNDEATTTTTTIDRNHSPNTKRFLVENNEDDGLNMNNISRITNHEQSSSIANFLSQFPQNPSIQQQQQQQEEVLGSLNDGVVFRQPYNQVTGMNWYS >Solyc01g103200.3.1.1 pep chromosome:SL3.0:1:91737185:91737995:1 gene:Solyc01g103200.3 transcript:Solyc01g103200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSRFAVDALGVITIILVSILVLAGLFCILYLIYFHTKIRGQGYNQLGYFHGPWIIRIVFILFAIWWGFGEVVRLNLIRGEGRLLSAFGFRWQETVCKCYIVSSLGFAEPCLYLTVVFLLRASLQKSGTLSQKWNGKTVGYILLFCLPVFALQLVLILAGPQLEKNSLKHLPEYFTSPVKQSEDDVALCTYPLLSTFCHGLFAIMLTSYLFLAWWKNPAFRYQ >Solyc03g111770.3.1 pep chromosome:SL3.0:3:63883921:63888314:-1 gene:Solyc03g111770.3 transcript:Solyc03g111770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTSSMAAKLAFFPPNPPSYRVVTDQLTGLLLLDTFPHRENVDVLKLPTRRGNEILAIYIRYPMATTTLLYSHGNAADIGQMYELFIQLSIHLKVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGAKQEDIILYGQSVGSGPTADLAARLPRLRAVILHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVKCPVLIIHGTSDEVVDCSHGKQLWELCQEKYEPLWLKGGTHCDLELYPEYIRHLKKFVSTVEKPPSQRNLSRKSVDRPEQSRRSTDCYEIPRKSTDRREKPRKSTDKPEKLKNYDYKYANDDKISKLRMSFDQVERSRRSVEFFEKPRRSIDQQMEKARKSVDWLDRIRAG >Solyc01g014705.1.1 pep chromosome:SL3.0:1:14490263:14490784:1 gene:Solyc01g014705.1 transcript:Solyc01g014705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITDNDATDLSSDEDENPQGENSKRQKRFCKEIIIKNGKTKVTSKMVSSKEKNDKITPRQRKKYRGIRMNKRNWLGSFATMDEATLTNILKPPPKESDPIHL >Solyc02g092280.1.1.1 pep chromosome:SL3.0:2:54047671:54048006:-1 gene:Solyc02g092280.1 transcript:Solyc02g092280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLIVFLESLQYYGPGGGRIRQRKCNTIEEFAPPRKILESKSTTTTLYGPFSFSSLPGKGDQRKIACFSPRRKTTYVVVDLPTRMLPWSKSPFTSSNRQNRLLLFLLFFWH >Solyc03g059430.1.1.1 pep chromosome:SL3.0:3:31917863:31918021:-1 gene:Solyc03g059430.1 transcript:Solyc03g059430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFPLFLTKSAYIFLMLYFFNDSWFSHFVISLVYTIFPRYYWRLLSGHFCC >Solyc07g055160.3.1 pep chromosome:SL3.0:7:63409975:63421786:-1 gene:Solyc07g055160.3 transcript:Solyc07g055160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEPTWHELLGSNNWEGLLEPLHLNLRRLILRCGDFCQATYDAFNNDEYSMYCGSSRYGKSSLFHKVMFKSASDYQIVSFLYATARVGAHKALFLHSLSRESWDRESNWIGFIAITNDEISEQLGRREIYIAFRGTTRNYEWVNVLGARSESAEPLICNGGSICNDDENAPRVMNGWLKIYISSDPKSPFTRLSIRAQLQVMIEDLRDRYKKENLSITFTGHSLGASLSILAAFDLVENGVTDIPVSAIVFGSPQVGNRAFNDKLKEFPNLKILHVKNKIDVITLYPSSLLGYVNSGIELVIDTRKSPCLKDSKNPSDWHNLQAMLHIIAGWNGEHGEFEMKVKRSLALVNKSSSMLKDEILIPGSWWVEKNKGVVLDEDGEWILAPPLEEDIPIPEYSLSPHYIELFMYLIQLVANCVLEGSAACERLFLKGLRAIEDPSGWILGLFEAKLYNACKASLSPNGPVSEDALEKVRSLLDKIKPSDVGLEQEAQLVRSWNSTLHERNGELQPPPPIKYLHLHECDSFSMGIFCMPPSSIIPLHNHPGMTVLSKLVYGSFHVKAYDWINIPGPLVPLEVCYLQLLAPNVACSGARPAKLVKDCDMTAPCGTTALYPTSGGNIHCFKAITPCAIFDILSPPYSSEDGRHCTYFRRSPVADLPGELEVDGVTFSDVTWLGEFQLPDDFMIRRGQYKGRVIKT >Solyc10g045270.2.1 pep chromosome:SL3.0:10:32522318:32532781:-1 gene:Solyc10g045270.2 transcript:Solyc10g045270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKLKGLANVDERNDMIFRADKIDLKSLDVQLEKHLSRVWSSNVENQNQRPREGWEIDPSKLEIRYLVAQGTYGTVHRGTYDNQDVAVKLLDWGEDGMMTAAETAALRASFQQEVTVWQKLDHPNVTKFVGASMGTSNLKIPSKNPSEGYTTLSCRACCVLVEFLYGGTLKKYLFKHRKKKLPFKILIQLALDLSRGTLKIADFGVARVEAQNPQDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDLPYPDLSFAEVSSAVVRQNLRPEIPRCCPSSLSNVMKKCWDGHPEKRPEMDEVVRLLEAIDTSKGGGMIPEDVAGSCICFRPIRGP >Solyc04g051460.3.1 pep chromosome:SL3.0:4:50508541:50518753:1 gene:Solyc04g051460.3 transcript:Solyc04g051460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLHKQEKFLDKTERIWLLIMDIIHDFILFCHIILHNDKSKEIKENDTFLLQILHEYIFAGQMYKENSNFSSIFLPFIVIITAHIIPHNFVRFASCKDIMGCVVGKGYSFTPERLERMKNENSYVKGNRVEKQQRQREQQQMRDMSRIRDQEGNHVLLDHEEKRNGNNGVKIGIIDQLQRVIISDNGRKIGGDDQLVDGWPKWLVDNVPKEVLVGLVPKTADSYEKLDKVGQGTYSNVYKARDRKSGKLVALKKVRFDTSEPESVKFMAREIIILKKLDHPNIIKLEGLATSRMHYSLYLVFDFMPSDLTKLINHTQGIRLTQPQIKCYMEQLLCGLEHCHERGILHRDIKGSNLLIDKNGILKIGDFGLANFYETKTKRPLTSRVVTLWYRAPELLLGSTNYSVGIDLWSVGCLLAEMFIGRPILPGRNEFFTTSPLACGLSELPVFKVEEDGPAQSIDITRKRDSKGKRVKKNREGYRKLLSNISENSKEEKQGESSNQSQDMGEISSNSFSLNMINNNNNQSPPPSPTPFFKFRNINQFSKTEAHPNALKNIKNYPILLASITEAAKNYEDNRLSLNRRSISTIDFRNHHDLMDHKISNIFSFEDTS >Solyc10g085555.1.1 pep chromosome:SL3.0:10:64797649:64800072:1 gene:Solyc10g085555.1 transcript:Solyc10g085555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLRKLLISCYLQEFMILPVGASSFKEAMKMGCEVYHHLKAVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIEKAGYTGKVVIGMDVAASEFYGKDKTYDLNFKEENNNGSQKISGDQLKDLYKSFVSEYPIVSIEDPFDQDDWETYAKLTAEIGQKVQIVGDDLLVTNPKRVAKAISEKTCNALLLKVNQIGSVTESIEAVKMSKQAGWGVMTSHRSGETEDTFIADLAVGLSTGQIKTGAPCRSERLAKYNQPVLISRSFHQLLRIEEELGSDAVYAGASFRAPVEPY >Solyc02g036360.1.1.1 pep chromosome:SL3.0:2:31057026:31059311:-1 gene:Solyc02g036360.1 transcript:Solyc02g036360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKSGGRKKKGQNQGENHKPVVNGTVDLDSSIFLKRAHELKEEGNKRFQAKDFVGALQQYDNALKLTPKTHPERAVFHSNRAACMMQMKPIDYDSVISECTMALQVQPRFVRALLRRARALEAVCKYEMAMQDVQMLLDADGNHQDALEIAGRLSMILGPRQDAQQDLQSRPSPAALGASAVGAASIAGLGPCLPSRSMSKKPVPSTGAMVVSVNSKPNKPSYVMPAENGTQAKVQLPRVSLKPSTGPSKPNVSPSRDDQKENASTSASITVHGHSKEAVSRWRPLKLVYDHDIRLAQMPVNCSFRVLRDIVMKRFPMSKSVLVKYKDSDGDLVTITCTAELRLAESWVDSLVPKDPDADEGDFIGMLRLHIVEVSPEQEPALLEEEERPVESEENIADESVSHSSLSDSVVETLESEINKSEKGITKEKTITEGPECKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELSAEALEETVTSEAAQALFDKAALKFQEVAALAFFNWGNVHMCAARKRMPIDDSASKETMAIKLQAAYDWVKEKYSLAKEKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFVLAKKEDLSSWDRTETLALFESAEEKMKAATQMWEKLEELRDNELKDPSTIKKDELLRRKKKQGSGPEGEVSATGGPGEISADEAAQQAAVMRSQIHLFWGNMLFERSQVECKLGLIGWKEKLDTAVERFKLAGASEIDISTVLKNHCSNEEATQGSQEMVESLKTEGNDNPND >Solyc12g049320.2.1.1 pep chromosome:SL3.0:12:61754894:61756522:1 gene:Solyc12g049320.2 transcript:Solyc12g049320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIDETTSDPISEWLDSPLSYFPSLLDDESYSIDDLLDESYSLDDIYEDSWWAPNESINQEIHINNNNDNSLPCFDTSFPVTTASTVSLEPVISSHLPPLDSSKKRKGNDSGYNPKASRKNQNRRINDADTRRTTGHKKATHKSSTGNNGNNREGRWAEQLLNPCAAAITAGNLNRVQHLLYVLRELSSLNGDANHRLAARGLQALTYYLSTPCPTSLSSASAATFASTNPKFFKNSLINFNEISPWFRIPNSIANSSILQILGKHDSSRNLHIVDIGVSHGVQWPTLLEELTHRAGGPPPLVRLTVITPTIEDDQQRNSPFVVGPGGYNFSVQLLAFAKAININLQINRLENYPLQNLDSQVINTSPDEILVICAQFRLHNLKHNNPDDRTELLRRLKSLEPKGLVLSENNMDCSCNSCGDFTTRFSRRVEYLWKFLDSTSVAYKGRESEGRRMMEGEAAKALTNMGEMNETKEKWCERMRSVGFVWEMFGDDAIDRARALLKKYDNNWEMRVDEKDGCVGLWWKGDPVSFCSLWKIDHNT >Solyc03g118470.3.1 pep chromosome:SL3.0:3:68814951:68817296:1 gene:Solyc03g118470.3 transcript:Solyc03g118470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTKTLSMISRTGRDLQRYNDGCRQVVGCIPYRYRKTNQSSTVQGTQIDDLEFLLISSQKSPKWMFPKGGWETDEALEDAALRETFEESGVVGDVGVQEYLGTWSFKSKSQGTFHEGHMFPLLVTEELEDWPEKTVRKRLWLKFSEAREVCWHSWMKEALDVFASKLTKRNKDDEPQTCPFDELCYEQTVATVSNSNTMFYEEPIISIEANDLTCEEELRLSLVAKPSLGKLMFNEDARRKPFSNEISNVAYSMFSEEATMSTKAQKMFNEKLGRISIAAFS >Solyc12g089200.2.1 pep chromosome:SL3.0:12:65297609:65299345:1 gene:Solyc12g089200.2 transcript:Solyc12g089200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTGDTTGTGTGGDSIYKFLYGDRFFSEEIHSDLKHSKRGTVAMASASSSGKNLNASQFYITLLDLIPDASPERKPKDEIDDDVRLEDDWMPEDEQLGVREDKEAHSRAVVFESVGDIPYAEMKPPDNVLFVCKLNPTTEEEALYIIFSSFGTMTSAEVIRDHKTGVSHCYGFIEFEDKESCEQAYIKLDNTVIDDRRIRVDFSQSVAKLWSQYRPRNQKSSGVDAIKQPRSYVLKEDNKQAFSYSSPILLSLDKAIVCDLEMD >Solyc05g019980.2.1 pep chromosome:SL3.0:5:24872936:24873960:-1 gene:Solyc05g019980.2 transcript:Solyc05g019980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIFFVIWFGLNDSSLVVKNSSSRSRNDNKVGFLENDCDIFDGNWVWDESYPIYQTEDCMFLDEGFRCSENGRHDNFYTKWRWQPKDCNLTKARCSTI >Solyc07g054730.1.1.1 pep chromosome:SL3.0:7:63082616:63082906:-1 gene:Solyc07g054730.1 transcript:Solyc07g054730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYFNRVWVATTVAVVNSHADHGQKLKSGIQSLNHGKKRFSSSVASGTDVAGLRPMSGILGSDVGGFIGGGKGEEKRKQTDESLRQVMYLSCWGPS >Solyc03g111570.3.1 pep chromosome:SL3.0:3:63639824:63671607:1 gene:Solyc03g111570.3 transcript:Solyc03g111570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVYENWDRLVRATLRREQLRQTGPGHGRTPSGIAGSVPDSLQRTTNINAILQAADEIQDEDPNVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKKEGTRIDRNRDIERLWEFYQQYKRRHKVDDIQREEQKWRESGAVSANIGELGLRFFEMRKVFATLRAVVEVMEYLSKDAAPDGVGRLIKEELRRIKKSDATLSGELAPYNIVPLEAASLTNAIGFFPEVQGAISAVKYTEQFPQLPAGFDIPGQRHMDMFDLLEYAFGFQKDNVRNQRENVILIVANAQSRLGIPVGADPKIDEKVITEVFLKVLDNYIKWCRYLRIRLVWNKLEAINRDRKLFLVSLYFCIWGEAANVRFLPECICYIFHHMARELDAILDHGEARPAPCCLGEDQSVSFLEKIIRPIYDTIVSEAARNNNGKAAHSKWRNYDDFNEYFWSPACFELGWPFNKESSFLRKPAKKGKRTGKSTFVEHRTFLHLYRSFHRLWIFLVVMFQALTIIAFSNAKINLDTFKKLLSVGPTFAVMNFIESFLDVILMFGAYSTARGMAISRIVIRFIWTAVSSAFVIYVYLKLLQERNTNKDPFYFRLYILVLGVYAGIRVVFALLTKLPACHKLSEMSDQSFFQFFKWIYQERYFVGRGLVEKTTDYLRYSLYWLVIFACKFTFAYFLQIKPLVGPSKLIYQMPSLQYSWHDFISKNNNNILTIVSLWAPVVAIYLMDIHIWYTLLSAIVGGVMGARARLGEIRSIEMVHKRFESFPEAFVKNLVSPQTKRIPIDRQLSERLQKINEGAVEDGDNLSKCVSGSYETSPENNKAYAALFSPFWNEIIKSLREEDYVSNREMDLLSMPSNTGSLRLVQWPLFLLCSKVLTCKDTQRDLWTRICKDEYMAYAVQECYYSIEKILYSLNDGEGRLWWLKEYIEKSTIA >Solyc10g080760.2.1 pep chromosome:SL3.0:10:62086253:62090357:1 gene:Solyc10g080760.2 transcript:Solyc10g080760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDLVARTGRHQQRYEEGYRLIAGCIPFRFRDMEQNGDDTSEKIVEVLMINSTSGPGLLFPKGGWENDETVKEAAVREAIEEAGVRGDIVHFLGYYPFKSKTLQDEFSPEGLCRAAMFALFVKEELDCWPEQSRRKRSWLTIPEAIECCRHPWMRKVLEEGFSKWHEDGMVSTINNNDD >Solyc10g006427.1.1 pep chromosome:SL3.0:10:1026054:1026643:-1 gene:Solyc10g006427.1 transcript:Solyc10g006427.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKASDLLLSVSVCAETYKTKIIDLALASSEELRQMAQQQEPLWLFDTNKQTEVLNEVEYKRRFAHLDPTLEEIIKLIANGGGPIDMTNFNGNVDIEMGNSSIPSVIEASRAIGIVLVDPINLVHMLMDVGHMCSPTLFQKQQILEFY >Solyc02g084850.3.1 pep chromosome:SL3.0:2:48563116:48564178:-1 gene:Solyc02g084850.3 transcript:Solyc02g084850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TAS14 description:Abscisic acid and environmental stress-inducible protein TAS14 [Source:UniProtKB/Swiss-Prot;Acc:P22240] MAQYGNQDQMRKTDEYGNHVQETGVYQGTGTGGMMGGTGTGGMMGGTGGEYGTQGMGTGTHHHEGQQQLRRSDSSSSSEDDGEGGRRKKGLKEKIMEKMPGQHEGEYGQTTGEEKKGMMDKIKDKIPGMH >Solyc01g096610.3.1 pep chromosome:SL3.0:1:87558543:87561985:1 gene:Solyc01g096610.3 transcript:Solyc01g096610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKNTFQSGFLSILYSLGSKPLQIWDKEVVDGHVKRLQDEDIQSNVLEIIGSNVQQAYITCPADPNATLGIKLPFLVMIVKNVKKYFTFEIQVLDDKNVRRRFRASNYQAVTRVKPYICTMPLKLDEGWNQIQLNLSDLTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPMQKS >Solyc08g081230.1.1.1 pep chromosome:SL3.0:8:64411372:64412763:-1 gene:Solyc08g081230.1 transcript:Solyc08g081230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPFIGSHPLSSGFSRNSIDSSSNIVTIDVGGHLFQTTKQTLKQSGSKSILSEISNLDGSIPFIDRDPEMFSILLSLLRTGNLPSKAKTFDIQDLVFESQFYGVEHLLLNSHSNPSQFEPFDLEKSLILPLSGRDSPTAISTTQLGSVQVAHGCKITSFDWSLKRKSTILTQFAGIDSMLSVSPNVVAAGATDFSGLQIIDVSKGFVKETLNWENVTKSGSTVQGIGASKEFLFTSFESSRRNSNCIMIYDLSDNGFRPVSEIGHYEIFGAELDSAIPATKLRWIPSYNLLMAAGSHSGPSGVRGNIRFWDLRSGNAVWEIKENVDCFADCTVSDDLSAILKVGVHSGEVFISDLRNIGKENTWTCLGDSRKATNGKKEGFGSKIESHGNQVFCSKGGNLELWSEVLIGSSIKDRVFRKNSMGRAKDSCGNKITHFSFGGNKMFVTRKDQQFVEVWQSSVRGF >Solyc08g014243.1.1 pep chromosome:SL3.0:8:4000873:4002356:1 gene:Solyc08g014243.1 transcript:Solyc08g014243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDQMARCYMFWMVAGMMMADTSGNYLKVMYLPMLEDLNVVSSYSWGSANLACLYRFLCKASQSNQNEIVGFLPLLQIWAWERVTVLRPQVIAQRDIENNFLACLPGVHVLLDGLHILVGLIPLSMC >Solyc03g005370.1.1.1 pep chromosome:SL3.0:3:212877:213044:1 gene:Solyc03g005370.1 transcript:Solyc03g005370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDLLVYVVERMYTTNNDKTILGFCQLEASWQHILFSKKTNKISFAVFRLCFHCL >Solyc07g064560.3.1 pep chromosome:SL3.0:7:66775596:66782534:-1 gene:Solyc07g064560.3 transcript:Solyc07g064560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQPVQGDSSSTPANLPLKRRRGRPRKDPSLKRVVRAQVPPPFELVQEIQQVNRNDGMIGQAVTGVVESAFDAGYLLNVRIGDSNTNYRGVVFKPGHYIPVTAANDVAPHLEMLRRNEVRPPAVNQLQAYGPRAERNGSVKLGSRSSKSKQVIMHPSVPPVGVRGTVVPVVLQPVNLTNGLASSQSSASQASNRESIREKDVQMVKPLAMLPPDGPVTPGQLPPEPTREILASQAQVGNKVAIGTGQNEGYLSKGGEAVKEEKAQPAASTNIAIPVAVAYVSQGSGLAKEDTGNNEASNKLPAEHSPVIPKLDTVNQVPSAKPSEPAIPTLPTQATSVPKLLMNYGTGRMTELLQAVQENLKENQLLRTGESGLGVMKTPATDGSMEETSIQ >Solyc07g055585.1.1 pep chromosome:SL3.0:7:63715305:63716992:-1 gene:Solyc07g055585.1 transcript:Solyc07g055585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAEQRFRGTIYLNSTVGLSTSWTNRPYLLDADSSYGITPILVSENDGNKYHFCGFYCNDDQSVECLLGIFIAFPDIVSTEIKLMDPQLVWSANRDRPVKANATLQLGLDGNLVLADSDGTFVWSTNTTGKSVSGLTLTEMGNLVLFDKANRIVWQSFDHPTDSLLPGQSLVAGRKLVACVSETNLSQGLLSLAILNRSLTTYIDSDPPQVYFTSRRPASTYFSFDGQALTAPPYSRTSPAEFMKFGPDGHFRAYQWDENRYNWKDISDLLLNVYAQNCGYPMNSSNTQNQSGGKKSRRHTVIIGSTLAAIFGIILSITACFVIFKKRTQDSTKSGDFMDLEPNFTGMLTRFSYNELRIITEDFSRKLGEGGFGSVYEGTLSNGTKIAVKRLDGLGHKLT >Solyc02g021475.1.1 pep chromosome:SL3.0:2:23362523:23364178:-1 gene:Solyc02g021475.1 transcript:Solyc02g021475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAKSQALPCSIDSKNGQHVHFSSDIDDPSGNSPMMEDCNIDSSSVAGGVTANLSRKKATPPQPAKKLTQ >Solyc01g099090.3.1 pep chromosome:SL3.0:1:89280036:89288229:-1 gene:Solyc01g099090.3 transcript:Solyc01g099090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTVLDKGWLAARSTEVEINGVQLTTTQPPTQPLNSPWMEASVPGTVLGTLLKNKLIPDPFYGLENEAIIDIADSGREHYTFWFFTTFECKLSNNQHVDLNFRAINYSAEVYLNGHKEVLPKGMFRRHSIDITDILHPDGQNLLAVLVYPPDHPGRIPPKGGQGGDHEIGKDVAAQYVEGWDWMTPIRDRNTGIWDEVSITVTGPVKLVDPHLASSFFDGYKRVYLHSTVELVNRNALVAECSLNIQVSTELEDGTFLVEHLETQHVSISAGANIHYTFPQLYLYKPNLWWPNGMGKQHLYNVEITVNVKGYGESDTWSHHFGFRKIESHIDSATGGRLFKVNGQPIFIRGGNWILSDGLLRLSKERYKTDIRFHADMNFNMMRCWGGGLAERPEFYYYCDLYGLLVWQEFWITGDCDGRGDPVSNPDGPLDHDLFLLCARDTIKLLRNHPSLALWVGGNEQVPPPDINAALKNDLQLHPYYMNLNNNGTSTITPLIKDPSQYLDGTRVYVQGSMWDGFADGKGEFTDGPYEIQNPEDFFKHDYYQYGFNPEVGNVGMPVAATIRATMPPEGWQIPLFKKLSNGYIEEVPNPIWTYHKYIPYSKPEKVHDQILSYGKPNDLDDFCLKAQLVNYVQYRALLEGYTSQMWSKYTGVLIWKTQNPWTGLRGQFYDHLLDQTAGFYGCRSAAEPIHVQLNLATYSVEVVNTTSEELSNVAIETSVWDLEGECPYYKTSEKLTVPPKKTISTFEMKYPKSKNPKPVYFLLLKLYDVSDNRIYSRNFYWLHLTGGDYKLLEQFRERRPPLKITSLTFIKGSSYEMRMHIQNTSKKPDSNAPLYRNNFIRRNGSFDESDSSESFDLLDGEKHEISLYEKIRRNFSRGHNKAKVSEVNGTGKGVAFFLHFSVHASKEENKKGEEDTRILPIHYSNNYFSLVPGEVMTVTISFEVPPGVTPRVTLHGWNHHDVHTVL >Solyc10g049585.1.1 pep chromosome:SL3.0:10:46084820:46091158:1 gene:Solyc10g049585.1 transcript:Solyc10g049585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEQEMGGPMDCELWHKRLGHPSIQALQVAPVLLPDSFEDVDSSEGTVAEGCPNANTRVSTILNDVFDEMLHVHDEEMSFQEPVIDTTEPLALVSTRNSSRHTKPTTQMKDYMAPKPEGMVVVLIYVDDLLITGGNESLIREAKEALHQKFKLKDFGEIKYFLDIEVLRYKTGVILNQRKYILELISDTGLSGAKPATTPLETNLRLTSVKFDQTAGLQGDDVLIDHSAYQRSIGKLMYATITRPDISYAVQTLNQFMQHPKRSHWEATTRVVRYLKGTVGQGIWLKAQPAKTLTCWCDLECAACPNTRSLVTGYIVNFGESLVSWKSKKQQRVSRSSAEAEYRSMASAVAKVTWLIGLFNEPN >Solyc01g091890.3.1 pep chromosome:SL3.0:1:85302039:85304807:-1 gene:Solyc01g091890.3 transcript:Solyc01g091890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ubiquitin-related modifier 1 homolog [Source:UniProtKB/TrEMBL;Acc:K4AZC9] MQLTLEFGGGLELLCDSVKSHNINVDPQDGEKQLTMKNLLSWVRTNVIKERPEMFMKGDSVRPGVLVLVNDTDWELSGQLETVLEEKDNVVFISTLHGG >Solyc04g071490.3.1 pep chromosome:SL3.0:4:58562661:58564632:1 gene:Solyc04g071490.3 transcript:Solyc04g071490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMEMGLKLTRVANESSSTEFQFAKDRAGPLFQSTETDTMFILTVHLKGYIQENIKVDINEEGTIIAIRGEKSVQETVMVGWKLIKKDVEVRKFSKAFKIPDGVILDEIKARFDDEISILTIKMPKKVKGILGIEFVEVKEHEELPIVANKISKKVTFKEDMDKPKAEENQGKPREVVQKHVVKDEMMPNEDSKHVVKDEIMPNEDSKHVVKDEMMSNEDSKHVIKDETMPNVDSNIQNNDTIDETREARGDFVGHDKPESSNSRDEHKDDQASVTSRKREDDNVPKKSSKICVPIVAGSALILSLFVFVIHLIRTKNQSVKRKG >Solyc06g067930.2.1 pep chromosome:SL3.0:6:42221816:42224684:1 gene:Solyc06g067930.2 transcript:Solyc06g067930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDYWLCYLIIFWFVLLIVQKVVINLYFRPRRIQNHFLKQGIRGPKYNFLLGNLKEIADLQLPSSQHFSLSHDIVPRVFSFYHHWKNIYGATFVVWFGATVRVSISDPTLIRDMLLVKSDNFEKIETPPSAKKLEGDGLPNLRGEKWAHHRKILTQIFYSQNLKLMIPMMGKSMKDMLNKWSSKMCKDGKVEIEVSKWFLSLSEEVVTHTVFGSSYEDGKAIFELQAQQLAYATNAFHQLFIPAFRFLPTKKNIISWKLDRDVRSSLMKLIEHRKKSLEFDESELEGPKDMLEVIIKASSNNNSNSPAIKVDDIVEECKTMFLAGKYTTSNLLTWTTILLAMNPHWQQLARDEVFNVCGARDTPSKDDIAKLKIVGMILNESLRLYPPLAAILRRAKIDTKLGNLNLPKGTELLIPTIGIHHDAELWGDDVNEFNPGRFERGVAQAAKSPIAFMPFGLGARHCIGQNLAIIQAKLAIAMMLMRFSFQLAPTYQHAPTIHIFLCPQYGAPIIFKKL >Solyc05g041220.2.1 pep chromosome:SL3.0:5:51395992:51400533:1 gene:Solyc05g041220.2 transcript:Solyc05g041220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRVGNDVWPEPFVEDLALLVAIDASHSLGRLAAAQALALIFQVCSTWQAVSHSDMLWQNLTRRIWNRHELLRQTWREEYIYWHQTANNFLHHRYTYNTLHFVPQNNVDALSDHHLAAGFSNGSVQLFFLPRRLHLSTYHPHHRDRLGRFSRAVSGIILSDVQLVFASLDGDIHVVVIGDAAPPRRAHLGDVVNDGALVDFTGSDQWWVGLYAGVPGRAFHIWNRETEELVFVGGELTDPEALMGWHLLTELTEIVGRIRVTTRETAVACTSLQLMVIDLQNQGIIIRAQPSRRGIIVASFDAYNETLVAVDTRGMANVRRADNLEERSRFTVRGANQRGVVGCVNGGYGFMWLGNVVRVWDIENGNYLYSFGERTGDVNAIIADERYVVACSSDATIHLWDFGAQ >Solyc03g033695.1.1 pep chromosome:SL3.0:3:5309965:5310582:-1 gene:Solyc03g033695.1 transcript:Solyc03g033695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSQNYYAGSHTIGKARCTSFKYTLDEKYAAQLRTKCPKFGGDQNLFFLDYVTPTKFDNNYLAKNNKIFFEQFVKSMVKLENNSPLMGHKGEIRKNCRKMN >Solyc05g018403.1.1 pep chromosome:SL3.0:5:20736441:20738065:-1 gene:Solyc05g018403.1 transcript:Solyc05g018403.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQVDGIFTEEISAVEEVNQQLAACNIILDELQANLTHTQAQKKIYVDAKRREVVFQPGDLVYLRLQPFKLHSLAKKDNEKLSPRYYGPYTVLSKTGEISYRLDLPSHSRVHPVYHVSWLKRAVKDSILVQQLPALLSEDLEMLIQPESVIDCRTLLNGFHEVLIKWKDLPDFESTWEPYEVIDAQFPHFTLRTR >Solyc11g010625.1.1 pep chromosome:SL3.0:11:3691257:3694275:1 gene:Solyc11g010625.1 transcript:Solyc11g010625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDISLSVGSGFDPIGEMVFGVLIPIFNVFQRWWWGGKEKEPVSNGSSMNPLQDFGFGLKEQSDSLKFKSVRGANLASTTRKVKRKWKSREERKRVDEKYDVVLVPSDGVCLSGSESDDSDWSIGWLEPHAPDFQNDDEGDDSFAVLVPCYRHDCREIGEPNNQFLSAIENLSNGYPAEGKKYMEQWLSSLQNF >Solyc01g094280.3.1 pep chromosome:SL3.0:1:85690855:85694355:-1 gene:Solyc01g094280.3 transcript:Solyc01g094280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPITMMKMKLNNCSPPSTAAAAARFLPLLGPHQIRFRSSVAPLYNPSFPRTIITRPTFSSSSIITAAMANEALVKVIDGKKVAKDVRDEINAEITRMKDSIGVVPGLAVILVGDRKDSATYVRNKKKACETAGIKSYEVCLPENSTEEEVLKYISDFNDDPMVHGILVQLPLPSHMDEEKILHAVCIEKDVDGFHPLNIGQLAMRGREPLFIPCTPKGCIELLHRYNVEIKGKKAVVIGRSNIVGMPAALLLQREDATVSIVHSRTTNPEEITREADIIIAAVGKPNMVRGSWIKPGAVIIDVGINPVEDATNPRGYRLVGDVCYEEAIKVASAITPVPGGVGPMTIAMLLSNTLLSAKRINNFK >Solyc01g016850.1.1.1 pep chromosome:SL3.0:1:22482987:22483220:-1 gene:Solyc01g016850.1 transcript:Solyc01g016850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHRPTAAVARPTAQDGDGHATGGDIGGATSNTEVRPTTARGLKMALGSTGRAKVRWAAAVGESWMVADCRASWMA >Solyc12g035875.1.1 pep chromosome:SL3.0:12:44519510:44520255:-1 gene:Solyc12g035875.1 transcript:Solyc12g035875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAIELTEQVDTKGIQKLWGVSMEKKLLMSNGLEKTWFPYKTIRSKIDYFLDEVSDTWYSPYQDLKSMMGGSLKVME >Solyc06g076470.3.1 pep chromosome:SL3.0:6:47652820:47655947:1 gene:Solyc06g076470.3 transcript:Solyc06g076470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSSKKKQVGSADVSASTGIFSSIFPPPSKVMGRKASAAELIQSLEKQSSGCRAWNKSATAYVTKNKQGAGHCRSSKEHESLFQDRGETCPLSSSLLYGGQEDMYIKSSDAHKTLSYTSYKKEGGEYDYSGNTQYSASRGNWWQGSLYY >Solyc12g042550.2.1 pep chromosome:SL3.0:12:58828460:58829132:-1 gene:Solyc12g042550.2 transcript:Solyc12g042550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLECKKKRTRVKAQEEEGRDREEEDQMEVRTTFIIRVLIKVQREAALHLHINC >Solyc06g067890.3.1 pep chromosome:SL3.0:6:42196222:42203039:1 gene:Solyc06g067890.3 transcript:Solyc06g067890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGSGGKGMLEKVKRFVKIIYFMVAMLASLLVLSAPLIVAIGDVLVPSVLISSFTCVKCYSFKEHLRRYAFKSSLTDIPIVSIVRSLIITCVYSLCDGPALSHGPYLGTVTFCSIISVILLSIKACVFSVNSYLEVEASSSISRQRLHLKKSWGMPVLFLSSVVFALGHTVIAYRTSCRARRKLLFHRVDPEAVLPCKVVFSAYNKVPRSPTPTGKTRTESDMRRKLAGSAHEEGEVPAILLADVDSSFISCLGLSVHYKLCLPGSPYRSLSSTPSSATPLHVLSKSDYRIRRSHSTQFHTHTLSMPLLDVSPTSPVPSEEIPTFCLDETGDEDDVGKVGCSFPVRDVEGNNQFGIVLVHGFGGGVFSWRNVMDDLAQQVGCAVTAFDRPGWGLTSRPRQRDWEENQLPNPYKIDSQVDLLLSFCSDMGFTSVVLVGHDDGGLLALKAAQRVQSSTNSINVHIKGIVLLDVSLSRELVPAFARILLRTSLGKKHLVRPLLRTEITQVVNRRAWYDATKLTTDVLSLYKAPLCVEGWDEALHEIGKLSSETVLSPENAAQLLKTVESLPVMVIGGAEDALVSIKSVQVMASKLVNSRLVAISGCGHLPHEECPKALLAAMSPFINRILVEPQQQHQ >Solyc04g050864.1.1 pep chromosome:SL3.0:4:48698567:48721146:1 gene:Solyc04g050864.1 transcript:Solyc04g050864.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEDDSLGGSSTRTQGETTTGNLVMIDHNHPLYLSSSNVLGALSDRCNAIVISWLTCNVSREFLSGILYSPSAHQGVLSVSAYYTKLKNLWDEYDSILPPPSCDCNKSKEYVEQLQYQLLLQFLMGLNDSYSSARGGAQLQEALDHIGKSAMHSRLLDEMVHMDRIIKGQEEYCSDIPNQLGKLIHKATPTQLQQMLGILQGNKEFLDFQSCVNLVGNDHKMILETKRVLKDSFKIKDLGELRYFLGIEFARNSTGILMHHRKYCLELISDMRLSSLKPVGAPIELNKRLTITKFDLHFSLADKHDKLLKDPGVYQKLIGRLLYLTIKRSNIAFLVKLLSQFMHSPKTFHMDAAMRVVRSIKQSPGLGIFMTSAVDNQLRAYCDADWASCPNNRKSSAEAEYRSLASSVAEIVWLVGIFKELGIQVEMPIKEEARSSNQVALYTISFGVNRKSHGHVESSLPPRDEATSQQGR >Solyc07g056630.3.1 pep chromosome:SL3.0:7:64566292:64579989:1 gene:Solyc07g056630.3 transcript:Solyc07g056630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNKLGRRKQVVDDKYTRPQGLYQHKDVDVKKLRKLILDSKLAPCYPGDDDCPNANLEECPICFLYYPSLNRSRCCMKGICTECFLQMKTPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGIEQIEEQRVIEAKIRMRQQELQDDEEKMHKRRELSTSSSIAGPSEIEYCSTAAPSFASAVEGGEVVTSQETCTAPTTRQPQRTRQNREDDFDLDLEDIMVMEAIWLSIQENGRNRSMDYSDVGPSEQYTEEDHRCVPAVNPTASGSSSSPSGGLACAIAALAERQQMSGESSSYSRNMSSSYNEHPSCDRFSNGEELENDDYPHAEGTMHVSPESHLEIPREDGEWADHGSMVAEVGTSYAVSDEMEDDASFPLQGEMVSDLQPNTSSIVPENFEEQMMLAMAVSLAEASARSSPPGVAWH >Solyc06g005760.1.1.1 pep chromosome:SL3.0:6:799525:799800:-1 gene:Solyc06g005760.1 transcript:Solyc06g005760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKNCCFCMINWKNNKTKKERHKSTPKERVPQYSKPTQKSKGFNNMVGYGHTDHVNHKVANNHGSNDMGAAGVAIIAIAHIHDMDGDGGE >Solyc10g079590.1.1 pep chromosome:SL3.0:10:61220883:61224647:-1 gene:Solyc10g079590.1 transcript:Solyc10g079590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGEQVRKIAREDIQLVQNLIERCLQLYMNQKETVDTLLHQAKIQPSFTEFVWQRLEEENHEFFRAYYTRLIVKDQIMRFNELLERQAESMRVYATESVPVSNGSQIQPVAQNSTFQATEHAEPNVKLANMHPTINGNLLQGYTNGASSVQSYAQAAMDVSAHARSIDLSPNMLLPQHANLGLMQGPNDGMINSAGYSGNYGGGGILQKAPPGIGNPSLPDFSNVEPSVQPVNEDPWGVDTSSFGFLGKIPRNFSFSDLTADFPNTSEILEGYSGSAFLATDANDFLDPQDEGDQENQAINESDTISEDVSFDDLCSD >Solyc12g039170.1.1.1 pep chromosome:SL3.0:12:53454372:53454608:1 gene:Solyc12g039170.1 transcript:Solyc12g039170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRAISESAKPVSVAIGEELPQSNNTLASSREPPPTAGVANHQRNSMGTIASTSDATITTRLFKLIIPVMKCMSMEFV >Solyc11g012750.2.1.1 pep chromosome:SL3.0:11:5519288:5519779:1 gene:Solyc11g012750.2 transcript:Solyc11g012750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMGVPVPVHLNQDSECTIREQDRFMPIANVIRIMRRILPPHAKISDDSKQTIQECVSEFISFVTGEANERCQCEQRKTITAEDVLWAMSRLGFDDYIEPLTFYLHRYREIDGGEHGTLTEEESLMLKLDPSYAGYFVYPLPMANTTYISQCAVESDDSLFLC >Solyc03g053020.3.1 pep chromosome:SL3.0:3:23698139:23699305:1 gene:Solyc03g053020.3 transcript:Solyc03g053020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNMEHENWVIVHITCCIWAWALVDAQLLLPISSMDRISASPYSNPFPAHKYSLRADENTILKG >Solyc11g065935.1.1 pep chromosome:SL3.0:11:51848022:51848448:-1 gene:Solyc11g065935.1 transcript:Solyc11g065935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSSTNGADTPFADITHFRSLIGALQYLSITHPDIQFAVNRVAQRMHQPSEHDYHCLKRILRYIFWHSWSWFTHLTRGLGASGKSTSGFLIFLGPNLISWCTKKQPKVSRSSAEAEYRALALLAAET >Solyc10g017570.3.1 pep chromosome:SL3.0:10:5518178:5525924:-1 gene:Solyc10g017570.3 transcript:Solyc10g017570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGIRNNVIAVVTGGNKGIGYEICKQLMEKGVMVVLTARDEKRGVEAVEKLQSSLVVFHQVDVVDPQSVSSLVNFIKSNYGKLDILVNNAGVNGLMVEGDVSILPEMIEREAFRGVSDTTEENELPPIKSNGKLIENFERAEECIRTNYYGAKTMVDAFVPLLLLSPLPRIVNVSSLLGKIKLVSNEWAIKALSDEKSLTTEKVDEIVNEFLWAFKEGVLEEKGWPIDLAAYKVSKVAMNAHTRIVAQKYSSICVNCICPGFAKTDITCNTGLTTPEEAAKGPIGLALLRDGGPSGQFFYRKNIPISF >Solyc01g081300.3.1 pep chromosome:SL3.0:1:80388954:80395934:1 gene:Solyc01g081300.3 transcript:Solyc01g081300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQQQSPPPHVALTNNDHKEELMRAIKSSITNCLSETHLDLTVPQLKSKIRGKVRDIYDGGDYLVMVTTDRQSAFDRILASIPFKGQVLNETSLWWFNKTQHITPNAVVSVPDKNVTIARKCSVFPVEFVVRGYVTGSTDTSLWTVYNKGVRNYCGNNLPDGLVKNQKLTENILTPTTKAADHDVPVTPDEIVQRGLMTQADYDEVSRRAMSLFEFGQHVALDHGLILVDTKYEFGKGPDGQIYLIDEVHTPDSSRYWIAHSYQERIQNGLEPENIDKEFLRLWFKSHCNPYEDEVLPDAPEELVSELAWRYIFLFETITNSRFEMPETKEPVHDRISRNVSQALSSLQ >Solyc01g109180.3.1 pep chromosome:SL3.0:1:96130315:96137132:-1 gene:Solyc01g109180.3 transcript:Solyc01g109180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNYTVKVEETRPPSDGKPSAGPVYRNIYAKDGLMEMPTRFESPWDFFSESVKKNPKNQMLGRRQVVDKKAGPYSWLTYEEVYETTIKIGSAIRNRGVNPGDRCGIYGVNCPEWIMAMEILSCLSKCNSYLKSSKSNLYIFYEAIVSFGNISSTQRSEAEEQGVACFSWEEFVQMGSLDHELPQKRKTDISSIMYTSGTTGEPKGVILTNGAFMGEVLSMDQLLVETDKEGTGEDVYFSFLPLAHIFDQIIETYCIYRGASIGFWQGVRHIYSFCTELLKAFLLLKTCCVFKDIRYLIEDLLVLKPTIFCGVPRVYDRIYTGVMDKIEAGGTLKKLLFQFAYNYKLRNMEKGLRQDEAAPRFDRLVFDKIKLAFGGRVRLMLSGAAPLPKHVEEFLRVTCCCSLSQGYGLTESCGGCLTSIANVHSMTGTVGVPMTTIEARLESVPEMGYDALASVPRGEICLRGKTLFSGYHKREDLTKSVLVDGWFHTGDIGEWQPDGAMKIIDRKKNIFKLSQGEYVAVENIEGVYSRCPLVTSVSLNISTSGWSIFPVYPVSLMLFRFSRHGLCMFYTHTNSSPGSQIWIYGNSFESFLVAVVVPERKAIEEWASNNQETGDFSSLCNNTKARKYILDELNSTARKHQKILPQLRGFEMLRAVHLEPNPFDIERELVTPTFKLKRPQLLNYYKDIVDQLYKEAKAKTA >Solyc07g055550.2.1 pep chromosome:SL3.0:7:63647027:63667934:-1 gene:Solyc07g055550.2 transcript:Solyc07g055550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNNIIIATIFVTILLVHTWKVLNWAWFRPKKLEKFFRQQGLCGNSYRLLHGDLKEFSKSIKEAQSKPINNLSNDIAPRIIPYFIQTISKYGKNCFVWFGPKPMILIMESEQIREIFAKNYVYQKPHHSNPVANLLARGIANYEEDKWAKHRKILKPAFHMEKLKLMLPAFYLSCIEMLKEWEQIIPYEGSKELDIWPQFQKLTSDMISRTAFGSSYEEGRRIFELQKEQAEIIMKQFNSIYIPGSRLKAKEAGQEFGDDLLGTLLESNSNEIEEQGSKEFGLTIDEVIRECKLFYFAGQETTSVWLVWTMILLSRHQNWQAKAREEVLQAFGSDQPAFDELSRLKIVTMILYESLRLYPPLATRIRRTNEETKLGNMYLPNGSLLFIPTILLHHDKEIWGEDAEEFKPERFSEGVLNATKGQMTFFPFGAGPRICIGQNFAMLEAKMAIALILQRFEFELSPSYIHVPHCIVALQPKSMEISYNIIITTICVVILLFYTWKVLNWAWFGPKKLENCLRQRGLKGNPYKLLYGDLNELTKSIVEAKSKPINFSDDIAQRLIPFFLNSINKNGKSSFIWLGPYPIVLITKPEHVKEIFTKNYVYLKQTHPNPFTKLLAKGLVSLEEDKWAKHRKIINPTFHVEKLKHMLPAFYVCCSEMISKWEEIVPKGTSTEIDVWPDLEKMTSEVISRTAFGSSYEEGRIVFELQKEQAEHVMEVARSIYIPGSRFLPTKRNKRMLEIEKEIQTTIRRIIDKRLRAMEGGETSKDDLLGILLESNMKEIEQHGSKDFGMTTVEVIEECKLFYFAGQETTSVLLVWTMILLCLHPEWQVRAREEVLQVFGNDKPDLEGLGRLKIVTMILYETLRLFPPLPTFGRTNKEEVKLGELNLPAGVILIVPAILVHYDKEIWGEDAKEFKPERFSEGVSKATKGQFSFIPFGGGPRICIGQNFAMMEAKMALAMILQRFSFELSPSYTHAPFAVVTIHPQYVLNWAWFRPKKLEKYFIQNGLKGKPYKLLYGDLNELTKSIVEAKSKPINFSDDIAQRLIPFFLDSINKNGKSSFMWLGPYPVILITDPEHVKEIFTKNYVYLKQTHPNPMTKLLAQGLANIEGDKWAKHRKIINPVFHVEKLKHMLPAFYMSCSEMLSKWEEIVPTGTSFELDVWPDLQIMTSEVISRTAFGSSYEEGRTVFELQKEQAEYVIEKGQSIYIPGSRFLPTKRNKRMLEIEKEIQTTIRCIIDKRLRAMEAGDTSKDDLLGILLESNLKEIELHGKKDFGMTISDVVDECKLFYFAGQETTSVLLVWTMILLCLHPEWQVRAREEVLQIFGNNKPDLEGLNRLKIVTMILYETLRLFPPLPIFGRRTEEEVKLRELNLPAGVLLIIPTILVHYDKEIWGEDAKEFKPERFSEGVSKATKGQVSFIPFSWGPRVCIGQNFAMMEAKMALAMILQRFSFELSPSYTHAPFAVVTIHPHQSEKYLRKSGLKGNPYKFLYEDLKEFKESVIEAKSKLINFSDDIAQRLIPFFLDSINKNGTYPVVLVTDPEHVKEIFTKNYVYLKQNHPNPMTKLLAYGLANVEGDKWAKHRKIINPHMLPAFYVSCSKMLSK >Solyc03g044155.1.1.1 pep chromosome:SL3.0:3:8240160:8240447:-1 gene:Solyc03g044155.1 transcript:Solyc03g044155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASSGSGSSSIKHTRTLTNVGPAGTYKVNVIKPSNSVKVVVEPETLAFTRMNEQKSYTVTFTAPSMPSTENVYARIEWSDGKHVVSSPVAISWT >Solyc02g062917.1.1 pep chromosome:SL3.0:2:35402662:35404537:-1 gene:Solyc02g062917.1 transcript:Solyc02g062917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTQRTIIYCEIQSTKSSHSPSHGMLCHDNENVMQYFFGVLTACAHSGLVDEARSFFISMEKKWSIISKAEHYSVVNLLGRSGMLVEAFELVKQISFDLPSNAWEILL >Solyc07g008755.1.1 pep chromosome:SL3.0:7:3722712:3724725:1 gene:Solyc07g008755.1 transcript:Solyc07g008755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRKVTDPEMRLIAIILERFSSADEAMQVRTRFCADELFKHFVPVPTYDEDTRIIHKLCSNCYFSR >Solyc02g075625.1.1.1 pep chromosome:SL3.0:2:42355310:42356056:-1 gene:Solyc02g075625.1 transcript:Solyc02g075625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTSSDSPTEQECVYETWMILQRKEVIELEQAAVQAKNGLKNENELTQLIEKTVENFQDYANNRSRLARIDVSPLLAPTSCTSLENSILWIAGCRPSSLIRFAYALCGMDIESHLTEFLQGKKVGVLSELTNKQMKMIDALQAKTIREETNLSSKLASLQEDAVDQPFAGKMENDCENADDVLDEHSRYMADVLRDADELRMKTLKEIVLNILKPLQAVEYLAAAKRLKHCYKIWGQKRDHRRTNE >Solyc08g061610.3.1 pep chromosome:SL3.0:8:49245273:49267306:-1 gene:Solyc08g061610.3 transcript:Solyc08g061610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTANLLRFSLSHDHNLTSNFIRSNANHERRSFYFNPFIHQRRRTSQLLLRRNAVFAKAVEFNVTPSGNEQQVQLKNDETTALLDVSGMMCGACVSRVKAILSADDRVDSAVVNMLTETAAVKLKADAAETGLAAQELAKRLTECGFPTKKRSSGLGIDAKVNKWKETVKKKEALLIESRNRVAFAWTLVALCCGTHAAHILHSLGIHIHGSMLDILHNSYVKAGLAVGALLGPGRDLLFDGLRAFTKGSPNMNSLVGFGSIAAFAISSVSLLNSELQWEASFFDEPVMLLGFVLLGRSLEERARLKASSDMNELLSLISTQSRLVITSSGSDSSTDVVGSDAICIEVPTDDIRVGDSLLVFPGETIPVDGRVVAGRSVVDESMLTGESLPVFKEKGVSVSAGTINWDSPLRIEASSTGSNSTISKIVNMVEDAQGREAPIQRLADTIAGPFVYSVMTLSAATFGFWYYVGSNIFPDVLLNDIAGPEGDPLLLSLKLAVDVLVVSCPCALGLATPTAILVGTSLGARQGLLIRGGDVLERLASVDHVMLDKTGTLTEGKPAVSAITSLGHEELEILQIAAAVEKTTSHPIAHAIISKAESLNLSVPVTRGQLAEPGSGTMGEVNGLLVAIGKLKWVQERFQQKTERSDLMALEQSVMLKSLQDSQSSNHSTTVVYVGREGEGVIGAIAISDKLREDAESTISRLQHKGIETVLLSGDREEAVATVAKTVGIKDKFVNASLTPQQKSAAISGLQASGHRVAMVGDGINDAPSLALADVGIALQVEAQETAASNAASIILLGNRLSQVLEALDLAQATMAKVHQNLSWAVAYNVIAIPIAAGVLLPNFDFAMTPSLSGGLMAMSSIFVVSNSLLLQFHGSQKNRKENLTYKPAQKEQ >Solyc04g009540.1.1.1 pep chromosome:SL3.0:4:2951061:2951750:1 gene:Solyc04g009540.1 transcript:Solyc04g009540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAALFSLPSSSSHSYPFSVKSHFNNPFIIPHSSSHLKLKPRTLTIRSAISRTKKEETVETVKQQLEDCYLLAGISYKGLTVKQFQDLRAQLPETTKLLVAKNTLVLKAIEGTKWEALKPCMKGMNAWLFVHSEEIPAALKPYRTFLREKKLEDNDFTGGVFEGKFYGPDEFKVLENLPTRAEIYSQLLGSLKGPASAVVGTIQAPARNLVMVLKAYVKKLEEEEGGSQ >Solyc04g079125.1.1 pep chromosome:SL3.0:4:63801780:63804337:-1 gene:Solyc04g079125.1 transcript:Solyc04g079125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKYEDLRKQRLEENKKRMEELNLPLLTQALKNSTSPKTTPMKRTKPRVVGTELVAVRRSPRVAKSPAPEYKEVIHYERVMIPRRLATPTKRDRLFFAYASDEERAASIEKAEKLEASLGSDYPILVRPMLPSHVSGGFWLGLPSNFCRKNLPRRDDTITLIDETGEEWPTVYLAQKFGLSGGWKRFSVDHDLADGDTIVFHLIRPTKFKVYIIRVNNASETVSTQTSTSTSSSEAF >Solyc08g016320.1.1.1 pep chromosome:SL3.0:8:7223820:7224167:-1 gene:Solyc08g016320.1 transcript:Solyc08g016320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDLMRSLKQKKTLAKFIYLFLPSLFLIIPLSLSLSSSLSLSSFLSARLLHLLSPPSSSPSPDVPPSPPSSSSDEKVSRLHLCAPFAVGPQQVEVAPPPSPPGRQQQQWSAIPTL >Solyc01g107630.3.1.1 pep chromosome:SL3.0:1:95012487:95013497:1 gene:Solyc01g107630.3 transcript:Solyc01g107630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEEVNRCQIQEWYPRFKSDSIKTMIHELPESFIEYLMDDHGPFLLPLSIDDNDALPNRIHKPEEEEDFEVSEGSEDESEQPSPPPSFPELEMKIKESIESLGGSVFPKLNWSAPKDAAWISSTGNLCCNSFSEVAILLRASDSLVHDLCHVYDSCSDKTVSRPPKFFLALRKWYSSLRPEMEFRCFVRNGILVGVSQREVTGFYPALVEKKDELITIVQRFFMYKVKGNFESESYTFDIYVTNDDRVKLLDFNPWGAFTLPLLFTWEELEVNSNKEGDHLEFRLVESQCGVRPGLKTAVPYDYLDTSPGSGWDEFLKKADEELGQQIRSPQAGA >Solyc09g061870.2.1.1 pep chromosome:SL3.0:9:60787818:60790156:1 gene:Solyc09g061870.2 transcript:Solyc09g061870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKLISHVQSNNNSSEDNNNTRSFPCLYCSRKFHSSQALGGHQNAHKKERTAARKNKRSIMSAHHNHDYATNFSSLLPQGTPLVFAPNHHPIGLINHPSLYITAHAANFCHFPPSQQHFSSRSFGSNGAPRFENNNNATTTMLYRNQFGNYMNNTNTNPYVCNDDFFEECQENVPTFVKEDRDQKQLDLSLHL >Solyc06g053585.1.1 pep chromosome:SL3.0:6:36496448:36508164:-1 gene:Solyc06g053585.1 transcript:Solyc06g053585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMMPNVTIVILATKVCGYSERSSYIRENAKVLRVDAANIRESVEKSLKRLNTDYIDLLQIHWPDRYVPLFGEFFYETSKWRPSVPFVEQLRAFQELIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIVSIQNSYSLLVRCHFEALLQFFCSYFGARTVDLVEVCHPNNCNIGLLSYSPLAGGTLSGKYLDSNSEAARKGRLHLFPGYMERYNKSLAKEATKKYEEVAKKHGLTLVELALGFARDRPFMTSSIIGATSVDQLKEDIDAFLTTERPLPPQVMDDIEDIFKRYRDPASR >Solyc09g064840.3.1 pep chromosome:SL3.0:9:62528883:62543225:1 gene:Solyc09g064840.3 transcript:Solyc09g064840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSRRKGTSKAAAAAAACRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYSRDRKKVLVYFFGTQQIAFCNPVDVEAFTEDKKQSLFVKRRGKGADFIRAVHEIIDCFEKLKTEQPVNGSSTDEVSVRSDNVAVELTRTHLEGEALNTLESSSKVNQGGESEPDFENEAGAVAAKDDMSHDGEMLSVDPTGVEVMDGPATKTYSTRRKIVGGRSRNGAVDRTVPSARRLRSSLRADPEVLQKRLFPSGPLTMNAGYGANTVRDRYVRRNKMDGKLSDSLDRNNMEQSDFVSNGSTEESDSEIATVDSCSVSLNEGSSVESGCKPVYKCAVQGVSEVELSHRLEFQSSAVILKKKRKPNRKRLQIDLSESSAGLDKDAAPEILTARTTDVLPGDPVKSDENNSKELKEDGDEHLPLVKRARVRMGRSAPEGEVLDNEVLNDAKSPGASDKSLEQVPEGDGSCLQNSTCIKSDAYDSSPSKKCSSKRPSFWEIRKQFGGSLDGESALPPSKRLHRALEAMSAYAADDDKQDVDGLCKMKTSINGYCSSSKEVCSELSGGIKVEKNSDADRMRSPANSVQEDAAIVASAKALVAQEGLQHLSDVPALTTPLACDDSSAKVSYEDKCDVSDAVIQTPQKVESSNDCPSSTFVAHSANAESDDGELQGTFKCKCPSPELIMTSDENCENEAAESAKHFEDPISEVSGRSADCGSNDEIVMSSPEKSDMMRLASAEAECGKNNNLCQVSLDVSIQDKDKSLKLKEAGLESKNISVTSSSSPEKVVDASLKELHVSGLSSVSDDQFGDKAVSTTLSSSSHDSFVRISTPNTLTCNMSTVDSSMHVSIGSSSPLPHQLHDKQKTSGKLSSRGEANGALGSFEAALGILTRTKESIGRATRVALDCAKLGVASEVVEIIAQKLENESSLRRRVDLFFLVDSIAQFSRGLKGHIGGIYPSAIQGVLPRLISAAAPPGSSSQENRRQCLKVLRVWQERKIIPESAIRPYIRELESFCGSSLGRGFSRRPMRTERAFDDPIREMEGMLVDEYGSNSSFQLPGFRMPAMLKDEEVSDSDGESFEAVTPEHPAGKPNGEEAILVIEKHKRILEDVDGELEMEDVSPVCEGENASISHSIGTDSGLISRPNGGNSFGASFHPPLPKDGPPSSPPLPSSPPPPPPPPPLPSVMPAPSSFPPPSSILNLAPSIVQSKCSMGSQNIKDNLQETIFQRCSVAHVHLAVSDAIHCQTSDSREIHGEGPLKVLDSSSSRPSGTGAVSHPPFGLSNVVHPPDGASAKGFHLRPPHPAPSNQFSYVHADQRRDISTPYSNVLHMQNGDNRSFSRDLNGIKSARHEIGESWMTQSHYSGPCFPDGSRGHFAGGSYPVPHEPPLPSHKWGFPPRGMNRREIFTHRPPPEHSIPVTSRGPGFWRPR >Solyc02g066960.3.1 pep chromosome:SL3.0:2:37780693:37783405:1 gene:Solyc02g066960.3 transcript:Solyc02g066960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B728] MSKLHILIIPYPVQGHVIPLMQLAQSLAKYGFKITFVNTESTHKSILNSLSGKNSLHDQIHLISVLDESESGEDKNVPGKLSEAIFKIMPGKIEKLIQVTNVSEDERITCIIADQSLGWALELADKMGIKRAAFITAAAANLILGFNIPKLIHDGLIDNDGTPRIKDHTFQFEPTMPIMNTSDLLWTSMGNSTMQKIIFNMLVHNNKSVKSADWLICNSTYDLEPGAFKLAPEIVPIGPLFSNNSLESNALSLEAEALISSRESPNSLAGSFWPEDSTCLDWLDQQPLCSVVYVAFGSFTIFNETQFQELALGLELSNKPFLWVVRTTTLDTKSDIFLKKFEEKIGRQKGKIVSWAPQQKVLSHSSIGCFVSHCGWNSTIEAMSNGVPILCWPYFADQFMNQSYVCDIWKVGLGLKKGDNGIISCGEIKVKVEQLLGNDVFKKRAMQIKEMNMNSVKEGGKSHQNLINFIEWIKSS >Solyc12g038175.1.1 pep chromosome:SL3.0:12:50055728:50062072:-1 gene:Solyc12g038175.1 transcript:Solyc12g038175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIARSSMREINNLKTKLYAAFEMKDLGPTKQILGMKISWYRSAGTLNLSQELYIEKVLSRFKVNDAKPRDTPFANHFKLSKEQSPKTAEERDHMALIPYASVVGSLIYAMVCTRPDIAHAVRVVSRYMANPGKEHWEAVKWLLRYLIGTSSISLCFGKGKVTLQGFVDADLGGDIDSSKSTSGYIYTVGRTIVSLMSRLQKCVSLSSIEAEPASTPLETNHKLTSIEFDECSGKVSNAEDTVLDDFGKYQRLIGRLLYLTMTRPDIAFVVQVLSQFMHSPKTSHMEATIRVVKYIKGTAGLGLFMPSSKSSELTAYCDSDWAACVESRRSVTDM >Solyc09g010260.3.1 pep chromosome:SL3.0:9:3644321:3650561:1 gene:Solyc09g010260.3 transcript:Solyc09g010260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLPPLSHLQENNKNNNFLLKFVKKYMYHVLFFLFLFFSSILFYNLLSPLYHDPLVISNIGFFAKNSTCDYSYGKWIWDEKYVVDQYNENCPFLDPGFRCRKSGRRDMDYRKWRWQPQHCHLPRFNAKDFLERSRNGRIVFAGDSIGRNQWESMICMLAQGVSNQSTIYEEFGNPITKHRGYLSMKFQEYNLTVEYYRVPFLVVVDRPPANASKEVRGVIRLDKLHWYFTKWVNADVIIFNAGHWWNEDKTINMGIHFQEGTTVNMTMNVVEAFQRSLSTWASWVIQNTKPEKSHIFFRSVSPVHYRDGAWNEGGHCHTNTAPETDYTKLEKEPTNNIFISEVVEKMQNTRRNMTFLNITYLSEFRKDGHPSEYREPGTPAGAPQDCSHWCLPGVPDSWNELLYAYLLLNGYKTKLK >Solyc07g063475.1.1 pep chromosome:SL3.0:7:66091744:66094731:1 gene:Solyc07g063475.1 transcript:Solyc07g063475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGVHLVLAFMLSCFLILEANAAFTPADKYLIACGSSKNVTFLGQIFVPDTHHSSVVLESEENSISATSNSTAPFSIYQSARIFHTTTSYEFDIHREGRHWVRLYFYPLPGYNLTSASITVVTENFVLLNNFSFKRYKGTYLFKEYSINVTSDSLTVALIPSNNSVAFINAIEVVSAPDELIPDQAVTASPPGPFNGLSGLALETVYRLNMGGPLITAQNDTLGRTWENDVKYLHVNSSAVNVSVTPSSIKYPATITPEIAPNWVYATAETMGDANTVDGNFNITWEFPVDPNFMYLIRVHFCDIVSESLNTLLFNLYINDDTALLDLDLSSVGKLDMPYYRDFVSNSTTNSGVLTVSVGPDTGADWINALMNGLEIMKISNGARSLSGVSSNWFCCRRSITRRSKSSQQGQPWLPLPLYGNSLTLTKMSTVSQKSGTASCISLASPNLGRFFSFQEIMDATNKFDENLLLGVGGFGRVYKGTLEDGTRLAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPSLSWKQRLEICIGAARGLHYLHTGASQSIIHRDVKTTNILLDENFVAKVADFGLSKAGPAIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPRDQVNIAEWAMTWQKKGMLDQIMDPNLTGKVNSASLKKFGETAEKCLADHGVDRPSMGDVLWNLEYALQLEETSSALAEPDDNSTNHIPSIPLTPLEPFDNSVSMIDGINSGTDDDAEDVATSAVFSQLVNPRGR >Solyc10g011700.3.1 pep chromosome:SL3.0:10:3962437:3963749:1 gene:Solyc10g011700.3 transcript:Solyc10g011700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRIGDSTNVVIACIVSSYGQMEIEMKKLWPSSLLLLQRNDISKVEKPVREYALHDWICQAEIVSFSQVLVDIIHFFSFGNLSGLSAVPQDKTASHATSYPHVSPHLLLAIFKSNSPCQNLKEYHPRAVQDFLENLILSIPRSSFEWRITEFKTVRETT >Solyc01g005810.3.1 pep chromosome:SL3.0:1:530367:534782:1 gene:Solyc01g005810.3 transcript:Solyc01g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein MAK16 homolog [Source:UniProtKB/TrEMBL;Acc:K4ASE7] MQHDEVIWQVIRHKHCSFMAKIETGIFCRNPYNVTGICNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHMPNKLWERVKLPRNYEKALEIIDKHLMYWPKFLVHKAKQRLTKMTQMRIRMRKLALKTREKIMTTPRKETKRESRRQEKAEKAALLDKSIEKELLERLSKGVYGDIYNVPEQKYQEILAREAEEVASEEEDEEEVEVEYVEGYEDLEEEDDIEDFDGFGIRDNGLDADTGMDDDDDDEEAVTVHRKSGRKDSALARRRAEKDDPSAKAKKKAKVLVEVEHDDTSARQTTVQ >Solyc02g082830.2.1.1 pep chromosome:SL3.0:2:47034569:47035561:1 gene:Solyc02g082830.2 transcript:Solyc02g082830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMEMSHRGKEFLSIIQKAESDLRTLLNISDEYAVLFLQGGATTQFAAIPLNICSPDDAVDYIVTGSWGDKAFKEAAKYSKPNVIWSGKSEKYTKIPNFDSLVQNPDAKYLHICANETIHGVEFKDYPTPLNEKTILVADMSSNFCSKPVDVSKFGIIYAGAQKNVGPSGVTIVIIRKDLIGNAQESTPVMLDYKIHAENNSLYNTPPCYGIYMCGLVFEDLLDQGGLVEVEKKNQKKAQILYDAIDSSNGFYRCPVDKSVRSLMNVPFTLAKPELEAEFVKTAAGEKMVQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKEFQAKHG >Solyc01g080900.3.1 pep chromosome:SL3.0:1:80095310:80099654:1 gene:Solyc01g080900.3 transcript:Solyc01g080900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFWLMMMVTIICVMFGFMKWLLSSVNVWYYEKVKLSGDKKKRLSLPPGDLGWPFIGTMWSFLRAFKSNNPDSFINSFISRFGRTGIYKAFMFGSPCVIITTPEGCRKVLNDDEAFKPGWPKSTMELIGKKSFIGISYEEHKWLRKLTAAPVNGHEALSMYIQYIEERVISALDKWSSMEEVEFLTHMRKLTFRIIMYIFLSTESEQVMDDLEKEYTTLNYGVRAMAVNLPGFAYYKALKARKNLVAIFQSIVDERRARKEKRGLEDKKDMMDILLEVQDENGRKLNDEEIIDVLVMYLNAGHESSGHITMWVTYFLQKHPDFFKKAKEEQESIVKNRPAEQKGLTLKEIRNMDYLSKVIDETLRLITFSFVVFREAKEDVAIHGYTIPKGWKVLVWFRSVHLDPEIYKDPLEFNPSRWDGLTPKVGTFLPFGGGGRLCPGNDLAKLEISIFLHYFLLDYELERKNPSCPVMYLPHCRPKDNCLGRVKRVSSTSSAA >Solyc01g005790.1.1.1 pep chromosome:SL3.0:1:505626:507002:-1 gene:Solyc01g005790.1 transcript:Solyc01g005790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLLQHFIKHSNQIKQVHSLLTTSGRLLLTSQWINTLLYNTLIRAYLNISQPQTALILFTHMLFHQAQPNSHTFPSLSKAASSFPSRLASYIGKNLHCQVLRRGVLGDPYVCTSFIGLYSHAGELESAHKVFDEIPEPCIVSYNAMLDACGKNGDMGLGFLMFSRMSYRDVYSWTSMIHGYMKNGCSEVAVKFFQRMMVHEDVKRGLLKPNEATFVSILSSCTFLDIGVALYLGRQVHAYMVKNEELSVFTMTALIAFYGKMGSLVYASKIFDAMVSKQVCAWNAMISSLALNGREKQALMMYEKMRAKGLQPNEITFVAVLSACARAKLVDFGFKLFEAMSHEFGLVAKMEHYGCVVDLLGRAGLLQEAYDFIKKMPFEADATVLGALMGACRLHGAIELGNEVSQLLLESQPSHSGRYVQLSSIYAGAERWDHAAALRKAMLDAGIHKVPAHSLV >Solyc08g079780.2.1 pep chromosome:SL3.0:8:63376362:63378748:1 gene:Solyc08g079780.2 transcript:Solyc08g079780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSVMIAVIVVVVATPAMATDHWVGDDQGWKLDFNYTAWAATKQFHVGDKLIFKYKKDVHNVYKADHEAFKSCTPSSDVTPLTSGNDEISLASPGKKWYICGVGKHCEKGMKLAINVWPAESVSPAPSPSSPGSSSSSSFASSISPDSKFVALLVAAFAMIVMIMT >Solyc06g071970.1.1 pep chromosome:SL3.0:6:44476411:44477803:1 gene:Solyc06g071970.1 transcript:Solyc06g071970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPKKSSGSRPPTENKKSSSSPCDKSPPSQRPKANDDNVDPFNKSMSPSQTAKFGGNNSTGKVDGATIGKTIMITEKAMTPVTQGKRAGTKKPWCRLISEFPQNPSIEISESRFMAGASKKLSENAMDCVIRRGAVIL >Solyc06g062360.3.1 pep chromosome:SL3.0:6:39444214:39449456:-1 gene:Solyc06g062360.3 transcript:Solyc06g062360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFQDLESGRSVGPRRGFMNGKQDTTQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELRDKLHKTRLHIGQLVKDTSTKLKQASETDHRVEVSASKKITDAKLAKDFQAVLKEFQKAQRLAAERETAYTPFIPQAVLPSSYTDGEVDVSSDKGQEQRALLVESRRQEVLLLDNEISFNEAIIEEREQGIQEVQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSNIENSHAATAQGRSQLAKAAKTQRSNSSLTCLLLVIFGIVLLIVIIVLAA >Solyc06g048577.1.1 pep chromosome:SL3.0:6:31398274:31401553:-1 gene:Solyc06g048577.1 transcript:Solyc06g048577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding VVINLEDQVLEEKEVEVMDLVVNKEEIGKERKELILYKLQTAEGISQMQGQQRSEVESQFGPSTSRGVGPVSYFTPNQYNQILQMLKKHNMNEINAHMAGTFAANCSSDWIVDSGASNHMVRDLTLLKPGSSVKNPGRVQLANGDTTLITNSGSSQLKGGDSDSTDVLQSHSTDVLHDTNLEFMEDSQAEISMNANAVEDYTALLPALETASTYNVPGEEGNHIDNHVVMTQRRSSRSSKAPLWQQDFVLTKSEKSKHQSNCLYSISDNIDYSGLSRKQLPTDRGNKAVGDTSDSLLLDPGEYQRLVGRLLYLTPTRPDISYAVQSLSQFMQAPKVSHMNAAIRVVKYVKQSPGFGILLTTQSNSTESLQAYCDADWGSCVKF >Solyc03g046540.1.1 pep chromosome:SL3.0:3:13067980:13069372:-1 gene:Solyc03g046540.1 transcript:Solyc03g046540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFFAFLEETVKNISKGRISINPVMMPKVNGLTQFRGPSSTTRSEIPYMENVLPDEGLGSGSNSKIVSMVKDFFPRGIFARYLLQREVCEEGFILSCCTLQAQTTAFFGASTLISSTMIYYGKMKIFGIGVSILKPVNVRPKFSAVLFKFENIIVVFPKVRVRIF >Solyc02g037495.1.1.1 pep chromosome:SL3.0:2:31443231:31444883:1 gene:Solyc02g037495.1 transcript:Solyc02g037495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDELKPTPPNSTPLTPITFLERAAIIYGDCPSIVYNHSTYNWSQTHSRCLKVASSIVSLGIQRNQVVSVVAPNIPAMYELHFAVPMAGAVLNTINLRLDARTISVLLRHSESKLIFVDCQSKSLILQVLSLFPPESPRPVLVLIEDDEFPIQKTDEFVSTYEELVERGNSGFHWIRPRSEFDPIAMNYTSGTTSAPKGVVHSHRGIFVVSLDSLLEWSVPKQPVYLWTLPMFHANGWSYPWGMAAVGGTNICLRKFDAGIIYNSINRHNVTHICAAPVVLNMLSNSPESKPLNHPVYIMTAGSPPPAAVLFRTESLGFVVHHGYGLTETGGLVLSCTWKNHWNKFPANERARLKSRQGVRTVGMAEVDVVDPESGVSVQRDGSTLGEIVLKGACVMLGYFKDPEGTLKCMRNGWFYTGDVAVMHSDGYLEIKDRSKDVIISGGENLSSVEVESVLYTHPSINEAAVVARPDEFWGETPCAFVSLNGKHKMISEKDIIEYCRAKLPHYMVPKTVIIKQDLPKTSTGKIQKFVLRDIAKSMGKSNSKKMSRM >Solyc02g081505.1.1 pep chromosome:SL3.0:2:45989065:45989620:1 gene:Solyc02g081505.1 transcript:Solyc02g081505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKDTSLLKYSTLILIFLQTCNAWKMKPDYCYPKTPSACGHIRDISYPFHLNSDPEICGDDPKFEFSCEDNQTVMSILSKKLYVQAINYNSKTIHLVDPALQTQDDLCSFSPQLLFFDQSDTIFRSYYSGLRSAEPIFMFNCPSAVNSSSTFLEISGCKLSRLEKRKSLKSAMDAEWNL >Solyc05g013290.1.1.1 pep chromosome:SL3.0:5:6387658:6388620:-1 gene:Solyc05g013290.1 transcript:Solyc05g013290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein kinase Fen [Source:UniProtKB/TrEMBL;Acc:P93216] MGSKYSKATNSINDASNLSYGIPFENYRVPFVDLEEATNNFDDKFFIGEGGFGKVYRGVLRDGTKVALKKHKRESSQGIEEFETEIEILSFCSHPHLVSLIGFCDERNEMILIYDYMENGNLKSHLYGSDLPTMSMSWEQRLEICIGAARGLHYLHTNGVIHRDVKCTNILLDENFVPKITDFGISKTMPELDLTHLSTVVRGNIGYIAPEYALWGQLTEKSDVYSFGVVLFEVLCARPALYLSEMMSSDDETQKMGQLEQIVDPAIAAKIRPESLRMFGETAMKCLAPSSKNRPSMGDVLWKLEYALCLQEPTIQDDPE >Solyc01g066617.1.1 pep chromosome:SL3.0:1:74559168:74559592:-1 gene:Solyc01g066617.1 transcript:Solyc01g066617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSMNGADTPFPDITHFRSLIGALQYLAITRPDIQFAVNQYIFGTLGRGLLIQPGDLELRDFSDSDWANDKNDRKCTSGFLIFLGPNLISWCTKKQPKVSRSSTEAEYRTLALLAAET >Solyc12g042010.1.1.1 pep chromosome:SL3.0:12:57052146:57052529:1 gene:Solyc12g042010.1 transcript:Solyc12g042010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTRSCIIRSRFAYRFLHSLRKMNQQDKTNSRRVKHAAYASMASVVGSKRAWSRAVLSKIRNRSLLLKKKKKRRRRSSDEFGELRKIVPGGQLMDFYNLLDETADYINSLTSQVHVMKNILNLLST >Solyc07g025385.1.1.1 pep chromosome:SL3.0:7:27812127:27812177:-1 gene:Solyc07g025385.1 transcript:Solyc07g025385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILLYIVERWKNMLIT >Solyc03g095425.1.1 pep chromosome:SL3.0:3:57990307:57990871:1 gene:Solyc03g095425.1 transcript:Solyc03g095425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGVAHYFLGMEIIREPQDLTDVSSPLNPATRLKLDEGELFPNPTFYHMLLAVRCLRYLLMDPDLGTFIPSNSFFDLIAFCDIDWGRCPNTGCSIGGYYITLGGSPISWKSKKESLVSLSSVEAEYRSM >Solyc03g043740.3.1 pep chromosome:SL3.0:3:7286273:7287372:-1 gene:Solyc03g043740.3 transcript:Solyc03g043740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQYYAPPHSDASRPSLGFPLGTAVLLIVIFSLSGIFSCCYHWEKLRSLRRSFADLESGLDPTSMKYKQNHTNWKQRQSPILPAVLMPGDEFPKFIAMPCPCQFPASEKVVHELQKLPLPLPSPPKPPRTVAAVPFY >Solyc06g068390.1.1.1 pep chromosome:SL3.0:6:42486340:42486873:-1 gene:Solyc06g068390.1 transcript:Solyc06g068390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQNQSAQDDKQENNGLILDLDLSLLCNWELKPTDDQIIKTTNHTCDGETEHQVFSCNYCYRNFYSSQALGGHQNAHKRERTTMAKRKHSMDSIPIMHRPPPAIQAHSMTHKPNISSNTFRAPAIPTSLYNTHSAPMWSKRRRDQFPVIGRPERNKFSTVVGSQEDLKKLDLSLKL >Solyc06g060370.3.1 pep chromosome:SL3.0:6:38475267:38482324:-1 gene:Solyc06g060370.3 transcript:Solyc06g060370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESRLCQWTVIRSVLAILQWWGFNVTVIIMNKWIFQKMDFKFPLTVSCIHFICSAIGAYTVIKVLKLKPLIVVDPEDRWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTIKSFTPATTVILQWLVWSKHFDLRIWASLIPIVGGILLTSITELSFNTFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILALPALLLEGSGVVAWLQTDPPLLSSFFIIFGSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTCSWLIFRNPISMMNAVGCAITLVGCTFYGYVRHMLSQQTPGTPRTPRTPRKSMEFVPLVNEKLEDKV >Solyc03g044093.1.1 pep chromosome:SL3.0:3:8055883:8057785:-1 gene:Solyc03g044093.1 transcript:Solyc03g044093.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSREARDQFCKIDKLRYRTINLTSLLMQHEILYQANPPLQFTSHRLSTFACNRCLQQFDQETAGNTVLLFGQQPSNRINHVPILFRITSSYTALAESREPPVGICFQCFTYCF >Solyc03g118690.3.1 pep chromosome:SL3.0:3:69028021:69036686:1 gene:Solyc03g118690.3 transcript:Solyc03g118690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQSANLWVLLGLGLAGILLMTRKLKKAVKADFGAFVERLKLLPPPQPPPPKAPHPLTGLTFAISDVFDVNGFVTGFGNPDWSRTHEPASQTSTVVTTLVEGGATCTGRTVVDDMAFGISGEQMHFDTPTNPAAPARMPGGSSSGAAVAVAAKFVDFSLGTDAVGGVRVPAAYCGVLGFRPSHGTVSHTGIIPVSASLDTVAFSKFGPTAGWFARDPSILRRVGHVLLQVPFAAQRNPRNVVIADDCFQLLKSPGDRISQAVTKAVEKLFGRQILRHENLEAYLTSKVPSLKLFADRKSNGERKSSSTNLLAYAMHILRRHEFKQNHLEWINAEKPNLDPFISSQMQGALEITDTNIDSCHAIRNEMRSALNDLLKDDGILVIPTVAEPPPKTGAKEIQSEDYQIRACSFSSIASMSGGCQVSVPAGFHDKCPISVSFVARQGGDRFLLDTIQTIYADLQEQAELATKSSASGNALSKETSAEMAKEKGNQAFKEKQWQRAIGFYTEAIKLNGNSATYFSNRAAAHLEMRNFLQAEADSSKAIDLDKKNVKAYLRRGTAREMLGYYKEAIEDFRYALVLEPTNKRASLSADRLKKLFP >Solyc08g066520.3.1 pep chromosome:SL3.0:8:55317426:55317868:-1 gene:Solyc08g066520.3 transcript:Solyc08g066520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQTTFCKTSPKPHFQTLTSVQSFCASSAMASRCRSFSRPAINFVKSTMNKQSSMPTSSFNVPRPFPTLSRPLPQMGCLQSLLPLHTAVSAARLTSCLGIDSKGSRSLSQGMLCSANPG >Solyc07g017347.1.1 pep chromosome:SL3.0:7:6864074:6866304:1 gene:Solyc07g017347.1 transcript:Solyc07g017347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERVVNPRSIDRTLLLSQHEHKSELLWKGEKPFSNLMRTWQRDTTSASHGEEVSQFVKDTLLEAGIQFSEPFFEGDPLFEHVRARGPRRGRMGHRGRARGRALGRGAGGIPIPPDIEAGIDSR >Solyc05g025683.1.1 pep chromosome:SL3.0:5:35774270:35776404:-1 gene:Solyc05g025683.1 transcript:Solyc05g025683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVITTQSFLFVDQLIMVSASANKLSKLKENAGEYACLIMEELDPENVGYIEMFLFLQIWQLETLLLQRDNYMSHSTPLSTTTVDWSPNIGTSNANNIVKKASRTVKCLVLENWHRGLIILLWLLAMIGLFIWKFMQYRKMEAFQVMGYCLATAKGAAETLKFNMALILLPVCRNMLTRLRSTRARILIPFDDNINFHKAIAVAIILHAANHLACDFPHLVNSSPEKFALIASDFDKLKPSYISLLTGVEGITGISMVILMTIAFILATRRFRRSVPKLPTPLNRLTGFNAFWYSHHLLAFVYILLLLHGTFLFFVHKWYQKTVRTMNIISTKKHQ >Solyc06g033930.1.1 pep chromosome:SL3.0:6:23513985:23514859:-1 gene:Solyc06g033930.1 transcript:Solyc06g033930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSIENTSTSSPVSVPNSSTILTMNSNEQLININVVAQAPLKLDNTNYQSWTYQWETILTAYDFLHFIEQPLSSTTTPFHQRQYHLIRSVIVASLSSDIVPLVIDVKSSYALWQNLAATYAKPSRARIMSLRESLTLRARETPVTFEELHEKLLDFERKLIRSSSSTTVPITTNLAAKPVLQNNRSRPTHASRTGNDLNPTYRSASNNFGAQPVGRYTNRNHPRVTCQLCDKPGHHVKQCRKLLAILSLINGSGLDGHTRNNAPSQTQ >Solyc06g005180.1.1.1 pep chromosome:SL3.0:6:198097:199323:-1 gene:Solyc06g005180.1 transcript:Solyc06g005180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDNNNNNNSQKNEPSTHVLLLYCRVCKRGFNSAGALGGHMRSHGSVGDNSGSNKNYGEDISEQKYMMNNFRREKLEGQKHSYNLRTNSNRLMLGNNRSTSDHDHDHVDVEKSEYYYYGPDVKDKQHCSREEEEDLANCLVMLSNKSYDLSDNSNKEAKNKAKEVEKGMFQCKGCKKIFNSHQALGGHRASHKKVKGCYAAKLDDNNDDDDNDIDDDSIISPSDLILHQESNDFQSQSPTSSSSFSRKRSRVHQCSICHRVFSSGQALGGHKRCHWLTSSLPEATFIPTFQEIQYHNQEQVLYNKPLFINNSHQPLDLNFPEQLGNPTEVGLKLHNNPFEHEGPRSQLQLWTEDTNQNHKRKDSLCREENRKAKEAKLSNLKDVNLDKGSSSWLQVGIGPTPDIFATL >Solyc05g010720.3.1 pep chromosome:SL3.0:5:4916486:4918703:-1 gene:Solyc05g010720.3 transcript:Solyc05g010720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTLEKLGNLFILTLTGDDEHRLNLSLIDSIKSALDRVTAEATGSSALITTAQGKFFSNGVDLTWALSDPSRYPLMNSKLKSLIFEFFNLPMPTIAAVTGHASAAGFVLAMSHDYILMRKDRGYLYMNEIDIGLKIPNWFVTLVKNKVKSPVAWREVVMRGTKLTADMAIEQGIVVSAHHGAAETMKEAIKLGEELIERKWNGEVYSHSRRVVFSDKQGNLFILTLTGDDEHRLNPSLIDSIRSALERITAEATGSSVLITTAQGKFFSNGYDLDLASSDLSSLELMDSKLKSLISELFNLPMPTIAAVTGHASAAGFILAMSHDYILMRKDRGFLYMSEIDIGLKIPNWFVTLVKNKVKSPVAWREVDMRGTKLTADMAIEQGIVVSAHQGAAETMKEAIKLGEVLMARKWDGKVYAHNRKLVFSDVLVVLNNEIASRL >Solyc02g072540.3.1 pep chromosome:SL3.0:2:42297746:42299510:-1 gene:Solyc02g072540.3 transcript:Solyc02g072540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4B8M8] MTSKNDKKGNILMQRYEIGKLLGQGTFAKVYHARNLKTGQSVAIKVIDKEKIMKVGLIDQTKREISVMRLIKHPNIVQLYEVMASKTKIYFAMEYVRGGELFNKVAKGRLKEDAARKYFQQLIAAVDFCHSRDVYHRDLKPENLLLDEGGNLKVSDFGLSALFDSKRQDGLLHTTCGTPAYVAPEVINKRGYDGEKADIWSCGVVLFVLLAGYLPFHDQNLMEMYKKISKAEFKCPQWFHPEVRKLLSRILDPNPGSRITLIKLMENYWFKKGFKQVDKTPNSGKDQRESPRSVFDIEDNNSDGEGPSNRQKNQDSTTMKPTCLNAFDIISLSPGFNLSGLFEKEKERRSEARFTAKKPASIIVSKLEEVASNESFNIMKKDGTVTMQSNKEGRKGQLAIDAEIFEITPSFHVVEVSKKSGDTMEYKKFFDQGLKTSLKDIVWTWQDGEQQQQVENQERI >Solyc08g023500.3.1 pep chromosome:SL3.0:8:27567822:27580517:-1 gene:Solyc08g023500.3 transcript:Solyc08g023500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HFEYIPAHSPHSNNTKHISSPIEMAAVENGSALPQSALIFLGTGCSSAVPNALCLIRPSDPPCTVCSQSLTMPPVENPNYRCNTSLLIDYCKENGEHKYILIDVGKTFREQVLRWFTHYRIPHVDSIVLTHEHADATLGLDDIRAVQPFSPTNDIDPTPVYLTQYSMDSIVQKFPYLVQKKLKEGQEIRRVSQLDWQIIENDCEKPFVTSGLEFVPLPVMHGEDYVCLGFLFGKKFRVAYISDVSRFLATTVSYISKDNGQQLDLLILDTLYKNGSHNVHLCLSQTLGALKMLCPKRALLIGMTHEFDHHKDNEFLVEWSRRRYTSSTCT >Solyc04g054980.3.1 pep chromosome:SL3.0:4:53457374:53458069:1 gene:Solyc04g054980.3 transcript:Solyc04g054980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMGVAAHFNRFWFLLFVLYFSISLSSISGSEEDCVYSAYIRTGSIIKGGTDSIISLTLFDENGYGIRIKNLEAWGGLMGPGHNYFERGSLDIFSGRGPCLTAPICKMNLTSDGTGSGHGWYCNYVEVTVTGVHKQCNQQYFEVEQWLATDASPYQLTAIRDLCKKKTKIDERRPVSEIESTPQVSLI >Solyc05g054920.3.1 pep chromosome:SL3.0:5:65565149:65569115:1 gene:Solyc05g054920.3 transcript:Solyc05g054920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYDDRYGGTRLYVGHLSSRTRSRDLEDVFSRYGRVRDVDMKRDYAFVEFSDPRDADDARYGLNGRDVDGSRVIVEFAKGVSKSLDLICVLASRICFLGILRSYARLNLSNASDWLNKKLSFLCWSLLASQVPRGPGGSREFGGRGPPPGTGRCFNCGIDGHWARDCKAGDWKNKCYRCGDRGHIERNCQNSPKKLKRDRSYSRSPSPRRGRSRSRSRSYSRGRSYSRSRSPVKRDRSIEREEKRSRSPRHHRSSPPPSKGRKHSLSPDERSPVERGTPSPRDDRATNGSDRSRSPKDDVRMDERGDISPVEENGRSRSNSPIHREDRSPVEDGSPTGDYENHGSPRGSPRGSESP >Solyc06g072580.3.1 pep chromosome:SL3.0:6:44902117:44909773:-1 gene:Solyc06g072580.3 transcript:Solyc06g072580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate dehydrogenase E1 component subunit beta [Source:UniProtKB/TrEMBL;Acc:K4C8X8] MSGIIGRTMANRRISDLNKFLVGSRLFASRTYSSAVKEMTVRDALNSALDEEMSADPKVFVMGEEVGEYQGAYKITKGLLAKYGPQRVIDTPITEAGFTGIGVGAAYHGLRPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSQCYAAWYGACPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGESFPISAEALDSSFSLPIGKAKIEREGKDVTITAFSKMVGYAIKAAELLAKEGISAEVINLRSIRPLDRPAINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFEYLDAPVERISGADVPMPYAANLERLAVPQVEDIVRAVKRVCYRSSK >Solyc05g042150.2.1 pep chromosome:SL3.0:5:55928875:55930411:-1 gene:Solyc05g042150.2 transcript:Solyc05g042150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGGIVDWKAHRQQTVERRNLIEQELTKVQEEIPLYKKQCQDAEDAKVLVLKELDSTKRLIEKLKLNLERAQTEEQQARQDSELSTLRVEEMERGITDDSSIAAKAQLEAVVSELKYVNSELDVLRKDYDLLVSEKDVAVEKAEEAVSESNKVEKTVEDLTIELITSKDALEATHAAHLVKKSILQNIYPLA >Solyc12g009120.2.1 pep chromosome:SL3.0:12:2442522:2446115:1 gene:Solyc12g009120.2 transcript:Solyc12g009120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPDTDVPMVPAGESSTGAGTSNKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Solyc10g084990.2.1 pep chromosome:SL3.0:10:64460493:64466823:1 gene:Solyc10g084990.2 transcript:Solyc10g084990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFYIGREAPKLWRRICAEATIEINLLAENWKYILGGLIFQYIHGLGARGVHYLHRPGPTLQDAGYFLLPELGSDNAYISETVFTTIFLSFVLWTFHPFIFKTKKIYTVLMWCRVLAFLVACQFLRMITFYSTQLPGPNYHCREGSKLATLPRPDSVLEVLLLNFPRGLLYGCGDLIFSSHMIFSLVFVRTYQKYGTRRFIKQCAWIAVIAQSFLIVASRKHYTVDVVVAWYTVNLVVFFVDKKLSELPDRSSAASLLPVSKDSKTKEENHKLLNGNTDPEDWRPRTQINGMIMEDGNAVHVEAAVNGVYRQ >Solyc04g009470.3.1 pep chromosome:SL3.0:4:2868803:2879527:1 gene:Solyc04g009470.3 transcript:Solyc04g009470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWYNWMAAQTWEGGLGAGAAAGDVHSSDWRIGHAPYTRQRIVNQILEEIQRIFPVFWHQNVQELTEIAVLFEEKTYNVATSWYDYLYRIYSNLRGMAHYCHINTAISGQNAHGPGMTMEATVYETTSGPEDSDKEEDDDVVEEVVGTKEQNNAVGHKDNVRVVENEQESSSNKVVGRPSVFFK >Solyc01g088650.3.1 pep chromosome:SL3.0:1:83285076:83289591:-1 gene:Solyc01g088650.3 transcript:Solyc01g088650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPSSSSSPPLKSSTPNTTRRRPKAMKPPKNHHKHHSDQTPIPKPKPNRLITEILWVSLVATCIKFLLIPAYHSTDFEVHRNWLAITHSLPLSQWYSDETSPWTLDYPPFFAHFEHFLSFFASLVDPIMVHLQQGLNYKSQPTILFQRLTVIVSDFVLVFGIYRLTRNSGYKERVLIWVLVIFSPGLMIVDHLHFQYNGFLLGILLISLSALEEGKDLLGGFVFAVLLCFKHLFAVAAPVYFVYLLRHYCRGGLIRGFGKLVIIGSAVVAVFAAAYGPFLYHGQIQQVFHRMFPFGRGLCHAYWAPNFWVFYIILDKVLAFSLVKMGFSVQTPTASFTGGLVGDSSPFAVLPRVTPMVTFSIVLLAIAPCLIKAWKDPQPRMITRWIAYAYTCGFMFGWHVHEKASLHFVIPLAIISLKSVEDAKHYFYLSIVSCYSLFPLLFEAQEYPIKVLLLLLHATLMWIGFSSHFTTTNRKAAESEQTRYNKTSFIVGWFGKLYLLGLVAVEIYGQFVHPIIFAERLPFLPLMMISIYCAFGMMYSWIWQLRQIVKCH >Solyc05g052620.3.1 pep chromosome:SL3.0:5:63673315:63679190:-1 gene:Solyc05g052620.3 transcript:Solyc05g052620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coronatine-insensitive 1 [Source:UniProtKB/TrEMBL;Acc:Q6TDU2] MEERNSTRLSSSTNDTVWECVIPYIQESRDRDAVSLVCKRWWQIDAITRKHITMALCYTAKPEQLSRRFPHLESVKLKGKPRAAMFNLIPEDWGGYVTPWVMEITKSFSKLKALHFRRMIVRDSDLELLANRRGRVLQVLKLDKCSGFSTDGLLHISRSCKNLRTLLMEESYIIEKDGEWAHELALNNTVLENLNFYMTDLLQVRAEDLELIARNCKSLVSMKISECEITNLLGFFRAAAALEEFGGGAFNDQPELVVENGYNEHSGKYAALVFPPRLCQLGLTYLGRNEMSILFPIASRLRKLDLLYALLDTAAHCFLLQRCPNLEILETRNVVGDRGLEVLGQYCKRLKRLRIERGADDQEMEDEEGAVTHRGLIDLAKGCLELEYMAVYVSDITNEALEVIGTYLKNLSDFRLVLLDREERITDLPLDNGVRALLRGCHNLRRFALYVRPGGLTDVGLSYVGQYSPNVRWMLLGYVGESDHGLLEFSKGCPSLQKLEVRGCCFSERALALATLQLKSLRYLWVQGYRASSAGRDLLAMARPFWNIELIPARRVIANDGNNAETVVSEHPAHILAYYSLAGQRTDFPDTVKPLDPTYLLAE >Solyc02g086250.3.1 pep chromosome:SL3.0:2:49605009:49611496:-1 gene:Solyc02g086250.3 transcript:Solyc02g086250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASLPKMEALYSKLYSKYAKLKKEKDTSMENLNREQEEKFVNYVAALFLPAADAVIDHLRSETDRLKEQVNELRSEMTSMRSANDEEMIRYQKLLMEENLKNTELRDEIQRLQQHDQQGTCFNASNDKGKSRQIITDGDFLPDALDDSTITNKKHFRQSLLIVQDTHTPSPMEELPNRPEQPSTSSYKYTKPACCRRNVDISGDSAADISPALCIFQDLVQWLVGMKFFAVTENEELCISAFHESSGLSFSLTLTKNASAEPELVYRVLSLGTFERVAPEWMRDTIMFSPNMCPLFFQRVSRVIKLSY >Solyc04g007440.1.1 pep chromosome:SL3.0:4:1108574:1111941:1 gene:Solyc04g007440.1 transcript:Solyc04g007440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKVFSLLFFIFLFLALFLFNTIKTQSMPKFTSILVFGDSTVDTGNNNYIFTLFKGNHIPYGKDYFNHVSTGRFSNGKIVPDILANLLKLKKYGVPPYLNPNLLENDYRSGVSFGSAGSGYDDLTSLISGVISMRKQLEHFKEYLKKLNDFFGEKEKKRIVKGALVMVSSGSNDFIFNFYDVPTRRVEFSLEEYQDFLLDKMQCFVKELYELGCRNIIVNGLPPIGCLPIQMTAKSPLHRTCIEKENSDSQSYNKKLEELLPHLQAQLRGSKIFYSDIYTPLSHLINNPHEYGFEETKRGCCGSGLLETGPLCTKKSHVCSHTSQYVFFDSIHPTESAYYHISEYFMKELLPKFSIIDNF >Solyc01g057475.1.1 pep chromosome:SL3.0:1:61028771:61030493:1 gene:Solyc01g057475.1 transcript:Solyc01g057475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMTLSHLICCSELKNHIDSSANSNPQQTLLPKASQEMQMAGVKPNEVTLGALEARLCHKLQQEVQRKHYKFQQEGMPESFNILRISPSCIKSTL >Solyc01g095570.3.1 pep chromosome:SL3.0:1:86651153:86656019:-1 gene:Solyc01g095570.3 transcript:Solyc01g095570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEDVKASESAVEKIVNLAEEAKLARQEIRPTSHAVISICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNSHSIKYNGTISGLKYIWRTEGFKGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRITVQTEKSPYQYRGMVHALSTILREEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKEWLVKTKPLGLVDDSTELGVVTRLACGAVAGTMGQTVAYPLDVVRRRMQMVGWKDAASIITGDGRSKASLEYSGMIDTFRKTVRYEGFSALYKGLVPNSVKVVPSIAIAFVTYEQVKDLLGVEIRISD >Solyc06g070940.3.1 pep chromosome:SL3.0:6:43702459:43707368:1 gene:Solyc06g070940.3 transcript:Solyc06g070940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELQGGIPLLMQQYKALFKKNLLLALRNKPATFLQLFSSFFFIFLLFCIKLSDESRNADNTFAKELRDPKPLMAPSIPPCEDKFYIKTPCYDFIWSGKHSKTIGDVVKGIMANNPGRPIPANKVLAFNTAQDVDKWLFDNPVRCPAAVHFTIVKGNKISYGIQTNSTDVVKRGVTEDPTFKFQIPLQIAVEREIARNLIGDPKFPWDVSFKEFPHPPGDVYNEVTLSAMVFFMAVAIFGFVFQVSALITEKELKLRQAMTMMGLFDTAYWLSWITWEGLLIFISSTLTVIFGMMFQFEFFLRNNVLVVFLLFFLFQLTMVTFGYWLSTFLTKAASATNVAFVIFIVGFITMIGSQLANYPYSAAYSNKHRIYWSLFPPNLLTIGLQLLAKATESPKDPGISWSTRAKCLPKEPDCVTTMHDVYILLVKTFFFWLVLAIYFDNIIPNISGVRKSKLYFLYPGYWTGNGGSSVKEGGNCSICSGSLPRLDPITPDDEDVLTEENTVKKQAMEGDNSNNAVQIRGLIKTYPGKKTGGCCCCCCRKTSPPFHSVKGLWLNVEKDQLLCMLGPNGAGKTTTISCLTGINPVTAGDVHTKLYGHDKRRRMMGVCPQFDILWDALSGQENLQIFANIKGLPPASIDTVVAQLLSQSKITKVSAKMRSCSYSGGMKRRLSVAIALIGDPKLVILDEPTTGMDPITRRHVWDIIEKAKKGRVIILTTHSMEEADVLSDRIGIMAKGRLRCIGTSIRLKAKFGTGFIANVGLVSESTSPDAVKHFFKSQLDVVPKDENKCFLTYVIPHEREKMLTEFFAELQARQSEFGVKDIQLGLTTLEEVFMNIAKQADVETAIAEGRFKTLTLNSGDSVEVPVGAQFIGIPGTKSPQNPGGVMVEVYWEPDETGSPCIYGISEEMPIPPHIQLRDPPTNNSPTNQGIVIDPSQLKMHLS >Solyc04g050800.2.1 pep chromosome:SL3.0:4:48216530:48216752:1 gene:Solyc04g050800.2 transcript:Solyc04g050800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQNLSLAKNPIIDRIGIARVFCSEP >Solyc01g049925.1.1 pep chromosome:SL3.0:1:47347260:47411609:-1 gene:Solyc01g049925.1 transcript:Solyc01g049925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLVEITHCHVLVNKELAGDEASFTDTAPNGLGFVETEVAAVPIPNPTSLATEGCGDSDLVLSEEGIMDMEKGDEGKERSGYI >Solyc11g011220.2.1 pep chromosome:SL3.0:11:4269809:4275433:-1 gene:Solyc11g011220.2 transcript:Solyc11g011220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEYEAFLIEPEQEWQTVSYHKKNKKQSGKSKQGEEFSGNWNNGESSGDVFRSIEQHAEERRKRIVESQKLYEAASGESSAVIDKNEQDSDGEDAAGGAIENDGVVEKKSKPKKVKKPKVTVAEAAAKIDNSDLVVYLVDISTSFDKQEDIQLMRFADYFGRAFAKVSSSQFPWMKILRESSVEKMIDIPVSQISEDVYKTSVDWLNQRSFDALGSFVLWSLDSIIADLVQHEGASKGSKKVVQQVSSKSQVAMFVVLAMVLRRKPDVLISLLPILNENGKYRGQDKLPVMIWAVTQACQADLIVGLFMWVHFLLPLLSSKLNSNPQSRDLMLQLAERIVSSPKARAILINGAVRKGERVVPPSALEVLMRITFPAPSARIKATDRFEAVYPTLKEVALVAAPGSKAMKQLTQRILPFAIKAVGEGVPDLSKEATELSIWCLTQNPDCYKLWDNIYLDNVEASLIIIKKLSTEFKAHSAKGPELDPLKVTLNSLRLKSEKALVSGDNAAHQASLKEVQKYCKILLGRLSHGNGCMKAFFVIGLTMAVGVAFVSKESPEVKKLLADRFNDLQSLDFKKLLTDLSNDVQSLDFKKLLSDFNLA >Solyc02g071360.3.1 pep chromosome:SL3.0:2:41405047:41435142:-1 gene:Solyc02g071360.3 transcript:Solyc02g071360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHVNLLGNHIPDIAFVVQFLSQYMHCPKRSHMEAALRVVRYIKGTPGMGLMMPAGTTNQLMAYCDSDWGACVETRRSVTGYLVKFGGAVISWKSKKQETELAKKKLVSIPSRYVRDDQDRSIASSIYKEVPVIDMQRLINFNDHDSMNLELNKLHLAAKDWGFFQLINHGVSCSVVEKMKDETQKFFDLPLEEKKKFEKSSGDTDGFGQLFVVSDEQKLDWADLFYLKTSPTYLRKPVFSKLYLSLRETIEEYADEIKKLSMRVLETLGKALGIDEDEVKSVFKEGMQSMRMNYYPPCPQPEKVMGLTPHSDATGLTILLQVNETQGLQIKKDGIWIPIEPLPNAFIVNVGDAFEIFSNGIYKSIEHRSVVSSEKERISVATFQSPRLDGILGPSSSLVTPQNPPKFKKIGVTQFYKGFFTRELDGKSYQVNETQGLQVKKDGIWIPISPLPNAFIVNIGDTFEIFSNGIYKSIEHRSMVSLEKERISVATFQSSRLDVILGPASSLVTSHNPPRFETMGATEFYRGYLNRELLGKSYLGGSLPVPSVQQLTKSSPENVPARYRRDDDQLLLVPPMMKFDHYNIPIINMESLLRGDEIEFNKLDSACREWGFFQVINHGVSGTLVEKVKKDTQDFFNLPLEEKEMLKQVDGDIDGYGQAFVVSEDQKLDWADMFFLTTLPPQFRKKHIFPHLPQPFRGTVELYAVELKKLALKIIDFLAKGLNMDKEYIRELFGEGSQSMRMNYYPPCPQPDKVIGLTPHSDAVGLTILLQLNQMEGLQIKKDGMWIPISPLPDAFIINIGDIMEIVTNGAYRSIEHRAVVNSEKERLSIATFYSTKLDGQIGPAPSIISSENPAKFRNIGAIDYFKGLFARKLDKKSYLDVMRIGGSQNPAS >Solyc11g071780.1.1.1 pep chromosome:SL3.0:11:55446095:55446601:-1 gene:Solyc11g071780.1 transcript:Solyc11g071780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMQNIVVESSAVNERKELSRTTTAITTITTREDEKRGGGGNQHKRIGEVAGGTAAECAMVCCCCPCTVLHFLLLALYKVPTGLCRKAWRSKKQKKVLKKKNSTNNSPGVYYTDDDKDYFDGGGNVNRGSSETAEFETEMWHRFYDGAGFGRSSSQKELEEEQQQQHQ >Solyc03g083785.1.1 pep chromosome:SL3.0:3:55141983:55145864:-1 gene:Solyc03g083785.1 transcript:Solyc03g083785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIELSGLYGVYRSNRPSVDRSLITSLVERWRPETHTFHFRTGEATITLQDVEVLYGLPVNGDPVVGDESIRTIGDWQNICQRLLGFIPRPQDFNRSSLKVTALNAHMLEQLQLPDLTTQEMIDQMARCYMFWMIADMMMADTSGNYLNLMYLPMLEDLNASQSNQNEIADFLPLLQIIAQRDIENNFLACLPRGPRATRWFAHFSWIDTTKHVLKVFRDAFDSMTEDQCIWEPYSDDLIESLPIWRVRAPIFCWDIVEVHLPDRVMRKFGLNQTIPTPNLFDATHFHHDRRGRPNTNWKLEHAQWLPLWNQRLQYVCDAPLVDILDNPW >Solyc02g091550.2.1 pep chromosome:SL3.0:2:53446749:53454695:1 gene:Solyc02g091550.2 transcript:Solyc02g091550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGLIIFSSTGKLYEFSNTSMKSVIERYNKTKDDCQQLHNPVSELKLWQREAEILRQQLQDLQDNHRQLLGEELSGLGVKELTNLENQLEMSLKGIRMKKEQILKDEIQELTRKGSIIHQENMELYKKVNLIRQENAELYKKAYGARDANAVNGNINYPYRFTVSREVQAPIHLQLSQPEPQYFEMQAGTSDSR >Solyc12g088230.2.1 pep chromosome:SL3.0:12:64654303:64663585:-1 gene:Solyc12g088230.2 transcript:Solyc12g088230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSALLTSAGVNIAVCVVLLILYSLLRKQPTFVNVYFSQRFARVRTRQLGGFNFERFVPSPSWILKAWEASDDEIVAAGGLDAFVLVRMIVFSVRIFSIATTLGLFLVVPLNYFGQDIKRQRIPAESLELFTIVNVEPGSRWFWVHCLALYIISCSACLLLYSEYKSISKKRLAYFSSSLSRPCYFTVLVRSIPKSKEESYSQTLEKFFMNYYGSSYLSHQIVYRSGYVQKLLGDVGTGPISFVPVFTFRKTDAEGVFRMLKTTQKELYAGSNFMICGICGGATSSFNMITNECDRDKGRDDCDGSDLRKKESAAALVFFRNRYAALVASQGLQSLNPMSWVTDLAPEPDDMYWSNICVPYRLLWIRKIALLVASILFVAFFLVPVSLTQSLVHLDKLQKTFPFLRGFLKRSGVTQLVTGYLPSVVLILFSYIVPPLMMLFSKMEGSISRSGRKRSACIKVLYFFIWNVFFCNIVSGSVIDRFFKIFKDVNNLLSTAVPSTATFFMTYVLTSGWASLSVELLQPFGLICRLFSRFIMRNADASCYGTLTFPYHTEVPRILLFGLFGFAYAILAPLILPFLVVYYSIAYLVYRNQILNVYVTKYQTGGTYWPIVHNTTIFSMVLMQIIALAVFGFKKSSSTSSFTIPLIICTLLFHEYCRQRFEPLFKDPPAPILIEMDRQDEVHGRMKEMYQQLQSAYCQFKSTLFSLGKAAPGNDEENMSIHTVQDIGDVNPGKSPSHLPPSCTSVEIKSPHHPLSHTSSPHLLSPHASLEIKSPPHIPSSRTSLEIRSPPHIPSSCTCLEAKNPSHLPSSHTFLEIRSPHLPSSRMSLEIKSSSHRLSPRSRSLEIRRPHLPSPRTSLEIRSSYLPSPHTPLEIKSPHLPSSDTSWEIKSPLLPSPRESSETKSHHFASPHKSSEIKSPLLRSPRESLEIKSPRPASPCKSSEIKSPHLPSPRTSLEINSPLHPSSSTSSEIEESRGK >Solyc10g083170.2.1 pep chromosome:SL3.0:10:63163547:63169259:-1 gene:Solyc10g083170.2 transcript:Solyc10g083170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQINGVETSLSITMASASFLSTIGKRLEGKVAIVTGGASGIGEAIAKLFFEHGAKVVIADVQDELGNSVINALGGSSNSIYIHCDVTNEDYVQEAVDRTIATFGKLDIMICNAGICDETKPRIIDNTKADFERVLSINITGVFLSMKHAARVMVPTRSGCIISTASVSSKVGAAASHAYCSSKHAVLGLTKNLAVELGQFGIRVNCLSPYAMVTPMATKIIGLENEELENAMSTIGNLKGATLKVDDVAKAALFLASDDAQYISGHNLFIDGGFTVSNPGLGMFKLEGKVAIVTGGASGFGESTVRLFLQNGAKVVIADVQDEIGQSLCNDLLNCPKNKNNDFTYIHCDVTKVSDVENLIDATISKYGKLDIMFNNAGIPGNLDFNIIDADNENFKKVFDVNVYGSFLGAKYAARVMIPEKKGVILFTSSLASVSSGESPHSYTASKHAVVGLMKNLCAELGQHGIRVNCVSPCAVATPMLVKAMGVDKSVVDGIICSSANLKGVAPTAEDVAEAALYLASDESKFVSGVNLVIDGGYSTTNMSYIKTIQSVLFSK >Solyc05g018875.1.1 pep chromosome:SL3.0:5:24440998:24441895:-1 gene:Solyc05g018875.1 transcript:Solyc05g018875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPTYSQGDSLHVKVNKLSSTKTRLPYNYYFLSYCKPPRVTNSAENLGEVLRGDRIENSVYTFKMNETESCKVACRIKLDVVSAKNFNDKIDDDYRVNM >Solyc03g064043.1.1 pep chromosome:SL3.0:3:40319495:40319849:-1 gene:Solyc03g064043.1 transcript:Solyc03g064043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFMPKTFIETEDMFKMTLIYIKETFLLYAQPTRNYVRNLHFDLVDRGKYKDYPWGNLCFLYVGKRPPSMKYGGLFLALQIWFYECCTIIDTLIPQRVRTCIPRILNWQI >Solyc10g081750.1.1 pep chromosome:SL3.0:10:62888981:62891359:-1 gene:Solyc10g081750.1 transcript:Solyc10g081750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWVWINDEPKHSGADDFSAVKDFGNPRSSSGSDGDGGERCATRKVVSTRCRTEETEPGKFIRKCEKAEQTFKDCIGRPSEIVESNKEYSEEDVTDQMTNDSHSIESSSVPFDFPGLRSDIENIERSFFSELDRFFEAAEEIKNGFFGAFSIPRVFDDDRSPPPERRGIPIESHPPKPNNTDGDVNISGLDNDI >Solyc02g021060.3.1 pep chromosome:SL3.0:2:21900435:21913557:-1 gene:Solyc02g021060.3 transcript:Solyc02g021060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSSSKTLKDLWKQPAAKRLKQVSSTENFISSALASSSSRKDCDEDPKDVVSSTPEQNSRMEFNRSLAKSKRNLKLCSDKISKLNANGEGGGYVKLQELLIEETWLEALPGEFEKTYAGNLCKFVEKEISGGVPIYPPLHLIFNALNTTAFDRIKAVIIGQDPYHGPGQAMGLSFSVPKGVKVPSSLLNIYKELKQDLGCSIPLHGNLEQWAVQGVLLLNAVLTVRHHQANSHANKGWEQFTDAIIKTISKKKEGVVFILWGNYAQAKARLVDETKHHILKSAHPSGLSANRGFFGCRSYYYL >Solyc11g012480.2.1 pep chromosome:SL3.0:11:5316005:5318650:1 gene:Solyc11g012480.2 transcript:Solyc11g012480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVTLKLYARILLSYKSGNTFKHRKRNRLQPLCYKSGMHFPDTSNACLEFVIFRQKSSEEMPFAFECKNWIAISPQFQLSVAILKSDKDTRYGLDSIVTHDGDRLPCWPLANLSSFKQRCGPEAYSKLEVIGIDEAQFFEDLYDFCTEVADHDGKIVIVAGLDGDYLRRSFGSVLDVIPIADSVTKLTARCELCGERASFTLRKTEETRTELIAGAEVYMPVCRKHYVSGQVVKEAARSVLESQKVECSSIL >Solyc08g081740.3.1 pep chromosome:SL3.0:8:64830225:64836893:-1 gene:Solyc08g081740.3 transcript:Solyc08g081740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQKNMLLSALGVGIGVGVGVGIGLASGQTVSRWASGSAANVITPLIMEQEMLNLIANGKDSKVTFDEFPYYLSEQTRVLLTSASFVHLTNADFAKHTRNLSPASRTILLSGPAELYQQMLAKALAHYFNAKLLLLDVTDFSLKMQSKYGGTCKEYSFKRSISETTLGRMSGMFGSLSMLQSKEENKGSLRRQSSGVDIGSKDGSFITTNLRRNASASANLNDISSYGSSGNLAPLMRNSSWAFDEKLLIQTLYKIIVRVSKTSPMVLYLRDVEKFFCRSEKIYVLFQKMLKKLSGAVLILGSRIVDPGNDYREIDERLSSVFPYNLEIKPPEEETHLVSWKTQLEEDMKMIQFQDNRNHIMEVLSANDIECEDLGSICMSDTMVLSNYIEEIVVSAISYHLMCTKDPEYRNGKLVISSSSLSHGLDVFQEGKSARKDSIKLEAQAETSKDAQGREISITKPEVKTEGVLPENKGEAEAPAPPVIDGNITTPAPKTPEAPPDNEFEKRIRPEVIPANEIGVTFADIGALDELKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAREAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTKSGEKILVLAATNRPFDLDEAIIRRFERRIMVGLPSVENREMIMKTLLAKERVDDGMDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDLDKKRRAEEVKKGGVAPSTDVDKEDKVITIRPLNMADFKEAKNQVAASFAAGGAIMSELKQWNESYGEGGSRKKEQLSYFL >Solyc01g056725.1.1 pep chromosome:SL3.0:1:56284000:56287682:-1 gene:Solyc01g056725.1 transcript:Solyc01g056725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEKIVRVINLLIGHGTNNQAEVVALLFDRSCVSDEVSFEPLDKGAIEILSPYGISYTFRRLAEQISQLQSGFVVRRVRYVPNSDKDADNSHNNESPTTDVNISKETETESLATQTLPQ >Solyc03g115320.2.1 pep chromosome:SL3.0:3:66558990:66560642:-1 gene:Solyc03g115320.2 transcript:Solyc03g115320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKHQKTYESKMVEENLAAQGWINARATFYGDNNGGGTMQGACGYGDLFKQGYGLETAALSTALFNKGSTCGACFQIMCVNAPNNACHSGQVITVTATNLCPPDSKKTSQSWCNPPQQHFDLTMPMFIKIAEYKAGVVPVVYRRVTCQKKGGLKFEIKGNSNWIIVLVFNVGGVGDVVNVKIKGSKTGWVPMKRNWGQNWQANVQLGGQSLSFQVQTSDGKLVQSDNVAPANWQFGQTFEAKNNF >Solyc03g113660.3.1 pep chromosome:SL3.0:3:65215819:65228132:1 gene:Solyc03g113660.3 transcript:Solyc03g113660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGQLPSNFNLVSLATSDEQPNTATSSKTIGLWDPYLFRRAHIGNQILQPEKTKTAPSHMTVARLTALKRAYADIILNTAKEAAARIMSSEQKAVRYKHELQVAKEEGLGMLLRLKQMMDSKFAFEENNAICEQFTCVNWHGFHLSFYASNKIPRRNLACSTDLIFVEEVKSKVEHWDKLRFLCGTCHGSPEEIDPLLDVVEYEISEAELTSLSQQRKIEELEAQLQEAEDIVSDLRVELREVQDDLERVTSCKEKGVRNLEDIDAAPPGELHEENRVVLPHESQEEFMTISNIEVTNMKQKSQGHQSCSKMVQLGKPSISGPDLPSIILRSKVPELYRNGCTQRIRACEGNLLDGDLSVSKGVEKNKNENGNAVDEGEGIYSAPINKIDDVVNPQENIQQADGLLSSWHMLTSFRRKRRRAIRNRKAGYPSPGSSPDHFLNIDKTSNTGSLTAHSSPASDGAPDEDPSHMGYGLSIGKDEPDMKLGFTEIHRNDTQISKSSRRKRRRAMRNRKADYPSPRSSPDHFLNIDKTSNAGVLIAHSSPDRDCAPGEDPSEMSDSLLIEKTEPGMKLGSTKISGNELQSAETSSVLNSTVNDELAMAKMDSPRQESRTLENLAVHIDRPDFVTVSSQPGNSLSKIADVYGEVPSETPKDRVIKYTFQRKRKREQLSVSEESARIEKSSPKEVNGEKANGHVEPKMSNSATESSRDSRRMAQVARQEIGHLKPSRRGWVLPPFSSPLLSPPMSTVVSLGIIDNRGLRRKDLKFCNSKNDGLRSSTLPHRVAS >Solyc04g008730.3.1 pep chromosome:SL3.0:4:2393781:2398463:-1 gene:Solyc04g008730.3 transcript:Solyc04g008730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4BP29] MELRLGIKLILALCLLISAEYGGYSHRTTAEMRWPERKQRKVKEDLFVKRRSLLNNGLGSTPPMGWSSWNHFACNIDEKVIRETADALVSTGLDKLGYKYVNIDDCWAEPQRDDQGNFAAKNSTFPSGMKALADYVHSKGLKLGIYSDAGYYTCSKKMPGSLGHEEQDATTFAAWGIDYLKYDNCNHDGTKPTVRYPVMTRALMKVGRPIFFNLCEWGDLHPALWGGKLGNSWRTTNDISDTWESMISRADQNEVYADYARPGGWNDPDMLEVGNGGMTKDEYIIHFSIWAISKAPLIIGCDVRNLSHDTMEILANKEVIAVDQDELGVQGKKVRMEGDLEIWAGPLSGYRVALVLLNRGPQRYEITANWDDIGIPPNSVVIARDLWEHKTLETKFVGNLTATVNSHACKMYVLKPIA >Solyc06g068710.3.1 pep chromosome:SL3.0:6:42710393:42712765:-1 gene:Solyc06g068710.3 transcript:Solyc06g068710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S27 [Source:UniProtKB/TrEMBL;Acc:K4C845] MGIPKISNDTDLLHPPAELEKQKHKLKRLVQSPNSTFLDVKCQGCFQITTIFSHSQTVVTCPNCQQVLCQPTGGRAKLTEGCSFRVKEKDMMVLG >Solyc05g050640.1.1.1 pep chromosome:SL3.0:5:61636152:61636709:-1 gene:Solyc05g050640.1 transcript:Solyc05g050640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKEVYALVKPMRSLKKTFFYNFFPSKEEEAACKRNNTPYVVTRELIEIRDIYPPPKIDLENPWQIKIKITSYEIKAGALLIPYIETFEYIIRYWTLDMAKILVNGCGVYVQVWDVTEDNAPNKYEGEHVYLWKLCNDDYALSCIELFNNNNLGVGDEIGLFWDPRCSNFMFKLLDKKMRLIHL >Solyc01g102580.3.1 pep chromosome:SL3.0:1:91234245:91237776:-1 gene:Solyc01g102580.3 transcript:Solyc01g102580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:O04890] MYGRDPWGGPLEIHTADSATDDDRSRNLQDFDRAAMSRSLDETQQSWLLGPTEQKKKKYVDLGCIIVSRKIFKWTVGCIIAAALLAGFITMIVKLVPRHKHHNPPPDNYTLALRKALMFFNAQKSGKLPKHNNVSWRGNSCLQDGKSDDSTMFKNLVGGYYDAGDAIKFNFPQSFALTMLSWSVIEYSAKYEAAGELAHVKDTIKWGTDYLLKTFNSSADTIDRIAAQVGKGDTTGGATDPNDHYCWVRPEDIDYARPVTECHGCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFKFSRDQRGRYSVGNEAETFYNSTGYWDEFIWGAAWLYYATGNSSYLQLATTPGIAKHAGAFWGGPDYGVLSWDNKLTGAQVLLSRMRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFTSFNRTKGGLIQLNHGRPQPLQYVVNAAFLATLFSDYLAAADTPGWYCGPNFYSTDVLRKFAETQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKVKYNCKGGWKYRDSSKANPNTIVGAMVAGPDKHDGFRDVRSNYNYTEPTLAGNAGLVAALVALSGDRDVGIDKNTLFSAVPPMFPTPPPPPAPWKP >Solyc05g006170.3.1 pep chromosome:SL3.0:5:849851:856980:-1 gene:Solyc05g006170.3 transcript:Solyc05g006170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSTFSLCSHPTVNFEFNGRKVGYGLQKSLSSSSSYCLIHISPISISSRRRKCVQLRRVTVRAASSSSTGSRRSMSTRRVYKESQAQTPALPVDRIVSFVVPAGAFVVISFVLWKLVEKILLPKPANTTSEESKSTQGLKWSIAAGTNLLPGFGAKIERESKLRLNDFAKELRSFSIVDMSGRNFGDEGLFFLAESLAYNQTAEEVNFAANGITADGLKAFDGILQSNIALKTLNLSGNAIGDEGAKCLCDILANNSGIQKLQLNSTGLGDEGAKAIGEMLKKNSTLRVLELNNNLIDYSGFSGLAGSLLENKTLQSLHLNGNYGGALGAAALAKGLESNKSLRELYLQGNSVGDEGVRALISGLSLRKGKLVLLDLANNSITARGAFHVAEYVKKSKSLLWLNLYMNDIKDEGAEKIAEALKENRSITNVDLGGNDIHAKGISAIAEVLKDNSVITSLELGYNPIGPEGAMALAEVLKFHGNVKDLMLGWCQIGAKGAEHFADMLKYNSTISTLDLRANGLRDEGAICLARSLKVVNESLMTLNLGFNEIRDEGAFSIAQALKANEDVRLTSLNLASNFLTKLGQTALTDARDHVFEMTEKELAVMF >Solyc08g067820.3.1 pep chromosome:SL3.0:8:56922427:56927742:1 gene:Solyc08g067820.3 transcript:Solyc08g067820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYKDEPPAVRVYTVCDESKYLIVRNVPALGCGDQLSQLFSTYGEIEECKPMDDEDCEPFTDVFWIRFCRVDNARFAKRKLDESVFLGKKLQVSYAPQFESLHETKEKLEARRKEVLARLNPGRSKGSATYRPDMIGEPSITSSPAQLNSTAQSIGSNHRQISSSPGNSVHEMQCSPMTMGSSDKEYFPSQSMNQTVKLVRDKLNKIQSSTDHLEEPSKRKRVDNRRRI >Solyc06g051030.3.1 pep chromosome:SL3.0:6:34214825:34220636:1 gene:Solyc06g051030.3 transcript:Solyc06g051030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGQWKFSQRSFLLVIVLFLCLVHQELCFCWSLNDEGLALLRFRERVERDPFGALLNWKDGDGVENPCTWFGVGCFNGNVVSLDLRDLHLCGTIGPDLARLVHLKFIILRNNSFTGFIPDEIAKLKELEILDLGSNNFSQPLPSELCNNPSLEIILSDKNELFSSIFPGSDKLEMVPDVQENNNQATRPQPLSLPYGRMILRTSEALGNVAGRKLLRVSSFSLLNNFLNEFRPVRLLDRPEVAVENSAPSSSPSLSPSPLSPSPTPAVTAKPHVVHSPRSSPSPSSLPSPAENSSRVENARRSNHRVLILSAAIGGSVLLLILIAGTIVFRSNKMAVVKPWATGLSGQLQRAFITGVPKLKRSELESACEDFSNVIGSSSVCTLYKGTLSSGVEIAVISRTVASTKDWSKDMETHFRKKIDTLSKVNHKNFVSLLGFCEEEDPFTRMMVFEYAPNGTLFEHLHIREAEHLDWGMRMRITMGMAYCLEHMHNLSPSLPHKNLTSSAVYLTEDSAAKISDFGFWNETEAAEMVSSPESNVYNFGVVLFEMMTGRLPYSSNSSSLDDWASDYLRGGESLREMVDPTLNSFQGEQLERIAVVIKMCVHPEPRRRPTMREVSSRLREITDIGPDGATPRLSPLWWAELEILSTEAC >Solyc07g064470.3.1 pep chromosome:SL3.0:7:66739632:66740317:-1 gene:Solyc07g064470.3 transcript:Solyc07g064470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDEDHKRVSQIVLCIMIIGITFDHKLAFYALQSRPQGKQRCPENVETTYFRETQHEFDSNLASFHQDLITCLRSIPGENKQELVSENEIIHNVMLIMVAGYETSSVLITFMVRVLAKNPNIHAAFLKGRYCTESLTWEDLGNMKYTWRVAMETMRPFPPIFGGFRQTVKDIEYGGNLIPKGWLVRSKSPSLIFQSSTC >Solyc12g019290.1.1.1 pep chromosome:SL3.0:12:9915892:9918087:1 gene:Solyc12g019290.1 transcript:Solyc12g019290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLCASRSAIFKPKKLLTISATPLCLRSTIFFYNTESLNNHERIPKGNSPKPQEKLEDLICRMMSTRAWTTRLQNSIRNIVPSFDHELVYNVLHSAKNSEHALQFFRWVERSGLFRHDRETHFKIIQILGRAEKLNHARCILLDMPNKGVDWDEDLWVLMIDSYGKAGIVQESVKLFQKMEELGVERTVKSYNALFNVITRRGRYMMAKRYFNRMVNQGIEPTGHTYNLLIWGFFLSSKVDTAIRFFEDMKGKGIMPDVVTYNTMINGYNCVKKIEEAEKYFVEMKARNIEPNVISYTTLIKGYSAVERIDDALKLFEEMKSFGIKPNAITYSTLLPGLCDAQKMSEAGTILKEMEERYIAPKDNSIFIRLISGQCEAGDLDAAADVLKTMIRLSVPTEAGHYGVLIENFCKAGIYDRAVKFLDKLIEKEIVLRPQSSSSMETSAYNLIIDYLCNNGQTGKAETLFRQLMKTGIQDPIAFNNLVCGHSREGVPDSAFELLKIMGRRKVLSDSIAHKSLVESYLKKGEPADAKAALDNMLEHGHDPDSLLYRSVMESLMGDGRVQTASRVMKIMLEKGVKEHMDLISTILEALLMRGHVEEAFGRIELLLHNSLSPDLDGLLSVLCEKGKTTAALKLLDFILERNCNIDFSSYDKVLDSLLAAGKTLNAYSILCKMMENGGVKDHKSCEELIKSLNDEGNTKQADILRRMILGKETTLDSKKGKKKTPIAT >Solyc12g009340.2.1 pep chromosome:SL3.0:12:2618276:2624003:1 gene:Solyc12g009340.2 transcript:Solyc12g009340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEAPKSVGLTDLNQNLSQNFYYKLGEGSNMSTESYGMSNAGGSVTMSVDNSSVGSNDSHTHILNHQYNHIHNNYSVAASVVRGRVSRLSDDALAQALVDPQFPTIGLEIYDEWTIDLRRLTIGQAFAQGSFGKLYKGTYNGEDVAIKLLERPENDLERANLMEQQFQQEVMMLANLKHQNIVRFIGACRKPMVWCIVTEYARGGSVRQFLTRRQNRAVPLKLAIKQALHVARGMEYVHGLNLIHRDLKSDNLLIAADKSIKIADFGVARIEVLTEGMTPETGTYRWMAPEMIQHRSYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPTIPIDCLPVLSDIMTCCWDSDPNNRPTFSQVVKMLEAAETEIMTNIRKARFRCCIHPTATG >Solyc05g041650.1.1 pep chromosome:SL3.0:5:53767386:53768125:1 gene:Solyc05g041650.1 transcript:Solyc05g041650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAQEAGQLITLLLKLTYPKKTIEIGLFTGYSLVLTALTIPDDGKASIIAIDLDQDAYEMELPIIKKVNIEHKINFIQSSALSDLDEILNEECVKETMNPNRQHIIEFNKFLYSDTLVQISQVLIGDGITICWQL >Solyc09g005590.3.1 pep chromosome:SL3.0:9:404870:406000:1 gene:Solyc09g005590.3 transcript:Solyc09g005590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEQIFPRLGIAVPPPWFYQLRANRFGTMATTWLLGNFFQSMLQSSGAFEVYCNGELVFSKLKENRFPGELELKDLVARKISNPAVVDGLGASSWS >Solyc12g088190.2.1 pep chromosome:SL3.0:12:64612800:64619999:1 gene:Solyc12g088190.2 transcript:Solyc12g088190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEFEKNSTNMYVEQSPKGLENGQVQRNIDDDGREKRTGTVLTASAHIITAVIGSGVLSLAWAMAQLGWVAGPVILFLFSFITYFTSTLLTDCYRFPGPDSGKRNYSYMEVVRSHLGGFKVQLCGIAQYGNLVGITIGYTITASISMKAVVRSNCFHKEGHQASCIVSNYPYMVIFAIIQIILSQIQNFHKLSWLSMLAAVMSFAYSLIGLGLSIAKVAGVGHHVKTSLTGTIVGVDVSASQKVWRCLQSIGDIAFAYAFATILIDIQDTLRSPPPENKAMKRASLVGVFTTTLFYVLCGTIGYAAFGNNAPGNFLTGFGFYEPFWLIDFANVCIAIHLIGAYQVFCQPIYSFVEGRCIEKWPENKFIKSQHDINIPWLGVYNLSYFRMIWRTIYVIVTAIIAMIFPFFNAILGLIGAASFYPLTVYFPIEMHIAQRKIPKYSFKWIWLHILSWACLVVSLVAAAGSIEGLIQDLKTYKPFKPQDHD >Solyc08g067210.3.1 pep chromosome:SL3.0:8:56268891:56317428:1 gene:Solyc08g067210.3 transcript:Solyc08g067210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKQENPLKRNFEAFSSEAEKMDVEKKKIEEFIPREYQLKVFKVAMRRNTIAVLDTGAGKTNIAVMMIREIGITLRNDDEKKLIVFLAPTVHLVHQQYEVIQHHTHLGVQEYYGAKGVDEWNAESWKKETDDNDVLVMTPQIFLDALRKGYIKFDTVCFLILDECHRASGNHPYARIMKEFYHLSRKRSKVFGMTASPVIRKGVSSSADCEEQISELESLLDSQVYTLESRVELDEFVPSAKETCKFYDPIVFSNTELKAKLEFSWSKFDAALADLKLSLPSQYKDTDDIYKKLRKRLSNCYAKILCCLENLGIICAYEAVKICLENVPNDKDENEILRTSSLQHRYFLEEALSIVQESMPQDCESLFDVGYDLSATLSMGHISSKLQVLLEIFQLLGKATQVRCLIFVERIITAKVIERVMKKMTWFSHFTIAYLTGTNTSVDALTRKAQKETLGSFLSGKVNLLFATDVVEEGIDVPHCSSVIRFDLPKTVRSYVQSRGRARQTESQYILMLERGNKKQREQMFDIIRSEYSMTDTAIKRDPDDSVVKPCLVKETKAYYVEATGASVTADSSVSVLTKYCEMLPGDKFFSPKPVFQYILSGELYRCKLTLPPNAALQTIVGPECRSSQLSRQLVCLDACKKLHQIGALNDHLLPFNEKPPRGGSDVQDRKLGAGTTKLKELHGTACISALSGSWGNDPNGEVYQVYKMNFLCNIKEVKYSSFILLLQSELDYDVGNVEVELFLVSKFVESSVSHCGKVHLDSQQVAKAKIFQELFFNGLFGKLFIKSSCGRKFLLDTEKSLWEPSNMYLLLPLDPLDSSCEPYRVDWEAIESSVSVVEFLKKNGWLSKEKSEAKRKNSLVDRTASFVEDIDQTDLIHFANMSISRSKIMDMVVVAIHTGRIYSVLEAVANSSAESPFEVDSEATVAPFSSFADYFHKKYGIVLVYPGQPLLLLKQSHNAYNLLVDFKKEGISCGPKSKDSTMVVKKPLNNVHMPPELLVCFDIRLDILKSFYLLPSLMHRLASLMLASQLRKDISSHSGDLHISSSLILEALTTLRCNESFSMERLELLGDSVLKYAVSCYLFLKYPKKHEGQLTNERSQAISNSALHKLGTNQHLQEKELLEDNFLNPVKNGYIRDGAFDPRRWTAPGQLSLWLCPCEHGVETSQVPLDKKFLTEDPKEVVGKHCDRGHRWMGSKTISDCVEALIGAYYVGGGFVAALKLMKWLGFKAELEPSLVEDAINTASLYSYTPKAKDIEDLELKLAYKFSIKGLLLEAITHATVQELEAGYSYQRLEFLGDSVLDILVTWYLYQKHKDIDPGELTDLRSASVNNDNFAYAAVRRNLHVHLQHHSGCLESEISLFVKSVSNSDSLQGNKAPKLRNFPASKAQSSPPETSATRAAGRIFAGELSDPRAGACDFFPPEYNEFLRNRASKKSSPPVAYGAQPNQLSNKYALDILEEKGMMGCRPIDTPMDPNGEPFSNPERYRRLIGKLNYLTVTRPDISFPVSVVSQIMTSPCDSHWEAVVLILRYIKSAPGKGLLFEDQGHEHIIGYTDADGARSPSDRRSTSGYCVLVGGNLVLWKSKKQNVVARSSSESEYRAMATATCELVLGDLVESIAGAVLIDTKLNLDEVWKIFKPLLSPIVTPDKLELPPFRELIELCDSLGYFFKEHCVMKGDTVNAELRLQLIDDLLIAEGSGQTRKNAKAQAALKLLKNLEKKGISFKKKKEEASFVDVPQSLDFDGDICIQANTSCPDMASRKKRKKVYLNNKTDEAQSVPSDCSTSSSYSNKDTQVIGPINMTRGGPRISLFELCKKLQWPMPSFESTERTSKSLIECGEGSDKRKVYNTFASRISLTIPDYGLIELTGDERADKKSSLDSAALHMLYELERQGKIAIGNQ >Solyc02g090630.3.1 pep chromosome:SL3.0:2:52815851:52823550:1 gene:Solyc02g090630.3 transcript:Solyc02g090630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVEGESSRVAMPPPNTGKLITILTIDGGGIRGIIPGVILAYLESQLQELDGEDARIADYFDLIAGTSTGGLVTAMLAAPNKDKRPLYAAKDITPFYIEHSPKIFPQISGLFAGAINLAKMINGPKYDGKYLHELIKRLLGGTRLHDTLTAVVIPTFDIKTLQPVIFSSYEANSKPELNAELADICISTSAAPTFLPAYCFNTKDAQNEDREFNLIDGGVAANNPTLVAIGEVTKQTLMKHEDLFPIKPMDYGRFLVISLGTGNAKNEGKYNAKMASKWGLLSWLTHDNSTPIVEAFNQASADMVDYHNFVVFKALHSDDKYLRIQDDTLTGNLASVDISTKENLQGLIKVGEELLDKPTSKINLDKGVYEAVENGGTNKEALRRNFRTRENSGRLTPATAVGVAMPPPNKGKLITILSIDGGGIRGIIPGVILAYLESQLQELDGEDARIADYFDLIAGTSTGGLVTAMLAAPNKAKRPLYAAKDITPFYLEHSPKIFHQIGGPFGGAINLTKMLNRPKYDGKYLHTLIKGLLGGTRLHDTLTAVVIPTFDIKELQPVIFSSYETKSKPVLDAELADICISTSAAPTFLPAHNFKTKDAQNNENEFNLIDGGVAANNPTLIAIGEVTKQVLMKHEDLFPIKPLDYGRFLVISLGTGNAKNEGKYNAKMASKWGLLSWLTHDNSTPIIEAFNQASADMVDFHNFVVFKALQSDDQYLRIQDDTLTGNLASVDIATKENLQGLVKVGEKLLDKPTSKINLDKGVYEAVENGGTNKEALRRFAKILSDERKFRQSNAGQ >Solyc09g065445.1.1 pep chromosome:SL3.0:9:63769431:63770707:1 gene:Solyc09g065445.1 transcript:Solyc09g065445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLERIMPKLLTCVLIVVALFFVGTMIPSVESKTCYQIHPEILCDHGKVEPKCLPFCKKKFGPRGGGQCIDQIGFEGPFCACDYPC >Solyc03g095387.1.1 pep chromosome:SL3.0:3:57922922:57926648:-1 gene:Solyc03g095387.1 transcript:Solyc03g095387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIAVMLYFNGRWDPSNKYINYLADGVLIHTESTFATLVSVIATQLSIDTSTRDMRVIHNSYFSNTFNGIDEAIGLIGFGSCEEVDELEELAPDIIINPNHSLFEKDQKWNNNNRSKARFSGFHLGKKYENILRRNKTALEKLKVVETNKYVYTVLDGITQFTFTGITQLSLRLGNVLLSIFVRLGNLGDAWSLASFLLAYSHNIAANLFRTMSAFTC >Solyc03g093210.3.1 pep chromosome:SL3.0:3:55828557:55837253:1 gene:Solyc03g093210.3 transcript:Solyc03g093210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIVCYGPLIDLSKAAAHIDEYVQLLVFVHNITPIQYKLWGKGGAEVIRTDIQVADDTRPFFPVTIWNKQLASTLVAGDIILLQNVKITRVSGLIEARTVHCSTLQCIVHSYKSIASKGVNNLLHSCRIGAASKDKLQKIVTLLQQGAGVELKNHQVSINWKVHEETKSQDCISLKYLSDLPDSCKATIYASVGELFLPITWRHLPESDVESMFISKRLYVHSDCNVADDLITAGCHLCGTPLSDESSSDSIKNASLLYCQESSNHLHAVSMIYRPFMLTLVSRYFPNSLTLRSLNYNDGRLYVWDDSMYVPLLVKNQAAEVLFGNIRAEEVFSCYQRLKDGTNHASNLVCRRKGTAESTVIKHSVGGEGVSFTDTSRTNEGKELKEKNKFDARPNFYLIWLILLKLLLQQEDNSPLKFKVTINATRDCECGRYEMISFSLPFFLSDVNQV >Solyc01g056260.1.1.1 pep chromosome:SL3.0:1:52750920:52751132:-1 gene:Solyc01g056260.1 transcript:Solyc01g056260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLVVPTVLQSDEDQVATVHSESPNKDLHDILIHKDEPEDLVELKGFDCAIVSESSEELIYNDADISQG >Solyc07g053540.1.1.1 pep chromosome:SL3.0:7:62088569:62089312:1 gene:Solyc07g053540.1 transcript:Solyc07g053540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHQKPLSLILLSTLPLLFILTQAQSPTAPAPAPSGPIDIFAILKKEGQYNTFIKFLNESQVGNQINNQVNNSNQGMTVLAPSDNAFNNLPSGTLNQLNDQQKVQLILNHVIPKFYTFDDLQTVSNPVRTQATGPKGEPFGLNFTGSNNQVNVSSGSVVTNIYNAIRKDPPLAVFQLDKVLVPSQFTDPSSDDDAPAPTKPKNGTSNDKTTADEPSPASNTKPNDAKRISGGILGLVCGVFLMATLS >Solyc08g065320.3.1 pep chromosome:SL3.0:8:53292231:53295209:1 gene:Solyc08g065320.3 transcript:Solyc08g065320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Green ripe-like 1 [Source:UniProtKB/TrEMBL;Acc:Q1HTV4] MPSGRRSLMDLEPAYKMESIRAVQDIQHEFWPLDEINGENAKFPCCLVWTPLPVVSWLAPFIGHVGICREDGSAVAFSGSNFINIDDFALGSVAKYLQLDRKQCCFPRNLAAHTCKHGYKHTEFGSAITWDDAIQSSVRHFEHKSYNIFTCNSYSFVANCLNRLCYGGSMDWNMINVGALLLFKGHWVDNMSILRSFSPFMLVVCFGIFMVGWPFMVALLAFSLLLLAWFIFGTYCLKNLLDS >Solyc07g014580.3.1 pep chromosome:SL3.0:7:4893483:4896576:-1 gene:Solyc07g014580.3 transcript:Solyc07g014580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKKNFNVKVHKSEVVAAVLPMQEHWLPLSNLDLLLPPLEVGVMFCYLNPIIVSEKINMNLEFNSIVKILKTSLAETLVSYYAFSGDIVENSAGELEILCNNGGVSFIEAFGAVELKEINFYNPDESIEGKFVPKKKHGVLAIQVTQLKCGGIIVGCTFDHRVADAYSANLFLVSWSELAQSKPLSQLPSFRRSSFFPRHPGYYDDSIDDLYVPLSTLPPMKSEILNPNDQVISRIYYVTGGKIEHLQSLANCHDDQKGTSQRSKLESFSAFLWKTIACGINKETWGFNNFRFGIMVDGRTRLIINNVDKSLKGYFGNVLSIPFGEKKVEEVKEKSLNWVANVIHEFLDIAKTQEHFLGLTDWVEAHRPKPSMAKIYAMNGDGPAVVVSSGQHFPAKKINFGWGEPAFWSYHFPWAGKAGYVMPMPSPKGNGDWIVYMHLLKWQIELIEASPYEVFKPVTANYLNLI >Solyc08g079240.3.1 pep chromosome:SL3.0:8:62974912:62979953:-1 gene:Solyc08g079240.3 transcript:Solyc08g079240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSSSFTSSAIGAQFYRPKFVKPKTFVNTIRCAGRLEWDPDGLLGPPQTGHIARLEFQRRLENDAAAREEFERQVREEKERRRVLREDQAIIIMPKAIDFGIMSRVIPDTVEGLVEFFLDTEAQEIEFEIARMRPRLNKEFFDHLQVELGKLRFSVNKTQAVEDRLFELEALQKALQEATEAYDKLQADLVATKKNLTEILTSKDVKATLLDLVERNALNRPLLTLLDENIATAHSVNQKQAAEYMEKLRGLVLKYLTV >Solyc12g042620.1.1.1 pep chromosome:SL3.0:12:58904442:58905050:-1 gene:Solyc12g042620.1 transcript:Solyc12g042620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNVTRDDEPPHFVLLPFMAQGHTIPIIDIARLLAQRGVIVTILMTPLNAIRFNNVIARVVEKGLNIYIIHIKFPSLKAGLPQDCENFDIFLSIDMIKKFFNATQMLETQVELLLQDLKPNCLISDLCFPWTTNVAMRIGIPRIVFHGMGSFSLLCLHNLRDVKLLESVESENEYFFVLGLPNKVEVTKAQVKALVDPCNPE >Solyc10g047670.2.1 pep chromosome:SL3.0:10:41533814:41539140:1 gene:Solyc10g047670.2 transcript:Solyc10g047670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLRFSLHFIDCLAWPVVALGYPICASIRAIETGSKYHMRKLVIYWTIFSFFSLFQHLFDKLIQWVPLWPYIKLITICWLVIPRFNGACYLYEVLVRPCLLVKWHDSISQFNSSCYVYLRLLCLCLSVNLRTVADWFNKPMEDRSLKNETFLSVVERYLEENGSDALEKLIANKYKDYSSKHHAEEIKPTDTSDEAGRITPNQTQCEGSGPVWEDITVMEHMAKHEAAEPKQVKSVKENPIIIEQKMTGLQVKELVVPADAEEIKLPEVISPNRVQTEWTCALCQMTTTSEQNMKSHLNGRKHKSKYEALKICEQTPKSNGSLPVPTKSNQLNLEQVKHAAAAQPFHSTNEAAEPKEVKSVKEHPIQIEKKTTGVQTKDTAFPAEAKEIKLPEIDSVKNVKTEWTCAVCQVTTTSKGDLKCHLLGTRHRIKCEELKRTTKTERNPPSTSNMPELKQEQVKHALAAQYKNSTNKKLKENVQLGATTGQHQRQTQVKNAGGATHNSKLWCSFCDIRCPDEIAMAAHLNGKKHLAKLQERMSLTTGTWALNYANMQFYQGI >Solyc12g007200.2.1 pep chromosome:SL3.0:12:1629300:1632328:-1 gene:Solyc12g007200.2 transcript:Solyc12g007200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPSTKSWSIHTRKEITVKYEIFNRIGAGAYSDVYKARRRSDSVTVALKEIHDYQSAAREIEALQTLQHCPNVVVLHEYFWREDEDAVLVLEYLHTDLDCLIKEAKKWEKGLSLGEIKRWMMQILCGVDACHRNSIVHRDLKPSNLLISSDGILKLADFGQARILLAPGFVANDNQQPYVQSTPYQGTVAGPSHFKHISDVSSEGGVFIEDPGSTVQAARLGESDELRFRPFDDTDKDSNIQDGDTSCLATGTMSYVEEDLLPGTYSYDVDDGEQDCFPPLTSCVGTRWYRAPELLYGSTRYGPEIDLWSLGCIFAEVLRLEPLFPGNADIDQIGRILSVLGNLSEEVWPGCADLPDYKLMSFGKVDNPIGLEACLSNRSNDEILLVKKLLCYDPAGRATAMELLHDKYLNEDPLPVPSSELRIPSKHSSENDDSPEEFHRDLNSDSDFDDFGPTKVSTTDHGFSIQFS >Solyc02g081800.1.1.1 pep chromosome:SL3.0:2:46169449:46170744:1 gene:Solyc02g081800.1 transcript:Solyc02g081800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAPSQLVSPVTEKIIVKPSLPTPSPLKYHKLSFIDQSLSHLYIPLVFFYSKQQQEFDQLVANKLQNSLATTLSAYYPYAGRMRDSATIECNDRGIEFLNVRISCPMSEMMNNPHDYAEGNIFTKDLPWKNSFDGSLLVAQLSHFDCGGIAISTCLSHKVGDGGSVASFIYDWAKITRNPNQIARPKFISDTFFPTPNGPLIAPLIDSKLDKCVHKKFHFSASKLQGLRAKIAAEAGIKNPTRAEVVSALLFISATKAASKINNTSFRPSKLINYVDIRPMTTPPLSRNVIGNLLTVTSTTASHDEEMELPRLVREFRKEFEQVYKKDPVQHNSLVLKLLEIMESPYAIDEFDTYYCSNMCKFSGYSIDFGWGKPERVCAPMGPFKNFFILSADQNMDGVEAMVTLEEQHMLAFECDEELLEFASPISSF >Solyc01g110710.3.1.1 pep chromosome:SL3.0:1:97236614:97236865:-1 gene:Solyc01g110710.3 transcript:Solyc01g110710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILRMIKKSSATRDIPKGHFAVYVGEMQKKRFMIPISFLSEPLFQDLLSQAEEEFGFDHPMGGVTIPCSEDLFIDVTSRLRK >Solyc03g025923.1.1 pep chromosome:SL3.0:3:3363445:3363810:1 gene:Solyc03g025923.1 transcript:Solyc03g025923.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHSSSSPSTSMAVKLWQNMEVSITALSSTTYITFHYVVLEISGSADHGHHQRFRGNIRHAKGIDGSDSEKMAIKAQSIQNY >Solyc01g020325.1.1 pep chromosome:SL3.0:1:29243060:29245181:-1 gene:Solyc01g020325.1 transcript:Solyc01g020325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLRKREDEVRLWATEFSPKSVQLFNDYMKIAQKCKVNFNGDYGYEITEGCDRHTVNMILKRCTCRQWDLNGIPCPHAISTMLYNKLDPSSEMSWWCRKRHSCPVNTRPMKMSRKLIDELEEINLIAPQLRPSISNQIPNFELFETSKQRSSKPDDTTLQHTIHPNLTINFPNHDSDPTIRPMIVSENTIFRARQEGSIPS >Solyc06g075960.1.1.1 pep chromosome:SL3.0:6:47292724:47293035:-1 gene:Solyc06g075960.1 transcript:Solyc06g075960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H4 [Source:UniProtKB/Swiss-Prot;Acc:P35057] MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDSVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Solyc01g100980.3.1 pep chromosome:SL3.0:1:90730897:90733249:-1 gene:Solyc01g100980.3 transcript:Solyc01g100980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTRFCFLVFSVFLISYVFSVHGRFYDYPKVSRFRALSQISMPPFPAPAPGPGPAPAPEPKGDPKTENVKNSSNDYAAGIFNVLSYGAVGDGVIDDTQAFKMAWDTACQVDSAILLVPKHYSFMIQSTIFTGPCKSGLIFKVEGTIMPPDGPDSWPKGVSKKQWLVFYRIDGMSMQGGGLIDGKGEKWWNLPCKPHKQGINGTTLPGPCDSPVAIKFFMSSNLTVQGLKIKNSPLFHFRFDSCRGVHVDSLYIKAPRQSPNTDGIHIENTNDVTIRNSIISNGDDCISIGAGCYNVDIRNMTCGPSHGISIGSLGIRNSRACVSNITVEDSTIKYSDNGVRIKTWQGGFGTVSNINFNNIRMESVRNPIILDQYYCSTKSCANQTSAVYISDVIYSNIKGTYDVRSPPMHLACSNSVPCTNLTFLDVELYPAQGQKILEPFCWNAYGALITLTIPPVFCLLEGTPQSLPSNDLDQC >Solyc07g017437.1.1 pep chromosome:SL3.0:7:7164860:7168804:-1 gene:Solyc07g017437.1 transcript:Solyc07g017437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPTSSIEANPSASVATKSLIEVIDCLERQISTLNLLVAQYQDASLNQPTDARQREPMPHVYPTSSEFHQGDHFATIQQTQSASLTNLTQDTPFVYTIAPSKNLTIIHHTPPVYTYVTAPPVTKTPVLHRLDINQYIEIEGDGKSIDSEMMNKKMKSLEDAMRDGKAWHNGEDHNTLSTQI >Solyc04g051103.1.1 pep chromosome:SL3.0:4:49595912:49596546:-1 gene:Solyc04g051103.1 transcript:Solyc04g051103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEAFWGVDSPNPVLEWDDFRQKVFILLDFTLFIRVCFGIVLRFRSWSSPYSASSRNAAQKKVILAGMIRKEVSPIYILLFSVTGIRLSLLKMFVLELSMDALGLFKAI >Solyc11g044325.1.1.1 pep chromosome:SL3.0:11:33236934:33237401:-1 gene:Solyc11g044325.1 transcript:Solyc11g044325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSWTPLDNKLKLTTVEVDKAAGVTDDPLLTDIGSYQRLIGRLLYLTLKARSWVCSTDIESILIGVKEVTYGSCYKVVRYVTIESARGILFSSKRENKLVAYCDADWASCPNTRRSVTRFLIKYGGSLISWRSKKQTTISRNSVESEYKSMASTVL >Solyc03g093550.1.1.1 pep chromosome:SL3.0:3:56355720:56356445:-1 gene:Solyc03g093550.1 transcript:Solyc03g093550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSPQEIYTSLDSIREHLLDDDVAFMEYYCSKSCFSFQTSNLDHTSKTEYDGFFKFEAKPHVISSNSPKQSNLRERKPSLNIAIPAKPIVVVENVESEKKKHYRGVRQRPWGKFAAEIRDPNRKGTRVWLGTFDTAVDAAKAYDRAAFKLRGSKAILNFPLEVANFKQQNDETKTEMKSSGSKRMRGETEELVIKKERKLQEERVVPMASPLTPSNWSTIWDGTGIFEVPPLSPLSQLVMI >Solyc06g035700.1.1.1 pep chromosome:SL3.0:6:24829670:24830206:-1 gene:Solyc06g035700.1 transcript:Solyc06g035700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYHFNDNSPSLENLSPTGGGSSTRHPNFRGIRQRNGKWVSEIREPRKTTRIWLGTFPIPEMAAVAYDVAALALKGPDAQLNFPDRAYSYPVPASLSAADIRTAAANAAAARAPPLSEINTAAGGGQGQEFVDEEEIFGMPKLLDDMAEAMLVSPPRMHQYDESPENSDADSLWGYP >Solyc03g097690.3.1 pep chromosome:SL3.0:3:61448335:61456738:-1 gene:Solyc03g097690.3 transcript:Solyc03g097690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVFGKIGSDGRTQNSNNRNTSDGGVGASGDEVKITQPPPLPVEVEGPNRTESVSEYYSFRTLGNSFATNQQGWPSWLVAVAGDAIKDWTPRRADTFQKIEKIGQGTYSNVYKAKDLITGKIVALKKVRFDTMEPESVKFMAREILVLKKLDHPNVIKLEGLVTSRMSSSLYLVFEYMEHDLAGLAAVQKVKFSESQVKCYMKQLLSGLEHCHNNGVLHRDVKGSNLLIDNEGILKIADFGLASFYDPEHQQPMTSRVVTLWYRPPELLLGATNYGVGVDLWSAGCILAELLARKPIFPGRTEVEQLHKIFRLCGSPSEEYWKKFKLPNATLFKPQQPYKHCIDETFKDFPPSSHPMIATLLAIDPDERGTATAALNSEFLTTEPYPCEPSSLPKYPPSKEMDVKLRDEAARRQSGLHGKVHTGDGVKKVRRERVSRAIPAPEANAELQPNLDRWRMMTQANAKSKSEKFPPPHQDGAVGYPLNASHNGPASFSIAAASFDSSFDPKSRSLRSTITTGGTSRQRRTKKEEPHMAPSRKLFHAFLPSSFRLSIDMRLRGRASVSETFSHHR >Solyc07g053600.3.1 pep chromosome:SL3.0:7:62148518:62152234:-1 gene:Solyc07g053600.3 transcript:Solyc07g053600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMKLLLFFLSTFPLIFALNQDGLYLQRLKHSLSSSDQGVFSTWYENDPTPCNWTGVTCNDAGDSPSVIAVNLSGASLVGPFPGFLCHLTSLSSLSLSNNFINSTLPVSISECGSLTYLDISQNLIGGTIPDTISDLPYLRYLDLSGCYFSGNIPASLGRFRQLETLILTENILTGEVPAALGNVTSLKTLELAYNPFAPSLFPPELGNLTNLETLWLSMCNLVGSIPKSIEKLSRLTNFDVSNNGLVGSIPSAIFQLNSIVQIELYNNSLTGKLPSGWSNLTRLRRFDVSTNKLNGTIPNELCELPLESLNLFENQFEGLIPESIANSPNLYELKLFSNRFSGSLPSELGKNSALQYLDVSYNTFSGKIPESLCEIGALEDLIVIYNSFSGNIPASLGNCRSLLRIRFRSNKLFGEVPTDFWSLPHVYLLDLFGNAFSGNISHMISGAKNLSNLQISRNKFSGVIPSEVGKLKNLVEFSASHNELTGELPDTLVQLGQLGTLDLSFNELSGKIPLGIHTMKQLSELDLANNGFSGEIPEQIGTLPVLNYLDLSGNYFSGEIPLSLQSLKLNKLNLSNNQLSGMIPAVFDKGLYRDSFRGNPGLCQGVAGLCATKGRGQHEGYLWTLRAIYTVAGFVFLVGIAMFIWKYQKFKKIKKGNTMTKWTSFHKLGFSEFEIPVGLDEANVIGNGASGRVYKAVLSNGEAVAVKKLWERTVKDETPYGALESDKDEFEIEVETLGKIRHKNIVKLWCCCDTGDSKLLVYEYMPNGSLGDLLHSCKAKLLDWPLRFKIALDAAEGLSYLHHGCVPPIVHRDVKSNNILLDDEFGAKISDFGVAKIVKAGSKGGVESMSVIAGSCGYIAPEYAYTLHVNEKSDIYSFGVVILELVTGKRPVSPEFGEKDLTTWVHTTLNEKGVDQLLDPNLNSSFKKHICKVLDVGLCCLNQTPANRPSMHRVVKMLQESVPCNVPEIKNKNGKLSPQYFPKSV >Solyc02g078280.3.1 pep chromosome:SL3.0:2:43585311:43592275:-1 gene:Solyc02g078280.3 transcript:Solyc02g078280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSGIIPNEVASLAFTFSEKCRRQNQAQGKEFRSRKYQNRRAKGELAPSKMISMLRSQRIMTALKQIREKAQQDGLKKKEETISSVTSEVKSKIDELKLKLEKDRQGFAKALSKSSKECENLLKNETAKFQSIYEKFNKEKATHLQSLRDTISKYEEEKERLFMRYEQLRKKEKSMISDLEQDSKKRISELEESLKKKKQDDKAFSFLRKTLGSFLDNASDEDFPPDD >Solyc06g072845.1.1 pep chromosome:SL3.0:6:45070179:45071304:1 gene:Solyc06g072845.1 transcript:Solyc06g072845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNLQKRGLVSLGKRFVNQITYSTSRNSANSSSTALSGRNVVHTSVYDKNIEEYVSSWVPDEIIEVESDKYKYWTPHPQTGVFGPATDHIIKYGSQFSTVDSVLEQKTFFRDFEDLEKPSYP >Solyc01g008940.2.1.1 pep chromosome:SL3.0:1:2892928:2893302:1 gene:Solyc01g008940.2 transcript:Solyc01g008940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLAKISQIPFLVLFMFLVVCTTTIHHVQGQTAMCVINCGQQAVSCVVRCGPPPPPTGCYSGCVATGIDCLTSCRRPPATSDDVI >Solyc07g017410.3.1 pep chromosome:SL3.0:7:6901909:6903576:1 gene:Solyc07g017410.3 transcript:Solyc07g017410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFMKGDLLSRTRRLVKGLAKAEPVWLKAMEQAPPATFPRAENKLVPISLPEDVYIKKFFQKYPESKHEDAIKISGFDPPPARIYGWRVLELKEQGVSEEEAVAVADMEYRVEKKAKKKAYSRLKQIARLQGKKPPPNPYPSAIKEIQAEERKYVRDRFYNRDILKIVEKLKEERQAEMQDRRGGGGW >Solyc01g101000.3.1 pep chromosome:SL3.0:1:90740088:90747254:-1 gene:Solyc01g101000.3 transcript:Solyc01g101000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVGLAPTSGLRESSGHNAGVDRLPEEMNDMRIRDDKEMEAAIVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGQGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTDKDELYLNLVLEYVPETVHRVIKHYNKLNQRMPLILVKLYTYQIFRALSYIHRTIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDVWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYNEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCAALDALVHPFFDELRDPNTRLPNGRFLPPLFNFKAHELKNVHAEILLKLVPEHARKQCPSLGL >Solyc02g030255.1.1 pep chromosome:SL3.0:2:25944103:25947859:1 gene:Solyc02g030255.1 transcript:Solyc02g030255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYNEAHAVCKNGRDLWILEEFNNFLLRLEALVIDGEDMTSIHNSSATDNNKQQVVQENPLANDFSDSKVELTDGLLVDKHENTAQYIVDEWEILSVEAQRKMTAPEGESDCVDSTDTKSLHSDSENEILNFPTFNPQTDGDNLILALECVFECKKDFKSAVVTHEIKNENHRFCVRHLRNNFERAGFGGFTLKKAFWDAEKATTVKESDVCMLRIRELDPNAADWLNDKEPSQWSRSHFSSDAKMLDNREKEHKWSSNDVCPNIKDILHKNQTAGGEYIPRKSYQWNYEIIGATIHDSWAVDLENKICSCRKCIMGIPCKHAIAAIRAKKDNILDYVVLISPFWCYYIAFSGGVPLFHFQELLFSNVVLF >Solyc07g044990.3.1 pep chromosome:SL3.0:7:58178139:58184441:1 gene:Solyc07g044990.3 transcript:Solyc07g044990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINSYQTRAELLLRSYMLSDSFIVYSSVIGGVFACKLVYDLSQIFTSIYFKRYAGLSKFQQVEWNNRSISTVHAIFITAMSLYLAFWSDLFSDDQLSGLVIMRSSTLSTSILGVSLGYFLTDLAMILWFYPSLGGTEYLVHHLLSLVALSYAMLTGEAQFYVFLVLLSEATTPGINLRWYLDVAGLKKSKAYLINGFMMVLVWLVARIFLFIYVFYHFYIHYDQVKEVSSFGIFLVCVVPLVLAVMNLIWFWKIVKGLNKTLAKRN >Solyc02g085510.1.1.1 pep chromosome:SL3.0:2:49006234:49006413:1 gene:Solyc02g085510.1 transcript:Solyc02g085510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFEKNELEQLLQCFLSLNGKHYHGVIVEAFSDIWETLFLGNNDRVRRMSIHDPTPTYCR >Solyc04g082800.3.1 pep chromosome:SL3.0:4:66427359:66428319:1 gene:Solyc04g082800.3 transcript:Solyc04g082800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDWRKSVGNVRSFVGNLTGGLRGGSNLASWVVAGTLAYFLWVKPSQELKRQQEEKAALAAASDAYRYVEKRKPVPDPQETGLIYGNKNKAKRAEE >Solyc01g005290.3.1 pep chromosome:SL3.0:1:209137:209394:-1 gene:Solyc01g005290.3 transcript:Solyc01g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAICCRFLDKATQQKMRIVMSEEQKEEFIREVGEDVLPEEYGGRAKLVLLQDVVVNF >Solyc01g112160.3.1 pep chromosome:SL3.0:1:98144296:98149483:1 gene:Solyc01g112160.3 transcript:Solyc01g112160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVTFVHNRYWAVRHGKSIPNEMGLVVSSMLAVTESNPWEVSELAEIILSLVKSKELSTLQENGKLEQYKLTPEGIKQAKLAGESFLKVLKEDNIPLENVRICYSPFSRTTHTAQVVASVLDISFEGPQCMVLDDLRERFFGPSYELKSHDKYPDIWALDEKDPFMQPEGGESVADVVSRLTNALVNMESQFRGCTVLVVSHGDPLQIFQTILNAAKDNDGSCENDLALRIQAVKIPCVLSQHRKFALQTGELRSVV >Solyc06g065850.2.1 pep chromosome:SL3.0:6:41397105:41400615:-1 gene:Solyc06g065850.2 transcript:Solyc06g065850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRVAKASEYLVVTGVGINDINITKKAWILPGQSWTKFDVSPVNYTFEVQAMSAEKLPFILPAVFTVGPRLDDEASLLKYAKLISPHDKYSNHVNDLVQGIIEGETRVLAASMTMEEIFKGTKQFKQEVFQKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQAKIDVAEARMKGEIGAKLRSGQTLQNAAKIDAETRIISTQREGEGKKEEIKVKTEVKVFENQREAEVAEANAVLAKKKAGWAKEAQVAEVEAEKAVALRDAELQKDVERMNALAMTEKLKAEFLSKASVEYETKVQEANWELYKKQKEAEAFLYEKEKEAEAQKATAEADFYRRKQIIDGDLYAKMKEAEGLKALAEAQGTYLRTLLESLGGNYGALRDYLMISGGMFQELAKINGEAIRGLQPKISIWTNGGSESNAEGGGSALKEVAGIYKTLPPLFKTVHEQTGMIPPTWMGTLTDDSCFPQEIMQ >Solyc05g026310.3.1 pep chromosome:SL3.0:5:40384458:40402894:-1 gene:Solyc05g026310.3 transcript:Solyc05g026310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPAEFYKSLPPISKAYGTACLLFTIAYQLGFYHPADIALLYELVLYRFQVWRLITNFFFLGKFSINFGIRLLMIARYGVQLESGPFQRRTADFLWMMIFGALTLLALSVIPFFRSPFLGVSLVFMLLYVWSREFPTANINIYGLVTLKAFYLPWAMLGLDVIFGSPIMPDLTGIIAGHLYYFLTVLHPLATGKNFLKTPIWVHKLVMRWRIGAPTGTPAQPDNPGAAFRGRSYRVGGATGYPAQPDRGSGVATTNPVQPHNGPGVAFRGRSYRLGG >Solyc02g079620.2.1.1 pep chromosome:SL3.0:2:44684648:44685538:1 gene:Solyc02g079620.2 transcript:Solyc02g079620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLGLWYKDVGPGTVVWVGNRRNLVNCGAFLGLDTGGDIFLQDFMRVTVWIHKSNQTVPRSAIKLLDSGNLVYGDYSNLTAGEYLWQSFDHPFDTLFPGMKLGWEKKSDIDRSMRSWRTSFDPAPGDYLFRLDSGDSGQLSQLLLEKNQRIQSRWGPWDGEKFSGGYALMDNQAYRPIFHSDTDAMYFTFEAKNDSILILSLNADGKLQFLRWNNNSTNSWDEVKTLNMAICDQYSSCGPYGVCTDGDLQCGCLDGFTAASPEEWYKMNFTQGCRRNTSLNYTDRRICKEHPTEVA >Solyc02g086500.3.1 pep chromosome:SL3.0:2:49805664:49823200:1 gene:Solyc02g086500.3 transcript:Solyc02g086500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSYSQLLEKIRIPQPSLQKFAVISIFDKLRSAPTYLNPDSAPGTDAITQCLHSTSASVLDQSVRELCRLVRDSKLDLSRGLLELQSALEASDSRFVSLFVKGIGFLVRLGFQNNSLPSLSSENHPFVKVLSCRVEVQTELVQQVLIFIMQSKNSGMVEVCDFLLPFLNYSIVRMPSSVSVSSFIRSLVSSLAGLCCSIPLEAIPVIKLLIGRLKFFPCDNAEDFTNISHCLECIVDAYVVVLQQLVEMGSLLHQVQLCGVELLDVMFSLCTNPKHTSSIENILEVSRRILIVQKDLGLSFIPELSTITLSLFMVLMQSELEHEQFLEVKLVLFLLKWKHENENDVFRDAYDLNEELLFIFPAISLLSSPSKSVKQLATDLLHILGKLSSKLLIAQKTGQPKGMKFPTISTPKYIVFRLLQHIWLQELSPLSGSFYLNYEPSHVTSIRDEHYVSKTWSSLVTDHLHHIIARRKSSSISQSQNIFLIDMPMILSAIACVLVMHQADGSSSVDILANSSRADPKLGVPLLLVIQFYNHIFSTNTSVDSHGVLLKLLELLPSLASHPAIIPLVIQTLLPMLQNDKKPVLFATAIRLLCKTWEYNDRVFGTLQGVLLANRFTRFASKRDICISMAVSICDICRRNPDRGVDLILSIAACVENQDPLVQSLGLQSLGHLCEADAIDFYSAWDVIAKHVLNYSANAMVAHSLCLLLNWGAMDAQAYPEASVDVLKILWNIGTSQDCRQASLWSKARASAFVALTSYEVEHLERSIPDFKDRNLEYLVSETDPEVLTALEGFEVKLITFEHITRRRLVKQKKVSGNKIEKLLDVFPRLIFASGKERREKELPGAALFCLPFTKKDSRKPGASEDLQDVQAKYEASLIDIATSLQLSRNILISILSLQSWKPFMRRWMRAYVLLLDAKLQTAVLDKTPKAAMEILKSMTAIAERSLPRSAENIALAVGALCSVLPASAHAVKATASKFLLDWLFQHEHEYRQWSAAISLGLISSCLHLTDHKQKFENINALLEVASVSKSTLVKGACGVGLGYSCQTLLARAAAHPGKETHKIEEAELLRKIIRTLSQMISQFTPSSADVLETLSVSFPLRSDNLNSNFAGEFLGSMSENLEEDVWGVAGLVLGLGNCVGAMYRAGMYDAVLNVKALLISWIPHPSEVTTMSKDHEILLFVGSCLAVPTVMATCQRFELIDDAELEHLLSCYKELISELLSIKRFDTFHQSLLMASCLGAGSLVGVVLNEGSHSLKIEHIKELLALFRKSYADSNPPLIYLGAMLGVVNALGAGAGTLIEPHPLSSSHSSSDQKEASYISGPLITNDVLEPDLTSLVQEMFLVAQNSDAHQLQQHAAWAISFLRHYLWVKDLQNDESTSENDSVGSKTVSQNFPEDSTVMKLSMWLMHLNYLGTGDVSHVNTVSSVLRCLSHASRLPPLDWGAIIRRCMRYESRVAGLLAQDITFERGNLREECLLFSLSHANQFDPLLSFLDELCDIPRLRVLESRLQFFLLSHLADLVKIFSGSRIVKLFEDVAELLSWSTCPESCDPLEKITFRISCWRGLQLCLDESSHHTQDYKSSMEKCMEFLFTLLPSAQTDESCQVKIFEEWSEALRCLEKAQQGWLLDLLKVSEVNFTVANSLSFETVKKIQAIAKLVQSGSLPLTVLGKLKACLLDSRSQDIWDALTEVSITVQHAEGNAKRQWLIEALEISCITRFPSTALQFVGLLCGSCCIYRPVLIVDKFTVLSDLPVTLTSLLSDSSWMVVADSVVSYLWASTERIYEWNKQLKGGFDTQSIDKSENDIACFLLLVMYQACVSLKDHLPSEKQLQLANMVVPANMDVHAFQARLNFDMKSLPLL >Solyc12g027757.1.1 pep chromosome:SL3.0:12:26435206:26440470:-1 gene:Solyc12g027757.1 transcript:Solyc12g027757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTKGDLRAILWVGNCDKGNMVEFRCYNTDRRSGAPKQWRRFELARGSSLKWRSGGLCRGFNGSFKTAEIDITVRPLTEWRVCMDYRKLNAWTEKDNFPMPFMDLMFDRIVGKGWYCFLDGYLSYNLIFIAPEDQEKTIFTCSYGIFAFKRMPFGLPNAPTTFEMYEVYILRYGGRHY >Solyc12g056600.3.1 pep chromosome:SL3.0:12:63522864:63524079:1 gene:Solyc12g056600.3 transcript:Solyc12g056600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPSLQSPIAKKLEGKIAIITGGASGIGLATARLFIQHGAKVTIADIQDDLVNSLVKENEHLMFVHCDVAIESDVQNVVDATVAKFGKLDIMFSNAGVAGKSISSILDVDTDIIKNVFDVNVVGAFLCAKHAARVMISSHTKGSIIFTTSAATVVYGIVPHSYAASKSAVLGLCKNIGVELGRYGIKVNCVSPHYISTKLALDALGIDEREKAERWFGEGGNLKGVLLEEQDVANGVLYLASDDSKYVSGLNLVIDGGYSTTNVALGEAYKKLFPSGTTNQVMQILI >Solyc12g007140.2.1 pep chromosome:SL3.0:12:1578086:1584390:-1 gene:Solyc12g007140.2 transcript:Solyc12g007140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSFVTCDDPKGVIEGKTIKKSKIDLQNIEDHKVSKDFARDLLKGAFDLQESLVMLGKLQEASEYVTGLRKRESDATGVGKTKSERLVADHRYNNNKDEFGKSSLSVDRSRDCYDELREVIRDSLARQNLLPPCCASEKARSGRRKIDLYQDFPSTSFTSLSETSMEKACVADARKLVMSPELPSTSSSQFASFDCSRDKEKPKVPNLIARLMGLEEIPSTPLHQKQLEKDMIFKPTRPIFEIDLPKAKKLSVINQKADPKRRTLDGIIETMQFKGLLRCKSNNVISHQLKSSAADAPPIVIMRPVYAPEVQAERFSTSIRDENPLDTKNSFGKRNLKEESAPVNFTVHRKMHTRNIQKSSCIPEKGSKDHNEETLSLTKNRASSPGRTKQPKKEVIDKRVERTQRAPGAKRSGEMKNVSPNNTTKVQDQSKRTTAKVTKPEKKSNVPEKLVASQRSADSKRITAVVSQNSRNRKKNVKTDKSVKSSSIVPVVENMEHNCEQDSDITVTNLTSSEEPPCEEVAEISKSVVTDNLKNGECSATESTMTLIQCDHNIPLMEHTRYQIRQDSTEKEFLKSRATTRHILLSNESFLSRAEELFDTDAWEPTVWKTVSVENEMPNSTLVLDCANELLENKRSQSALTISKSPVNMSRVSISFDKLLNEICDAIEVLRSHTKVDANILSVDTLYALHERDLSCNGVISTTWDLGWRNAFTLDEVEQIVTDIEKHVVNGIIDDALTELTL >Solyc04g012155.1.1 pep chromosome:SL3.0:4:4438710:4439025:1 gene:Solyc04g012155.1 transcript:Solyc04g012155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSQRVDSNGRLSKEVKATAVVGANIPKDGANPNILQDSEYSEWLGHMLDKCPALSKLRRKDIESLL >Solyc03g034120.3.1 pep chromosome:SL3.0:3:5853762:5856619:-1 gene:Solyc03g034120.3 transcript:Solyc03g034120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSKVDDQMELISHFYPHIYAQLAQQQGETVKPRRRRKKNEIEGRNNTSEVVMKKRKLLSEEQVNLLERSFGDEHKLEMERKAKLASELGLDPHQVAVWFQNKRARWKNKKLEEEYSKLKTQHELTIIEKYRLESEVLKMKEQLFEAQKEIERLLLEHKSDISSNNSPTSSIFSMEQPHFDLGELILEGQLMDDNMLFNITLWDN >Solyc11g011620.2.1 pep chromosome:SL3.0:11:4682927:4688715:-1 gene:Solyc11g011620.2 transcript:Solyc11g011620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHPAVKPLSDLIGTWKGEGEGFFPTISSFNYSEELQFTHSPNKPVIAYSQKTWNLKSGQPMHSESGYWRPKPDGTIEVVIAQSTGLVEVQKGTYDMKEGVVKLKSELVGNASKVKEITRVFKVENCELSYAVEMATSLTDLQPHLTASLKKQALGCHLITGDITTYTTSQAHFLTNKYDTEC >Solyc10g055720.2.1 pep chromosome:SL3.0:10:57193532:57203569:-1 gene:Solyc10g055720.2 transcript:Solyc10g055720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMEDTESCGSRVVDSAPANSRQHRKKLEVYNEVLRRLKESDNDEAQQPGFEDELWSHFNRLPTRYALDVNVSAEDVLRHKRLLHLAHDPANRPAFDVRLVQVTQAPDGNSADPVPLNSATKEISRSVFPLPAFGSSPNLEALALEASKSEVQDEDVTVTCGNLLRPMHEITFSTDDKPKLLSQLTSLLAELGLNIQEAHVFSSIDGYSLDVFVVDGWPYEEIEQLRMALKREILKIEKSSPSQSPSESFRKEDKTLIKCELDPVTIPCDGVDVWEIDHQLLNFGNKIASGSYGDLYKGTYCSQEVAIKILKSERLNTELQKEFAQEVYIMRKVRHKNVVRFIGACTRPPNLCIVTEYMSGGSVYDYLHKQKGSFKFPTLLKVAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEIIEHKPYDHKADIFSFGVLLWELMTGKLPYEYLTPLQAAIGVVQKGLRPAIPKHTHPKIAELLEKCWQRDPTSRPDFPEIIETLQQVAKEVADEEDDRRKEKPSGGFFSVLRRGHH >Solyc04g039770.2.1 pep chromosome:SL3.0:4:12546526:12548238:-1 gene:Solyc04g039770.2 transcript:Solyc04g039770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMFHTKGFGSITMGSNARDLVALTNETSTIRSALHRQTWDLRSQVRSVLLSNCPIDPISIYIKKKSCNEGDPYLYKWYFELGTSMKRLTILIYLLNCSVELVGITSYGLVENDSDLVHGLLEVEGALVGSSQIEKDCSQFDNDRVTLLLWPEPRNPLDMMQKGSWSILDKRFLYEKYESMFEEGEGEGTFDPQKDLFNHIVWAPRLWSPWGFLFDCIESPNELGFPYYTKTRDRSQGFFLISQFIWDPADPLFFLFKDHPPGSVFYIKNYLQMKRSLYKRLFIKNMQEKHFESLINHQRCLRNKNSLLNGSFHSNILSERYQPKTLLRKRWLFLDEMKIGFMEMLVSSIFWTLVPWKNMILQKHGRIEILDQNTIFTTKKYSGMPIGVFYIEFTR >Solyc10g007540.2.1.1 pep chromosome:SL3.0:10:1844447:1844773:-1 gene:Solyc10g007540.2 transcript:Solyc10g007540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYTMISIVLLCAFIILVIFVTFCQNNDKNNSAPTDIEARTKVRGSTRETYDATTDGDNGGETMHGNNDNGGSTVVASVIATTALNTIAIDAGGGSYQGHGGGQNAN >Solyc02g079530.3.1 pep chromosome:SL3.0:2:44618861:44619170:1 gene:Solyc02g079530.3 transcript:Solyc02g079530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISEIHIVDINLLETESLCELMGKYLIIIKVQKFQLDGSSANAFDLHQQLTNTKDNSQAYRKEKEV >Solyc10g080730.2.1 pep chromosome:SL3.0:10:62066297:62068500:-1 gene:Solyc10g080730.2 transcript:Solyc10g080730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIGIKRAPKWWEKGLQPNMKEVTGAQDLVDTLLNGGDKLVVVDFLSPGCGGCKALHPKICQLAEMNPDVQFLHVNYEEHKSMCYSLNVHVLPFFRFYRGAEGRLCSFSCTNATIKKFKDALTKYGADCCSLGPVKGLEEKELLALAANKDLSFAYTPKTEEPVPLALEEVKVIKTSRQSSSHPNTFSPLPLPLPLASTLHTAKQDSKS >Solyc02g084680.2.1 pep chromosome:SL3.0:2:48415577:48417733:1 gene:Solyc02g084680.2 transcript:Solyc02g084680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQRVMAGFFSLGGGAAATTSQDQQQETTNNPESNWFLYRNDHHQELPTTYRGFELWQNTNSQPQIRNPINPLQDLYPTAAVGLGIGPSHSGFPSMARPNHDPPSGGGGGSGLVMMRSGGGGISCQDCGNQAKKDCPHMRCRTCCKSRGFQCQTHVRSTWVPAAKRRERQQQLSTLQQQQEEEEQQQQQQQQQTLQLHRDNPKRPREDPSASSLVCTRILPSSTSGLEVGNFPAKVTSNAVFHCVRMSSVDDADDQFAYQTAVNIGGHVFKGILYDQGPDNQYMGGGESSSGGGSASHQHNLIGAAGTATSAATSGGAAPEASPYLDPSLYPAPLNTFMAGTQFFPPPRS >Solyc03g006140.3.1 pep chromosome:SL3.0:3:791661:799778:-1 gene:Solyc03g006140.3 transcript:Solyc03g006140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIWLVLLLLLSQGNGKGGVEASDYLIGLGSYDITGPAADVNMMGYANMEQIVSGVHFRLRARTFIVAEPQGKRVVFVNLDACMASQIVTIKVLERLKARYGNLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDAVVNGIEQSIIQAHENLRPGSIFVNKGELLDAGVNRSPSAYLNNPAGERGKYKYNVDKEMTLLKFSDDEWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWYDQRNTEPSKFNVSKASELPRRVSNIIPSVRGKHHELLEIAASFQSSPGKPVTRLMSVARRVRSALRLADRPKFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFKKAVELFDTATEQVKGKIDFRHTYVDFSNLEVTVTKEGGSTETVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDQGNAFWRLVRNLLKTPSAEQNKCQHPKPILLDTGEMKVPYDWAPSILPLQIVRIGQLVILSVPGEFTTMAGRRLRDAVKTVLTSGGTKEFGSNIHVVLAGLTNTYSQYITTFEEYEIQRYEGASTLYGPHTLSAYIQQFKTLASALITGKTLQAGPQPPDLLEKQISLLTPVVMDATPLGSKFGDLITDVPQSSTFKRGDLVSVVFWSACPRNDLMTEGTFALVEILQGKDTWVPAYDDDDFCLRFIWSRPAKLSTRSEATIEWRIPELAASGVYRIRHFGAAKALLGSVKHFEGSSSAFVVA >Solyc04g054500.3.1 pep chromosome:SL3.0:4:52567401:52568752:1 gene:Solyc04g054500.3 transcript:Solyc04g054500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGGTLEYISEMMSIEKPKKKKKQFNTVELKVRMDCEGCEMKVKNTLSSMSGVKSVEINRKQQKVTVTGYVEPNKVLKKAKSTGKKAEIWPYIPYNLVSQPYAAQAYDKKAPPGYVRRVDQYPTTGTVARYEDPSYVNMFSDDNPNACSIM >Solyc10g084120.2.1 pep chromosome:SL3.0:10:63896303:63898707:-1 gene:Solyc10g084120.2 transcript:Solyc10g084120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Plasmamembrane intrinsic protein 25 [Source:UniProtKB/TrEMBL;Acc:K4D3J8] MAKDMEYGNDQYAPSKDYQDPPPAPLIDPEELGKWSFYRAIIAEFIATLLFLYITVLTVIGYKSQSDGDQCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKVSLVRAIFYIVAQCLGAICGCGLVKLFQKAYYVKYGGGANELAVGYNIATGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYGKNKSWDDQWIFWVGPFIGAAIAAIYHQYILRAGASKSINSFRSNA >Solyc05g005877.1.1 pep chromosome:SL3.0:5:686804:691011:1 gene:Solyc05g005877.1 transcript:Solyc05g005877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFGLVSAWNKRRRSKSEDMLNPWIYKPVEYWQIEDQNPPAAKRRHGSSVFTLREMEEATNSFSDDNLLGKGGFGRVYKGTLRSGEIVAIKKMDLPSFKEAEGEREFRVEVDILSRLDHPNLVSLIGYCADGKHRFLVYEYMHKGNLQDHLNGIAEVKMDWPLRLKVALGAARGLAYLHSSSAVGIPIIHRDFKSTNILLNTNYDAKISDFGLAKLMPEGQQSCVTSRVLGTFGYFDPEYTLTGKLTLQSDVYAFGVVMLELLTGRRAVDLTLGPSDQNLVLQVRHILNDKKKLRKVIDPEISRNSYTMESITMFANLASRCVRTDSSERPLMVDCIKELQLILHTNAKGLNMTMHTFRMI >Solyc09g083440.3.1 pep chromosome:SL3.0:9:69519147:69520571:1 gene:Solyc09g083440.3 transcript:Solyc09g083440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFAHIIVFFLLATSFETLMARKEIGGPEVIELLKEYESNLMCKGKRMWPELIGVPAQYAKGIIEKENPFITDVRIGLIGSPGTADFKCFRVRIAVNILDVAVSMPVIG >Solyc11g006675.1.1 pep chromosome:SL3.0:11:1281191:1281763:-1 gene:Solyc11g006675.1 transcript:Solyc11g006675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKALSSSIILISKRKRTRNELPQSKIPWTGFMATQTVENSSRSGPRRTAVGDLLKPLNSEYGKVAPGWGIDRYEQGRRRSKKRRLTQLWWSSSTSK >Solyc12g005900.2.1 pep chromosome:SL3.0:12:530463:536205:-1 gene:Solyc12g005900.2 transcript:Solyc12g005900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRRHQIICSSDEEDDGDDEPQQFAGGNEENENPNNIEMEILESSTNFQSVTLNSPIQNPTPDHEPNVDIYEVIRASDCGIGRVLENLGLRLRREWLESCVGGLEGSVVGEFSGLDDTTKAKLCFEQFLYSDMIFCGAGMLPRDVHKLHLVDLKGPFVLQVDEIVNISCPLRDRYQKVAAGIKRCLKLSMTDGIQRVFGMEYRPIKDLDVLAPSGLKVAICNVHVRHGILMLVPEVIEVLGGMVEDLEEARKRLVNEINKPPRGKRNNLITFGYLVTSSMPNARTRSGVVPPLATRAIAAAWPREGVTVPEHSDTSSRQNMQFQVHERGTSGIATTASEEIHPVRSGLPSSTTFITPVYRREAQSNIPSTPAVSVPFNRNAEPTFSSDAASHAEDIHMADMTTEDIDVAIRREHNDTVLSSLSSMDVEELLSDVRSDPTTPASSRTRGSVCVSSGSFHNEDVTPDTLSTAAIDVDQIDLVDELDHPYILSGAKENPFTYLASLSAKQAGVNGSASTVTGKIKCFLTGVKGFQYKQSSKYELRVYVDDGSLISEILIDHALVQEKIGFSPAEVNAALSSSDGKRVSDTKETLKCFQKFLINFEGTMLVHLNEESPIPVATEMNQGCSASDAWLLLKRLKPSTSPRQHHLHHSETINLSP >Solyc09g042724.1.1 pep chromosome:SL3.0:9:25385655:25386291:1 gene:Solyc09g042724.1 transcript:Solyc09g042724.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGELGYFLGIEFARSKDGNINESKEKLTSVEYDRQFNITYDDELEDRRIYQKLIGKLLYLAMTRPDISFVVQQLSQFMHAPKKSHYNATVHVVRYIKGQPDLGLLMSSKMSGRISAFCDADWASYVLSRKSVQCFGIKFGNSLISWKSKKQNIISRSSIVAEYRSMATTVAELVWLQGLLKEL >Solyc01g020180.1.1 pep chromosome:SL3.0:1:27765527:27766839:1 gene:Solyc01g020180.1 transcript:Solyc01g020180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILFKTLHALVPNLPSKADKSKIVYGTVNRIRKLENTFKKLESQKLKRLEEYNIRLTGSQKVGNSWENYVGDQGSTCNSTAITPTNHGASPLIPTGFMTWSSPNVILNVYGEDAHINVLS >Solyc02g021366.1.1 pep chromosome:SL3.0:2:23059689:23060399:-1 gene:Solyc02g021366.1 transcript:Solyc02g021366.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKEWGWGCPGTIPRSIEEIMFEPHGIIDKGNRDDDRDHTEKQKKSYGTNTNTLIESVKFKSIYQSASPIAQDISFQPRDKTRSFHSIFSQIMKDIPLIIKKGVEGIYICCSCQLEGAEIAITEWGTYGKAFRNVFNKKIDYAPAKVSTRYEILGVKAWISYLKKMGGTCYIRNVNNIVNIVKADVVGVANRM >Solyc03g046390.1.1.1 pep chromosome:SL3.0:3:12475754:12476080:-1 gene:Solyc03g046390.1 transcript:Solyc03g046390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADFYLILDPLISFRWTASMSATLLASSGTCFISWHSIRQMVSIFNSDLASETRYQITYVIYHNSSRTSIVCIGIERTVYIKLDETYGRSMPLNRNRASRGVGEGTIS >Solyc02g067100.3.1 pep chromosome:SL3.0:2:37897327:37901360:1 gene:Solyc02g067100.3 transcript:Solyc02g067100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESNCKSTRLTPKKCSKNTENLNPNVENSPGFSKSCKSPMMAKSAMKTQKSVSRNPNTSQLASPSPKNKIRQRKFVIAKKKKSNRDEVNASMVCKCNKVGDEKKKCLCVAYETLRASQEEFFKNRSGNEQEEDNEVEKLDRDDESLISNVQSVTGCMGDLPVRNNQEVTVPVSISEGELVELAETSKEGVGSGGMNVVGTVKRGRERLLEEARQSVPDSSSGKVLNLVKAFEKLLTPKKNVCEEKGEKDEKEEESSKEQQGLQPPKIPETQVSSSSFCPPDFFLTSESLGLDSRRASSLDSSHGCFSISSRTSGGGQRSRRDSAESIGTLPRRSWKRRHRKPTSQKPFKLITEERGKNKEQEFVKKVQQMVEEEEKQRIPIAQGLPWTTDEPECLSKPPVKEITRPVDLVLHSDIRAVERFEFDHQVAEKLSYIEQYKMERERLQKMAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSAKNPTMPREPKFHLPQHKKIKSCESLNDMYIHSKNDLGCSNGL >Solyc05g021210.1.1 pep chromosome:SL3.0:5:26668023:26669128:1 gene:Solyc05g021210.1 transcript:Solyc05g021210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRHSQVSLLRAQLTSVIVFLIRRSTFIGDDQGGYWSTPFTSQDAITNLCYIFRASGKQESMRLTAGF >Solyc08g015873.1.1 pep chromosome:SL3.0:8:6219117:6220419:1 gene:Solyc08g015873.1 transcript:Solyc08g015873.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARDVLAIPISSVASECDFSTGGRILDSFRISLTPRLVQALVYLQDWLQSEPQPISIEEDLDFLEQLEEVVVLLSVKAKLDELMFLLACCNEWNVDVVVGNCNCAFPFVET >Solyc12g019740.2.1 pep chromosome:SL3.0:12:11252470:11254721:1 gene:Solyc12g019740.2 transcript:Solyc12g019740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKLMSKGFIFPSSSSDCGEIYDRLRLNLHGICSFPNKSVNLSCLPSLKLSSSCLPRTDFYGRRLVINEGLSNFNRRVADITAQMSVGIKKAQKWWEKGVQPNMKEVNSAQELVDSLLSAGDKLVVVDFFSPGCGGCKALHPKLCQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAEGRVCSFSCTNATIKKFRDALAKYGTDRCTIGSPKGLEEKELLALAANKDLSFNYTPKTEEEPILVTSQKEVRDRTTPNIESPLPLPLPLPITSTSSQTAKRDTEKEAYATSGR >Solyc08g015630.3.1 pep chromosome:SL3.0:8:4885340:4894668:1 gene:Solyc08g015630.3 transcript:Solyc08g015630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVKGIVLDASILLKSGDDENGSPSLRPDADYVLRKLRYSNIFTGISYGPDLSAPKVRLLQESARLYSYNCFVFRPSAIDSFISEVSLEWGDITGSYMHVVSSYKDEEIAQMISSGWLITALRSPGKASDVEYSTGTENPSKIFINKLEELPLTICHLNKKAMGKDVKTVGYLMKPSREEDFAKRGAFPLKPTPNGLIFVPLTYELPISQQLQEIDGVLHKATDDIITVEMSSSSDSENKVTFTAGMQELQRYIGCHLDCRLIDPFTNIYPVLDRLKIQQILGGLENLNSKSCSKIRGPHFLKVVDFREPKLEDKLADAKLSLPNIVKPQVACGVADAHSMAIVFKEDSYKDLNVPLPAIVQEYVDHSSTMFKFYVVGKKMFFAIKKSTPNADTLIKLAEEKQLKPLLFDSLKSLPVDTLKSQNEDNTQIDHELVTDAANWLRRVLDLTIFGFDVVIQESTGDHVIVDVNYLPSFKEVPDEVAIPKFWEAIKEKLTGKQSTEAAILL >Solyc05g050060.1.1 pep chromosome:SL3.0:5:60893971:60895164:-1 gene:Solyc05g050060.1 transcript:Solyc05g050060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHRDIGEIARSCNMNKPNNVVAPKLGLKASHVWNYFDRVLTPGMNSFNGSSESVLLDFPIARQERSYDQLNNQQFYLHSIQPVQFFQQIVVPQSRTTVACVPPTTTQPEWIDLQQQLDIGAKVHPNFASSMKIPLTQSTTRKNQSIRPTYELSEEELINDKWAWRKYGQKYIKGSPFPRNYYKCSTSKHCEAKKQIEKSSKDENIFFVSYSGNHNHDPPISRRYLSSFKNNSKFKLPKSINIFPKESIFNASSSSSKRIKHSTDVASSIIGTKPPLEIGSKNKMLFAVMQNKGDSKETIHMNEDIFMGIEELQIGTTST >Solyc01g013770.1.1.1 pep chromosome:SL3.0:1:10088459:10088680:-1 gene:Solyc01g013770.1 transcript:Solyc01g013770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDILNVQLRLACMRTFFMPRETTFPIVVGDVVRSFIGAIFVDSSFKNILNTFLNIRHLLVCASPSFGEINTT >Solyc09g009747.1.1 pep chromosome:SL3.0:9:3194341:3206310:1 gene:Solyc09g009747.1 transcript:Solyc09g009747.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTFFVDVRQDLVYSYGWPSRLFRPIWKVKRAQKRAYLSFRRFSCAIAQNVLGDPHSDVKNAKYFRGCPSRPCLCIRLAITACPTHLEAHDFLGDPDSDVKNVKFLVDVSQDLVYAYGWPSRLFRPIWKVKRAPKRAYLSRRRFSCAIAHDFLGDPDSDVKNVKSFRGRPSRPCLCIWLAITAFPTHLKGQTSPEATHDFLGDPDYDVKNAYFFCGRPSRPCLFIRLAITAFPTHLEAQNVLGDPDSDVKNAKYFCGCPSRPCLCIRLATTACPTHLEAHDFLGDPDSDVKNAKFFVDVRQDLVYAYGWPSRLVRPISKVKRAPKRSYPSFRRFSCAIAHNFLGDPDSDVKNAKFFRGRPSRPCLCIRLSITACPTHLEAHDFLGDPDSDVKNAKNFRGCPSRPCLCIRLAITACTTHLAAYDFLGDPDYDVKNAKSFLGRPSRPCLCIWLAITAFLTHLKAHDFLGDPDSDVKNANFFCGRPSRPCLFIRLAITDFPTHLEAQNVLGDPDSDVKNAKYFRGCPSRPCLCIRLAMTACPTHLEAHDYLGDPDSDVKNAKLFHGRTSRPCLCIRLAITACPTHLEAHDFLGDRDSDVKNAKFFRGRPSRPCLCIWLAITSSHDFLGDPYFDVKMPNILVNVRQDLNVKRALKRAYPSFRRFSCAIAHDFLGDPDSDVKNEKYFRGSSSRPCLCIRLAITACPTHLEGQTSPEASIPLILMIFGWPSWLVRPIWKVKRAPKRAYPSFRRFLCAIAHNFLGDPESNVKNVKRAPKRAYPSFRQFSCAIAHDFLGDPDSDVKNTKFFRCPNLRRVMSVTHT >Solyc06g074530.1.1.1 pep chromosome:SL3.0:6:46254457:46255758:1 gene:Solyc06g074530.1 transcript:Solyc06g074530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Arogenate dehydratase [Source:UniProtKB/TrEMBL;Acc:K4C9H1] MHTIAPSSGVTLKSLVQQTSPPPAQISRFVPARLVVQCGYRFDSANTNASTVNTNGIPASNNFAGHVGASRADWQSSCAILASKVVSQQQDTEKTGGAGEITVVNGHKSLDLVPLDNNLPKPLTITDLSPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPKCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYLTRVISHPQALAQCELTLTKLGLNVVREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGLQILSEGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMADVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSREE >Solyc04g014810.3.1 pep chromosome:SL3.0:4:5051012:5069823:1 gene:Solyc04g014810.3 transcript:Solyc04g014810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSLENSHSHVSSTTHHSQTRPYYYTPPPSSAKAHRRSIGRSMRTIRSNLFQPECENSGPVYENLTDSVVDFRLTELAKKPPVDKSSFSDAEFLEISQTFSDFSAYSSDISGELERLAYIPNSDPNQSSVSEPEPEPEPCVGFLQREMFSTEIIESIEPEDLQPTVKLCVDSLQSPSVAVKRSAAEKLRFLAKNRADNRALIGESGAIPALLPLLRCSDPWTQEHAVTALLNLSLHEPNKTLITNSGAIKSLIYVLKTGTETSKQNAACGLLSLALIDENKLTIGACGAIAPLVALLINGTNRGKKDALTTLYKLCTVRLNKERAVSAGAVKPLVGLVCEEGTGLAEKAMVVLSSLTGIEMGQESIVEEGGIAALMEVIEDGSDKGREFAVMTLLQLCVDSVRNRGLLVREGGIPPLVALSQTGTAKAKHKAETLLAYLREPRQEASTSTP >Solyc07g018320.2.1 pep chromosome:SL3.0:7:10169720:10171267:1 gene:Solyc07g018320.2 transcript:Solyc07g018320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPTKTYRKRIRTQRQRKEEELENTNLKYSIEEDEGEHEMGISSTNVERDDRAVEEQQNDIAIPKKVTSPIVESFSISLSLDNFVVKSIRYASYNLLSI >Solyc02g083523.1.1 pep chromosome:SL3.0:2:47448635:47448991:1 gene:Solyc02g083523.1 transcript:Solyc02g083523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKYGADITVVVIDEKGKEAYPEHETQLESVDGL >Solyc10g018907.1.1 pep chromosome:SL3.0:10:10851436:10854427:1 gene:Solyc10g018907.1 transcript:Solyc10g018907.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKRSYIPKEKATIAMMKTTKDGVQAKKKDNKTKSIVEKKAQDRRVKKLQGGGTIISEEPAATESQIQEKWSKTKAATENRKLSRVSNVGFKLDFVSPKKHGDLSNCEIDIEDISTTLLCWKNVVGFYILGAPPFSVLNGFILGLREKHDIKSMLKNEILLMRFENENGKNEIIQGGICLFDNKPFIVKASNKDMEFSREELLTVPIWVKLPGPNFPKSKSATAQHFQQPTLTTQINSPSSPTLPTCPTQHLIPDPALSPLPSSPLTPQKQYTHPENARRRRKVRNPEKNVKNRSLTRPLLPRLSPRSLHPRVPLSQTPDATPTDSPKLNRCWLVVYGMGDKNVYVVNVCFEFAFLVREFTVILILTAAFVKVGMDDIVKETIHEYNSSLVPVLAQE >Solyc02g093970.3.1 pep chromosome:SL3.0:2:55286991:55289825:1 gene:Solyc02g093970.3 transcript:Solyc02g093970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFNIFVIVLVICAFFIANTYQLQSYEIQALLQLRKHLEYPVQLDVWENYHGDFCSLTSTLHMSINCQDNSVTELKIKGDKLVKLNEFHGFAIPNQTLSEGFSIDSFVTTLTRLRSLKVLTLVSLGIWGPLPDKIHRLASLELLDMSSNFLFGSVPFQMSRLIKLHTLTLDGNFFNETFPDWFDSLHNLTILSLKNNRLKGQFPSTISKITTLTDIVLSHNVLSGKLPDLTALSKLLLLDLRENHLDSELPPLPKRLTTLLLSSNIFTGEIPVEFGTLNQLQHLDLSNNSLSGMLPAGLFSLSSISYLNLASNVLSGSLPSHLNCGGELGFVDISDNRLVGVLPSCLNTISDKRIVKVGGNCLSLDTQYQHSEGYCKQANSDKKRITGKEIALLTGVIGGIVIVVVFLLVVLLIFRRKRHAHNMVDKHIPPKVVQSTQPVPSGLLVNAKNVLQKSLNSIFKFSGVISQVANTGSQGAPSYRVFSMEELLEATENFDQSALLGEGSVGKIYKGRLENGAYIAVRSLNVYRRYSNRNLKLRLDLLSKFRHPHLVSLLGHCIDGGAPDDSTVPRIFLIYEYISNGNFRAHLSGQLCKTFSMSISSVLMLG >Solyc03g034208.1.1 pep chromosome:SL3.0:3:5954582:5955018:1 gene:Solyc03g034208.1 transcript:Solyc03g034208.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKIYVNLEIKDDETSSVEECKSSIYIKVRDLHNAYKVMEINIPIVKPPSSKPKSDDTDDWMDGYLELESTANNDFDLHEKRLGMKKDNFNLQY >Solyc04g064440.1.1.1 pep chromosome:SL3.0:4:55592697:55593920:-1 gene:Solyc04g064440.1 transcript:Solyc04g064440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFFLTSMDGGRPIPEDVVVDILLRLPVKSLLRFKCVRKNWCALIKSPSFIKEHFQYRNNNCARLLVCNMKMAPELHPIVKSVVFSLLPEEIVPGVTPEQKTLLQLPRVTDFTCVAGPFNGLFLVEKSLYGVDVCLGLWNPATKEFRSLPPAPFEIEGFLSHNNDHQYGLGFDMSTLDYKVVWIRVFWDDLGLSDNNRVYTCVYSSCNNSWRRLTPKFPPSSILSAPLDATYLNGVYYWLSRGLDGIFMILSFDMVCEQFGEMQVPDIPTKHWGTLTLHGGSLVMLTSGQPMTSIYDVWVMKQEGNWSKVHTIQPHIDAHWPINIWDNNKMVFENMETSQLVLYDPKTRRVTDLGFQLDPNIDGCWVFNYKESLVPIKRGSNTQGEDNAVKQIEHYFNTLPADEASS >Solyc12g040710.1.1 pep chromosome:SL3.0:12:55857414:55859431:1 gene:Solyc12g040710.1 transcript:Solyc12g040710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIVLMVCFFILSVLLIIIRMHCSRRKQNNSCNTNVVSTGDRLSQLPDEVIVSTEDRLSQLPDDVIVSILSQLTIREAVQTSSLSTQWQYLWMHATRLNFDVSKFITWETSFNPRLCKKEGLRHINLFNNVVRLHKSDVLEEFNLSFPFHSLLTHLEILGPSCLKLKDLEISYCNNLDIFKIHNVNLVSFKYIGRKPNLHLQNVKSIVSADFYMVDGLVHELIPKLTTHFTQLVTLSLAFSILRTDLIPYDYSFPMFNNLKVLALKIKDMIDVSLLGVTPLIEASPYLQNLDIQLEWYKTTICKDEIERKKYPHEHLKEVKYSDYLGGIADLILTTYLIENSVALETFIIDPLKCDDQKARGLARQQLQEIIPKRVKLVIL >Solyc01g005570.3.1 pep chromosome:SL3.0:1:400722:403017:-1 gene:Solyc01g005570.3 transcript:Solyc01g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLQWGNRKRLRCFKVKDSTSNGKSDGGRSLVVKKKITSRVVDNNKESGHLPLPVSSPHRLNRDLGVNSSNANEHRKISVSSPEKEDRYYTTRGSVGVEDSNKLFMEAREEKKKTVWPKLVITLSSKEKEEDFMAMKGCKLPQRPKKRAKLTQRTILVSLFTPNSKMCDPRIELLSFKYFLICFV >Solyc09g090920.3.1 pep chromosome:SL3.0:9:70801004:70803265:-1 gene:Solyc09g090920.3 transcript:Solyc09g090920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILKPFQLLEINIISAQDLEPVSKKMKTYATVWVHPTRKLTTAVDIEGGNNPTWNDKFVFRVDEEFLRQDTSAVQIEIHCGHWFKDSLVGSVRVLVGNLIPSPSRTHHNHHPHNLGMRFVALQVRRPSGRPQGILNIGVALLDSTMRSMPLYTELNKSASERSERVKFDDDVPKSSGSIVAKPSKKKNKAHNYDKESSILSISLEPPPQMLVKKKGKASSMVSGPKEEKPKDKKGKTGSVLSDSIVSKESSLYNIGPKEDKPKLKLIALELENKEKPTNEKKVDEKSLTKPKVEEKSLTKPKVDEPKEPITVIGKPIQNYNGYEFGGPKGVGHNGKFVFGGPIKGNAHHWTDSEIGPSASEVAAAVAEKKYPLDDQKSSMLDGWSLDESVEGLRSKLERWRTEVPPVYDRGQASSSYRSTGRHARRHARGSSGLFSCFGNIMGFECQCICGKPQKKYNTRFYSPSVGSRSFF >Solyc06g005200.3.1 pep chromosome:SL3.0:6:214093:219481:1 gene:Solyc06g005200.3 transcript:Solyc06g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFDLNIPYYESDRNIVTDKKALKAIRLKLIIKAMELGYTGIAYNRTFRGVMSESDRCCIPLFPLSSVLKLAPSLSSAVKFHRELLNVPVSAPFRQYTRLTVLVDSSSQASALNSGNPILKSYDIVAVRPLNQQAFEQACQVSEVDIIAIDFSDKLPFRLKQSMVKAAIQRGVYFEITYSSLILDAQMRRQTISNAKLLVDWTRGKNLLFSSAAPSVTELRGPYDVANLASLLGLQLERAKAALSKNCRTVITNALRKKSYHKEAIKVEPITSGIKEPEFDDWLNKWDPISSGEGDLLLDDIKKSFSGSRNVRKEVKPIDFSSAVNNLPAHGLQIRDLISSKVAGQVPVDAIEELAGVQVDEMTLPRSGISQEPGGVNFLPVECSILEDDMDKNQQGSGSEKVRVPHFSIALNNAANLDEQEENGRIDNEDIQFTKKLDITDTSGTKMHDFQTETSPVSCEGNAVLPDGVTTHTCRRDIEVRENGMTDNDEMKITGKLDVQDTSSEKIIQDFQLETCSASSDGVLPESAANYTCRTDSEVTLSANSSLADVTLSKDETFTGSNVQQLGISGSFHTDSRDQNGADGVALVEARVNNNPTDKEQFREMRYCSTALAGGSSNSEHSNPMEVDDEYFLIAEKVPSKDVTEGEPEHAGDSAGLSHQILGGSLSGKMKRKTSYRPSLFPFKRLLTRRPSTFRG >Solyc01g108160.3.1 pep chromosome:SL3.0:1:95418573:95436775:1 gene:Solyc01g108160.3 transcript:Solyc01g108160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNFARSAEKLFSRWAIKRFCKFWLKKKLGKFILGDIDLDQLDVQARAGIIQLSDLALNVDYLNQKFGSAAAVYVQEGSIGSLLMKMPWQGDGFRIEVDELELVLAPEATFSPSTFGNCLSTQDGAASVNQESGNRKDVAVDDCGAKTTAFDVHEGVKTIAKMVKWFLTRLNVEVRKLIIVFDPCLGEEKQRGLCRTLVLRVSEVACGTCISEGDSLDTEAADANLLGLTQMTNFIKFSGAVLEFLQIDEVVDKTPNPCASGTATGEWSRNYSPNVTTPIITGERGGLSGNLKLTIPWRNGSLDIREVEVDASIDPLVIKLQPSSIRCLIHLWGILKDTGQKKDTEFPFCNSVMTCDSTKADTSLLSMDEVLPDSKANSAECAFESEPVREALLSESRLISNWVSRSRKVNDEEEPDFGESVHQFFECFDGLRNSQSALGNSGMWNWTCSVFSAITAASNLASGSLLVPSDQQHLETNIRATVAKVSLLFSFIDEEERHCCTVDADKGNAGFYVHYISASFQDLLLVLQVQRQEVNFEATVQHVALTDHFSREDDTVDFKWCTYNNIKKIQDAIQTAIPPLDWSTKNVDLDNQSASAAPYPLRMNFTDGFPHPRKKISLFADDGVQVELLKTFGASLCQATISSSGNSFVGPTSFSLKFPPFVFWVNFNLLTKISEFFKKIEDPIGTSSTLAHEDKCVASSKGNGRTSPCSDTRRSSEQESFRGTVSLPTARIILAFPCGKGEDFRSYYCWQQFISLDVSSPSAPVDKASHATKKCSATSSKSWNSVAKLCSLSLNFGKLDVNLITPLSGENVEITYDSVLKYRLSAQKLMTTSNGRGPSVVTFSWQDCASTGPWIMKRARQLACSENARCLEKFRGKGYDFSSVTTVKDSGDIDNIRQEMIISSEFCIHAHLSPVIISLSKSEFLKLNDIVSQVIDRLSGLDLNLVDTEKVTAASQSSVLVECDSVTISINEEAMEKNNKGSLQNEITGSWHSFTLELQNFGLLSVSDLGGTNGSSFLWVTHGEGNLWGSVTGVPSEKFLLISINDSSSSRGDGEGSNVLSSKLSGLDIIHFQDPQSSAVSITVRCGTVVAVGGRLDWFDTIFSFFASPSPEATQECDSNVQKEGETSVPFESSFILSLIDIALSYEPYLNKLTMHGCADSQSSSPNCEEAIDEQHVACLLAASSLRFSSTTFADSVIKDYKITAQDLGLLLSAVRAPNCAGSVYSVEHLRKTGYVKVAQGSDVEALLRISSGSGALWEIDCSESQIVLNTCHDTASGLTRLAAQMQQLFAPDLEESVVHLQTRWNNVQHAREGKEFCTFDVAVASTSDMQPMTGDVSSKCGNINLMDEICEDAFQLNHEEDDQADHLESPIYLSPNNSFIGETFYYSNEDSPRFLNSSPLTCSVPVGGQETSETPLSPEQPPQFIEEYFLSDLCPLSELALTDQSSKDIIRYAPSPLRSGDDFRGSTGWYGGNCLRILENHVSEVDRKAGSEELTESEASSILSEPDENKNVKGRIVLNNMNIIWRLYAGSDWQNVESNTQQSTGTCGRDTTVCLELTLSGMRFLYDIFPDGGTRVSRQSITVHDFFVKDNSNAAPWKLVLGYYQSKGCLRKSSSKAFKLDLEAVRPDPAIPLEEYRLRIAFLPMRLHLHQNQLDFLISFFGGTKSAVTPSQSSSQNLSKSEIVAKRTKFGGKAVIEEALLPYFQKFDIWPVHLRVDYSPCRVDLAALRGGKYVELVNLVPWKGVDLHLKHVQALGVYGWSGIGEIIVGEWLEDISQNQIHKLLKGLPPIRSLVAVGSSAAKLVSLPVKSYKKDQKLLKGMQRGTIAFLRSISLEAIGLGVHLAAGAHEILLQAEYILTSVPPSVTWPVQSGGNTSVRFNQPRDSRQGIQQAYESMSDGFSKSASALIRTPIKRYQRGAGMGSAFATAVQAAPAAAIAPASATARAVHCALLGVRNSLNPERKKESLEKYLGTNPSQQYMYFSMKSSNKICKPALVLYRCTDRRTIRQILLSEETGNHVNYVISEW >Solyc02g071790.2.1 pep chromosome:SL3.0:2:41711495:41713106:1 gene:Solyc02g071790.2 transcript:Solyc02g071790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKEEIDMVSIDKLYKLVLLLLLATNISVVSSCNLLANGGVPEIEVRAVNALLKLAKGQKKFWNASYPLWDNRTIICGNCNKTFCHVTELHLSGQHLYGRIPQEVGNISRLTILDLSGNHLRGEIPISIINLQFITQM >Solyc03g006940.2.1 pep chromosome:SL3.0:3:1508760:1512429:1 gene:Solyc03g006940.2 transcript:Solyc03g006940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPRRFLTFLYENSFVDQIFVINFGVPTFRMSDSDYDSDSDSDSDSATSGTGAPEEAVPLFGAISLIGRRSSMEDAISVWPNLCSPYINQHRPIDFFAVYDGHGGPQVAGLCRDRMYLMLLEELLMTNTIITGSSNNNNNIFARLFQRQLERESIKETWKRVLKSCFERIDEMAFDTCYECGIGVPCGCPPQHYGLGGSTALLTILTEKTIIVANCGDSRAVLCRGGRSIPLSIDHTPDRAEERARIEACGGHVVYDDCARVLGILAISRAIGDKYLKKYIISEPEFTFTKREAEDECLILASDGLWNVVSNDDACMVARECLQKEKPFGRGEVFSSPSNAAAALLTRLAMGRGSQDNISVIVVDLKID >Solyc08g067430.3.1 pep chromosome:SL3.0:8:56540757:56545506:1 gene:Solyc08g067430.3 transcript:Solyc08g067430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFCSSVSSSPQSLSIFPKSFRSSKPYSPCISASADVPDFLSANWLESRRKKPFGPRLCFSAEEAVQNQLDALKYNDQPHHDYGVEVMYRVISGTLFLFESTYFGRFFDLGQFERFRRIFHHSTYRVLLGHQEREILSSLHVNETLYKQRVWVRGTRPEEEEIFQFTMVQRVGGSWDGYWLTESVLHDGDTFSGGVAY >Solyc02g093935.1.1 pep chromosome:SL3.0:2:55252603:55257938:-1 gene:Solyc02g093935.1 transcript:Solyc02g093935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYSQLLLSKKGPLGTIWIAAHCHKRLKKDQVQQTNIVSSVEKILHDEAPVVTYRILGHLLLGVVRIYSKKVEYLFKDCNNVLVNLADFSTRNRPTSKPSVVRIAGMHAPVHSITRPKKFELDTFDLEVLEDQDANSHFASREEITLSDAQENLVFGSSCKYEEGVSHSELKSTNHTPVRDIYSPHLMDKDLDFNPSVGSSHVEAMWNLNETRFSLEERFEPMTFGDIEIQMIFDRKADHQTGDEQMKESSAGNLVNEEHLYEKRSSLEKHAEPMIITEATLEINTDQQSEKIHQHTRELLKHPDVGVNVDDEQPAVLGESSLVENCGFEQKKNVEASSSRKGKDRTKVDRSVSLCIDVSPETKIAGSTSPDFISIRTPAKKERRRISRKRKCIFDESIVIPNEVFKHWIGDANDLVCKRRKSPHSSYFAWKVHKISSLPQSFEEPLIPCSLSIDIASAISKIRSAQHGPAETVEIPLREDMPDSPYKLRSGEQIPIASATSMHEYLPESPGTLRCGEQIPDAPAASLHVGVPESPNTLRYDGEQTPIAPTTPVTGSSSLRFHDTQGTSKSHIEPASSAEITEKEALSMEDVEFEMNLMDEEINSFEGDTSGKCKEVLF >Solyc06g006040.2.1 pep chromosome:SL3.0:6:1045565:1048966:-1 gene:Solyc06g006040.2 transcript:Solyc06g006040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSFILLFFILLHNNILHATVPNISSDEAALLALKSHISNNIIATNWSSSVSVCSWIGITCSSRHHRVTALDISSMQLYGTIPPHLGNLSFISSLDISNNTFHGELPLELVRLQRLKFFNTKNNNFTGAIPSFLSLLPNLRFLYLSNNQFSGKIPSSLSNLTKLQVLSIQSNYIEGEIPQELGDLRSLIILNLQYNQLSGSIPSSIFDITTMQVIALSGNNLTGKIPITICDHLPDLEGLYLGRNSLDGVIPPNLEKCRKLQILELTENEIAGTVPRELANLTTLTGLYLMDLHLEGEIPMALANLKKLQTLVLSLNELTGSIPDSIFNMSTLQKIDFGQNKLTGTLPSDLGRGMPDLQVFYCGGNNLSGFISDSISNSSRLTMLDLSSNSFTGLISKSLGNLEYLEVLNLWGNNFVSDSTLSFLESLTNCRNLRVLTLGGNPLDGVLPASVGNFSNSLQIFEASKCKLKGVISKQITNLTGLTRMSLSNNQLIGHIPKTVQGMLNLQELYLGSNKLEGAIPDVICSLQYLGALELSENQFSSSVPPCLGNVTSLRTLYLDNNKLDSRLPARLGGLQNIIEFNISSNYLSGEIPLESGNLKGATLIDLSNNYFSGKIPSTLGGLDKLIYLSLAHNRLEGPIPESFDKLLALEYLDLSYNNLSGEIPKSLEALVYLKYLNFSFNELSGEIPTDGPFANVTSQSFLSNDALCGDSRFNVKPCPTKSTKKSRRKRVLTGLYILLGIGSLFMLTVGFVVLRLRNTKKNASQKDLSLVRGHERISYYELEQATEGFNETNLLGNGSFSRVYKGVLKDGIIFAAKVFNVQLEGAFKSFDTECEILRNLRHRNLAKVITSCSNLDFKALVLEYMPNGTLDKWLYSHNLFLNLLQRLDVMIDVASAMNYLHNGYSTPVVHCDLKPSNVLLDEEMVAHVSDFGIAKMLGAGEAFVQTRTVATIGYIAPEYGQDGIVSTSCDVYSFGILMMETFTRTRPSDDIFTGDLSIQSWISDSFPGELHKVVDSNLVQPGDEQIAAKMQCLSSVMELALKCTLVRPDARISMKDALSTLKKMRLQLVSSRH >Solyc01g086745.1.1 pep chromosome:SL3.0:1:81572945:81576045:-1 gene:Solyc01g086745.1 transcript:Solyc01g086745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFRLQPPHASVPTLESPFFFCSFLRADYQLRCEQLHFTILMLERLNEVPPLNVMISELSSPMFQILEHPNIDTEHLVFPVPQPHLHNNEVCLAIAQLFLGHRGRFNKLYLFMSNGVKGGSHLVK >Solyc01g008550.3.1 pep chromosome:SL3.0:1:2565500:2584641:-1 gene:Solyc01g008550.3 transcript:Solyc01g008550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRTVCVTGASGYIASWLVKFLLQRGYTVKATVRDLNDQKKVDHLTSLDGAKERLHLFKADLLEEGSFDAVVEGCEGVFHTASPFYLGVKDPQVEMIDPALKGTLNVLGSVAKTPSVRRVVLTSSVAAVAFNGKPRTPEVVVDETWWSDPDFCRESQLWYVLSKTLAEDAAWKFVKEKAFDMVTINPAMVIGGLLQPTLNTSAAAILQLLNGMLYLKAADLSLISSSELSSETYPNSTFGWVNVKDVALAHILAFENPSANGRYLMVESVAHYSEIVKILRELYPTLKLPEKSFWFHSFMANDQEKIDHLTCLDGAKERLHLFKASLLEQGSFDAVVDGCEGVFHTASPCYFEAKDPQAELIDPAVKGTLNVLGSVAKTTTVRRVVLTSSIAAVSFNGKPRTREAVIDETWWSDPDYCRKKQLWYILSKTLAEDAAWKFAKEKGFDMVTINPATVIGSLLQPTLNTTCDAILQLLKGSETYPNTTSGWVNVKDVALAHILAFENPSANGRYIMVESVAHYSELVQILRELYPTMKLPERCVVDKPFPPKYQVNIEKTRTLGVEFTPLALSIKETAEKMSVTAKTVCVTGASGYIASWLVKFLLHSGYNVKASVRDPNDPKKTQHLLSLGGAKERLHLFKANLLEEGSFDAVVDGCEGVFHTASPFYYSVTDPQAELLDPAVKGTLNLLGSCAKAPSVKRVVLTSSIAAVAYSGQPRTPEVVVDESWWTSPDYCKEKQLWYVLSKTLAEDAAWKFVKEKGIDMVVVNPAMVIGPLLQPTLNTSSAAVLSLVNGAETYPNSSFGWVNVKDVANAHILAFENPSANGRYLMVERVAHYSDILKILRDLYPTMQLPEKCADDNPLMQNYQVSKEKAKSLGIEFTTLEESIKETVEKSRSRM >Solyc10g054100.2.1 pep chromosome:SL3.0:10:54542572:54557656:-1 gene:Solyc10g054100.2 transcript:Solyc10g054100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMCLQAINLNTFTYQSRTRIPIRNRTLVNVNSHLRIYPICNIRKGIITACSAPSQEILQQKLSDEDETNAESSDSVENEQKVVVEFSANESIWNQTVEIIKFSGPAVGLWLCGPLMSLIDTAVVGQGSSIELAALGPGTVFCDNTSYIFMFLSIATSNLVATSLARQDKAQVQHQISILIFLGLVFGVLMFFCTRLFGVRALTSFTGGKNIEIIKSANTYIQIRGLAWPALLVGWVAQSASLGMKDSWGPLKALAVATVINGVGDIVLCRVFSYGIAGAAWATMVSQVVAAYMMIEALNNKGYKGFAISVPSKDELLQIFMIAGPVFLTMMSKVAFYSLLVYFATSMGTQTIAAHQVMVQLFMICAVWGEPLSQTAQSFMPELLYGVNRNLSKARVLLKSLVIVGASSGTVLASVAASIPWLFPKVFSSDPQVIREMQKILLPYFIALFVTPSILSLEGTLLAGRELKFISLSMSSIFVLSSVLLMLLSSKGLGLSGCWFALVIFQWSRFFMALRRLTLSNGILYSEEATQNELQKLKAV >Solyc06g074020.2.1 pep chromosome:SL3.0:6:45903595:45904663:-1 gene:Solyc06g074020.2 transcript:Solyc06g074020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYFTLWKRFSFLKKNKKRKMTRKYDQCLVDNMFGPFPESCCPDEALEMAKQALATRRLSFEENESCSVLSMVGFPFKDCLLLAVETENPKMDFLHSMEQMTKVYGAQRGDMVDWEFMEELLTWFLKINNMKNQHFIVAAFIDLCLGGHVQDVEPVENVEPLTDDIVNVILEELWWGL >Solyc04g050225.1.1 pep chromosome:SL3.0:4:46217942:46219232:-1 gene:Solyc04g050225.1 transcript:Solyc04g050225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALIILRMVFYAGYDAPNSEIKGFKRSTNTLLEYIMVFLRKFQQRRESNREYAYLLRRRRISDT >Solyc03g097970.3.1 pep chromosome:SL3.0:3:61773404:61778179:1 gene:Solyc03g097970.3 transcript:Solyc03g097970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QTCQLRKGIPLYVKSKPPKLYNKKNFIFRSNKDSEKMALQWMILTYVVAAEAAIAILLTLPSPKAIKSRFVSLISLTLQPSLFIIPFAGFQLLDIYWKNEHRLMCTGEICTAAERDRYEKSIYKAQRNAILCVAACLLYWCIYRVCKYYKEIQSVEEVEKRLKDE >Solyc12g096940.2.1 pep chromosome:SL3.0:12:66633122:66636744:-1 gene:Solyc12g096940.2 transcript:Solyc12g096940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSLPLVQNLHFVKEEMSSPLSDQILNFCESEFFPNVQNSEVASSSNCCSYEEQSSYSNNLDMNKFSNTIEKNEEKNVTTVTSNVISPSRINNDDNNNNNMSNNDLSIMFDTQEDHQIENDISASIEFTQDGNFSIPHHLLQTQHQDLFDMNSLNDQHMKMTDHVSDGSLHQPYPHDHHHQQHHHQPPIVPLMGPPLGHYYEDDSLSSIPSYMRVPTSSSSPLLDPSLANYLPLNTNTNMPNAECSAILAAAAAAAPGGSLFFGTEFPPVQEMDFQGDSSRLFCPDSLPRVYNCTSDELQALSNESQHLVSANPLASEITNLEDTTYKAVKCTPEERREKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDEFGEASAKTMSCGTNEDITHDQQDVKLNFTYHQDPNMMNTSSGHDNNGRIFTSNCHSSTSPYDICPPLYCTDGQLH >Solyc05g013615.1.1 pep chromosome:SL3.0:5:6922339:6925700:1 gene:Solyc05g013615.1 transcript:Solyc05g013615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSSSNSGNDGYYNNNTTSSGTGRGVSNFQNNVYRNKRYGDARTSYTAGTSQLYCEFCHYKGHTKETCYKLHGYPKKKGGVSSYANNASTGNESGMIDSTSGSNARTNESSNDTTLGQGVSMFTQEQYYEILQMLRKRKSKEVDTMANVATAGVSGTSRNFTALMSDMSHINWIIDTSASNHMVHNFGLMSQSTNLDVQGGMRVNLPTGDQVKNFYSSWYMLMISWSKEGILMNQRKYALGLVSELGLAGCKPSSTPLEFNHKLTSTVFDEFIGKNANAEDLLLDDFGKYQRLIGKLLYLTMTRPDIAFIVQVLSQYMHSPKSSHMEAALRVVRYIKGTAGLGLFMSSNKDNEMVAYCDSNWGACVETRRSVTGYMIKLGGALVSWKSKK >Solyc06g053240.3.1 pep chromosome:SL3.0:6:36057167:36058095:-1 gene:Solyc06g053240.3 transcript:Solyc06g053240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSKKFKGVRQRQWGSWVSEIRHPLLKKRIWLGTFETAEEAARAYDEAAILMSGQVAKTNFPIVKESTDNNNDTTKFPLTSSSTLSSSMLNAKLRKCCKDPAPSMTCLRLDNDNCHIGVWQKTSGKHSSSNWITKIELGKKEEKPHDHQDMNAELGITKPLDEENRIAMQMVEELLNWNSPFSDEPITDHLSPSFSNSI >Solyc01g087870.3.1 pep chromosome:SL3.0:1:82601875:82616286:-1 gene:Solyc01g087870.3 transcript:Solyc01g087870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCGIFAYLNYNVTRERGYILEVLFNGLRRLEYRGYDSAGISIDSSNQTSDPPLVFRQEGNIESLVKSVYHDVAATDLNLEESFSVHAGISHTRWATHGEPAPKNSHPQSSGAGNDFLVVHNGIITNYEVLKETLLRHGFTFESETDTEVIPKLAKFIFDKANEEGDQSVTFSQVVLEVIRHLEGAYALTFKSRHYPNELIACKRGSPLLLGVKDLEQNASSGSSFSDAKFPSSNGQPKELFLSSDANALVEHTKKVLVIEDGEVVHIKDGGVTIYKFDKGKNGGTLSRPASVQRALSILEMEVEQINKGKYEHYMQKEIHEQPESLTTTMRGRLIRAGSCKPKTVLLGGLKDHLKTIRRSRRIVFVGCGTSYNAALAARSIVEELSGIPVTMEIASDLVDRQGPIYREDTAVFVSQSGETADTLLALEYALENGALCVGITNTVGSAIARLTHCGVHINAGCEIGVASTKAYTSQIVVMAMLALAIGADTLSNQARREAIIDGLFYLPRKVKAVLKLDEEMKDLAKLLIAEQSLLVFGRGYNYASALEGALKVKEVALMHSEGILAGEMKHGPLALVDENLPIVVIATRDSCFSKQQSVIQQLHARKGRLIVMCTEGDKASVSVGGSSRVIEVPQVVDCLQPVINVVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >Solyc05g009600.3.1 pep chromosome:SL3.0:5:3800171:3807722:1 gene:Solyc05g009600.3 transcript:Solyc05g009600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMVDEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPYVGGVEHAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRESDLVDWFVPLVKRLAAGEWFTARVSACGLFHIAYSSAPEMLKAELRSIYSQLCQDDMPMVRRSAATNLGKFAATVESAYLKSDIMSIFDDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEHLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGIGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMQHIIPQVLDMTTSPHYLYRMTILRSISLLAPVMGSEITCSKLLPVVVTATKDRVPNIKFNVAKVLQSLVPIVDNSVVEKTIRPSLVELAEDPDVDVRFYANQALQSIDNVMMSG >Solyc01g060370.1.1.1 pep chromosome:SL3.0:1:70734098:70734391:-1 gene:Solyc01g060370.1 transcript:Solyc01g060370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKELPFAGGPGVLTYSGKKWNLFFGGAKTKYKFSTGWKIFGDDNNLKEGDGIAFELSECNPDNVEFKIQILRENFPAELVPEDVEGINTDNPIIIN >Solyc03g058680.1.1.1 pep chromosome:SL3.0:3:27740951:27741139:1 gene:Solyc03g058680.1 transcript:Solyc03g058680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAALISLITGNGLLRLIQILYCNFMIMIRMRCNIIQILFLYFLLVYTTTFPMALTCSWTIL >Solyc05g026500.1.1 pep chromosome:SL3.0:5:41621272:41621483:1 gene:Solyc05g026500.1 transcript:Solyc05g026500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPRLDLLSFSHLGIFGAFRSGIDSLHT >Solyc11g032133.1.1 pep chromosome:SL3.0:11:25152742:25167463:-1 gene:Solyc11g032133.1 transcript:Solyc11g032133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNPLLTPYKMGNFQLSHRVVLAPLTRTRSYGNVPQPHAILYYSQRTTIGSFLISEATGVSDTAYGYKDTPGIWRKDQIEAWKPIVSAVHDKGGIFFCQLWHTGRISTKDFQPNGQVPISSTDKPVKTHTPPRRLSTNEIPQIVNDFRIAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDQYGGSLENRCRFALEIVEAVVNEIGADRVGIRLSPYANYMDSGDSNPSALGLYMAESLNKYSIAYCHMVEPRMKTLGEKVECPESLVPMRKAFRGTFIVAGGYDREDGNKVVDEGRADLVAYGRLFIANPDLPKRFELDAPLNKYNRGTFYSSDPVVGYTDYPFLENVV >Solyc07g041160.3.1 pep chromosome:SL3.0:7:52070047:52073864:-1 gene:Solyc07g041160.3 transcript:Solyc07g041160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNEESMEDINGHSFGKVKQRFKDRSQKTKEKTKQILTKQAAQIAKRAEEHERFINKVTHLMGVLGFGAFCFILGARPKDIRYVYCLFYVIFVPLRWIYYRYKKWHYYLLDFCYYANTIFIVTLLFFPTKEKLFMVCFSFAEGPLAWALIVWRCSLVFSSVDKIVSVFIHLLPGLVFFTIRWWDPEFFGAMHPEGTPERASWPYVESQSYLWTWLFWVPLAAYFLWQVLYYLIVDVLRRQRFLRDPEVMTSYRELSKKAKKANNVWWRVSGLLGDQNRLLMYILLQAIFTVATTALTVPIFLSYKLHVVFQIFKVSASIWNGGNFMLDVMPRQVILKEEKKKSKMSTVPDQNDEISLHETNDTSETVHSE >Solyc05g008350.3.1 pep chromosome:SL3.0:5:2707665:2721134:1 gene:Solyc05g008350.3 transcript:Solyc05g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPIGGKGGGIGQLLAAVAAALLLRLFSSPGPAIVPENEDAFDDDGERGSENEEATITGKVLPVTIRWSNITCSLSDKSTNTVRFLLKNVTGEAKPGRLLAIMGPSGSGKTTLLNVLAGQTKASPKLNLSGLLDINGVPFSNKIYKFAYVRQEDLFFSQLTVRETLSLAAELQLQDVSSIEERDEYVNNLLFKTGLVSCADSQIGDAKVRGISGGEKKRLSLACELIASPSVVFADEPTTGLDAFQAERVMETLRQLAQDGHTVICSIHQPRGSVYAKFDDIVLLAGGSLIYAGPARDEVLAYFSKFGYICPDHVNPAEFLADLISIDYSSRESVYSSQKRINGLVESFSEKIPEVLYATSLVRDSSKTHVNFQKKSISRKGGWWRQFRLLLKRAWMQASRDGPTNKVRARMSIASALIFGSVFWRMGRSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEIPVGAAFPLLFGGILYPMARLHPTISRFGKFCAIVTVESFAASAMGLTVGAMVPTTEAALALGPSLMTVFIVFGGYYVNSDNTPIIFQWIPRVSLIRWAFQGLSINEFSGLQFEHQKTSDIQSGEQVLERLSFGSSRIGDTIIAQSRILMFWYYTTYLLLEKNKPKYQRLEPPLRLKDIEEEPEEEAKLQPVKDDDLPEPTQQVESPPSDEGAYQKEMLVQ >Solyc09g074520.3.1 pep chromosome:SL3.0:9:66755672:66759654:1 gene:Solyc09g074520.3 transcript:Solyc09g074520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:TIR1-like protein [Source:UniProtKB/TrEMBL;Acc:C8C507] MAYSFPEEVLEHVFSFLTTDKDRNAVSVVCKSWYEIERWCRRRIFVGNCYAVSPRIMIRRFPEVRSVELKGKPHFADFNLVPEGWGAYVYPWILAMSRSYPWLEEIKLKRMVITDESLELISKSFKNFKVLVLSSCDGFTTDGLAAIAANCRNLRKLDLGESEVEDLSGHWLSHFPDNCTSLVSLNIACLASEVSLLALERLVTRSPNLTTLKINRAVPLERLPNLLRRTSQLVKFGTGVFSADVRSDFFSNLTEAFSSCKQLKCLSGFWDVVPAYLPALYPVCSRLTSLNLSYATCQNPDLGKLISQCHNLRRLWVLDYIEDTGLEELAANCKDLQELRVFPSDPFAAEPNTTLTEQGLVAVSDGCPKLQSVLYFCRQMTNAALVTIARNRPNMIRFRLCIIEPRTPDYLTLGSFDAGFGAIVENCKELRRLSLSGLLTDRVFEYIGAHAKKLEMLSIAFAGDSDLGLHHVLSGCDSLRKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSFEACKMLAQKMPRLNVEVIDERGPPDTRPESCPVEKLYIYRTVAGRRFDTPGYVWTMDEDAAVSLT >Solyc11g066630.2.1 pep chromosome:SL3.0:11:52691373:52699856:-1 gene:Solyc11g066630.2 transcript:Solyc11g066630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMRMKWKVSLSNLVQLRGLDLQGIKRRENQSILAS >Solyc11g065110.2.1 pep chromosome:SL3.0:11:50723176:50734597:1 gene:Solyc11g065110.2 transcript:Solyc11g065110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEDLSEVHSKDDKGQTEKNPKRIIKTRAQIEALEKLYEEHKYPTDELKADLAESSGLTEKQISGWFCHRRLKDKRLSNGEIYINGRQDRSSGVIQDHGSGFRQDSCGSTKQADDKKFDHREVESRRLTGAEFSTADVAYEGGTHFRGKSNCMDDDSSRSSSSLRNECFSQNAEPYDKATSRYISQTLATDFKGVRPKNGPSGYLKVKGQVENAAITAVKRQLGRHYRLDGPPLGIEFDPLPPGAFESSAPNLVNDSYYSPEPVPACSPDMSNVYRRSNGFGPNFKSNSHDSDLDGLSFKRKSNSTHPEYRFNPKPKLKSSEYNEYPEWNSSLDMFEGSARKMTVDSRDSCKLMAKHGRGEIRTSTGSGNDLRSPYVGKVDREQVKPRFSSRSEVSLTALEKEHLDRKPSDFNGSGYPNFRERELHKIVEKDHISGKRPVVDENSNLVRVKVQVPRHNEITIVQRAPVEVPDHQAYLRRASMIGMPPQTNHQISTAAEKPPSFSEDEETEDTSSFEG >Solyc06g030660.2.1.1 pep chromosome:SL3.0:6:30872867:30873416:-1 gene:Solyc06g030660.2 transcript:Solyc06g030660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQGDKHTLEKRHNAIQTPKLMCNLRIWELMDKNLKDQK >Solyc05g009840.3.1 pep chromosome:SL3.0:5:4058872:4064041:-1 gene:Solyc05g009840.3 transcript:Solyc05g009840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARPSWLVDSRRIATKIKSASGDPATVNWKSNPTKACPNCQFIIDNNDVSHDWPGLPRGVKFDPTDQEIIWHLIGKVEGGDRNSHPFIDEFIPTVNEDDGICYTHPQNLPGVKQDGCVAHFFHRAIKAYNTGTRKRRKIHGDNSGDVRWHKTGRTKPVILDGIQRGCKKIMVLYISPSKGGKAEKTNWVMHQYHLGTGEDEREGEYVVSKVFYQQQQVKQGEKIEQEFPEDSECMVAKVDPHTPKSVTPEPPRSDRLSSSMDARQQIAAASPVNQYHEVEDYVEDDMGALPEQPEDQDQNIENQIDETGTKIETETGDDPKWWDSESQYLLDSQQLVEGLSLCDDLLASQSPNRDGNENDQVQKCRPSLADYARLGPEDLKKDLEECQQFVADPANIEIGTPPDFRLSQLEFGSQDSYIAWGGNKYGFDSAEQNG >Solyc12g036657.1.1 pep chromosome:SL3.0:12:47366674:47367219:-1 gene:Solyc12g036657.1 transcript:Solyc12g036657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYFGPLAYSILCIFSPDLSSKMCEMIRILGGQCGNQIGAKFLEGFCAKHDIDSTALYSGDSNLHLNELMCITTRLPVEDLFLGMFLWI >Solyc10g086360.2.1 pep chromosome:SL3.0:10:65334994:65341432:1 gene:Solyc10g086360.2 transcript:Solyc10g086360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDCPASYNLASENDAEDSNDKCNVETVKEDVTKDSHGSDSGSASVKCLIKCATFPCSGISVPPAEFGGKEPEGNMNAEVMTHGAEAKSPDLPYSRSISLPTPLKLVSAMKGGREKQGSLSRKLTVTWAPDVYDPIPTSVSHVPNPNKGQNHKNGKKKNGKNKQKNNGKSSRGSKGRDKKQARKHGESSQISNHPLDDSNIEPSSSEVQSSVVDLDIDSPDPFCGRSFLQNSITKLHFPVAKAS >Solyc09g042693.1.1 pep chromosome:SL3.0:9:25150585:25152318:1 gene:Solyc09g042693.1 transcript:Solyc09g042693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSIGEIKNLKTRLSAAFKMKDLGPAKQILGMKISWDRSAGTLNLPQELYIEKVLSRFRVNDAKPTVGSLMYAMVCTRPDIAHVVGVVSRYMANPGKEHWEAVKWLLRYLRGTSSTSLCFGKGNVTLQGFVDADLGGDVDSSKSTSGYIYTIGGTVVSWMSRLQKCVSLSSTEAE >Solyc03g093330.3.1 pep chromosome:SL3.0:3:55996283:56001413:-1 gene:Solyc03g093330.3 transcript:Solyc03g093330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHFSPFFLTTLLFFLTKISLVFSITNLPLQLTSLLTLKSSLHDHQNTFNDWDPTLAFARPGSHIWCSWSGIKCDKKTNQITSLDLSNRNLSGTIPEDIRNLVHLHHLNLSGNALEGTLQIVIFQLPFLKTLDISHNLFNSTFPSGVSRLKSLTYLNAYSNNFIGPLPEEVAQIPNLEYLNFGGNYFKGLIPKSYGGLAKLKFLHLAGNLLNGPVLPELGFLKQLEHVEIGYQNFTGVIPAEFSSLSNLTYLDISLANLSGNLPVGLGNLTNLETLFLFKNHFYGTIPLSFVRLTSLKSLDLSDNHLSGTIPEGFSGLKELTVLNLMNNNFTGEIPQGIGELPNLELLALWNNSLTGILPQKLGSNAKLQKLDVSSNHLSGPIPPNLCLSNNLVKLILFSNQFVGEVPSSLANCTALFRFRIQNNRLNGSIPLGFGVLPNLAYLDLSKNNFSGPIPEDLGNAVTLEYLNISENSFNSELPEGIWSSPSLQIFSASYSGLVGKIPNFKGCKAFYRIELEGNNLTGSIPWDIEHCEKLICMNFRKNSLTGIIPWEISAIPSLTEVDLSHNFLTGTIPSNFANSTTIENFNVSYNQLTGPVPSSGSIFSSLHFSSFIGNEGLCGAVLQKPCGTDGLAAGAAEIKPQTKKTAGAIVWIMAAAFGIGLFVLIAGSRCFHAKYSQRFSVEREVGPWKLTAFQRLNFTADDVLERLAMTDKILGMGSTGTVYKAEMPGGETIAVKKLWGKHKETIRKRRGVLAEVDVLGNVRHRNIVRLLGCCSNNECTMLLYEYMPNGSLDDLLHGKNKDANLVADWLTRYKIALGVAHGICYLHHDCDPVIVHRDLKPSNILLDGDLEARVADFGVAKLIQCDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLLEILSGKRSVEPGFGDGNSIVDWVKTKIKTKNGVNDVLDKNAGASCHSVREEMMLLLRVALLCTSRNPADRPSMRDVISMLQEAKPKRKLPGTVGVGDNAIAAKPLAQKANVEC >Solyc04g076840.1.1.1 pep chromosome:SL3.0:4:61823613:61823786:-1 gene:Solyc04g076840.1 transcript:Solyc04g076840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSSSLDKIKSIPYLFLKFFCILLSSCFVLFLLNKDSKKLGTLWGLYDYFTIYNLS >Solyc03g042560.2.1 pep chromosome:SL3.0:3:6973270:6981897:1 gene:Solyc03g042560.2 transcript:Solyc03g042560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSVGRDEHDNDEGGPCNSSTSVCKRRRTTAGTFSRGVGNFRSSGDRRVPVRESDVLRENTSISGESMYQNFEDSIINRSIFMTLLDLDVLDCPICFEHLCVPVFQCGNGHIACAPCCIKIANKCPSCCLPIGYNRCRAMENVLESLKVSCVNNRYGCKEILNFSKKTDHENACIYVPCFCPSHGCDFIGTSAKVYAHFSEKHASSAEHISFNAVHPIYIEKDQRYIILQMRTEGILFIVNHASDRVGSSINIICVGQARQKRRFSYKLVVTDGESSFKLESVAESVPNWSEDSPMKKFLVVPKDVVNSSARLKPNSKAVRPNGEKLNAEEAFCVAGISSGFFELQPKEGLALVNGTAVGSAMASIVLFESNILAVMSEVLSAIFAEVMNGKPEFTDYLTHKLKHHPGQIEAAAIMEHILDGSSYVKVAQKLHEMDPLKKPKKDRYALRTSPQWLGPQIEVIRAATKMIEREINSVNDNPLIGVSRNKALHGGNFQGTPIGVSMDNTRLALASIGKLMFAQFLELVNDYYNNGLPSNLTVGSNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVDILKIMSSTYLVALCQAIDLRHLEENLKSVVKNTVSQVAKRTLTMGANGELHPARFSEKELLRVVDREYLFAYVDDPCSSNYPLMQKLRQVLVDQAMKNGESEKNVNSSIFQKIGAFEDELIAVLPKEVESVRAVVESGNPLIRNRITECRSYPLYRLVREELGTELLTGEKVRSPGEEIDKVFTAMCNGQIIDPLLECLKRWNGAPLPIC >Solyc10g011880.1.1.1 pep chromosome:SL3.0:10:4135766:4136383:-1 gene:Solyc10g011880.1 transcript:Solyc10g011880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTIPSAAYGGPPPPLTTTNTLIESLNKIGLGYAIAIALAFLFLLSTLILSSYLCCRSAAYRRRQAQSQSRNPNTGIYIPSVIFVAEEDENDDVSSQNTFAGLNQAVINSYPKLIYSSRNGNWGNGNGSRNDVVCAICLCDYKEAEMLRMLPECKHYFHVMCVDAWLKLNASCPVCRNSPLPTPMSTPLSEVVPLSQYSDGRRRH >Solyc10g083450.2.1 pep chromosome:SL3.0:10:63368590:63373425:-1 gene:Solyc10g083450.2 transcript:Solyc10g083450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFINSNFENPNPNDFVFPVGYRFCPRDDELITHYLLKRIRNEVLPHDKIKEVNLYKYSPYDLAEIYPELGEKEWYFFTPRNRKYPNGHRPNRAAGRGYWKATGADKPIIHNNVTVGFRKALVFYEGKPPKGLKTNWIMHEYRVNESRRIKMHAADMKLDDWVLCMIYKRCTKSKDSKKQKEQEDELEDASKINSAENYEWTMDSCLIEFAKRQFEDPYQYLNMSENVSIDCYNNTFPIIDFNNLYQVPLDNLYQNPYYNTGTTESQNVLDDDSPDDKSTHQ >Solyc02g062195.1.1 pep chromosome:SL3.0:2:34331534:34337776:1 gene:Solyc02g062195.1 transcript:Solyc02g062195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNEAVIDTHISATVIDSNRSKDQLGIDYHHPLYLHASDAPSSMSIGIPLVGMENYSIWREAIGGMDPTALFTARGSNNKPKKYGVEYHELFLHLDSPNHIPPPTQSVSSLPELPNTSIFPFTESSSVSVDTQSSTDLQSTFTLHSSEVVSFPTSRKSTRSIKPPIWLKDFVVPTKTSTSTSLLSFGFTQSPLDYSLFIKQSAGKIVIILVYVDDLLITGDDLDMIQDTKLSLHSHFKIKDLGELRYFLGIEFARSTEGIVMHQRKYTLDLISDLGLSGAKPANSPLELHEKLTSTELDCLIDTKDDHLLTDISSYQRLIGRLLYLTHTRPDILFAIQTLSQFMHSPKLSHMTAATRVVRYLKKSPGLGIFLSSDCDSTLTAFCDADWASCPNTRRSVTGYLIKFGSSSVSWKSTKQSTISRSSAEAEYRSLASTVAEIVWLVGLLTALNVKIPLPSECPLGIFDAFTNMMWWIISNG >Solyc02g062500.3.1 pep chromosome:SL3.0:2:34717968:34722274:1 gene:Solyc02g062500.3 transcript:Solyc02g062500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIKSVKVPTIDFSNYQELKPNTPLWESTKIQVFEAFQEYGCFEAIYDKVPNEIREETFDMSKEIFEFPLDTKVKNISEKPMHGYMGMIPQLPLYESLCIPDLLNPQSLQNFANIFWPQGNQHFCNLVKSYSNPLVELDEILKRMISENLRLKIHIDELLNANYFLFRFTHYKGSSITGGDENNKVAGLGGHTDGNFLTFISQNQVNGLQINKNGEWIDVNISPNSYVVLAGDSFKAWTNGRLHSPLHRVTMSGENDRLSIQLFSLSKPGHFIEAPKELVDEEHPLLFKPFEIIGLFEYGTTEAGYTAPPSDLLKSYCGV >Solyc01g006997.1.1 pep chromosome:SL3.0:1:1563937:1565213:1 gene:Solyc01g006997.1 transcript:Solyc01g006997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERGIWKSNVELVPTCPRCGSTNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPIGGGCRKSRRGKSSSSTIHQHGLISRNLGHGVSLNHTNIDHHNQSNSTSSLDHHHGPTIDLALVYSNFLNSSGNSKPSQSENHQNLELSDDLLLPNQEGVLVAPSFEFSSMIDHMEFMTSNLGQESRFIGDGVYFSGIHDEKQDIMNHSDVHDDHYTNMNNVDVNNIINHDQLGNNFMELPPLPCEDIIWSNNSHGHHDMVFPNDILSASHNLSSERSDIFGNIFR >Solyc01g103470.2.1.1 pep chromosome:SL3.0:1:91949067:91950106:-1 gene:Solyc01g103470.2 transcript:Solyc01g103470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGYTKINGTNKSRSNNFSDLHHKKTNENLSPNHTVFHDVIDLESMPKSQQSKKQEPHDENENEKENQDAIANEENMKGSNNNPNAVKLSRACSVSSAASANRFRLERQGSKNTTTSPFESVKRAFSMGRSSSVSEKYCRIHDQGFTVSSPILDDVAEAHEDSREKKKKKNGSGRILKACKRLFGI >Solyc01g087970.3.1 pep chromosome:SL3.0:1:82669123:82692157:-1 gene:Solyc01g087970.3 transcript:Solyc01g087970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTTTSSLLLVTLFLSTIISQIFNGGATKTQSDTLGQFYKLKKNSNIDKSHFDASIFDDLHTASSKKNVISNQEDLKTNDRIHKLPGQPLVKFEQYGGYITIDEFAGRAFYYYFVEAQHSKKSLPLLLWLNGGPGCSSLAYGAFQELGPFRVNSDGKTLHINNFAWNHAANVLFVESPAGVGFSYSNTSSDVKIGGDRKTANDNYLFIINWLERFPEYKDRDFYIAGESYAGHYVPQLAHTILYHNKKANKNIINLKGILIGNAVINDETDTRGMYEYFASHALISDEVEAEIQKQCKFTIPESEETDECKMAGSIADYDAHSHIDIYAIYAPLCHNTNLTSKPKRPSLVIDPCSDYYTTAYMNRPDVQKALHANVTNIKYSTWQPCSDVLTNWTDSASTIIPLLKEFMANDIRVWIFSGDTDGRVPVTSTKKSIKKMNLPIKTPWHPWFLNGELGGYSQVYKGDMTFATVRGAGHQVPGYEPARALSLIMHFLDGTDLPDSHFSSSIDCLESDKFVLSQKGLKNKDQIKKLPGQPFVKFKQYGGYFYNKCLELCLIGPGCSSLAYGAMEEIGPFRIHKDGKTFSEVKSNGDRNTAIDNIIFLLNWIQRFPKYKNRDFYIAGESYAGHFVPQLAEIILQHNKLAKKNLINLKGIMIGNAVINFETDEKGMYEYHASHGLIPDEIFEQIDRYCNFSDKALPQSHQCDSAIDIAIANTDPIDLYNIYAPLCPKSDDLSTSYYTSKKNSQEIDPCSDDYLVAYMNHRDVQKALHANVTKIKYNWLPCSEVIESWKDSPLSIIPVLKNVMANGIRVWIFSGDTDGIVPVTSTKKSIKKMKLRVETPWYPWFHGDYEVGGYAKVYRENLTFATVRGAGHQKQSDVLSKFYKAKQKNSAFYKSYYKASGVENVELDKVILPQEGLKAKDWINKLPGQPPVKFQQYGGYVTVNQSAGRALFYYFTEAENSEALPLLLWLNGGPGCSSIAYGSMEELGPFRVNSDGKTLHRNHYAWNRAANVLFLESPAGVGFSYTNTSSDFNTTGDSTTANDNVVFLLNWLERFPEYKKRDFYISGESYAGHYVPQLAHEILKHNKQANKTLINLKGIIIGNAVINDDTDTIGMYEYFASHALISDETYHDILNSCYDDNYNQSKCEKAAEVTNKNLNNLDIYNIYYPLCKDENLTKYPKTPTPLQIDPCSDKYIYAYMNRRDVQDALHANVTNLKYEWTSCSDSLFYDWKDSPVTIIPLLKESLANGVRVWIFSGDTDGRVPVTSSKRSIQAMNLTVDLPWRSLLNGGEVGGYVETYKGGLAFATVRGAGHEVPSYQPARALSIISHFLLGKKQSEVLGKYYKAKQDSAFGNDYYKAAAVENVELDKVILPQEGLKDKDWIKKLPGQPPVKFQQYGGYVTVDGSAGRALYYYFTEAENSKSLPLLLWLNGGPGCSSIAYGAMEELGPFRVNSDGKTLHRNHYAWNLGKKKVSLFCVT >Solyc01g005470.3.1 pep chromosome:SL3.0:1:322539:324960:-1 gene:Solyc01g005470.3 transcript:Solyc01g005470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSAQPAYGEKPMTGVPVPGQFQANHPGNWSTGLCDCFSDISSCCLTCWCPCITFGQIAEIVDKGTVSCGASGALYFLIEALTGCGCIYSCFYRTKMRKQYMLPESPCGDCLLHFCCECCALCQEHRELKHRGYDMSIGWQGNMDNQNGGIAMAPGVQGGMTR >Solyc04g081980.2.1 pep chromosome:SL3.0:4:65889160:65890615:-1 gene:Solyc04g081980.2 transcript:Solyc04g081980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPPPPPMKTTKRRAAATTSITGLTIDNSVLPIELIVEILIRLPVKTLLKMRSVSKSWSSLISTPEFVKAHVKFSANNREFAHHRLLSIRSGLVWWYVSRDDDSVDRPEDQTRCECDRGSELNLYVESLVSPNSP >Solyc02g087215.1.1 pep chromosome:SL3.0:2:50312507:50313096:-1 gene:Solyc02g087215.1 transcript:Solyc02g087215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCETINKLSQKGSQGLEMRHDFYSHNLSHSLQAKQSKTCYWVPLATAVMMKKWGYRRDQQGTCPVVSMDIEQISQTKTIRLLNSVCPKAKSQSEGGRIKVANL >Solyc03g058953.1.1 pep chromosome:SL3.0:3:29299651:29318510:-1 gene:Solyc03g058953.1 transcript:Solyc03g058953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNPWSRIYSRVRSLVSHQESNLGSRWRNRRSDVFPTLSKMVRDVIAIQASSVALEAAFSAARSQCHVSVLGDLK >Solyc08g066580.3.1 pep chromosome:SL3.0:8:55366827:55385307:-1 gene:Solyc08g066580.3 transcript:Solyc08g066580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLTSEPDDLAMVPVSLETENQPVVNYPLRHGLKSPIHRLSFSWACGNTLRVSVFRKPQSETADGEGEAGGRVVEVKLSNSGDEEVDAARWRRMAYSSVTPFALLQSRKHSLSAASRMSLDIPPYKAEWWEHVIEYSKEISSLLSDQKPPPKSIIEDAKSVVNEIAAPVCLKAAWELLEIFYIDKQSQSWIPERLLDWLADYNSLFSGTLPTIHSKLVDFQQELVGVQVVEDDPNYWDAMSSALAVGWLETVVKLLRLHGSYRFDQLSSREAVYLFYRVPKSITLCVFCLRDLTTGCTAMSDDKVDFLVLFVSHCSSLASKNGLVEAVAVLISKMPRMRPSLREDNLGECYKTKPDFMKAWEKWRTQISKLDCSSYWLQCDHRQTREGLKNLIQIMLGNPTVLSNATFNWMELFISHFLYVRPFTAGLESMHNSAKKCMQVKPASIPHKLFGLILGILGENTEVVLAECSRSFGPWMMAHAVELLTAGSTHAEILLHEEHSKLGGVSIEELHRLVYAQVLSSHALTWQIAPIYLTSCIKQGIRLLENLLYKQPVQHSQILLKSIEICRLYELDTINSNIMRIAGVHHWKHGRKGSGVFWLQQARDEFRLNRIAKQLFDFVGKSVSDEGFKQWEGLIELLGSESRTAGGLEFLNKYRDFRRSLLQVHGDTPTDAARNAAEALVSLMKNPSTPQRFWLPLLYDSLKLLMWQERPLVNVSQTQLLLNKLQELSMARLRPDFVEADLPSDALSSVRLALATNLGRAILEE >Solyc07g039340.3.1 pep chromosome:SL3.0:7:47295257:47303316:-1 gene:Solyc07g039340.3 transcript:Solyc07g039340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGVKLQLVCLLLQLCAFAFSMGSAGVRLSPSPSASSAVHPVEEGIPFFVSHGNASTSNAPAPALELNVASPPANVFPPMMSPTIPKPIEVLTPSLQPSAPIILPPPSSAPPTINSAPPQIASLPGPPPPIVWSDPAPVLPPSAPKRDHRHTEQPVVVPEAPAPVSSPGRNSTEDAPTKTPPLPGSMPPSESRSPEGPLSSIAPVLNAPSPRGMPRNSLPTLPRNPEISPSIPPVLNAPSPRGKPQNPLPTHPIIPEVSPSMPPVLNAPSPREKPQNPLPARPTNPKVSPSIPPTTFAPPPRKLPNNSPPSHPRHPLKPPSISPVKHNISPVSIPWPSINRKRASAPTVAPTKGMNHQHPAKVTRIQSITDERVLISPSPLFHFTQNHIQRPLGTTRSLLTAGLSVPPVLAPLASPESHDSPAISSSPSASSRPTKKPFLSPKFSPSGSSPRHPKIPHPFQALPPPPPNEDCASLACAEPFTNGPPKAPCVCVLPMRIGLRLSVALYTFFPLVSELATEVSVGVFMDKSQVRIMGANSASQYPEKTIVLIDLVPLGEKFDNMTAFVTSQRFWHKQVVIKSSLFGDYDVLYVQYPGLPPSPPSAASDIDTISSQPYPGDNNGRTIQPLGVDVRGQQHKSGPSRSVIAVIVLSASVAVILCCAVAWVLLFRHRDHGYQLEPTPPTTLPSLAKSSGIAASMIGSRLNSPTLSFSSSFAAYTGSARTFSSKEIDRATDSFNEARVLGEGGFGRVYSGVLDDGVKVAVKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICLEERSRCLLYELIPNGSVESHLHGVDKESSPLDWDARMKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARAALDEGERHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKKPVDMSQPPGQENLVAWARPLLTSEEGLELIMDRNLGPDFPFDDIVKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNECGQTKDVVSQSCSQDDLSIDMDAGVSTTSSQVLNPIQPQSPVSNSDSELDVERGLSMSDLLSPLARYGQQESGSFRRYSSSGPLRKGKTRRLWQKMRRLSGGSLSEHGVMFGLRPGSH >Solyc09g009610.2.1 pep chromosome:SL3.0:9:3023012:3036278:1 gene:Solyc09g009610.2 transcript:Solyc09g009610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNKVNILLFLLLIILSIESSLSYERPPPRKSLFLSLSQDLDSSSPQQVHISMVGEDKTRISWITEDSGTPATVKYGTSPGSYPFSANGDTTKYKYILYKSGEIHNVVIGPLKPNTIYYYILGPFTSPEFNFKTPPAGYPIKFAVVGDLGQTDWTTSTLDHISKSNYDVMLLPGDLSYADTLQPLWDSFGRLVEPLSSQRPWMVTQGNHEVEKIPILHSQSFTSYNARWLMPFEQSGSTSNLYYSFKVSGLHIIMLGSYTDFGPGSAQYNWLKNDLNKIDRKKTPWLIVIVHAPWYNSNTAHQGEYESYGMKSSMEDLLFKARVDIVFAGHVHAYERFTRVYKDQANNCGPIYITIGDGGNREGLATKYQDPKPDISIFREASFGHGELDVENGQN >Solyc11g068700.1.1 pep chromosome:SL3.0:11:53632155:53632544:-1 gene:Solyc11g068700.1 transcript:Solyc11g068700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEWTAVIAVLPPSSSAIVVVVEQDDMKQYSFAIIFFEKKVLHVEIKRKKM >Solyc05g052120.3.1 pep chromosome:SL3.0:5:63283384:63287495:1 gene:Solyc05g052120.3 transcript:Solyc05g052120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELAQAAALRTAGDKASLYNCKMFGFQDTFCDDKGKHFFKDCYIEGTVDFIFGNGKSIYLNTEAHVIPGDPMAMVTAHARDGENVDSGYSFVHCTITGTGNTAYLGRAWKPFSKVVFSYTDMTDVVHPEGWSDNGKPENDKSVFYGEYNCKGAGAASGKRVPYTKKLSDEEAKPFISLAYIEGSKWLLPPVTL >Solyc06g007200.3.1 pep chromosome:SL3.0:6:1264255:1276436:1 gene:Solyc06g007200.3 transcript:Solyc06g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVAIPNSPVFSPATRIPVTNSLLCKSASFSSSSPSRKSSEFRTLTKFPSFTFSSLSPSSQLKILLIEKSSQATISVNRNSSECSTSAPVSTSTTVSKRKRPARLDIPVVSTNFGNFPETPTAAADFVEVEGNEYSVCCKRGRRGAMEDRYSALVNLQGDSKQGIFGVFDGHGGPKAAEFAAEHLNKNIMDELVRRNDEDVVEVLKNVYLKTDTEFLSEEFGGGSCCVTALIRDGDLVVSNAGDCRAVVSRGGIAEAITSDHKPSRKDEKDRIESLGGYVDCSNGVWRIQGSLAVSRGIGDRYLKQWVIAEPETTVVELNPELEFLVLASDGLWDKVSNQEVVDAARPLCCTGIEALQLVVSECKAKAKIVDLCEKGDAFIKEQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLSSDETVLEEGDIVKIDMGCHIDGFIAVVAHTHVVQGGPVTGRAADVLAAANTAAEVALRLVRPGRKNSDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSVSNPDTRVDDAEFEENEVYSVDIVTSTGEGKPKLLDEKQTTIYKRAVDKSYNLKMKASRDLEEKRARLGLVECVNHDLLQPYPVLHEKPGDLVAHIKFTALLMPNGSDRITSHALQELSPAKTIDDEPEIKTWLALPVKTKKKGGGKKKKGKKGESCQAEPMEGEPNVAES >Solyc06g048375.1.1 pep chromosome:SL3.0:6:31021211:31022065:1 gene:Solyc06g048375.1 transcript:Solyc06g048375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKRNPRSYAFPISLCGFRGKGEIRGGLEQYKPKFEYKIWWLLRDTTILVAEARAIRESLSYCSEHGITNLIIETNSLAMVHIIEVDWDVPLNVALEVIFFINYFKDTQIEGIKLLNLDKQGMSYIRRNTKE >Solyc01g110145.1.1 pep chromosome:SL3.0:1:96833008:96833499:-1 gene:Solyc01g110145.1 transcript:Solyc01g110145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRREEEEEGRVSSSLSSSRCQDCGNQAKKDCTYIRCRTCCKSRGFHCETHVKSTWVPVSTRRPRHHLLHHTTIQQQQLQNGPNPKRYRSNEGNVK >Solyc02g014520.3.1 pep chromosome:SL3.0:2:17361463:17392252:1 gene:Solyc02g014520.3 transcript:Solyc02g014520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGFNSPRNEMEALNSILTAIAKLDGEHCSTTTLEELRVAAVNLISELGKKCKEESKVVTRSSCEAEKCLQQWGEDQGVKSQLEISYFEGAGRGAIARQDLRIGDIALEIPLSIVISDDLVHEYYMYSILEKIEGMSAETMLLLWSMKEKHNPDSKFKLYFDTLPEDAVVRVLRYIKSAPIKGLLYEDHGHKHINRQTDADLAESPSDRRLSFGMEAIMALDGTLLLEEIVQAKEVPAFDPIYYRLHLRAQYDELFPSLCNDHPDVFPPEQYRWEQFLWACELWYSNSMKIMFTDGKLRTCLIPIAGFLNHSTCPHIMHYGKVDSTTNSIKFPLSRSCNAGQQCFLGYGSFSSSHLLTFYGFLPQLDNYYDVIPLDIDVASNDDCADTDPTPDWTSHMVRGTWFSKNHGIFHYGLPAPLLDRMRRSRNPSLQSMTLTPENLEIELDILRDLCSMFEEMRDGLGDPELDNRETTSCDVKLAVDFKDLQRRIFSSIVASCQAGYESSQLV >Solyc12g089310.2.1 pep chromosome:SL3.0:12:65424577:65427250:-1 gene:Solyc12g089310.2 transcript:Solyc12g089310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin beta chain [Source:UniProtKB/TrEMBL;Acc:K4DH02] MREILHIQGGQCGNQIGSKFWEVICDEHGIDPTGRYNSDNAGASDLQLERINVYFNEATAGRFVPRAVLMDLEPGTMDSIRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAEYCDCMQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMYRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEVEEDFDEGVEEHYEGQ >Solyc01g058323.1.1 pep chromosome:SL3.0:1:66068310:66069259:1 gene:Solyc01g058323.1 transcript:Solyc01g058323.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPTMEGFKIVNSQLDHAKELWPHDNQNFLKVLSFQLCMFILNYLKPSLEHSINSFKFEGALQLNSYPCCPNPNHALRLAPHTDSLFLTILHQINNTKGNLLYILSNGEFPSVYHRVLVDKTKYRVSLAFFLFRTSSLLYDCSISFF >Solyc08g063120.1.1 pep chromosome:SL3.0:8:52814510:52814813:1 gene:Solyc08g063120.1 transcript:Solyc08g063120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFVFGASLAIPSQTSPSSPTIHPRIRARQLQAIDTHQN >Solyc10g007440.3.1 pep chromosome:SL3.0:10:1793798:1798378:1 gene:Solyc10g007440.3 transcript:Solyc10g007440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINGSVTKLPVNPPMIYLRKFNSWRVLTVLQLTPNSLASTCLNSSAPYQFSSFLGRPFSFSAQSQKKSASSDSVAKKPLGVFFQEAVGLLEKSEVSESENETENKELKCKLRKLEEEVRVLREKRRNEIANKKEEAGNGDGVSENEGKSKKLHELFMNEEVRSVKSRKSTPLSMEDHTVFKELSPDMVMFVTHLYNEGYFKDSNFLPRKKFDITCFENSYARDFVKCAADQFGRDHQEIAKWLSGSDLKKVALFGCPSIAKKNVLSAKMLRTYFRIQEDSVCSKCALKASCKFVNQNLRKSVMTNLHLAVVMRVITLYALESVPPQLVIPDEIKASVSRLLMDILRLSKTVS >Solyc10g045480.1.1.1 pep chromosome:SL3.0:10:34091722:34092675:-1 gene:Solyc10g045480.1 transcript:Solyc10g045480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVMIPFNDMDNFDFNSARSTPFTSPPSTPKPFNGDFFFSAPPSPTHLSQFYSEFESLFVTDVDDNGEINSHVDEFAFDVSQELEIGSVSAEELFDGGMIKPSPVLQTQKKNRVGVSAKNKKVQETEYLRKSSVTEKERRGKQRGSSNGLSNSSSRRSARSLSPMRISQYLWEEEEDEEMKSQSSKSNASTCLILSSSSSKGSKKWKFKDFFLFRSASEGRASDKDLLKNYTAAGYREGKNLTSRGNESSVSSPSKSIVRTKKGNVSAHELHYTVNRALSSDLKKKTFLPYKQGILGQLAVNPAVHALANGFGLSRK >Solyc08g067270.3.1 pep chromosome:SL3.0:8:56382126:56384341:-1 gene:Solyc08g067270.3 transcript:Solyc08g067270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFSNSTFPCHPQYPYTCPVSSFGSLQDNLNFPLPNQTLGLNLNFHDFNNLDATPYCSMNNKNSIFSSSSPSSSSDEFHCVGEGVGPISEMVNSRLHPSMDDQEMEEIRSVGEQHEMEWNDTLNLATSAWWFKFLKTMEIGPDDKNVAEDYGCYPFDEVMEFPPWFNPNETFLQQHVDDTYSHPTLPCMDIEEIEGMDVEWLA >Solyc11g010250.1.1.1 pep chromosome:SL3.0:11:3317228:3317653:-1 gene:Solyc11g010250.1 transcript:Solyc11g010250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSEPIVICKKHPQHKQQPGVCSCCLREKLSKINGTTTIAKISSIPSSISSSVSSSNCNSPRAAIGHRRITSDVAGGHYSFVALAAANAGGGGGGLKKSRSIAFVARGGRVGINGKKKKEGFWSKLIRSTGKRTNRVVVH >Solyc10g080640.2.1 pep chromosome:SL3.0:10:62002772:62005621:1 gene:Solyc10g080640.2 transcript:Solyc10g080640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITYSCPFANFDDSDTKFESYLVRTLSFGTDATKNALPPINFKDQNPQQPKMHKSYSSGKMILEGTLSYKRRELEARIGLTSFDNDEKTMSRSDSLMSSEEKHNMPDENTKMIPFVCEKQRDEAAVKLQKTYKSFRTRRRLADCAILVEQRWWKLLDSVELKHSSISFFDIEKPQTAFSRWSRALTRAAKVGKGLSKDEKACKLALQHWLEAIDPRHRYGHNLHFYYTKWLQVDSRQPFFYWLDIGEGKEVNLEKCPRSKLHQQCIKYLGPVEREAYEVVIVDGKFIYKQSGRVLDTRGGPEEAKWIFVLSVSKDLYIGMKQKGTFQHSSFLAGGATLSAGRLVIEDGTLKAVWPHSGHYLPTKENFEEFMTFLEQHNIDVTIIQLNLFDDEDEEDYEDTIPPEKIMKRIDTHRRTKSFQLAHQLSCRWTTGAGPRIGCMRDYPAELQFRVMEDVCLSPRTTTFQTPPKYARPPSIFSIETTEGRRRTGSES >Solyc06g066800.2.1.1 pep chromosome:SL3.0:6:42103894:42105331:1 gene:Solyc06g066800.2 transcript:Solyc06g066800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTDVHCNGNAFKIFIGYDPREDVAYEVCRYSLLKRSSIPLEIIPIKQSELREKGLYWRGRGKLESTEFSFTRFLTPHLANFEGWAMFVDCDFLYLGDIKELRDMVDDKYALMCVQHNYAPKETTKMDGAVQTVYPRKNWSSMVLYNCAHPKNKVLTPEIVNTETGAFLHRFTWLEDEEIGEVPFVWNFLVGHNKVVEGDPTTFPKSIHYTLGGPWFEAWKDCEFGDLWIKELEEYKKATEKKVD >Solyc12g040280.2.1 pep chromosome:SL3.0:12:54089798:54090728:-1 gene:Solyc12g040280.2 transcript:Solyc12g040280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFMPSLFSIGLEEKLLLDCSSQSGENLRMRGGEDSLHPNSHLGYTSKSPAFPSSITGYSWKNHLSQDTRYSSHYTTTDDWEPSVPFRPAFMLSQMANADPASTGSNEVQISGHSDMLPEQDLPRHGTTVAHQENMNTSSKEDKRLESEIDVDNKFVNTKSVVLKNFHVALVEFVKELLRPTWNLALLSKVAYKKIVKKTVNMVENSLNLNQIPNTAESTEEYFDLSLTKLSNTIERYVEKYGKIKSALCRI >Solyc04g071610.3.1 pep chromosome:SL3.0:4:58664498:58665932:1 gene:Solyc04g071610.3 transcript:Solyc04g071610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fruit-ripening protein [Source:UniProtKB/TrEMBL;Acc:O82575] MEEEKHHHHHLFHHKDKAEEGPVDYEKEIKHHKHLEQIGKLGTVAAGAYALHEKHEAKKDPEHAHKHKIEEEIAAAAAVGAGGFAFHEHHEKKDAKKEEKKAEGGHHHLF >Solyc05g041780.1.1 pep chromosome:SL3.0:5:54560097:54561658:1 gene:Solyc05g041780.1 transcript:Solyc05g041780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVTETATAAGSSNATLKFEPPTPTKKAVKGTSSLDFVSFA >Solyc06g072210.1.1.1 pep chromosome:SL3.0:6:44642482:44643066:-1 gene:Solyc06g072210.1 transcript:Solyc06g072210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLFIFQFISIFAIFTNSCLCEASSAPNPVLDTTGKILRTEKTYFIVPINGEKYGGVAVETTGNNSTCQLSVIQKRYVEPHGHGLELIPVNKKKGVIRVSTDLKIAIYSTYDCLNSTMWQLEKYDGGRFFVNVGPKVRRNWFRIEKYGQGYKFVYCKVICKDVGVVMVNGQRRLGLNGAPLVFNFKKEILEGR >Solyc10g046790.1.1.1 pep chromosome:SL3.0:10:37259749:37259988:-1 gene:Solyc10g046790.1 transcript:Solyc10g046790.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARKAEKKPVEKRTAAEKTRIAEKSHAEHNAEDEKKLTKNNTAAVRDKKEEEIEEVQTVVCLVNPVELAKHVHKKSAY >Solyc06g068650.3.1 pep chromosome:SL3.0:6:42674417:42678424:-1 gene:Solyc06g068650.3 transcript:Solyc06g068650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNATMENETKQDDIIFRSKLPDIYIPNHLPLHSYCFENISEFKSRPCLIDGANNQIYTYADVELNSRKVAAGLHKQFGIQQKDTIMILLPNSPEFVYVFLGASYLGAISTMANPLFTSAEVVKQAKASNAKIIVTQSCHVNKVKDYALENGVKIVCIDPAPDGCVHFSELIQADEHDIPELYDHFNGEMSEQVKIQPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYIHSEDVLLCVLPLFHIYSLNSVLLCGLRVGAAILIMQKFDIVPFLELIQNYKVTIGPFVPPIVLAIAKSPMVDNYDLSSVRTVMSGAAPLGKELEDTVRAKFPNAKLGQGYGMTEAGPVLAMCLAFAKEPFEIKSGACGTVVRNAQMKIVDPDTGKSLPRNQSGEICIRGDQIMKGYLNDPEATTGTIDKERWLHTGDIGYIDIDDELFIVDRLKELIKYKGFQVAPAELEALLLNHPNISDAAVVSMKDEQAGEVPVAFVVRSNGSTITEDEVKEFITKQVIFYKRIKRVFFVDVVPKSPSGKILRKDLRAKLAAGYPN >Solyc12g099530.2.1 pep chromosome:SL3.0:12:67627848:67633056:1 gene:Solyc12g099530.2 transcript:Solyc12g099530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTSNRKRGNDFFSSNSKTPLSNLSKKLKLSALNPPLASKSTVERFFKYPDPINPIGREVHAPCRKLRFGSKQTKSNILNYKGVLEYSGSEMGNCLSRKHEETKRGDFYGLKKDIEVIDIDGDDAKEGGSEDSSIEEVVVWGCKKSDLDIAAAANGLEDSSIEELERRGCEKSDFLGQEVDDDVKILDGYDGKDSSVLATGLDDENLKEESVVKMIDSLAMNPKSDSYFYVPLYRKLLGSVGKISDKLKRLQFQIELNEKSLETNRLLRPQKKEEQDAISEPFVPLTEKEHDEVTCALSKSNRRKVLVTHKSSNIDITGEILQCLRPGAWLNDEVINVYLELLKEREKREPQKFLKCHFFNTFFYKKLTSGTGGYNYQSVRRWTSQRKLGYSLLECDKIFVPIHKEIHWCLAVINKKDQKFQYLDSLRGKDRNVLKVLATYFVDEVKDKSGKYIDVSSWMEEFVEDLPEQKNGYDCGVFMIKNVDFYSRDIGLCFNQGDMPYFRMRTAKELLRLKAD >Solyc07g039330.3.1 pep chromosome:SL3.0:7:47267032:47290923:1 gene:Solyc07g039330.3 transcript:Solyc07g039330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPSSQPKRRGRPKGSAAKSKDEREKEPHSSGKMRGSASKKAAAVDEEYVQWKSLVAVLYDWFANHNLIWPSLSCRWGAVVEEGKYKNRQRLYLSEQTDKSVPNTLIIANCDVVKPRVAAENHIANFNEEARSPFVKKYKTIIHPGEVNRIRELPQNKNIVATHTDGPEVLIWDIEAQPNKHAVYGAAASRPDLVLSGHQDNAEFALALSPMEPFVLSGGKDKTVVLWSIQDHISTLAADATKSAGSGGSIIKSADNPSIGPRGIFHGHADTVEDVQFCPSSSQEFCSVGDDSCLILWDARVGNNPAVKVEKAHDADLHCVDWNPHNDNLIITGSADNSVRLFDRRNLTSDGVGSPVHMFEHHTAAVLCVQWCPNRSSVFGSCAEDGLLNIWDYEKVGAAKDSDIESDEDEEESPPGLFFQHAGHRDKIVDFHWNACDPWTIVSVSDDAETSGGGGTLQIWRMIDMLYRPKAEVLAEMQHFKDHVGKCTPKCKG >Solyc10g079270.2.1 pep chromosome:SL3.0:10:60960580:60961632:1 gene:Solyc10g079270.2 transcript:Solyc10g079270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNTNFFPILFAFIFISSYTICNANEVDDESKFNYLLGTTEGPESWGTIKFEWKLCETGLFQSPVNFRNKSVKITTTIPLLKPNYKNAPAMIVNRGHDIKVLAMGSRCRKYQH >Solyc05g016003.1.1 pep chromosome:SL3.0:5:13316880:13327793:1 gene:Solyc05g016003.1 transcript:Solyc05g016003.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNSTTERVEASEGGQLHSNLILRLERKISQLKEEVANMRDLAKLSISLGTQFGENIDNPPNQTATPDNPPIHISPPEPIRPNAFPPPHAQNTQFPFHHYYQHTKPTVPETTPNTNIPHTFGNNNPNPIYVETAPLTHDLHESESHQKDILIKTLTERLDNLTNRALQATNKALQSSGMTESRKKTVNMVEAGDVLDWEESIWAVETEEAMPTIAQTPLIVQGLAPFEVEVAAPRPPFAVYKAFSPIQCDTHAVPWDYNKRETNVEETDVATGVTRSGRIYTSENLVQGSSSKSKAPIVEFEDQSIWKKVKAKEYSVIEQLSKTPSQISILELLKSSETHRDALLKILGEAYVPSNITHGEVSRMVGQLGKGLGAKLQGIVEPIQPVRHSTTFAGFMRDNTDEISDDLKGLSLTKEEGKVCNVVINEEEKGGPSGSKEAKISVSNWTSTPSRPRRASGKIHYKNVESEIVAYNKTAQLNLNDLEEVEDNEIPEELTKRSTVICEPIFKLLKKDAAVKWTSECQQAFDKIKDYLSNPPILVPPESASAAMTDEDSTAVAGENSCARPAAAKRGERPPLSPRHLPLVALANGEQPAPPACCKQRLQRTAASRNNLQPKLRRYLASSEL >Solyc01g110860.1.1.1 pep chromosome:SL3.0:1:97286793:97287098:-1 gene:Solyc01g110860.1 transcript:Solyc01g110860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIRLPPIISSVKQYHKLHSVLSRKQISDVPKGHFAVYVGETEKKKRYVVPIAYLNHSLFQELLQISEEEFGFQHSMGGLTIPCNEDAFFHVTSRLNNSL >Solyc05g007770.3.1 pep chromosome:SL3.0:5:2229594:2232225:1 gene:Solyc05g007770.3 transcript:Solyc05g007770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NAP1 description:NAC domain-containing protein 1 [Source:UniProtKB/Swiss-Prot;Acc:K4BWV2] MVGKISSDLPPGFRFHPTDEELIMYYLRYQATSRPCPVSIIPEIDVYKFDPWVLPEKAEFGDNEWYFFTPRDRKYPNGVRPNRAAVSGYWKATGTDKAIYSANKYVGIKKALVFYKGKPPKGVKTDWIMHEYRLSDSKSQTSKQSGSMRLDDWVLCRIYKKKNLGRTIEMMKVEEEELEAQNVSTTNNEIEVVGGPQTMKLPRICSLSHLLELDYFGSIPQLLSDNLLYDDQGYTMNNVNNTSNVDQVSSQQQNTNNITSNNCNIFFNYQQPLFVNPTFQSQ >Solyc10g006270.3.1 pep chromosome:SL3.0:10:930944:933849:-1 gene:Solyc10g006270.3 transcript:Solyc10g006270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSFKLEHPLERRQAEAARIREKYPDRIPVIVEKADRSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLSAEKAIFIFVKNILPPTAAMMSAIYEEHKDEDGFLYMTYSGENTFGSF >Solyc05g007260.3.1 pep chromosome:SL3.0:5:1925273:1929027:-1 gene:Solyc05g007260.3 transcript:Solyc05g007260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKAIIAPSMLSSDFANLASEAERMLNCGADWLHMDIMDGHFVPNLTLGAPIIESLRKHTKAYLDCHLMVTNPLDYVEPLGKAGASGFTFHIEASRDNWQELVQRIKSKGMKPGVSLKPGTPIEEVYPLLDGENAIELVLVMTVEPGFGGQKFMPEMMDKVHTLRKKYPSLDIEVDGGLGPSTIEAATSAGANCIVAGSSVFGAPDPAQVITLLRGSVEEAQKRS >Solyc07g042310.1.1.1 pep chromosome:SL3.0:7:55560825:55561013:1 gene:Solyc07g042310.1 transcript:Solyc07g042310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIPTHTLRSISFLWAFEERKKLLEFYEGVSGDRMHVSFIRPGGVSQDLPLGLCIDIDSSTQ >Solyc01g100120.3.1 pep chromosome:SL3.0:1:90085715:90095959:-1 gene:Solyc01g100120.3 transcript:Solyc01g100120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTEEGIVQISVASVVEEVLQQAKGLSDIDLASRKAEEASVRRYIAAGWLRKTVGVVAAKDLPAEPSEEDFRLGLRSGIVLCNVLNKVQPGAVQKVVEAPPDSVNVPDGAALSAYQYFENVRNFLVAVEEMGIPSFEASDLEKGGKSSRIINCVLALKSYAEWKHGGGSGSWKYSGNSKPSTAGKQFVRRNSEPFMNLISRTSSIIKSPDSSDVGHEAREMVNPSSLQMLVHDLLYDKKQEDIPFIVENMLSKVMQEFEHRLARQNEQVGALSLLVFSFFYLLYKALLPTSSWLTESNTSLKETVVPTTDESPPLELICDETQVAIVEDKEKAPDEGTCGRVDITDDGASTTQVGRQLMLVEHQQKEVQLLKSTLHDAKVDLQSLQLKYQEEVSNLGKHLHGLANAASSYQKVLEENRKLYNQVQDLKGNIRVYCRVRPFLPGQPNSLSTVDHLDDGNITITTPSKYGKEGKKSFTFNKVFGPSGTQEEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTHTMTGPSDLTKETLGVNYRALSDLFNISEQRKDVISYDISVQMVEIYNEQVRDLLTPDGKGFNVPDANLVPVTSTSDVLNLMNLGHKNRAVSATAMNDRSSRSHSCLTVHVQGKNMTSGTILRGSMHLVDLAGSERVDKSEVLGDRLKEATHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPELNAVGETLSTLKFAERVSTVELGSARANKEGSDVKELREQISSLKAALAKKEEDQGRRPLSRSSTPERVRVGSSVSSLSSSWQSLEDVGGNIEVKKKSTSKMRRRSLDPKDFQTNSPPSPPGNNPLSREEDRESVSGDWVDKIMVNKQDGLSRSNSLRGWEEETRISPDLLYRKCPPDSSKVYPEQHISKVAGNKKEGQDYEASRTRSEAGSTDDFDDLEAATSESSELEYAWQPNPQKVSQTPIGLGSKLKKPSPKQVKKPEIRSLIPPPPTRRLSNGLISPSAKMGRASALEGKRRTASGKW >Solyc03g058340.3.1 pep chromosome:SL3.0:3:26141138:26148358:1 gene:Solyc03g058340.3 transcript:Solyc03g058340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKRLARGGRRDEVPSRSILTRAVDSVFDFVRLAEFEILFVFFFVVAYVIFKDLTSRPEYNEILVKKPDWWPY >Solyc12g044570.1.1.1 pep chromosome:SL3.0:12:60519955:60520113:-1 gene:Solyc12g044570.1 transcript:Solyc12g044570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINVFVLKLTKALVKELKGPPPGAKDIYFPTQYSQSACRQFKSCLWKEWWNH >Solyc11g027980.2.1 pep chromosome:SL3.0:11:19904097:19904991:1 gene:Solyc11g027980.2 transcript:Solyc11g027980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFINNEEFNNKKVIFIMGAIATGKSYLCVDLATHFRGEIINSNKMQVYKGLEITMRG >Solyc10g007650.3.1 pep chromosome:SL3.0:10:1927614:1930229:1 gene:Solyc10g007650.3 transcript:Solyc10g007650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQPVEPVSYICGDCGQENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Solyc12g044830.1.1.1 pep chromosome:SL3.0:12:61128779:61128964:-1 gene:Solyc12g044830.1 transcript:Solyc12g044830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHFNSFKFNSILLFDTDRPLPSLVLPTCCLLFTFTSKHVFSVNLIMIQNIVYICLLEKGH >Solyc11g045530.2.1 pep chromosome:SL3.0:11:30527581:30537269:-1 gene:Solyc11g045530.2 transcript:Solyc11g045530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKESGRKQGAASPCAACKLLRRRCAQDCVFAPYFPADEPHKFASVHKVFGASNVNKMLQELPEHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDHLQSQLAIAQAEVVHMRMRQFSSISGGGTAGNSPENVSPSSRHTQTQPTMSLFTMDMVVDQANIGESLWSC >Solyc10g005260.3.1 pep chromosome:SL3.0:10:198444:209991:-1 gene:Solyc10g005260.3 transcript:Solyc10g005260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPAASSMVPPPMAAQPQYQQQWMAQQPQYQVLPPQAGYYYQPPPQQGGGVPPPQQQQQQSQYTASAQPTSADEVRTLWIGDLQFWMDEQYLYSCFAQTGEVVSAKVIRNKQTQQSEGYGFIEFNSHAAAERNLQAYNGTLMPNIEQNFRLNWASLGSGEKRSDNTPEYTIFVGDLAADVTDYMLQETFRPNYPSIKGAKVVTDRATGHTKGYGFVRFGDESEQLRAMTEMNGKFCSTRPMRIGPAANKKNSGGQMQASYQSTGTQNEDDPTNTTIFVGNLDASVTDDHLRQVFGNYGQLLHVKIPLGKRCGFVQFTDRSCAEEALNALSGTQLGGQTIRLSWGRSPSNKQQSQGDPNQWSGGYYGYTPGYDAYGYAQPTQDPNMYYAGYAGYGNYAQPPHQQQQMPQQPQ >Solyc06g071290.3.1 pep chromosome:SL3.0:6:43998180:44003462:-1 gene:Solyc06g071290.3 transcript:Solyc06g071290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative betaine aldehyde dehyrogenase [Source:UniProtKB/TrEMBL;Acc:Q56R04] MANRNVPIPRRQLYIGGEWREPVKKNRIPIINPATEEIIGDIPAATAEDVDIAVEAARKAIARDDWGSTTGAQRAKYLRAIAAKVLEKKSVLATLESLDSGKTLYESAADMDDVAGCFEYYAGLAEALDSRRMTPVNLNSDSYKSYVLREPLGVVGLITPWNYPLLMAIWKVAPALAAGCAAILKPSELASITCLELGEICREIGLPSGALNILTGLGPEAGGPLASHPHVDKISFTGSGPTGSKIMTAAAQLVKPVSLELGGKSPIVVFDDIDNLDIAAEWTLFGIFANTGQVCSATSRLIVQENIASAFMDRLLKWTKNIKISDPLEEDCKLGPVVSAGQYEKVLKFISNAKSEGATILCGGERPQHLKKGYYVQPTIITDVNTSMEIWKEEVFGPVLCVKTFKTEEQAIELANDTKYGLGAAVMSKDVKRCERFTKAFQTGIIWINCSQPTFNELPWGGKKRSGFGRDLGKWGLENFLNIKQVTEYTSAEPLAFYKSPSKN >Solyc09g005130.3.1 pep chromosome:SL3.0:9:78125:97226:1 gene:Solyc09g005130.3 transcript:Solyc09g005130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSASDLPAMYSLLTNSLSGEQSVRKPAEAALAQSENRPGFCSCLMEVITAKDLASQVDVRLLASVYFKNSINRYWRNKRDSTGISNEEKLHLRQKLLSHLREENYQIALTLSVIISKIARIDYPKEWPELFSFLAQQLQSADILTSHRIFMILYRTLKELSTKRLTSDQRTFAEICTQFFDYSWHLWQTDVQTILHGFSALAQTFGGSATELHHDDLYLTCERWFLCSKIIRQLIISGFPSDAKTLQEVRHVKEVAPVLLNAIQSLLPYYSSIQDHHPKFWDLLKRACTKLMKILVAIQQRHPYSFGDKCVLPLITEFCLSKILDPEPHIMSFEQFMIQCMVMVKTILEGKEYKKNLTGRVVDENRVTFEQMKQNISSTVAGLLTSLLPTDRVVLLCNILIRRYFVLTASDMEEWHQNPESFYHEQDSVLWSEKLRPCAEALYIVLFENHSQLLGPVVVSILQEAMSGCPSAVNEITPALLLKDAAYGAAAYIYYELSNYLSFKDWFNGALSLELTNDHPNMRIIHRKVALILGQWVSEIKDDTRRAVYCALIRLLQESDLCVRLTACRSLYYHIEDATFNENEFLDLLPVCWDLCFKVVDEVQEFDSKVQVLNTISVLIARVTEITPYANKLMLFFQKAWEESSSESILQIQLLTALKNFVVALGYQSPKSYGMLLPILRSGINITSPDELLEDCMQLWEATLINAPSMVPELLGYFPCLVEILERSFDHLKVATNIIEDYVILGGREFLSLHASNIAKLLDLVVGNVNDRGLLSVIPVIDILVQCFPIEVPQLISSTLQKLIIMCLTGGDDHDPSKAAVKASSSALLARILVMNTNYLAQLTSDPSLSIHLQKSGFPSEENILLCLVDMWLEKVDNVTSFQKKTIGLALSIILTLRLPQVLDKLDQIMSVCTSVIMGGSEDLSEEESSSDNVSSSKPHVPSKELRRRQMKLSDPINQISLENSVRDNLQTCSSLHGESFNAVIGRLHPSVLNQLKQALKMP >Solyc09g007720.3.1 pep chromosome:SL3.0:9:1258998:1263741:-1 gene:Solyc09g007720.3 transcript:Solyc09g007720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFIFCELNAKELLGFEKDHGDQGRGYHLRNHEGDEVAFRVANIVAFCSLPTTDSDLLISPISCILSTMVICFLQKLTKAGVIYMERCADDVVK >Solyc09g091930.3.1 pep chromosome:SL3.0:9:71608104:71614247:-1 gene:Solyc09g091930.3 transcript:Solyc09g091930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKAKRKARGGAKEKQNRMASPNPIPQQDTQNVNAPEDGVTAVNEKKICPHIDKGIDVEKVSAKLGSSGPVRCEDCREGAANRRAAKGKGKHGKKKGGGESKSASKAIWVCLECGHFSCGGAGFPTTPQSHAVRHARQYHHHLAVQFENPQLRWCFPCDRLIPAEKVEDGTEQKDVLQDIAKMIKGRPSEGPSLDAEDVWFGRGDITSGIKSEASLDIGAYKKSGHIVRGLHNLGNTCFFNSIIQNLLAVNRLRDYFLELDECGGPLAAAFKKLFTETSIGDASRSAINPKSFFGSLCAKAPQFKGYQQHDSHEFLHCLLDVLCSEELTARRKLKSSQDQVKSPTYVDAIFGGRLSSTVTCLECGHSSLVYEPLLDLSLPVPTKKTPSKKAQPVSRMKKAKHAPKRSGRLCPKINRDAASPNSQSAQESVSKSFCQVQSSAPIAEGMEVPSDCALVDSLDASSMADDMGLSSHNISSSMKSNNEEDVNNVTGQPTSVDNFSWLDYLDQDILPNVNEQNDDGVAGQSTSMDNFTCSEYLDQDTMPKGDDVASQADILSNQGCAAENVVQLNVSLQNDLNAPSDSKLTLGQEACSSDDFMCLDDQGLSKSPDCNIASQFGEEVEVKDWNAIEVEHNSASSSRFLSVDSNLDSSASLEEVEAPLQVKDSEILLLPYKEETSTTNDVLKGESEVSPEQDILDFDGFGGLFNEPEPVAGPAEKPLLSGAASVANGFGEASSAIGNSSESDPDEVDSTDAPVSVESCLACFTKPELLSKTEHAWQCENCAKLLREQRIKLKKKLLKPESEDLGNAPEDSNSREIDQRATNGSAGKGLSDSFDDRLLHQNGTNGYSNCMPETSHRADEDPVSNPSEGGVDSSQEEACSLVNCDSQTDGVQLDEGSAYYESGESENETNSKGIKVERDAFKRILIDKAPPILTIHLKRFSQDARGRLSKLSGHVNFRDTIDLTTFIDPRCLQKEAYKYRLLGVVEHSGTMRGGHYVAYIRGGPKIAGKDKDAEDYVWYYASDAYVREVPLEEVLQSEAYILFYEEI >Solyc06g084320.1.1.1 pep chromosome:SL3.0:6:49530906:49531739:1 gene:Solyc06g084320.1 transcript:Solyc06g084320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDNRSQRRRVGESSESEDSGIYNERILILVFASMNWDIPTLCRTASVNRKLRAVARRILWKELCVYRAPQMITALTDGGRIGGGWQAMAKLMFFCNGCQSTRHFQVCRPEAGHFVKTSRFSKTSGRSFLVKKCTSDLLYVSDPCEHSTGSDDLGLFRGVFWGFMKSRTRASLIRRRVELEEGAKCPFCRARVWSMTAARLIPKSAARRLGSMESGLEYFVCVNGHLYGACWLVPLSSDDEGESEEADRRFDDGGGGYFHRENQIVINGSLNYGLTS >Solyc01g098430.3.1 pep chromosome:SL3.0:1:88816015:88825757:-1 gene:Solyc01g098430.3 transcript:Solyc01g098430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSQNSSNSNSNNKSKVKNGTSTHGFLPNSLKFISSCIKTVSSNVRTAGASVAGSSSDDHRKDQVLWACFDRLELGLSSFKRVLLIGYSDGFQVLDVEDASNVCELVSRRDDPVTFLQMQPIPAKSGGNEGYKKSHPLLLVVACDDTKDSVPAQTGRDGFVESQAGSITHSPTVVRFYSLRSHNYVHVLRFRSTVYMVRCSPKVVAVGLAAQIYCFDALTLENKFSVLTYPVPQLGGQGVTGVNIGYGPMAVGPRWLAYASNNPLLSNTGRLSPQSLSPSPGVSPSTSPGNGNLVARYAMESSKHLAAGLINLGDMGYKTLSKYCHELLPDGSNSPVSTSASWKVGRVPAHSTETDAAGMVVIKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASTRGNNINLFRIVPSCSNGAGSQNSDWKASHVHLYKLHRGVTPAVIQDICFSHYSQWVAIISSRGTCHLFVLSPFGGEAGLQLQNSYVDGPILQPILSGPWWSTSSFLVNQQSFVAAPAPITLSVVNRIKNVNSGWLNTVSNAASSAAGKVSVPSGVLAADFHSSVRREQPAPKSLNALEHLLAYTPSGHLIQYELMPSFGGEKGDSYLRTETVSVVQMQEEDTGVKVDPIQWWDVCRRADWPEREECIHGITLGGREPTDIVMEDSLSEDDDKGEKDLAKLCDRSHWYLSNAEVQLKSGRIPIWQKSKIYFCTMSLSGYEEQDISRSSAAGEIEIEKIPVNEVEVRRKDLLPVFDHFHRIPSKWSEDSSSIGKEKSGDGTTGISRADSLSEKSFPSGSSQVARIHEVGMGPISYPCIELSMEESDGSRSSSYTAAPQVCKNMPAGLESSPNILCSVEESYVVNSPSPPKIESFSTGGTSAREVQSSNSVITSEASNSSSNRSDLSMNIIDEQTVNEDICDPVDFGQFFQEGYCKASTTNELQEVTELVADMDSSSSPCNKEKTDDDGESDDMLGGVFDFFEEG >Solyc11g021300.1.1.1 pep chromosome:SL3.0:11:13418844:13419377:1 gene:Solyc11g021300.1 transcript:Solyc11g021300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKRKIPLLSLQKMFPNELDTQWVSTNKEKSNNLNKEFLNQFEILDKKSLSLDILVTRTRFCNDDTKKECVPKMYDPFLNELYRGTIKRGVSSSIINNTLLENWEKRVRLNRIHTIFLPNIDYQEFEQKAYTIDKKPLSTEIDEFLTLINELGNEAKSSLNLKGLSLFSNQEQRRAN >Solyc12g010300.1.1.1 pep chromosome:SL3.0:12:3372464:3372754:-1 gene:Solyc12g010300.1 transcript:Solyc12g010300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQTEGDNAMAEKEPVACEREDSITSIQVCHAKIRVNSLHAPNGSSDRQNIITASFRKNGNFSIRASLITLARNINETNGDLQICEHFIPKVFGLS >Solyc08g068400.3.1 pep chromosome:SL3.0:8:57584973:57590444:-1 gene:Solyc08g068400.3 transcript:Solyc08g068400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVETNDAASELRSQFLQVLRSRRPSEVPLSVIPGKPVKDPFFQESPKPTFSEAMASCPKEDIPNSNELLQEENFYLTTEEGGQGILPVLVLSMKESEKKRRPTIVFLHSTNKCKEWLRPLLEVTSASRGYIAVAIDSRYHGERATSITTYRESLVSSWKNGDTMPFIFDSVWDLIKLVDYLTEREDVDPSKIGITGESLGGMHAWFAAFVDTRYSVVVPIIGVQGFGWAIEHDKWQARVNSIKAIFEEASADLDKSAIDKEVVQKVWDRIAPGLASQFDAPYTVPTIAPRPLLILNGADDPRCPLGGLEIPESRTLEAYEKANCTQNFKLIAQPGIGHQMTPLMVKEASDWFDRFLKV >Solyc09g061520.1.1.1 pep chromosome:SL3.0:9:59755395:59755775:1 gene:Solyc09g061520.1 transcript:Solyc09g061520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKANVMRRSTGVMISDEPSRVNISKRASVLPTNSAHQAHDSSTHVAPQSGYTISTPTVVPRSGYQTLQGYSHLGRESTIPSSSASQCNNLNTNSGSIGFSNLHLESNGSEPYTPTTQHSDAPSP >Solyc06g005817.1.1.1 pep chromosome:SL3.0:6:859416:859775:-1 gene:Solyc06g005817.1 transcript:Solyc06g005817.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEILFSSWPGYILALVVIFFMAIFVEFLSHSNYNKWNSNVDDVRVGFVQTTLYGLRIGLGYVVMLAVMSFNVGVFLVAIVGRLLGFLVFGTTVLRKSSYELLLLINFVNGIHVFTLV >Solyc03g112335.1.1 pep chromosome:SL3.0:3:64244003:64245050:1 gene:Solyc03g112335.1 transcript:Solyc03g112335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSAHPFITEEAICSISGYMVHSTVRLGPTPLLLLLFVICFVRNDQDPTTYSILAVVPARRIIFRDVKLLKNILQGDGPNKNVLGWDSLKSEGRVETRKGFTVGRKG >Solyc11g072770.2.1 pep chromosome:SL3.0:11:56269409:56271393:1 gene:Solyc11g072770.2 transcript:Solyc11g072770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRPRWSPTPQQLMILQDLYRKGLRNPTSSQVQKITTHLSLYGKIQCKNVFYWFQNHKARDRQKLRKELMMLHKIHKNTSDDDVPHQFHTTQNTNSNLEYNFPPSTFHTLYPQSPSILVHQGEGKDTSSSSTQMMNNMGNVDFPKHCVIENGMMRTNVQGWILMMTDMGPISIPSCSSNKPLETLELFPIKATGIKE >Solyc11g008870.2.1 pep chromosome:SL3.0:11:3044614:3049369:-1 gene:Solyc11g008870.2 transcript:Solyc11g008870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methylenetetrahydrofolate reductase [Source:UniProtKB/TrEMBL;Acc:K4D5E7] MKVIEKIQEAAKTDKVIFSFEFFPPKTDDGVENLFERMERMVAHGPSFCDITWGAGGSTADLTLEIVNRMQNMVCVESMMHLTCTNMPVEKIDHALDTIKANGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHMRAKYGDYFGITVAGYPEAHPDVIPANGIATKEIYENDLAYLKKKVDAGADVIVTQLFYDTDIFLKFVNDCRQIGINCPIVPGIMPINNYKGFLRMTGFCKTKIPDEIMAALEPIKDNEEAVKAYGIHLGTEMCKKILATGIKTLHLYTLNMEKSALAILMNLGLIEESKISRPLPWRRPANIFRVKEDVRPIFWANRPKSYISRTTGWDEYPHGRWSNAQNPSYGALTDYQFMRARSRDKKLQEEWAAALNSVEDIYEKFKDYCLGKLRSCPWSELDGLQPETKIINEKLGQVNTKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYLEFFCSQEKLNALVEKCKSFPYLTYMAVNKEGNWISNVNQTDINAVTWGVFPAKEIIQPTVVDPASFMIWKDEAFEIWSRGWAQLYPETDPSRKLLEQVQNSYFLVSLVDNDYINGDLFSIFKDI >Solyc12g096100.1.1.1 pep chromosome:SL3.0:12:66139042:66139623:1 gene:Solyc12g096100.1 transcript:Solyc12g096100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METCSFPRIVLSPLNPLISKNKHFQIPKSKQSHIKITPLFATLNSPKGFGPSPKKITKKSKKIPKQENEDQYDDNDDNDDDDEQERGVIPEIVTNRMISRMGFSVGIPLFIGLLFFPFFYYLKVGLKIDVPTWVPFIVSFVFFGSALLGVSYGIVSSSWDPMREGSTLGWNEAKKNWPVFWQSISGGGSRKKY >Solyc03g006470.3.1 pep chromosome:SL3.0:3:1055869:1057653:-1 gene:Solyc03g006470.3 transcript:Solyc03g006470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LSRHPLRRPPDLLTRRFPATQNSPFLPRRLRLRLFPFFFCLHIINIIYLGDYYLHGMAVRFLNRDVSDLCLGKPALRSIPENATVAEALLLLKRSGETHLSLWNCDHSSSVLEKAKADYDGCHCVGKISMVDLICFLSKQEHLIDFSKAFEVPISKILPKGDSIVRHLDPNSSLLEAIDYMIEGTQNAVIPIYNNGSTDSRRKSSSPRSTNHNGVEYCWLTQEDVARFLLNSIGVFSPMPTFSIESLNIIDHNIMTIGYHDPAISALDSITLANIEQTALAVVDNDNKLIGEISASTLAYCDEDVAAAITTLSAGDLMAYIDYGGPPEDLVGLVKMRLQEKKLDRMTKLIMEEEFSASSSSSSACSCSSDDESGSSRNGSGRFSSSRRSEAITCYPGSSLVAVLIQALAHRATSVWVIDEDQNLVGAVSFKGILKVFRSIAIARLKPETENLSTQE >Solyc12g009790.2.1 pep chromosome:SL3.0:12:2996481:3004359:1 gene:Solyc12g009790.2 transcript:Solyc12g009790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFVLGVVFGIAFGLAIIVAFVKSENARSKQRTDLASGIAAFARMTVEDSRKIFTPEQYPSWVVFSNQQKLAWLNSHLEKIWPYVDEAASELVKSSVEPILEQYRPVILASLKFSKFTLGTVAPQFTGISIIEDGNEGITMELEMQWDGNPSIILDIMTYVGVALPVQVKNIAFTGIFRLIFRPLVDEFPCFGAVCYSLRQKKKLDFTLKVIGGDMTAIPGLSDAIEGTIRDAVEDSITWPVRKVIPILPGDYSDLELKPTGVLEVKLVQAKELTNKDIIGKSDPFAVLYVRPLRDRMKKSKIINNDLNPIWNEHFEFVVEDPLTQHLVVKIYDDEGLQSAELIGCAHICLNELEPGKVKDIWLKLVKDLEIQRDQKNRGQVHLELLYCPNGMNNGLSNPFSQNESMTSLERVLKNSAEGKEASPNGSEINNRREVIVRGVLSVTVVSADDLPPADIGGKADPYVVLIMKKAQIKNKTRVVNESLNPVWNQTFDFVVEDGLHDMLMLEVWDHDTFGKDFMGRCILTLTRVLMEGEYKDSYELAEAKSGKLNLHLKWNPQPIYRDH >Solyc05g050170.1.1.1 pep chromosome:SL3.0:5:60999821:61000378:-1 gene:Solyc05g050170.1 transcript:Solyc05g050170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNANFSPFSGPSPILHFCTVCNEMFHTYSEFVNHIQITHPLSSEQDIILHSSVYASVTFLPGNPLSTQPAAPRNVRPERNNNIVIMPTPSSNLNHPMISRDRALPIDRQLMARRNWFVPIRRGTTPIMDREQMEKRNMVESSREAIADRTIPLIDQLDVPISSNANELINIDEEHIDLDLELRL >Solyc10g018940.1.1.1 pep chromosome:SL3.0:10:10953248:10953403:-1 gene:Solyc10g018940.1 transcript:Solyc10g018940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCHVIMQSVKLSAARQGSMRTRGHNKLDMQLQNDKDLRQYVEKFQDERNID >Solyc04g015590.3.1 pep chromosome:SL3.0:4:5889737:5892679:1 gene:Solyc04g015590.3 transcript:Solyc04g015590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKHRSSMVVCVTGGSGYIASFLVKKLLQNGYKVHATLRNLEDKSKVGLLKNLPNAEENLKLFKADMYRPEEFEQAIQGCEFVCHVATPFLHTDGFQYKNPVEAAVASVKNIAMSCIKSGTVKRLIYTGTVLAASPMKDDGNGFKDLMDETCWTPLNSYVEAKTACDREILNFGKDGFEVVSLGFGLVGGTTIMSNISGSMAGMLSVVTGDEIHYNQLKFIEEVDGKVPIIHIEDVCEAHIFSMENSDSMNGRFLCASAFVSSAQIATYYQQNYPEFHINQKYLDDPKREVKWGSNKLMEKGFVYKYDMKKILDDNIRSARELGDLKLPSA >Solyc05g051510.3.1 pep chromosome:SL3.0:5:62716133:62723425:-1 gene:Solyc05g051510.3 transcript:Solyc05g051510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNQAALSFLKYLAVGAAGIGAGATALSSCLYTVDGGQRAVLFDRFKGIIDDVVGEGTHFLVPWVQKPFIFDIRTKPHTFSSFSGTKDLQMVHLTLRVLSRPEVSRLPIIFKTLGLEYDEKVLPSIGNEVLKSVVAQFNADQLLTERPQVSALVRETLIRRAKDFDIELDDVAITHLSYGAEFSTAVEKKQVAQQEAERSKFVVMKAEQERRAAIIRAEGESESAKLISDATAAAGMGLIELRRIEASREIASTLAKTPNVSYLPKQNMLLGLNAAR >Solyc05g049940.3.1 pep chromosome:SL3.0:5:60625173:60640969:1 gene:Solyc05g049940.3 transcript:Solyc05g049940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METRGPISTGAQQPETLGQDDDDLSESESEEGIQELEEEIRELEQQVQQMAEKIVDFRSTLPGQLKTTLDSILTAQRPLFDTPESQPGCSNQPPTSDVEELGAALAGEVQKEAEKAQLLKQKIASNASAMPIVLNRMKEGMAKIDKLQSSNKVIHPAFRRGRSSR >Solyc09g089640.2.1 pep chromosome:SL3.0:9:69870487:69872799:-1 gene:Solyc09g089640.2 transcript:Solyc09g089640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAEPWCLRMGSQVSTNVKKHSLLIENSKRLSMKKQTQQEKLFIGILSFEVANMMSKIIHLHKSLTDSEILKLKNEIFKSVGVKALVSDDEDKLLELVLVEKLDDLNRVASVVSRLGKKCTISALQGFQHVYGDVISGVIDVKDLGFLVKDMDGMVRKMERYVNSTASLYCEMAVLNELEVATKKFQQNQHEESRKAFEQKLAWQKQDVRHLEDVSLWNQTYDKVVELLARTVCTVYARISTVFGNNVLVKRDLLGNRGFNEKSGSIVADSKSEVMDADFKKPVLRNNNGSYRSGSIEGGVSGKRSMNHSTQTKGGRNEGSLFGTENFNFACGMGPGRLFMECLSLSSASKMDFDNDVGTDDRSSQISGCCSVSSGMKRSPGSIRFSGDTRQLKSCVSDAAKHGPKSRITLYAPPTTVGGSALALHYANVIIVVEKLLQYPHLVGDEGRDDLYQMLPTSLRKTLKASLRSYMKGLAIYDAPLAHDWKERLEEILKWLAPLAHNMIRWQSERNFEQQQIVKRTNVLLLQTLYFADCQKIEAVICELLIGLNYICRFEQQQNALLDCASSIDFEDCMEWQLQFGEYSSTRCQQQRSIHSRCLVLWCLIILDISLQVIEKQGLPRVYIYALPN >Solyc11g030953.1.1 pep chromosome:SL3.0:11:24035975:24036298:-1 gene:Solyc11g030953.1 transcript:Solyc11g030953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRNLTYEEHVKEDDKHIKISEVSLPDVIFEPDMICMEVDMMKDLYGNILLSGVSTKFPVIVDRISIEITALAPSSMKIKVFAPPHILSHFS >Solyc05g014340.3.1 pep chromosome:SL3.0:5:8167987:8177437:-1 gene:Solyc05g014340.3 transcript:Solyc05g014340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine protein phosphatase 2A regulatory subunit [Source:UniProtKB/TrEMBL;Acc:K4BYF4] MIKQIFGKKKQSKTAGSTSSKKSDGGGKKVGVSANTVISAPYSVSASTGDKFVRDGSLKLNGNLVAASYDALPSFRDVPNAEKQNLFIRKLNMCCVLFDFTDPTKNLKEKEIKRQTLLELVEYVTSANVKFTEVVMQAVVKMVSTNLFRELMPQPRENKTLAFDVEEDEPAMDPSWPHLQIVYEFLLRFVASPETDAKVAKRYIDHSFVLRLLDLFDSEDPREREYLKIILHRVYGKFMVHRPYIRKAINNIFYRFVFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRTLIPLHKPKCLAMYHQQLTYCMTQFVEKDCKLADTVIRGLLKYWPVTNSSKEVMFLGELEEILEATQPPDFQRCMVPLFRRIAQCLTSLHFQVAERALFLWNNDHIENLIKQHRKVILPIIFPALERNARNHWNQAVHSLSLNIRKIFYDLDPELFKECLHNFQEDELKEDEIKSKREATWKRLEELAAKNTTSNEAVLVPSTGHSRT >Solyc06g051820.3.1 pep chromosome:SL3.0:6:35590763:35596440:-1 gene:Solyc06g051820.3 transcript:Solyc06g051820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWFQSSNRKVQLPAQAQTPPVLDKDERIAKDDADAESLKRAPTDSNVTEDQNRDPFMGVKVRRKASFHRNYIGDYLDVPSRPYLMKILEKQGDKKVLFADKVLKFTSTGKMKRRIFLVTDFAVYIVDPNIDALKRRISLAAVEKLCLSELSDNFLAIIIPTEYDLLIASTRKTEIVSVLVDATRSQSDYELEVLLSNRQVQCNFLIDFELSIVLSSGLSVF >Solyc07g048030.3.1 pep chromosome:SL3.0:7:59344653:59347021:1 gene:Solyc07g048030.3 transcript:Solyc07g048030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDLGKLFIGGISWDTNEERLKEYFSTYGEVLEAVIMKDRTTGRARGFGFIVFADPAVADRVIKEKHNIDGRMVEAKKAVPRDDQSTISRSSPSIQGSPGPGRTRKIFVGGLASTVTETDFKEYFEQFGTITDVVVMYDHNTQRPRGFGFITYDSEDAVDKVLLKPFHELNGKMVEVKRAVPKELSPGPRLGGFNHPLSRINNFLNGYTQGYSPNTVAGYGVRMDGRFSPIAGGRSGFAPFGSGYGMGLNFEPGLSPGYGGSANFNSNLSYGRGLNPYYVNSSNRVGGPIGFDGVNGGSSSFFRNELHKL >Solyc01g086890.3.1 pep chromosome:SL3.0:1:81683544:81690671:-1 gene:Solyc01g086890.3 transcript:Solyc01g086890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKPTDSSLFVNDGSFMERFKQLQQEKEKEKLKGSSSKESKTTSSILGASTPKAVISKPSLEFKVNSSRKTATVASGGKLAFSLKQKSKIPAPSVKLGEDEDDEDEKDAGNSSGDGPIKRQRVEEPRALHQPLRQIDVAPNPPSDPAVKKVADKLASFVAKHGRQFENITRQKNPGDTPFKFLFDESCPDYKYYEYQLSEEEKVLSQTSEAQTSHRATSTATPSSTSSSHRSHQQHLNYQTPASALWGATENTSSSEPAGNAGHSSGPSGSDPIAMMEYYMKKAADEEKLRAPRSSKDEMPPPASLQVPGKKGHHMGDYIPPEELAKFLSTCNDVGARKAAIEAAERSKIQADNIGHKLLSKMGWREGEGLGSSKSGIADPIAAGSIKSNNLGVGAHQPGEVTPEDDIYDQYKKRMMLGYKYRPNPLNNPRKAYY >Solyc03g096990.3.1.1 pep chromosome:SL3.0:3:60788326:60792791:1 gene:Solyc03g096990.3 transcript:Solyc03g096990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIWFIVVVTLCVAFWLKSICNIIVSPNSNTKRNLPPGPYSFPVIGSLLWAKRTFSDLEPILRDLKAKYGPLITLNIGSRSAIFVASHSLAYQALVQQGAIFSNRPKAGPTSAVVNSNQRNISSAPYGPIWRLLRRNLTSEILHPSRIKSYSKARSWVLGILIQQLRNAQVDSVKLIDHFQYAMFCLLVLMCFGDKLEETQIRQIENIQRKLLLGFTRFNIINIFPRVGKIIFRNRWKELIELRQEQESIIIPLIEARSRAREQRTEHGDEFVVAYVDTLLNLEFPEEKRNLNHGEIVTLCSEFLSAGTDTTSTALQWIMANLVKNPSIQEKLYQEIATVVGEKQSKLTDEEVVKEEDLQKMPYLKAVILEGLRRHPPGHFVLPHTVTEEVELNGYVIPKDVTINFMVAEMGLDPKVWEDPLEFRPERFLVEGSDNEGFDITGSREIKMMPFGAGRRICPAYALAMLHLEFFVANLIWHFQWKPVEGDDVDLTEILEFTVVMKNPLRARICPRVNSV >Solyc02g091180.1.1.1 pep chromosome:SL3.0:2:53203500:53204000:1 gene:Solyc02g091180.1 transcript:Solyc02g091180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNYISCTLSGPGGNKESRGIKVIFPCGEIRHFYEPIKAAEIMMETPNFFLVNTRSLHIGRRFSALNADEDLEMGNVYVMFPMKRVNSFVSAGDMGALLLTANSVSKRVSIGSLRILPEENYCTLPKLNLDDIEDFSSEEFKHRLSMCRSKKPLLETIAEEPVCLR >Solyc09g064880.1.1.1 pep chromosome:SL3.0:9:62602926:62603102:1 gene:Solyc09g064880.1 transcript:Solyc09g064880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNKKMVNGGTVINWICINFSRNVQESVTHGFCSKLAQMCGISGMNINPNLVLHKCTP >Solyc08g082130.3.1 pep chromosome:SL3.0:8:65130648:65140595:1 gene:Solyc08g082130.3 transcript:Solyc08g082130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVQCNKPTEQNINHVHSSTVSNKISTPTSQKPVGNKTNTSMSQMAVCNKTSTPTSQKTVYNKNSTPTVQKTNNENHSFAEKMREMSHKIFHREHHTKAPIQKGGQPVCHASCATHGTHANHTQHSATHTTTNGKKKTEGHCMPMRRNKQEKYKFSDSSSSSDDSDNEKCGRKKN >Solyc02g092120.3.1 pep chromosome:SL3.0:2:53963296:53964278:1 gene:Solyc02g092120.3 transcript:Solyc02g092120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative phytosulfokine peptide [Source:UniProtKB/TrEMBL;Acc:Q7PCA8] MSKANTSFFFIILLLCFALSYASRPAPAFHEASLNIDHHQDHVRESKQVANEESCNGGQDEECLERRNLAAHLDYIYTQNQNP >Solyc11g070100.2.1 pep chromosome:SL3.0:11:54928927:54933766:1 gene:Solyc11g070100.2 transcript:Solyc11g070100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGTGEEKVMGPMFPRLNVNDTEKGGPRAPPRNKMALYEQLSIPSQRYNPGDLPHNSSNSANLVLPHPSQENEHERGVLFSRQLPALRHPVEKPYGRSSGSNTPLREVKSKRQTEKEDFRVPTFDNSKERAVNTEDYSKGTSDIDKRDSTLKRTDQLSHVTPRENLVNTFGESHKTNIVQLEFRSDCQVDCTVFSGSVIDVDNDSQEDKTCKSSQTGEMAHSDELSETSMVEYVSEMDISPDNVVRMIGQKHFWKARRAIANQQRTLALQVFELHRLLKVQKLIAGSPNLNLEDNAYLGKPLKRLSAKRVCLEHSVKAPEIVSRPKNDSEKPKGRMECNAENDVGKTSLSSVRQPSSCNPLSEKQLPTPVKHDSLMSPWYFNQPPGHQWLIPMMSPSEGLVYKPHSGPAVMSPVYGGCGPPGSTPMTGNFLASAYGLPFAPPTGHGYFRPFGMPVTNPAIPSPTQNQSNQVVASHSKGQLSGGRASFNIQHPNSSNVGSESDGTLPEVVRLYPSRDSELHASTAGSPSEITRAVDMGNSTRGRSAFHHFPTSPAVDNPILRPQPHFPERPARAIKAIPHNARSATESVARIFQSIQEERKQY >Solyc06g083150.3.1 pep chromosome:SL3.0:6:48732281:48737127:1 gene:Solyc06g083150.3 transcript:Solyc06g083150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPIVGSKQAEQLKQDGNNYFQKNRFGAAIDAYTEAITLCPNVPIYWTNRALCHRRRNDWRRVEEDCRRAIQLDHNSVKAHYYLGLALLQKEAFGEGVRELEKALDLGRGVNPGRYIVGEIWEELAKAKYMEWEHESTRRSWELQNLKESCESALKERHMLDSSQTEGFKDEKSTALFKQLKALGKVFVKAAADDTPTEVPDYLCCKISLDIFRDPVITPSGFTYERAVILEHLQKVGKFDPITREPLLPSQLVPNLAIKESVRAFLDRHGWAYRI >Solyc09g098490.3.1 pep chromosome:SL3.0:9:72805386:72812777:1 gene:Solyc09g098490.3 transcript:Solyc09g098490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCQQKYKNLLQIFPSYIKHNNFSTTQYIVMEFPELSLAPSHLANYESHQINLPMKRKQEMIQASVDLQLKDPLPLDWEQCLDLQSGRMYYLNRKTLRKTWDWPKDQKLDLELNMSSRSIPMDDDHDYDYYSKKHNSSGSNNMIALPCSNCHLLVIVSQSSPCCPNCKYVHSLVNFIKMKKALGQTVRDLKRGVNKNVLKVPSLEQKALVVLDYLVANGPERVIHEISEHSYQISMLSHFQYIDSTGKDQGIHIRNKSQALLLLVNDKEKIQQLRLKAATNRDKFHNTSRGDMHRPGSYSTSGQRYRDRNEYGREIEWGYREEEKYGRHDGDRSPDEKTNDDHVGTSQSVDDYSSESRYDNTDDGQYSPSFHQFDKVLIFFTSTNALLLPMTNRESGARAEDHSQDGSVNGTQHERRFSEQNLDLPPSFEESIGASQSPTQSERNVESTSTSAPKASSPHAIESPNKEATPAPAPVAASLSTPLSATTPPENKDIENFDDFDPRASVSVARAQSNNPIPTTSVGTEVDPLGCLSDPFSSDSLVLASTATTTSEVNASRTTNADFLQMFEDPFGDGPFKAVPTSDIVQNQPLNISPQSFPPNSNLSPELCAGIPSITYAATNYAHQELSTSNHDIDILADILPPSAPSPSLHPSSDHAISSVPFIAQTGFPPYTGLAVQPTGYTTTHVQDASPTGFAAQPGLQLPQTSFPVHGQPLSQIGLTGQMSNSSPYFCGYSLQPGQRPHTGFGPQSGQFAPLNGFPFASGSFPHPEIQVAAGQSPQTNVNFGGYSTGLGDTSSVRMQMGQTPDGPSFLAQAATASHMPSQMNLQYSQNQTNNVSMSILSTPVSTALISSSQPAKDKFETKSTVWADTLSRGLVNLNISGSKTNPLADIGVDFDAINRKEKRMEKPSITTPVTSTVTMGKAMGSGSGIGRAGAGALRPLSNPMAGVGMGMGVGAPGVYGVSQPMGMGMSRPMNMNMVQGVQMQQPTGGSTMPGGYNPMMGRGNYGQQPFGGGYR >Solyc01g106920.3.1 pep chromosome:SL3.0:1:94521908:94526260:-1 gene:Solyc01g106920.3 transcript:Solyc01g106920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVQAKDELVPHPVKDQLPGVDYCINSNPSWAEAVILGFQHYLVMLGTSVIIPTIIVPQMGGGNVEKAQVIQTLLFVAGVNTLLQSWFGTRLPVVIGGSFRFIIPAVFIALSNRYNAYLDPRERFKQSMRSMQGALMIASVIPALAGFLGVWRIVTRFLCPLSKAPLVLLVGIGLYEQGFPLLGECVEIGLPELVLLILLSQFIPHMWKLKLPIFERFAVLLSVGIVWAFAALLTVAGAYKNRPLQTQFSCRVDRSGLVSGASWIRFPYPLQWGIPNVDAGQVFMMMAAVFVSLVEPGVVDIALLKNLFLLFCQSTGGFIAAARYGSATFCPASVLSRGAGWLGLSTLINGLWGTPSGSTVSVENVGLLALTRVGSRRVIQISAVFMLFFSVLVMANKQENLELFLLQYLCQSLELYIVSCLPSCVFAILCLLDEAAAAGFDLLQFCNLNSYRTKFILGFSIYMGLSVPQYFKGYVITTGRGPVQSGSATFDQIMQVIFTSPATVAGVTAYFLDLTLARRHPLTRKDSGRHWWAKFKYYGRDPRSEEFYSLPYGLSKYFPSV >Solyc01g080250.3.1 pep chromosome:SL3.0:1:79326600:79335921:-1 gene:Solyc01g080250.3 transcript:Solyc01g080250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTFRVPSEPSKPLNSSSSQPTTTTSTSRIDTINGSHEFKVDGYALSKGMGIGKYVTSEIFTVGGHSWAVYFYPDGKSSEDNGTYVSLFIALASDATDVRALFELSLMDQSGNERHKVHTHFGRVLETGPYTLKYRGSMWGYKRFFRRTLLETSDYLKDDCLLIQCTVGVVRSYNETPKTFSLPVPPSDIGLHFGQLLESGEGADIKFEVEGEVFAAHKLVLAARSPVLRAQLFGPLKEENIQSIRVEEIQAPVFKALLHFIYWDSLPDLQELVGLDTKWAVALMAQHLLAAADQYGLERLRALCEAKLCEDVTINTVATTLALADQHHCVQLKSVCLKFIALPENLKAVMQSEGFDHLKESCPSVITELLKYVAGMNEHAIISYVHGGHILDGTDVNGRRVKQRIY >Solyc12g008810.2.1 pep chromosome:SL3.0:12:2150573:2155946:-1 gene:Solyc12g008810.2 transcript:Solyc12g008810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATYLWRKYADYVYTKWEKTLLWDMVEPFRRPKSFTPLVTIYVCAFYTGVIGAAITEQVYKEKYWEDHPGQDVPLMKPMFYGGPWRVMRGDVPPMGKFDF >Solyc09g090610.3.1 pep chromosome:SL3.0:9:70549014:70551499:-1 gene:Solyc09g090610.3 transcript:Solyc09g090610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Solyc05g055710.3.1 pep chromosome:SL3.0:5:66099105:66102665:1 gene:Solyc05g055710.3 transcript:Solyc05g055710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKPIVSFVLLLLFSCNLVHGEDPYINYEWKVTYGTLSPLGVPQQVILINGEFPGPKINCTSNNNIVVNVVNQLDEPFLITWKGIQQRKNSWQDGTLGTNCPIPPGKNFTYRFQVKDQIGSYYYHPTTALHRAVGGYGPLTIHSRALIPVPFNPPADDFTVLVSDWYAKTHKTLKGLLDTGRSLGRPDGIVINGKSGKGDGKDAPMFTLVKGKTYRFRFCNVGMKDTINVRFQGHTMKLVEMEGSHTVQNMYEMLDLHLGQCLSVLINADQNPKDYYLVATSRFTKESHFATATLRYVNGVGGAAPLEKKEAPKGWEWSLNQFNSFRWNLTASSARPNPQGSYHYGQINITRTIRLVSTDGVVNGKQRYAINGVSHTDPETPIKLAQYYGVADKVFKYGLCKDEYMPPNGNEVITIAPNVVNVTYRNFVEIIFENHEKSVQSWQLDGFNFFADRTGEMRQNYNLLDAVSRSTIQVYPNSWAAIMTTFDNAGVWNLRSNALEKQYLGHQLYLSVNAPNTSLKDEYNMPDNELLCGIINGLPRPKPYAI >Solyc03g026210.3.1 pep chromosome:SL3.0:3:3633575:3637779:-1 gene:Solyc03g026210.3 transcript:Solyc03g026210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVLSCQTYFINSKKIIKTKPFICCSSSQNNNVKVIINGAAKEIGRAAVIAVTKARGMELAGAVDSNFVGQDIGQVCDMEEPLEIPIINDLTMVLGSISQLKATGVVIDFTDPSTVYDNVKQATAFGMNSVVYVPRIKQETVMALSMLCDKASTVRVQGLFGCTNSFNWIHTSSTSSNFSFFSLQQC >Solyc04g074590.3.1 pep chromosome:SL3.0:4:60639188:60643719:1 gene:Solyc04g074590.3 transcript:Solyc04g074590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLHCCFTGTTLHSLKLAAIPYFSRTLTSRLTPYYPRPLFPLAVRSLSAAAVVQTAATSTDEYQVIRLISDE >Solyc12g011420.2.1 pep chromosome:SL3.0:12:4244615:4251442:-1 gene:Solyc12g011420.2 transcript:Solyc12g011420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASITEVTILHHVCIVLIVIWLLNSFNYGHPLVYFISLIYLYLVNEQYVTRLKKKLQFEEKRQSNQRRVLSDSETVRWLNHALEKIWPVCMENIVSQKILLPIIPWFMQKYKPWTVKDIAVQSLYLGRSPPMFTEMRVLRESTGDDHLVLELGMNFRSADDMSAILAAKLKKRLGFGMVTKLHLLGMHIEGKVLFGVKFLRKWPFLGRMRVCFAEPPYFQMTVKPIFTHGIDVTELPGVAGWLDNLLSVAFEQTLVEPNMLVVHMEKFVSPQQEESWFSIDAKEPIAHVILEVLEAEDLKPADLNGLSDPYVKGHLGPYRFKTRTQKKTLAPQWQEEFKIPVCSWESPNDMLNIDVCDRDHFSADETLGDYSINICDYRDGQRHDIWLSLHNVKMGRLRLAITVVEGSEKFTEQSSEQAKTDIKQESNSDEMDTAERGSLPTEMDKQSSKIADTYEPINIEGQKETGMWVHHPGSEVPQVWEQRKGKSRVIDGEVHGSDADSMGGSFKLRGGGSTRGDDSDEGSGSKKSNLINRGINKIGSFFHKSQKSEDRSINLGDPVPSPQANLKAVSAKGIGVNLIMNDSVETTPRDDSKEAHEGNVQCSSSNKSRVRDKAKNILRHVSRKSSRKSKGELEPTASERDLSVESDSSDDFTPLVGSPLGRSVSGRFIASTGTENPNGNTLKSSELVSDKGNMKTSEELVHDKAMNSVSPKVSNL >Solyc06g083937.1.1 pep chromosome:SL3.0:6:49278744:49281172:-1 gene:Solyc06g083937.1 transcript:Solyc06g083937.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGYLMTSSDGKCSSIWYISIHASLRWLAYLAFSRHLNQIKQPPLNSSMIRTLKHPFVVWLQRMVLPGSSRVGEKLTNIDSEKKQQALSMAHSNTCCLDGSRSIIQVILGKIFLVFDFRIFIFAYSYPLCDIVRDMAMLALSTSALCSGSHLKLRGLKLLRIVADKLQTGERPDVSINICQPWNACRLEREYSKHNFATEIFYNGFEHTFVA >Solyc10g039240.1.1 pep chromosome:SL3.0:10:20942108:20943720:1 gene:Solyc10g039240.1 transcript:Solyc10g039240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGQARMELLPELKIPIEVGQNIEKSQKELLFLACDQL >Solyc05g050325.1.1 pep chromosome:SL3.0:5:61297777:61300076:1 gene:Solyc05g050325.1 transcript:Solyc05g050325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSREMEYDGIVLESWSRWMAYGILHNSDMRNLALQFIKQLGEAMHSVNLERNGKTNLQLVYVIGPPRTDKLQEHDFGPEDLQALYDAVDGFSLMTYDHSSPYNPGPNAPLKWIRSTLHLLVGAGSKSRRLGEKIFVGINFYGNDFVMSGGLGGGPIIAHEYLSLLEQHKPVFQWEENSAEHFFLYSDNQNVQHAVFYPTLMSLAMRLEEARTWGAGISIWEIGQGLEYFFDIF >Solyc10g080815.1.1 pep chromosome:SL3.0:10:62136076:62137412:1 gene:Solyc10g080815.1 transcript:Solyc10g080815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFIQMKIVAATIIYNYHIQLGVDQIIAPSASIIIQMKHGLKVRLEKRLKELILNLNNSLISISKEMDALELFLPLLIIIMCFTWWYLSNRWWRLSSVPTNWPLVGMLPGLFRNAHRVHELQLMFLWKLRELLSFMVLFLPT >Solyc06g006110.3.1 pep chromosome:SL3.0:6:1141736:1148761:1 gene:Solyc06g006110.3 transcript:Solyc06g006110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Vacuolar cation/proton exchanger [Source:UniProtKB/TrEMBL;Acc:K4C393] MGSLRDLEHNVGEELDRKNDEEIIMKKKKNAQLFRILLVNIQEVILGTKLCLLLPAIPLAILAQYYHFARPWIFAFSLLGLAPLAERVSFLTEQIAHFTGPTVGGLLNATCGNATEMIIGLFALYQRKIHVLKYSLLGSILSNLLLVLGSSLFCGGLANIKKEQTFDRKQADVNSLLLLLGLLCHMLPLMYRLALGPSINNNVATSILGLSRVSSIGMLIAYAAYLFFQLKTHRQFFESSEEEEEENKVSEDEEAVIGFWSSFAWLIGMTITIALLSEYVVGTIEAASDSWGISVSFISLILLPIVGNAAEHAGSIIFALKNKLDISLGVALGSASQISMFVVPLCVIMGWIIGVDMDLDFSILETGSLAFSIILIAFTLQDGTSHYMKGIILCLAYCVIGACFFFHKIPINIENYSSGIMSS >Solyc11g069410.2.1 pep chromosome:SL3.0:11:54292234:54296315:1 gene:Solyc11g069410.2 transcript:Solyc11g069410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISILTQERLLGAALGTVVASVMVFEQRKSIYKSIAEHQSRFSPQSQTTVYIPKKESGIEFAHLWNKAVDQALGPLIKSLGSRGW >Solyc04g049910.3.1 pep chromosome:SL3.0:4:44660723:44673519:1 gene:Solyc04g049910.3 transcript:Solyc04g049910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEPASPGGGGGSHESGGDPSPQSNLREQDRYLPIANIGRIMKKALPANGKIAKDSKDTVQECVSEFISFITSEASDKCQKEKRKTINGDDLLSALATLGFEDYIEPLKVYLTRYREVNATLLHALSGFGTGFSYEPLYCLYFMFY >Solyc11g072790.2.1 pep chromosome:SL3.0:11:56284482:56287630:1 gene:Solyc11g072790.2 transcript:Solyc11g072790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFLKREKMGRPTRWSPTPEQLMFLEEMYRKGLRNPNATQIQSITCHLSSFGKIEGKNVFYWFQNHKARDRQKLKKKLLAQMNQQQILAQYPIDAHSTTTTTTNSNNNTLFHCPTTDQYQICPLTSTTALLQEGEIKEASSQVMTYLYPMDLSKPADQNMENCMIRPYGKDWIVMMNINPNNLPYCVNRPLKTLPLFPITTTDDLKDQTTSSTSLSL >Solyc12g056930.2.1 pep chromosome:SL3.0:12:63949651:63954936:1 gene:Solyc12g056930.2 transcript:Solyc12g056930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNADAEEKKEMDIRSFSSSQHNQTPYIHKVGVPPKQGIFKEFKTTVKETFFADDPLRSFKDQPRSRKLVLGLQAIFPILDWGRSYNLRKFRGDLISGLTIASLCIPQDIGYSKLANLDPQYGLYSSFVPPLVYAFMGSSRDIAIGPVAVVSLLLGTLLRNEIDPSKHPAEYLRLAFTATFFAGITQATLGILRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIISVMKSVFHSVEHEWNWQTILIGATFLTFLLFAKYIGKKNKKLFWVPAIAPLISVILSTFFVYITHADKRGVAIVGRIEKGINPPSVDKIYFSGDYLMKGIRTGIVAGMIALTEAVAIGRTFASMKDYQLDGNKEMVALGAMNIVGSMTSCYVATGSFSRSAVNYMAGCQTAFSNIVMSVVVFLTLEFITPLFKFTPNAILAAIIISAVLGLIDYEAAILIWKIDKFDFVACIGAFFGVVFASVEIGLLIAVTISFAKILLQVTRPRTATLGRIPRTNVYRNTQQYPEATKVPGVLIVRVDSAIYFSNSNYIKERILRWLMDEEEQRKATSDPKIQFLIVEMSPVTDIDTSGIHALEELHRSLIKRNVQLVLSNPGRVVIDKLHASKFPDQIGEDKIFLTVADAVLTCSLKLPEEV >Solyc05g015880.3.1 pep chromosome:SL3.0:5:12618509:12621349:-1 gene:Solyc05g015880.3 transcript:Solyc05g015880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLGWMHRKFRQNSSEPLKEFSVGNPCTCLTGQPSLDDIHCYPKSNFYNKSLSKTQRDNHLRKSFAGLEAAARADHYDLEEESSAALSELFHGFLAIGTLGTDPLLDDPSTPTFSISVENIAEKDTEVTENELKLINDELEKVLGAEAKDDTCNLSSGRNSYVSTGRSSHGSTITLSGKQLESAENNGNGTTVCPLQGYLFGSTVEMQETTSASAKKEHRPSLGELFQKTKLAEENYGPKYHEKRTDKDSDKSAVHLMKKILKKKMLHASSRNSVSASGGTVDSVSAESKPHKILQMFHRKVHPESSMKPDKFLKNERAHDRGGLSLARDDITIIPHHRLSKDSIKGQPIMQQSTVDGDSNENRECWIKTDADYFVLEL >Solyc02g091030.3.1 pep chromosome:SL3.0:2:53103518:53112792:1 gene:Solyc02g091030.3 transcript:Solyc02g091030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQYREDMHFDSSLMELPESSSALPSELQRPICMHSSMPSNNKIQQDEDERDPKFMQLLKENLSCFWNQQKEEILRADPKRKPEMPISRIRRAMKSNDQVKMVSATSTVLLSKAIEMLIMDLTLRAWMQADKGKCRTLKRYDFARAIRDEELFDFLSDIVPLQTYKVQKDANDGQGNEPHPAYQVLEPSNIPVEEDANGSQGNEFHPAGQMVQHQKILVEEANDVQGNKFDLANRMVQPHNVPVQVQANDGEGYEVHPAYQMVEPNKTSVLKVANDGQGNESNPAYQLVQPNDIAVEEDLNGSQGNEFHPVCQMVQPNNIPASFISHRGIPVPLVDLFPEFEFNSHDLLMEEANDVQGNKFHLANQMVQLQNIPVQGEENDGQGNEFEPASNVQPDNIPLLYQFHVRGEENDAQGNEFNQAFNVQPNNIPVRGGENDYQGNEFEPPSNVQPIYIPVRGEENDGQGNEFDPASNVLPNNNPVRGEENDGQDNEFEPASNVQPNNIPLYQFQVRGEENDGQGNEFNQTSNVFNIPVRGEENGGQGNEFNQAFNVQPDNIPVRGGENDYQGNEFEPPSNVQPINIPVRGEENVGQGNEFDPASNVQPNNILQLYQFQVRGEENDGQGNEFNQASNVFDIPVRGEENDGQGNEFNQAFNVQPDNIPQLYQFQVRGGENDYQGNEFEPPSNVQPINIPVRGGEKDYQGNEFEPPSNVQPINIPVQAEANDGQGNEFHPTYQMGQPNNIPASFIIPEPLMLPPLINSSPEPEFDIGEFLMDIEEGL >Solyc10g086133.1.1 pep chromosome:SL3.0:10:65197353:65201533:-1 gene:Solyc10g086133.1 transcript:Solyc10g086133.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPGNDENRNKAHEMLAMVNTCCYFYTKFGTKILEYISLHKTQLRLLHYPASFLRLQLPESTVYTENLATNSNALAPPELEEGLTKLGLHDGRPQIWNQVLEMPCIQMESKS >Solyc01g065770.2.1 pep chromosome:SL3.0:1:72215378:72216005:-1 gene:Solyc01g065770.2 transcript:Solyc01g065770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSFILRSVVNPIYISMMAQEHAHSSVVERLLNCELRVLFREITRISNHSLALTTHAMDVRASTSFLWAFEEREKLLEFYERVSGARMHASFIRPGGVSQDLSLGLCIDIDSFTQQFASRIDELEEMSTDNRIWKQRLVDICTDWGFSVVILRGSGVCWDLQKAAPYDVHDQLDLHIPVGTRGDRYDCYCIRIEEM >Solyc05g026140.3.1 pep chromosome:SL3.0:5:39848754:39854099:1 gene:Solyc05g026140.3 transcript:Solyc05g026140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILDKIKMQRKESDERPPELPISWVEISNSISRQYQFQPDGKLSVKMVDDSRPAAQRVMESFLNKFFPSGYPYSVNEGYMRYTQFRALQHFTSASLSVLSTQSLLFAAGLRPTPAQATAVSWILRDGMQHVGKLICSNLGARMDSEPKRWRILADVLYDFGTGLEVMSPLCPHLFLEVAGLGNFAKDAICEKFVVSNVNDVIFLWSYQGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGLGAGIHLASTICSSMQGKSEIVFVPVDYRVLCDSGNIEYLQLVVAPLLSVIHIYSVCEEMRAAPVNTLNPQRTAMIVADFVKTGRISSPADLRYREDLLFPGRLIEDAGKVKVGRSLHEVVRPSKLKQFKEAFLEEKFLLNHGSRWTDMILEHNATGEDALRGWLVAAYASDMERLVHEPSANILQEAYDKMNSTFSPFLAELQAKGWHTDRFLDGTGNRFAF >Solyc06g054460.1.1.1 pep chromosome:SL3.0:6:37342242:37343258:-1 gene:Solyc06g054460.1 transcript:Solyc06g054460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-adenosylmethionine decarboxylase proenzyme [Source:UniProtKB/TrEMBL;Acc:K4C6D2] MAASGFEGFEKRLEIHFSGDDPAIEMGGLRQLDFDSLEQVLHAVQCTVVSAVSNQYFDSYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPFIHFACQMGLIITECRYTRGNYIFPKAQPYPHTSFKEEISYLQDQLPNHLCYRKASVMPSKFTSHSWHVFSACDKFYPIPIPNDQYTVEICMTDLDLVLARKFFKNPDTTGKQMTEVTGIGEIIPSALICEFAFDPCGYSMNGIDGDRYSTIHVTPEDGFSYASYECVGSVYDEDDIDRILKKVVQVFLPGTMSVSISCSSSSSSSEISTRIVKAVEPLGMKRRNCTIDEFPAAGTVVFQTFTLTGNR >Solyc08g005640.3.1 pep chromosome:SL3.0:8:503446:510246:1 gene:Solyc08g005640.3 transcript:Solyc08g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQFHPMQFSKDHVEQDAAIVPLHQCLLEEFLHFLSGLGFIETYGCAVDNKDQISPLGFEVIFSSMIKFAEKLNLNLPLNLHLVNLVNCKKDSTIKRNDEYMGEGVGELCDWKEIIKLHQRQNGSLFDSPATTAAALIYHQRDQKCYEYLNSILQQHKNWVPTMYPTMIHSLLCLVDTLQNLGVHRHFKSEIKKALDEIYRLWQQKNEEIFSNITHCAMAFRLLRMSNYDVSSDELAEFMDEEHFFTTSGKYTSHVEILELHKASQLAIDQEKDDILDKINNWTRTFMEQKLLNNGFIDRMSKKEVELALRKFYTTYDRAENRRYIKSYEENNFKILKAAYRSPNINNKDLLIFSIHDFDLCQTQHREELQQLKRWFQDCRLDQLGLSEQFISTTYLIGIAVVSEPEFSNARLMYAKYVMLLTIVDDLFDGFASKDELLNIIQLVERWDDYASVGYNSERVKVFFSVFYKSIEELATIAEIKQGRSVKNHLINLWLEVMKMMLIERIEWWTSKTIPSIEEYLYVTSITFGSRLIPLTTQYFLGIKISKDLLESDEIYGLCNCTGIVMRLLNDLQTYKREQGESSMNLVTILMTQSPRRTNICEEEAIMKIKEILEMNRRELLKMVLVQKKGSQLPQLCKDIFWRTSKMVYFTYSHGDEYRFPEEMKNHIDEVIYKPLNH >Solyc03g065070.1.1 pep chromosome:SL3.0:3:41656839:41658244:-1 gene:Solyc03g065070.1 transcript:Solyc03g065070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNIKFHVDIDVDFDTYVHIDVHDNIHVDIHVNVHINIGVYIDVHFDIHVHIQVDVHVYVHIDIYINVHIHIDVSSDIYIYVYSNIVINIHFDIHIDIDIEVYINVDVDFHVDVQVDIHVDVDVDIYVNVDVDVYFDFDVDVDIDIDVHNDLYFDFNVHIYIDVDVHVHVNVYVDIMFTLIFTLMFTFIFLLTFIFHIHVDNDFHFQFDVYFDVDIDVNVGADIDFDVDVEIDIDVHADLYFDVDIHVHIYVEIDIQVYVNIYVDIEAQIDVHADFQVNVHIYVLADTINTFIFTLMLMLILKITFMLTFTLMLILTFMFTLTFTFTLTFT >Solyc09g010230.2.1 pep chromosome:SL3.0:9:3624707:3629129:1 gene:Solyc09g010230.2 transcript:Solyc09g010230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSINHISSETFWWTKKQPPSFPNMFEFNLNNNMNNNSTNEDDELVQNEDKFEEIPRDHLFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGSNNSNSNNNNIDGGTEKGLLLSFEDESGKSWRFRYSYWNSSQSYVLTKGWSRFVKEKRLDAGDIVLFDRHRSDTDRLFIGWRRRNIISAPISTAAVRHNTAAAMTGNIGGGGGGWAHVYYGGHPYPRAGAGLPYQPDCLHAGRGAMQNQTTTSVNNNTRRHVRLFGVNLECEADDSSWSEPPPTPDGGGSSTSSHHQDHGRSQDQASQHYYQYQFQYSKPHVAVSATASSYNNNHHNHKDMNFSRDVNQMRYHQG >Solyc06g068180.3.1 pep chromosome:SL3.0:6:42364343:42365809:1 gene:Solyc06g068180.3 transcript:Solyc06g068180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAMVCGKRSFFDDLQSSSPTSPSTPVSKKLRCASTSPRRFSPPPPEEASVDHLTALFRDVDCQV >Solyc01g010950.3.1 pep chromosome:SL3.0:1:6444329:6457538:-1 gene:Solyc01g010950.3 transcript:Solyc01g010950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSEGSSGGGEPATSSTEKKKKEKARVSRTSLILWKTHQNDKSAVRKLLEEDPTLVQARDYDNRTPLHVAALHGWIDIAKCLIEYGADVNSQDRWRNTPLADAEGAKKHGMIELLKSYGGLSYGQDGSHFEPKAVPPPLPKKCDWEIDPTELDFSNSAIIGKGSFGEIIKACWRGTPVAVKRILPNLSDDQLVIQDFRHEVNLLVKLRHPNVVQFLGAVTEKKPLMLITEYLRGGDLHQYLKGKGALSPSTAVNFALDIARGLAYLHNEPNVVIHRDLKPRNVLLVNSSADHLKVGDFGLSKLIRVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMIFYEMLEGDPPLSHYEPYEAAKYVADGHRPMFRAKGWTPELKELVEQCWAKDVNKRPSFLEILKTLEKIKLGLPSDNHWNIFT >Solyc10g086710.2.1 pep chromosome:SL3.0:10:65591905:65592967:-1 gene:Solyc10g086710.2 transcript:Solyc10g086710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTCIMRSCFILFFVSMVFLQGTTLECFCSGSFICEDLATNVCGFAISTSGKRCLLENSSGKDGKVEYQCKTSEVVVANMREHIETEECVDACGVDRNTVGISSDALLDSQFTSKLCSLDCYHHCPNIIDLYFNLAAGEGVYLPDLCNKQRTNPHRAIIELSSNGGPIEDAPAPSPLSFLI >Solyc09g011250.3.1 pep chromosome:SL3.0:9:4581359:4587630:1 gene:Solyc09g011250.3 transcript:Solyc09g011250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYSAIRRASKFTIPLALRSVATATASTVGNGHCGGASRSFLIPALPYSTSAVKKKRKQSSFDDILLQIVDSEIKFAIDSEFHDCVVDIPDDFPFKVHDKAGKRVIVLTRDYGEETISIVVDMPNSRCENIEDDAVNSEEESEPQPCIPLSVTVSKENGLSLEFDVRAFPNKISICGMSIKQPKSSNNQLDYRGHAFSFLNESLQKSVYEYIEARGLTGSTANFILEYVISKDTKEYIRWLKNIKNFVEN >Solyc07g045550.2.1 pep chromosome:SL3.0:7:58809719:58812107:-1 gene:Solyc07g045550.2 transcript:Solyc07g045550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VCSTWRAVSRSDLLWQNLSNRIWNRDRLLHPTWHDEYVYWHRTSNNFRQCRYVYRTLHFVRANNDDDNNDGLSCRRIALSDRHLAAGFSDGSVQLFHLISRAHLSTYYPQQRDRLGRYSRAISGIILSDEKLVFASLDGDIHVVVLGEADQYPPRRARLGDVVNDGALVDFTGRGRWWIGLYAGVPGRAFHVWNSETEQLIFADGDLTDPEALTGWHLLTELMDLVGRVRVTARDTAVACTGPQLVVIDLHNQGLILRERSFQHELIVGSFDATSDSLVAVDGRGVATVRRTEDLDESCRFNVRGASQRGVVGSMNSSGYGVMCVGEVIRVWEVENGVYLYSLRERIGEVNAMHANERHIVACSSDGTIHLWDFGA >Solyc07g021590.1.1 pep chromosome:SL3.0:7:19698421:19698805:-1 gene:Solyc07g021590.1 transcript:Solyc07g021590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKFEQIIKACSLFTFLIVAPSLLVVFGVGAAGCRLKLQLLGDLAGFGLEAAENQKREGEGRGSPVVVGTCRSSLHRCCSLAASLAKGEEENEGVAPPNAGGCSLLVVAACHC >Solyc04g082240.1.1.1 pep chromosome:SL3.0:4:66063104:66063796:-1 gene:Solyc04g082240.1 transcript:Solyc04g082240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPNFDALRELHDSANDLLHSSMIKREIAHQGQEKWVHDVSETSLRMLEVCTTTKDVILLVKDHLHDLKSTFRRISVGDNNNKFTVSFHCQRKKLKKEILKRLHSLKGMKLSLGSDQSSESKNNLMAVVNVLREVKGAAMSIVESLMSLMLMPSPNNKKLNKGYFFGSKLMRVNSFTSWEKCDAMTLQCVNKRLEAVEIVVEDVLEGELECIIRRLIRTRVSLLNILTC >Solyc07g055880.1.1.1 pep chromosome:SL3.0:7:63926016:63926231:-1 gene:Solyc07g055880.1 transcript:Solyc07g055880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKWGKDDELLSDLSIFSSKNQEKLMKKAMVEQEKINREAEKIVKWAKQASARMDVSSIEDELSDEDTFK >Solyc01g008710.3.1 pep chromosome:SL3.0:1:2689381:2697662:1 gene:Solyc01g008710.3 transcript:Solyc01g008710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSIILIFVAILIIFPNEFSKPTRAFSNNNFVYTDGTHFALNGKSLYINGFNAYWLMYIAYDPSTRIKVTNTFQQASKYKMNVARTWAFSHGGSRPLQSAPGVYNEQMFQGLDFVISEAKKYGIHLIMSLVNNWDAFGGKKQYVEWAVQRGQKLTSDDDFFTNPMVKGFYKNNVKVVLTRVNTITKVAYKDDPTILSWELINEPRCPSDLSGKTFQNWVLEMAGYLKSIDSNHLLEIGLEGFYGNDMRQYNPNSYIFGTNFISNNQVQGIDFTTIHMLPGLTQEAQDKWASQWIQVHIDDSKMLKKPLLIAEFGKSTKTPGYTVAKRDNYFEKIYGTIFNCAKSGGPCGGGLFWQVLGQGINPIKASLDSNFVYIDGTHFALEGKPFYLNGFNSYWLMYMASDPSTRNKVTNIFQQASKYKMNVARTWAFADGGSRPLQSAPGVYNEQMFQNYGIHLILSLVDNFEAFGGKKQYVEWAVQKGQKLTSDDDFFTNPMVKKFYKNYIKIQIISWRLDLKDFMGMTRNNITLIISIMGLILSPTIKLEKLILPQFIFTLINGSTPEAQDNWASQWIQAHIDDSKLLKKPILIGEFGKSSNTTGYNIKKRDNYFGKIYGNIFNCAKNGGPCGGGLFWQLLDQGMENYGDGYEVVLQISPSTDRVILLQSLRLSKLS >Solyc02g077280.3.1 pep chromosome:SL3.0:2:42831747:42838487:-1 gene:Solyc02g077280.3 transcript:Solyc02g077280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRALCLNVSLFTATLPKNNTPLIHSYCNCFSTSFPGDNGRFGQRVTTTRRRNSKSEIFLGDEEEVQAGENEKFSSIAEETSRAMRLRRSKGVVDTEEEEEEDDSSMLLSLSVKPDRNMALLDDYEMEELDFVPENPNHRSGLMYVKEIEIKQKVCVNVGYVAVLGKPNVGKSTLSNQMVGQKLSIVTDKPQTTRHRVLGICSGPDHQMILYDTPGVIEKKMHKLDTMMMKNVRSAAVNADCVLVVVDACKVPAKIDEVLEEGVGDLKYKVPTLLVLNKKDLIKPGEIAKRLEWYEKFTDVDEVIPVSAKYGHGVEDVKDWILSKLPLGPAYYPKDIASEHPERFFVAEIVREKIFLQYRNEVPYACQVNVVSYKTRPNAKDFIQVEVIVERNTQKIILIGKEGKALKLLATAARLDIEDFLQKKVFLEVILMIICLHNEFHRVFVYSYISTSN >Solyc03g059350.3.1 pep chromosome:SL3.0:3:31076154:31078829:-1 gene:Solyc03g059350.3 transcript:Solyc03g059350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAVVSISVGFATEGWPKGIYDGLAVLLSIFLAIFHNTVAEVVKDKGGKKSNLGTPTESAILEYVLLLGGDIDKKRRVCKLLKVEPFNSEKKKMYVLIALPDGNNRAFCKGEAEIIFKMCGVNEWFLKCSNLECRDIYSGQNDYAGLRVDTDSVKKNLSLFLLFGDFESFFKARGDLNNLLVKKNDAPALNEADIGFAMGIAGTKMTVCVVALIINFISACISGSAPFTAVQLLWVNLIMDTLGAIALTTEPPHEELMNRPPVGREVSLISKTMWRNIIGQSIFQLAIQRKYKLKTLNSVSKLASYIALEVQLNIHFPLFCHETNLERTYIMSSDKVSSN >Solyc05g054190.3.1 pep chromosome:SL3.0:5:64996528:65000912:1 gene:Solyc05g054190.3 transcript:Solyc05g054190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYLGIGVSPGNVPVHHGSNLKVIDKRVRIIEVILRCLILGLAIIGAVLIGTDSQVKVIFSIKKEAKFTDMKVLVFLVIANGLAAAYSLIQVLRCIFSMIRGSVLFNKPLAWVIFSGDQLMAYLSLAAVAAAAQSGVISKFGQPELQWMKVCNLYGKFCNQIGEGIVSSLIVSLSMIALSGISAFSLFRLYGN >Solyc04g081610.1.1 pep chromosome:SL3.0:4:65638333:65638601:-1 gene:Solyc04g081610.1 transcript:Solyc04g081610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRALLGASTQLRYVTVDSVSGDTARKSLPQNPLVVIKMLELVLGVDS >Solyc10g044545.1.1 pep chromosome:SL3.0:10:26825071:26829245:-1 gene:Solyc10g044545.1 transcript:Solyc10g044545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGEAKKILGMEITRDRHSKKLFLSQKKYLKRVLNDAMSPNNEVEREYMSRVPYASLMYAMVCTRPDISHAVGVVSRYMHNPRKDHWQAVKWILQYIHNTVDVCLAFEQKDSQYLVGYCESDYAVSWKSTLQSTIALSTTEAEYMAITEVAKKAIWLQGFLRELDIVVVIPSLSIYSASTTPEHKIRCTKHQCQDICRKQRNVKATNVRSQLNLMGNLERVQEADMIWGSILLPRHTDTYSDWLIKIDCLQRRKINKLHIPVDDTHAFCVMTAKRSPSCTYFLNVDNDSARRAGKMARRETLTTIQKGTGNINSEGKDLQHMEGKTLQGNKCKYEPTDASSSRLQVKSKDSHLLKANGISTKLPMPREIPIVEPAQLQFFVRTPRALYRLEVVGERTILEEHKLSTYGVVTDYPALPKRRKDIVWKPLNEVEVCGDMYQIRMHHELDALKGWLALMISDISLPWMADGARIEKKDLIAS >Solyc02g085745.1.1 pep chromosome:SL3.0:2:49185814:49192287:1 gene:Solyc02g085745.1 transcript:Solyc02g085745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIETSMAKSPIGNSTRRSDDCDFNLREWTLKAKISRENTNSRRFSASYITSFREDAKSFRSNISISSTASSPGYITLRDEIDPSTYSFTTALKGKFKRIQNVESVGEVPLECLSAKTLSGRSFRQLTNKITMSAPLIYPSQFRPKPPTKRELQIQIPTKEKVSITRDVGTQSSTPANYLSSKSPSPARTPSIEERATKRCVADDSPMTTPELKSQEKVEVKETRGKEDTKRNEEQLELEVSLGKVHSMGCIPQKVVPILSHVYREDNRLFPLVPQLSRTSLRRLFWTVKSSLSNQEKQLRALDSYFQKLHDSTGASSSIEIEKLTGKNHRPKAEKALRSLDYFRGKEPKFHTFSSSDENTIEEASYFSMKMINRGGKAKKLEQYMKLKAKDIESSNEESSSFYLIGVLASINIAVFLFETASPVRNSEVGMLSLPMVYGAKINHLILLGEWWRLLTPMFLHSGVLHIALGCWVLLCFGPQVCKAYGSFPFVLIYVLGGISGNLISFLHTAEPTVGGTGPAFALIGAWLIYQVQNKDMLGKEAAKSMMDKAIIATAISFVLSNFGPIDDWAHFGATLMGIAYGYLVCPSAQVENIASPESGQKEGMRLVKQYSDPCKSLISFSVFIILFASLLLIIEPPLNAIAGAGDKFYIS >Solyc06g073900.3.1 pep chromosome:SL3.0:6:45762551:45766497:-1 gene:Solyc06g073900.3 transcript:Solyc06g073900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDSSSSQSFLRRYWEGYKEFWGERFSFLDNYSRFIKRDKPLPSWSDSDVEEFIASDPLHGPTLRTAREAVKISAVGGIIGAVSTAGVTWKYSRSLHGTALSLGAGAVFGWTFGQEVANHWLQLYRLDTMAAQVKFMEWWQNKVEGQ >Solyc06g060480.1.1.1 pep chromosome:SL3.0:6:38627773:38628582:-1 gene:Solyc06g060480.1 transcript:Solyc06g060480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENHPFTSNSHCYHPFSNNFHEETPILPVHQSVDNFHSNPKRKRSKFVKIGGDAAAATSGISKPKITKKPPDPTAPKITRPCTECGKKFWSWKALFGHMRCHPERQWRGINPPPNIRPRHDVKSRETSPRKNPKSVFDAIVTEEDQEIASCLLQLAGGSSELPDNENENENFGVVTSEDQHCSSSSGGGGGGKDFRFECSGCKKVFGSHQALGGHRASHKNVKGCFASGLTQAVNNNLDLNFPPSVTCHHEDHHDSYSSGSPLDLRLKL >Solyc11g018530.2.1 pep chromosome:SL3.0:11:8645242:8648856:-1 gene:Solyc11g018530.2 transcript:Solyc11g018530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFLPAVIGTAFALNILFHIPVWAGVLCTGVSTLLFIGLQRYGVRKLELLIAILVFVMAACFFGEMSYVKPPANELFKGMFIPKLNGDGATADAIALLGALVMPHNLFLHSALVLSRKIPNSVRGINDACKFFLIESGFALFVSFLINVAVVSVSGTVCGADNLSDQNKESCSDITLNSASFLLKNVLGKSSSTVYAIALLASGQSSTITGTYAGQFIMQGFLDLKMKTWLRNLFTRLIAITPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSIIGINIYYLSTAFVGWLISNNLPKVGNVLIGIVVFPLMAIYILAVIYLMFRKDKVVTYIDPIKDDHMENGINSMELVDRVPYREDLADIPLPQ >Solyc11g068800.2.1 pep chromosome:SL3.0:11:53715070:53719985:-1 gene:Solyc11g068800.2 transcript:Solyc11g068800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQQQQQQQQSLKRQLQFSSIKPVFGDYHRFSTEPVDCSTQEPPGIVVKTPPLKRKSAAANYGQVVGDRNPACGYANVNSPLQTTPVSGKDAQKVPRTSKARSASQAVTSNVGSPSGNNVTPVGPCRYDSSLGLLTKKFINLIKHAEDGMLDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGQGVSKPGEADESVASLQAEVDKLTIEEHRLDDQIREMQESLRDLSEDENNQRWLFVTEDDIKSLPCFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKFEEVNAVEAPPSVPSTSGLNENETPTLATEENEEVDIGMEGKENQSVRSEVGTSQDFMTGIMKIVPDVDNEADYWLLSDADVSITDMWRTESAIDWNELDVIQEDYSIANVSTPRAQTPPPATTEVPSAANTSGC >Solyc11g016980.2.1 pep chromosome:SL3.0:11:7657033:7659688:1 gene:Solyc11g016980.2 transcript:Solyc11g016980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSFGLFPWCSWLGDSLYNSFIHLLSSPSTWDSFMRTDDAKTRVQIHLELRVRALPFDKTSVSLFLRSDKPPSSIHTASVIPKFNPNYLQLHGDDVYFTLDNCSQDGAQQREGVSGTSTVLSKVQSKSSFGVGSRYSESESDTMSQRLKLDDLPETWYNQFFEKYKSSKSYRLQFGDSETEKRTPEQMFFIVKLLRIIRDGVQLLK >Solyc07g041100.1.1.1 pep chromosome:SL3.0:7:51943006:51943614:1 gene:Solyc07g041100.1 transcript:Solyc07g041100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGSTRSSNFFMIDLEGREVLIILQNPNFLAQLIMEGIRLGMENYTNQVWLKNIMNPLDPSISPTLFNHMQQMWNLPNHFNPLLRAVREDLPFFTPLEQSLENSLFNPWMAHPTFTLIPPNTLVEDEVIEDAMEEVQTPSFTEIANLKGVTLILFQEMEDKNYIFISPLNLIKKCSIVFCPIFTAQIAKMVVVLSSILRT >Solyc11g050938.1.1 pep chromosome:SL3.0:11:17055068:17057413:1 gene:Solyc11g050938.1 transcript:Solyc11g050938.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGADQSPINRSIAGSIQYEDWANVRNVTKFLDKFYELTLKVSSSRSKGQDNEKIILLACDT >Solyc12g040240.1.1 pep chromosome:SL3.0:12:53966341:53967183:1 gene:Solyc12g040240.1 transcript:Solyc12g040240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAIRQAKFHTKKMNTTSNEDKHLESEIYIDNKSVNTESDILKNFHAALVEFVEELLRQTLNFGLLSKVAYKKIVKKTVDKVENSSHPNQIPNTAESTEEYFDLSLTKHSNTIEVFLSM >Solyc08g029340.1.1.1 pep chromosome:SL3.0:8:36722486:36722671:1 gene:Solyc08g029340.1 transcript:Solyc08g029340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNSTLLQQVLLEEDFLKKILEKIEYNNLAYFFQFSFTSKYLCNKYLVHQFYVSTFANLFM >Solyc02g084610.1.1.1 pep chromosome:SL3.0:2:48334153:48335400:-1 gene:Solyc02g084610.1 transcript:Solyc02g084610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVFFIVLYALFLHAHSTTHWQDIEVLKQLKNSVDPNSMSPGSCLNSWDFSVDPCDNLGGEKFTCGFRCDLVVSSVSRVTELALDQWNYSGSLTSVSWNLPYLQNLDISNNAFSGSLPDSLSNLTRVQRVGLSGNSLSGSIPTSLGSLSNLEELYLDNNFLEGEIPQSFNGLKNLKRLEFQGNQITGEFPELNQLINLNFIDASDNAISGELPASYPASLMELAMRNNSIEGNIPASLIGLNLIQVIDLSHNKLSGSVPASLFTHPSLEQLTLSYNQFGSVQEPGISFQNSQLIAADLSNNEIRGLLPGFLGLMPTLSSLSLENNKLSGMIPTQYALKMVFPGEGVSPFERLLLGGNYLFGPIPGPLLDLKAGSVRVRLGDNCLYRCPLRLFLCEGGEQKSLSECQAFGPIIP >Solyc01g066830.3.1 pep chromosome:SL3.0:1:74820552:74834797:-1 gene:Solyc01g066830.3 transcript:Solyc01g066830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALTCSSRKRGDDFFNSNYKTPLSVSSNVDHISKKPRLSPSMNQIQDHPFSRKSIAARIFKYPSNITPIKREIHAPCRRLRRGSNLKSDKMGNFLTQQYDRAKRSAFETLRYVKKDKEVINIDDDEICEEGVSEDSSVKELGTGVDAKTGLASGSGSQWKESNGVVEIMDNPDAVKDMDRNFQVLSSSVVTVSDGVKLKVENAEKMLDTLSLSSKFDSASTSSSVPPYKKLLGSAEKRNDHLKRLQFHIEYTEKRRETQHLLRPQKKEEYVKEDVITEPFMLLDEEEEAEVSRALSNSSRRKVLAKHENSNIDITGEILQCLRPGAWLNDEVINVYLELLKERERREPKKFLKCHFFNTFFYKKLISNKGDYNYQSVKRWTSQRKLGYCLFECDKIFVPIHKQVHWCLAVINKKDEKFQYLDSLGGTDQQVLEVLARYFIDEVKDKNGKYIDINSWKLEFVEDLPEQENGFDCGMFMLKYADFYSRDIGLCFSQEHMPYFRSRTVKEILRLKAE >Solyc03g110990.1.1.1 pep chromosome:SL3.0:3:63174824:63175021:-1 gene:Solyc03g110990.1 transcript:Solyc03g110990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSRVEATMKIRQQTEVKNSNYQIVQCKKGKTNKFKRSSSNVEEDGASAAILLLACIACTTTSHL >Solyc03g080100.3.1 pep chromosome:SL3.0:3:53434781:53437290:1 gene:Solyc03g080100.3 transcript:Solyc03g080100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGFIFVYRMDEIVIEMYVKQEPPKEEVKTEEEQTEPKPPSPFVLYVDLHCVGCAKKIERSISKIRGVEGVVIEMAKNTVTIKGVIEPQAVCDRITKKTKRVAKVLSPLPAAEGEPIPQVVASQVSGLTTVELNVNMHCEACAEQLKKKILRMKGVRSAETEASTGKVTVTGTMDANKLVDYVYRRTKKQAKIVPQPEPEPEKPVEETKPDEAKPVEEPTPEDKKDEGTPPTENKEGGDGEKPPEGEKNEGGGGGEAMIIPIEDHYDEHTINKLMYYHPYQPLYVIERIPPPQLFSDENPNACCIT >Solyc04g047723.1.1 pep chromosome:SL3.0:4:35896827:35898266:-1 gene:Solyc04g047723.1 transcript:Solyc04g047723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVDISGLVVVAGWFARSGMALDAVYASCDTVARRELWQELINIKEACNGPWVSCGDFSLTRYPNERSEGNRIIGAMNEFTEWINEMEFIDPPLLGGTYTWRRGDTRTSASRIDRFLYSSQWDESFTQIKQNLMPRLGSDHNPIVLDCGDLNFKKTYFKFEQGWMVLLRRSRNGGCPSM >Solyc01g111260.2.1 pep chromosome:SL3.0:1:97481450:97483006:1 gene:Solyc01g111260.2 transcript:Solyc01g111260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSFLSKQMERRRAISTQKKLLCDLKEKDNTDFPGCDHCPEDRKNWMSTLALNKLHVNKIVWPGTHDSATNKIGIPFISRPFARTQSLSIYDQLVMGTRVLDIRVQKDGRVCHGILVSYNVDVVINDVKKFLSETQSEIIILEIRTEFGHDDPPEFDKYLENQLGEFLIHQDDSVFNKTVAELLPKRVICVWKPRKSAQPKHGSSLWSAGYLKDNWMDTDLPETKFESNMKHLSEQQPVTSRKHFYRVENTVTPQADNPVLCVKPVTNRIRPHARLFIKECICRGYGDRLQIYSTDFIDEDFVDACIGLTNARIEGKI >Solyc11g044634.1.1 pep chromosome:SL3.0:11:32651345:32652028:-1 gene:Solyc11g044634.1 transcript:Solyc11g044634.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNGVGVISFIVGEGIKYIGGGRLFLSFVVFSLCFHLFISLLLTFLDFLNKKFLIFIFLSTFILSFPSLKISYISLILFELHPCSEKREGEGEGSSSVDPSCSCFSPLHFGSSFYFYISFFLTFHHFFYF >Solyc02g061690.2.1 pep chromosome:SL3.0:2:33760225:33761261:1 gene:Solyc02g061690.2 transcript:Solyc02g061690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNERWNAQIVKIGGERTQTRRALSVINQNLVGAHRYPCIVNKRELSQKFGATLIGTLHQHYLEETKKPKPAIGDFTIWEEHDTNEAQPVPMCLEQPESFSNDKEVEIEMEDVFEEALIDIDNDDAKNPLAGVEYVGDLYAYYRKMECAELLLKISALLR >Solyc12g036905.1.1 pep chromosome:SL3.0:12:48917876:48920687:1 gene:Solyc12g036905.1 transcript:Solyc12g036905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKDGKLVIVCLYVNDLAYTGNDNVLLNHFKQLMMAEFHMSNLSLMHYLLSTLDILRKFGVTNCVTTPAETGPKIEKNPTGTRVDNTFYKQIVGSLMYLTVTKPDIMYNVSLIRRYMENPRETHLVAAKRNYKIYTLLEIEMIGKIRQLMFLHLDQQPTVTSSTLEVEFVAAIMCATQEIWLKNILVELCLLPQKSTLIYSDNGLAIKLSKNLGLHGRSKHIDVKFHFLRDLTRDKILTLSTAKVKTN >Solyc07g052050.1.1 pep chromosome:SL3.0:7:60716201:60717180:1 gene:Solyc07g052050.1 transcript:Solyc07g052050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMWFFIGHRKTNNGGLVKPASKLLQTRRQEEKREVEAKTIFEDLCDEDKILVFYKKLLNEWNQELDKMSPEAKNQTVVATFMQCARDLNPLFDLCRKRLLNYDIRKALVVMVECCMNREYIAAMDQYLNKIAIGNAPWPIGVTMVGIHERSAREKIHTNSVAHVMNDETTRKLLYSVKRLVTFCQRRHPNVWSSIALRMAVTCNFCVHKSQLLKPQKKGLR >Solyc05g051230.3.1 pep chromosome:SL3.0:5:62340394:62350356:1 gene:Solyc05g051230.3 transcript:Solyc05g051230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKVKILAFIGAGALLGSAATIAISKLLSDPLPINNQSVGKGYTSEAVQSNGLSGSKCNGLATPNPFTDEVVSEQLTRNIQFFGLEAQQKVTASYVVVIGLGGVGSHAASMLLRSGVVDLYGMDIGIDVEAIHQGHLFCTIDSSSLGVKVSVSSLNRHAVATREDVGTSKALCLKKHFQSIFPECHIDAKVILYDSSSEEEILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRSVRHRLRKDHGIDGGIPVVFSLEKPKAKLLPFKGPSGEEENPSDYQIVPGFRVRIIPVLGTIPAIFGQVMASYVATQLAGLQVHMEPVVNFDTDHYEILHQRLIEHEELLYGTSMQVEVDVEEVVYIAKELWHGRSARDLSIKDVGRAMWRSVNELMLVRWDKTKPASVSNLILLRFKEADEHESRSLEDIKEEEPDFFVRVTTVLKRAELEFGF >Solyc02g071840.1.1.1 pep chromosome:SL3.0:2:41773209:41773439:-1 gene:Solyc02g071840.1 transcript:Solyc02g071840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCLEARALRASRPSAPRHEAHTLRVSSPLPPSLRHTPCMPQDPLFPALRHAPCVPQGPLPRGTRLACLDALRLPP >Solyc05g005340.3.1.1 pep chromosome:SL3.0:5:277990:279747:-1 gene:Solyc05g005340.3 transcript:Solyc05g005340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLLMKLEQLNTFSREIDHFLPSSIGNSTSSSIAH >Solyc01g109800.2.1.1 pep chromosome:SL3.0:1:96577045:96578239:1 gene:Solyc01g109800.2 transcript:Solyc01g109800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLNFHKIKLEKANAMLRYKKRQNVTILFRFIEFCIFFAIISRFSTRFPLNFKLSIECFKGLGVTLISPRFVFVLGNSIVIILFLKSGHSSGKDGSTDNVKMDLYDEYKQKCSMNYEQTKKQSILAANTYCEQSKKQGKQSILVENAYYEQSKKQGKQSILVENAYCEQRKKQGKQGILVENAYCEQSKKQSKQSILVENAYFEQSKKQGKQSFLVENDYCEQSKKQRKQVQRQLVEKRLNRSHSESFTSISHGEKPRKELIRSATVGCLKVIRTDNVKSVEDEMSNEEFRNTVEAFIARQQRFLREEELFSSVVLT >Solyc08g041687.1.1 pep chromosome:SL3.0:8:26397262:26399985:1 gene:Solyc08g041687.1 transcript:Solyc08g041687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLFQVGFLKIEPKDEVEEQPAVRYVSENEKSYEFSIPKETQNDILLARNNLGYVKTVKSWLNKSFHMKDLGEIDYILGVNIQTTRFEKFWSLSQETDTQKILKRFRMYYYKPMDNPIAR >Solyc04g016550.3.1 pep chromosome:SL3.0:4:7370614:7387756:-1 gene:Solyc04g016550.3 transcript:Solyc04g016550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEALSTLITQKKKRNGTSAISGPNQKLDRMLRYIKILGLEEKIADLKIIHVAGMKGKANISEDLPMPPLFQFLTVLAFKIFVSEKVDVAIIEVGLGGKLDSTNVIKEPVVCGITSLGMDHMETLGYTLGQIASHKAGILKPQIPAFSVPQLSEAMEVLQERANALKILLEVVAPLTLEKLNGTRLSLTGDHQLSNVALAVSLCKSWLRSTGNWGRLFEDAYEENSLPKQFLRGLSVVRLSGRAQIIVDPLINASGGNKRLSGGLTFYLDGAHSPESMDACARWFSAAAVERKDLSLSSVTSEVEMMDRAWTNGSIKHCHNQESEEILKRVLLFNCMDSRDPQILLPKLVDTCASLGIYLSKAIFVPSSSAYTKVTSATSTIPSYTPGKDLSWQFNVQRIWERIIHGKGVLDQNRKLNASAGLPPREFLYEETSHCGSEDRYFASSAFLLHYH >Solyc04g078960.1.1.1 pep chromosome:SL3.0:4:63681454:63681612:1 gene:Solyc04g078960.1 transcript:Solyc04g078960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQLLLMQRLLPLLFSSHHLYQTPTRHNLFEDLLTQILQFLAQQLQLHAWP >Solyc05g008485.1.1 pep chromosome:SL3.0:5:2830435:2830782:1 gene:Solyc05g008485.1 transcript:Solyc05g008485.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTHQLLYLLWSYLAETVSYLVLEFPFFCFVLVRLCLFDWLGLSLTFNPRCSKGNQGVKNLVVMQHLQKKSFDREVVIVVHY >Solyc03g097250.3.1 pep chromosome:SL3.0:3:60982323:60984299:-1 gene:Solyc03g097250.3 transcript:Solyc03g097250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDALGEIRALESAMRDRVQYEEADDCETSVGGWTSIRLLSRILRIYAPVTFVNDQRGGGGNGGDFVAGFLLGGAIFGTLGYIFAPQIRRSLLNEDEYGFRKAKRPMYYYDEGLEKTRQTLNNKLDQLNSAIDKVSSGLRGGNKMPSVPVESDAEEATM >Solyc10g052740.2.1 pep chromosome:SL3.0:10:53276774:53277518:-1 gene:Solyc10g052740.2 transcript:Solyc10g052740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LMYPNYILTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQLWRASGITSELQLYCTAIGALVFAVMLFAGWFHYHKAAPKLAWFQDVESMLNHYPSFDYCIRFFLVFKGLIYLETY >Solyc08g062220.3.1 pep chromosome:SL3.0:8:50827900:50830314:-1 gene:Solyc08g062220.3 transcript:Solyc08g062220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CL11] MEVIKTKKYGGHILALPYPSQGHINPMLQFCKRLVSKGLKTTLAITNFISSSTRPNSGIVGIDTVSDGFDKGGYADADSIAAYLERFEQIGSKSLADLIKKYEKSEFPITCIMYDAFMPWALDVAKKYGLIGACFFTQACAVNYIYYYIHHGKLTLPISSTNVKISGLSELELRDMPSFIYVHGTYPAYFEMVLNQFTNVDKADYVFVNSFYKLEAEVADTMSKISPLSTIGPTLPSFYMDNRVENDIEYGLNLFHVDSSTCINWLNTKPEGSVVYAAFGSMSTFDDKQMEEIAEGLKASSSYFLWVVKISEQSKIPKKIINENSEKGLIINWSPQLQVLSNKAIGCFFSHGGWNSTVEALSFGVPMVVMPQWTDQTTNAKFVQDVWSVGVRVKVNENGIVGREEIEECVRTVLQGEEGKEMKKNALKWKDLAKEAVQEGGTSDKNVEDFVSKCIKQFVD >Solyc11g069700.2.1 pep chromosome:SL3.0:11:54602015:54604909:1 gene:Solyc11g069700.2 transcript:Solyc11g069700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Elongation factor 1-alpha [Source:UniProtKB/TrEMBL;Acc:K4DAC6] MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLEALDQLNEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKGAASFTAQVIIMNHPGQIGNGYAPVLDCHTSHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMVKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVDKKDPSGAKVTKAAQKKK >Solyc01g006290.3.1 pep chromosome:SL3.0:1:884367:886987:1 gene:Solyc01g006290.3 transcript:Solyc01g006290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLRFILAIFFLVSINFGVSNAHYKLTPTFYDCTCPNVIHIVRDVMEQIQRRDVRAGAKIIRLHFHDCFVNGCDGSILLNNATGIISEKDANPNVGAGGFDIVDEIKTALENECPGVVSCADILALASEIGVVLAGGPSWQVPLGRRDSLTANRSGANSDIPSPTETLAVMIPQFTRKGMDLTDLVALSGAHTFGRARCRTFNQRLFNFSGSGRPDPTIDSNYLKTLQRLCPQGGNGGTFANLDKSTPDEFDNYYFTNLKNHQGLLQTDQELFSTSGSSTISIVTNYANNQHKFFDDFVCSMIKLGNISPLTGTNGEIRKDCKRI >Solyc07g065350.2.1 pep chromosome:SL3.0:7:67280692:67288595:1 gene:Solyc07g065350.2 transcript:Solyc07g065350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLNFFINVWSLMFLFIFCASKLEARRYVTWNDFKINFPNIDISNGNLRKSHGIIVVDSRGYGDSVTVQGAIDMVPEFNSQRIKILVLPGVYREKVFIPSSKPFVSLIGDENHPRRTIITGNSKACDKDQYGNEIGTVATATVTVESDYFCATGITFENTVAAMRQENDKQGVALRLAGDKAMLYKVRILGSQDTLYDDKGSHYFFKCYIQGTVDFICGNARSLFQSCELHSIAPDNVGISGAIAAHERESPYDDTGFSFVNCKVTGSGSVFLGRAWGEYSRIIYSKCYFDAIIHPQGWSDWDVPSRQEEKVHIPASKPFISLVGDQNQTTRTIISGHDKASDTDQYGSILGTSRTATLTVESDYFCATGITIENTIIPDPKGVGMQGVALRLNGDRSVLYRVRILGSQDTLLDDAGSHYFYQCYIQGSVDFICGNARSLYKNCTLHSIAKGYGAIAAQHRDTEDQDTGFSFVNCKIKGSGRVFLGRAWGEYSRIIYSKCDFDPIIDPKGWTDWNQPSRRQHTVFGEYQCRGSGANRAGRVTWSKTLNQSEAEPFLDVKFIEGQQWLRL >Solyc04g074670.3.1 pep chromosome:SL3.0:4:60663988:60674625:-1 gene:Solyc04g074670.3 transcript:Solyc04g074670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKKRWRKVSIVRKMLTFAITAIALMALLYVHIQFPASEVTKLPDKLPTQHEFSSQKLSRERSWIQELAPPHLPKAPLAARKLDVASRNGSLEKLWKPPPNRDHVPCVAASPLYTPPPESRGYLLVHANGGLNQMRAGICDMVAVARIINATLVIPELDKRSFWQDSSNFSDVFDEDHFIISLKNDVNVVKKLPKELASATKAVKNFRSWSGVDYYEEEIARLWDEYQIIRAAKSDSRLANNNLPPDIQKLRCRACYEALRFAPKIEAMGKMLVDRMRSYGPYIALHLRFEKDMLAFSGCTHDLSPEEAEELKAIRENTKWWKVKEIDPVEQRSKGYCPLTPREVGLFLSGLGFPSNTPIYIAAGEIYGGDSHMSDLLLRYPLLMNKEKLASIEELEPFVNHASQLAALDYIVSVESDIFIPTYSGNMARAVEGHRRFLGHRKTISPDRRTLVRLFDKIDQGTMKEGKNLSNRIIETHRRRQGSPRKRKGPISGTKGTDRFRSEEAFYVNPLPDCLCRKESSYMNNSQSIR >Solyc03g096615.1.1 pep chromosome:SL3.0:3:60263962:60267711:1 gene:Solyc03g096615.1 transcript:Solyc03g096615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEDMDLPPLAAQTHIQKVSVGFKVITGVSWIIMIIFIQNVMLPTVMAARTYISVEIRGFVMEEGDLWEDLDNDISVKILQSFDVLELSAGLAHVCKAWRLACCDQLPLKKLDLSVLKSNFIKNIHFFVEKSVFDYENSKSNLKLYFKLETTYNLCPWLKRLVMLSWNKMKKQTICRALHICKDLESLTMPSIAHVI >Solyc01g096280.2.1 pep chromosome:SL3.0:1:87236438:87238789:-1 gene:Solyc01g096280.2 transcript:Solyc01g096280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTDIESLWIFALASKCKSFTSIYFILFIVLFWLLMNIIYWSHPGGPAWGKYNWSKKFRLASFNINNNNNDNYNISGPRGFPIIGSMNLMSGLAHRKIAEMAQSCVAKRLMSFSLSETRVIITCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRKIAATHLFCPKQIKASEAQRFQIARQMVTMFQLGGQDVVRVRDALKLASLNNMMCSVFGRKYSLDCCNAETEELGKLVEEGYELLGMLNWSDHLPWLAEFDPQKIRLRCSRLVPRVNKFVSRIINEHKAQSGDVHHDFVHVLLCLQGSERLSDSDMIAVLWEMIFRGTDTVAVLIEWILARMIIHPQVQSKVQAELDSVVGKSRALMESDVSEMTYLPAVIKEVLRLHPPGPLLSWARLAITDTTVDGYHVPAGTTAMVNMWAITRDPDVWADPLEFKPERFFNGPDFSVLGSDLRLAPFGSGRRTCPGKTLGLTTVTFWVATLLHEFRFEPIDNNGPVDLSEVLRLSCEMVNPLTVRVRPRHALHQTQ >Solyc01g009715.1.1 pep chromosome:SL3.0:1:3923978:3934692:-1 gene:Solyc01g009715.1 transcript:Solyc01g009715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMINPCENISRENLIRRSTSVYGFGLGDYDSNFNLMTRLDAEDDDEYYVQRDNSYVLGSDLAIMAEDESRTGSINETGLSSKDNNNDNTNNKNHKEEEEDEEEKGWLQLSIGGRTYMPTSNKPEENSRRSTGGSGLVELDLLPTVSSQQGKPTVHINEFRAPPSLSTPRRYQQQPMTAPIQQNMNLSLVSSGSHFGPGPFPVLGGVPGPSSIDFRVVHPPRRPHSGLWFSLQSSLNQTKEPFLPQISKSYLRIKDGRMTIRLVLKYLVNKLQLENESEIEITCRGQQLQPFLTLQHVRDHIWSTTTNAADNILTLLPSETSNHVMVLHYARTSAPQN >Solyc12g062860.2.1 pep chromosome:SL3.0:12:35610918:35619603:1 gene:Solyc12g062860.2 transcript:Solyc12g062860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hop-interacting protein THI141 [Source:UniProtKB/TrEMBL;Acc:G8Z288] MAGEATRVMVAVNESTIKGYPHASISSKGAFEWTLNKIVRSNTSGFKLLFLHVQVPDEDGFEDMDSIFASPDDFKGMKNRNKIRGLHLVEYFVNRCHEIGVPCEAWIKKGDPKEVICHEVKRVQPDLLVVGCRGLGPFQRVFVGTVSEFCLKHAECPVVTIKRSAAETPQDPIDD >Solyc03g115540.2.1 pep chromosome:SL3.0:3:66696169:66698412:1 gene:Solyc03g115540.2 transcript:Solyc03g115540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHCTVPTWNPSYQRQEHVVEAEEANKYPHLHNQQIQINHLLPMSSKCEEVAELTWEKGQLGMHGLGGILSISQAKQTLGRTGDTLESIVHQATYHAKNQTSIHQNYAQNEDQDLKTGVLYSGGKWGESSQQMAPPRATVLAKKRMRPSESDPQYGGAEDHEYAEGSACASASATFYRENDTTMVTWPSFDESSRSIKSKTACDEDSACHGGGSENKEEEHETKRSNSSRRSRAAAVHNQSERRRRDRINQRMKALQRLVPNASKTDKASMLDEVIDYLKQLQAQVQLMSSTPRNMAPQMMMPLGMHQHIQMSLLARMGVGVGLGMGMGMFDMTALARAAAASATTHPNQMTTAPINIPFTPSGAFALPAAPANSVSPASATTSTTTNSIPFTNPYSAFLPQSMDMEFFNNMAALYRQQLANQSTQITGSKLNQENQTE >Solyc12g098850.2.1 pep chromosome:SL3.0:12:67213632:67215554:1 gene:Solyc12g098850.2 transcript:Solyc12g098850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASAGVLRRFPSVTGAGVGVSSNPLNRSCSVSRVSLKSMGCGFYDEGCLEYYSSGRGGIIRCGKKKNKEKDMAELKKTKKKMKLLKGLTKNLSNLNGMGLGFGCDVDLVDQVQGKTISEAAELLLGQLQQLKAEEKELKRKRKEEKAQMKMKVAASEVQSNTRSCAMSSSSSSSSESSDSSDDECQNLVDMKSLKIGTLAQTIPEACDRALDNATLNPSLSTPEVDTTVEISSMPSTTTEESTGKTTSFEFSVPEQKGECCLEASDCHIGNVGSSITPGTRSNVSSIAAATTTTAEGTKRIEVCMGGKCKRLGAGALLEEFQRVAGIEAAVSGCKCMGKCKVGPNVKVSGCSSSSISDAFQAGDSVAVSSAPTTSNSLCIGVGLEDVSLIAANLLGRYQEVGLANALP >Solyc04g009475.1.1 pep chromosome:SL3.0:4:2908031:2909942:1 gene:Solyc04g009475.1 transcript:Solyc04g009475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGNTRLRLENEKIEKLEMYKIMLERIMLFLRLNKQEIQLIHKDKLVSIEKNIIKFLSYNLARSKTSSPQQGQLHQSSMQLQTNPPMQPVQGSVEAMQQSYLSDLHRYSLSGVSTISNSQQHMIDVVKPGSRSDLGQGNSLNSPQQVDTGSLQQNPVNSLQHDNISSFSAQGGMNPVPANLKFLQKNSNALPEQQMLQNQQLTPLCNQLLMEQQLFQSQQLMKHQQAMNSPPQNDFTNLHHDSLSGVSTNSNSQQDMINTIQPGSNLDFGQGNSLNSLQ >Solyc08g067123.1.1 pep chromosome:SL3.0:8:56104224:56105107:-1 gene:Solyc08g067123.1 transcript:Solyc08g067123.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSQPHLSNMATQDMVNQKARCFMFWMIAGMMMADTSGGYLKLMYLPMLEDVNKIGSYSWGSATLAYLYHFLCKASQSTQNEIAGFLPLLQIWAWERVTVLRPQIVAHRDARTICHVGLPRGPHATRWFAHISWTNTTKHVLKVYRDALDSVIEDQFIWEPYSDDLIESLPLYCHAGRDIWRVRVPIFCWDVAEVHLPDRVMRQFGLQQAIPTPFPFDSNHFRHDR >Solyc03g025855.1.1 pep chromosome:SL3.0:3:3275717:3276874:1 gene:Solyc03g025855.1 transcript:Solyc03g025855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKEIFMIADSMTLDHLLSTGGPIGVTKLYKESFVLMIMSKQPKTRTEEELYAEKWETIDPNLKIFNARRMKMKKEGKENIAQEFKALQN >Solyc04g016330.3.1 pep chromosome:SL3.0:4:7131627:7135875:-1 gene:Solyc04g016330.3 transcript:Solyc04g016330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycerol-3-phosphate dehydrogenase [NAD(+)] [Source:UniProtKB/TrEMBL;Acc:K4BQD3] MVGSIEVKSGNSVYSNGSVHNHNGPEEKLDELRHILGKSDGDLLRIVCVGAGAWGSVFAALLQDSYGQFRDKVQIRIWRRSGRAVDRATAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMIDTPFCPLKVVTNLQEAVWDADLVINGLPSTETREVFKEISKYWKERLTVPIIISLAKGIEAELDPVPHIITPTQMINRATGVPVENILYLGGPNIASEIYNKEYANARICGSEKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITYLLTEEPERLAGPLLADTYVTLLKGRNSWYGQMIAKGELSLDMGDSISGKGTIQGVSAVEAFYELLSQSSLNVLHPGDNKPVAPVELCPILKTLYKILIKREQGTMAILQALRDENLNDPRDRIEIAQSHAFYRPSLLGQP >Solyc01g073660.3.1 pep chromosome:SL3.0:1:80913227:80922646:-1 gene:Solyc01g073660.3 transcript:Solyc01g073660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINHSEDDESLTKPLGRCSVFYYGIGHMLNDITSACWFTYLLVFLTDIGLSPSDAAVVMLSGQVADGLTTIFAGELIDRFGHFKVWHIAGSLLVAVSFSSVFGGCLPCKLIGSDSAMLQTIGYSVFAAIFNVGWAATQVSHMSMVNCITLNSTSRVVLASCRNAFTMVANLSLYAVAFVVFNMVGAGTPAAVENQYRWIAYTSIFIGSCFVVVFAIGTREPRLKLEAHVKGYVRISWMYWFKKILYHQVALVYVLTRLVTNVSQAFLAFYVINDLQMSLSSKALVPAIIFVCSFVVSILLQEIKWTSQRLKGFYTAGGLLWVLCGIGILLLPRNMNAFMYILSIFIGIANALIMVTGVGMQSVLVGRDLNGCAFVYGSLSFMDKMICGVALFILESYQRSTPELHNCSPSYHFFSLNRYALGLVPAVCALLGVIITCSMNLQTPILVPLEEPLLG >Solyc06g061040.3.1 pep chromosome:SL3.0:6:39192324:39198773:-1 gene:Solyc06g061040.3 transcript:Solyc06g061040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFTLFSSFSSTKKNTLISSFSSSSITSSLYRTSFAPKRTRPLNSVINCEINEPANEKPNVPIINDVILPTFLQDKCSENAVTSDNTKLNPKLKLFSGTANPSLSEEIAWNMGHELGKITIKRFADGEIYVQLQESVRGCDVFLIQPTCPPVNENLMELLVMIDACRRASAKNITAVIPYFGYARADRKTQNRQSIAAKLVGNVITEAGADRVLACDLHSGQSMGYFDIPVDHVHCQPVITDYLASKKISSNDLVVVSPDVGAVARARAFAKKLDAPLAIVDKRFQEHNHAEVMNLIGDVKGKVAVMVDDMIDTAGTICKGAELLHEEGAREIYACCTHGVFSPPAIGMLSSGLFEEVITTNTIPVMDRHYFPQLTVLSVANLLGETIWRVHGDRSVSSIYQ >Solyc12g096110.2.1 pep chromosome:SL3.0:12:66149681:66151519:1 gene:Solyc12g096110.2 transcript:Solyc12g096110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMVEKKKVFEIKDIALKVTGAYRCKSSSIPTNNYKKGHGPYPYPDFDAISKGVLYNYQTGSSNSTPAWDFTSTGHFQTPTRTDPRLSDVMLEDEEEAKEWTAQVEPGIQITFDSLPHGGNDLKRIRFSRDVFNKWQAQRWWGENYDRIMELYNVQRFNKYALHTPSQSEDGRDSNYSSRPGSARDFQQYNAGLGAHVPSFPKGSGVSRTTTSSRDEASVSVSNASDMESEWIEQDEPGVCITIRQLADGTRELRRVRFSREKFGEVNAKQWWEQNWERIQAQYL >Solyc08g077380.3.1 pep chromosome:SL3.0:8:61370849:61378959:-1 gene:Solyc08g077380.3 transcript:Solyc08g077380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSTPQVELPIPESNRQITEDETNEKKKIGKKSNLNYGWWCRIFIYIICLIGGQCAATLLGRFYYDKGGNSKWMAAFVQSSGFPILIPLFFFFKSPKKTDSSPLEKPSKFTLSMIYIAFGLLLAGDNLLYSYGMLFLPVSTFSLISASQLPFNALFSLVLNAQKFTALILNSVVVVTISAALLAVNSESETSEQFSAGKYVIGFICTVAASSAYALYLSLLELTFKKVTKSESFDTVVKMLFYPSLVASCCCVVGLYVSGEMSILTAEMNGFSKGEISYLMTLIWTAILWTIGTLGLPTVPVLAVFFFHDKMDATLLGRFYYDEGGNSKWMATFVQSSGFPVLLPLFFLFKSSKNTAFSSPEKPSGFSLFLIYLFFGLMLAGDNLMYSYGLLYLPVSTYSLICASQLAFNCLFSLLLNAQKFTALILNSVVIVTISAALLAVHSEPDTSTKLSAGKYAIGFVCTVAASATYALYLSLLELTFKRVIKSETFNTILKMQVYPSFIASCACVVGLYASGEIRHLTAEMNGFNKGEISYLMTLIWTAVLWQICCIGLLGLIFEVSSLFSNVISTLGLPALPVLAVIFFNDKMDGEKIIALLLAVWGFLSYVYQNYLDDSKAKSSKPEENEATITH >Solyc06g035520.3.1 pep chromosome:SL3.0:6:24530333:24532217:1 gene:Solyc06g035520.3 transcript:Solyc06g035520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQRFARPIFDNPFVKIQELPGNTVKRNSESLKQANCDPKGTSLVVTRDVDLDTTKNTWLRLYVPRRLTKNPNPKKLPLIMYYHGGGFVYFHANTFIFDVFCQALAERVGAMVISLEYRLAPEHRLPAAYDDAMDGLEWLKNTKDEWVCNYADLTKVFLGGTSSGGNIAYNAGLRAAGMAKELEPIKIKGLLLHHPFFSGKNRTESEEKQKDDQLLPLVAVDKMFDLCLPKGVDHDHEYCNPCANGGSKHLDEMKELGWKVFVSGVCEDPLVDAARACVRLMEQKGIKVFKFFRDGYHAMEVFDQSMAAALYDAAKDFVYAASRT >Solyc07g065300.2.1 pep chromosome:SL3.0:7:67247561:67251088:1 gene:Solyc07g065300.2 transcript:Solyc07g065300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNENTINSNNNYFSISIEELSNPQSFTLQQLFHALETNTSSGILGDPIDLYRRRESFGSNNNFSSSSSYVKKKSFRELILEAFKESTFILLFCCVALSLVIGIKRNGLQEALFDCVIIFLPIFFVLNFSVTFRFFKERWISKRRLSRKKKVIRVLRHQQILQIPSSEVVVGDIVLLECGDHVPADGILIQGNSYKFDDGSTSHHDLVLPREQLHHVLFNRTKLVEGSCQLLVTSVGENKERIKLMKCVTSSQNNSHECKYSKIQKSIDETSSFLDKLSLSLSLIILVVEVFRCFLWNKSWCCDNDRDPKGMKNMMEEIMNEATKYMRRKRGENYVTNKVNGFVAMVCILVFSLKDGLSLGMLIVLLYASKDMKKNDQIIVHNLPSCANGALVTTLCLSKTSHFVVNHSTLADLWIGFEKINDLSVFSGGARDSTKEVRNTLLEGIFMNIIGHVDEDALLIWAEKMFGGNIEQFHGSCSILNCENYYPKKGLCGVLLKRNKEEIGEFLHVHWKGEPKLVLSMCSNYYDINNGTMQTLDEEKRELFNRKIASIVACNEVHCYGFGYKQVIRSSLEEEEKENSTIIAKDGLSPLGIVVLKNPYSSELRRTIEVCRKSGIEVKLMVDDDLNTSRLMAINSGILRIEEDLQGSIIEAIEFRKSPKETQINMCHKIKVMSNCSPADKLLLVNCLKIRGGHVAATGSSIRDLPSLKEADVGIFFGKNCVDLAKQDADITIVESNFGKILDVLILARYVCINLEKFIQLQLILNISGFTSNFILLILNPTSDQDQQLTPFQLLWVNLLIEVLGALYLSIITSIIRPRESSSVDQITLNFYGTIVTNNMLRNIVVHSMLQVILLLMLTMKGKSFLRVNEELLSTMIFNIYILCQVFLLIISAIEMITKTRISKGRKWLLFVVSCVIIGIIIVLQVILFQIMSKIDHWKKLGFKQWSICIGIAAISLPIHFAAKIIFSPCKDS >Solyc04g011680.2.1 pep chromosome:SL3.0:4:4156115:4158864:-1 gene:Solyc04g011680.2 transcript:Solyc04g011680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDITTHTIGQLVYKYSQVRKQKTHKNNKFLHLMALIFLQVLALLAILYILQDLHNKFIRKKKKLPPGPRGLPVIGNLHMIGKNIHQDLHKIAKKYGPIMSMKFGVIPIIVASSPHAAEQFLKKHDLIFASRPNNRAMRIVAYNQRNLTFAKYGPYWRNIRKLCMLELLSPIKIKSFQDMRKQQVTNFVTFINRAASCHDEVDISANLSLLSANMSCLMIFGKKYMDDEFNERGFKDVIQEALIIAATPNIGDFFPFLDKFDFQGLIPRTKKLAKVFDEFLERVIDEHVQNSKEEKQTKDIVDTMMNIMQSGEAEFEFDRRHIKAILLDLLIASIDTSATSIDWIFSELVRHPKVMKKLQKELEQVVGMNRIVEESDLEKLKYLDMIIKEGFRLHPIAPLLIPHESIKDCIIDGFNITRGSRILVNTWAIGRDPEVWPEPEKFMPERFVGSDIDLRGRDFQLLPFSSGRRSCPGLQLGLIVVRLVIAQLVHCFDWKLPNDMMPNDLDMTEKFGLVVSRAQHLVAIPTYRLHV >Solyc09g091840.3.1 pep chromosome:SL3.0:9:71517454:71527429:-1 gene:Solyc09g091840.3 transcript:Solyc09g091840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKMLIDGELSKPGEEEAHYDFDLFVIGAGSGGVRASRFSAQYGAKVAICELPFDPIGSEVSGGVGGTCVLRGCVPKKILVYGAAYGPELEDAKNYGWEVNENVNFNWKKLLHKKTEEIVRLNGIYKRLLSNAGVKLFEGEGRVVGPNEVELIQLDGTKISYSAKHILIATGSRAHRPDIPGQELAITSDEALSLEELPKRAVILGGGYIAVEFASIWRGMGSTVDLCFRKELPLRGFDDEMRAVVARNLEGRGITMHPCTTLTKLEKAEGGIKVHTDHGEVILADVVLVATGRLPNTKRLNLDAVGVELDNMKAVKVDEYSRTNIPSIWAIGDVTNRMNLTPVALMEGTCFAKTVFGGKPTKPDYSHIPCAVFCIPPLSVVGFSEEQAIEQINGEVSVYTSSFNPMKNTISGRTEKSVMKLLVDAQTDKVIGASMCGPDAPEIMQACIFCFTAAYDLLGIAVALKCGATKEQFDSTVGIHPSAAEEFVTMRSESRRISSNKPKTNL >Solyc01g109505.1.1 pep chromosome:SL3.0:1:96347915:96349670:-1 gene:Solyc01g109505.1 transcript:Solyc01g109505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASLFKDNVSSSGKISMHVFLLLCLPPNSSFMMIHWLHIEVHSLQRSPNVAINNFSFRILKQSDITNTSDTYTLTFLMKQAVNNIN >Solyc09g092760.2.1 pep chromosome:SL3.0:9:72157839:72159056:1 gene:Solyc09g092760.2 transcript:Solyc09g092760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKAFMIIILAIFLVVITSEVAARELAQRSTTSLDNVHANDAKLLGIGFQGYLGDEIGGLPGIGGLSRSGFGGVGFPWGGYGGGGGYGGDRGYYGGYPRGGYGGFYPGIGYGGRGYPRGGWYGGLPRN >Solyc07g025245.1.1 pep chromosome:SL3.0:7:26945937:26948319:1 gene:Solyc07g025245.1 transcript:Solyc07g025245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTRFSTWQSQDVPNVESLVQQLCAIASKLNTIDSLATDVASLKVHTSHNQQEEISHRTRNRGKRPNVWQEEEEDIDNTRWLKNPPRRLYTKMDFPILEKGDPMGWILKVMRLITSLGSIVNKLCSIGKNLLKHYKKIMGCRVSKFEQSYDFGLINAPSTFQCVMNDMFRPHMCKLILVFFDDILVNNQTFEQHISHLELAFKLLRDSCYYAKTSKCSSGKVHISFLGHKKGVGVDRDKVQAVLEWPVPINLKKLRGFLKLTSYYRRFLKGYRMMARPLTELTKKNDFQWSNSVENAFQLLKKALIIVLILQILDLTQPFVVEVDALQNE >Solyc11g009070.2.1 pep chromosome:SL3.0:11:3191177:3196820:-1 gene:Solyc11g009070.2 transcript:Solyc11g009070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIVSQNLFTAPHTRINLFCLKNPKISTPLHLKPLNTPLIFRTQKPHLDKIEFLQCHQWKVKSFDSEGTVNGQVSAEYEFNFDGFLSILEFLCLLSSAVVAIGFAVNCWFLGSHKWLGNRVLAAQCVVLVGGVIIGSVIRRRQWRRICMNNFSRPGSDLKGVNMLERIEKVEEDLRSSATIIRVLSRQLEKLGIRFRVTRKTLKDPITEAAMLAQKNSEATRALALQGERLEKELGEVQKVLLAMQDQQHKQLELILAIGKTGKLFENKRGPSQDPNQKTNDMSNTAADGFPQLGVNQIQALKRQTAENQ >Solyc05g014060.1.1 pep chromosome:SL3.0:5:7668908:7669469:1 gene:Solyc05g014060.1 transcript:Solyc05g014060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGEPEDPFATPLENLPEWYFVLVFQILRTVPDKLLGVLLIVSVPAGLLTVPFLENINKFQNPFHRPVATTVFFIGTAVAHDIPRSRQLTESPNRLRFLNNPHHFYFYWNTRFTFSVEKARINNSNFTYDNFSISCSFATKYFSLCIGKKNMLFGGEILFHQSTQVRMIRN >Solyc03g071810.1.1 pep chromosome:SL3.0:3:20939200:20939847:1 gene:Solyc03g071810.1 transcript:Solyc03g071810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLQEFEVSKLGQKLWDTELLVCYGEVDKDIDSEVEDGHSHTLLIRQDSLREVFNVRYSFYGGPFFVASHSRFHELQTSIEVHVEKAVNQPKNSKKQILLLGDSNGKGPDTVCHDNSDGRIMIGSTLLYVKGNARKQKNLCKVCDTEKMNYWQNI >Solyc09g057885.1.1 pep chromosome:SL3.0:9:52010237:52010599:1 gene:Solyc09g057885.1 transcript:Solyc09g057885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTIHLNIHNAMESWLNRPLCYLNGVPGLGILYRNHGHSHIEYFADANSAGSKIDRRSSTSYCVFVGGNLVSWKRNRSSAEFEYK >Solyc03g025550.3.1 pep chromosome:SL3.0:3:2968946:2975506:-1 gene:Solyc03g025550.3 transcript:Solyc03g025550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLWKLVYLLEPAPIALILTAIAVSFASAFRALNYGKEMERNRDWSEASITLDRSQALMIPVVSSCSLLMMFYLFSSVSQILTVFTAVASASSLYFCLFPYIAQIKSRFGLADPFVSRCCSKPFTRIQGLLTLLCIGTVIAWLLSGHWILNNLLGISLCIAFVSHVRLPNIKVCAMLLGCLFVYDIFWVFYSERFFGANVMVSVATQQSSNPVHIVANRLSLPGLQLITKKLELPVKIVFPRNLLGGVVPGYAAVDFMMLGLGDMAIPSMLLALVLCFDHRKSKDSGSIMDIPPPKEDISSPKGFYIWYALAGYSVGLVTALAAGILTHSPQPALLYLVPSTLGPIIVISWMRKELAELWEGSPSNINEKTRFTEV >Solyc02g062230.1.1.1 pep chromosome:SL3.0:2:34370066:34370356:-1 gene:Solyc02g062230.1 transcript:Solyc02g062230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALKKATQTAALKQILKKCSSFGKNENGLPNDVPKGHFVVYVGENRSRYIIPISWLTHPQFQTLLQRAEEEFGFNHDMGITIPCHEHDFCSLISNF >Solyc01g016693.1.1 pep chromosome:SL3.0:1:21317932:21319838:1 gene:Solyc01g016693.1 transcript:Solyc01g016693.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKNDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKIFLSQRSYIQKVLARGTSYVGLIYGGDTQCLVTGYSYSDCAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLNLQH >Solyc02g079277.1.1 pep chromosome:SL3.0:2:44434787:44435698:1 gene:Solyc02g079277.1 transcript:Solyc02g079277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVGSPDSEANKDGLKREIVHTARLRTGHLGREQYKMGVTDWEGSSIDFAADQVARRTIFPSDTWTHLFKSINQLTAQ >Solyc07g017240.1.1.1 pep chromosome:SL3.0:7:6565020:6565283:1 gene:Solyc07g017240.1 transcript:Solyc07g017240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVSVLVERLDAAIPSSFHLRKINACKQTIRLPCLFKELGSVLYHNLCNPHRPGKDHQVEQVEQWRACRWHPSASARHHHQLQQLLL >Solyc07g009210.2.1 pep chromosome:SL3.0:7:4246305:4248270:1 gene:Solyc07g009210.2 transcript:Solyc07g009210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLNGTSLDENGHGTHTSSIATGNFVNGANVLGMTNGTAFGMAHVAMHKVCSSNGCHETDLLLCKRGFFVSCSAGNTGPLPGTVNNRYPWLFTVGASTTDRKLRATVRLGDGKEIYGESGFQPKDFSQTMLPMVRNVSDYVKNAGDAGMILPLPGILKPDIVAPGVNFLAVFKSAIMTTADLDENSDLFATGAGHVNPLRYVHYQKLMNSSSNIYTRTVTNVGEPQVTFSRGSSSSTTKFVQGFLK >Solyc11g069690.2.1 pep chromosome:SL3.0:11:54594528:54601155:1 gene:Solyc11g069690.2 transcript:Solyc11g069690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCMKPFSCISLCCIFLLSSIYIAEAEVITLTGDTFNDKISEKDTAWFVKFCVPWCKHCKNLGTLWDDLGKTMEREDEIEVGQVDCGTDKPVCNKVDIHSYPTFKLFYNGEEVAKYQGPRDIESLKVFALEEAEKAATKAEAVDDKEL >Solyc06g084240.2.1 pep chromosome:SL3.0:6:49463110:49468688:-1 gene:Solyc06g084240.2 transcript:Solyc06g084240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Copalyl diphosphate synthase [Source:UniProtKB/TrEMBL;Acc:G5CV38] MSISASFLRFSLTAHYQPSPSSSPPNQPFKFLKSNREHVEFNRILQCHAVSRRRTKDYKEVQSGSLPVIKWDDIAEEVDVETHTLEVYDPSSNEDHIDAIRSMLGSMGDGEISVSAYDTAWVAMVKDVKGTETPQFPSSLEWIANNQLADGSWGDNSIFLVYDRVINTLACVIALKSWNLHPDKILLGMSFMRENLSRIGDENAEHMPIGFEVAFPSLIEIAKKLGLDFPYDSPVLQDIYASRQLKLTRIPKDIMHKVPTTLLHSLEGMTDLDWQKLLQFQCTDGSFLFSPSSTAYALMQTQDHNCLNYLKNAVHKFNGGVPNVYPVDLFEHIWTVDRLQRLGISRYFELEIKECIDYVSRYWTNKGICWARNSPVQDIDDTAMAFRLLRLHGYAVSADVFKHFESKGEFFCFVGQSNQAVTGMYNLYRASHVMFSGEKILENAKIFTSNYLREKRAQNQLLDKWIITKDLPGEVGYALDVPWYASLPRLETRFFLEHYGGEDDVWIGKTLYRMPLVNNSLYLELAKSDYNNCQALHQFEWRRIRKWYYECGLGEFGLSEKRLLVTYYLGSASIFEAQRSTERMAWVKTAALMDCVRSCFGSPQVSAAAFLCEFAHYSSTALNSRYNTEDRLVGVILGTLNHLSLSALLTHGRDIHHYLRHAWENWLLTVGEGEGEGEGGAELIIRTLNLCSVHWISEEILLSHPTYQKLLEITNRVSHRLRLYKGHSEKQVGMLTFSEEIEGDMQQLAELVLSHSDASELDANIKDTFLTVAKSFYYSAYCDDRTINFHIAKVLFERVV >Solyc06g072220.1.1.1 pep chromosome:SL3.0:6:44647756:44648391:1 gene:Solyc06g072220.1 transcript:Solyc06g072220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLLLLFSLSLFPFILCVPNPSRLLLASSSSSPVLDINGDKVKAGPNYFILPVIQGKGGGLYPSNVKQHNSTCPRDIIQETDDVQEGLPVVFTRLDAKKGVVRVSTDVNVRFFTPTICARETIWKLGAYDDKLKQYFVVTGGVEGNPGPKTVGNWFKIVKFGSGYKFVFCPSVCKFCKVICKDVGVFMKDGVRFLALSDTPFEVKFKKTF >Solyc08g008350.3.1 pep chromosome:SL3.0:8:2769148:2774827:1 gene:Solyc08g008350.3 transcript:Solyc08g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKILTTETIALTEKKMDMTLDDIIKMSKTNTTKTKKQRVSNRSQKFSNNLAQDKSAKIQRFMDTRSSMRQGALAQRRSNFQGDQFPLATEAARRAAVAPIRNRAFNRSQAVNVNKQRFGAPPVQKSAANGGRFIAKQQKQEVKPVSKQRPQTLDSLFANMKEQRMKMQSQQNNMPRRNGGGQHVAPWARGRFGK >Solyc06g068810.3.1 pep chromosome:SL3.0:6:42754158:42756346:-1 gene:Solyc06g068810.3 transcript:Solyc06g068810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLFQTLRPNHGLREWRCNTSSGNLEILFNGEIANLSATLSTGLHLSLSPTESHYSQDYHRLLCILEACKMSPNLRTTAGAHANIIKLGYGVYPSLISLMVVTYASCDRLNLARQLLVEIPTEYFDAVSANLMISRFMKMGTVDVAKMIFNEVPLRDLVTWNSLIGGYVKNDLCKEALSVFRKMLRSNVEPDGYTFASIITACARLGAIDHAKWVHHLMTERRIELNYILSSALIDMYSKCGRIEIARGIFDSVERTNVSVWNAMINGLGIHGLALDAIEIFSLMEPENVSPDSITFIGLLTACSHCGLVEEGQKYFQLMKSLYLIQPQLEHYGTMVDLLGRAGLLDEAYTVIKEMPIEPDAIMWRTFLSACRIHKNSEMGEVASTKISHLGSGDYVLLSNIYCSTKKWDNAEKVRCLMKWKGVRKNSGKSWVEVGNVVHQFKAGDRSHPEAELIYKTLKKLIHQTKLEGFSSTTELVLMDISDEEKEENLSYHSEKLALAYGILKSSPGTHIQVSKNLRTCPDCHSWMKMVAKLLNREIIVRDRIRFHHFADGYCSCGDYW >Solyc03g123580.3.1 pep chromosome:SL3.0:3:71885785:71899203:-1 gene:Solyc03g123580.3 transcript:Solyc03g123580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRHSSFGAAPLIWKSCSNKREKHAQRVVLVYSCASDQYISIKPTALQIRSTSTSKLKIFEDESTGIVCYRDENGEITCEGYDEGPRYCQQLTRFCSNSRGDEEIIELLQRCWWHHVTDSAQDFS >Solyc02g078350.3.1 pep chromosome:SL3.0:2:43637539:43639815:1 gene:Solyc02g078350.3 transcript:Solyc02g078350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVNRRIIQALTGITNRFYHSDKPKKVSLYSKISPLGNPSCSVTNELDEWVCKGNKIRFAEIQRIILDLRKRKRFGQALEASEWLKISGIFTFSSTEHAVQLDLIGRVHGFPDAESYFNNLSKEDKNDKTYGALLHCYVRQCQMEKALTHLRKMEEEGVSLSSVAFNDIMSLYTRTGEYERIPEMLHHMKEIGVQPNNLSYRICINSYGARSDIEGMERVLNEMENQSFIVMDWNTYAAVANTYVKEGLNDKANSFLKKAEEKIDNKEGIGYNYLISVHSKLGNKDEVIRLWFLEKSALKRCINRDYINMLEALVRLDSLEEAEQLLKEWETSGNCYDIRVPYIVIIGYMDKQLYEKAETMLEDISTKGKILTPNIWGRLAAGYLDKDKFERAVKCMKVALSLKKDRKGWKPDPKVIMRILSLFAENGNVNDAESFFHSLRPIVAVNREMYHALLKSYIAGGKEVESLLNNMRDDNIDENEETKVILGINQ >Solyc01g081540.3.1 pep chromosome:SL3.0:1:80583065:80592447:-1 gene:Solyc01g081540.3 transcript:Solyc01g081540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACHTQLMLNVSFEQFCINLTNEKLQQHFNQHVFKMEQDDYTTEEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKATHETFAQKMYQTYRAHKRFSKPKLARTDFTINHYAGDVTYQADHFLDKNKDYVIAEFQALLMDSKCSFIANLFPPLPEESSKQSKFSSIGTRFKQQLQSLMETLNTTEPHYIRCVKPNTVLKPGIFENMNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGTLAPDVLDGCDEKSACIAICDRMGLKGYQIGKTKVFLRAGQMAELDARRTEVLAHAAKRIQRQIRTYLTRKEFIALKRATIHFQKLWRARKSYKELQAAAVVIQTGMRAMAARNEYRQRRRNKAAKIVQTQWRGFHAFSTYKQKKKASLSLQCLWRGRLARKDARDTGALKEAKDKLEKRVEELTWRLDFEKHLRTDLEEAKGQEISKLQKALQEMQMQLDEAHDAIIHEKEAAKIAIEQAPPVIKEVPVIDNTKVEKLTDENNKLEDEIRELKKRVEDFEQSYNEVEKECQATRKEAEESQLRVSELQESIERLQLNLSNLESENQVLRQQALVASTNEALSEEMDILKNKIKDLESENELLRTQRVVVERVVSSDDRAPKGLETVDITHPADNGHQTEEVHEEMKVEQQISKENHDILIKCLAEDKRFDKGRPVAACTLYKALLQWRSFEAEKTNIFDRIIHTIRSSIEDQDNTSDLAYWLSTSSTLLFLLQSTIKAGNAPTRSPYRNRSSPTTLFGRMAQGFRSTSLSMAISSGYSGIEGSPNVRTRIEAKYPALLFKQHLTACVEKIYGMIRDNLKKEISPFLNQCIHAPRSARIKPLKGSSRSIHSNIIAKQQASSVHWQNIVNSLDNTLTILSENNVPSTITRKILSQVFSYINVQLFNSLLLRRECCSFSNGEYLKAGLQELESWCSKATEQYAGSSWDELQHIRQAVGFLVLHQKSQKALDEITSDLCPMLSIAQIYRIGTMFWDDKYGAHGLSPEVIGKMRALTLEDSASIPNNTFLLDVDSSIPFSIEEISRSFQSINLSDVEPPPLLRQRSDFQFLLQAAA >Solyc08g081040.3.1 pep chromosome:SL3.0:8:64284608:64286742:1 gene:Solyc08g081040.3 transcript:Solyc08g081040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKPSRKNGVGKASGSITLREESGVKKKQTLVNAKSMLKLEHIKDIANWTSGEGSIPSLAAFFGQRLAVSAESLGVSPDPSLLTCQRCESILQAGYNSTARIEKNKRKARKKRKTSGIPSKNSVVYECHFCSHRNLKRGNSRGYMNSLYPAKPKTLTVDPATSATRKVKVDPTESTMQRSEHLGTLVASIDKTKVDPTESATRESEQLDTLVASIDKAGVDPTGSAMQKSEHLDTLVASIDKTRVDTTESATQKSEQFDAFGGSTTDATVSSELVGDDPMAGPATPLSTVSVTSLLDSKKRKRNRTGSKKKGETQDGSSMTDVEKTVSTSSKRKKKSWTSLKEIAESQSNNTRKFSNISVPFTL >Solyc08g074980.3.1 pep chromosome:SL3.0:8:59227229:59231137:-1 gene:Solyc08g074980.3 transcript:Solyc08g074980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDSKSWRPFTANCCSADDQTIFGNFSRCKTSKSNFSKNNIGPLPSFRRLSFSDLSRSSSTRINEDLAQTFGPDLFDFQVSELRAITQNFSTNYLLGEGGFGTVHKGYVDENLRSSLKSQAVAVKLLNIEGLQGHREWLAEVIFLGQLRHKNLVRLIGYCCEDEERLLVYEFMPKGSLENHLFKRLSMSLPWGTRLKIAIGAAKGLAFLHGAEKPVIYRDFKTSNILLDSDFTAKLSDFGLAHMGPEGSNTHVTTRVMGTYGYAAPEYVNTGHLTTRSDIYSFGVVLLELLTGRRAMDKTRPKNEQNLVDWTRPYLSSSRRLRCIMDPRFGGQYSVKGAKEMAHLASLCTSLNPKDRPKMPAIIETLEAIQPLRDMAVACGQWPPSPKSSNKYVVYAPKGNKDSKIVVIKNPRMGVNSKSK >Solyc06g016690.1.1.1 pep chromosome:SL3.0:6:12729343:12729741:-1 gene:Solyc06g016690.1 transcript:Solyc06g016690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRCWVWHGRMALEKHTPLDDIGHMMPSSLLGSTYSGTTSSKTCHTHPWAADTVGQHQASHASIAFGNNKRSDYVLHGMPSYSLDRTYVRRRSARNLRIHLGQHTRSEWHAIIDRGQHIRSYDVGCGMPSRS >Solyc10g085900.2.1 pep chromosome:SL3.0:10:65049993:65051642:1 gene:Solyc10g085900.2 transcript:Solyc10g085900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYNLNEFVARQSHLRLSRSIQKQIYTNSNFIYTYESCPLIIWVLGVFPNIFMKIEQKATPMNLLSPKFYLFVVSVFPNFAIPPLSSGFKSFTITMNTLNLLPLSAKSNNFQYQFIPSVLTQQPLNTLSFKSPFPLSTKLSKTSNSEPLKSLIPLSFLKPTLISTITATALFFTGFYFNPKQAICCPVSPVPIVDTNVKEEVLEQEGTENVEILRNLLENRVKNRELDDALSIINKLIELEPEEIEWRFLKSHLYVHSGEIQLAKLGFRDVILKDPYYVEAYRGLVVAASEDESVEEMKKIEKQVEEGINMCKKEEKESELRDLKLILAQIWVIGGKYDEALKVYQELVDEEPGDFRPYLCQGMIYTALKKNDEAEKCFDKYRGLIPQDHTNARFEFMMAT >Solyc04g079540.3.1 pep chromosome:SL3.0:4:64054221:64057339:-1 gene:Solyc04g079540.3 transcript:Solyc04g079540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHILSSSSTTTTTFLILFLVLFTLSPFPVSIATSSTIPFNISHFLYPRINYEEYPQSSPNPPSFLEDVLKGIAEREKWDLQDLRVSKLDVKKSKFGTLRRYEFRVRIGKTEFVFMMADEVSQWKGLHFPNKNESDFESLVKEIGSKATLDVLKIQGPFELYATGDDYLSLTLPLNSSYTGLKKILVDEGITVEVKGADEISMFNISDLLKLVNGSMLTKSGSGQYRYMLQSSCIPLLPVHVKGPASVLAYITRNPDLRIETVFVSRRSIKLLSQKCYTRHIYRKWSSYNDFQSQKIALLEKVLRRFLGGKTSQIGRYNLLKVKVKDLTLFRFQLELERGIQNNDTYWTTLGEWRTRPAVEHSWFEVTARFEADILKPRLIKKVSPFIEVDSSSWSNLMSNMSFTKISSFLVPPEPLTLDVRW >Solyc03g123770.1.1 pep chromosome:SL3.0:3:72013364:72013811:1 gene:Solyc03g123770.1 transcript:Solyc03g123770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALNGIKGRDCMFSRLLCDDIVGDQHATSLSQPGSTIPMYIRYFHGHNWFELEILTANNMLKRFEYLILCVTESCNIHRLLNTWRKLKTNYLPVKGIFTCKTTHNINNLLC >Solyc02g069670.3.1 pep chromosome:SL3.0:2:40080187:40085043:-1 gene:Solyc02g069670.3 transcript:Solyc02g069670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGIQFPSLNRNTFIIFFLIHLSIFSPYFAISIKQKEAQQQVIGYGYSIKSVAITGNSIFANLQLISTSSVFGTDIHNLILIASLETNDRLRIRITDVNHQRWEVPEEILRRPPPPSPPSTSNSSSENHFPITLSNPNSDLEFTLHNTTPFSFTVRRRFTGDTLFDTSPENENPDTFLIFKDQYIQISSALPTTRANLYGLGEHTKSSFKLTHNQTLTLWNADIGSSNADLNLYGSHPFYMDVRSSDPAKETAAGVSHGVLLLSSNGMDIVYTGDRIIYKVIEGLIDLYFFAGPSPEMVVDQYTQLIGRPAAMPYWSFGFHQCRWGYKNIDDVELVVESYAKARIPLEVMWTDIDYMDGFKDFTLDPVNFPLERVNFFLRKLHQNDQKYVLIVDPGISINNTYDTYRRGMEADVFIKRDNMPHQGVVWPGNVYYPDFLNPATEVFWRNEIEKFQDLVPFDGLWLDMNELSNFITSPPTPSSTFDDPPYKINNSGDHLPINYRTVPATSTHFGNTIDYNVHNLYGLLESRATYSALVNVTGKRPFILARSTFLGSGRYTSHWTGDNAATWNDLAYSIPTILNFGLFGIPMVGADICGFSSNTTEELCRRWIQLGAFYPFARDHSAKDTTPQELYSWDSVAAAAKKVLGLRYQLLPYFYMLMYKAHTKGTPIARPLFFSFPQDAKTFDISTQFLLGKGVMISPVLKQGATSVDAYFPAGNWFDLFNYSRFVSLNQGTYLTLDAPPDHINVHVREGNILVMQGEAMTTQAAQRTAFKLLVVLSSSENSTGELFVDDDDEVQMGREGGRWTFVKFNSNIIGNKIVVKSEVVNGRYALDQGLVLEKMTLLGLENVRGLKSYELVGSHQQGNTTMKEKLKQSGQFVAMEISEMSILIGKEFKLELYIIT >Solyc01g097180.2.1 pep chromosome:SL3.0:1:87996817:87998087:1 gene:Solyc01g097180.2 transcript:Solyc01g097180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIKVPRPNHEKHISSSSSSELSDQCDELQRLEDAPPFWRNPNKRLSKQLSMCEIPRDIAWEKRRRQFLHQERKKNITGSTQDMDAYITDEDLNELKGCIDLGFGFNEEEGQRLCNTLPALDLYFAVNRQYLTSPVSSPGSNKGSMSSPGSLNSLGGRSSSFGSPRSDHVDAWKIYSPGDDPQQVKTKLRHWAQAVACSVKQSY >Solyc01g104010.2.1 pep chromosome:SL3.0:1:92377776:92378938:-1 gene:Solyc01g104010.2 transcript:Solyc01g104010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QQKEKEKIFMMSHSSSTTSVNGFYNFLTRGLDNLDHLFLSQNFMSFHFLQHVLSSLRSFHSQLTLLVQKLHLPVGEKWLDEYMDESSRLWEACHVLKSAITSMENYYTAGANFASSLDDHHILNPLLSRQVIRAINRCQREIVGLEEENKSLMETRMQDLSLNFDENVLIGSKFNGFSGFRGVLYAMKNVSSLLLVILVSGLVYCWPQTSFYQSGSNEGNMVFGSSFMVSTSRLYQRVAAEVNQAEGQLPGILLFEYRRARVAMAELKIEVERAKECGVVLSQVDIHDKIENLKDCFGMLKCGAESIIGQLDDFFDEIVEGRKKLLDLCTHR >Solyc09g011010.3.1 pep chromosome:SL3.0:9:4358349:4360020:1 gene:Solyc09g011010.3 transcript:Solyc09g011010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSSFLSTTLSPNYSQNHHLFLPNNPNLKITKRTSFNIQAAKVPPGVELPKEVPKLSKPLLGFTNTAEIWNSRACMIGLIGTFIVELIFNKGILEMIGVEIGKGLDIPL >Solyc11g042820.2.1 pep chromosome:SL3.0:11:34346879:34350497:1 gene:Solyc11g042820.2 transcript:Solyc11g042820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDREETRKNKSKRESAVSMASATQKIPVDWRGRPCKPNKHGGMTAAIFVLCLQAFEMMAIAAVGNNLITYVFNEMHFPLSKSANIVTNFIGTVFLLSLFGGFLSDSYLGSFWTMFIFGFVELSGFILLAVQAHVQQLRPPKCDMMLSNGKCLKVKGYKAMIFFVALYLVALGSGCLKPNIISHGADQFKKQDSKKLSTYFNFAYFAFCAGELLALTLVVWVQTRYGMDVGFGVSAASMVFGLIIFLSGTLVYRNTPPGGSIFTPIAQVFVAAITKRKESCPSNLKMLHGSQCIVPQDGTLIHTDKFRFLDKACIKIDNGRSTSESPWRLCTVSQVEQVKILISVVPIFACTIIFNTILAQLQTFSVQQATIMNTRLTHNFTIPPASLQSIPYFMLIFLVPLYEIALVPVIRKFTGNDSGITPLQRVGIGLFIATFSMVCAALVENKRRDYAMNQNRILSIFWIVPQFIIYGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSILVSLVNRITSTSDGGGWLNNNDLNKNRLDLFYWLLASLSLVNFINYVFCSRWYSYNPSLLPVVPLHEPQAEGHDSENPN >Solyc01g091520.3.1.1 pep chromosome:SL3.0:1:85019469:85020215:1 gene:Solyc01g091520.3 transcript:Solyc01g091520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGLCTLEKRGNIFLLILTGTGDHRLHPNLIDSISAALRRVRSDSTSSSSALITTAQGKYFSNGYDLKWALVDNARQKLMSRKLRCLVSDLITLPMPTIAAVTGHASAAGFVFALCHDYILMRKDRGFLYMSELDIGFPIPTWFSALVKCRMGSPAVRREVVMKAAKVTAEMGVEMGFVDSAHSGAEETVEAAIKLSEELVSRNWDGKTYAACRKTLFVELLNSLGSDETVGDYGDEDANKTLAKL >Solyc01g005890.1.1 pep chromosome:SL3.0:1:587984:588900:1 gene:Solyc01g005890.1 transcript:Solyc01g005890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGNLGQWSLLAFALSHAPSKHYYKAPVVAKYYKSHAPSRNYYKAPVVTKYYKSHAPSKHYYKAPVVVKYYKSPAPSKQYYKASVVVKYYKSPAPSKKYYKAPTLSKYYYKSPSPAKYYKSPSPTKYYKSPTPSKYYKSPSPAKYYKSPVYYKSPPQPPPTYYEKSPSYYNSPPPPPYYKESTPSYKSPPPPSYYEKSTPSFKSPLPPPYYEESTPSYKSPPPPPYYEESTPSYKSPPPPPKSYEQSPSYYSPPPPPIVY >Solyc12g007210.2.1 pep chromosome:SL3.0:12:1633740:1636059:-1 gene:Solyc12g007210.2 transcript:Solyc12g007210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVSKFIKCVTVGDGAVGKTCMLICYTNNRFPTDYIPTVFDNFSANVSMDGKIVNLGLWDTAGQEDYSRLRPLSYRCADVFVLAFSLISRASYENVLKKWMPELRRFAPDVPIVLVGTKLDLRDDNAYLADHMDSNIITPAQGEELRKQIGAAAYVECSSKTQQNVKAVFDTAIKVVLQPPRRMEVTSKKRHRSTGCSIVRGIVCGGCAAV >Solyc12g088040.2.1 pep chromosome:SL3.0:12:64519132:64522303:1 gene:Solyc12g088040.2 transcript:Solyc12g088040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLLLLLLLICTTPAVLSARRCSDCGSSPVPYPLSTGPDCGDQSYKIRCSNRLFFDTLNNSYPISSISPDTQTLTIEPSPFLSNTCITQDISTVGVQLNSSLPFNITSSNTIVFLNCSQSLLSSPLNCTAESLCHTYLNGTSENDGAIGACRNAPICCTFRAGGSSTSYMIRVRESGCRAYRSFVNLNPSLPVSRWPEAGMELQWVSPPEPVCTIQSDCDSDSTCGPDPNSNGGVNRCFCHSGFHWDPIAALCARDVTCQDRDGCGRDHTALIAGLTSGLSVAVVAAVIGFFVYRRQKRIKEARDRLTREREDILSSGGVKSAKLFTGKEIKKATNNFSTDRLLGAGGYGEVYYGKLDDDTVVAVKCAKLGNAKGIEQVLNEVRILCQVNHKNLVRILGCCVELEQPLLVYEYVPNGTLSDHLYLQRKLLTWDSRLSIAHATAEGLAYLHFSAVPPIYHRDVKSSNILLDDKLNAKVADFGLSRLAHTDLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSFGVVLLELLTSQKAIDFSRPQDDVNLAIYVQRLVEEERIMDAVDPALKVGASSVELETMKALGFLAVSCLEEKRQSRPSMKEVAEEIEYIITIAAAKQ >Solyc08g083375.1.1 pep chromosome:SL3.0:8:65963364:65971442:1 gene:Solyc08g083375.1 transcript:Solyc08g083375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEIMVVEEAGHKTMETVKPNDVIPSSVLLMFESDFCEEIGDDSDPDLLTTTEVLPIDVVVDIADTTPDVSPVTTLVNFSIPQPVKHTNRTTKQPIWMKDYIAPTTKHASRVILNQRKYLLEQISDMGLSGPKPAATPLESNMRLTTLEYDQSIGLSEDKPLSDVSTYQRLVGKLMHATITRPDICYAVQTLSLFMQTPKESHYEDVTRVVRYLKSTIEQGVCLQAKAADTLTCRCDSDWAACPNTRRSVTGYIIKFGDSLISWKSKKQDTVSRSSIEAEYISMASVVAEVTWLLGLFKKLKTRENFMDLMPIRIWTFLATFEYPLSFLPYIQILCVLSYHNDDLTS >Solyc11g005190.2.1 pep chromosome:SL3.0:11:162765:164090:1 gene:Solyc11g005190.2 transcript:Solyc11g005190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSGCDTIGVLEFDPCGNFLASGGIARKIRIYTVKSVQGERRIGDAPLALDHSNACDFFICTPAKLGSIKWKPGLGSRVLGSGDYDGVVMEYDLEKKMPVFERDEHGGRRVWSIDYCQSDPVLGASGSDDGTMQMWDPRCGDSGKCLAMVQPTKGYSTPVCCVEFNPFKGPIVAVGCADRRVYAYDMRKMLDPLFVLDGHEKAVTYIRFVDERTIISSSIDGCLKMWNAEDQKVLRTYKGHSNSRRFVGLSVWKPGGLICCGSENNQVFVYDKRWGEPIWMYGREPRHEHGFVSSVCWQQKDENQCTLVAGDSDGVLRVFNGKRK >Solyc12g017262.1.1 pep chromosome:SL3.0:12:6467578:6470953:1 gene:Solyc12g017262.1 transcript:Solyc12g017262.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVGDKSWMNLQRSTNENIHGVNGFLDKAFERAFQGHEEDNCPNIKETFWRETNDDIGSSEKVLEVDVRWSREDLLVDIIDLPTLAQHSEDVAMETSEEEDDFDDTDWDWMEADD >Solyc01g112175.1.1 pep chromosome:SL3.0:1:98158349:98158871:1 gene:Solyc01g112175.1 transcript:Solyc01g112175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEGRGRELRREDNDGFESITSVCMCGNVEKVKRQEEDEYASGGNNSPKRLSSRTDDSEVVDHVYYFEDGENASNKGISLSMHLWNVLRDHADEIDKVVSENNLMVDL >Solyc11g043150.2.1 pep chromosome:SL3.0:11:33677807:33688976:1 gene:Solyc11g043150.2 transcript:Solyc11g043150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKEKVEQLKIQMQQWLNEAEEFINHIPPVQLYTAVGVVLFTLILLLIIRLFKRTTSNTIVLTGLSGSGKTYLFYQLRDGSAHQGTVTSMEPNEDSFILHSEKDKKGKLKLVHIVDVPGHSRLRPKLDEFLPQAAGVVFVVDSVEFLPNCRPASEYLYEILTKASVVKKNVPVLLLCNKVDKVTAHTAEFIRKQLEKEIDKLRTSRTAVSDADISNEYTLGVPGEPFAFSQCHNSIIIAEASGLTGEISQLEKFIRENVKP >Solyc07g064270.3.1 pep chromosome:SL3.0:7:66618205:66622971:1 gene:Solyc07g064270.3 transcript:Solyc07g064270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTVKQAAFTINGCDFIRSKSQSLASTRRISLLPPLPAMKSRRSSGVSVSKPVFVSSVESFGGLKRSNEKTDSGLVKCKAYEADRAEPMEGPESKAELARKMKIGVYFATWWFLNVIFNIYNKKVLNAFPFPWLTSTLSLAAGSLIMLISWTLRIAEAPKTDLEFWKTLLPVAIAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGESFPTAVYLSLIPIIGGCGLSALTELNFNWIGFSGAMISNVAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWALGFEKAVSQIGPQIVWWMAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFRTPVQPVNALGAAIAILGTFLYSQAKQ >Solyc12g005320.2.1 pep chromosome:SL3.0:12:203497:207092:1 gene:Solyc12g005320.2 transcript:Solyc12g005320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMVTKSVQLVVLLVCCLTIITIQCANATQNPNLFNVEPTIVHSAASKGAVCLDGSPPAYYFEPGFGDGAENWIFHLSGGAWCINVTDCQKRAEGSNGSSKRMGPLQFQGIHSKNNTQNPDFYNWNKVVVAYCDGGSFIGDSEYIDPSNNRTKDLQFRGQKIFYTVLEEVLEKGLKNAKNAILAGSSAGGYPAILYCDYFRDSLPINSRVKCLVDSGYFVHFKNPVLERFWTWRFSGVAALQGAAKTLPKSCTSKMKAELCLYSENIQQYTKTPFFLHMSAFDNIETQYTLGDEKYKAVDEGLGPESMNASLREIRSVFLNAIPKRDNPKHRGAFIDAMHHHTSLFRRWSLEVALEIDNVLAPIAFADWYFDRKYYYLVDEKHTMPIKNTIKNTTYYYHE >Solyc01g011430.3.1 pep chromosome:SL3.0:1:9166319:9168578:-1 gene:Solyc01g011430.3 transcript:Solyc01g011430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTRINTEQAPRDHLANTLLKHPRFSSLQVVDEKKGGEMRWVRTEVDIDQHIIVPQIDEQNLKESPDKFVENYIHNLSKTTLDKSKPMWDLHLLNIKTSDAEAVAILRIHHSLGDGTSLISLLLACTRQTADPAKVPTIPRTKKRLIDPSEHSTKGLHRYVTKTWSFMKLFWYTIVDVLMFMATTMFLKDTTTPIKGRPGSESNPRRVVYRTVSLDDIKVVKNALNMTVTDVALGVTQAGLSMYLNRRYGEGEKDRGKTEKNNNLPKNIRLRSTILMNLRPAVGIQALADMMEKDTEAKWGNWIGFVLFPLKIALRDDPLDYIREAKATIDRKKNSLEAFYTFSISELALKFFGIKTSSLISHRTITNTTMCFSCLPGPQEEICFYGHPLAYIAPGSYGQPHALMINCQSYVNKMTIVLSVDESVIPDPHQLLDDLVLSLKLIKDAVVERGLCHE >Solyc07g041895.1.1 pep chromosome:SL3.0:7:54585149:54585453:-1 gene:Solyc07g041895.1 transcript:Solyc07g041895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKLEPRAKIPAFTPYLIVLPKTEELRKQSKKLLHVVTLAHQRHSLDGLLCLCIDYRHLISKNKFRILLIVDLFDRLGKAKYFTKLDPCKG >Solyc01g020520.3.1 pep chromosome:SL3.0:1:31044627:31053446:1 gene:Solyc01g020520.3 transcript:Solyc01g020520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRRVRRFGRWRSNQTLLPVRVNRLSNAVSCWFLKAWFSMGVGFGLAVLFAVTMILLYEIVQILCLYYGNTQMSNVMSKYLFGFSSMPCILIVKGWKEESYVGGDIQWERCARPWIVGSDLM >Solyc01g065800.1.1 pep chromosome:SL3.0:1:72218308:72219050:1 gene:Solyc01g065800.1 transcript:Solyc01g065800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLLFRGRGTNQGIARGIGADSASAASCHTGVIQYVVPCVPPLLHSFPILIVKHHEQQDVKERGEQFMSVLAPAATPLEPPPVLETAGSK >Solyc11g018777.1.1 pep chromosome:SL3.0:11:9488879:9498673:1 gene:Solyc11g018777.1 transcript:Solyc11g018777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSSFIAIFSLLLLSTMQCHAQLSSTFYDRTCPNALTTIRTSIRQAVSSERRMAASLIRLHFHDCFVQGCDASLLLDETPTIVSEKTALPNLGSVRGFGVIEDAKREVEKICPGVVSCADILAVAARDASSLVGGPSWTVKLGRRDSTTASHTVAETDLPGPFDPLSRLISGFANKGLSTRDMVALSGSHSIGQAQCFLFRDRIYSNGTDIDAGFASTRRRQCPQEDQNGNLAPLDLVTPNQLDNNYFKNLRQRKGLLQSDQVLLSGGSTDDIVLEYSNSPRAFASDFAAAMIKMGDISPLTGQNGIIRTFD >Solyc04g080730.3.1 pep chromosome:SL3.0:4:64913220:64920281:1 gene:Solyc04g080730.3 transcript:Solyc04g080730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitogen-activated protein kinase [Source:UniProtKB/TrEMBL;Acc:D9J1Y9] MATPVEPPNGIRSPGKHYYSMWQSLFEIDTKYVPIKPIGRGAYGIVCSSVNRESNEKVAIKKINNAFENRVDALRTLRELKLLRHLRHENVIALKDVMMPIHRRSFKDVYLVYELMDTDLHQIVKSSQTLTNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSSGKDQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPVFPGTECLNQLKLIINILGSQREEDLEFIDNPKARKYIKSLPYSPGTPFSRLYPQAHPLAIDLLQRMLVFDPSKRISVMEALQHPYMSPLYDPNTDPPAQVPINLDIDEDLGEETIRDMMWTEILHYHPEAATAAMEEVM >Solyc05g045910.1.1 pep chromosome:SL3.0:5:58468885:58469746:1 gene:Solyc05g045910.1 transcript:Solyc05g045910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQRMLHFDFVSRKPPPMKQEGSSSSHGGVTIDSIYYYGKSVYQDVNLRSYFGLIHPPTRLTFSFHLVLFPKKSCSDRPTSQLLKRTLPVLLSSLNYSVIQYLLNTKNKIHFDPVVVLNNFVASGMAEQSTMGGANA >Solyc04g050570.3.1 pep chromosome:SL3.0:4:47529901:47535001:-1 gene:Solyc04g050570.3 transcript:Solyc04g050570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNIVIILMIIVVLRVVVIEGADERAFFVFGDSLVDNGNNNYLATSARADSPPYGIDYPTHRPTGRFSNGLNIPDIISEKLGLERTLPYLSPELQGGKLLVGANFASAGVGVLNDTGVQFVNIIRIGQQLEYFAEYQKRVGALIGSEKAKKLVKEGLVLITLGGNDFVNNYYLVPFSVRSRQYMLPDYVSYVISEYKNVLQKLYNLGARRVIVTGTGPLGCVPAELAQRSRDGSCADDLQQAAVLFNPQLVEMLNGLNSEIGTHVFVAANTNQMHLDFITDPQAFGFVTSKVACCGQGPYNGMGLCTPLSNLCPNRDEYAFWDPFHPTEKANRIIVEQIMTGTTDYMHPMNLSTIMVMDSKA >Solyc03g033980.3.1 pep chromosome:SL3.0:3:5709444:5713153:1 gene:Solyc03g033980.3 transcript:Solyc03g033980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSIVRELKEMKDGIGSISRRGEVEGRHWRNRTRTHIAPDVVPFDPNQQGQWANIPPELLLDIVRRVEESETSFPARTAVVFCASVCKSWREVTKEIVKTPEECGRLTFPISLKQPGPRESPIHCFIKRDRANSVYRLYFGLTPSEDESDKLLLAAKRIRRATSTDFVISLVSDDFSRASSTYVGKLRQVPSNFLGTKFTIYDNQLPSEAAIPHRGRLSRRFSTKQVSPTVSACNYSIATVSYELNVLRTRGPRRMHCAMHSIPFSSIQEGGSAPTPTSFPQHFDEKSSPALVSKAKEPSVNSSSTVSVLSREPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVDPSHNIPAAVQETTILQFGKIGKDIFTMDYCYPLSAFQAFAICLSSFDTKPACE >Solyc01g100235.1.1 pep chromosome:SL3.0:1:90175016:90176527:-1 gene:Solyc01g100235.1 transcript:Solyc01g100235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEAGDVWDWEESIWAVETEEAMPTTAQAPLIVQGLAPFEVEVAAPRPPFAVYKASSPTQCDTHAVPWDYNKRETNNMDGEMYHTVELVGNIELQPWFSQKIIDMMAWFGFELGKGLGAKLQGIVEPIQPVRHSTTFGLGYKYTTEEWLDWRPPRDGYYYPLKKPIPPLYQSFRSAGFMEDNIDEISDDLKGLSLTKEEGKVCNVVINEEEKGGPSGSKEAKISVSNWTSTPSRPRRASG >Solyc12g006880.2.1 pep chromosome:SL3.0:12:1323419:1329534:-1 gene:Solyc12g006880.2 transcript:Solyc12g006880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEESTSNSKADDNNNAAKTDGSYETPVILNVYDLTPANQYSVWLGFGIFHSGIEVHGMEYGYGAHDFPISGVFEVEPKSCPGFIYRCSVPLGRIKMPPSEFHAFLEDVASEYHGDTYHLISKNCNHFTDDIAQRLTGKGIPGWVNRLARVGAFCSCLLPESLQATTVKQLPEYHHCTEEDGSGSMTSTTPHEPTESEDGDQDKHLLSSPISSREVAFIRETQR >Solyc03g006830.3.1 pep chromosome:SL3.0:3:1331651:1343450:1 gene:Solyc03g006830.3 transcript:Solyc03g006830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:MADS-box transcription factor FYFL [Source:UniProtKB/TrEMBL;Acc:W5S185] MVRGKVEMKRIENSTSRQVTFSKRRNGLTKKAYELSVLCDAEVAFIIFSHKGRLYEFASSNMQKIIERYRGRARETTTVDKSTELEHYMENLKHETANMAKKIEILEISKRKLMGQGLGSCSMDELEDIDSQLERTLKIIRARKTQLFKEEIESLKAKERLLLQQNASLREKCGLRPMLSESASAPEPIPAPPSTPPAQSKERGNCSQSTKSWEVETELFIGLPQTRCL >Solyc08g074370.3.1 pep chromosome:SL3.0:8:58600091:58617056:-1 gene:Solyc08g074370.3 transcript:Solyc08g074370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIELSHSNNVGLGLVSCLHYSYTSISRQIGETNHLCLTGLNKTNDISVHSFKCSYMEENGHAAPNNGRSSHNVGRLGNLIRDNDEFFELISSKFLTERRYSVSVKAAAARLLFSCSLTWMYPHVFEDPVLENLKSWTTDDTIRLSGDDHYWKHESGDRRSSDSEMLKTYSTGLLAVCLASGGQVVEDVLTSGLPAKLMHYLRIRILGETTTSQRDATSLLDGKASSTGTGVRAREECRSRFRQVAESSHLDIPRVAEDGLHGDQILDKDRDRSASRHMHGDERWTDEEPPDSMAMDDDNCQADGDGEERWHIRDLRDGKAKPGNRSVREDEYDESARDELSRRRVNRGWTRHRGRGRVTEGVPDNEAALTSPGSASRLSGQSRSRNLNRNQELRRAPDNKKNLSRTNVDGFGMERDENDECFRECKVGSKDITDLVKKAVGAAETEAKTANAPAEAVKAAGDAAAEVVKSAAFEEFKKSNDDEAAVLAASKAASTVIDAAIAVEVSRSAISEGESQDIKATAQEANEDVDEFFILDSDSLAKLREKFCIQCLIILGEYVEVLGPVLHEKGVDVCIGLLQRNSKHKEGCRLSLLLPDVLKLICALAAHRKFAAVFVDRGGMQKLLAAPRAPQTFCGLSSCLFAIGSIQGIMERVCTLPSSIIHQVVELALQLLECPQDLARKNSALFFAASFVFRAVVDAFDAQDGLQKMLNLLQDAALVRSGASSGALTASGSLRSDRSPPEVLTASEKQIAYHTCVALRQYFRAHLLLLVDSIRPNKSVRSAGRNIPSVRAASKPLDISNEVMDAVSRLIQKDRRLGPAAVRARWPVVDKFLNCNGHITMLELCQAPPVERYLHDLLQYALGVLHIVTLVPYSRKLIVNATLSNDRVGIAVILDAANSAGYVEPEIVEAALNVLVCLVCPPPSISNKPSVSTQAQQTNAVQSANTPGVETRDRNADRSETRDRNAERILPDRAVNISSQNENRESTLPDRGSTAVPGTSAVSGTSQGPVSTVTSGLVGDRRISLGAGAGCAGLAAQLEQCYRQAREAVRANNGIKVLLQLLQPRIVTPPAAIDCLRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDSGNQTPGSEQNRWQAELAQVAIELIGVVTNSGRASSLAATDAATPTLRRIERAAIAAATPITYHARELLLLIHEHLQASGLTDTATMLLKEAQLTPLPSLAAPSSLAHQTSGQETSSVQIQWPSGRAPRGFLSAKPKLPPLDEDGGLKSESIVCSSRRKPLAFSSARSLSSKSFPVEVSPSTSGCKFSNSRKCATPIATSETPLLSTVKAGGDPDIMFKTPIVLPMKRKLTDLKESGSVSSVKRLNTGEHTVRSPVCVTPNSFRRSGLPSDTNVPSTPNSTLREIHNRPGSSAFPTEGDDTPMLSSSQHGLLSDTQPSNAERLTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPKRSLDAPSNVTSRLSTRDFRSLNGGTHGKRKDRQFVYSRFRPWRTCRDDAGVLLTCVSFMGDSSQIAAGTHSGELKIFDTNSSSILESFTSHQAPLTLLQSYLSVETQLLLSSSSHDVRLWDATSVSAGPKHSFEGCKAARFSNFGTTFAALSAEQSRREILLYDTQTCQVELKLTDTSNIPSGRGHMYSLAHFSPSDNMLLWNGVLWDTRGSGPIHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRNFRLLRSVPSLDQTVITFNASGDVIYAILRRNLEDVMSAFQTRRVKHPLFAAFRTVDAVNYSDIATIPVDRCVLDFATEPTDSFVGLVTMDDQDEMYSSARVYEIGRRRPTEDDSDPDDAESEDEDEDDDDDIDEEAILGTDLDGDGESDADDLSNDDDSVSELDDEEDEDGDFIVDGVDFGGGGILEIVTDGEDEDDSGVFHNRNVLLVVAHPDDESMFFTPTLNYLSSRGCNLHILCMSTGNADGMGNVRKEELYLASIVLKVPQKQVKVLDHPDLQDGFGKSWNSKLLSKIIKKEIVNSAIDLVITFDNYGVSGHCNHQDVHQGVRKLLQDTSHKEVDAWELISTSILRKYSGPVDIWLSLLSAKFHLSGVRHCLLNEHPRRSLAAMAQHKSQWVWFRKLFVSFSSYTYVNCLKKIN >Solyc07g053690.3.1 pep chromosome:SL3.0:7:62254875:62257763:-1 gene:Solyc07g053690.3 transcript:Solyc07g053690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPAAAAAAPSTAATSEKKKPVFVKAESLKPGTHGHNLTVKVVDSNAVKATGGANRGGRSSASLNPRGPVRLAECLVGDETGCILFTARNEQVDMMKPGSTVILRNAKIDMFKGAMRLAVDKWGRVEVTEPADFDVNQENNLSLVEYELVNVEE >Solyc11g065770.1.1.1 pep chromosome:SL3.0:11:51671789:51673363:1 gene:Solyc11g065770.1 transcript:Solyc11g065770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFNFDLSINIFSHLYFFFLPLVIILLFFIINISKTILTNVFIYPKSTKIPRSYPIIGSYFSIKSNINRRIQWTSEIVLSILPSLTFTLYRPLGHRHIFTANPSNVEHILKTCFHNYQKGCLGKETLKDLLGNGIFNADGEIWKYQRKLASHEFNSKSLRKFVENVVDFELSDRLIPILTMSASQKSVVDFQDLLQRFTFDNICMVAYGYDPAYLLPSFPEAKFANAFEEVVRISSDRFNSLAPFIWKLKRILNVGSEKKLRVCSTQIRDMAKEMIKQKKERSGYDKRSSDDLLSRFLISSNEQQNMHDDEFIIDIVISFILAGRDTTSAALTWFIWLISKNPKVEKEILEEIAKTTTSLNYDEVKDMSYTHASLCESMRLYPPVPVDTKEVMQDDLLPDGTFAKKGTRISYHPYAMGRVEHIWGKDWQTFRPERWLERDVKTGNWKFVPKDPFVYPVFQAGPRVCLGKDMAFLQMKKVVAGVLPRFRFVPVVDKGEEPVLIAYLTIKVKGGLMVRIEERTRSS >Solyc06g008120.1.1.1 pep chromosome:SL3.0:6:1998179:1998502:1 gene:Solyc06g008120.1 transcript:Solyc06g008120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKKGGVSLPDRPGAGAGAGDGILSRFSSSISESPIVYQGKRAASDVAFVAKKLFKSTGKAAWIAGTTFLILVVPLIIEMDRDAQLTELEMQQASLLGAPPPAGAK >Solyc05g050565.1.1 pep chromosome:SL3.0:5:61565955:61571318:1 gene:Solyc05g050565.1 transcript:Solyc05g050565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDYQTIVDQQQIRITNGRTVADSIQDNNGENTCVSISIPNSVIGQGWELKSSTTAQSEHARKDSNSTSKSSTASSDNNTNFAAHTGGSVSKLQIPKIVYLPNGGTTQSEAGMIMVLVYVDDMMITDSSLELIEKTKLKLQQAFKMKDLGELKYFLGIEFTRSAEGILMHHRKYALELIAEVGMSAAKPAGTPIDVNVKLTSKQRSVTDYVVKIGKSLVSWKAKKQTIVSKSSAEAEYRSLASTVSELIWLLGLLAF >Solyc09g010710.3.1 pep chromosome:SL3.0:9:4025917:4029414:-1 gene:Solyc09g010710.3 transcript:Solyc09g010710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPINYAIDDKDLDDAALWAVIDSAAAAASSTTGVTKYSKPLPDNHSPIRPFPSSNTSPQSRLGKTPRNFQNHHHNGEVLNHRPQKMSRSDSNSVSVLSRTSPNPMAVVKHVQRDPSVMSYSSPVTRSPARVMEYDNRYNSPIVSECSPVAMSHGQREDRDGVVRHSLAGPFPSVSLFKEYQNAAMAILEKSDYTMISGHPFIKKTGWRKISFYFNLSYEIKDKTIEFDDNRNVLRAEFIVRAHMQGGRFSDGWGSCERREKKFLKPNHDIPSTAETRAKNKACQDLLGIGEYRPGMGQSSNG >Solyc11g008730.2.1 pep chromosome:SL3.0:11:2904410:2913723:1 gene:Solyc11g008730.2 transcript:Solyc11g008730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHHETNSSSSRDRSPSQQRHRHRRKERDLDRELSYEKRERRSGRDVIEHRSPRPRNDYSFSGSGHQRMAHQRPSSNFSVDTERKNEAFDPTDSENRKDRDVSMDKTKADEQDLEAKKEQMTLLEMSGDLTTEPKQLTEGRKEETNVSLEYSSDMKKARLHSTLVALLNDPVLADIPKNPTLTDVDTLISLELGSAMRISVLKLDGSSFDVTVMNSATVKDLKQAVRKRIDDTEQSKMGHRHISWRHVWSNFCLLFHNEKLLDDTAKLQDYSIRNNAQVQFVPYVISRAFKRHSKRRKHRFFHGLSKKGRTTD >Solyc07g052200.1.1.1 pep chromosome:SL3.0:7:60836687:60837277:1 gene:Solyc07g052200.1 transcript:Solyc07g052200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDKRRVHPNCGNGSNPYHECSKYCFKIIAEIKKQMIKAETRVLQANTVDERDGVESHSDDGEDSTREESGNLIRGRKRKLFELRLKMNEARKANQSAMVVEKKKTEAPTAECRGMSKYGKAPKSSDDKIERMVKELKDRYEKRQSFSRRRKFHEEKDIDSINDRNEHFNKKIERAFGKYTLEIKNSLERGTALPD >Solyc06g065870.3.1 pep chromosome:SL3.0:6:41406199:41409735:1 gene:Solyc06g065870.3 transcript:Solyc06g065870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCKWPVLLSLLTICIFFSNFTHGYEGADSNFHSPPLSDEFREGRNEVFAEGPNIVEAPVQSSSLILAAERTYRKDPLNGFKRYNGGWNIDDRHYWASVAYTAFPFFITALIWFVIFGLCLLFIFVCSRCCKREPYGYSSMAYALSLIFLALFTIAAIIGCVILYTGQEKFHSSTLNTLDYVVHQANITADTLMNVSVYLAAAKQLAVDRILIPANVQTDIDYVQTKITSSASTLSTKTADNKDSVEHLIESVRTALIVLSVAMLALTFLGFVFSIFGMQVFVYILVIFGWILITGTLILCGIFLVLHNVTADTCVAMDQWIQNPTAHTALDDILPCVDYATAQETLTKSKEVTYNLVDIVNQVITNVSNINFSPNFAPFYYNQSGPVLPILCNLFNPDLTSHNCGPAEVDLNNATQVLNSYVCQVSPSGVCVTPGRLTPTLYSQMAAAVNMSYGLYQYGPFLVDLQNCDFVRQTFGDIFNVHCPGLLQYSKRVYVGLVMVTVAVLLSLTFWIIYARERRHRVYKKEHMSKLDEGVEVEGDKPTHEE >Solyc02g089400.3.1 pep chromosome:SL3.0:2:51862214:51873210:-1 gene:Solyc02g089400.3 transcript:Solyc02g089400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTVKEKKSRKNKQPVVDDQSPLLPTKHQKDGGFDEFNGASYSGAVFNLSTTIVGAGIMALPAIMKVLGLIPGIIMIILMAFLTEASIELLIRFSRTSNSVSYGGLMGDAFGNYGKMLLQICVLVNNIGVLIVYMIIIGDVLSGTTSSGTHHAGVLEGWFGVHWWNGRFFVLLVTTLGVFAPLACLKRIDSLRYTSALSVALAVVFLVVTVGITIFKLINGSILMPRLLPSCHDLTSFLKLFTVVPVLVTAYICHYNVVNGNLTVHPSCYNIRLNKHTYAVHSIENELEESRQIRAVVQSALALCSSVYVLTSIFGFLLFGDATLDDVLANFDADLGIPFGSLLNDVVRVSYAAHLMLVFPIVFYPLRLNLDGLVFPSASPLTLDNLRFALISGGLIAIIFLGANFIPSIWDAFQFTGATAAVCIGFIFPAAVTLRDRYGIATKRDKILSIFMIVLAVFSNMVAIYSDAYALFKKNSSPRE >Solyc04g053103.1.1 pep chromosome:SL3.0:4:51596943:51600006:1 gene:Solyc04g053103.1 transcript:Solyc04g053103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAYLGFGFLSLVDLLNGVVSAEGSSNVRDVAGVIDEGRFLIMSLSLSFMVSESSRICGLHVVLSRPDRTVFGGYVFGRLIAATPLEVLF >Solyc01g090170.3.1 pep chromosome:SL3.0:1:83665699:83681343:1 gene:Solyc01g090170.3 transcript:Solyc01g090170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKIMESSASPNGSFTSAMTLTEEELTCVPKRYILPPSLRPNCTLPIVDLSYLQYPHLRSQIIQEVHLACKKFGFFQDPNKKYMHAPSEKKDDAISSTYRNNLMSHMVINHGIPMSVMKDAIEVASEFFNLPTEEKRHLLSSNVHDPVRYGTSLNHVKDKVYFWRDFLKHYANPTSTWLDFWPSNPTSYKKKMGNYTVAAQKLQEGLMKMIFESLGLNSNYLHEDITEGSQVMAVNCYPACPEPDLTLGLPPHTDYGMLTIILQNHLGLQTMDRDEKWHSVPLIEGALIVQLGDQMEVLSNGRYKSVLHRATVNSEIKRISIASLHSLALGKKVRPASELVNEQHILSYKEGGFSDFLDFISGEDIVEANDYLFKLLLIGDSGVGKSCLLLRFADDTYQESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYATPNVNKILVGNKSDLVASRVVSYETAKAFADEIGIPFLETSAKDASNVEESFMAMTAAIKKSMANQPVPNAAQPPNVNIRGQPVAQNSGCCSS >Solyc09g010990.3.1 pep chromosome:SL3.0:9:4344805:4347398:1 gene:Solyc09g010990.3 transcript:Solyc09g010990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4CRC5] MGYSYLLFGFAILCLLPQSTFCITRHYTFNIVKHNVTRLCTTKSIVSVNGRFPGPRIIAREGDRVIVKVINQVSNNISIHWHGIRQLANGWADGPAYVTQCPIQFNKSYTYNFTIIGQRGTLFWHAHISWLRATVYGPIIILPRRNESYPFKKPYKQIPIMFGEWWNVDTEAVINQALQTGGGPNVSDAYTINGLPGPLYNCSSKDTFKLKVKPGKTYMLRLINAALNDEMFFSIANHTLTIVDADAIYTKPFNTNVVFITPGQTTNVLLKTKPHYPNATFLMAAQPYFSGLGTFDNSTVAGILEYESPLHNSPTNKSKIKLFKPTLPNITSTSFVANFTKNFRSLANTPFPANVPLNVDKRFLFTIGLGSNPCPKNMTCQGPNGTKFAASVNNISFILPTTALLQSHYFGQSNGIYTTDFPVTPLNPFNYTGSPQPNNTFVTNATKVVVLPFNSSVEVVLQDTSILGAENHPLHLHGHNFFVVGEGFGNFDPNNDPSNFNLKDPIQRNTVGVPAGGWIAFRFFADNPGVWFMHCHFEVHTSWGLRMAWIVLDGSLPSQKLPPPPSDLPKC >Solyc01g068530.3.1.1 pep chromosome:SL3.0:1:77566708:77567160:-1 gene:Solyc01g068530.3 transcript:Solyc01g068530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKQEAAAATVESVQCFGRKKTAVAVTHCKRGRGLIKINGVPIELVQPEILRYKAFEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKFVDEQQKKEIKDILIRYDRTLLVADPRRCEPKKFGGRGARSRFQKSYR >Solyc01g108180.3.1.1 pep chromosome:SL3.0:1:95445007:95446905:1 gene:Solyc01g108180.3 transcript:Solyc01g108180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRTRVSIFTSSHKVCLLYSSSRSISDIKLPKTLTLSSPLSSLHLKTLATFAAPNDAQIPPHPSEVPQNKDFGSSAQQWNNQTQNYPNNNQMNMSYPQYQTTNQVNQGYPNYGNVNPVQGYSQSFQNQKSPNVQNQSIPYRPSSGEPRNYPPPGNVNQWNYQNQGFRQHGTPNAAPSYPQGGYQNPEHAQNPNRNQNYPQPGAGNQWNNQNQNYAPRVSPSQLDSQAQRVPPGSGFPMNNQSNNQAQFEQNQVPSGPPSTVDLISLCQEGKVKEVIEHMEQGIVADAQCFDMLFELCANSKKLEDAKKVHDYFLRSKCRSDLGLSNKVINMYSKCGSMTDARRVFDHMRDRNLDSWHLMINGYALNGLGDDGLTLYDQMRESGMKPNEETFLYVFEACASVDAIDEAFMHFESMMAEYGISPQVEHYLGLLGVLGKCGHLAEAEEYISKLPFEPTEAVWEALMNYARIHGDIDLEDRAEELMVGLDPSKAVANKIPTPPVKKQLAINMLEGRNRVAEFRNPTLFKDDEKLRAAMKEQAYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARTPLRIIKNLRVCGDCHNAIKIMSRIVGRELIVRDNKRFHHFKDGKCSCGDYW >Solyc01g017480.1.1 pep chromosome:SL3.0:1:23872164:23872592:-1 gene:Solyc01g017480.1 transcript:Solyc01g017480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEGTKMKVSTTIGFITAQLKIPHTIIVLTLPYLLLHFLWNNHKHFFDYGSTTRNSMRNLSIKCVFLNNLLFQLFNHFILSSSMLERLVNIYLFRCNNKILFVTGSFVGCLISHILFMPVSRIRWWV >Solyc08g066530.3.1 pep chromosome:SL3.0:8:55319326:55330454:-1 gene:Solyc08g066530.3 transcript:Solyc08g066530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYAHQNFIAVLFISFTFMSTSFSQSILKISPQLLDLFAINRVFRHRKIPNDDALYCDSWRFTVETNNAGLWSMIPQRCISFVQDYTTGDRYSSDSAAVADLSLAFANTVNVSNDGMDAWVFDIDETLLSNLPYYVEHGFGSQIFDEITFDKWVNEANAPAIPASLKLYKELQQRGFTIFLLTGRIENQRNKTERNMVHAGYSNWERLILRPDISFSTQTLSQFLQQPKRSHLDAVLRVIRYLKKQPGQGLLLANDSDGQVTAFCDADWASCPLTRKSVTGGPSDKGKLATEYKSEKRKELEDEGYRIRGNSGDQWSDLTGFAVAERSFKLPNPMYYIA >Solyc11g017450.2.1 pep chromosome:SL3.0:11:8403527:8405133:-1 gene:Solyc11g017450.2 transcript:Solyc11g017450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFQAILVFISFFFFVNQCLSANEVPFYQNYYQKYGGDHLTVTDQGKQVCLTIDQYTGSGFMSNQHFGSGDFSIDLKIPNKNSTGVITTFYVRTFFFLYKTIYELHNLIDSSSKLYAIYECYRLMSEHLQLTSLPMNGDPGMHHDEIDFEFLGGDGIYTLNTNIFANDGGSREQQFNLDFDPTEDFHTYRILWNQHHIIFYADNVPIRVFKNNTNYGVNFPTHKMHIEATIWNDTNWVGEVDWSQGPFKAYYRNFTINGCQYQESNRQECYNNNYYWNTITSLSPNEVQEFETVKAEQMIFSYCMRNNSRNFPECILN >Solyc06g005450.1.1.1 pep chromosome:SL3.0:6:442448:443035:-1 gene:Solyc06g005450.1 transcript:Solyc06g005450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLQSSFPLKKFCNSSCTLGILVDPPTRTISWTLSLSTLASLKHISIGSTHFLKSSMFSSSNLARVMLDLKSIPSYSESISTVACSAEERVLFALSQAVLNLLKDLGLLVKSFLCFLLKSRTDQFLVKIFSSKMSISRCRFDLKNSLFNSEKGDIKSTTTKIEDKHILLTYTASFFIQAISNGSSRRLIDNTYDI >Solyc01g081610.3.1 pep chromosome:SL3.0:1:80657782:80660571:-1 gene:Solyc01g081610.3 transcript:Solyc01g081610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:D3TI69] MRGEKTFSFFLLLFFILISQTTATNYPINVWPKPTTFLWPNPKSIFLSTNFTISHPYHRYLTPAVDRYRHLILSEHHRPIITPAINLTSSIPLQSLVISVSDVTSPLAHGVNESYSLSTPSDGSASAYISAATVWGAMRGLETFSQLVYGNPTRVSAGVYIHDLPIFTHRGVMLDTSRNFYGVDHLLRLIKAMSMNKLNVFHWHITDSHSFPLVIPSEPELAGKGAYSNEMMYSPADVQKIVEYGMEHGVRVLPEIDMPAHTGSWAEAYPEIVTCANMFWWPAGSSPALAAEPGTGQLNPSIPKTYEVVKNVIQGTIAMFPDSLFHGGADEINSDCWNTDLSVQKFVASNGTLSQLLEKFINNTLPEILSLNRTVVYWEDVILSGNVKVNPSLLPPQNVIMQTWNNGPNNTKQLVTSGYRVIVSSADYYYLDCGHGSFVGNDSRYDQPPGTDQGNGGSWCGPFKTWETIYNYDITYGLTDEEAPLVIGGEVALWSEQADSTVMDSRIWPRASAMAEALWSGNRDETGMKRYAEATDRLNEWRYRMVSRGIGAESIQPLWCLKNPGMCNTVHSFTS >Solyc03g080080.3.1 pep chromosome:SL3.0:3:53409877:53414165:1 gene:Solyc03g080080.3 transcript:Solyc03g080080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRKNRGTTNGRVSRIGTYAIASSIDEPNSISCTTFNILAPIYKRLNHEDQSCRESDYKANWLSRNNRILDWLLFERSSIICLQEFWVGNEELVGMYDKRLGDAGYNNFKLARTNNRGDGLLTAVHKDYFKVIAHRELLFNDFGDRVAQLLHVELLAPFAQCRTKNVRQEMLIVNTHLLFPHDSSFSMERLRQVYKILQYVDSYQKENKLNPLPIILCGDWNGSKRGHIYKFLRSQGFVSSYDIAHQYTDTDAQKWVSHRNHRGNICGVDFIWLLNPNSYRKLLKTSWRNAIFSMFKVKIYQLRRASLTEKDAFAFLKADSDGDYITYAGFCEALRQLNLIGQCYGLSAEEINDLWLQADIDGNGVLDYNEFQHRVWNPSRSEPRDGICDESWDGIVSDTEETIGFSVKNAVLFPSEAEKGTWPEDYSLSDHAKLTVVFSPVRMLCCQLSR >Solyc06g075180.1.1.1 pep chromosome:SL3.0:6:46802403:46802903:1 gene:Solyc06g075180.1 transcript:Solyc06g075180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKFDPSQVVEVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETSKDWKGLRVTVKLTVQNRQAKVSVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMQPRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEIPQD >Solyc05g055170.3.1 pep chromosome:SL3.0:5:65775868:65780194:-1 gene:Solyc05g055170.3 transcript:Solyc05g055170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRVFGIKKETQPAPSVQDASDRINKRGESVEEKIKKLDAELARYKEQLKKTRPGPAQEAVKARAMRVLKQKRMYEGQRDMLYNQTYNLDQVSFAAEGIKDAQQTMAAMKSANKELKGMMKTVSIQDVDNLQDEMTDLMDVSNEIQETLGRSYGVPDDVDEEELMGELDALEADMEFEEGVPSYLQPDKEPDLDSELNMPSAPMGHASMPAGRANPQAEDELGLPAVPRASLRG >Solyc01g095720.3.1 pep chromosome:SL3.0:1:86785926:86793750:1 gene:Solyc01g095720.3 transcript:Solyc01g095720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSCISIMNSPINRTSKDAWTEQDNFRRSFPSKETREKAQMRRSYSDNHLSCRANRIQSLETQPKLKSSRSTGGPFKIQLSSSFLPDSLRSFLFDIETSKDINIDGVIFESDHDHDDDNEGIETEEETRRSNWIQRLVELKRNWIEKQKEEDAEISEDNLENSGEDCEEEGCEVDYEGDNEEADEMNIDRESFSRLLRRVSWSDSKLFSKLAFLCNMAYVIPEIKARDLERCYGLDFVTSSLVKKAEAMAIKAKFDKDSVCVPVPSSDNSVPNRDKTEEIEHKCLPPPSVAYDIAASAASYVQSRAKGLLSVGSESKLVVDDATLKANKGCSADEKDNSSQRVYKSEMAAYVAASTMTTMVAADEKQKLEAARDLQSLQSSPCEWFICDDLTTYTRCFVIQGSDSLASWKANLFFEPCKFEEMDVLVHRGIYEAAKGIYDQYMPEIMEHLQRFGNKAKFQFTGHSLGGSLSLLVNLMLLTRKVVKPSSLLPVVTFGSPFVFCGGQKVLNDLGLDENHVQSVMMHRDIVPRAFSCNYPNHVAQVLKRLNRTFRSHPCLNKNKLLYSPMGKIFIIQPDERSSAPHPLLPPGSGIYSLDSTNCAFTRRAFRVFLNSPHPLEILSVPTAYGSGGTILRDHDSSNYLKAVNNIIRQHTKLLVRRVRKQRNLIWPLLASQSPHAWSHERDIEDRGILRKEIMSSV >Solyc07g017600.3.1 pep chromosome:SL3.0:7:7612895:7618187:1 gene:Solyc07g017600.3 transcript:Solyc07g017600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMGNLFCKVLILVLLCLTTTCPLISYAVDDNFFQSECLQVPTSEFLGSVKSTINIVREVTSLVSKFASFFGDFRLSNAISDCLDLLDLSADELTWTLTATQNPKGKNNSTGNLSADLKNYLSGALINQDTCIEGFEGTNGLVKNLVAGNLNQVSSLVRNILLMVRPVSRKNVFPSEANAKRGKPWQFSRERKLTSNEEDHNLFPSWIKRKDRRLLQASNSTKGVITDVVVALDGTGNFTRIKDAIDAAPQLSTKRFVIYIKKGIYKEYVEISKKKWNIMMIGDGIDVTIISGNRNFIDGWTTYRSATFAVKGQGFIARDITFENTSGPEKHQAVAFRSDSDLSVLFRCAVRGYQDTLYAHSMRQFYRDCTITGTVDFIFGDGTAIFQNCQILARKGLSQQKNTITAQGRKEIAETTGFTIQFCNISGEPDLLTSLNSTYTYLGRPWKTYSRTVIMQSYMSNVIRPQGWLEWNGNTSLDTLYYAEYQNYGPGAGLGGRVNWPGFHLLNDSSQASNFTVAQFLLGNSWLPPTGVKYTAGLAV >Solyc02g089840.3.1 pep chromosome:SL3.0:2:52188639:52190665:1 gene:Solyc02g089840.3 transcript:Solyc02g089840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKLVAQRLFDSYKISRPSLSTCRICSSTMTKSMASPNPERIAPDPGEGAFFRRSLHRSSLFQSLATSSELRSFPIGEKLRQELRGMDIGRDRIRLDALISPPQQKLLPEIESEAEEEKFTVADAKKVMRLAQLEVVKSRLRQMENDWISFPEFFQICNGASSNSDQAIEFAKMLDQSGIVLVLGNVVFLKPDKESQQSYSRSLKRDANSLVEKRFHRVQKLVNPTPEGNEIQLFPFHFTAPLVVVKAMEGLMPRPLAQPNDPQMMKEFQQMDEKKSAIDKKAESLVQRELWCGLGFFVIQTAAFMRLTFWELTWDVMEPICFYVTSFYCMAGYAFFLRTSKEPTYEGFFHSRFSAKQKKLMKIHNFDLQRYNKLRKACDPHSSIPTGNTLTLHSTPMMSTT >Solyc01g016723.1.1 pep chromosome:SL3.0:1:21833770:21845147:-1 gene:Solyc01g016723.1 transcript:Solyc01g016723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCKSVTIPLAANEMFRKDDGEKKVNSSLFRSLIGSLRYLTSTMPDIMFAASLLSRFMQEPSQVHFGDAKRLSFPLYLPVELLFIITVTGLTVNKFVLRYKWWKNNMVVEVHGSPLEKAENQTIEVEIDNSEPADTGIVHEDSQSNEAENSSEDQMFRPSTTEEVAENTHVVPSHVDSYVRRSSRSIKEPMWMKDYAITKGHSSTKHHMASYLNYEKLKPECRSFLSKLSEKYILELIAEAGLTGAKPAVTPMESNLRLTSVEHDQANGYVNDDVLHDITSYQRLVGKLLYATITRLDISYAVQTLSQFMQSPKKSHMEAATRVIRYLKGSVGQGIWLHSEPPNIITCWCDSDWAACPNTRRSITGYVIKFGESLVSWKSKKQQTLSRSSAEAEYRSMASAVSEITWLLGLFKELGVNKILVGKDEM >Solyc06g035720.3.1 pep chromosome:SL3.0:6:24907541:24911047:-1 gene:Solyc06g035720.3 transcript:Solyc06g035720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGMKTRTTGMPPIMNGGSVGSENEWELRPSGMLVQKRNLDSENRRPPPTIRVRVKYRSVNHEINISSQATFGELKKMLSGPTGLHHEDQKLLYKDKERENKTFLDIAGVKDKSKIVLIEDPISQEKRYLEMRKNAKVEKAAKTISDISLEVDRLARQVSALESVVSKGGKVVEKDVINLTELLMNQLLQLDGIIAEGDIKLQRKNQVRKVQKCVETLDVLKIKNSMPTNNGNHTPKDQSPPARPHQDYMYLNEQASSPVQQHQDRHSFRNSPVQSKQQHQSRHSTSGSVVITTQWETFDSTPAPLLDHFSSPTTTSSTHAAVAQSRISWDLL >Solyc02g069600.3.1 pep chromosome:SL3.0:2:40006348:40013734:-1 gene:Solyc02g069600.3 transcript:Solyc02g069600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIDLSTIFLVVFSFILTIILYQNRSSKNTKLPPGSFGWPVIGETIEFLFSNPEDFVRDRMNKYSRDIFKTKIMGEKTVVICGPNGHKFLFSNEEKLFTVFRTHSMQRIFRSYQSKNPSLSHSQSQSTRVIRQPGFLKPESLARYLGEMDCITKELLRDKVEIKMYDFAKILTLTLASRFFLGSSERMVKLVDCFDDVALGLHAMILNVPGTAFYRANKAAVAIRRELIHVIKEKKDEISKGVKTQDVLCHMIVVKDNNGVSMGENEIADKIMGLLVAGYSTVATTITFLVKYVGERFDIYGKILNEQKEIAATKKEGELLEWEDMNKMKYSWNVICETMRLTPPLQGTFRQVLTDFTYAGYTIPKGWKVYWTTSSTNKNPLYFEEPQVFDPSRYEKCDGPIPYTYVPFGGGPRMCPGKEYARLAILTFLHNVVMKYKWELLLPNEKIVGDMMPTPQNGLPIRLHHHQNNFYIGAYQAAINNSDVPNLSQEDAVERDTLVYRSYIALSSYQLVINEIDASAPTPLQAVKLLALYLASPNNKILIKMHRSDYAEKQLRIMQQVDEDHTLTQLASAWLNLAVGGSKIQEAYLIYQDFSEKYQMTSLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHLGKPSTRYLNQLKLSNPEHTLVKRAASAEESFDRAVQTVA >Solyc01g099710.3.1 pep chromosome:SL3.0:1:89750295:89753352:1 gene:Solyc01g099710.3 transcript:Solyc01g099710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSGRWIETGADLWKDRARSLQLRLRDRLRVAVDHHRRRPKIYDGYLSSTVERWIQRFSDFRRDTLPSSSTFYRKKVSKDIDPEADSVLTRMLQAVAVPVLGNVCHVFMHGLNRVQIYGAEKLHQVLENRMENKPLITVSNHVAAMDDPLVIAALLPPSVILDAQNLRWTLCATDRCFRNPVTSAFFKHVKVLPVSRGDGIYQKGMDMAISKLNRGGWVHIFPEGSRSRDGGKTMGSIKRGIGRVGVMSETFGCLNRLILDADNLPIVIPFVHTGMQYIMPVGAKLPRIGKTVTVLVGDPIKFDDLLAAGEKDNIPRGKLYDAVSTRIGDRLHMLKAQVERLAVQEALKFQHCHDYVAEQAAGIVQHVDWEALGMDNYMSFGEDHASPPSQEPSTEQPLVEKQMEENSQDRYFRLGFSRDSGIVSRIRDYMGSTELMLFSARCLVANSRVKENFENDSSIGGLEQLLDALV >Solyc07g008670.3.1 pep chromosome:SL3.0:7:3613285:3617662:-1 gene:Solyc07g008670.3 transcript:Solyc07g008670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGMDDRRMGCMGGFLQLFDRNHILAGKRLYSTKRLPHFTVPDDVSESDKFVASPAVSKELVKPQPSLDQSKQAAVGVISVEPVVSVSVETPPKSPLPLPIFEVKEGTRSSWKFCKEAPRLSLDSRAVVDAKGSLRPRELRTKGSVLSASRGENTEEGVVADGDDNQRPCPSVIARLMGLEPLPQSNNETLPKSELRRSASESRVSRDLFNGRYVDGNNVDFKELNNTRANVSNNAMKDNAVNERRSTMPNARPTDRMGYPLKNENTERQKASNRSLSSSPWKSPQHRKFFFDTADIFPEPKQTVSLYGEIDKRLKMRGIDEPSKDLETLKQILEALQLKGLLHNKRPSEQIGHRNFVYDPTLSSDESPIVLMRPSRSVSPSHRRMANGTSPSSLRNRNGIGRRLNLSSESLPSVSPCRERPATERNARSPLRSKDSSSPTQRENSVRLSSSVAKPKNLNVDSRRRAGEPAENRRVSPVQSPKLSSRRNSLEHNGAKRSPRNRRETTESKQKEKITTFVTEDESSSISESTVSSSFQTDAERSNLEDYNEGRSLLERCDKLLNSIAEMTAPESQPSPVSVLDSSFYRDDSPSPSPIMKRNIDFKDVSGESEEEIWLSAFSPVRSKCNDSMDDTHLGYISDILRASCYLPEDSDVFLLLEKQQYLKGKDTSKVSRLQRKLIFDTITEILDRNRQLPPWKAFSLSGSSIAKPSLEGIWSEFQRIQERESGNNLVEIICEVLKKDLAQDTVNGWGDCPVEMSEAVLDMERQIFKDLIVETIQDLAVIGFKTTLLTASRRKLVF >Solyc01g112320.3.1 pep chromosome:SL3.0:1:98320841:98326133:-1 gene:Solyc01g112320.3 transcript:Solyc01g112320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEVIEFDIGLGGGGGSEDGDGDDVLDEGNVANCSLVIDGNVATVRSYSPQGDLDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRKDGAIIQRSFVCAKEGFRNLNEKRTKDREIKRPRTVTRVGCKAALSVKIQDSGMWVVSNFVKEHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSMEGDIQLLLDYLKQMNIQNAGFFYAVQGDEDQCSGNVFWADSKARANYNYFGDTITFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFLINESEASFIWLFKTWLAAMSGQPPLSMTTDHDAVIRSAIMQVFPETRHRFCKWHIFKKCQEKLSHVFLEHPNFEADFHKCVNLTESTEEFESCWLSLVDKYELRDHDWLQVIYLDRTQWVQVYLRDAFFAEMSITQRSDSMNSYFDGYVNASTNLNQFFKLYEKAVESRTEKEVKADYDTMSTFPVLKTPSPMEKQASEVYTKKLFMRFQEELVATLTFMANKVEDDGLVTTYQVAKFGDDHSAYYVRFNVLEMKATCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWSRSAKSSVALEDRVADVINYYLESHTVRYNMLRHEAFKFVEEGAETVDSYTVAMAALEEASKKIFLAVKHDGRISIVNGHCRENLTRNGVHANYNSEDEQRSLACPLSEDDMDTKIQELSYQLDCATQKCEVYRANLYSVLKDIDDHKQQLSINVQRIKHSLKDDL >Solyc02g068180.3.1 pep chromosome:SL3.0:2:38768325:38775554:1 gene:Solyc02g068180.3 transcript:Solyc02g068180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVPLPSSSLLISSSSSSIFGRSSSTISYPHRRFRKVDVSCDYSCFEIRDVSYRPPGTKIDLLSQVNLSIPEKSFGLIFGRSGSGKTTLLQLIAGLSKPTSGSIYVQRYGDDDQQIKSPEPLQPERVGIVFQFPERYFVADTVLDEVTFGWPRQKGGLQLRELLASRLQKAIMSVGLTGISLDKDPHSLSGGYKRRLALAIQLVQTPDLLILDEPLAGLDWKARADVAKLLKDLKKELTLLVVSHDLKELASLVDQSWRMEMGGALKKEPLPI >Solyc01g109960.3.1 pep chromosome:SL3.0:1:96691294:96700172:-1 gene:Solyc01g109960.3 transcript:Solyc01g109960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEEHLSAIKGAKVLMVGAGGIGCELLKTLALSGFEDIHIIDMDTIEVSNLNRQFLFRQKHVGQSKAKVARDAVLRFRPHIKITPYHANVKDPEFNVDFFKQFNVVLNGLDNLDARRHVNRLCLASGVPLVESGTTGFLGQVTVHVKGKTECYECQSKPAPKTYPVCTITSTPTKFVHCIVWAKDLLFAKLFGNKNQENDLNVRSTDASSSSEHSEDVFERRAAEDVEQYGRRIYDHVFGYNIEVALRNEETWKNRNKPRPVYSKDVLPPKPVGQNGNKDKASNTGDPSSVSAMSSLGLKNPQDLWSLRENSEVFLEALRLFFSKREKEIGQLGFDKDDQLAVEFVTSAANIRAASFGIPLHSLFEAKGIAGNIVHAVATTNAIIAGLIVLEAIKVLQNDVKSYRMTYCLEHPSRKMLLMPVEPFEPNKSCYVCSETPLTLEINTHRSKLRDFVDKIVKEKLGMSLPLIMHGVALLYEVGDDLEEDEVANYAANLDKVLSELPSPVTGGTILTVEDLQQELKCSINIKHREEFDEEKEPDGMVLSGWTPALAAEKTKTLDNGPSSSNASQTVPLESEDNDEIEIILKDPEILAAGKKRKSSDVSVAVDPEIFSVTGAMLTKKKVEEDDSNNDIVMVDGKLDLNKKKRVQ >Solyc08g023530.1.1.1 pep chromosome:SL3.0:8:27528435:27528653:1 gene:Solyc08g023530.1 transcript:Solyc08g023530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDFDFQIFNGLEGLLGISQGIENPNLPHIYILFLFIVKRGFFRSSRIQILDKNREINKAKDSVGENHWKF >Solyc04g018065.1.1 pep chromosome:SL3.0:4:9368291:9368923:-1 gene:Solyc04g018065.1 transcript:Solyc04g018065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSNSGLRGREMKATMTSWLHHLACEMLNLLFNAHFPTHLGHLQEVLQVLKFHDLIKVSFWTAITRVFRHIISARASGVLVDPAKITSMVNCLSPKDVKGLGFLLGLASYYRKFVRNYEKGAHPFTQSLEKEAFEVMVTLPVLALPNLTKYTWLKKMLFQDSELELF >Solyc12g019060.2.1 pep chromosome:SL3.0:12:9070780:9076786:1 gene:Solyc12g019060.2 transcript:Solyc12g019060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEKQISFHGVIEKQQSFRNGTMEKQRSFRGLMEKQKSFRIAMERQLSFGGERKRGKESPGKRGDSPLHLAIRAGNLGKVKEIVHSKSTNDLLSKQNQEGETVLYVAAENGHSLVVAELLKHLDLQTASILANNGFDAFHIAAKQGHLEVLKELLHSFPNLVMTTDSANSTALHTAAAQGHLDVVNLLLEIDSNLAKIARNNGKTILHTAARMGHLEIVRSLLSKDPEIGFRTDKKGQSALHMAVKGQNFDIVLELVKPNPAVLALEDNKGNRALHVATIKGRPQMVQCLISIEGIDLNAVNKAGDTALDIAEKSGSPQLISILKEAGATRSKDDGRPPTAAKQLKQTVSDIRHDVESQLQHSRQTGFRVRKIAKNVKKLHISGLNNAINNATVVAVLIATVAFAAIFTVPGQYIEEKADGVSLGEAHIARKASFIIFFLFDSMALFISIAVVVVQTSVVVIEQKAKKQLMFWINKLMWAACLSISISFISLTYVVVGEKERALAIYATVIGSTIMLTTIGSMCYCVVRHRLEESRMRSIRRAETHSHSYSMSVASDTELYSENYKRMYAV >Solyc09g018630.3.1 pep chromosome:SL3.0:9:16031287:16039481:-1 gene:Solyc09g018630.3 transcript:Solyc09g018630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKHNATPLSNFLQFHFKANNHLFSLARISSSSSSHSQSKMETASYMFGPYKIHNKEVFYSTHFSYALVNLRPLLPGHVLVCPRREVKRFAELTTDETSDLWLTAQKVGKQLESYHKASSLTFAIQDGPQAGQTVPHVHIHIIPRKSGDFEKNDEIYDALDVKEKEMKQSLDLDKERKDRSIEEMAEEADEYRKLFH >Solyc06g034313.1.1 pep chromosome:SL3.0:10:8933533:8935665:-1 gene:Solyc06g034313.1 transcript:Solyc06g034313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNDQFKVVRSKILNTEPLPTLSPAYGLVSQEEQQQLTKSKGNNLLEKATFTSKSNTKTFNSRQLLGNHDVSKLFCDHYKRWRKTNEKCLELHDYPYWRETIEKEKLDDYCDACHRAKQIRNLFLSKFRVPIVVLLIHISVRNSKKWKIWRSDVKGLKQRNGVGSEGSDCSSMNNDTYTAVVAAVVRAPPNDFKAVREEWAAIRIQTTFRGFFMDMTNKDEDDAIVGAVATSVLAFGVAINVAYKNQSSISREP >Solyc12g036580.1.1 pep chromosome:SL3.0:12:47262949:47263731:1 gene:Solyc12g036580.1 transcript:Solyc12g036580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVRAAQGTIIPWHNDQMGNSPSKWLIRRVNSQCDTAIRSTIPVSNVMCSYLSFLTAGSAPSIFLLGFASERVLDLSLGKPWFSNLVDLLNLFQKEVSKGCETGNLRPELDLRPLKVKGTQKRRCQRCNLWCLQVIQSILTLPSDQKYTTLLIIFAHEFTFNESYIY >Solyc10g050514.1.1 pep chromosome:SL3.0:10:49849835:49852487:-1 gene:Solyc10g050514.1 transcript:Solyc10g050514.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSTSGASTYGTYKGRRPMVQCDHCGCRGHTKDQCYKIVGYPTDFKSKRKSLSLGRFDNQVELSQSLESEAQSTKVQQTGALFTQDQYQQILQLLSKPGGETTSTPPARVASIDAVLEAVFPDVESLGEPSVTLISTTNSAVPALSIHTEPTPDVSTTIERARRSTRQSKPPIWLHDFVTTSKGNECAYPITNQLSYSQLSQAYSQFVGYDIVIVLMYVDDLIVTGSNLKLLCDTRQEIQKKF >Solyc10g012270.2.1 pep chromosome:SL3.0:10:4833893:4834553:1 gene:Solyc10g012270.2 transcript:Solyc10g012270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQCCFFQFLLLVTLVFAGPYHGGVWKIKVEIPDAYLHKSTSIGFINKMYHPNVNEISGSVCLDVINHTWSPMFDLTNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTSYEQRVQRYNDPYQLLIC >Solyc06g053760.3.1 pep chromosome:SL3.0:6:36713668:36716059:-1 gene:Solyc06g053760.3 transcript:Solyc06g053760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLMTKSFTSYIDLKKAAMKDVEASPDLEMGMTQMDQNLTAFLEEAEKVKLEMNSIKEILRRLQDTNEESKSLHKPEALKSMRDRINSDIVAVLKKARAIRSQLEEMDRSNAINRRLSGCKEGTLVDRTRSAVTNGLRKKLKELMMDFQGLRQRMMTEYKETVGRRYFTVTGEHPDEEVIDKIISSGNGQGGEEFLSRAIQEHGRGKVLETVVEIQDRHDAAKEIEKSLLELHQIFLDMAVMVEAQGEKMDDIEHHVVNAAQYVNDGAKNLKTAKKYQKSSRRCMCIGAIILLILILVVIIPIATSFTKS >Solyc08g065700.2.1 pep chromosome:SL3.0:8:54022623:54031668:1 gene:Solyc08g065700.2 transcript:Solyc08g065700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FVLGAGPSGIAVSACLNKFGIKNVVLEKEDCCVYSWKKNTYDRLNLHLAKVFVHYPLCNVQLPMWSISVLNQNFKLVFELVFFNNEENKWNVKSRDLSSGDLEIYACNYLIFAKGENNEGYIPKVGGIENFEGEIIHSSDYKIGQKYEGKKRIDCWIRKLWNGNSIHVLTRGMVHTALLMLKYLPVSLVDTIIAKYATFKF >Solyc06g074800.1.1.1 pep chromosome:SL3.0:6:46475188:46476168:-1 gene:Solyc06g074800.1 transcript:Solyc06g074800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFSEDSIDRTLVFKGKRSKRPRQFMAVAMVTSTSSTAAADDSAGGGGSGGDGGEDVYNYSSSMQYSSSTTSTTQISTTSSTEEDEDMANCLILLAQSGRCQKLQVESTERKMVKISSRKFTEMATTTPGKAGFYVYECKTCNRTFPSFQALGGHRTSHKKIKTEEKKSTADSVSPSIDHHHQQQQQEEKINRIVTAPTQIVNKGNSNLHSNLINKQPKIHECSICGAEFSSGQALGGHMRRHRPPTITATNTKITVDETSNNTSDNLSHDDDQNSKKPKLFLSLDLNLPASPEDDHHRDDNNNFEFSANQQSLLFSAAALVDCHY >Solyc09g091780.3.1 pep chromosome:SL3.0:9:71473270:71476811:-1 gene:Solyc09g091780.3 transcript:Solyc09g091780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor [Source:UniProtKB/TrEMBL;Acc:Q8GT29] MGKYIRKTRKTEDVSPLGVLTRAKALALNGGDGGSYLELRSRRLVKPFTVLEGRRQKNGVPKNPNLVNPNPNQQIPNVCVNSEEGKGVKEMENQKEKEKSCLGPEDSFGENLLEFEGRKRTTRESTPCSLIRDSDNIQTPGSSTRRTNANEANGRVPNSIQPTIPTDLEMEEFFTRAEKEQQRKFIEKYNFDPVNEKPLPGRYEWVKVNH >Solyc12g013490.1.1 pep chromosome:SL3.0:12:4374576:4375629:-1 gene:Solyc12g013490.1 transcript:Solyc12g013490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKTTTHNKKEGFKPRSTTTTGAFTGKVTVLTTFVATTATTTTIGVFTTFAAFTLSTFPSKATRLAGPTYNGCSIWVMKRYGDTDSRSKEYNVALGQRNSGMVIAVSDCRKILFTDIKGMLAVYNTEMQTTIRITIAGTMYSFYYENYEETLVLLDKGELLPPVDLASEKSTDDDDDDDDNDDDDHHQILELLKHHVMHKRVTTSLGD >Solyc05g043210.2.1 pep chromosome:SL3.0:5:56314873:56315500:-1 gene:Solyc05g043210.2 transcript:Solyc05g043210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSIVTSSLFAPVIHASSSVWPPLPESLAVRSGSFATEESVQKWPGWPWDNMFKLVVPVAKVGGIIGRRGEVVNRMCKETGASIRVHEGPDADQIVLISGRENPDAEVSPAMDAAIRNFKFVVGLNNDDSGAVAAFVSSKLLVS >Solyc02g072440.3.1 pep chromosome:SL3.0:2:42204322:42213369:1 gene:Solyc02g072440.3 transcript:Solyc02g072440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFDNQFTGSIPFSIFNMSSLETLGLSSNQLTGTLPIDVCRHLQKIKSIAIISNHLSGHIPPGLSNCTELNELSLLYNNFSGTIPPETVNLERLELLNLGGNNLQGYWRTNLVLLNLAENSIGGVIPSSISNSSNLMDLFLNDNKFSGQIPNSLGDSRHLEYLELFNNNLSFPHLSIFASLVNCRKLISLWLAGNPLNVVLPDSVGNLSSYDNISDKYSAYIAAFDEYISSGNSEKSNTNDPLVDQGAYQRLIGKLLYLTVTRPDIAFGVNILSQFLQQPKKSDMDAPLRIVRYVKNQPSLGILMSSNKNTTLTAYGDSDWASYPHTRRSITVACIANATNISTDQSALLAFKHGVTLNSLISQNWSSQVTVCDWIGVTCDPRHHRVTALNVSNMDIYGSIPPHLGNLSFLASLDMSRNNLQGDLSQDLSRLRRLKVMNLGFNNFSGEIPTWFGFYSELQMLILDNNSFTYIPSASISNLSMLEILSVRYNHLQGEFPKDIGNLQNLKELILFKNQLTGSIPFSVFNISSLENLDLTHNQLSGSLPVDICRRLQRIKSISIISNHLSGHIPPGLSNCTELYELSLSYNIFTGTIPPEILNLERLESLNLGGNNLQGKIPAEIGTLRNLQQLQLENNHIVGSIPRSISNLSSLLLLNFNTNSLSGVMPREIGNLHKLEILYLQFNQLSGTIPEELFNISTLRRMSLGYNNLSGSLPSSSSYWRTNLELLVLSVNNIGGSIPSSISNSSNLKRLILEDNKLSGPIPYSLGDLRQLEELILYNNTLSSPHLSIFSSLTNCRSLRQVMLANNPLNGVLPDSIGNLSTSMEIFDLSLSEIRGWIPLGIGNLSDLSTLSLFGNDLTGSVPTTFCDLHMLQGLRLDNNRLSGPLPECFCKMSSLGLVDLSYNRIWGSIPHCIGKVTSLRNIYLNSNRFTNIPISLWSLKDLLVLDLSNNSLIGSLPPDFGNLNAITYVDLSRNHLSGSIPTTIGNLQRLLYLSLAYNELQGSIPESLGKMISLESVNLSNNILSGTIPKSIESLRYVKYFIVSFNRLEGEIPGKGPFLNFTSQSFMGNEGLCGGLLFQPCRTRSFRHSRISKLLLNILVSLGAAVVVLGSIVVFMLRRHRNRNVPTQAESFPATTPARISYIEIERATQGFDQCNLLGSGSFGSVYKAMFENGMTLAIKVFNLQIEGAFKSFDTECEVLRNLRHRNLVKVISSCTNMDFKALLLEYMPNGSLEKWLHSDDHFLDIVQRLDIMIDVASALEYLHHGYATVIVHSDLKPSNVLLDERLVGHVSDFGLAKLFGEGESIAHTKTLATLGYIAPGLKEKKLAVILLQLSQLILAFIPVYGSAGRVSTSCDVYSYGIMLMESFTRKRPYDEMFQENLSMRRWVYNSIHVAPEDIIDVTLMKQDQETDFTKKLHCLSSILELALNCTADFPSERLNMKSVLTNINKIKREFLH >Solyc01g107000.2.1 pep chromosome:SL3.0:1:94575479:94587106:-1 gene:Solyc01g107000.2 transcript:Solyc01g107000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHFLPWLVIFFLVCCSGVEVCGEDYDYSFTSECLADPLSAQYNGGIVVNPNFNEGLNGWRKSGFANIATRMSNNTNNTFIVATNRKGPLHGFTQKYFLKKDTYYVTSAWVQVSHADGIIDAHVALAVRGPLGIQRTGWAIARSGCWSMLKGGLIILTTSAHVDLYLEANNTAIELWADSISVKPFSQEEWKFHQHQSTEKIRKAKVKIQAVDSHGQPLPNATVSLAQQKNNFPFGNAISQHILNNKAYQDWFTSRFKYTVFENEMKWYANEKIQGKQDYNMADAMLSLVQKHNIQVRGHNIFWNNLLNMPSWTRSLSPAQLAAAASRRINSVMNQYLGQLMHWDVVNENVHFSFLENILGKNASAVYYKKANEIDSRAIPFLNDFNTIEHGFDGTSNPAKYLEKIRDLRSHGYNGPLGIGVQGHFVKPNLPYIRSSLDMLASAGLPIWITELDVANTTNQEVYLEEIIREVHAHPGLKGIMIWAPWGPKGCYRMCLTDNNFKNLATGDIVDKIIKEWSHQGFSDYFAVDIMKIQVLVLVSYYLLLASIGFEVYAKDYDYSYTAECLESPLKPQYNGGIVVNPEFNDGLTGWTLSGDAKIEHNVANDGNKFIVASKRKGPYHGFSQEFQLEKDKFYVISGWVQVNHGDDANVAVIFKTQSGYQHAAWGIAKCGCWSMFKGGLVVNASGPAQLYFETNNTEIDIWVDSISVQSFSQEEWTSHQTQTIEKVRKSKVAIQSVDSQGKALPNATISLIQGRANFPFGCAINKNILNNNAYQNWFFSRFKYTVFEDEMKWYSNENTQGKVDYSTSDAMVNLCKSKGVSIRGQSVLWDDQKFQPNWVPALSPQQLSVAAGKRVASVVRKYKGQLLHWDVMNENLHFKFFESKLGPSASATFYHLASQFDNKTPLFLNDYNTIEVPSDGQSSPANYLNMIKQVRTGGYTGTLGIGLEGHFGAPSIPYIRAGLDTLASAKLPMWITELDVRPEQNQAQVLDQVIKEIVGHPAVQGLIIWSAWKPKGCFRMCLTDNNFKNLPTGDVVDKARATLSHEGLIGTTNAEGYFETSLFHGDYKPIITHTSMPDSFFHHNLTCLKTPLKPQYNGGIVTNPEFNDGLNGWAVFGVAKVENNISSDGNKFIVASERKGPYHGFSQEFQLDKDKFYIVSGWVQVNHGDDATVAVIFKTQSGYQHAAWANAKSGCWSMFKGGLVVNVSGPAQLYFETNNTVVDIWIDNISVQPFSQEEWTSHQTQTIEKVRKSKVAVQVVDSQGKALPNATISLIQARANFPFGCAINKNILNNNAYQNWFFSRFKYTVFEDEMKWYSNENTQGKVDYSTSDAMVNLCKSKGVSIRGQNVLWDDQKFQPNWVPALSPQQLSVAAGKRVASVVRKYKGQLLHWDVMNENLHFKFFESKLGPSASATFYRLASQFDNKTPLFLNDYNTIEVPSDGQSSPANYLSMIKQVRTGGYAGTLGIGLEGHFGAPSIPYIRAGLDTLASAKLPMWITELDVRPEQNQAQVLDQVIKEIIAHPAVQGLIIWSAWKPNGCFRMCLTDNNFKNLPTGDVVDKARTSLSHEGLIGTTNAEGYFETSLFHGDYKAIVTHPSITDSSFHHNLTVTPMAESDEKLSLTYKFTAA >Solyc12g009110.2.1 pep chromosome:SL3.0:12:2431675:2436192:-1 gene:Solyc12g009110.2 transcript:Solyc12g009110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKETNGKAKTNDEKIQESHEAQANMWKFVFGFTEMAVIKCAIELGIADFLEKNQEPISLNQLSIALGCCSTSLYRILRFLINRGIFKETSTKSGKNGYVQTPLSRLLIKEGENSMAAFLLFESSPVMLAPWHNLSARISSKDNSTPSFDAAHGKDIWKYAEADSGLSNLLNNAMACDAKVAVSAIIDGCPEIFEGVNTMVDVGGGDGTTLRLLVEAFPWINGINLDLPHVASVAPHAIGVVHFGGDMFNYVPKADAAFLMWVLHDWGDEECIQILTKCREAIPKDKGKVIILEAIIGEKEENNIIRGSNNEKLKDVGLMLDMVMMAHTSNGKERTSKEWAYVLNEAGFGRHTISHINAVQSVIQAYPS >Solyc03g081210.1.1.1 pep chromosome:SL3.0:3:53545415:53546443:1 gene:Solyc03g081210.1 transcript:Solyc03g081210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKSGKKSHLRSGNSVTYDSSYPIYAMAFSSFTSSLPNCHPRIAIGSFIEEINNCVDILSFDAYNLTLKPIPNLCFEHPYPPTKLMFHPNPFDSLKSNDILASCSDYLRLWEVRDTSIKDLVTFNKNKSGEYVAPLTSFDWNDVEPRRIGTSSIDTTCTIWDVEIGAFETQIIAHDKEVYDIAWAEAAVFASVSADGSVRIFDLRMKDYTTIIYESPEPGTQLLKLAWNKHNLRYLAVMSDSNKIMILDMSSPGRPLVELARHQVSFNAIAWSPQSQRHICSAGDDGQALIWELPTVERPNGIDPMAMYSAGAEINQIQWSAAHHDWIAIAFSNKLQLLNV >Solyc11g017010.2.1 pep chromosome:SL3.0:11:7763510:7768034:1 gene:Solyc11g017010.2 transcript:Solyc11g017010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENGTKGKLTVSSSLQIEQPLPPSKLWKIIVVASIAAGVQFGWALQLSLLTPYVQLLGIPHRFASFIWLCGPISGMIVQPVVGYYSDNCSSRFGRRRPFIAAGAALVTIAVFLIGFAADLGHASGDPLGKGSKPRAIAVFVVGFWILDVANNMLQGPCRALLADLSGGKSGRMRTANAFFSFFMAVGNILGYAAGSYSRLFKVFPFSKTKACDMYCANLKSCFFIAIFLLLSLTTLALTLVRENELPEKEELEIDEKLSGAGKSKVPFFGEIFGALKDLPRPMWILLLVTCLNWIAWFPFFLYDTDWMAKEVFGGQVGDAKLYDLGVRAGALGLLLQSVVLGFMSLGVEFLGKKIGGAKRLWGILNFVLAICLAMTILVTKMAEKSRRHDAAGTLMGPTPGVKIGALLLFAALGIPLAVTFSIPFALASIFSSNAGSGQGLSLGVLNLAIVVPQMLVSLVGGPWDDLFGGGNLPGFVVGAVAAAASGVLALTMLPSPPADAKPAVAMGGFH >Solyc02g061850.3.1 pep chromosome:SL3.0:2:33908210:33918755:-1 gene:Solyc02g061850.3 transcript:Solyc02g061850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEPKTIILHGDLQLHIIEARHLPNFDITSDRLRRCFTFGGICGKPHHSTVERAGGDHRSDKKDHHRRIITSDPYVTVSAPQTALARTRVISNSQYPFWDEHFRIPLAHPLAYLEFRVKDDDLFGAEIMGKVKIPAERIATGEDISDWFQIIGSSSKPDTALRVQMKFYPYEKNSLYKQGIASDPQYLGVRNSYFPVRKGSSVKLYQDAHVSNNVKFPEIKLENGTNFEHNKCWEDICYAIAEAHHLIYIVGWSVFHKIKLIREPTRPLPRGGDLTLGELLKYKSQEGVRVLLLLWDDKTSHDKFFITTEGLMGTHDEETKKFFKHSSVICVLSPRYASSKLSIMKQQVVGTMFTHHQKCILVDTQAPGNNRKITAFLGGLDLCDGRYDTPEHRLFRDLDTVFKDDFHQPNYPPGTKAPREPWHDLHCRIDGPAAYDMLINFAQRWRRATKWREFSFLKKTMARWHDDAMLKIERISWILSPAFAVFKERTEIPEDDPELYVSKEDHPENWHVQIFRSIDSGSVQGFPRSTDVTEEQNLISSKDLVVDKSIEAAYIQAIRSAQHFIYIENQYFLGSSYAWPSYKDAGADHLVPMELALKIASKIRSKERFCVYVVMPMWPEGDPKSTTMQEILYWQSQTMQMMYQVIARELKSMQLLDSHPLDYLNFYCLGNREANAQSSSDADKACFQSLPCNQVSDSFKFQRFMIYVHAKGMIVDDEYVIMGSANINQRSLAGSKDTEIAMGAYQPRHSWGKKKEHPRGQIYGYRMSLWAEHLGTLADCFQEPEALECVRRVNAVAEDNWKRYTADNFTELNGHLLKYPVQVDGDGKVGSLPEYECFPDLGGKIVGNPSPTIPDVLTT >Solyc06g072165.1.1 pep chromosome:SL3.0:6:44625876:44629220:1 gene:Solyc06g072165.1 transcript:Solyc06g072165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEEENAIEAAAAARRERLRALREAQELLQTPDDDGNKTKEEEDENDVQMKFRNYLPHDKQLQEGKVTPPDPFLNIVPKKPNWDLRRDVQKKLDKLEKRTMKALTQLMGLLAAVGTLLWQAIKCTTWMLLCELRELQ >Solyc05g014620.2.1 pep chromosome:SL3.0:5:8590147:8592454:-1 gene:Solyc05g014620.2 transcript:Solyc05g014620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFQISYAAPFIFLSPLSSSPSPDAKSGHAPPSPPLSSPNGKVVLPPSPPSAVGPQQLEVALPPSPPGHAASSVGQRLPSL >Solyc04g064510.3.1 pep chromosome:SL3.0:4:55678612:55687690:-1 gene:Solyc04g064510.3 transcript:Solyc04g064510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPSSSSPEFDYLFKLLLIGDSGVGKSSLLLSFTSDAFEDLSPTIGVDFKVKHVTLGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSDIWAKEIDLYSTNQECIKMLVGNKVDKESERVVSKKEGIDFAREYGCLFIECSAKTRVNVEQCFEELVLKILDTPSLLAEGSAGVRKNIFRQKPPESDASTSGCC >Solyc10g084480.1.1 pep chromosome:SL3.0:10:64116080:64118702:1 gene:Solyc10g084480.1 transcript:Solyc10g084480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKNISDDMPEKEVNRAAKILKQMAKSRTCTSFNLGEGSEESRDINSLETVHSCNEEIRACFRKEEALRYTQPETAFSYTTVDGHKSNIAPLKSLVRDAAARLPRGVGTRDDVCVLARDSQFIVEEISDSQLSKAVKGGLNRLHYEDDPCVKYDRGRLQWIYLHRDREESNFEEESRAINSPETIYPCSEEIRACFRREEALRYAQPNKAFSYTAVDGKKVVVAPLKKRGGKLFKRICHYDILRSNNPPFFTLHCLVRDAAARLPGGVGTRDDVCVLVRDSQFIVEDISDSQLRKAVKGGLDRLHYEDDPCVKYEKERHQWTYLHGDRKVENFEDEST >Solyc10g085750.2.1 pep chromosome:SL3.0:10:64940605:64955833:-1 gene:Solyc10g085750.2 transcript:Solyc10g085750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPPTVLATPSSLYVGDLHHDVSDGQLFDYFSDFKSLASVRVCRDSSTGRSLCYGYVNFVSPQDAIRAIEVKNNSTLNGKIMRVSWSLRDPDARRSGKGNVFIKNISDTIDNAKLQEMFQKFGNILSCKIVTHEDGKSKGYGFVQFGSEESADAAIEKLNGIMAGEKQLYVGKFVKKTDRISPNPDAKYTNLYFKNLDVDISEEHLREKFSGFGTIISLVIAKDENGAPKGFGFVNFDNPDDARKAAEAMNGSPVGSKTLYVARAQKKAEREQLLKRLFEERRREQIMKYQGSNVYVKNIDDDVTEYELHQLFSQCGTITSAKVMQDEKGLSKGFGFVCFSTAEEAYNAVNTFYGFMLHRKPLYVAIAQRKEERQAQLQLQHAQRLAGLTGASAMYPGAYPPLYYPGHGVVPQVPARPGLMYQSLAMRPGWGTNGFTNTPRPSYQPAPVPMIPNAYRPYRPNRGRMNGYMPASNVTNVQPSGQPAVPSKDSQRAGQVRFAPNGQTRDVNNRSFGSIAESAADGTEMLSTLLASANSDQQKQILGERLYPLVHKHKPELASKITGMLLEMDNAELLLLLESPESLATKVDEAVDVLKLSKAKVSSQESLQPSFLSASEVAGDAAFVLQVVQSVLQIDHLEFGMTFKTFDVGNNNNLTTLIKANFLPYGRDFVTHRPTGRFCNGKLATDFTAEYLGFTTYPPAYLSPEARGRNILTGVNFASAASGYYERTPQIYRALTLAQQLQYYREWQAKVVNLVGRTQANNIISGGIHLLSAGSSDFIQNYYVNPMLNRIYSPDRFSDILMQSYTTFIQNLYGMGARKIGVTTLPPTGCLPAAITLFGRGSNQCVARLNQDAVFFNAKLNRTSENLKSRLPGLKLVVFDIYHPLLDMIIKPTDSGFFESRRACCGTGTLETSFLCNVRSIGTCSNATDYVFWDGFHPSEAANEKLAQSLLEQGFDLIS >Solyc07g025470.1.1.1 pep chromosome:SL3.0:7:28436822:28436977:-1 gene:Solyc07g025470.1 transcript:Solyc07g025470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYCLKLRILLELKIILRIYFRIYWIYSFLWFRISEVLLFRTKHFRLGFKV >Solyc03g025270.3.1 pep chromosome:SL3.0:3:2715367:2733579:-1 gene:Solyc03g025270.3 transcript:Solyc03g025270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAPTRGRGGGGFRGGRGDGGGRGGRGGRGGFSGGRGGFGSGGSGMKRGGGRGGRGDRGGRGGGRGRGGMKGGSKVVVEPHRHGGVFIAKGKEDALCTKNLVPGEAVYNEKRISVQNEDGTKVEYRVWNPFRSKLAAAILGGVDDIWIKPGARVLYLGAASGTTVSHVSDLVGPEGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKAGGHFVISIKANCIDSTVPAEAVFAQEVKKLQAEQFKPVEQVTLEPFERDHACVVGAYRVPKKQKAAA >Solyc12g049290.2.1.1 pep chromosome:SL3.0:12:61716680:61718919:-1 gene:Solyc12g049290.2 transcript:Solyc12g049290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ELF4-like protein [Source:UniProtKB/TrEMBL;Acc:C6ZKI0] MEDVVFSCTGNGVQVDRKVVQTLQKNFVQVQNILDQNRLLINEINQNHESTIPDNLTRNVGLIRELNNNIRRVVDLYADLSSSVTTSMEAFSEGESNNANQSDQRDGQKRIRSS >Solyc09g083150.3.1 pep chromosome:SL3.0:9:69245754:69249156:1 gene:Solyc09g083150.3 transcript:Solyc09g083150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLSYTFPSTTSSSSSTRRFLITSNGSSCRRRPNISTEDQPCSSSACYQGAVQSYGLQRQRVQLNGISKAVRTRRLDSVGRQKKGAIRCNIELQDFIGGDLIKPDLGQWLSDVEEHKAIAIYSPHEGGYEGRYLTRLKYQGYHFLDLSARGLGDPETTLTKFHPVCPAHVGKQPIARWYFPPEVDYRLSLLPPDAKGLVVWIIEAKVLSKAELQFLALLPTLRPNVRVIAECGNWRKFMWKPLKEIADLSQS >Solyc06g075040.1.1.1 pep chromosome:SL3.0:6:46700600:46700818:-1 gene:Solyc06g075040.1 transcript:Solyc06g075040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTVIVVVMLVMMMSCCMATSRSLNNYEQQPEGGGGTRTMDNHHYMSRDQFNDKYGGGGASPINDKTDDGKV >Solyc07g026900.1.1 pep chromosome:SL3.0:7:32127618:32129144:1 gene:Solyc07g026900.1 transcript:Solyc07g026900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIEIEKRSTVVGLSNVATSDTHGEDSPYFAGWKAYDENPFDEVHNPSGVIQMGLAENQVSFDLLEEYLEKQKDDGVAEISRFRENALFQDYHGLVCFRKAMASFMEKIRGGRARFDPDRVVITAGATAANELLTFILADPGDALLLPTPYYPGFDRDLRWRTGVKIVPVHCDSSNNFQVTPRALEDAYKEAESNNIKVRGVLITNPSNPLGATVQRCVLEEILEFVTRKNIHLVSDEIYSGSAFCCSEFVSIAEILESRNYKDSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQQLLASMLSDETFTENYIKKNRERLRMRYEMMIEGLRSAGIECLRGNAGLFCWMNLTSLLEKPTKECELQVWNTILNQVKLNISPGSSCHCSEPGWFRVCFANMTENTLQIALKRIHHFMETRATLQKY >Solyc02g038795.1.1 pep chromosome:SL3.0:2:32282897:32286270:-1 gene:Solyc02g038795.1 transcript:Solyc02g038795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFKMTEIGLISYYLGLEVKKMEEGLFISREICTKEILKKFNMFYCNPVNTLMKSGTKLSKFDDGEKIDSTLFKSLIGSLRYLTCTRTYIIFAVGVVSRLMEAPTSTHLKVAKRIIRYLKGIIDLGLFYSSSDNFNLMGYCVSDYAVDVDDRKSTSAKYVAATSYTCHAIWLRRLLKELHLPQIEARMICVDNECPQALAMNLVYHDRSKQKHRHKPLKFEDFQRLRSSLGVKNKNKGGTGDDTSTNSSASAASRVIH >Solyc04g050480.3.1 pep chromosome:SL3.0:4:47223194:47229740:-1 gene:Solyc04g050480.3 transcript:Solyc04g050480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMAMEAETQELVTPGQVLGKASQLKAGKGAYVSTENGTVYASLTGFRSLIPPHSDAVDQRSTVEVTGHKAHGAVPKPGSVVFARVTKVMARTASADIVCVDSKSVREKFTGIIRQQDVRATEIDKVDMHLSFRPGDIIRALVLSLGDARAYYLSTAKNELGVVSAESAAGGTMVPISWTEMQCPLTGQVEPRKVAKVEA >Solyc05g025780.3.1 pep chromosome:SL3.0:5:36719767:36723034:1 gene:Solyc05g025780.3 transcript:Solyc05g025780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVIYLNPNKKHINMTYLPRKIYFNRKFCLSLESGSLFVHKKWPLMSLLFYLFFFSITSCVSIGDDQVLVEFKELLLNTSLLDSSWKKGTNPCDNNNKWFGVQCDNNNNNVIQALLLGGIGLSGNLDVDVLISLQGLRVVNLSNNSFSGSIPEFFRLGALKSLFIDGNQFSGDIPPDFFSKMASLWKIWFSRNKFSGKIPESLASLKYLLELHLENNEFTGTIPSLSQPNLATINLSNNKLQGLIPQSLSKFGSNPFQGNPDLCGNQIGRECKAVIYGEKSESSGSTKWIIVGLVVVLLLVAILFKSKRKDDQFEKLEKENLDEAVKVHLNKRSMSTRTSMRSSRKGRSRSGSDMGDLVVVNDEKGIFGMPDLMKAAAEVLGNGGLGSAYKAVLGNGVLSVVVKRLRETNKFNKECFDAEIRRLARIRHKNILQPLAYHYGKEEKLVVSEYIPKGSLLYLLHGDRGTAHAQLNWCIRVKIILGVANGMKFLHSEFGSYDVPHGNLKSSNILLSANNEPLLTDYAFYPLVNNSQAVQSLFAYKSPEAILNQQVTPKSDVYCLGIIILEILTGKFPSQYLSNQKFTGTDVAQWVQSAIEENRVSELIDPEIETEKDSLEMMEKFLYIGAACTESDHDHRIDMKEAIRRIEEITDLM >Solyc03g098070.3.1 pep chromosome:SL3.0:3:61859032:61862280:-1 gene:Solyc03g098070.3 transcript:Solyc03g098070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRRHKVPWKLLKRETPIVRKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSMGRLRSESQSLHPPCLSRTASSTPSPSSDTNLSTTPWSSTLLKKLSSAAAAANPNMVKSCTNKHNLELQLLTTTTSSSSPAFDVSVSSKPNEDHSAHNLQLSIGSSDFNESSENANEKRSSDALRLKDEATEQLRVAISEKAYAEEARQQAKRQIELAEQEFANAKRIRQQAQAELDKANALKEHAIKQINSTLSQITCHSCKQKFQSTTRVSIADENNSLALSYISSGLSEGHHHEVAANNINQNEHLKLSQL >Solyc11g065630.2.1 pep chromosome:SL3.0:11:51447129:51452930:1 gene:Solyc11g065630.2 transcript:Solyc11g065630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QRRERVEEQEQEGAVNGGGDAGDREEEKWRFQADMLRAECNFLRMERQFALKKLERNRIQMEKTLRSAVHTLIAGKKKIFEGKNVNAVLEEEIEDLAEKLEELKTSCKSKDVELRHCSNFDKKACHLQKRLEKLGGLTDEKTLKELQQLAQSTNEIDKETKNNTSADVELLREKMEGLSKGMLDRMEEEYGAILSSTANSSASTSKRIDSITDPTSSFSIRQQPSQDMLPLEENKCSGRCKVIVRRIVEQVRAETEQWSQMQEMLQQVRGEMEELQASRDFWENRALNFAHEIQSLQSSVEEWKDKAQAFETKAKDMQYELTAAKAELEKSRTKRSTAHDQREVTSTPNSPLLSLAKQIEKEKRVLVCRLKEENVNQKLQKQRGVEVISTKDLPPVSLGKQLEKEKRMFMHRLKENRGANDTSCKREVSPVGRRKEHSCSKESRVPKRPPFRDVGNSSPLLVRQNSKAIYPLHSP >Solyc03g095505.1.1.1 pep chromosome:SL3.0:3:58066111:58066116:-1 gene:Solyc03g095505.1 transcript:Solyc03g095505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding N >Solyc04g009010.2.1.1 pep chromosome:SL3.0:4:2582010:2584512:-1 gene:Solyc04g009010.2 transcript:Solyc04g009010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein-S-isoprenylcysteine O-methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BP57] MAELFGYTACRQLLQMFISIIFFHVSEYILALVFHGKSNVSFKSLLISKHYVLAMFCSLIEYLIEIYFFPGLKEYWWISNFGLAMVVLGEIIRKLAIVTAGQAFTHLIKVYHEENHQLVTNGIYRFVRHPGYCGFFIWSVGTQIMLCNPISTIAFTVVVWKFFSGRIPYEEFFLKQFFGSDYEDYMRKVPSGIPLVR >Solyc12g099995.1.1 pep chromosome:SL3.0:12:67893580:67895940:1 gene:Solyc12g099995.1 transcript:Solyc12g099995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LASRSGYGQIELHWVVKKEESRKRLFKCSSDALKRQLNRMFYPERSWKFGAMEISLLKVLLSSISQFFHLSSSENITDILVQRYYCKAEDLLKILKPILEAIVDVEAASSEMLQKAFAGLAQSVDELRELCETWQPLGSNVLQVEPLIVKTRTCSLEFLELLKTSHECLPADTTLTSLEEPKQVIPDLLFPYICPIPTPAIMFLSALSVSWSCHPYLWGFLDVLYLDCSTVYLKLSMWIMN >Solyc05g053310.3.1 pep chromosome:SL3.0:5:64280918:64282829:-1 gene:Solyc05g053310.3 transcript:Solyc05g053310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGKGGVVKHILLAKFKDGIPPEQIDQLIKQYANLVNLVEPMKAFQWGKDVSIENLHQGFTHVFESTFDSLEGVAEYIAHPVHVEYANTLLPQLEKFLIVDYKPQ >Solyc09g065080.2.1 pep chromosome:SL3.0:9:63145727:63149321:1 gene:Solyc09g065080.2 transcript:Solyc09g065080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSDSQRRSVFVGNIPYDATEEQLIQICEEVGPVVSFRLVTDRETGKLKGYGFCEYRDEETALSARRNLQGYKINGRKLRVDFAGNDKNSDRNREQECGGPGMVANADPKMHIGGPAVPVDSTLQQPLGMQVAMTAAAVMAGALGASQSGNSFNTSGVDPLTLHMSKLSRSHLNDVMSEFKAMCTQNKEQTRQLLLAIPNLSKALFQVLTYARCSTYLQASGVA >Solyc04g014420.3.1 pep chromosome:SL3.0:4:4663049:4664350:1 gene:Solyc04g014420.3 transcript:Solyc04g014420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHLWTLITSLHTLAGPVMMLIYPLYASIVALESTSKLDDEQWLAYWILYSFLTLVEMLFQPILNWIPIWYDLIGVAWLVLPQFRGATFIYETYVREKLIMNKYGDQGKSKKKSVHFMTPEKVS >Solyc02g068910.3.1 pep chromosome:SL3.0:2:39361988:39371103:1 gene:Solyc02g068910.3 transcript:Solyc02g068910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLDLGFNHSGSVQSEESALDLERNYFNQLPLSSPPPLQAFASGCQVAESNAAYFSWPCRLDAAEDRANYFGNLQKGVLPETLGGHPTGQQATTLLEVMTIRAFHSKNLRRFSLGTAIGFRIRRGALTEIPAILVFVARKVHRQWLNLVQCLPAFLEGPGGVWCDVDVVEFSYFGAPAATPKEQLYTELVDGLRGSDPCIGSGSQVASQETYGTLGAIVKSRTGIRQVGFLTNRHVAVDLDYPSQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAEDFNMLNVTTSVKGIGDIGDVNKIDLQSPVGSVIGRQVVKVGRSSGLTTGNIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTSPEGEKPRPIGIIWGGTANRGRLKLRVGQPPENWTSGVDLGRLLDLLELDLITSNESLQVALQDQIIASAAGIGSAVGGSSPAERIQLKAEVNFEPINLNVRQDPIDDESDEGVVPPLEHKHFHIQDGNKATPCVEHQFIPSVSGIQHGNGELKRLSVVGGSDDEMYVSLQLGEQREPKRRKQ >Solyc02g084330.3.1 pep chromosome:SL3.0:2:48005923:48007650:1 gene:Solyc02g084330.3 transcript:Solyc02g084330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAAIKDFKAFELIHVLAWQSSVSFHPSPNHPIREIIHDLPLFLLLFFSLLCFINHEDVEVVFYGSSIKLVFSRAEKLLVGMAPQELKSDPQAAKICHTCCACQRSPRGMEI >Solyc11g011875.1.1 pep chromosome:SL3.0:11:4813780:4814628:-1 gene:Solyc11g011875.1 transcript:Solyc11g011875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLKLVAVLYAGSSNKKKVIIGGVVGGVGLLMIVLAVFLLYRQSRKPQTPERVTDIVARVQDDINVIEQGTLKNGHVVAVKKLAMLSSRAKADFDTEVRLISNVHHCNLIRLLGCSNKGAEIVLIYQ >Solyc04g011580.3.1 pep chromosome:SL3.0:4:3997055:4005264:-1 gene:Solyc04g011580.3 transcript:Solyc04g011580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGTDGYGCLAMITDGYAKMMSEIGMRSMLGGSNDFSEELGMLLSERRRKQLQLQQQEVSDRERELSIYRSGSAPPTVDGSLNAFSGLMIGSGSGSGSGGGGGDNVYDFGGLSEEELRSDPAYISYYYSNVNLNPRLPPPLLSKEDWRYAQRLQGSGNVGSGGNSPVLGGIGDRRKGNRGEADKGKDVESLFSMPMGFGAINEENGREARKEWGGDGLIGLPGLGLGSRQMSITEMIQDHMSQTTSRHPSRPDSRAYDDIVDPSESQFAHLHQNLASLDALHSREKVQGMSLHNVSSSGSQSYGSAMGTSLSRSTIPEPQLVARDPSPRIPSAGGGRIASLEDVSSHMGEHTDLAAALSGMSLSGNNMGDEGKHQKYQIHNEMDDHQNLFRLQNVQNPMKQHPYAKKSESVQFHKSVGSSAAYMIGHSMPTLNNGESSPSQYPTIDSPNSTFSPYALGGYGMNPPSPSMFENQLGAGNLPSVLGNIASPVGACGIDAHVPGGGLNLGPNLMAAAAELQNLNRLGNQTLGGSLPMSQMDPLYLQYLRSPEYLAAQLAALNDPTVNRESLGTSYMELIELQKAYLETLVASQKSQYGIPYLGKSGGLNHGYYGNPALGLNMSYPGSPLAGAGLPNSPFGPGSPVRYGERNMRFHSGMRNLAGGVMGAWHSEAVSNMGETFASSLLDEFKSNKSKCFELSEIEGHVVQFSADQYGSRFIQQKLETATTEEKNMVFQEIMPQALSLMTDVFGNYVIQKFFEHGSSPQIRELADQLNGHVLTLSLQMYGCRVIQKAIEMVDLDQQTKMVAELDGQVMRCVRDQNGNHVIQKCIECIPQDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHNPETQNIVMNEILQNVCMLAQDQYGNYVVQHVLEHGKPEERTSIITKLTGQIVQMSQQKFASNVVEKCLSFGTPEERQTLVNEMIGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISFLASYSAAA >Solyc08g075110.2.1 pep chromosome:SL3.0:8:59385789:59388290:-1 gene:Solyc08g075110.2 transcript:Solyc08g075110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVSYYSASKLEPNVQEFNSEEMMTMQQLLFDFNYDVEQNFSDENQDCYFDPDEFILPIEMNNSCCFMPEYSVLEKQPKDNHSCFIPEYSVFENIPKRQKIFQDDFFPNPNSNTITPSTHNSCFMPEYSVFEKQQKLFQDNFHEEGFLPNPPMFEDFALPEIPVPVFSAGVVAKKGGSSNNEKKMSAQSMAARQRRKKISDKTQELGKLIPGGHRMNTAEMLQATYKYIKLLQAQAGILAFIGSYQESFETPNLQKLVGSSLVQEKLYSSEHCLVPKVFVEALENNQEFQNSQILEEIKTLMKEGK >Solyc12g014150.2.1 pep chromosome:SL3.0:12:4979691:4986833:1 gene:Solyc12g014150.2 transcript:Solyc12g014150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSFALVHLHPSSSPNPQFHFPHKNQILPIQSLNFSPFTVIPTKLLSKPSFSRLLSSVSSSSLVADDFQVELGRLLSLLPEEMRRGVSEHPEYSNLIEVVMDLGRKPLARFPSGDFILSDQPITLGDLQQATSQVGDFAVDNRAGISRTLHRISAIRNRKGAIIGLTCRVGRAISGSANSLRDLVKDGASLLLIGPPGVGKTTIIRDIARMLANDYRKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPHNDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGVTIENLVMNPALEMLVGGVQSVTLGDEEASRRRVQKSVLERKGPSSFSCGVEIISKAELRVHPDLEATVDAILAGLLLAEKDVTQNMKFAR >Solyc08g008030.3.1 pep chromosome:SL3.0:8:2522224:2528967:-1 gene:Solyc08g008030.3 transcript:Solyc08g008030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGREGMVLSGGAAYYLNRGISGSGSGVGGGSGAPTRVTTPPSYKSLSNPNISVQSNVGGGGTLSSTYQAVENPSPHFSHGINMSVVSSVSSGSDPVKKKRGRPRKYGPDGSKMSLGLSPLSSTPSTGSITPGPKRAKGRPPGSGWKQKLAPLGEWMNTSAGLAFTPHVINISVGEDVAAKLLAFAEQRPRALCVLSASGSLSAVTLRPPTSSGSTVTYEGRFEILCLSGSYLVAESGGPRDRTGGISISVSSPDGHVLGGAVGGRLIATSPVQVVVCSFVYGPKVKSKPETSTKGSEEESAEKPSIPIKATLSQDPTSNPATGVWPPISRPELRNSQPEIDLTRG >Solyc08g067145.1.1 pep chromosome:SL3.0:8:56130095:56131655:-1 gene:Solyc08g067145.1 transcript:Solyc08g067145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRISEETMTGNVHNGTPIDVKAHNAREVSVKKRKSREQDYLGNDLVESNDNAFYRKLRKHKKLKVIQTEKKKSSRSKGEGKSRRRDTGIKDSAPTEREQQANKYGVKQQSQAECGMMRKPVYKKMTDSGNALTFTFILHMITGNQS >Solyc11g056530.1.1.1 pep chromosome:SL3.0:11:45715178:45715366:-1 gene:Solyc11g056530.1 transcript:Solyc11g056530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLANFWGGGDEDKRKLHWIFFDTMCLPIQEGALGPPTTRHFQELSKAFRMKFTWSIRGGYFQ >Solyc12g010640.2.1 pep chromosome:SL3.0:12:3590731:3593446:1 gene:Solyc12g010640.2 transcript:Solyc12g010640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKESTFLTAVHLKKKPMKKHTTTKRKQFFNKVIDYLKSDTFMFAPLCSSSFSSGIEEDSKPLEGKDEKLVSKIGDYLMADDYMYAPLLISQPWDMVHVSKGSVPIQEEVEKKRSADVLKGATGKADEAGRKTVTVVSKDHRIDDFPHNKSPMVTRTRRLGSISFPCADHLDENDGSPLQKLSAADDDRNITKQACEMKIFWSDSERCCCLANQTRDCLFF >Solyc08g075530.3.1 pep chromosome:SL3.0:8:59812674:59829328:1 gene:Solyc08g075530.3 transcript:Solyc08g075530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLPFPNSIIISPKCHFTTLCFVNVRVSNGSLKKKKPKLLNCANLLSSTSVSSIHRLIRGRSVNKGFIGASFVMLKMGGIEGTTAMSDARTGNMIFESILEEGVFRFDCSADDRNAAFPSISFVDPKVRETPLMSIHKVPSYIPTFECVRGQQIVNIELPSGTSFYGTGEVSGQLERTGKRILTWNTDAWGYGPGTTSLYQSHPWVLAVLPSGETLGVLADTTHRCEVDLRQESNIRFISRQSFPVITFGPFPSPIDVLVSLSHAIGTVFMPPKWSLGYHQCRWSYVPDTRVREIARTFREKKIPCDVIWMDIDYMNGFRCFTFDKERFPDPESLVEELHKSGFKAIWMLDPGIKNEKGYFAYDSGSEADVWVQTADGRPYVGDVWPGPCVFPDFTQLKARSWWANLVKDFISNGVDGIWNDMNEPAVFKTVTKTMPENNIHRGDPEFGGCQNHSYYHNVYGMLMARSTYEGMKLANGNKRPFVLTRAGFVGSQRYAATWTGDNLSTWEHLQMSIPMVLQLGLSGQPLTGPDIGGFAGNATPRMFGRWMGVGSLFPFCRAHSEADTNDHEPWSFGEECEEVCRLALERRYRLLPHIYTLFYLAHTRGTPVSAPIFFADPKDPELRKLENSFLLGPILIYASTQRDEELDTAHHKLPRGIWLSFDFDDSHPDLPALYLLGGSIIPVGPLYQHVGQADPSDDLTLLIALDENGKAEGLLFEDDGDGYEYSQGGYLLTTYVAELQSSVVTVQVAKTEGNWRRPKRRLHVRILLGKGAMLDAWGSDGEIIQLAMPSETDVSNLVSESEEKYRNRLEGAKRIPDVETISGHKGVELSRTPVVLKSGDWELKAVPWIGGRILSMDHVPSGTQWLHSRVEINGYEEYSNREYRSAGCTEEYSVIERDLEQEGESESLRLEGDIGGGLFMERYISLPKDNSKVFRIDSGIVARGVGAGSGGFSRLVCLRVHPMFTLLHPTESYVSFTSLNGSKHELWPESGEQVFEGDLRPKGEWMLVDRCLGLGLVNRFNIDQVHKCMVHWGTGTVNLELWSEERPVSKDSPLKISHEYEVQKIA >Solyc04g018147.1.1 pep chromosome:SL3.0:4:9732550:9737368:1 gene:Solyc04g018147.1 transcript:Solyc04g018147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQYTLTSDAKGQGQRIRINAEKRTIIGENRLTTPISSRNKQVKKSIQAPPDFYPMEDNDTRFPKTEVSRDTQRSDHLQKSPFESRKAKTVVGVTRGSKEHQTSPAGYDKEQKMTEVYCDAERSDTSILNSKKEKKVIEVAEDSTRNETSPIGYDKAEKMTEVYRDDQGSPCEYDRTTKAEIQELVQPEPFLTKIEFNSRACWWLSPNAKTLLDHLFSNMEDTLYICF >Solyc06g009765.1.1 pep chromosome:SL3.0:6:3714658:3724627:1 gene:Solyc06g009765.1 transcript:Solyc06g009765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKNTETKSMSIAMKASNSSSNVHPPYLQMIIEAIPKDQNGLSQPTIAKFIKAKYKQFLPPNFKKFLFV >Solyc02g081990.3.1 pep chromosome:SL3.0:2:46297275:46299076:1 gene:Solyc02g081990.3 transcript:Solyc02g081990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4BA69] MDYLNVNNQESVAARKKSIKRITIITISSIVFVGCVVAAIVGITTNKNNENSNTQTTSFSAAIKAVCDITLYPQSCYNSLGPLATSNKLKVEDIYKLSVQVSVDELSRASDKFFNSSEFKNISDPMTIKALESCHDLLSLSLDSLNDSLSIPNKSLLEAFTDLKTTLSAAGTYQQTCIDGFGNITSIAKHNLKNSGEYTSNSLAIIDNIDESLQNSMDSIDSLGRIGRRRRLLMEFDGDFPRWMSSKDRKLLQSSKGEVLNVDVVVANDGTGHFTNIFEALDVVPEKSDKRFVIYVKKGVYVENVRVEKNKWNVVMIGDGMDATIVSGSLNFIDGTPTFQTATFAVFGKGFIARDMGFRNTAGAAKHQAVALMSTADLSVFYRCKFDAYQDTLYTHSNRQFYRECNIYGTVDFIFGNSAVVFQNCNILPKKPMPGQQNTITAQGKIDPNQNTGISIHNCTVWPSANLTGVSTFLGRPWKNYSTTVFMYTTMNSFIDPKGWLPWVGTTAPDTIYYAEYRNFGAGAVTKKRVNWKGLRLNITSKEASKFSVAQLIQGDKWLPSTGVTFKKDI >Solyc12g027710.1.1 pep chromosome:SL3.0:12:25839724:25842658:1 gene:Solyc12g027710.1 transcript:Solyc12g027710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQFEVKVVRFPYEVTRIDKNSTSEASKLDVKLYYPTERAAKIKLRRHNLHTQLSLEEKEMLSSRLQVKMSRSVGFGRLTEHAKEAYCSLFGVELPTLKALTIKESSVTKKAKRTVMEAKKEILDGGLWICVVFKINKVRDDNKTLFHAGISHDDNFDALGFSLEPHPSRASPPLGPEGRSHVLPCDTPQLLKSLILHFFFQSNSSSYHTYTSSPTSVFLSRTSDRASFLGHIILYAMLYAM >Solyc05g043300.1.1.1 pep chromosome:SL3.0:5:56750871:56751170:-1 gene:Solyc05g043300.1 transcript:Solyc05g043300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTARTNKDESRRTGAENNGLKSWKRLFQIVPAWKDTSHVGFSKFAASHVFEALHCCWNCRAIYGLSPLAREGESWSRVGKEDWLGKKKIWAEGIGLEKG >Solyc03g082860.3.1 pep chromosome:SL3.0:3:54173200:54176667:1 gene:Solyc03g082860.3 transcript:Solyc03g082860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSTTVVLRRRTEAPKPGRRILRNRLNSRKMVEEDEYSDTSCVKCGSGEYPAQLLLCDKCDRGFHLFCLRPILASVPKGSWFCSSCDDNKNPTKLSLVQTKIVDFFRIERPSNSINECGPGKDCQKKRKRGSGLVMSKKRRRLLPFNPTKDPTRRLEQMTSLATALLAAGAEFSNELTYVPGMAPRSANHAALEREGMQVLSKDDTETLQLCKNMMKQGEWPPLMVVFDPKEGFTVEADAFIKDWTIITEYVGDVDYLNNREADDGDSMMTLLTTNDPSKDLVICPDKHSNIARFINGINNHTRAGKKKQNVKCVRFDVDGECRVLLVANRDIRKGERLYYDYNGYENEYPTAHFV >Solyc06g083370.3.1 pep chromosome:SL3.0:6:48835044:48839687:1 gene:Solyc06g083370.3 transcript:Solyc06g083370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGLVDSWCFCYGGGKSEKIKATIFTSKGSAMAHIASTGTGFLIHRNLLLTTHVILPSVAAAEAAEIRLQNGVVACLFPHRFFITSSILDLTIVGLDVMDGDTNAHVQLTHYLKTCSKPNLELGNAVYLLGYSEKKELTVGEGKVVIATDNLIKLSTDGITWRPGSAGFDVHGNLAFMVCDPMKLATSPNSKSSSTSPSPLSSWKDSPMQFGIPIPIICDWLNQHWEGSLDDLNKPKLPLIRLMSTGQRSEHSCASFTMRRVFKSTEAENDATPSSSNGLPQPREEPGPSCSAVATNLEEEAVITHVQGIPTPEIFESRRLTSTPVGKNESTQIQLLDINFPPRILIKAAGSPQHVRKTLSNSDDDCIGKAREENSSDIGQPSPVPDAEVASTRSVNGAQSEVESSCCLIEVLEAQNEYSSDGETTMYSAETAESRNYPSPKGGRFHQVGRSQSCVNYNRWGPVSKNSAARRANQEQKRNIMQGRKVHSQGTTSHRSNDYYSPTVSSIMKKRNNMEAQIRPPRLSAGNSSPRWMF >Solyc06g072510.3.1 pep chromosome:SL3.0:6:44854904:44861648:1 gene:Solyc06g072510.3 transcript:Solyc06g072510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEKSAESNKKSKNNRDKKMTRKEPFFSSKAVYSESPQGIGLLQVNMEASYGTEWPVEEKVKRREMVSSEARYIFVYEISNVDDMLKLSKKERCNADGMDDKGHLVAFVHYRFTIEEEIPVLYVYELQLEHRVQGKGLGKFLMVLIELIAQKSKMSAMVLTVQKANMIAMNFYRNKLRYLISTMSPSQMGLQTNYELLCKSFDYEAKDGLQPVLVAAPKEPSRKIEMFSPAYYGACTFGGVMSCGLTHMAVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGARGFFRGWVPTLLGYSAQGACKYGFYEYFKKYYTDLAGPENAAKYKTLIFLAGSASAEVIADVALCPFEAVKVRVQTQPGFARGLSDGLPKFVRAEGASGLYKGLVPLWGRQIPYTMMKFASFETIVEQLYKNVIPTPKDQCSKSTQLGVSFAGGYLAGILCAIVSHPADNLVSFLNNAKGATVGDAVNKLGVMGLCTRGLPIRIVMIGTLTGAQWGIYDSFKVFVGLPTTGGAAPPAPVK >Solyc11g042880.2.1 pep chromosome:SL3.0:11:34281447:34287321:1 gene:Solyc11g042880.2 transcript:Solyc11g042880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVTIHAKSDSEVTSIDACSPRRALYYVQSPSHSQQHDVEKMSYGSSPFGSPTHHYHCSPIHHSRESSTSRFSASLKNNQLAVWKRIPGTNNYEDNEAEAENGEDVDYDNNSKSVKFYVVCFLFSFIVLFAIFSLILWAASLPYKPKVFVKGMVIENLNVQAGIDGTVVQTDMLNLNSTVRIYYRNPASFFGVHVSATLLELHYYKLKLASGQMKKFYEARKSKRIVVVVVQGHQIPLYGAISVLSDAKKQIQSVSLPLNLTFLVRSTAYILGRLVKPNFSIHISCQLTLKGNHLGKHINLTNSHSCTYS >Solyc07g053020.2.1 pep chromosome:SL3.0:7:61564948:61569762:1 gene:Solyc07g053020.2 transcript:Solyc07g053020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPNAVNFFICMNIQFIILVLELAVALFMSKTLVLCKMLLVIYMISIYILLVHCYHKGIGYTFYVSDEKFCRRKMSEVLLNAALPILMKDLFDKVDSQIRNVVGFEDEFDKMKSELVTLQSYLGDLDRHKEKSKSAEVASTKLREVIDRLDDLVSDCTNRVAYENMRKRIWSVISPRGMKFRNQVGKKLAEINRDIVSIRESLKIITPMISLCISEESGSSGGGSSWGRVRWTSDVIDESQTVGLAEDTNTLKNWILPFNGSLQLIAIVGMGGLGKTTLAQKIYNDRQVCSRFQGRIWVCKSTSGELDIMKSILEQLKKDDRGSDRNVLLKRIHEELSKKKYLIVMDDVWSIDDGWWRRILEGLPEAKKQGSCIIITSRNEEVVKRMGVKEEQIHRPKLLSEEEGWSLLCKVAFASSEGECKDIGLERVGKDILKKCAGLPLAIKTIGGLLSSKNQLCSEWKEVYQYLPHILADESKSDDSLMATLQLSYDALHPQQKQCILCFAIYPEDYEIEVDQLVNWWIGEGFIHKEWTKTPREMALECISKLISRCLIEAVRKRNYDGRVYSCKMHDMIREMIIRVAREESFCSLDKNNTNIATINCRRLGVTDETLLQPLDGNSNLRALLLTKAKYIGFTKKVALAQVKSLRVLDLSHLKFKESSEFCEDDMWRWITSLKRLNYLSFRDVENLTKLPRSIKKLWGLQILVLGECIKLEQLHRSIALLPKLIVLDVGNCTSLSYLPRGISKLIHLQELYGFKIPNARVSKACHLRDLKDLIDLRVLTLDVVEESMIEEDELTALAQFQHLRMLIINAGDRDDEIFLERLEKFLPPKSLKELYLRHFRGRTTPAWIAPKLLNGLQYLCIEDSFELHRLSDRFRGSDGKKWEIEGLCLKYLPNLEETWEEITSAMPGLKYVEVSHCNSLKSFPCDVEGIEFWRKTDLFAVHLCCISLMVFLISLLILLVVITIMSDYVVYMNLQLFSTTTNCSLRSFLLVHYIDFTHS >Solyc08g065240.1.1 pep chromosome:SL3.0:8:53185746:53186735:-1 gene:Solyc08g065240.1 transcript:Solyc08g065240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSNFSYESNCFHFKFVKHFKWNIMLKTVLVVFPRVDRINDYVIHQNEHKEHV >Solyc06g066730.3.1 pep chromosome:SL3.0:6:42034634:42045290:1 gene:Solyc06g066730.3 transcript:Solyc06g066730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Coatomer subunit beta' [Source:UniProtKB/TrEMBL;Acc:K4C7U7] MPLRLEIKRKLAQRSERVKAVDLHPTEPWILTSLYSGTLCIWNYQTQTMAQSFEVTELPVRSAKFIARKQWIVAGSDDMFIRVYNYNTMDKVKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWVCTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHLKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTRSCVQTLEGHTHNVSAVCFHPDLPIIMTGSEDGTVRIWHATTYRLENTLNYGLERVWAIGYMKGSRRVVIGYDEGTIMVKLGREVPVASMDNSGKVIWAKHNEVQTINIKSVGVDYEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAIRESTSRIKIFSKNFQEKKSIRPTFSAEHIYGGTLLAMCSNDFICFYDWIECRLIRRIDVNVKNLYWADSGDLVAIASDTSFYILKYNRDVVSAHLDSGRSVDEQGVEDAFELLYEINERVRTGIWVGDCFIYNNSSSRLNYCVGGEVTTMFHLDRPMYLLGYLANQSRVFLIDKEFNVVGYTLLLSLIEYKTLVMRGDWDRANAVLPSIPKDHHNSVARFLESRGMIEEALEVATDADYRFELAIQLGKLEIAKEIAVVAQSESKWKQLGELAMSAGMLEMAEDCLKYANDLSGLLMLYSSLGDAEGMAELASLAKEHGRNNVAFLCLFLLGKVEDCVQLLVDSNRIPEAAFMARSYLPSKVSEIVAMWRKDLNKVNQKAAEALADPEEYPNMFEHWQIAHAVEARVAEERGVYPPAADYGNYADRPTSNLVEAFSNMRMDEEEPLENGETDHEVVEQNGDEVQEQGQDGTQQESQEEAVVDAESTDSAVLVNGNEAEEEWGTNTEGKPSA >Solyc10g076830.2.1 pep chromosome:SL3.0:10:59897137:59900195:-1 gene:Solyc10g076830.2 transcript:Solyc10g076830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4D225] MESWLRILFLFACLFPAFVECRIRRYNFNVMMKTTTRLCSSKPIATVNGKFPGPTIYAREGDNVLVNVVNHVKYNVSIHWHGVRQLRTGWSDGPAYITQCPIQPGQNYVYNFTITGQRGTLFWHAHILWLRATMHGAIVILPKLGVPYPFPKPDHEAVVILAEWWKSDTEAVINQAIKSGLAPNVSDAHTINGHPGAISNCPSQGGYTLSVDPGKSYMLRVINAALNEELFFKIAGHKMTVVEVDATYVKPFKTDTIIIAPGQTTNVIVTADKGSGKYMVAASPFMDAPIAVDNVTATATLHYSGTLASSITTLTKTPPKNATTVANNFIDSLRSLNSKKYPAKVPKKVDHSLFFTVGLGVNPCSSCKQGNGSRVVASINNVTFVMPTVAILQAHFFGIKGVYTTDFPQNPPFKFNYTGTPPTNLATMSGTKVYRLPYNATVQLVLQDTGIISPENHPIHLHGFNFFAVGKGIGNFNPKKDPNNFNLIDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEIHTTWGLKMAFLVDNGKGPNESLLPPPKDLPKC >Solyc01g015040.1.1.1 pep chromosome:SL3.0:1:16658490:16658663:1 gene:Solyc01g015040.1 transcript:Solyc01g015040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRLSKQLQQPISTHTYNLHDGVNSLSIIPITHLHCMRLIVLSFMKVMAVRVIRCSI >Solyc03g053100.3.1 pep chromosome:SL3.0:3:24199568:24223051:1 gene:Solyc03g053100.3 transcript:Solyc03g053100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWLLFFFQVISKLTDGKATHIPYRDSKLTRLLQSSLSGQGRVSLICTVNPSSSNSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRRLKEELEQLKRGIVTVPQMKDSGEDLVLLKQKLEDGQVRLQSRLEQEEEAKAALLSRIQRLTKLILVSTKTSQSSRVPHRAGPRRRHSFGEEELAYLPHRRRDLILEDDNVDLHVSVDGNVDTSDDTFKEEKKTRKNGLLNWFKPRRRDSGSGTLASTSDRSSGLKSTSTPSTPQAENHMESRNSHSLPTESTPSAEHLSDVRLDKEVPEDNLLDPETPLASMKTIDQIDLLREQQRILSGEVALHTSVLKRLSEEATQSPNKEQVQMEIRTLKDEIRMKNEQIASLEMQIAESIISPSDKMDNQEETVSVAELLAQLNEKSFELEVRAADNRIIQDQLNKKTHECENLQEAIVSLKQQLSDALDQRNRNPSVAHSQRLSETKSLLVELRAEKESVALKDAKESLFLQAQAREIEELHKKVSELVEAKEQLELRNQKLAEESMYAKGLASAAAVELKALSEEVAKLMNHNEKLAAELATTQKSSSTQRKPSVAMRNGRRDPHPRRNEQNVLSAEMKRELALSRERELSYEAALVERDQKEAELQSKVEESKQREAYLENELANMWVQIAKLKKFQGVESDPSESTISESQRIDGFEVWDSVVQSSRRQ >Solyc04g049860.1.1 pep chromosome:SL3.0:4:43789952:43792262:-1 gene:Solyc04g049860.1 transcript:Solyc04g049860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNRMNSPSFLPLYDYESPPISDDEFKRFHMIDRKLYGKLLNVLGCEPAESMKVMAFFHWIEGVAKNRYFVANLFEFSSQLLSKIVDEALLCVKCTEDEKFTGNDYPDEIFLIPNILRRNFNLKYFYDNRASAFSGITNSLKTVCFRAFDDIHVVKQPNYYSRSTLFQTPNDDVINNPIPYAPIGGGGNLIESMIPSDNMIEELGTVNSSRMMSLINPSHFYSGPSNIEASRLMNPSHGSSLEELATRMVPFNPSLVPSASADEYTRVATRMVPFNPSLVPSASADEYTKVANRMVSMSSSHVPSVGGASNIEEYARAATRMVPSILGPVAGASNTDDQLARVPTRMVNPNLVPSHVSASNIEELARVAATRNSNLVPSHIEEIARAAATRMVPMNPNSHVNASNIEEIARASPSRMVPMNPNSHVSASNIDEYARAAASRMVPMNPSHVPSAGGEMLLRYPYGVPNQMVHQYMPPYHNYPRYGSSSGSGVFIPQMLPTPYNYHHDIGDISEMFKNNLNILEEETETAPEDRTVFLTFSKGYPISEAEVKEFFTRKFGDDVEAVYMQEVAEDEQALYARLVTRSPALLEAIVDGGKAKYNINGKHVWARKYIKKQNPKIMFLGQSSSSVPSTSH >Solyc05g013510.3.1 pep chromosome:SL3.0:5:6724529:6729010:-1 gene:Solyc05g013510.3 transcript:Solyc05g013510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphate transporter [Source:UniProtKB/TrEMBL;Acc:K4BY72] MTSSYSLCSIRNSTKFVANNSNLFFPRQRLCVFTNETQFPKKDFLVLKPQTCCFSFLRLKKSSFKHPFAALSSFAESDDEKGENFEIKSHQENTISSEDEDELPGMAQAFHISSRTASAISICIALAALILPFFMKSLGQGLGFKYKILSYVTILFGFYMAWNIGANDVANAMGTSVGSGALSLRQAVVMAGVLEFSGALLMGTHVTNTMQKGILVANVFQGKDTLLFAGLLSSLAAAGTWLQVASYYGLPVSTTHCIVGSMVGFGLVYGGTGAVFWSSLARVISSWVISPLLGAVVSFLVYKCIRRFVYSARNPGKAAATAAPISVFLGVTGISFVALPLSKTLNLALGQAITCGAISAFAVDRIIRGQLGHLLAKASSKEPETTDTKNIGFLSDIAGPKGTQLKIVYGVFGYMQVLSACFMSFAHGGNDVSNAIGPLAAALSILQGGLSAADIVIPNDVLAWGGFGIVAGLTMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLCASKLGLPISGTHTLVGAVMGVGFARGFNSVRAETVREIATSWAVTIPAGATFAVIYTWIFTKLLSYLL >Solyc09g082880.2.1.1 pep chromosome:SL3.0:9:68984263:68985177:1 gene:Solyc09g082880.2 transcript:Solyc09g082880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDNFASIARALSWGRTTYNNVQIFTQYQLTATIASLVIDFVTSISASEPVTINIVTVISAGNVPYAMLQVLWVKLMVGTLAAVALTIDGPGTKLMQQPPTDKNEPIITNISGKIYWVKRST >Solyc02g088952.1.1 pep chromosome:SL3.0:2:51510754:51511948:1 gene:Solyc02g088952.1 transcript:Solyc02g088952.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDCPYNKFSASASSSYKSDCLTSEKQDPESRFLCQIKSSSQSIDCSLAHCTVSVNCKSRNCAHPPSSNVLPTEDGFLDNEFSASASSSYKSDCLSTENASFKGTLELYNSSNVMHEKRKPFMLSGSLQRNLIHDESSFDSDEDIKFGKRKYRTKQNRLEYDYSVEFEIYLRSSPGGKEIYLRSSPGTELKARHCREKSALTKDILEEYDHRMDFFEQTAEITVSPLPSDSLLMTRFQHVNPDIAENGIGTSVEHERCITYTCGNMEHNFLVPAINNMR >Solyc03g121560.3.1 pep chromosome:SL3.0:3:71129698:71138072:1 gene:Solyc03g121560.3 transcript:Solyc03g121560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATTNFLLFFSTSEPFSPPYCHIHHHHQQQQQSVLSPLLLFFFTFSFCSLTILIFSLYSKLTKKKINDTHKHLIKVRQDSSIQQESKNDEKPEITHQSEPNHFTHSILLEILPSNSPKWAKLFGNELGDDAPNKIESALDAERVEQDKDKERTKKKKRAKKKRPDSNSEEEGKTDSGVVKEKEELVCLYPFTKSSSATQRKIKQHYDELVKSHESNGLTLAQVGQFVNCLVEARNELQHKSEVIQRRFTITKALLFKADRSSFVRLRQQIYKLELEQKRLEEDAFVYNWLQQQLKLSPAYKKMLEVGADMEMKAKSNELVDNTEADLPDISFEELLAQEKKDVFWQKNGRTKVYSS >Solyc08g016670.3.1 pep chromosome:SL3.0:8:8452305:8456102:1 gene:Solyc08g016670.3 transcript:Solyc08g016670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANDLALDLEELQQLHTIAKRPRVLSLIYTEIRNLEKLSKDGASVSSSQIPAPVLTAAKVIHKPSLNYVSVSSFSWDQDNDKVKIYLSLEGVDQEKTETDFKPMSFDAKFHDVNGKNYRFSLPKLNREIVPEKCKVLVKPSRVVITLAKASKGNWLDLHYKEDKFKPSLDKEKDPMAGIMDMMKNMYEDGDEEMKKTIAKAWTDARSGKAADPLKRYT >Solyc04g015930.1.1.1 pep chromosome:SL3.0:4:6389383:6389574:1 gene:Solyc04g015930.1 transcript:Solyc04g015930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQRKYAASYCNHVTLIESAAYHVGVRIITWLCVCLNLSLVKLVNIVLRVQPIDGWPIYFGRC >Solyc02g031865.1.1 pep chromosome:SL3.0:2:27621386:27622017:-1 gene:Solyc02g031865.1 transcript:Solyc02g031865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALISHISITALAAKPASVSPREALFVDSKLNGKDLRIMVDIGATHNFVTEQKAKELGLSYVASKTKLKTVNPTPTTVHGFAPEVPIDLGDWTGQTDFTIAPMDVFDVILGLDFWYDVNAFISPRPNQLHISDTGDYLGLQERRANISCHLIEDAEICTEAVPMPPCIEQVLSNNKDVMPTEIPQRLPP >Solyc12g008940.2.1 pep chromosome:SL3.0:12:2246774:2252333:-1 gene:Solyc12g008940.2 transcript:Solyc12g008940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNPKDNFNVADLSAALNAGDRADLVNVLKNKLQDLTGKHTNLLENLSPNVRKRVEVLREIQSQHDELEAKFFEERAALEAKYQKLYQPLYTKRFDIVNGVVEVDGAVTEAAADQEEDKDAEGKGVPDFWFTAMKNNEVLAEEISERDEGALKFLKDIKWTRIENPKGFKLEFFFDTNPYFKNTVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKILKKKPKKGSKNAKPIMKTEQCESFFNFFSPPQVPEDEEDIDEDAAEELQGLMEQDYDIGSTIRDKIIPHAVSWFTGEAAEDDFADLEDEDDEDDDEVDDEEDEDEDDDEDDEDDEDEDDSSTKKKSSSAARKKIGRAHAADGPAGERPPECKQQ >Solyc01g098805.1.1 pep chromosome:SL3.0:1:89093582:89097170:1 gene:Solyc01g098805.1 transcript:Solyc01g098805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNIGPAKHPAMAMAGWPAFATATSATRSPTEFPHASTVKPRKAAGSFRSSPRTARQLRSSPATVEIQNTLITNAITTAGICSVLGASFSTMKCTTAKEHVAQSTMNQRGKWENRRRRGQRAMLEDKQNLFHSEGAVCEFRAMRNAMLLAPYSFPSLHLKSMEMKFIPHFDIHYASVTSGTAPCKFNEEKEKTKNYKQNLGHTFPKRIELNNPYMNFITSNQTHLTSKLTPLLFGTTHPQVHPKYKSNKEDIKRNKPNSLPEENLST >Solyc01g081177.1.1 pep chromosome:SL3.0:1:80335681:80339553:1 gene:Solyc01g081177.1 transcript:Solyc01g081177.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIYSRHACVKWVVNVHYSGEVDDLLPLGGAANVATAAGGPASVRSGQSPTGTTRYGIKVIVMGVMLEINQLDHEIWWCCIFIREQLQETWRNIRFGCWRCLAKIGFFDGYCPESCRGEADQGQTGAVKLLGKQWREKQMRKITDKVFNRFKDETGKAYLKFEDLYIAVLLVYNDINKLLPGPHFDPPSKEEECDMNLDGELDHEEFVKFVKRLTKDTFITVSQGLIITLAVAPTVAVLTKRTTEGVPGVGKVVQKIPNAVYASLVTLTIVMFQKAAESKGI >Solyc03g117180.3.1 pep chromosome:SL3.0:3:67875749:67879942:-1 gene:Solyc03g117180.3 transcript:Solyc03g117180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLLILLIPIWVFVTPLLSAPVDVTPQPPSADPVLPTEKGPIFQISSDKDAPSPGVAEFKVVHHQDLNKKILISLIVASTLLAGILLFISCFWIYRLKTRKKSVEQRHQKGEPAKGLSWGPIMAKFPSLKTVGKKGLVAVIEYQSLVAATDNFNEKNAIGEGRSGCVYKAQFSDDVQAAVKRTHGGDQDAEKEFENEVDMLSKFQHQNIISLLGYCIHSNAQFLVYEMMQNGSLEFQLHGPPRGSALTWHLRMKIALDVARGLEYLHERCNPPVIHRDLKSSNVLLDSNFNAKLSDFGLAIAGWNLSKSNIKLSGTLGYVAPEYLLDGKLTDKSDVYAFGIILLELLLGRRPVEKLEGAQCQSIVTWAMPQLTDRSKLPNIVDSVIREGMDLKHLYQVAAVAVLCVQPEPSYRPLITDVLHSFIPLVPIDLGGSLRIADSALSISA >Solyc11g039917.1.1 pep chromosome:SL3.0:11:41346818:41348469:-1 gene:Solyc11g039917.1 transcript:Solyc11g039917.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCVHCRAINKITVKYRHPMPRLDNMLDQFVYHQILMTPGDEWKTTFLWWYILIVSTSLEEHMEYLREQQLYANLATCTIFVDKLKLFKVDEDKTKVIKEWPIPKCAIDVRSFHGLASFYRRFVKDFRKLVLLLREVIKSISEKLSGATLNYSTYDRELYALVRGLTTWSYYIRPREFVIKTYHESLRDSDFGVAYNSCLKDPFEKFTLRLPKMRNVKDSVFIVVDRFSKIILEIMLTNWIFLVSIRG >Solyc06g005230.2.1.1 pep chromosome:SL3.0:6:247456:249951:-1 gene:Solyc06g005230.2 transcript:Solyc06g005230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLNSLFFVLLLGFFCVCSGFDPVDEYLIDCGSSKDTNFGNRVFVSDKSGSGSKFLSVSQDILADNTKSSDSPIYQTARVFTQTSSYKFPISKLGRHWIRLHFSPFVYQSYDMSLATFSVSTQQNVLLGNFSPKKDVSVKEFSVNVTTGDLVITFSPLSDSFAYVNALEVVSAPDSLITDDASTFGPSGVFSGMYAQALETVARVNMGGPAVSSENDTLWRSWVPDKDYLTQPDFAKSLSKIGSVKYLAGGATSDIAPPSVYGTCTKMNVDGAGNDASANFNVTWVFNVDVGFQYFIRLHLCDIVSTAANQLLFNMYVDSSNIASDFDLSSKVQSRLATAYYMDFVTPQANTNNISISIGPSPKSGFPDAFLNGVELLKLNNSRGSLADVSSIPPPSSSGSKKNIGVIVGAVVGVTLVLLVIGFLFCMRRRRKQEQLGLSKTWIPLSIGGGGLSHTMGSKYSNGTTLSAASNLSYRIPFAAMLAATKKFDESLVIGIGGFGKVFKGVLNDGTNVAIKRGNPSSQQGLREFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILVYEYMENGTLKSHLYGSDMPSMSWKQRLEICIGAARGLHYLHTSYAKAVIHRDVKSANILLDENMMAKVADFGLSKAGPELDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKKGQLEQIIDPNLAGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVIQVDPDENSSNLIGELSPQVNDFSHVDATTSAMQFEASNLDDLSGVSMSKVFSQLVKSEGR >Solyc11g042610.2.1 pep chromosome:SL3.0:11:35897654:35900139:-1 gene:Solyc11g042610.2 transcript:Solyc11g042610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEASVVAVDNQKPQQEKPHTDVLLFNRWSYDDVQIADISVEDYITATANKHPTYTPHTAGRYQAKRFRKAQCPIVERLTNSLMMHGRNNGKKLMAVRIIKHAMEIIHLLTDLNPIQVIVDAVINSGPREDATRIGSAGVVRRQAVDISPLRRVNQAIYLLTTGARESAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Solyc03g097780.3.1 pep chromosome:SL3.0:3:61544145:61550468:-1 gene:Solyc03g097780.3 transcript:Solyc03g097780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLLKFLLAACLASGLAAILIYITGVDTLHGSYHLSEEDLEALHSLQSGFRKCVSANGLGLQAASGRDYCEVTMKFPGETVPKWKDPKTGELEGLSYELNLCEAVATWEQVRNSTTVLTKEYIDALPNGWEDYAWRRINKGVLLNQCENRTLCMEKLSLVLPERPPLFPRQYSRCAVIGNSGDLLKTKFGDEIDSYEAVFRENGAPIQNYTEFVGTKSTFRLLNRGSAKALDKVAELYERGKEVLIVKTTIHDIMNKMIREVPILNKVYLMLGASFGSAAKGTGLKALEFALSICDTVDMYGFTVDPGYKEWTRYFSESRQGHTPLQGRAYYQMMECLGLIKIHSPMRADPDRVVKWLPSRSTIIAARIASEKLLRRVGAGSTNLLATCSIIKKQQKGNDVDMSNLRKAAVEHRKYVKGTTMYPLEHNPGHGQLCTVPNKLIVRKLN >Solyc01g009820.3.1 pep chromosome:SL3.0:1:4193880:4200804:-1 gene:Solyc01g009820.3 transcript:Solyc01g009820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSLKKLRGLATLRHERKERRLRQPSDELAMAAQDMQEMKDCYDRLLSAAAATANGAYEFSESLREMGDCLLEKTALNEDEESGKVLLMLGKLQFQLQRLVDNYRSHIIQTISVPSESLINELRVVEEMKMQCDDKREVYEKMMQKHRDKGRVKGSKGEHISSHQLQVAYEDYDEGANVFVFRMKSLRQGQSRNLLTQASRHHAAQLSFFKKALKSLEEIEPHVKLVTELHHIDYHFRGLEEDDGVNAGNDNENSSEDDSDVGSESLDDGELSFDYGQNDQVYTSTHSMELDKVDVAVSEVASKGASKENLSKIHGGNSFSFFRDVNITKSAPLLSGRKPDPAEGAARMTSSLSNKFQPYVLPTPVEVKIPESVKSHNIDLQTKRTSQTSGVVQKWHSSPLDQFKYEKLMAGDKFPGPITVNTQSVPTESKNNASTGWLPPPLSEGLSSAQRDLSNAKKVKRQAFSGPLTAKPWPKKPIVSSGSPIASSGYPLHFSLPFLHTSTPEPSSTPKLSSRASLMSSPKISELHELPRPPAKITTTRPLRPVTRSGPLVSKVQDFSPTNKAAVSSAASTLPTPPALPRSYSIPSRGQIETSLHVSKPLEDMTSSPPLTPIALVKDRHTSPASQPPS >Solyc03g058150.1.1.1 pep chromosome:SL3.0:3:25291045:25291200:-1 gene:Solyc03g058150.1 transcript:Solyc03g058150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVYWFLLFYRLQHVNKSGAPKKLSLKFTSHSKTQQQPPSFIHFMQNHLYH >Solyc07g055065.1.1 pep chromosome:SL3.0:7:63348319:63348532:1 gene:Solyc07g055065.1 transcript:Solyc07g055065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFDRGKELVEGSSPATPSRYESQKRRDWNTFGQATTCWSSLGT >Solyc06g033940.1.1.1 pep chromosome:SL3.0:6:23519138:23519398:1 gene:Solyc06g033940.1 transcript:Solyc06g033940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQQLLIAFCFYSIFSQQSQLTYAGTARDEAFYLLQFRQGLRVDSNSVLCENKARTKNLSWDVTGDCCEWDGVTCNEFTGHVIFL >Solyc01g058733.1.1 pep chromosome:SL3.0:1:67940865:67942019:1 gene:Solyc01g058733.1 transcript:Solyc01g058733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEWFRQAHQEEFSDVLQQKLVQPRRKRDGQINTDLKHKNHNNASDEERNCLEKLHAKSFRCLKNEYSQSNQQKVRLVGDQKSSVSIK >Solyc03g123930.1.1 pep chromosome:SL3.0:3:72139889:72140659:-1 gene:Solyc03g123930.1 transcript:Solyc03g123930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLIAVLAIVLVGLLYGFFIAIICGQRISERHYHVLAKKELTKEYVVEDRERLTKDVPVLDPNHVTELRMLGLY >Solyc05g026420.1.1.1 pep chromosome:SL3.0:5:41203936:41204154:-1 gene:Solyc05g026420.1 transcript:Solyc05g026420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSRRILPPITLPPSLFSLSDIPYLLFLLLFLLSLQVQQHPTGQQPLATAASAEMSRITGKQKSELQGCF >Solyc07g062105.1.1 pep chromosome:SL3.0:7:65047106:65051261:-1 gene:Solyc07g062105.1 transcript:Solyc07g062105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGPGNHVHMNSCVDRWSALGWKLYRNNFPIAAPHLKNKGYNQCLNEFIDDTSMKFLAFNNRNIAIFRPYLLRQHVFIDEYCELRLSWTSHNGFLMEKFDSKCGEEIHAESAAKVVTYIQSGKAIDLSQLFCNLCYQV >Solyc12g089240.2.1 pep chromosome:SL3.0:12:65332325:65335050:1 gene:Solyc12g089240.2 transcript:Solyc12g089240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIQCDVCNKKEAIVFCTADEAALCDDCDHRVHHVNKLASKHQRFSLVQPSPKQAPMCDICQERRGFLFCQQDRAIMCRECDIPIHKANEHTQKHNRYLLTGIKLSANSALYSAPSQSQSQSQSAISSADSCVSNLKSKDSTSKPVAGSVFVSPAIISNSTKGGAVSSAVESVKVVKEKVGGCNNNVQFVNGGGNNLTSSISEYLEMLPGWHVEDFLDCSTPNVYSKNIGDEDMLSFWDTDLESQFSSFPPQNVGIWVPQAPPLQESKQETQIQFFPSQNLNFGGQIGLKESREVTNIKSSRKWTDDNSFAVPQMKPPSTSFKRTRTLW >Solyc10g086490.2.1 pep chromosome:SL3.0:10:65415480:65421600:-1 gene:Solyc10g086490.2 transcript:Solyc10g086490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNGIGRLKFCFAGEISKRRHDIGVDLMDSFDKGLGHSFCYILHDSSSKNQHFLEDSSSSATTTISSSSSSTSQTNAFRTISGASISANTSTTPLSTALVDVSNTYIEKSSAFESSQWFSSFPLQPIPRRSIHSICSGPIPRVSNSGSGPIERGFLSGPMERSFTSGPLENPYDQQLQRYKPESSKWDIVRNLKKVLSMNLAEKKNIGSLISVNRLYEDDENNGPFQSRNVQWAQGKAGEDRVHVVISEEYGWVFVGIYDGFNGPDATEFLLKNLYSNVFKELKGLLWTDKSESSENLMCNDTVIVQNQEVDQSKIDHLDVLNALSEALRRAEASYLEITDTMVNENPELALMGSCVLVMLMKGNDVYLMNVGDSRAVLAQNPEPDRSIGNLGPINEGSRNSIDTLYRVVSDRKHNLTSCQLTTDHSTSVKEEVLRIRSEHPTDTSPIKNGRVKGSLNVTRAFGAGFLKQPKWNNGLLGVFRIDYVGSAPYINCIPSLYHHRLGLRDRFLILSSDGLYQYFTNEEAVSEVETFMSIFPEGDPAQHLVEEVLFRAAKKAGMDFHELLDIPQGDRRKYHDDVSIIIISFEGRIWRSSV >Solyc06g054313.1.1 pep chromosome:SL3.0:6:37240365:37245313:-1 gene:Solyc06g054313.1 transcript:Solyc06g054313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNWLVKKKHMRIAVNSQAKKKKMSATEQSREEEDKDNYGTVYSNDDCDPEALSLPKGSKADKKVTDGSERRLSTKKCYASTSMEGATSFFKFKVTKTSFSKFLLNILTDRNLFKLNKASQFPKVHDQEP >Solyc04g011540.3.1 pep chromosome:SL3.0:4:3971963:3975060:1 gene:Solyc04g011540.3 transcript:Solyc04g011540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVFGIMEVNLVSARGLKNNEFWGGGIDPYVLIQYRGQERKSSTIRAGQGSKPEWNEKFTFKIEYPSVDGQYKLILKLMDHDTFSSDDYLGEATIYLKEFLEVGLENGRAEVHPKKYSVVGSDQSYCGEIQVGITFTPKKATHDVEEEYGGWKESNDHS >Solyc09g098115.1.1 pep chromosome:SL3.0:9:72516521:72518104:-1 gene:Solyc09g098115.1 transcript:Solyc09g098115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTQEIQQSNSTQIQSVEISIQNLIKNWEKKQRWNFFIMNNPSQQPTKQQWRTNLTKFLESTPLRIFTIVLLIIDLVFTSLELSSSLISCPQNRNAINQETKEVWYHWAGIGILGLLFLKNVGLIVGLGCAFFRRPGYLLDGIVVMVALFLEAYLEKNGGGLLVVVSLWRVVRVVESAFELSDEAMEAQIEEIVCQFEELKEENKRLMDSVVEKDKQIQILQQELDQYKKSTY >Solyc01g006800.3.1 pep chromosome:SL3.0:1:1364188:1371921:1 gene:Solyc01g006800.3 transcript:Solyc01g006800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHFFVCKCRKKKEPTQQTDPPSIPVVELYPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLEKPTYNSVRQAAEVHRQVRKYIRQIAKPGMLMIDLCETLENMVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGRIVDCAFTLAFNPMFDPLLEASREATNTGIKEAGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGQYQIHAGKSVPIVKGGEQTKMEEGEFYAIETFGSTGKGYVREDLECSHYMKNFDIGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDAGIVQPYPPLCDNKGSYVSQFEHTILLRPTCKEVISRGDDY >Solyc12g019970.1.1 pep chromosome:SL3.0:12:12761296:12761741:-1 gene:Solyc12g019970.1 transcript:Solyc12g019970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTADIHPARMLFAMLKLTDCGKGIEYVAGGNTPERSCNSDFIVRSFPIKFKLANPFITASC >Solyc09g082550.3.1 pep chromosome:SL3.0:9:68691601:68697487:-1 gene:Solyc09g082550.3 transcript:Solyc09g082550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGINADFDDQYSHHHYQRVEIPPPKPFLKTLKSNVKETLFPDDPFRKFKNQPLSKKISLGFKYFVPILDWAPRYTLQLFKADIIAGITIASLAVPQGISYAGLASLPPVIGLYSSFVPPLIYAMLGSSKHLAIGNVAVPSLLISAMLGKVVNPHENPKLYLQLVFTATFFAGVFQASLGLLRLGFIVDFLSHATILGFMSGAATVVCLQQLKGILGLLHFTHQTDIVSVMTSIFTQIHQWRWESGVLGCCFLFFLLLTRYFSKMKPKFFWISAMAPLTSVILGSVLVYFTHAEKNGVQVIGHLKKGINPPSYSELAFSSQYLAIAIKTGVVTSIIALAEGIAVGRSFAIIENYDIDGNKEMIAFGLMNIVGSCTSCYLTTGPFSRTAVNYNAGCKTTVSNIVMSIAVMITLLLLTPLFHYTPLVVLSSIIISAMLGIIDYNSAIQLWKVDKYDFFVCISSYIGVVFGSVEVGLIVAVAMSLLRILLFVARPKTFVLGKIPNSMTYRNIEQYSTASSVPGVLIIHIDSPIYFANASYLRERISRWIDEEEEKQRTSTEIELQYVILDMSAVGNIDTSGISMLEEVKRNADRRCLKVLLANPGGEVMKKLDKSNYIDKIGKEWIYLTIGEAVNACNYILHNCKFQSKRIDSSVTPDDSV >Solyc06g006020.2.1 pep chromosome:SL3.0:6:1036210:1039616:-1 gene:Solyc06g006020.2 transcript:Solyc06g006020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSSTLLFFLIIFILLLLHANANNISTDEAALLALKSHISNDILATNWSSSVPVCSWIGITCSSRHHRVTALDISSMQLHGTIPPHLGNLSFLSSLDISNNTFHGDLPQELARLQRLKSINVTSNNFTGAIPSFLSLLPNLRFVHLSSNQFSGKIPSSLSNITKLQRLYLDRNFLEGEIPREIGDLRYLTILDLQINQLSGSIPPSIFNITTMHVIALTGNNLTGNLPKTICDHLRDLEGLYLSKNSLDGVIPPNLEKCRKLQKLQLGENKFIGTLPRELANLTALTYLYLSELHLEGEIPMELGNLQKLQELDLALNELTGSVPHSIFNMSALQYIDFGENNLSGTLPSDLGRGMPNLEIFYCGGNDLSGFISDSISNSSKLREFDLSQNSFTGSIPKSLGNLEYLELLDLLWNNFVSDSTLSFLASLTNCRNLRALTLAGNPLDGVLPASVGNFSNSLQIFEAYNCTLKGVIPREIGNLTGLTRMSLFNNTLTGHIPNTVHGMSILQELYLLNNKIEGTIPDVVCNLKRLGALLLSKNHFSGSVPFCLGNITSLRILHLYNNKLDSTLPSNLGNLQDLIELDVSFNLFSGEIPMESGNLKAATHIDLSNNCFSGKMPSTLGGLDKLIHLSLTHNRLEGPIPESFGKMLSLEYLDLSYNNISGQIPKSLEALVYLKYLNFSFNELSGEIPTGGPFANVTSKSFLSDDALCGDSRFNVKPCLTKSTKKSKRKRVLMALYILLGIGSLFTLTVGIVVLRLRNTKKNATQKDVSLVKGHERISYYELEQATEGFNEANLLGNGSFSRVYKGILKDGIIFAAKVFNVQLEGAFKSFDTECEVLRNLRHRNLTKVITSCSNLDFKALVLEYMPNGTLDKWLYSHNLFLNLLQRLDIMIDVASAMDYLHNGYSTPVVHCDLKPSNVLLDEEMVAHVSDFGIAKMLGAGEAFVQTRTVATIGYIAPEYGQDGIVSTSCDVYSFGILMMETFTRTRPSDEIFTGDLSIQRWISDSFPGELHKVVDSNLVQPGEEQIAAKMQCLLSIMELALNCTLVRPDERISMNDALSALKKIRLQLVSSRH >Solyc07g021170.2.1 pep chromosome:SL3.0:7:16613467:16614043:1 gene:Solyc07g021170.2 transcript:Solyc07g021170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQLDSRKMFILYYTYACHYNMQVKLLFLVVQSELAYGKIGEWRFDKRSYIKGPPLKNLSLPPPNVPESVVCNIKHHSFQENQLSLKATSEE >Solyc05g024310.1.1.1 pep chromosome:SL3.0:5:31071607:31071792:-1 gene:Solyc05g024310.1 transcript:Solyc05g024310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDFAAKHNITPHVEVVTMDYLNTTLECLLKMDVKCHFLLDNGNTLNKNENINREDLHSVV >Solyc05g046210.2.1 pep chromosome:SL3.0:5:59242632:59243635:-1 gene:Solyc05g046210.2 transcript:Solyc05g046210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGKLVSEINIKCDGDVFHEIIMYKPHYMCNICLDKIQNVDIHEDGKEMVAKEVIEEIDEEKKLVKKKMIEGDMLEYYESFYLTIHVETKEENNLVRFWNIKRRMCMCLIHRLSWNYVSISLKILRVTTSSDT >Solyc01g100420.3.1 pep chromosome:SL3.0:1:90328142:90336825:1 gene:Solyc01g100420.3 transcript:Solyc01g100420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFLILGRRSTQLSRIPTRELFLFSCSFSSQSPNNSISNYRSTIFYSWYNSSNRRNKGFSSIFNGRAKNPSGSIWGVRFLSSEAAVEPTTADGLTVEGIIAKNWNILDDSEDDWKSHASSIAQSVHLIKKRLKWKRLMYRLEVLSAQLNKADLWDDPVHAGKISREHGSLMNKVEDVMAIEQELVEHIDMIKLAREENDPELESESVKALLGIRRNVKEKELEALLSGEHDNCSCFIEVQAGAGGTESMDWASMVMQMYKMWAQRKGYGVSVVDEMPGEIAGIKRATIKVDGENAFGYAKSEVGVHRLVRISPFDSAKRRHTSFVAVAVIPILGDGSTHVQINESDLRIERYRSGGAGGQSVNTTESAVRITHIPTGITASCQNERSQHSNKASAMAVLQSRLDQLEMARQAQMNAQHTQSLTEISWGNQIRTYVLHPYRMVKDLRTNYEVSDPDSVLEGDLDDFILSYLSTSIDKDEE >Solyc02g081086.1.1 pep chromosome:SL3.0:2:45727103:45727904:1 gene:Solyc02g081086.1 transcript:Solyc02g081086.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLRNLLQMTTTIAIEDVRREVKILRALTGHRNLVQFYDAYEDEENVYIVMDHSLGLSDYVTPDERLNDIVRSALCVTVYAGSDS >Solyc05g041240.1.1 pep chromosome:SL3.0:5:51721736:51723286:1 gene:Solyc05g041240.1 transcript:Solyc05g041240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANISVIMDLQPLSGIEYIILVKIVFQQVLVLILCHSLRRQCQNRRDVRCCMSVRVPKIISHLYYIINDNDSVYIDKLRIDRSVFHSLVLITKNIGVATDQVENDMLDAADSQLAEVAKDAKTTKDPTYAKILNSTLTTMLGWAEKSLLAYHDSFDAGNIKSMSTVVSIGVSASRSFVEDISNAYRQRFKGEFDVARSRIDTYIRSSLHTTFAQASNTFNRSISAVWLKAYEYGHQSNPLPILSILAKDSGEQASKEKEFFSPILKRRHSFAAEVVVATLHVCYGNDLKQCFCIGLWCIYLTQNPKHYILCFCVKNQERIQVKW >Solyc09g018955.1.1 pep chromosome:SL3.0:9:17749328:17764283:-1 gene:Solyc09g018955.1 transcript:Solyc09g018955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTYPSKPAENSNKSSSMLDDPFVVLESTSVPANSSGGEFSDPLEEIGKLGKSGMAKTGASSVSGGVFDDFNKPVRPFSPEKKTGGKDRSPSKAGAGRSDAHNSPSRENIETPPFRYSESHSQKKVPGDSFQESPHFNMSSEDPLRSLGEAAPLNADNDLHETNFQVDTSPRSEDQVQASEDSWLTVSEIPLFTQPTSAPPPSRPPPPIPRRCSKSEASFSASNARKGEGYSSSPNHYQYSQSPKRVRPAVKSPPVSQLDELEDFARGWSKSSIDENADALSGEDMNGNSVAAASAAAMKEVMDRAEAKFRHAKEVREREYAKSAKSKEAVHLERDEQAINEVQEREFQGNRERLENERRQWEKEEEERAQKKLERERERSRELEKERARQAVERATREALERAAAEARERAAAEARERAAASARMNQQRNDNDLESFFSMGRASSAPKTRTSDNIFDSPFQNKAGPEGPKSTAGVASSNIRKASSTTSFVDDLSSIFGASASSGEFQGVEGETEERRRARLERHQRTQERAAKALAEKNQRDLQVQRDQEERHRISETLDFEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLIMDASVKKVYRKATLCIHPDKVQQKGANLQQKYIAEKVFDLLKEAWNKFNSEELF >Solyc06g066477.1.1 pep chromosome:SL3.0:6:41844198:41846242:-1 gene:Solyc06g066477.1 transcript:Solyc06g066477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVSNCSITLPPTQQVPLPKEYGVTKPLSLAGPMEADIQRTKELEKFLVGAGLYESAEEAAKREGVLCQLKQEEFWEIRKNSKLVETQRIEYEVNTSVLEDERQQ >Solyc07g006670.1.1.1 pep chromosome:SL3.0:7:1528452:1529837:1 gene:Solyc07g006670.1 transcript:Solyc07g006670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITKICEELIKPSSPTPIELRDHKISFIDELIPHSSIPLILFFKKSENITRSQICNHLKSSLSQTLTQFYPLAGRIKSQYSIDCNDEGAYYQESQVDVSLLDIIKNPKSNELVQLTPYNSNGTLSNFQELLAIQVNLFTCGGIAISISISHKIGDASSLCNFIKYWSNACEGLIRSRTRSPEMLLHSRQSTFLKNPSDIENDKVRKDSVFISLPSIFPSRGTIDNTLNVNSMPLRIVPEKLAVKRIIFTSSNIVKMKAKLVNWGYNENATRVEVIIGLLWKCFMVAKGCNSVAIIPVNIRQRIVPPFDENSFGNFFLVTSCMASVENEWCSLVGKIKSAIGSIDGNYVEKIRGDDGFEFVDSNFRQVGKLMRSQGDDFRVLTISSWCKFPIYEANFGWGESILTIVATLGVKNNIALLDSKEFPGGIEAWVVMADQEMTLFEQDKELQHFTSLDAIGDLN >Solyc04g009980.3.1 pep chromosome:SL3.0:4:3296746:3299323:1 gene:Solyc04g009980.3 transcript:Solyc04g009980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVANDNPSNDVVDILSTPRLSRYESQKRRDWNTFCQYIRNHHPLMSLLQCSSIHVLEFLRYLDQFGKTKVHNSNCPFFGMINPPAPCACPLRQAWGSLDALIGRLRAAYEEHGGNSEMNPFGARSIKLFLRDVLQV >Solyc04g071000.1.1.1 pep chromosome:SL3.0:4:57949033:57951066:-1 gene:Solyc04g071000.1 transcript:Solyc04g071000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Clade XIII lectin receptor kinase [Source:UniProtKB/TrEMBL;Acc:K4BT92] MRFCLQNLIRVTLFFIFTINSPSLSVPFLPINNVTLYGDASFTAKSITLTQDRNCSSSSTPPISGIGRAFYTYPVRFLDSLTNNTASFLCTFSFTILPTPSCPFGDGMAFLVTSDVDSLSISDGYMGLPNPDSEDSFLAVEFNANDNRIGVDTKEIRSLASASVDSAGIDLKSGKEMAGRIEYKDSEKIIRVWIGYELQIRPPNPVLSTRIDVSNQLNEFMRIGFTAKGSAVYSISRWRFRTFGLISSPISSSWDQSDEGNCLMCFPEEEIGGHISDSHHSSSTSSKSLLKLTYGGLAAIVTLVGCALSVVFVLALRRKKRDRVGENKERQMCRLQGNRVPQRLSLSEIKSATECFNHERIIGEGASAVVYEGEIPSRGSVAVKRFVHGSRLGPSHIPFNTEFASMVGCLRHKNLIQLQGWCCERNELVLVYEFMPNGSLDKILHERSHLTKFLTWERRLNIVIGVSSALMYLHDECENHIIHRDVKSCNIMLDAEFNAKLGDFGLAEVFDNSKTRDATVPAGTMGYFAPEYVYTGIPTVKTDVYSFGVVVLEVASGRKPIDEGGGLITDWVWDMWEKGRITEAADPKLMGRFQKNEMDRMLIVGLSCVHPDHEKRPRMRDVFRMLKDEAPLLILPPMKPTVRLQSILPESCEEIMNWAARMEDTPWSTPRTHFSKN >Solyc05g024490.3.1 pep chromosome:SL3.0:5:32237338:32240588:-1 gene:Solyc05g024490.3 transcript:Solyc05g024490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVQVNRLMRYQLTMKIMIEDSRFLILYSLRRWFSVWIGGNILASISLLLSTNVVFKVRIHRARGTLR >Solyc09g015680.1.1.1 pep chromosome:SL3.0:9:10705247:10705402:-1 gene:Solyc09g015680.1 transcript:Solyc09g015680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFECRSRQGVDSGGEIMKVVDSGGEIMKVYLYMATIEDPREVHLGIIVVI >Solyc11g042640.2.1 pep chromosome:SL3.0:11:35824004:35827727:-1 gene:Solyc11g042640.2 transcript:Solyc11g042640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTLSPKLATGILHFPSHRHHRKLPSLLPVNFNSSTGNNFGCIRCSNVKVKTVKCKATDVSVAEGSSAANWVPVVPLSALPKGERRVIIQDGETILLLWYKDDVFAIENRSPAEGAYSEGLLNAKLTQDGCIVCPTTDSTFYLQNGAIKEWYPNNPVLRVLTPALRNLFVYPVKTDDENIYISTRGGKVDASAEIVFSGKAQPGVTATDVNVDEVRMVIDEGQEAFGFTRRNELINGKAAVIGFLLLLDFELLTGKGLLKGTGFLDFIYSVSDAFN >Solyc02g092880.3.1 pep chromosome:SL3.0:2:54471984:54473384:-1 gene:Solyc02g092880.3 transcript:Solyc02g092880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLTVIKPSRSDEVLDEDEQLRIANQVKALFDAQAPKRLAKPNRSEPDSVIPNSPLEDFPIPELDNLRSLQSQGGSFFPETNCSEQDESVETQYYNELVSIDKQHHTTGSGFIKVVNQTNGNIYDLQLNGGYENGRAKEFKTNPATNDWIPSKDDYQVGFTSSKPSRSEGD >Solyc08g083110.3.1.1 pep chromosome:SL3.0:8:65791078:65791524:1 gene:Solyc08g083110.3 transcript:Solyc08g083110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADTLNQNTFSNKKRSSGSDDCDHHDDTLFVSKKQSKSLVWEDPAAALANARHEFGEHGGVNMSIEASATFTVMEPETMRRMFAGELGPDRDFFIYSRHFNPTVLNLGRLMAALEGTEAAYCTASGMSAISSVMLQLCSSGGHLLPCP >Solyc08g059657.1.1 pep chromosome:SL3.0:8:44512729:44515677:-1 gene:Solyc08g059657.1 transcript:Solyc08g059657.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMPPSSSQSVHGGYYNNNTTSSGNGRGISNFQNNGYRNKGYGDGRTGYSAGKTQLYCEFCHYKGHTKETCYKLHGYPKKKGGVSSYANNAASASNESGMIDSTSSSNARINESSNDTSLGQGVSMFTQEQYYEILQMLRKGKSKEVDTMANVATAGVSGTSGKITALMSDMSQINWIIDTGASNHMVHNFGLMSQSANLDVQGGMRVNLPTGDQVSISHIGEPMILKDKVLSNDQGSSGKYAKEDACKRNPISFNSTVESASSLWHKRLGHAPLKVLSRIKDLNIVSVNDQHYHLFPVLDLQDSLCTESFESESDKTFIPGLNPTTSIQPQTSSNTTTPLVPLRRSSGQSKPPIWMDSYVTESAQSKDLLVILVYVDDLLVTGSSLHHIQQIRKDLQLRFRMKDLDELKYFLGIEFSRSKEGILMNQRKYALGLVAKLGLA >Solyc05g055905.1.1 pep chromosome:SL3.0:5:66203285:66204740:-1 gene:Solyc05g055905.1 transcript:Solyc05g055905.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSHLKWYPDIGTKCTLNPVTLKFTEFLKATASGKIEGVSAEKFETEFERTKLPAYIFGAMIPCIRLYANLGKELLMFLQGNPTHQYRIIIRNYASESLEVCGLQELAKKNEKLLDKLSITLTTHELSIVEKLYQKAMEIEVGFLLGQPLDQKCVIPISREHNLDEERLVIFFKFDSTCSVVDSSAILAEMTIVSAQKSDDNQPKNKPENESENQPENQPENQPENQPENQPENQPENQVVRLLSEVVKSTWDDICKDYTDEYEQCISTLLLTHEKGKHSFSYFTAEKLDYERLCIALEQLSDFEKRANTRVSESGVLRGLRLDEIKRVGELMVLQDGCKNFFQSIIKNEQLNADIHALSCCWCSDLIRSNIMT >Solyc01g020435.1.1 pep chromosome:SL3.0:1:30750236:30754830:-1 gene:Solyc01g020435.1 transcript:Solyc01g020435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMDPDGEVDLEELGSSPNGSTSTSGFLHGESRLKDDSFIYLVLYVDDILIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQRSWREEEKEYISRVPYASAVGSLMYAMVRTRPDLAHAVTVVSRFMGQPGKEHWQAVKRIFRYLRGTSDVGLIYGGDTQCLLEGNFATYSDFVYYGSGVHGLDRGCKRRDLAERAG >Solyc06g007650.2.1 pep chromosome:SL3.0:6:1659136:1661624:1 gene:Solyc06g007650.2 transcript:Solyc06g007650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C3E6] MNLAHNKIHCLVIPFPTQGHINPMLQFSKRLQHKGIEITLVPTIYIFNKSMQELTSSITIEPISDGYDDVNGLFCAKSIETYLESHQIVGSQALIQLIEKLKNKGNPINCVIYDSFMPWILDVAKMFGLVSGVFFTMSCAVENIFYHIQLKELKLPVEGHDQVLLPGLLQPLVPSDLPSFVNDMGSYPPFLKMLVDQFSNIQEADWILCNTIYELEKHEVDWITKRLRLRTIGPTIPSMYVDKRLENDNSYGLSIYKPKSDVCMKWLSDCDDGSVVYVSFGSMAELKEEQMKEIACGLNKSNHNFLWVVRESEEPKIPKDFLDNIKREKGLVVTWCPQLDVLSHKAIGCFMTHCGWNSTLEALSLGVPTVAIPIWTDQCTNAKYVMDIWEMGIKAQANEKGVVEQEVVEHCIREVMEGERGKEIRKNATKWREVTKKAMDEGGSSDRNINDFVDKLVNES >Solyc10g047810.2.1 pep chromosome:SL3.0:10:42683287:42685408:-1 gene:Solyc10g047810.2 transcript:Solyc10g047810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFAYLVCLLLIIPFVNSLSFNFDSFNTNDQNLTYEADAYPANSVIQLTKNQRDSASNDSIGRVTYSEALYLWDKASRNLTDFTTHFSFGINSQGRNNYADGLAFFLAPAGSRIPDNSAVGGSLGLAVSGQQNTSRNHSFVAVEFDTFKNFYDPKGDHVGVDINSMVSVVNVTWFSSIPNGKRTDAWITYNSTSKNLSVVFTGFQQQGNTTLTVLQNLSYNIDLREYLPEWVTFGFSGATGTLFALQTIYSWNFTSSLKHNDNITDPDVPLPRPVPEDTSSKNKSGLVIGLISGGCVLVALSVIILFAFRRKRKVREDEDEDEDDDIIDGSMTNEFERSTGPKKFLYSELVRCTNNFSREEMLGQGGFGGVYKGYLSESNSYIAVKRVSRESKQGIKEYASEVRIISRLRHKHLVQLIGWCHQKRELLLVYEFMPNGSLDYHLFKGRSHLTWPIRFKIAQGLASALLYLHEEWEQIVVHRDIKSSNIMLDSNFNAKLGDFGLARLVDHDKESQTTVLAGTMGYMAPECVTTGKASKETDVYSFGVVVLEIGCGRKSIENKAEEHQVNIIQWVWRLYGMGNLREAVDPRLSSEFNEEEMEHLLIVGLWCSHPDNNCRPSIRQAIQVLNLEAPLPILPPNMPVPTYCSRSQYGSTTSFTSPYDSNAPWNSEIQSSVTRDYTGSSNNTVDILL >Solyc06g069280.3.1 pep chromosome:SL3.0:6:43155199:43164448:-1 gene:Solyc06g069280.3 transcript:Solyc06g069280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAASKAADSYIGSLICLITKSDIRYEGFLFHLDAAESTIGLRNVKSYGSEGRKKDGPQISPSDKIYEYIFFRGSDIKDLQVISSATPQSTAVVPDDPAIIQTHFPNPTPPTIGMTSPGAVQVADVSTSAPSMLPVAPFLLNLPPNPLLPSSNLWGSSLPPPPVNISGPAVPNYWPGLVGSSGGVSHYQQQQQQQRFPPPPQSLVASLPIQQQAQHQNVNALAGGSSLAAQHHPLLLPISTTSPNLLPAMPSPSGQSNPRQPAKPAFNLLSIPMPNDAFRTLSTVAVDSCPRTVSSLEAELNANANLTPVRKEPSSVISPSGSFPTFSQTVSSIVDTKGASLSNLSRQSLLTPEVQSGEKDSSSSQSMKNPNFDVYTGQASVSEPLPSGSTEETLESLPKSPTKILRGSTSSHHNRSYFAQRRDGAHQDTSSTHHNSRGPPAHRGNVPNGGPIYAQQSYRKYASGRGNGLIGAALHSRQSNMGMGRGKVPNGVPQHNHRSTGIQFRDGVTQHNHRNTGIQFRDGVPQHNHRNTGSHFRGRGAKNPLVTKRFSEDFDFEAMNEKFNKKEVWDFLGRSNKDEPDEGIGDEKEMGESDAEDTTGDRSAKHDNKPVYCKEDFFDSLSCHALDRESGKVKFSDQRKKDVETFGEIQKNPWGRSQRARMSGGVQQSYRGRGYDNARGGRGQGRTVWGRVT >Solyc02g063120.1.1 pep chromosome:SL3.0:2:35712765:35714612:-1 gene:Solyc02g063120.1 transcript:Solyc02g063120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKTAGTFESYTVSAHILNFSFLQTYKAFHRFHGKSTERHQS >Solyc02g084590.3.1 pep chromosome:SL3.0:2:48315559:48318042:-1 gene:Solyc02g084590.3 transcript:Solyc02g084590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCAEWALRNSFVPETPLKMTQNQTFGDDFSAAGAGQNGVSGDDFFVDDLLDFSNGFVEGEGDEEEEEGKNQGGEGISVQKPCSVSIAVSPLKKTEIDDKGKVTISVNEDFASLPVSEISVPTDDLDSLEWLSHFVEESFSGYSLAYPAGKLPVEKKTGDGEIPVEEKKPCFATPVQTKARTKRGRSSVRVWPVCSGSLTESSSSSTSSSSTTTMSSSPPTGSWFLYPTPVHSAESPGKPLAKKLKKKPASHGGNGPQQPRRCSHCGVQKTPQWRAGPMGAKTLCNACGVRFKSGRLLPEYRPACSPTFSTELHSNNHRKVLEMRRKKESEETGLTQPVQSF >Solyc01g107277.1.1 pep chromosome:SL3.0:1:94771048:94771890:-1 gene:Solyc01g107277.1 transcript:Solyc01g107277.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPPLNPLYPFRPQTLASNPKQNTITPVTRSRVWQWQHLLETLLQQSSYKSHIPAKIRAVVSKDVSSLVNEDSGEFQEVAEKVIHVYGIPFQQDSATAELLKLVQTKISNQIIGLKTEQYLNIGLSSDI >Solyc04g011910.3.1 pep chromosome:SL3.0:4:4291099:4294097:-1 gene:Solyc04g011910.3 transcript:Solyc04g011910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYHKEKKNGPWLSVPQFGHWDQKGVYPDYSMDFSKIRENRKQNKKDLSRASFGNENDLIFSTKSDANENDHHYHQNQSTTRMRRIFRYFNCCGKA >Solyc02g067070.3.1 pep chromosome:SL3.0:2:37871552:37875426:-1 gene:Solyc02g067070.3 transcript:Solyc02g067070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYNYNSWAGVPPNPALYPNPSPPVMMNSDQSVPQNPNPSSYALGYGGGHEFQGQSMVYRYGQINGATSSVEATQVYYQDPNVVRLLGSNGPFRTGEESYELMYKPWESRFEFSAKITAVSLNGAENLTPANINPNFFIQPLRSGSWGGGQRKIKMKPVWCHICKIEFTGQTVLDQHILGKKHKRSLDNLKGGSVITASTSAPLVTSVASENPFVGLQEEPNKMNSANRQDSVEKAVKVVKNLETKTRKVLEGGAATDEVRICIPCNVVCNSETVFRTHLIGKKHIANVTKQQKSVPASASLVPSEVPVSTSIGPQEKPDIVNSGDAKDAMKKAAELSVKLETKTQKVLEGGAAANDVRQCLVCKVVCNSETVFSNHVTGKKHIANMKKQASGEAPAR >Solyc02g084520.3.1 pep chromosome:SL3.0:2:48241600:48252515:1 gene:Solyc02g084520.3 transcript:Solyc02g084520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGVNSSSEQAHNQRLDEGTAKSMELQRLEQCSSVHYMEGSQLVGVSSSVAGDGGVSATVAVDVRDSAASVIDNRKRGRSPRNLTVKPPVPKKPRVEDEDVCFICFDGGSLVLCDRKGCPKAYHPACIKRDEAFFRSKAKWNCGMRGRISEEAQAIGIEYNITKDSMLIYSRTFEELSDILGIYVHRRGNKGFCSTCMKTIMLIENKDQADKEMVQVDFDDKTSWEYLFKVYWVILKENLSLTLDELLQAKNPWKELNAVHGKRTLLPYGHYVANNGKGISGKAFDHLELKKPSALLELSNKDSPTTESRTTAESDNPSIFSSSPQSELTKPAVELELQNGHCLRTKQGSTAMQTSVNGCMEWASKELLDFVAHMKDGETSAISQFDVQTLLLDYIKKNNLRDPRRKSQIICDSRLKSLFGKTCVGHIEMLKLLEFHFLIKEDSDKSAFIPAGIVGIVSSHVELDDSNDISSPKKRKSRKNGEVKMTQINLDEFAAIDAHNINFLYLRRDLMENLTEDVEKFHDRVTGSVVRIRIPGNDQKQEIYRLVHVVGTCKTSEPYKIRDKTVDVQLEVLNLDKKETISIDSISNQDFCELHQGDEGWVGGGCIGGLGEIQKKAMELRAVKLNDSLEAEIFRLNNLRDRASEKGHKKEYPLLRQYTLINIDHEHEGFLNTSTLRECVEKLELLKTPEERHRRLLEIPEVHADPKMNPEYESGGDDGEHNKKIKVKEEGSIMAQRRMSGKRDACGTNISDKQGNQLTVEQAVDRSGSETSIASLLMANTSSVISIETDKLWHYRDPAKRIQGPFSVMQLRKWNTSGLFPPDMRIWTNHEHEDSVLLTNALKGFFHKESQVHDKTLSQSQEPASLDNRTSVRWSESAGSGGECEKREAPGHHHNPNYCSNGNTKFTRMKGLSPSFPRCVESLKGNNSCSDKPQWLSSSSSSQREVILALPRQGKGHGTDKSRSVADYGTQNSRKSTLCHAQSNRQNLDPSSGQNQKSFTSNKCSINLDSGSTFASAIKSSDLLFEQKGEMNLPDLPSPTPETSYGDLEAQAAEKLLLLSSVIPVCGSDVHDLPSPTPISNSEAQGAHAAENKESGPSDLPDSEARGGHAGENKESGLSSLPIQESGQRWSSASSPVVGGPQLHEIADEWGRSSPAAKPSTEEWDSTLVSVSSLKSVDTVSDRVATPSSIANLLTAKPSIEEWDSGLVSVSSLKPAEAVGDHVVTPASNADQLNHTSSSHPMSNFFDEPIEFSTLAEESVSDLLAEVDAMESQNQNGMGSPTSGMRCGEEMIPGCKTDCFSPIEEPSHTHDPVRSDDLSSTGDLLLPCQSSVMDETVGASRADAFDPLRRSGGNSSTSSEGETKSVDVLFSQGDVRCGIPAPCTTGIPASCTTSQTSAFSAIGRSTVFEGMMNGRGAAPGKPSQGYANVGYGSNMGGAWGNSHMNRGAPFSGNNPVWDSHRRYAGERSGGPRDWALQGGESGFGRGRPSWNRQQPYGGGGYSRPPPKGQRICKFYESGRCKKGAACDYLHP >Solyc05g014120.1.1.1 pep chromosome:SL3.0:5:7818507:7819250:1 gene:Solyc05g014120.1 transcript:Solyc05g014120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEISVSSSSIIISKYVFFIVLITIPILLFLSHRSSSSSVIISTTTTSNSDSDLKIRPGYSSYDSYIKKQLNKTLNPKLRKIWTTRDWDRKIEVFSKFFGELRNRNLLSDSSKVLCIGARMGQEVEALKRVGVSDSVGMDLVPYPPLVVKGDFHNQPFDDEIFDLEFSNVFDHALFPEKFVSEIERTLKTGGICVLHVSLSKRADKYSANDLYSVEPLKKLFKRSELVHTRTVDGFGLDTEVVFRKN >Solyc07g032450.1.1.1 pep chromosome:SL3.0:7:39127800:39128009:-1 gene:Solyc07g032450.1 transcript:Solyc07g032450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDLRNADDLTNKYFLPRVNIFYNLGGVMLTCFLVQVGTWFSITFYCSPTVTDSFASVQYIMTESNFG >Solyc12g042390.1.1.1 pep chromosome:SL3.0:12:58561276:58561929:1 gene:Solyc12g042390.1 transcript:Solyc12g042390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQSIVAHQVQDHRYINYDVSFYGDSILTTVTWDPEIVTHWITDVESDVFFRDVVGLDIEWRPHYGQIQNPVATLQLCVGRRCLIFQLLQSRNPIPQSLITFLDTYTFVGVGIESDVEKLERDHGVIVSFSVDLRELASTAYEAFGLGYQIRTNAALKELCSVVLAKEMVKPAHITRSRWDNRYLNEEQVEYACIDAFVCFEIEKRLNASGYGVNWL >Solyc08g059700.2.1 pep chromosome:SL3.0:8:44817168:44819079:1 gene:Solyc08g059700.2 transcript:Solyc08g059700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKSTRAYECVRKAWHSDRHQPIRGSFIQEIFRIVNEVHGSATKKNMEWQEKLPIVVFRAEEIMYSKANSEAEYMDLKTLWDRLNDAIDTIIRREYKDESNSRGLLQPCIEAALHLISTKRIRNTCPRYYPTPQANGNSHENPFPNIQFFSKLKNSTSQSNKMLPFSTLKLPMQTTPTSPSSCSIYPLYHGCPSEPSGPKFGSTSDDKSNEDKKIALSRNLNASSETSRVNFEDVSENHTVIGCDLSLRLGSFLVPCTRIEKEDEKASDLCKLNDLSPQFNRGLSFFSKENADKNQFELSSMSPDSQNLNVKSVLVKRKNGEDEQINWPVKQHFNKFKS >Solyc09g066500.3.1 pep chromosome:SL3.0:9:65128318:65143059:1 gene:Solyc09g066500.3 transcript:Solyc09g066500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLGKTIQVLSFLGSLHFSEMYKPSIIICPVTLLRQWKREAKTWCPSFHVEILHDSAHDLSSKKKQSDSESDYESEDLLDSETEGKKSSRTSKKWDPVIARVVRSNSGLLITTYEQLRLLGEKLLDIEWGYAVLDEGHRIRNPNAEVTLVCKQLQTVHRIIMTGAPIQNKLSELWSLFDFVFPGKLGVLPVFEAEFAVPISVGGYANATPLQVSTAYRCAVVLRDLIMPYLLRRMKADVNANLPKKAEHVLFCSLTKEQRSVYRAFLASSEVEQIFDGSRNSLSGIDVMRKICNHPDLLEREHSSTDPDYGNPERSGKMKVVAEVLKVWKEQGHRVLLFSQTQQMLDILERFLVTCEYNYRRMDGVTPVKQRMVLIDEFNNTDDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKKDVTVYRLITRGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFKARDMKDLFTLNDDGNGGSTETSSIFSQVSVDVNIVGAPDSQERLSFQAPVAKDDNSKIGEADNSDPKGKAGDDNNNGELDEETSILRGLFDAHGIHSAMNHDAIMNAHDEEKLKLEEQASQVAQRAAEALRQSRMLRSREGVAVPTWTGKSGAAGGPSSAKKKFGSTVNPQLTSKSSEESLNGYDAIRGNAFPAGASAGKALSSAELLAKIRGNQEKAVSDGLVHQFGTPASTSNSRAGSVSNGNRSASSSSVVQPEVLVRQICTFIQQRGGKTTSASIVDYFRDRIPSKDLPLFKNLLKEIAILEKNPSGSFWVLKPEYQDQ >Solyc10g044963.1.1 pep chromosome:SL3.0:10:29013263:29014155:1 gene:Solyc10g044963.1 transcript:Solyc10g044963.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSYIKDLNLMDVVEIEEPGVHPLRVNATLNEIKKYDELVTKSLRALTCIHSSLMDLMSTRIMTCQTTKEAWDKLKEEIEGRIRVKSVRHLGLKREFEILKMKDSDSAKEYSSKLMEIVNQIRILGISEDQFQARNKLKHQKESRKVLLDKSGETNHLEKNCWQNSKRYPIQCRYCNKYGHLENYCRQNQNKNGQSSHRVNFEDDHLVGKSEEEVS >Solyc02g014877.1.1 pep chromosome:SL3.0:2:20416124:20416793:1 gene:Solyc02g014877.1 transcript:Solyc02g014877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSFWDIRQDLVYASGWPSQPVRPILKVKRAPKRAYPFRRQKCQKILCTSVKNFFYASFVPHGPSDPFLKIPTSKMPNFFWDIRQDLVYASSWPSRPVRPILKQTIFWVSRILTSKMQQKIWDIRQDLVYASCWPSRPVRPILKVKRASKRAYPSFR >Solyc09g007650.2.1.1 pep chromosome:SL3.0:9:1212769:1213224:1 gene:Solyc09g007650.2 transcript:Solyc09g007650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGTLNSLNDQQKSELIKFHVLPQYFSLSQFQTASNPMQTQAGGTSNREFPINITTNGTSVNITTGIVNASISNTIYIDSQLAIYQVDKVLLPLQFFVPPAPTPAPSPPNPKNNDDSSDSDSVSSVSSGVINSPRELLFFLAVFCFVYLS >Solyc12g035520.2.1 pep chromosome:SL3.0:12:41362890:41388337:1 gene:Solyc12g035520.2 transcript:Solyc12g035520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSSPISSTFPLSPSLYSTQHPGFNVPGTESYQQYQDESRPGYGEICSDAVIHSNGMNVSDITRMMEGVSNSPKVEISQALRRLEEQLNLNDDSSSDIYSLYSEIENSNDAENVVHDKSSLVQIQDNSNNFLFLPHSGESSESRDQLLNLDNSMWKEMLDHCRSSPASQPQAKCFEKLDENGMLQTSSGSEPIEAIKSDRWPIIGGKEALKCSVTNLKQVDDFKYIGCAQINVFGSYPDQCTTIFDQDQIGISSETNMSLTIVQKQKFTIHDISPDWGYASDATKVVIIGSYLCNPSEYTWTCMFGDTEVPVQIIKDGAIRCQAPPHLPGKVALCVTTGNRTPCSEVREFEYRAKFDDRGQNVVPEVGGASKSSEELLLLVRFVQMLLSDSSVQIGDGSELSNDILEKSKASEDSWSQVIESLLFGTSTSTVTIDWLLQELLKNKLQQWLSSKLQVKNNEMVYSLSRKDQGIVHMIAGLGFEWALHPVLNAGVSANFRDIRGWTALHWAARFGREKMVASLIASGAFAGAVTDPSSQDPFGKTAASIASSCGHKGVAGYLSEVALTSHLTSLTLEECDVSKGTADIEAEQTISNITTTSPVTHEDQLSLKDTLDAVRNAAQAAARIQSAFRAHSFRKRRLREAAHVATTCRDEYCILSNDVLGLSAASKLAFRNVRDYNSAALSIQRKYRGWKGRKDFLVFRQKVVKIQVLMYEDIRLERNTRYVGLWVF >Solyc11g010810.2.1 pep chromosome:SL3.0:11:3795232:3830344:1 gene:Solyc11g010810.2 transcript:Solyc11g010810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANGDENTTKKLHIVMFPWLAFGHIIPFLELSKFLALKGHKISFISTPRNIDRLPKIPSEFSNSITFVKIPLPKIDGLPKDAEATMDITSEEMTYLKKAMDGMENEVTNFLENNCPDWIIQDFAQYWLAPISTRLGISRIFYSIINAWFLSFLGSFENMINTNNCTSPPKLEDFLVPPKWIPFETKATYRLHEARWMVESSQKNVSGVSDMYRNGVTIEGADAIIIRHCHEFEGQWLKLLEDLHHMPVLPTGLMPPIVESSSHEKNESWISIKEWLDEKPKGSVVYVALGSEVTVGQNEINELARGLELSGSPFFWVLRKSSGLGSIDPIVLPDGFEERTKDRGIVWKSWAPQLKILSHESVGGFLTHCGWSSIIEGLMFGHSLIMLPFLVDQGLNARIIEDKGVGIEVPRNEEDGSYTSNSVANSVKLVMVKNDGKLIREKAKELSSIFSNKELHDKTLCTKMAENGKKLHIAVFPWLAFGHMIPYLELSKLIAQKGHKISFISTPRNIDRLPKLPPNLTPFLNFVKLPMPHVEKLPENAEATIDLPYEQVKYLKLAQDALQESMSKFIEDSDIDFILFDFTSYWVPSIASKFNIPSGYFSIFIAAFLGFTGPVPGLNNDYEIRMTPEEYTVTPKWVPFETTVAFKLFEVSRIFEASMKGEEENIADIVRYYRSVENCDFLLVRSCSEFEPEWLKVVGDIHRKPVFPVGQLPTTPYEDDSTKIDAWREIKLWLDKQEKGKVIYVAFGSEAKPSQNELTELSLGLELSGLPFFWVLRIKRGESDDELIQLPEGFEERTKGRGIVCTSWAPQLKILSHDSVGGFLTHSGWSSVVEAIQFEKSLVLLTFLADQGINARLLEEKKMAYSIPRNDQDGSFTRDSVAESLNLVLVKEEGFIYREKIKEMKDLFCDKKRQNNYVENLALCTKMAENGKKLHIAVFPWLAFGHMIPYLELSKLIAQKGHKISFISTPRNIDRLPKLPPSLIPFFNFVKLPMPHVEKLPENAEATIDLPYEQVKHLKLAHDALQESIAKFLEDSDIDFILFDFVSYWLPSIASKFNIPTGYFSIFVAAYLGFTGPVPGLNNNYEIRMTLEELTVSPKWVPFETAVAFKEFELLRIYEGCKEGEEENFYDISRMYKTFENCDFLLVRSCLEFEPEWLKVVEDIHPKPVIPVGQLPTTSYEDDNTDIDAWREIKLWLDKQEKGKVIYVAFGSEAKLSQNELTELSLGLELSGLPFFWVLRTKRGESDNELIQLPEGFGERTKERGIVYTSWVPQLKILSHDSVGGFLTHAGWSSIVEAIQFEKPLLLLTFLADQGINARLLEEKKVAYLIPRNDWDGSFTHNAVVESLYLVLLEKEGEIYRKKIKEVKNLCCDKKRQDDYVENLLSSIVEAIQFENPLVLLIFLADQAINDIFNTKKLNDWDGSFILNAVIESLSLVLVEKKGEIYREEIKEVKDLFCDKKKQDNYVENLVFNIPTGYFSIFVAAYLGFTGPVPGLNNNYEIRMTLEELTVSPKWVPFETAVAFKEFELLRIYEGCKEGEEENFYDISRMYKTFENCDFLLVRSCLEFEPEWLKVVEDIHPKPVIPVGQLPTTSYEDDNTDIDAWREIKLWLDKQDKGKVIYVAFGSEAKLSQNELTELSLGLELSGLPFFWVLRTKRGESDDELIQVPEGFEERTKERGIVYTSWVPQLKILSHDSVGVEAIQFEKPLLLLTFLADQGINARLVEEKNVAYLIPRNDWDGSFTHDAVVESLYFVLLKKEGEIYRKKIKEVKNLCCDKKRQDDYVENLVALHNQSSTKHIKMAENSKKLHIAVFPWLAFGHMIPYLELSKLIAQKGHKISFISTPRNIDRLPKLPPSLAPFLNFVKIPLPYVEKLPKNAEATTDLPYEQVKYLKLAHDALKEPMAKFLEDSAPDFILFDFTSYWLPSIASKFNIPTGYFSIFVAAYLGFTGPVPGLNNNYENRMTLEELTVSPKWVPFETAVAFKEFELLRIYEGCKEGEEENFYDISRMYKTFENCDFLLVRSCLEFEPEWLKVVEDIHPKPVIPVGQLPTTSYEDDNTDIDAWREIKLWLDKQEKGKVIYVAFGSEAKLSQNELTELSLGLELSGLPFFWVLRTKRGESDNELIQVPEGFEERTKERGIVYTSWVPQLKILSHDSVGGFLTHAGWSSIVEAIQFEKPLLLLTFLADQGINARLLEEKKVAYLIPRNDWDGSFTHKAVVESLYLVLLEKEGEIYQKKIKEVKNLCCDKKRQDDYVENLIRFLQNYKKIKV >Solyc05g055660.2.1 pep chromosome:SL3.0:5:66067135:66069138:-1 gene:Solyc05g055660.2 transcript:Solyc05g055660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPKVYIGGDDLRTFYTLIMVDPDAPSPSNPNLREYLHWLVTDIPATTDTRFGNEIVCYENPTPTMGIHRFVLCIPQDGVKISNTRDFAELYNLGLPVASVYYNCHRESGTGGRRA >Solyc12g027753.1.1 pep chromosome:SL3.0:12:26171014:26171328:-1 gene:Solyc12g027753.1 transcript:Solyc12g027753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVQLEHENVTKLVLFTLRVVNFSTITPKKPNSALRKVARVRLTS >Solyc03g093800.1.1.1 pep chromosome:SL3.0:3:56913720:56914145:-1 gene:Solyc03g093800.1 transcript:Solyc03g093800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSKFINFALFIFILFTVSAGSLALSPIGGRKMSSNTEMGSPSSGSGAAHGPNWDYNWGWGSSPSGGWGYGSGSGRSPNGFARGWGFGSGSGSGSGSGYGYGYASGGAHGGGYGAGSGSGGSGGGFGSGSGGSPSVPERHG >Solyc11g070050.2.1 pep chromosome:SL3.0:11:54897212:54903471:-1 gene:Solyc11g070050.2 transcript:Solyc11g070050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNFLLEIKAELENLTDLQPHGGCDDENFRYHFKLKCGHCGEITQKETYVSLVETVPLPIGKGHTHLIQKCKFCGRDGTITMITGRGRPLTHADSEAGKSAPLMLFECRGFEPLDYVFQGEWEAKSLEGTKFEGIDLSGDEFAEYDEKGECPVMISKPSATFNVVR >Solyc11g070110.2.1 pep chromosome:SL3.0:11:54934222:54940404:-1 gene:Solyc11g070110.2 transcript:Solyc11g070110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFMKVFDQTVREIKREVNLKVLQVPEIEQKVLDATDDEPWGPHGTALADIAQATKKLYDLNDKVANSYEFCSECQMVMNVLWSRLPETGKNWRYVYKSLSVIEYLVAHGSERAVDEIVEHTYQISSLASFEYVEPNGKDMGINVRKKAENIVALLNNKEKIQEVREKASANRDKYFGLSSSGVTFKSSSSSFSSSGNFQSSDRYGGFGNKNDGNSFKDSYKEKDRYGEDKVDRSTFKSKKGSSHYGSNVQATASASGSKTAKRVGKPDKACSSPSQSAAASSSKYEEDFDDFDPRGTSSTKPSTGNSGQVDLFGQNLMGDLLDAPTSVPADNSSVTSHPSEVDLFADANFVSVKPESKVGVTFCLLFRLPCNFYFLFVSYKLTICSFLQISVDLFASQPSSSPVASSTMDFFAAPEPVARPDVISPKSVQINTTMVDPFATVPLNNFDSSDPFGAFVSPADPISVPNASATSGGNQQTPTKLDKSPLETKPSPKKDNFQVSSGIWADSLSRGLIDLNIAAPTKVNLADVGIMGGLTDGSDGKEKGPTTFYMGRAMGQGTKLGQSGFTSTATGADDFFSSHQNYQFGNFQK >Solyc09g014860.3.1 pep chromosome:SL3.0:9:7060605:7065069:-1 gene:Solyc09g014860.3 transcript:Solyc09g014860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSYATMANDPVAIIGSEYCTPNQVDVVISRKVKTFRYGDFVVSDMNGNFMFKVKGTTFGWHDKRVILDAADNPLITLKQKILTEHSRWNAFKGESTDEKHLLFTIKTTSIFQWKTKLAIFLANNNSKEKNCDYLIKGNWSDRSCVIYAGDSSTIVAQMHKKITVKSLLIGKDNFMVTMYPNIDQAFIVSLIVILDAINAVNDAAVTGATAGVGAGC >Solyc03g046275.1.1 pep chromosome:SL3.0:3:12151103:12167109:1 gene:Solyc03g046275.1 transcript:Solyc03g046275.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQTSDSKPPRPESSKFGRVFLPFRLVRNHFDNYLDRFVPIASIRRVGLNSELTISIPCILTGIYLDMLVLGSMPLEFVMRRQPLRRTNNRSKPAAPISPNSDRKSSPYKGYPRAPQNSYPVFYIKLGYKISPPSYQIPAPIYQNLPPHYENTHPYYQAPSVNCSNIQPSNQNPTHATKVPFLDTKLRLIQIFKHNHPNPLNYHQVPSHCPRTNHEKKPSRVFTPLVEIQTQLFEILKSTVLIHTIDPKISMSAPSFIDPIFTMLNILEKVVTVQTATPKVNSNLMANHRGVTINVIEVKEDLYVLKLIISSNLKNLEKVVASQTKRENSKGGSKQAEDRSRFSKITVSLISVFFNEKGSRGGIKNVFQEGDIVLE >Solyc01g014400.1.1 pep chromosome:SL3.0:1:13182772:13184703:1 gene:Solyc01g014400.1 transcript:Solyc01g014400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELICRKVSKYQTLTSSSESTKSMCNPIQFRNGKVATSLHELRYAISIQSFKTYENYHEGLLNIKKNKIIFNVALFKLGCARKILRFIRNEPFYLKVSTSSRTGNVAALSFMKWNGMDIDFIYAPILRHLIMNAKNLVNVRYLEITATLQVSRPFASLNEHILHASPDLQRKICYIVENFEKFDIVSTFGWESATTYPIVVGDVVGPLFVRSLLILALKKILNTFLNIRPLLVCASPSFGEINTT >Solyc04g071285.1.1 pep chromosome:SL3.0:4:58300677:58302388:1 gene:Solyc04g071285.1 transcript:Solyc04g071285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHSNGMVNLVNKFFEMQHIDALRSLGYIHKAGHQIPSGVTRKDLNTERGSGVRLDRWEGGRKMSSGYIFGSAKRMLVMGRREVFCKERENGNLGMVEVTHRLTNDSSTFLLSDELNSILRDLLSTLSQEHQCSYYRNNA >Solyc06g068430.3.1 pep chromosome:SL3.0:6:42503219:42507760:1 gene:Solyc06g068430.3 transcript:Solyc06g068430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITLTDVLITDPGGSWLQGNLRALFAMATFDSSCADRKKMEDEITAYGMSLMNPPSSIDELFKILQKVESLLKTVSQEPFDSTTSAIQPLMKALVRNELLGHTNEDVKVSVISSVTEISRIYAPNRPYDDDRQMEEILRQTVMTFKKIAVVNSRSYRKVIRVLEVFAKVRFTAMLLDLENGTLIIEMFKNFLEVIRPYHPTNIFTWMKEIMTRLIEGSDEISVELLQLLLDRVKIDNQMESPIASYLVEEVLKDCAAIVKPYFSDALKSMSFEPADYAQTIALLSNEMPKGKEMMATENAPATVHCVKVGPSEAKCCELARQDDTHREKLKDTV >Solyc05g010587.1.1 pep chromosome:SL3.0:5:4808497:4809201:1 gene:Solyc05g010587.1 transcript:Solyc05g010587.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLVTLLLVVSFLIISQDIVTGRMCDCRTSQDCRDLKCKSALGTCVGGSCVCLAQFNTNQEEGMMEACTYTCQSREDCSEFIKCDRGIPTCANGDCVCL >Solyc05g053255.1.1 pep chromosome:SL3.0:5:64240297:64241694:-1 gene:Solyc05g053255.1 transcript:Solyc05g053255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMFHITQDLNNPPRSSSVKPKVSTQPFFTSSPRAARKSSTLPGSPNHPRRSAIRHRLENISFMPKDDLEGETELHGNPGKSKKRGRIIGTSSSQKTGGDAQRRLRFQEGPRNCGLSHLRASGLGKKWLLLRILNIPTQFWETEVMDRKENWLRNVI >Solyc05g008040.3.1 pep chromosome:SL3.0:5:2448027:2465943:1 gene:Solyc05g008040.3 transcript:Solyc05g008040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPTLVVHSRNTMCSSEKCLRSALIFEAQKGYRNFVSKDMRFNNFLYSKMLTVLSRSKHTLAGKLLKDIDAYGCASVKDRSKFFNKASVVMGYDGLDDLIDANGTEKQSDIHPDGGAIDFDFSLMCKQFSSIRLGSSPPVELYDGTASIHGDSGLWATKICREFLSSSVGEQLIDPDSVYETWHILYSGATNMDSATYIPDTLETETRQDLQFTVDKPSNLSQHGVKQNDGLVEVLLDQSISLIPGLSKRHARQLENCGFHTSRKLLQHFPRTYVDLQNAQVGIEDGQYLIFIGKIKSSRGIRASYSLSFLEVVVACDVVDNESPSTSRDDGADLMSDKADNGRKKTVFLHLKKFFRGTRFTYLPFLKSLEEKQKVGDIVCVSGKVRIMRSKNHYEMREYNMDVLQDEKDPSFCAQGRPYPIYPSKGGLSSNFLRDVISRALKVLPSNIDPIPEDLARDFGLLCLHDAYAGIHQPKSVKEAELARKRLVFDEFFYLQLGRLFQMLEGLGTKVEKDGLLDKYRKSEFNLINTDGWSMLTKKFLKALPYSLTPSQLQAASEIIWDLKQPVPMNRLLQGDVGCGKTVVAFLACLEVISLGYQAAFMVPTELLAIQHYEQIQNLLANMEAAECKLSIALLTGSTSTKESRLIRQGLQTGDISLVIGTHSLIAEKVEFSALRIAVVDEQHRFGVIQRGRFNSKLYYNSISSKISSKISEDSSKDSVVMAPHILAMSATPIPRSLALALYGDMSLTQITDLPPGRIPVETFVIEGNEPGFEKVYQMMFDELEAGGKIYLVYPVIEQSEQLPQLRAASADLETISQKFSGYNCGLLHGKMKGDEKSEALNLFRSGETNILLSTQVIEIGVDIPDASMMVVMNAERFGIAQLHQLRGRVGRGEKMSKCILVGSTDSSLSRLQVLEKSSDGFYLANMDLVMRGPGDLLGRKQSGHLPEFPIARLEIDGNIIQDAHLAALKILGDSLDLEKYPNIKAELSMRQPLCLLGD >Solyc07g027005.1.1 pep chromosome:SL3.0:7:32947499:32947801:-1 gene:Solyc07g027005.1 transcript:Solyc07g027005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHHGRENVKFIKSLNRNRTQSYKLAIIKYVDLTTEEFTESFMGLDTSYDSVIELPFSMNLRMRGSVTTIKDQHVYGKTSSNSY >Solyc03g025280.3.1 pep chromosome:SL3.0:3:2741027:2760354:1 gene:Solyc03g025280.3 transcript:Solyc03g025280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARKKTPFQKHREEEEAKKKRAEDETARLYQEFVESFQADSTPGSKAFVRGGTINPNDKLKMDSQGGNSKDEGSGLKKGSRYVPSFLPPPMATKGRDHEKKQKEDKPREKEKGKARNIDNFMEELKHEQEMRERRNQDREQWRDRHTENSAPSSRFDELPDDFDPSGRPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADAQAAKDEMEGVIVYEYELKIGWGKSVSLPSQALPAPPPGHMAIRSKEGATVILSGPSGPPVTTVPGQNSELVLTPNVPDIMVIPPEDDHLRHVIDTMALCVLDGGCAFEQAIMERGRGNPLFSFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTVPFIMITGSGRWIPPSLPTPKGADHEKEAGSTYAAGRSRRVDVERTLTDAQRDEFEDMLRSLTLERSQIKEAMGFSLDNADAAGEVVEVLTESLTLKETPIPTKVSRLMLVSDILHNSSAPVKNASAYRTKFEASLPDIMESFNDLYRSITGRITAEALKERVLKVLQVWADWFLFSDAYVNGLRATFLRTGNSGVTPFHSLCGDAPDVEQRTSSDDAGDGGKVNPDGALAIGKGAAMKELLSLPLTELERRCRHNGLSIVGGREMMVARLLYLEEAEKQRGHELDEDLKFASHSSSARFPSTRKDSNLELDRMAPSERNSQMDYDVQLKQRESVSSHQINSAPHYNSIDFSSDGKSETILPTSKWAREDDESDDEQKRSSRDLGLTYSSSGSENAGDGLSKIKDAELTTDTGNSAYPESGMNEELRQKLRRLEVALIEYRESLEEQGIKNPDEIERKVEIHRQCLQSEYGLLNFSEDTSKKGGRSSSERKEKRDDAREASRKRQRSRSRSGSPQWKSSSRDRDSDREKRRDRGRKSGSRERDDHDRDKSRERRRAR >Solyc09g084450.3.1 pep chromosome:SL3.0:9:69548543:69550251:1 gene:Solyc09g084450.3 transcript:Solyc09g084450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKFAHIILFFLLAFSFETLMARKESDGPEVIKLLKEFESASWCKGKQFWPELIGVPAQYAKGIIEKENPSIANIPILLNGSPVTKDFRCDRVRLFVNILGDVVQIPRVT >Solyc08g076990.3.1 pep chromosome:SL3.0:8:61051698:61057374:-1 gene:Solyc08g076990.3 transcript:Solyc08g076990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTASVKQILGDLNKDSFVTLLGKLIGESKYVQNNPPELIPEEDRIVNHVLDTLLPYSTTTGGGPLIINHVTYKPNRGNLIVEYPGTQPGKILSFVGMHMDVVTANPDDWEFDPFSLSIDGDKLRGRGTTDCLGHVALVSELMRRLGETKPQLKSTVVAVFIASEENSSIPGVGVDALVKDGLLDKLKKGPLFWIDTADKQPCIGTGGSIPWKLHVTGKLFHSGLPHKAINPLELAMEALKEIQSRFYRDFPAHPKEQVYEFATPSTMKPTQWFYPGGGINQIPGECTVSGDVRLTPFYSVSDAMKKLQEYVDDLNANIEKLDGRGPVSKYVLPDENLRGRITITFDEASSGVACDLNSRGFHVLCKATKEEVGHVKPYSITGTLPLIRDLQDEGFDVQTSGYGLMATYHAKNEYCLLSDMCQGFRVFASVIAQLED >Solyc03g033400.3.1 pep chromosome:SL3.0:3:4992084:5001883:1 gene:Solyc03g033400.3 transcript:Solyc03g033400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPNGVEDEDKFLASGVAGIQQNAFHMHRALDSNNLKDALKYSAQMLSELRTSRLSPHKYYELYMRAFDELRKLEMFFKEETKRGCSIVELYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDILKDLVEMCRGIQHPLRGLFLRSYLSQVSKDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQHQGPALEKMKREKERSELRDLVGKNLHVLSQIEGIDLEMYKETVLPRILEQVVNCKDEIAQGYLMDCIIQVFPDEYHLQTLETLLGACPQLQPSVDIKMVLARLMERLSNYAALSTDVLPEFFQVEAFAKLNSAIGKVIEAQENMPIAGVVTLYSSLLTFTLHVHPDRLDYVDQILGACVKKLSGKGKLKDSIATKQIVALLSAPLEKYKDIDTALKLSNYPHVMEHLDDATSKVMANVLVQTILKNKTCISTDEKVEALFELMKGLIRDLDENLHDETKFLCPPFKFDEEDFKEEQNSVSRLIQMLHNDDPEEMLKIICTVKKHIVTGGPKRLPFTVPPLIFNSLKLVRRLQNQDENAPEEETSAIPKKIFQILNQIIEALSSVPVPELALRLYLECAEAANDSDLEPVAYEFFTQAYILYEEEISDSKAQVTAIQLIIGTLQRMHIFGVENRDTLTHKATGYSAKLLKKPDQCKAVYSCAHLFWVDDQDSIKDGERVLLCLKRALRIANAAQQMSNATRGSSGSVLLFIEILNKYLYFYEKGVTQITVASIQSLLELITTEMQSENKTADPAADALLASTLRYIQFQKDKGGAVGEKYDSIKS >Solyc12g017635.1.1 pep chromosome:SL3.0:12:7166913:7167205:-1 gene:Solyc12g017635.1 transcript:Solyc12g017635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPNGKSGGDQNLFFLDFVSQKNMITITSSLLKFDQVLTTKSKESLALVNQYAENNALFFDHFAKFIVKMGNISPLTDPVGKSGRLAGRST >Solyc01g088580.1.1 pep chromosome:SL3.0:1:83224710:83225810:1 gene:Solyc01g088580.1 transcript:Solyc01g088580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARNLTFNTQNLIQPTSSLQAAPASQRATLPGIGMTSIRLVQYNVSDTMSHVKMLLLKDKQLDSHNTSCLKACLEVYSNAIASIKHATKSYNTKQYYDANIQISAVIADATTCEDGFMEKQGGVSPLTKRNDNTIQLSSVALSVVNFMFYNRSMEFKLTR >Solyc10g039270.2.1 pep chromosome:SL3.0:10:20969516:20973603:-1 gene:Solyc10g039270.2 transcript:Solyc10g039270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidylprolyl isomerase [Source:UniProtKB/TrEMBL;Acc:K4CZF1] MLLNSSFLLSNISLSYTLSACHTTQSFPRQKSTFQCCLAATLQDKRVRELNVNILHYEDNMKRRSLLFFLITSGISPAFPAFGKTKSKNPYDERRLLEQNKRIQRENNAPEEFPSFIREGFTVKVVTPENYEKRDSGLILRDFSVGEGDCPKDGQQVTFHYIGYNESGRRIDSTYVQGSPAKIRMGTKALVPGFEEGIRDMRPGGKRRIIIPPELGPPVGPSTFFSSKQFEVFDVELLDVQDCKRRTIGFYSDVVCN >Solyc01g067755.1.1 pep chromosome:SL3.0:1:76523373:76532391:-1 gene:Solyc01g067755.1 transcript:Solyc01g067755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKLALADSTAQPIHTALYVAGQRGGGRPGRRGAHRGSGRGRQQPFVHNGCSGRSRPDLENRRGGGNNPSSNRELPVCQICEKRGHVARSKYTEELLTRAGMAESKTAPTPMAVHPPSTSDSRLFDNPTLYRSIVGGLPYLVVTRPDIQYAVNRVSQSMHAPTEQNFQALKRILRYLKGSLRRGLLFTKGNLEFSVYSDSDWANDKDDRRSTTGYLMFLGPNLISWCTKKQTRVSRSSTEAEYRAMAAGVAEAMWLYDITDALGISAGSVPL >Solyc05g024130.1.1.1 pep chromosome:SL3.0:5:30477344:30477568:-1 gene:Solyc05g024130.1 transcript:Solyc05g024130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGNKVEVAELGGSVLTSTLGNPLGVLARQLSYTLHKLIQQCPLHRVDGKLVDEYLGKIKWRLLIMSFWTRSR >Solyc02g074610.2.1 pep chromosome:SL3.0:2:42332498:42333208:1 gene:Solyc02g074610.2 transcript:Solyc02g074610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTFQWLLHTWQEAMFGVFPRTIITDQDVAATNTVAKVFPNSAHHFCMSTSHISHEFDDFKSEFIKCLHCTMTLEKFETAWIDLMKMYNLEEHNWLRRISMSVFVTQYDKVVDARYDKVREKDYKTKHSKAILKTLHLMEDEIAKMYTKKIFQKFQEELIQSQKFISEKNEVRDGIHIYKVHLFQRQIPAYIFLF >Solyc07g008450.3.1 pep chromosome:SL3.0:7:3327991:3361614:-1 gene:Solyc07g008450.3 transcript:Solyc07g008450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSWPVLFDSLRSIVETLEKANTADVSVARAIKECSETSRCLLAATERTGLLAEHIQLLNFLLRIVSSLQPEASNLSNSRGKKNISGYNSLWEVEIVAFTMIGELYSRYGSSLPVDTWQSTIEILRNILETVASKGLVKEDGATARFYTSLLHCLHLVLTDSKGPLSGHVAGLVVALRNFIHYGLANKSHSMIAITDKKKITSVSTKTDLTVSTTSQTGRYMPPHLRNKNLKNFQLKDEKSLTMSSDSENSDSDGSGRGTCNAPYGKTRLAAIICIQDLCLADPKSFTAQWTMLLPSSDVLQPRRYEATLMSCLLFDPFLKARVAAASAIRAMLDAPSSVFLQVAEFKESAKCGSFMALSSSLGQILMQLHSGTLYLIKRETHSGLLASLFKILMLLISSTPYSRMPRELLPTVLTSIQVRIEEGFLSRSDQNILLATAINCLSAALSVSPLSIEVKDMLVAEVSAGSISIKSKSGILFTLFRYCDPGVSPPVGFEALQAVRAVAHNYPSVMILCWEKISLLVHGVLTSSSEIRSWRDNVGNSNEPIGDKVITASIKVLDECLRAISGFKGTEDLPSDISLDSPFTSDYVKSKTISSAPSYGPHDCVVNSDGAEKLSGSEQWLEAIVRHLPLILQHSSPMVRAASVTCFAGITSTVFFSLPKDKQDFIMSSCVKTAKGDEVPNVRSAACRAIGVIACFPHIFQSAEIFDKFISPAVDNSRDSSVSVRITASWALANICDALRHHVDVHGFEKFSSVSSQSISLLIDCALQLTNDNDKVKANAVRALGNLSRVVRFSSQSFAYDRQADSMVVSSRGKPTKGLSISEDLGESRSSCNAYLESSKWLEKMVQAFISCVTTGNVKVQWNVCYSLSNLFSNPTLKLENMVWASSVFSILLLLLRDSSNFKIRIQAAAALAVPATLNDYGRSFFSVLQGVQHVVESLSSDEISSPSNLKYRLALEKQLTSTMLHLLGLTSKTDDRHVHEFLMKKSSFFEEWLKLVCMSLEKSPNQFEAEYYSSVNHKKDVIFRAVRSLIEVYEVHDLHAVVQRFHKLSNIL >Solyc09g059770.1.1 pep chromosome:SL3.0:9:55900982:55901467:1 gene:Solyc09g059770.1 transcript:Solyc09g059770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRRTIELCPNGKDILVKSKNRKHYVNLHIQHYFVMSIALQLARFSQGFSDVTTSSIKTFLFRSLYVEDLDKMLDGSGTDISVEDWKIVDGMSAEKKKTLLFFWTSIRDLP >Solyc12g015865.1.1 pep chromosome:SL3.0:12:5853095:5855056:1 gene:Solyc12g015865.1 transcript:Solyc12g015865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQTELNMGVADQSQISMADSTDNTPMYKPPTVAPGIQKLLNIQNNNLETWKFEQELDNVFTVTVDNASSNDVAVLELSKKLDMWGTNLMEGKHLHVRCMAHILNLIMQDGLKEIGHSIKKVRQMVKYVRSSSSRARNFLKCVEMQKIECDKMLSLDVPTRWNSTYLMLDTAEKFEKAFERFDLYDDICELDAYLKLCIASDDLDLSKMALGMKEKFKKYWGTPEKMNKMIFIASVLDPCNKFVYVSFALEELLGKETGNVVNMKVEAYLRDLFAIYVSKYGKGSKSQPSSFDSSDSSGSGISQNMFKNSLRTKLHMKKQKNDSGSLGVKSELDKYLLEDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASECAFSNGGRILE >Solyc07g032053.1.1 pep chromosome:SL3.0:7:33914000:33923505:-1 gene:Solyc07g032053.1 transcript:Solyc07g032053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMTVVPNEMNELVPIRPVIRLRVCMDCRNLNAWTEKDHFPIPFMDQILERLSGKNGIAFLMAFPATTKYLLPLRIKNRPLSLALMGRLHLNGCRLGYAMHHPLYSNTPQTKKHAHSWITSKQ >Solyc05g055840.3.1.1 pep chromosome:SL3.0:5:66171821:66172658:-1 gene:Solyc05g055840.3 transcript:Solyc05g055840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRYIFKSLEDARNADMIICNTVQELESSTISALQEKKPFYALGPVFPNGFTNNTTPTKSNLWMESDTTEWLNAKPKGSVMYISFGSLAKSSRQDILEMAHGLLLSRVSFIWVVRPDITWSLESNLLPERFEHDVKDRGLVVSWCNQIDVISHRAIGGFLTHCGWNSVLESSWCKVPMLCFPIFTDQFTNRKLVVREWKVGFDLSSGRILKRQEIAQKLDCFITEANQLRINLDKTRKKLEDALSENGSSGRNYKQLICDINSKIQQKKQE >Solyc10g005310.3.1 pep chromosome:SL3.0:10:221926:226918:-1 gene:Solyc10g005310.3 transcript:Solyc10g005310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWWVSMPKVELHAHLNGSIRDSTLMELARELGDRGLVHFPDVERVILKHDRSLTEVFKMFDLIHVLTTDHETVSRITKEVIEDFAAENVVYLELRTTPKKNVSKGMNKKSYMEAVLEGLRVVSNVEVDIFSEPNFDCPASAGIYARNNECESNGTGKKKIYVRLLLSIDRRETTEAAMETVKLALEMRHLGVVGIDLSGNPIIGEWLTFLPALEFAKEQGLLITLHCGEVPNQVEIHAMLDFLPARIGHACCFGEEEWAKLKSLKLPVEICLTSNIRTETISSLDIHHFADLYISGHPIVLCTDDSGVFSTSVSGEYSLASSSFGIQKREMFQLARNAVNFIFAGNKVKQELEQVFDLAAKTLEF >Solyc07g042520.3.1 pep chromosome:SL3.0:7:55938729:55942310:-1 gene:Solyc07g042520.3 transcript:Solyc07g042520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASGLSIKERLEEAILARPDEISALKSRIESEGKGVMKPLDLLNHLISVNSKKNGVNVGNSALVEILSYSQEAIVVPPQLALAVRPRPGVWEYLSLNLKQQKVAELTIPEYLQLKENVFDESGNILEMDFEPFTTVTPTKTLSDSIGNGLEFLNRHIASTMFHDKEIAKCLLDFLRQHNYKGKSLMVKESIQSLESFQFVLKKAEEYLCTLSSETPYSDFESKFEEIGLERGWGNTAERVQETIRHLLHLLEAPNASSLENFLGRIPLVFNVVILTPHGYFAQENVLGYPDTGGQVVYILDQVPAMETEMLLRLKHQGLDDIVPRILVVTRLLPDAVGTTCGERMEKVYGAEHSHIIRVPFRTEKGMLRKWISRFEVWPYMETFTEKKFADKHTFKTNHQDVAEELVKELQAKPDLIIGNYSEGNLAASLLAKKFGATQCTIAHALEKTKYPNSDLYWKKFDDKYHFSSQFTADLYAMNHTDFIITSTFQEIAGSKNTVGQYESHTAFTMPGLYRVVHGIDSFDPKFNIVSPGADMSIYFPYTEKEKRLTKFHPEIEELLYSPVENKEHLCVLKDRSKPILFTMARLDRVKNLTGLVEWYAKNARLRELVNLVVVGGDRRKESKDLEEQAEMKKMYDLIETYNLNGQFRWISSQMNRVRNGELYRYIADTRGAFVQPAFYEAFGLTVVESMTCGLPTFATCNGGPFEIIVHGKSGFHIDPNQGDKATDLLVKFFEKSKEDPSYWENISKGGLQRIIEKYTWQIYSQKVMTLSGIYGFWKFATKNDKVASAKKRYLEMFYELMFKKSAEKVPLAIDE >Solyc12g062530.2.1 pep chromosome:SL3.0:12:33925150:33925795:-1 gene:Solyc12g062530.2 transcript:Solyc12g062530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCAVQIIGPVLDVAFPLGKIPNTYNALVVQGQDSVDGLTGGRTMIDIEAPISVSVGGATLGQTFNVLREPVGGVSIFGGVGERSHKANDLFMQMKESGVINKENIPESKVALV >Solyc12g014565.1.1 pep chromosome:SL3.0:12:5563171:5567173:1 gene:Solyc12g014565.1 transcript:Solyc12g014565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSQQTRAAPDPVPPPMPAVINTISEPLRAFAMPSFDSSAACSPNRGFPPVPASLDVTYINISKRQEGANQVRELEALRFELGSQL >Solyc10g081120.2.1 pep chromosome:SL3.0:10:62385767:62396473:-1 gene:Solyc10g081120.2 transcript:Solyc10g081120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHSIHHVLLLVLFGLSALCQCSATGVEANQTAVLLVNASEASARRIPDTLFGIFFEEINHAGAGGLWAELVNNRVLFYLSASFLETSGFEGGGPNVPSNIDPWSIIGDESKVIVSTDRSSCFDRNKIAVQVQVLCDHTGANICPDGGVGIYNPGFWGMNIEQGKSYKLVLYVRSEESVNVSVALTGSNGLQKLAAANIVAADVSSWTKVEILLEATGTDPNSRLELRSSKKGVIWFDQVSLMPTDTYKGHGFRKDLFGMLKDLKPAFIRFPGGCFVEGDWLRNAFRWKETIGQWEERPGHFGDVWNYWTDDGLGHFEFLQLAEDLDSLPVWVFNNGVSHHDQVDTSSILPFVQEILDGLEFARGDPTSTWGSIRAKMGHPEPFDLRYVAIGNEDCGKTQYRGNYLKFYTAIKDKYPDIKIISNCDGSTRPLDHPADLYDFHIYSSASSVFSNARHFDSAPRRGPKAFVSEYAVTGNDAGKGSLLAALGEAGFLIGVEKNSEAIEMASYAPLFVNDNDRRWNPDAIVFTSSQMYGTPSYWMQHFFKESNGATLLSSSLQANPSNSLIASAITWRNSLDNNDYLRIKVVNFGTTAVITKISLTGLGQNSLETLFGAVMTELTSNNVMDENSFREPNKVIPVKTQVEKVSDNMDVVLAPRSLNSIDFLLRKSINNNVDTASVLKSSC >Solyc06g005660.2.1 pep chromosome:SL3.0:6:725023:728138:-1 gene:Solyc06g005660.2 transcript:Solyc06g005660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECYDIGDQKLKLIDISSEDDFLIDSPLFESLEDLRLSVTLDSRNEDGEGSNLSRTSAKIDKSTQVNKQKGLKYSFSVPIQPGRPSYLRKSSAWDNAFFTSAGLLEPHELSSMNKEFDTLENHLLPVILEDVRARRPDQQSISSIRTGPRNMIDRSLVFKRKNINDARPERIKTQQPYKRKECNSLKLPKPWPRSSASTWQSKISSRDMYSVDIGGKKRTGPGRDLMVSNSNTHSSITSCPLYVTSAYASKHRETGKTKLSSSVSTTKTPIRSSTKSKKFENLSSSHKSPLSTTKVRSLESSLSASSTNRAHSDSNSRLLLPRSQLTGPVASVPRENPQPSGLCMPSPKFGFFDEDMSVERTFDGNSNQPQKQRTSGSRDKGNSAEASNKVKRARSPLSIYSVNRDSMIKTLVLSPIKSRYAASIPKTKKASNARKDIFDVQSKYRTDKSIEIDRKVCSKLRKVGAGEHDRKRVGLVNGIVKTKEKRVKMVTKDDKA >Solyc09g091070.2.1.1 pep chromosome:SL3.0:9:70879475:70882592:1 gene:Solyc09g091070.2 transcript:Solyc09g091070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASATTLSVGSATSFGSIVSPKLQSKAFIAKCNTRDYLRSFSGLKAEAFVRCESESSFLGNESIAALRQSITPKARNQKQRSSNHVQPQASYKVAVLGASGGIGQPLALLIKMSPLVSSLHLYDIANVKGVAADLSHCNTPAQVFDFTGASELANSLKGIDVVVIPAGVPRKPGMTRDDLFNINANIVKSLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVIGGHAGITILPLLSKTRPSTTFTDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSYVQSDLTELPFFASRVKLGRNGIEALISSDLRGLTEYEQKALEALKIELKASIEKGIAYAQKQTVSA >Solyc02g086440.3.1 pep chromosome:SL3.0:2:49743422:49748340:1 gene:Solyc02g086440.3 transcript:Solyc02g086440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding IQLSLSLSKNLFVLSMDSILCDELLQEIFRRLPPPSAASVSLVCKRWLHLLRSSTTSLSICFIETPLIPLFSSFLSFHPFLSTLSVTITHTLDSSDQLLNSVASSCPNLRHLRFLNGSVSTLSLFSLSVSCPNLVSLAVTLFRPLSFLWVTPFGCLKELSIYSAGNSGEFDYGEFYGSCELNLESLLLTGIKSGDKGVGFLWRNCKKVTTLKLISCEGVGDQGSFLTFLQCLEGLQELELRTCRTIADGVLLKLAENCAMLNSLLLYDGGSKDGLFHFLTQSKCPNLQNLDLRLPLDLENYHLTAAAENLGSLRSLRLQSCCLVTGEGLKILGNAMADGLEELALINCDVVERESGSHMVKIKEIHGSDILKESSYLD >Solyc04g076230.3.1 pep chromosome:SL3.0:4:61256187:61263804:-1 gene:Solyc04g076230.3 transcript:Solyc04g076230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISQFFVLSQRGDSIVFRDYRGDVPKGSAEIFFRKVKFWKEDGGEEAPPVFNVDGVNYFHVKVVGLLFVATSRTNLSPSLVLELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEVVDFGYVQTTSTEILKSYIFNEPIMIDAGRLPPLGPAAMFMQGSKRMPGTAITKSVVANEPGGRKREEIFVDIIEKISITFSSSGYILTSEIDGTIQMKSYLTGNPEIRLALNEDLGIGRAGGRSAYDYGGSAGSGAVVLDDCNFHESVQLDSFDVDRTLTLVPPDGEFPVMNYRITQEFKPPFRINTLIEEAGSLKAEVILKIRAEFPSDITANTILVQMPLPTYTSRVSFELEPGTVGQTTDFKESNKRLEWNLKKVVGGSDHTLRAKLTFSQESHGNITKEAGPVSMTFTIPMYNPSRLQVKYLQIAKKSKTYNPYRWVRYVTQANSYVARI >Solyc06g005080.3.1 pep chromosome:SL3.0:6:59890:95440:-1 gene:Solyc06g005080.3 transcript:Solyc06g005080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERRHHVFSVDLLERYATKGRGAITCMATGNDVIVLGTNKGWVIRHDFGVGDSYDIDLSVGRPGEQSIHKVFVDPGGSHCIATVIGSSGADTYYTHAKWTKPRILSKLKGLVVNAVAWNRQHITEASTREIILGTDNGQLYEMAVDVKDKMEKYIKLLFELKELPEAFTGLQMETASVHNGTRFYVMAVTPTRLYSFTGIGSLDAIFASYVDRTVHFMELPGEIPNSELHFFIQQRRAVHFTWLSGAGIYHGDLKFGAQRSSPNGDENFVENKALLDYSKFSEGVEGVKPSSLAISEFHFLLLLGNKVKVVNRISEQIVEELYFDQTSDAVSRGIIGLCSDASAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDLKEYAAALASCRDALQRDQVYLVQAEAAFVAKEFLRAASFYAKINYVLSFEEISLKFISIGEQDALRTFLLRKLDNLSKDEKCQITMISTWATELYLDKINRLLLEDDDALDSNNTEYQSLIKEFRAFLSDCKDVLDEATTMKLLESYGRVDELVFFASLKEQYEIVLHHYIQQGEAKKALQVLQKPNVATELQYKFAPDLIMLDAYETVESWMTTKSLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEYCVHRLQNEDPGVHNLLLSLYAKKEDESALLRFLECKFGKGQPGGPEFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMIAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNEQIEKLKQEMNDATRGADNIRNDISALAQRYTVIDRDEECGVCRRKILNVGGDYRMTTGYMAVGPMAPFYVFPCGHAFHAQCLIAHVTRCTNQAQAEYILDLQKQLTLLGAEPKNVSNDGLSEEPLASVTPMHKIRSQLDDAVASDCPFCGDLMIREISMPFILPEEAEESESWEIKPHNYPSQRSLSLAV >Solyc04g072460.3.1 pep chromosome:SL3.0:4:59566898:59570686:1 gene:Solyc04g072460.3 transcript:Solyc04g072460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMTSPTTQFAPTRRMGIYEPFHQMSVWEDAFRGDIMPSADACMVSHPNDRPDDKSGYTSGEQHMPSGSGDNQGPRSSSDKVQRRLAQNREAARKSRMRKKAYVQQLETSRLKLAQLELELERARQQGLYMLGSNSNMGLLGTINPGIAAFEIEYSHWVEEQQKKNAELRSILQSPVSDMELQLLVENVLSHYFNLFRMKADAAKADVFYLMSGVWRTSVERFFLWIGGFKPSELINVVMPQLEPLADQQIIKVCNLRHCCQQAEDALTQGMDKLQQTLAQSILNMNTGAGNYTSQMVSSMENLEALESFVNQADHLRQQTLQQMSIILTTHQAARGLLAFGEYVQRLRALSSLWAARPREPT >Solyc10g081850.2.1 pep chromosome:SL3.0:10:62936752:62940613:1 gene:Solyc10g081850.2 transcript:Solyc10g081850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQQTGGVNLDKFFEDVETIKDELKELEKIHTQLHNSHEQSKTLHNAKNVKDLRKKMDNDVSLALKKAKFIKVRLEALDRSNAANRSVPGCGPGSSSDRTRTSVVNGLRKKLQESMNQFNELRQRMASEYRETVQRRYFTVTGENPDEGTLDTLISTGQSETFLQKAIQEQGRGQVMDTVMEIQERHEAVKELERNLKELHQVFMDMAVLVESQGAQLDDIESQVNRANSFVRGGAQQLEGLPFQGKMKS >Solyc07g009220.2.1 pep chromosome:SL3.0:7:4252378:4257160:-1 gene:Solyc07g009220.2 transcript:Solyc07g009220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLRAKSQQYKISRFFTIEATKQAFNNQQECFPITQQLLFLLKKCITIKQVQQSHAQMLIYSIDKANFLLSRIIDLKNFDYATLLFSHIHSPNEYAFNIMIRGLTTTWQKFDLSLEFYHKMKSLGLKTDNFTYPFVFMCCGNLLAVKLGRLAHCEVVKNGLFVDFHVGHSLITMYSRFGKMGFARKVFDEISLRDLVSWNSMISGYAQTGCSREAVGLFMEMRDEGFEPDERSLVSVLVACGDLGDVNLGKWVEEYVLGKRMEMNSFIGSALINMYGKCGDLVSARRIFDGMKKKDAITWNAMISGYAQNGLSDETISLFNAMKEAGVNPNNITLVSVLSACASIGALDVGKSIDDYASRRGIKYDIYVATALIDMYAKSGKLDDAYQIFESMPRKNEVSWNAMISALAFHGRAQEALSLFERMSLKSSDARPDDVTFVGVLSACVHAGLVDKGKYIFDIMNSSFGLVPKVEHYSCMVDLLSRAGRVYEAWDFIEKMPQKPDEILLGALLGACQKLKNIDVGERVMQLLLEMEPSNSGNYIISSKIYANLRRWDDSARMRQLMRQKGVTKIPGCSWIEMDSQLVEFRAGDSSHPIIDGIQQALDLLYEEMTIEVGNFAAWIVG >Solyc01g098950.2.1 pep chromosome:SL3.0:1:89188228:89191741:1 gene:Solyc01g098950.2 transcript:Solyc01g098950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYDSVHGQWKNNELKVKDEKTLLFDEKPVTVFGIRDPEEIPWAEAGAEYIVESTGVFIDKDKVAAHLKGGAKKVVISAPSKDAPMFVVGVNEKEYKPDLNIDSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSAKDWRGGRDASFNIIPSSTGAAKAVGKVLPSLNGKLTGMSFRVPTVDVSVVDRTVRLEKEATYDEIKAAIMLNSFTFEHLRCHLLS >Solyc03g043795.1.1 pep chromosome:SL3.0:3:7461660:7463437:1 gene:Solyc03g043795.1 transcript:Solyc03g043795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKSILSAIPSYALSCFQFPDSLCKEITTIFSIFWLGNDKDKRKIQFEKWENLRLAKDLKSFNKALFANVAWRILIEEESLLYKILKSKYFSHPSLIPLFFIWKSIILGRDLLQKGFKWRGGSGDCIKEWKDSWIPNSSGFKPSQMLAQIDVELRVKDLVEENTHTWNLDDLEKYFCSNDFHTIFSKPISTTGSSYKVIWHHTKSAKYEVKLGYHLAREMIRCEQLSNERDQSNASVHKEKKIASIGVAAIDNYVRMAMEISREKGWKKLQILSDAKNVVDMVLQRTIVSWDVETTCEDIWNLMKYFEVCDGC >Solyc05g018255.1.1 pep chromosome:SL3.0:5:20338884:20350596:-1 gene:Solyc05g018255.1 transcript:Solyc05g018255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVPRLSFRDERLAGIRFGNSSFFFHTRDIAFDETTFSFALTHTNQQPTTSTPPVQQADFPVAVSTSSSDPTTVSNTQQNSSTPQQSAPITTVPPIEVLPVPPPACASNRVRHPPGYLSKYVCQFATHVFSVTCPTTSPRSGTRFPITNYVHYEKLHDRYRDFLAAISATNIPRSFRDALKDLGPLKYFLGIECARSSTSLVLCQRKYALEILQEAGLTDCKPASTPLPPGHGLAMSTSAPIRDPSKYRRLVGRLIYLTITHPNLAYSVHLLSHFMHEPRVGHLNAAMRVLRYLKGHPDSAYSWLPWSRDYLNSEIGCSNIQPTPEEIASLGLPDHNYVPLNPPVSSIQDNDDVHPKEIPGFEDFTTKSPDILLKRTS >Solyc05g007150.3.1 pep chromosome:SL3.0:5:1746851:1751840:1 gene:Solyc05g007150.3 transcript:Solyc05g007150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRSTKRFEHLAFLNLAQNVVCLVWSFIMIKIWSNGKSGGAPWWSYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMFMGTLVYGIRYTIPEYVCSLLVAGGVSLFALSKTSSKTISKLAHPNAPLGYGLCFLNLTFDGFTNATQDSISARYPKTSAWDIMFGMNLWGTIYNMMFMFGWSTASGYEAVQFCKQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLTNTTITTTRKFVSIVVSSVLSGNPLSEKQWTSVGMVFSGLSYQIYLKWRKLQRMTKKRKPL >Solyc04g076410.3.1 pep chromosome:SL3.0:4:61398241:61401921:-1 gene:Solyc04g076410.3 transcript:Solyc04g076410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEESEKRKERLKAIREQAAEAGDNNEEQNSIGGPLDHGLTNPLIETPSASSRKDEPRPRFDYYTDPMAAFSANNKMNNLSPQVSQPCNTPPRPMNAGSFAYHAQGNYNSAQRTYWPRGVNAIPLGIRRNTNPFCMPQGDSTLGSSLGTPNNYSLPNSPQIGGISGHGSPQVSGAGSQYGQGSPYQGSGFRSKAYQGSRGGKGRFKFYYNESMMEDPWKALKPVIWKPRGDTQDCLKSRLPNSISAKRAKLGETPTKSTPQKCLAEYLAAAFNEAAGEDCE >Solyc11g050900.2.1.1 pep chromosome:SL3.0:11:16765337:16765828:1 gene:Solyc11g050900.2 transcript:Solyc11g050900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GFWTPPRFLQGEIEFQQQFQAEYSDIILVTTPKSGTIWLKSLLFTLVNRVKHHVFEHNHPLLVNNPHVLVPFLEHKLYIDGRVPDFSTFTSPRLLATHMPFSSLPKSVKDSKIKLVYLCRNLRDTFISICHFSNNLLFHHNDTNSFEEMFDLFCEGVSLYDPF >Solyc01g059860.3.1 pep chromosome:SL3.0:1:68840852:68849616:-1 gene:Solyc01g059860.3 transcript:Solyc01g059860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPTISAKVAIPPTEELLKKIQELEAGHAQLKQEMSKLMVSNDSTSQRQRSHSISPQRPLRLRGSNDPLGKKGSISFRHSSPLQRESNSKTERCGSRGSVKFTDKQYLSILQSMGQAVHIFDLNYRLIYWNRSAELLYGYSASEAIGQDLLELITDSKDHAVAKIIIHRVQMGENWTGQFPVKNKQGDRFLVVATDTPLYDDDGTFVGIICVSTDASPFHESSADVKHTEADKSSGRPRSIANATLGLDPLQPLQVAIASKISNLATKMSNKVKSKMKTGEGSILREGGSGDSHHSDHAFSDAALLDQREDANSSGASTPRGDVHFSPFGVFSNIAKEERTPEKSSRDSGDENEGKPGISKIITSKAEAWMVKKNLSWPWKGNEREVSEAKATRFVWPWLNNDQDNEWNHFKSSNAVSTPDDQIIENNRTATNEAWGSWSSSFNVNSTSSASSTDSTTSTTVNKVDADTDCLDYEILWEEMTIGEQIGQGSCGTVYHGLWFGSDVAVKVFSKQEYSDDVIYSFRQEVSLMKRLRHPNILLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNASKLEWRRRIHMALDIARGMNYLHHFNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKSGKGTPQWMAPEVLRNEPSDEKADIYSFGVILWELATEKIPWDNLNSMQVIGAVGFMNQRLDIPKNVDPQWVSIIESCWHSEPQLRPTFLELVDKLKDMLRQYAIQAQAARNVAGGNSQKEL >Solyc06g083900.3.1 pep chromosome:SL3.0:6:49240718:49242115:-1 gene:Solyc06g083900.3 transcript:Solyc06g083900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKLGLKRGPWSKEEDYLLINYIKKNGHPNWRALPKLAGLLRCGKSCRLRWTNYLRPDIKRGNFTHQEEDTIIKLHQVLGNSWSAIAARLPGRTDNEIKNIWHTRLKKKRNESQLKETQSEPENTNVDVHLEEANNSNDKHSEISNLKINIEIQQQPSPSSSVSSSSEDSCSNTTATSSESRNQIMSDNLLEIDDDIWSEVVWAQVDDNYVDLSLMEDNYHINSSFDDNWFWDDLFTRSNELMLELPEL >Solyc10g012005.1.1 pep chromosome:SL3.0:10:4305771:4307125:1 gene:Solyc10g012005.1 transcript:Solyc10g012005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFSAKNNYMCLTAHFIDRDWVLHKRILNFCPNTSHKCEHLDESISNCLLDWKLDNVFPVTVDNASSNDVAVLELSKKIDMWGTNLMEDVPTRWNSTYLMLDIAEKFEKAFERFDLYDASDDLDLSKMASGMKEKFKKYWGTPEKMNKMIFIASVLDPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFAIYVSKYGKGSKSQPSSSDSSDSSGSGISQNMSKNSLRTKLHMKKQKNDSRSLGVKSELDKYLLEDQEPESEDFDILSWWKVNSPRFPVLSQLARDVLAIFMSSVASECAFSTGGRILDPF >Solyc05g056340.3.1 pep chromosome:SL3.0:5:66521164:66536140:-1 gene:Solyc05g056340.3 transcript:Solyc05g056340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGTPNKRPHEDGGNGGSSNHSYSSAPKYSHDDSGAFPKVMSSGTPEYHASFDVGQNARMPKIQRTESSRDADRRSPVLPMYRVSSCPVVSHPDHSVASENRLEPKEVNKDVKVENRDAKSEIRELYQGTKSDKDDRFENRADDGKDIKNSRDTYPEYKGDVKTDKDRFSGVSWKDPKEQTRGKRYPDLPVPVGNMDPWHASRTHGAAEIGKEVSNSENRDFAKVREAVAENKMDLKGDDKYKDKERKRKEGKHREWGERDKERNDCRNNLQLGNSTSDNKELLKEERESERWEKERNDLSKDKDRPKDWEKDHAKREVWNGVEREVLQSEKEVIDVPGKTNEPENSTVEQKKQKDHDNWKNTDRDGSERRKERDTDLEGERPEKRVRCHDKEPEEGDLDTEGGGEREREAFNYGVQQRKRMSRPRGSPMANRDPRFRSHTHENEGSQVKHDVSAVNYRVGECMPELIKLWKEYESSKADEASDSSPSDPTLEIRIPAEHVSATNRQVRGGQLWGTDIYTNDSDLVAVLMHTGYCRTTASPLLPTITELRATIRVLPPQNCYISTLRNNVRSRAWGAAVGCSYRIERCSVVKKGGGTIDLEPCLTHSSTLEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQFNLCNEPWLKYSISVVADKGLKKALFTSSRLKKGEVLYLETHSKRYELCFSGEKMVKATTSLMHEMDVDKPQSHNLHMANGEKNGVNGENTMVDMFRLSRCKKPLPQKLMQSVGIPLPLEHVEVLEENLEWENIQWSQTGVWIAGKEYPLTRAHFLSPN >Solyc09g011830.3.1 pep chromosome:SL3.0:9:5102829:5109677:1 gene:Solyc09g011830.3 transcript:Solyc09g011830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQAVLSWSHCPPFLPKLSPISILPKTQKTLLRVYATVESPEENLLTAKERRQMRNERRESKTGYNWREEVEEKLIKKPKKQYKSWTEELNLDNLAKLGPQWWVVRVSRVNGHETAERMARALARNFPDIDFQVYIPSVQVKRKLKNGTLSIKPKPLFPGCVFLRCVLNKEIHDFIRECTGIGGFVGSKVGNTKRQINKPRPVDEDDLEAIFKQAKEEQEKADQAFEEEEQGEGGLDSKLTKNSSIATLDDKAVPKKRGRQSKKASDLLAVDALRGSDDKSLIPGSTIEVVSGAFAGFSGILKKVDSKAGLATVGFSLFGKETLADIDVKEIVAEVG >Solyc05g008080.2.1 pep chromosome:SL3.0:5:2504024:2507246:1 gene:Solyc05g008080.2 transcript:Solyc05g008080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMVYSVGHISGAHFNPAVTIAFALFRHFPVKQVPLYIMAQMVGAILGSGTLYLLLDLKTQAFFGTTPVGTNLQSLILEFIISYLLMFVISGVATDNRSIGELAGIAIGMTILLNVLIAGPVSGASMNPARSIGPAIVMHHYKGLWVYIIGPILGTICGAFTYNLIRFTEKPLRELTLTKTSTFLKSMSRK >Solyc02g067580.3.1 pep chromosome:SL3.0:2:38306368:38308626:1 gene:Solyc02g067580.3 transcript:Solyc02g067580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTVNRWLRPEVYPLFAAVGVAVGICGFQLIRNVCINPEVRVNKENRAAGVLENFSEGEKYAEHGLRKFVRNRSPEIMPSINGFFSDPK >Solyc09g037040.1.1.1 pep chromosome:SL3.0:9:20803361:20803513:1 gene:Solyc09g037040.1 transcript:Solyc09g037040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLKGTFSGNYTPRLDIPSVVEKFMNKELELEKFITHTLPILLNRQGFLN >Solyc09g059755.1.1 pep chromosome:SL3.0:9:55885194:55896120:-1 gene:Solyc09g059755.1 transcript:Solyc09g059755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADWTRTVDAGEAGIAESKTAPTPMDVGPPTNSNSRLFDNPTLYRSIMGRLHNLAVTRPEIQYVVNLNSQALKQILHYLKGISRRGLLFQKGDLELSIYSNSDWANDKDDRLSTTGYLLFLGPNLISWCTKKQTRVSHSSIEDEYRVMEAGVAEAMWLHHITDALGEEILEA >Solyc02g093010.3.1 pep chromosome:SL3.0:2:54603664:54607813:1 gene:Solyc02g093010.3 transcript:Solyc02g093010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein RER1 [Source:UniProtKB/TrEMBL;Acc:K4BD97] MDINTAGAGAGDVTPSGAFCQWTSSASLSFQHFLDKTTPYLLYRWIAFFFIAVLYVVRVYLVEGFYVISYALGIYLLNLLIGFLSPQVDPELQDLSDGPTLPTRETDEFRPFVRRLPEFKFWYSITKAFCIAFVLTFFSVLDVPVFWPILVFYWVVLFTLTMRRQIRHMLKYKYLPFSFGKQRYDGKKAASSESEDLLP >Solyc08g048080.1.1.1 pep chromosome:SL3.0:8:15189997:15190284:1 gene:Solyc08g048080.1 transcript:Solyc08g048080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWNTGDSPSKWLIRRVNSQCDASVKWDGDLPELSPVLLKMGANQATLIDRWDRKEEPLIAFAAASFRSSRKKPLSPGSAFENTCTAYCINIFYR >Solyc05g009170.2.1.1 pep chromosome:SL3.0:5:3304929:3305567:1 gene:Solyc05g009170.2 transcript:Solyc05g009170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELEYLAGSNTNRRLKLFGFNVIEDQEQEVESTKTSSGSPESGDFPAIDGRKYECQYCSREFANSQALGGHQNAHKKERQQLKRAQIQASRNAYMRNPIISAFAPPSHLLTPPGTVVYPAGSTPSWVYVPRAPPSFHVSHGGRGVANFHYTGGIAEPNLTSVGPQHVKAHSGRVDGSNGPSLSSFSRPDFGPNCDDPFGLDLHLSLAPAGS >Solyc04g050190.3.1 pep chromosome:SL3.0:4:45724788:45745825:1 gene:Solyc04g050190.3 transcript:Solyc04g050190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLLLSPLRLPIPNPVFNFFHSKSIFRFYCRPSHYLRSAITPPTMASPIDESVGVARRCWINSKKESTFALYTPFVVCLASGTLNIDTFRHYIAQDVHFLKAFVQGCEAAEVCTDDDDAKVGISELRKNIVNELKMHDAVLEKWGIDLVKECTINPATAKYTDFLLATASGKVEGVKAAKLATPFEKTKLAAYTLGAMTPCMRLYAYIGKELQVCLEGEKNHPYEKWIDSYAAEGFQASALQTEDLLDKLSVTLTGEELDIIEKLYHQAMKLEIQFFLAQPLVQKAIIPLSKDHNPAEQRITIFSDFDLTCTIVDSSAILAEIAIITAPKSDQNQPENQISRMSLADLKNAWGDLSKQYTEEYEQCIEKMLLTEKAEKCDYGRLCRTIEQLSDFEKRANSRVVESGVLKGLNLEDIKRAGQQLILQDGCTDFFQSIIRNENLNADIHVLSYCWCGDLIRSSFSSGGIDGLDIHANELMFQESLSTGEIVKKVESPIDKVQAFSKILANCRNDKKNLTVYIGDSVGDLLCLLEADVGIVLGSSSSLRRMGNHFGVSFVPLFPGVLQKQKMYAGSDSSSWTGLSGVLYTASSWDEIHAFVLGS >Solyc12g095930.2.1 pep chromosome:SL3.0:12:66004972:66010222:1 gene:Solyc12g095930.2 transcript:Solyc12g095930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine hydroxymethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DH66] MEACSRAAVMGSSLQQPVWVKGSAFPLKGEVKLNGVRLWFVKPCKASQLDGSLVSGRPPSSVSVPIPEMGGAGNNFVDYGLGEADPEVRGIIDKEKERQFRSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELEILCQERALAAFNLDGKQWGVNVQPLSGSPANFEVYTAVLNPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESSGLVDYDMLEKTATLFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVANPFEYCDIVTTTTHKSLRGPRGGMIFFKKDPVLGVDLESAINNAVFPGLQGGPHNHTIGGLAVCLKHAKSPDFKAYQNKVVSNCRALASRLTELGYKLVSGGTDNHLVLVDLRPLGTDGARVEKILDMASITLNKNSVAGDKSALVPGGIRIGSPAMTTRGFSEKEFVTVADLINEGVQITLEAKNLVSGSKLQDFMKFVTSPEFPLIDKVLDLQRRVEALTIQYPLPGL >Solyc12g035800.1.1.1 pep chromosome:SL3.0:12:44488244:44488399:-1 gene:Solyc12g035800.1 transcript:Solyc12g035800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4DE37] MHESQKWHKIFSSLRLHKLNILEASRDWRLGTEIEVAIAIIKGHQLKCLKE >Solyc06g075000.3.1 pep chromosome:SL3.0:6:46677268:46681838:1 gene:Solyc06g075000.3 transcript:Solyc06g075000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKRLFSSSHVFSSSSASSNLLKIGSVLKQARTFADDDVLGYSKLTHDANPLHFDAECAKNAGFTDCLVPGMLVASLFPRIIAAHFPGAIYVSQTLHFKLPVYIGDEIIAEVQAVSIRQIKNKYIAKLSTKCIKRDGPLVIDGEATAMLPSLVMEPPNLEITSS >Solyc08g078340.3.1 pep chromosome:SL3.0:8:62276771:62280750:1 gene:Solyc08g078340.3 transcript:Solyc08g078340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRCSHCSTNGHNSRTCPNRGVKLFGVRLTDGLIRKSASMGNLSHFASGSGGGSTPLNGVVHDSPGDTPDHPAAAGGSVDGYASEDFVAGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNMSRRKRRSSLFDIVADESGDTPMVSRDFLADDPAQAEMQSNNPLPPTPAVDEECESMGSAASANSIDGEHALSIPESSQYQHPLVYPAYVAPFYPMPYPCWPGYTAEPAIAETHEVLKPTAVHSKSPINVDELVGMSKLSLGESIGDAAKPPSLSLKLVEGSSRQSAFHANPSSGSSGMNSSHNPIHAV >Solyc11g005130.2.1 pep chromosome:SL3.0:11:112411:127439:-1 gene:Solyc11g005130.2 transcript:Solyc11g005130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGFTGGEPVGRASTSYEAAGGRRRFTVELRPGETTIVSWKKLLKDANKSNVNVVGSGPTMMPVGAPASIQTPVPHPALEARLAPGQPADKEVKDAPPGNRLNAVIEKIERLYVGKQSDDEEDLNDFPDDDEYDTEDSFIDDTELDEYFQVDNSAIKHDGFFVNRGKLERIEPVSPKNQQPKKRRRKDLAKSHVGDDDGHNPSKPVKVGKKAGKLVPVVSETSHPSHGVALQNVSHEEKFPNQLNVSEIPTTKKAADTQDMSELSPSASLRGNSAEEKDLDQQKIGVTQSKNLGDKLKDGSEISGKSSQRLHDRSSYAQEKSNVGRSVNISDGIDQSVQRRDEKFNVSGFEGKNSGQTMKDPAMQRKEGSSGRPKGTMLEKAIRDLEKIVAELRPPNMEVQDADNSSQAIKRRLPLEIKQRLAKVARLAQASHGKISNELISRLMSIVGHLIQLRTLKRNLKIMVNMGLSAKQEKDNRVQHIKREVAEMIKLRIPVMKSKLLEQQAGASDDFQEASAEEKEAFKRKYSMDVALEDKICDLYDHFVEGLDEDAGPQVRKLYAELAGCWPNGFMDNHGIKRAICRAKDRRRALHARRKDGEKIRRNKLLATKEGDTSRVDAGPIAQSVHIQEKIVVDHSSTSTNKPVYSSAAVNASARVHVSIANGSDVNRLKQEKLKGVSGSSVDPRGADAVPKKKVKRKHESELGESLFHSEKLTSTQAEEKNKTNKHTGCPTPKPNNVSTSGFEQLT >Solyc04g007270.3.1 pep chromosome:SL3.0:4:983126:988249:1 gene:Solyc04g007270.3 transcript:Solyc04g007270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVNGRPMKRLKRRVTADLNDFLTFPDDGNTAGTGPFRTTVKEFLSKHAILPPPSSLFPHLLTWQILFRVGDLTDGDGDGESSPAVVCLDVIEEDVARCRSIYCDQCRVVGWSSNPVCAKRFHFIIKADGNSVGGYNKSCAGCGEALQLSEARCKSCNHVMTAEDVEDWMYNQLEDTSHLLHGVIHANGYGHLLRVNGREGGSRVLSGSHIMNFWDRLCKVLGARKISVMDVSKKYGLELRLLHAITKGHPWYGKWGYQFGAGSFALTQDSYKQAVENLSSLPLTIFLSQGRKPRTRLQDLISFYQSLSDSELVNIRDLFLFLTSSIRDAHKPGSGADEATCKKRKTCDSKVLCSWTSTDILRVEEAMFRVLRAVSGPNWVSWRALRGAVCKAGPPELLDYCLKALHGKQVADGMVVNVRSVSGSGAMEYKIERCNPMVNVNTNENRLPCTPNLPSEEHLRRDLKYLYDCMLNPSTMSNHVPLIKREIAVDSATTVLDCKQFLKVYQPEKFLPILKSDAIQVLCEVDIIEQSDVHSRNPPPELLILPSDATISDLKAEASRMFQDVYLMFKRFQADELVGYGGVNESTQIKLLLGSAEFVTVRGKFLGKNGLSKYRMERGMERWTVDCFCGAKDDDGERMLACDVCSVWQHTRCAGIPDLDAVPARFICLKCRCLHKTTNPSGNCKDESVAGVGFGKNLAHAV >Solyc12g005010.2.1 pep chromosome:SL3.0:12:4712:8151:1 gene:Solyc12g005010.2 transcript:Solyc12g005010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKITGDKAELPAVTFPGLDLLQKSTKPPRRKTNNFAAGVKLRRDIGGNTPKGRRSRPETPLLRWKFNEDIHDNGSVKEEASTVEVDRKCSRNNGRTVVSVRKLGAGLFRQQQLPEVKNDHKFGFQAGRVEMHFHHRSKVHDSLINDPVQSPRSVFGPTNGLFHKFETSLQFSHSAMEGATKWDPVGWTTAVETKKIYGSQKLLDHQVNTASMISSLEAELECARARVHQLETERHSSKKKLKQFLRKLSEEKAAWRSKEHEKIRAIMDEMRADFSRERKNRKRLEIVNSQLVNELADAKLLAKRYLQNFEKEKQARGLIEEVCDELAKELGEDKAEVEEMKRESLKFTEEVDEERKMLQMAEVWREERVQMKLVDAKVMLEEKYSQMNKLIGELESFLDSRGLSFDVEKMKRAEQLQQAAASVSIRDIRELTYEPPNQDDILSVFEDVHFVEPDEKDIQPCTFSPRSLSPDGVVYDFRRISHAYVNQSDNLEEEGSEWETVTNLEEQGSNYSLEGSISSVNKNCRHSNVSRSRADSEGIGDDGASVSEISEVCSGPARQLTELSSASKLWKSRPSNGDNFKIKSLEGSKGRLSNGTILSRDHGSSKGGFSPSELGQEWSSPESGNSQIARGMKGCIEWPQNSQKKSLKTKLLQARTESQKVQLRQVLKQKI >Solyc12g010995.1.1 pep chromosome:SL3.0:12:3851158:3854339:-1 gene:Solyc12g010995.1 transcript:Solyc12g010995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMISISNVQREKKSMYNKSLLGDKLGKFPAPLLMNTVHFAMQAVLSKVITGFWHQRFQPTVMMSWRDYSLKVVPTALTTAMDVNLSNASLVFISVTFATMCKSASPIFLLFFAFAFRLESPSVKLLGIILVISVGILLTVAKETEFEFWGFVFVMLAAVMSGFRWTMTQILLQV >Solyc01g109090.2.1.1 pep chromosome:SL3.0:1:96055967:96056431:1 gene:Solyc01g109090.2 transcript:Solyc01g109090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVVDACVFEITKISEKVKAKSLFLLKKEKKGEKVEETQNNSSSLEMMRESKNDNNIMCEATLFLLMDRFAPT >Solyc01g065795.1.1 pep chromosome:SL3.0:1:72218235:72218672:-1 gene:Solyc01g065795.1 transcript:Solyc01g065795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKPLFPTGIEFSHAGEGDEFLENPKFHFEFRTLTSCCSWCFTINIGNEWRSGGTHGTTYWITPVWHEAADAESAPMPLAIPWLVPLPRNSRDSIARHEHRAKGRLSNSSESPYLIPT >Solyc10g047565.1.1 pep chromosome:SL3.0:10:41221524:41222514:1 gene:Solyc10g047565.1 transcript:Solyc10g047565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPDKVTNFTLHDGQLENTNSVIGWKITLGGKERHVKQVVHIDDAEKSMTFNFIEGYTNEFYYSMTLT >Solyc10g006720.3.1 pep chromosome:SL3.0:10:1177458:1182034:1 gene:Solyc10g006720.3 transcript:Solyc10g006720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLAFLFIYFKFFSIVLALDTITIDKSIKDGDTIVSSGGVYELGFFRPGNSTNRYVGIWYKKISTGTVVWVANRNNPLSDSSGVLMINPDGILVLVDSTNVTIWSANSSTILKNPIARLLDSGNLVIREENENRPEFFAWQSFDYPGDTLLPGMKLGRNLVTGMDWYMSSWKSPDDPGIGEFVDRMDVQGYPQLFVWKGSSIAFSSGPWNGLAFSGSPSLQPNTYFTFGFVLNQEEVYYRYDLKNGSMLTRVVLTPGGLINHYTWIDRTQSWFLYLTAQFDNCDRFALCGPYARCVINNSPPCDCLRGFVPKYPQEWDAADWSSGCVRRTPLACQQDGFRKFTGIKVPDTRKSWFNESIGLEECRKLCLADCNCTAYSNMDVRDGGSGCLLWFGDLIDIRELSPNQQDLFVRVAASEVDQDKKRKKKKSRLTAIVSAVAATCILSLLAWCALFHRRKKTKGRQVGADDMELPLFDLVTVANATKNFSSANIIGEGGFGPVYKGKLRNGPEIAVKRLSEYSGQGLQELKNELILISKLQHRNLVKLLGCCLEGEERMLIYEYMPNNSLDYFIFGPVLSTNPNRKESLSWSNRYEIAMGISRGLLYLHQDSRLRIIHRDLKASNILLDTDLNPRISDFGLAKIFGADQMEGKTRRVIGTYGYMSPEYAVDGKYSVKSDVFSLGVLLLEIVSGRKNRKFHHLSHHHNLLGHAWLLLNEGNALELMDECLKDSYVESQVLRCIQVSLLCVQKLPEDRPTMASAVFWLSNDGVELPQPKQPGFFIERDSTNQSNESTDERCVTDNQLSLTILEAR >Solyc01g102960.3.1.1 pep chromosome:SL3.0:1:91487831:91488400:1 gene:Solyc01g102960.3 transcript:Solyc01g102960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKAKAHVMSFLVLATTILAILPSKTQALMPYTRPLFDLMFPQEDPFKILEQTPLTIPKGIDQTIALLARSDWKETSKEHIISLDIPGMKKEDIKIEVEENRVLRISGERKTEEENIESEKWHRVERTSGKFWRQFKLPRNVDLEHIKANLDNGVLKITVPKLAEEEKKQSKVISISEQVNGGDIKATM >Solyc12g096390.2.1 pep chromosome:SL3.0:12:66307711:66311517:1 gene:Solyc12g096390.2 transcript:Solyc12g096390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPFCSRYASLNFTHFSPSLAPAIQLTFSTTFRRRNVGVVSRMESTSISPSGGSGTTAVSAIAAGGDATTTGSNSTEESSITDTVVQYVVLRRDLIDTWPLGSVVTQGCHAAVAAIWSHKDDAVTLQYCSPSNIDSMHKVTLEVKGETQILNLAEKLKAGGIAHKLWIEQPENIPTCLATKPYPKSLVSSFFKKLKLCK >Solyc11g011440.1.1.1 pep chromosome:SL3.0:11:4499632:4500930:-1 gene:Solyc11g011440.1 transcript:Solyc11g011440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTYKVFLIFFLNFFHLSIAKNLQENNTLSLSFPLTTTPLSQNSTLKSNYLFSSKAMTNNIIPSLNYKSNFKYSMALIVTLPIGTPPQDQQMVLDTGSQLSWIQCNKKLPKKTPPTTFDPSLSSSFSVLPCNHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKITFPNSQTTPPLILGCATESRDAEGILGMNLGRYSFVSQAKVQKFSYCVPHKQGNKIMPSGTFYLGQNPNSHRFQYINLLTFPQSQSMPNMDPLAYTLGMVGIKMGGKRLNISEKVFRPDAGGSGQTMIDSGTQYTFLVEEAYSKVRDEVVRLVGPKLKKGYVYGESLDMCFDAINSVQASQAIGDMTLEFENGVEIVINKENVLDDVGGGVHCVGIGRSQSLGIASNIIGNFHQQNLWVEFDLSNKRVGFGKVECK >Solyc04g055080.3.1 pep chromosome:SL3.0:4:53592863:53597031:1 gene:Solyc04g055080.3 transcript:Solyc04g055080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPTYPFPKIRIDFISAPHQPFFPNWPEPAMKNMKSEWLTLLLVNLGGIMERADESLLPGVYKEVGKALHTDPTGLGSLTLIRSIVQCLCYPLAAYLSGRQNRTHVIALGAFLWSAATFLVALSSTFTQVAISRGLNGIGLAIVGPAIQSLVADSTDESNRGIAFGWLQLTGNFGSILGGLISVLLAQTSFMGIAGWRISFHLVGFISVVVGILVRLFAKDPRFIDNVKEQPPQKPFREEVRELLKEARAVVKVPSFQILVAQGVSGSFPWSSLSFTPMWLELIGFSHKTTALLLTLFNVALSMGGLFGGKMGDVLAKHFPNSGRIILSQISSGSAIPFAAILLLGLPDDPSTAAIHGLVLFIMGLTISWNGPATNNPIFAEIVPERARTSIYALDRSFESILASFAPPVVGILAQHVYGFKPIPKDLTGSQEIETDRENAASLAKALYTAIGIPITICCFIYSFLYCTYPRDRDRAKMDALIDTELQRIDKTDYHPSDEENEMHKKELTMIDIDYNVDERLDNDEKRLLPDQL >Solyc04g048950.3.1 pep chromosome:SL3.0:4:38627948:38640168:1 gene:Solyc04g048950.3 transcript:Solyc04g048950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSSNKETAMRLREVAEGAVKAIGLGFDIVDDLRLKFCKDYQLISVDHDQVRDISIPGGILVQNVPKSINCDKGERMRFTSDILSFSQMSERFNQDLSLSGKIPSGHFNAAFEYTTCWQKDAAYTKALAFDGVFITLYNIALEKAQVVLRDHVKQAIPSSWDPVALTRFIEKYGTHVIVGVKMGGKDVVYVKQQYSSTLQPADIQKRLKEVADERFGNANVQSSTTLLANSEQQLPFMDSTSSTVHLHKEDFTFLWGRRGGSRIRNIPHKRWCQTVPVEPDVISVSLIPISSLLSGIDGSGFLSHAINLYMRYKPPIEELYQFLEFQLPRQWGPVFGELPLGPERKQQSGATLKFSLMGPKLYVNTNLVDLDKPVTGLRLYLEGKRNNCLAIHLQHLSSLPKSLQLQNESYGYASSSSDQRYHEKVQWKSFSHVCTAPIESDDLSIVTGAQFEVRESGLRNVLFLRLQFSKVIGATVIKRAEWDGSPVITEKSGIISTISSHFSSAQKPPPRPSEVHINSALYPDGPPMSAQTRRLLKFVDTTEMMRGPQDQPGYWMVTGARLVVDKAKISLRVKFSLLAVVLPDEEESFQG >Solyc02g032375.1.1 pep chromosome:SL3.0:2:28879710:28881106:-1 gene:Solyc02g032375.1 transcript:Solyc02g032375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIGATHNFVTEQKARELGLSYVASNTKLKTVNATPTTVIGFAPKVSIELGEWAGQTDFTIAPMDLHISDIGGSCVVPLTRVPQTGMHLSAMQIIKGFKRGEPTFLATLIEDAGSYIEAVPLPPCIEHVLSTNKDVMPTELPQRLPPRREVDHQIELVPGAKPPAMTPYRMAPPELEELRKQLKELLDAGHIRPSKEPFGDEPKTACVTCYGAFDWLVMPFGLTNAPATFCKLMNRLFHSYLDQFVVIYLDDIVIYTNNMEDHVEHLCKVFKILRGNELRTVTGIGRQLAKMPLRG >Solyc09g042722.1.1 pep chromosome:SL3.0:9:25309429:25315644:-1 gene:Solyc09g042722.1 transcript:Solyc09g042722.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLEVKNIVEILSVVLPYYLSVIKFYDKHPELKGTQKYSEINEFEKIEFLFKTKGVPRQQEDSLDCEVFVAVFAEFVSNGQYILNQQVKADILRKRFGAIYGNMQEGSKRVTFKVKTKDQLDKGI >Solyc01g005460.3.1 pep chromosome:SL3.0:1:314758:321092:-1 gene:Solyc01g005460.3 transcript:Solyc01g005460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKAEEELERRSKFLNSLILKKKSVEEKQQNEGLNVCVRASDMSFALQNHAFKCARNNLDATMACGKVDSKRLALALKKEFDSTYGPAWHCIVGTSFGSYVTHSIGGFLYFSIDKLYLQGCTSLTIEGVIGAIKLLTKPNHKLKNLAISGIYNVKIEDFQTLCYLMGINQMQMNQITKNYYHMRHELYAFKQESQPSIDVDICPKCGEIREVFDCPRDSCKRRMQQQQQQQKQLLIECRYG >Solyc01g067000.3.1.1 pep chromosome:SL3.0:1:75151497:75152441:-1 gene:Solyc01g067000.3 transcript:Solyc01g067000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYPTLDINVVSGKDLNKVNLITKMDVYVVVSISGADDDRSNQKTKTHVDHDGDNNPTWNFPIKFTIDDSPAVQNRLHLVFKLRCQRALGDKDIGQVEVPIKELLESSNTTKQFVSYQIRKPSGKPKGQLTFSYQFSDKITGNTVVDSKIENPVTAYPAANPTPMVGSTSVYPPIPPPQQGPSGLYPPPPIYASGGSGPAPPPPVAYPPSATAGYPPQPMGYPPVAAGGYGYGYPPVAAYTPPPQYGYPPHQGGHGYGYPQVQRPPKKNNNNMALGLGAGLLGGALGGMIIGDAISDVGGGFDGGFGDVGGFDF >Solyc08g067690.2.1 pep chromosome:SL3.0:8:56732268:56733865:1 gene:Solyc08g067690.2 transcript:Solyc08g067690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFLEVVVQVENRGTTAVYLKESFLHALPGRYGLVIRIAPLSTKVIAAHTFFARNKSFGRPSTVEVHINGKFIQRLTPQTFVYFPKIIVVDNGFTDGDGSIIRVIHPQPSLQLSRLRVFNFLAMGFKERKEVWIVKK >Solyc07g045260.2.1 pep chromosome:SL3.0:7:58509004:58512535:-1 gene:Solyc07g045260.2 transcript:Solyc07g045260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAPAGQGTVRMLTREEWESVQEVRPRTPFESKLARPNAKLRTGEPLKMEDVKDWTIDVITDALTRAEECAIRGSN >Solyc05g046080.2.1 pep chromosome:SL3.0:3:30167033:30168318:-1 gene:Solyc05g046080.2 transcript:Solyc05g046080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAEVPTPPANVSSVHVSSQVTKDKFVYPDIEEMKQHMKEYVDSKFEYLVNLIKANQIEVMNSKNREDGQQPNIMYFVIMLRTDLMKEMDDKSTSHMVEVSDEEGNDGHQTEDTLKNHQVMKDISELQSPNANSHHTDETCEHRKDALSAQTPHHLFEGTMNEDVSYNVQHNTNQLCQILLYLIH >Solyc02g086980.3.1.1 pep chromosome:SL3.0:2:50183342:50184023:1 gene:Solyc02g086980.3 transcript:Solyc02g086980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSSARSANASTSVSVLTVRVEAGNCVELGSKAQVSNSSPQKSLGPRVIELKNPDLPPFVVELSSCPLMIKSISLTGSSSRTTYVPIMLRVDTRRSHIASSNWSSICSKNGTCAVRRFWGL >Solyc06g011570.3.1 pep chromosome:SL3.0:6:8112915:8118426:-1 gene:Solyc06g011570.3 transcript:Solyc06g011570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHLCALIAMKFALHNLKDSDHQMRNRTIKALIALEVLNLSVLLCSEEFIHKLNKDWRDEDRPTDVFSMSQNIPELELQILMVGDIVILVEPVTRHVEERRCSSLDEINILLDTREDHCLTK >Solyc04g074830.1.1.1 pep chromosome:SL3.0:4:60833678:60834694:1 gene:Solyc04g074830.1 transcript:Solyc04g074830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRKRILRDIIGIFKDKASILKANLSTKRGVSSIQVTVIRATTHSSTSPPRNHRVAAIISAGDHSLPAIYTCIDAIMDRLHSTQNPYVALKCLFIFHNIMSKGSLLYKDHASFFPSSGGHNSLNLSGFYDKFDVETRQLSSWVRWYANVLERNMITSRTLGSYISPSSKLSINFDKIENHKGNNISFSDSVTEMESLVCMVEVICEAPESQYCQKIDLVHEIMILISEDYRSSQYHIMIRLVELSGRVSRLSYLDLSELILCLSRLEGCRKKMNELFIRRKNDLFWEMVSQKKMDLEKVKYEREKQSLLLWNVDENCDELNWSSQRFGGKIQQFLVTA >Solyc11g066240.2.1 pep chromosome:SL3.0:11:52253097:52255716:1 gene:Solyc11g066240.2 transcript:Solyc11g066240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAIDHNNLFYTVCSICEKTLPDPSPNTQIPNSSSSSSSSSSIPFCKNCNFNSASSGSKRLFRVLMSIATEKRVVVVIMFDRAARVLFGCSADEFFDFAKTHPFAAAAAGNALEGEMLKITLSKPKNGNARHLRVVSVFPLRTGFQPVIKTLRELYRARGGS >Solyc07g026600.1.1.1 pep chromosome:SL3.0:7:29327380:29327586:-1 gene:Solyc07g026600.1 transcript:Solyc07g026600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMATTSRSSQANSGQQASPTTRTGDSIGEQTKLQQPPSEVSRRPQAHNQGQRQQRLRRTIINNIDIR >Solyc04g080145.1.1 pep chromosome:SL3.0:4:64499119:64500064:1 gene:Solyc04g080145.1 transcript:Solyc04g080145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRITPPCRFPWLGFPNEDPSMLSLLKVETFSGRFSINTDGRVDDDAANAEAFINKASFKVNDITNESLNLQYKVYYARILDLKRKFLETVLRYFDISQMEKRLIGDE >Solyc02g085470.3.1 pep chromosome:SL3.0:2:48985807:48989864:-1 gene:Solyc02g085470.3 transcript:Solyc02g085470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:BAC19.3 [Source:UniProtKB/TrEMBL;Acc:Q9FYX3] MRCPYCSAEQGRCTSSTSGRPITECTSCGRVVEERLTQSHHLFHTRAQDSPLCLATSDLPTLPISATNDDEDPFEPTGFITTFSTWSLEPYPVFAQSSISFAGHLAELERVLEMTSTSSSSSSSSVVVENLRAYLQIIDVASILRLDSDISDHAFQLFRDCSSATCLRNRSVEALATAALVHAIREAQEPRTLQEISVAANLPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVIINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWDDLLPSSYKPVVPPEKAFPSATIATGRSSTPRVDIVEGTSSERDKPVKPVDSLDISPQIRGKEDSDSKDNINTTQLSWPPPFWKPQAPAEGGVKSATDKSQNATEEMEIDL >Solyc02g077270.3.1 pep chromosome:SL3.0:2:42824603:42831460:-1 gene:Solyc02g077270.3 transcript:Solyc02g077270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLSNSLCFITFILLLGKVQCRVLKLNSLDNNQLLNISYGIDQVSNNQEHGPPLVSSSGKTCEQVYGVFPCANNIAGYIFLIVVCQYLLNTGESLISRGSKTLFNILGTGIFGATVFQILMTLPRIVMVIDQALTVMPKAITDNKSSTMILTRAIPNSIKLITKLSLRSMVPISYLIKTHTGVQRRFAESKQLLNERVKKKQDSYSKIEKSSIGELFLHGRHGEASGVSASKEKAQFQISSGISTTVGATVFNLTLMWGICLICGTKNMTDKPDSQSEESSPFKRLKSLQDTGVRIDKETSYTAGIMLLSLIPFVLVQPVTALNSFVGRRILIFITLIVSLILLVSYFLYQVLDPWMQERSLEYSKYENLLAGFLHHVQRHARGKLINEEGQPDIDVIKRLFHETDRDADKCLTLTELENLILEMQSGKVVEVDKDYAISKILNSFDKNNDKVIQEEEFVEGCKKWIEEAAQLAQSDDSSTKKILRKARNEILVASSIIPQQAVEKYTKKQRDEIAEIEHIMARLLKHVQTQALEAEHLVKDDGTPNIERIREIFHQYDFDGNNAITKPELEELIGSVKFGEVEINHEDTVKKVLRDFDRNGNDMIDEDEFVHGMTRWLNEAISVTKCPDKKRAIDEYDKIKWSEVDKIVYEVESDGEINYKLLTWTFNKSVLQVLLGIAIMTLCAKPLVISIEDLSDAMGIPSFLIPFVMVPLALNARMAIAAIFPASQKSSITASLTFSEIYGGVIMNNIMGMATLLAVVCIKDLRWDYSAEVLIILVVCSVIGLLAFFSTTYPLWTCLVAFSLYPFSVVLFYLLECVFGWA >Solyc09g075760.3.1 pep chromosome:SL3.0:9:67806824:67823192:1 gene:Solyc09g075760.3 transcript:Solyc09g075760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALAQTYFSQGGPFRGDSRSFYGRNQSRGMVHSSAYNNYNTVDTHFFNSNSSHDVGRNFSEYSYGYDNLIKPEAEPSSKRRRCSTSGWESSGRYSQAPYACNDVPSKRQSTCYNVPLRNSRAYDDAYPVRNNNSATTSKPRSDASAPTCSKRDRSWLEDTETDNIFMSKEEIEKCSPSRKDGIDAMHEAHLRYSYCAFLQNLGIRLDLPQTTIGTAMVLCHRFFVRRSHACHDRFLIATAALFLAAKSEETARPLNNVLKASCEIFHKQDLAVLSYFLPVDWFEQYRERITEAEQMILTTLNFELTVQHPYESLTSTLEKLGLSETVLVNLALHLVSEGMLVIWTGNSLRVGGVFSTPQWWVWVVQVLNWSIQTLLAAPNPSFLNRRRRNYQKFFLLLFLIMLIFFPSATSPMLNPAFRVLLTMHYFPFGHVTNSDGQAWFVSTEHMLEDLISLLFHALLLYKVHNTCYSVIPNEEPSLLALSYPFGLRSWDYVLVLSIWYNMFVIYFILSQHCAEELGCCFRSWLRSSLWLQFKPYQIAAGAAYLASKFLNMDFASHHSVWKEFQTSPNVLRVAFLTSKFLVGKTIQSPATSFKLMDSKAERRFRTIQNQLASTTAGDQDQPSLFRRRNAAGKFFSEQGFSNTLPEELKTGKWNVYRSSRSPLKLVSRFAHHPEIGTLHDNFVRSVKASPDCKYLGSRVREDGTVGQYKWMTYGEAGTARSAIGSALVCHKITKGSCVGLYFMNRPEWLIVDHACSAYSYISVPLYDSLGPEAVKYIANHAATEAIFCVPETINHVVGAVDGRFPSLPSSSGVEIISYSKLLSQGCELSICAAYDLSHISVNDMGGVMRDISLSGLSNLQPFCPPKPEAVATICYTSGTTGTPKGAVLTHANVIANVAGVSIGITLYSSDIYISYLPLAHIYERTNQVLLVYFGGASGFYQGDNLKLVDDIAVLRPTVFCSVPRLYNRLYAGIMNTVKTFGSIRETIFNSAYNAKKQAIFNGEGSHSRRSNGDISFETLLKAVICSCITAHLVVLRSLFMSLDLPNNSVGLTYEENGDTSLFAVIYCRLIFAFPGKSASLIWDRLVFNKIKARLGGRVRLMVSGASLLSPDVMDFLRICFGCQVIEGYGMTETSCLISNMDQSDILSGHVGSPNPACEIKLVDVPDMNYSSEDQPNPRGEICVRGPIVFQGYYKDEVQTREVIDKDGWFHTGDIGAWLPGGRLKIIDRKKNIFKLAQGEYVAPEKIENVYANSKYVAQCFIHGDSLNSSLVAIVCVDLDMLKTWAINEGIKQNKDVKQLCTDPRAKFAILKDMDTVGKEAQLRGFEFAKAITLVLEPFSMENDLLTPTYKIKRPQARTYFAREIADMYAELSTSNSSPNKIL >Solyc09g098235.1.1 pep chromosome:SL3.0:9:72577514:72578473:1 gene:Solyc09g098235.1 transcript:Solyc09g098235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQKDQVTTMFHLHEATALSINRELEFLQCFDNTRPCTQTRYKQRKFRITNFLNIRGKLKTKKDQNHRLKGPKMVEIHHYLAIPSSSGRVSSLISGISSSSSARACNTA >Solyc12g096980.2.1 pep chromosome:SL3.0:12:66653309:66655634:1 gene:Solyc12g096980.2 transcript:Solyc12g096980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFNQSKITNADHQQEENVNKETESSNKKINHGINNTKNNDVDFVKVNMDGLPIGRKVNLSSHICYETLAKILEEMFFKSTKTTNCGEKEQVTKSCKLLDGSSEFVLTYEDKDGDWMLVGDVPWGMFLSNVKRLRIMRTTEAKGLCM >Solyc01g005420.2.1.1 pep chromosome:SL3.0:1:293554:294380:-1 gene:Solyc01g005420.2 transcript:Solyc01g005420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEARCLCFTVHSASNLINVRKFGEMKVYAKVSIAGKSECTEPDLVKSINPEWNKMFTFIVPEYNIIQAQGKIYVKIELICKRSLSRDKYVGEVNLSLDSQSPRSCNTCVVDRSGSNFGTLMYSSVLSDKLIVMDSSPSKDYNNKVNIAQIAATLVGAVATGAAAFAGN >Solyc11g005605.1.1 pep chromosome:SL3.0:11:449605:450712:1 gene:Solyc11g005605.1 transcript:Solyc11g005605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTQGGNPIQWVSRTRLDLKTGIGFDIKDRERCTSAEKVLGLTSNQYNVSVASWTMTTELVLLTITPVVQGVFTGTSGFYRSNYFAILARTLNKANNIFHSGGRQVYHRRFKAAVSQCYEQIKEEK >Solyc06g005500.3.1 pep chromosome:SL3.0:6:525706:529140:1 gene:Solyc06g005500.3 transcript:Solyc06g005500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICLSARIKAESPFHTGLNSRSVSIDGGDSNSSSRVPSTTRSEGEILQSPNLKSFSFSDLRTATRNFRPDSVLGEGGFGSVFKGWIDENTFAATKPGTGVIIAVKRLNQEGFQGHREWLAEVNYLGQFSHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWKLRLKVALGAAKGLAFLHSAETKVIYRDFKTSNILLDSNYTAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYMATGHLTSKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLGNKRKVFRVLDTRLEGQYSMEVASKVANLALRCLSKDPRFRPSMSDIVKELEQLYQQSKDSGNTRSHASNRPRPRRRSAGDVANRNPSVAYPRPSASPLYAK >Solyc08g082920.3.1 pep chromosome:SL3.0:8:65691661:65697419:-1 gene:Solyc08g082920.3 transcript:Solyc08g082920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYYVTAVPPTDLNRNTEWFTYPGVWTTYILILFFSWLVVLSVFGCSPGMAWTIVHLSHFLVTYQCFHWKKGTPFADDQGIYNRLTWWEQIDSGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLFFNTIAVFVLVVAKFPNMHKVRIFGINADQ >Solyc09g091380.1.1.1 pep chromosome:SL3.0:9:71149735:71150166:-1 gene:Solyc09g091380.1 transcript:Solyc09g091380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLLLVLAFFLMMMNINIRQISCAHPDIVTIPSPIISPASSPLPSGDSGAGGGGGGGGGGEGGGTKGGYGYGSGSGLGWGRSGGGGGGGGGGGGGGSDRGQRGGGIGCGAGQGSSGSDGSVPIPSPSVKPWTTRNIFPGFY >Solyc06g064610.1.1.1 pep chromosome:SL3.0:6:40379971:40380288:-1 gene:Solyc06g064610.1 transcript:Solyc06g064610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFNGVLVVSVAKISAEIWQLLACLPEPVSSQQLLDLVICYPFQQIGRLALCFCTFICYPPNLYSYRSYSHTNPTSSSSYHQDHNDSSSSSSRLGQNWVDFDLIV >Solyc11g005420.2.1 pep chromosome:SL3.0:11:322921:326008:-1 gene:Solyc11g005420.2 transcript:Solyc11g005420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGACATKPKDLKGDAPETAPENVPATEIATKDAAEVAVAAKDVVVVAEVEVKKEIEADAAAADDDDAEKRRSLSNLFKENEECKGSEQVNEEASKITPSEAKPEEVEKVVDAPVTSEIEKALEVASITAEAPKVEPSEEKKIDEVKSEPKTPAEKKVEEAKPAVETPAEKKIEEAPVAPTHVETKAEDAPKVTVVEEKKSS >Solyc04g077920.3.1 pep chromosome:SL3.0:4:62863781:62869071:1 gene:Solyc04g077920.3 transcript:Solyc04g077920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRSSQRRNAAMLDSDDTDSLSSSSTTRSDMMLSGLEEVQFDKETVLDQCVDALYEKRGSTREKALTSIIEAFNSNIQHEFVEKKFATLLQLCLSSIRRGSSKEIALASHVIGLLALTAGPGDKAHEILEESVKPISVALKSRSDNSKISSLLECLAIITFVGGKEPEETEESMQLMWQVINPKLGPNVATAKPSPVMITAVVSSWSFLLTTMDGWTLNPKSWQGSISYFSNLLDKEDRSVRIAAGETLALVFEVGSLEKFSGESKESSDSSADETNKSRELLHIQGLRAKVLNQVRTLSAEAGGKGSAKKDLNNQRNTFRDILEFLEDGYSPETSVKIGGLLLSTSTWAHLIQLNFLKHFLGGGFVKHMQENEFLHDVFGFTPKKNLPASQHRVSGTDKRKYKSPNSILNKARTQFLNKQRMLSQDKNVGYYEGGDEF >Solyc04g081710.2.1 pep chromosome:SL3.0:4:65730107:65733876:1 gene:Solyc04g081710.2 transcript:Solyc04g081710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFGRAGRALLGTQIRGYCQNAESTSEAEFRRTCKWFLAGAFTACNILYCTVHTQLIRIREE >Solyc06g076850.3.1 pep chromosome:SL3.0:6:47883520:47887018:-1 gene:Solyc06g076850.3 transcript:Solyc06g076850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVKVATTCLQWAQPFVPHSTSSSSQTFASAISPPSSSKRHSFSRKGSLICRYVHRLDKSALFGTQLLNLYRSKSCEQLKPRTRTIRRASSTSSSLDSFSDEEFSKEIQELAKKFQLSDVENPSTISSRSDFVETRDESISSDNKFLENQRPFDPLEQPDWPERDEIIPANIEWKANSVDLPFSLRIIKRKKQWQDGIREAGESAYCSVKKAFSNMVFIIRELQSYTLQMRELLFYEDLQGILVRVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANYSVHSMASSAAIAATPPPLTETVSTLDESHFNQKFDSSAIKTFSVSSSSGKVTSIGGGNGGGGSYKPIASGNDGDGRFDGQMRNGISSIESSRVGEEECVSGQETTEEELQIWNSIVDEASKMQASVRDESLDHETMQRFVSPINANIEVSNYAEYIKTELLYLVELKQEPQNTLLLANYAQFLFLVAQDYDRAEKYFKKAAEVEPKDAEALNKYANFLWKVRKDLWAAEETFNQAIAAEPNNNFYAANYAHFLWNTGGEDTCYPLDSSNTDL >Solyc12g049330.2.1 pep chromosome:SL3.0:12:61756704:61760637:-1 gene:Solyc12g049330.2 transcript:Solyc12g049330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYDQAAAAVLSQIATAADGALIGLALAYVAVRSIVKFKANSSALHKINDAPSLNVSDLRSLLSSSDNSDSSNHSDDGKLVIVRGTVEAKSAVEGNWKSLRANILSAHNSAEKGVILQHTQTCIYNEWRGFFSWAGDLYSIFPRVRKDQQSSSLRTVPFVLIETGRWPQPKYVNVNMDGSTHSLPLVTVYHHLQPLHATPLTFIQALFGHHYPVGVLDEEKILPLGKDITAIGVCSSKDGILEIKSCEDLPYFLSDMTKDQMLVELAFKTKILMWSGVLFGSVAVGVLGYAVVRNWNRWKLWRHQRQAQQQRDAASNDDDVQVSADEESGDVPDGQLCVICLTRRKRAAFVPCGHLVCCQRCALSVERDLAPKCPLCRQTIHSSVRIYDS >Solyc11g020670.1.1.1 pep chromosome:SL3.0:11:11841566:11842690:-1 gene:Solyc11g020670.1 transcript:Solyc11g020670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFMEPHRKKLGDASNHHQHNQEETPSSLQLISPHQSQRDPSTPGSNHPHGPFMGSISMQSISPPSTNSSTPNNNTISTLKVAKKPSKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIATTGTGTIPANFSTLNVSTRSSGTTISAPPSKSAPLFIHGASASASAAMLGFHHHLSTANTGFIQDPDENYMKKRFREDTTTSGATSPSPDKPGSDSSKPGSNLIPGQAMWAVAPAGGNVGNGFWMLPVSGGTGSTAVSVGAGQSDHQLWQYKSSRIGGLEFPGGGRFSPVQLGSMVLQQSHPVQQLGSNMGMLNAYNNNNNNSRVDLGMNLEHHNQTQHQDSDSGDENHNDSQS >Solyc10g007125.1.1 pep chromosome:SL3.0:10:1516706:1517677:1 gene:Solyc10g007125.1 transcript:Solyc10g007125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRLLSFRCRINHEKLPPKSQIGLFEMVHEAVRIRLHQRQQCKLRGVKLVKARRPYVQSLVVKCNATSDDVPLFSEVEMIEPVQIFLNQFMKLQWDV >Solyc10g062000.1.1.1 pep chromosome:SL3.0:10:23927801:23927962:1 gene:Solyc10g062000.1 transcript:Solyc10g062000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGMILGAVYSLRLYNRVVSGNLKPYFLHKFDDPNGREVSKFIPFLFGWVTLR >Solyc01g081410.3.1 pep chromosome:SL3.0:1:80463450:80468854:-1 gene:Solyc01g081410.3 transcript:Solyc01g081410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQSSKWMILIVSTWIQAFTGTNFDFSSYSSDMKSVLEISQVQLNYLSMASDFGKAFGWCSGVSLMYFPLWVVLLIAAFMGLVGYGLQWLVIQRIIFLPYFLVFLLCVLAGCSICWFNTVCYVLCIKHFSANRPIALSLSVSFNGASAALYNLIANAINSKDDTLYLLLNGLVPLVTSIAALPILWQPQSQTVRADSVHREYLNFRCLTILAVFTGLYLLILNSVSYSAQTARILLAGALFLLVLPVIAPGVICTEEWSELFHPNYISLGDNDTDDLEMLNELIWEEASSMNVWTENSDGSKDKGSWTSSFLLRDRLLLLGEEHSASLLMRRLDFWLYYLAYFCGGTLVLVYSNNLGQISESLGYRSEISFFVALYSACSFFGRLLSAAPDFLRNKMNYARTAWLAFALIPTPLAFFLLVLSGSKAALSAATALVGLSSGFVFSASVSITSELFGPNSAGVNHNILITNIPLGSLLYGLLAALVYEANLGKPDQVLVLDGSKVCMGRNCYIQTFMWWGCISLLGVASSFLLFLRTKAVYDSQERNRNWMRLT >Solyc05g046000.3.1 pep chromosome:SL3.0:5:58884977:58887187:1 gene:Solyc05g046000.3 transcript:Solyc05g046000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFPRLIFLLVLAIIPLCNAQGLKLGYYQKTCPGAEAIVQKITSHYISRAPTLAAPLLRMHFHDCFVRGCDGSVLLNSTKNNPAEIDAFPNQSLRGFQVIEAAKSELEQKCPDVVSCADILALIKGPYWNVPLGRRDGKVSIMLEALFNLPPPFANITTLKAQFSSVGLNAKDLVVLSGGHTIGNSHCSSFTSRIYNFTGKGDSDPTMDANYVARLKSKCTSNNDVKTIVEMDPGSFKTFDGSYYSLVAKRRGLFQSDAALLDDNETKAYVKLQAMSHGSTFFKDFAESMEKMGRISVLTGKAGEIRKHCSFIN >Solyc07g066340.3.1 pep chromosome:SL3.0:7:67937853:67946604:-1 gene:Solyc07g066340.3 transcript:Solyc07g066340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFKYSCNTASETLEWIHAIINFIAPYRYFIDAHVVNFFKDRLWEAVDKEWMDCLQKESVENLLRIPSGVVQDHWPGSLKDFILTLRSLCLSREQADFQEFSGMHIASLSNVLAQGMNHKKKHEVEVLSALVSLVAKQVGARTIVDVGAGQGYLAQVLAFDYQLSVIAIDACSHHGKITDARAERIRKHYAAKMRKNCSEPRELSVPKTVTCRVLSTDTLKALSNSLIEKDHAGNQHMGNRSSVSQPSRFAEDIPHSRSCSDSTLVIAGLHACGDLSVTMLRTFLECDKAKAVISVGCCYNLLSEEAICEADSCCGFPVSQGVKSAGVILAKSARDLACQSADRWRGLGENAGLHNFELHAFRAAFQIVLIVKFLFRHYPSILLESPTIGRQGKALRRQQNQRILESNLHHGGSSESGEPRESVAFLHEYSSIQSSNSESNAVDRCSLFAKFCESGLGRLHLPHLADTAYSAIWRESESYAELIGPYWSLRAALGPVLETLILLDRLLLLQEYGSDLEASLLPIFNPVLSPRNMAIIARKIRK >Solyc10g055560.2.1 pep chromosome:SL3.0:10:56910243:56912011:1 gene:Solyc10g055560.2 transcript:Solyc10g055560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIQAHLYSENLGFSLGSLHDLMDDNACGFNQYFVNSHPEQQQQQQQQPYLLQIQQQQFQILNQKNKIQNSNSSNSTVFHHNLASQFDKQRVEIDQFVSLQNERLRLALQEQRKQQLALILRNYESKTHLLLKQKDEELVKACNRSKELEDFLKRIEMENQTWQRIANENEAVVVSLNNTIEQLRENACFQSTNVGDAESCCDVQPIEDKVQSSQQEQTIKMMCKRCNSSKSCMVFLPCRHLSSCKDCETCLHSCPLCNMVKKATIEALI >Solyc03g116500.3.1 pep chromosome:SL3.0:3:67384351:67389209:1 gene:Solyc03g116500.3 transcript:Solyc03g116500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMASSSISSFNFSSYSKSVALFLSLYSLYFLLISANVSGFESLLQLLPASASLRTKSESLFRVNDFGATGDGITDDTKSFKDVWDMACSSPSHAKIVIPAGYSFLVRQINFAGPCRSKVSIRIAGTILAPKDPDVWDDLNPRKWIYFFKVKHLTVEGGGIIDGMGQEWWARSCKVNRTNVILFAIVNIVLYALTFHKCNNLKVKNIKIFNSQQMHLAFTGCKHVTISQLVVKAPGDSPNTDAIHISSSTQVNVKDCIIGTGDDCISIVGNSSRIKVKDIVCGPGHGISIGSLGKSNSFSQVYNVHVNGASISNTENGVRIKTWQGGSGFVKKVSFENVWMENVSNPIIIDQYYCDSRKPCSNKTSNIHIDNISFMGIKGTSATERAITLACSDSFPCRRLYLEDIQLTSSSGDPTTFFCWQAYGTTSGLNYPPPCFPCNDGILQPKFLSNWSQSI >Solyc08g014150.3.1 pep chromosome:SL3.0:8:3844915:3872943:-1 gene:Solyc08g014150.3 transcript:Solyc08g014150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVETALEVLVPSWWEVKVTVAATAFVIISYWFFAAGGDANAGGRVAVDDDSGVVDDKDKIGQLKGDPPTNSAYIIKVELLAAKNLIAANLNGTSDPYAIITCGTQKRFSSMIPGSRNPMWGEEFNFSVDELPAEINVTIYDWDIIWKSAVLGSVIVPVENEGQSGAVWHTLNSTSGQVCLHIRTVKLNINSSRGLNGCANTRRRIGLDKEGPTVVHQKPGPLQTIFDLPADEVVEHSYSCALERSFLYHGRMYVSSWHICFHSNVFSKQMKVVIPLGDIDEIRRSQHAFINPAITIILRTGAGGHGVPPLGNPDGRVRYMFASFWNRNHAIRALQRSTTNYHEMLEAEKKEREQSALRAHSSSVKGNKKMDMSQEENLPMTGKSQPFIKEEVLSGIYNDTFPCTPQQFFDILLSDGSNFTTEYRTARKDSNLNIGPWHSADEYDGQVREITFRTICNSPMCPPDSAMTEYQHAILSPDKKMLFFETVQQAHDVPFGSCFEVHCRWLLEASSDSSCILDIKVGTHFKKWCIMQSKIKAGAVDEYKKEVATMTGMARSFVQSGLSGDEIHNAASEPSITQ >Solyc06g072650.1.1.1 pep chromosome:SL3.0:6:44947559:44948071:1 gene:Solyc06g072650.1 transcript:Solyc06g072650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMEMIKGKKNSNASILKKLEGYLLMKKGSRTMMLSKSKSWHGSSKTKSPVVLAPQGCFCVYVGPEKEKFTIKAKYANHPLFKMLLEDAEMEYGYCSQGPILLPCDVNLFHKVLGQMDSEREINGPGCGLASCSPFSPARVLGHGEMGKGYGSYGLLTTPRMLKLNSSNF >Solyc12g044685.1.1 pep chromosome:SL3.0:12:60834547:60844176:1 gene:Solyc12g044685.1 transcript:Solyc12g044685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIKVMTNVIRFINTSTRVSFISCCVVMVGLISSNSVWLVEEGICLWYDSNGFLTKLEWDVRFSAKDEGAFPSRTGPKSNCEERGTQCEQIPLKHQFPFFLFGNELKFSRKGGLPFLVRGGSVRSQDRFVQVTLPRKREELGRLLNPQELYGGSLFGLRIRLKSFGNYLVGCVIKGGKRLLEPGSGIKEEKGL >Solyc05g014560.3.1 pep chromosome:SL3.0:5:8402670:8406639:-1 gene:Solyc05g014560.3 transcript:Solyc05g014560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASGSAIMAAGITVADTGGHKICHFRRLFLSNTLDPINYSNLQCRSNTKNSFSSVVKAQAVTVEQAAARVAPKTEAPVVIITGASRGIGKAISLALGKAGCKVLVNYARSSKEAEEVCKEIEACGGQALSFGGDVSKEADVEAMIKTAVDAWGTVDVLINNAGITRDGLLMRMTTKQWQEVIDLNLTGVYLCTQAAAKIMMKKKKGKIINIASVVGLVGNFGQANYSAAKAGVIGLTKTVAKEYASRNINVNAVAPGFIASDMTSKLGDDIEKKILGQIPLGRYGQPEEVAGVVEFLAINPASNYITGQVLTIDGGMVM >Solyc06g030645.1.1 pep chromosome:SL3.0:6:20358693:20360544:1 gene:Solyc06g030645.1 transcript:Solyc06g030645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNKIMMKKIEDPVSRQQFYSKCKDSIVKKSNITLFEDIMIKAINQPIEHNQRSTPNPDEEAHREKLTEFQKILSEAKEKRRLPSSVIGHQTTSEKLLYGSQPRLSHLAKNLTRQVKLMERSRPFSQAFTESTPIPLSCDEKTIVLDDRGYYIHFIEQQQEQEVTEQQKLEATYCFNQAIKNVVPHIVTDKFDHVVEYA >Solyc09g010040.2.1 pep chromosome:SL3.0:9:3454160:3455810:1 gene:Solyc09g010040.2 transcript:Solyc09g010040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVMKTNIHVEKYERMAELQAFDKTKAGVKGLVDAGITKVPRMFIQPSMIEHSRSENNNKLIEFPIIDLDGIKEDPIKQKNIVQEVGHASESWGFFQVINHGIPSHVMDAMKDGVTRFFEQDTEVKKQWYTRDLNKEFTFNSNFDLFRAPVTNWRDTFRATIAPIPLKPEQLPSVCRDILLEYTNQVMKLGETLFELVSEAVGLEPNHLKDMGCAEGLLALGQYYPACPQPELTLGTSKHADNDFLTVLLQDNIGGLQVLHQSHWVDVPPTPGALVVNIGDLLQASSVTF >Solyc09g037020.2.1 pep chromosome:SL3.0:9:20775089:20775968:1 gene:Solyc09g037020.2 transcript:Solyc09g037020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VFGSLGAPSNVAKPTKDSSVAIFWLGAVGLIAADGAKIASASRMICVITEMTDWKFDLSVKCTGHIDVCFLSLNFLDWWGVAVLIGSCVQDTPLNFLNERTLKGTFFENSTPRLDIPSIVEKYLNKELELEKFITHTLPILLINKAF >Solyc04g050760.3.1 pep chromosome:SL3.0:4:48182423:48189874:1 gene:Solyc04g050760.3 transcript:Solyc04g050760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLPAVLSSECANFGQNLMGFPKEIVSQLLPSEVYAIRNETDHWNEFPFMYSYHVLRAILGLGMSSVECLSTWVVANSARYYSVVLDLAMRDHVLVLFKLCLKAIVRESIDLASTFCNGEAEESVLSNRSFKCPVLVQVLVWLGTQLSVLYGEMNGKLFAINMLKQSICDCAFSSCMFNESTDMKSGEDNLQEPQESGEPLKRRMENGTNVSGETLSKGAIFVSQVAAAVAALYERSMFEEKLKALRSLPSLPAYQRSMEHTYISEKADEERQKRPNYKPLLEHDGLLWQHSRNNQDMDRKKTRAELLAEERDYKRRRMSYRGKKLKRSTTQVMRDIIEEYMEEIRQADPINCPTKGAEVTKFPLSASYRVDNNNYKNKAESEKRQPDSSALSKVREGGYREEFHTDEEVNSTDYKYDYSEDMEKASQWHHRHSVAQRSNGRSRQDKKDYSRSPNQLVGRAYSREKSISKEKRDYSNDSSLNFSRSSSRRYHKSNEESSPHRERGDRHFDFKKRKARDASDDFEDRYDPSGP >Solyc09g082460.3.1 pep chromosome:SL3.0:9:68599474:68604207:-1 gene:Solyc09g082460.3 transcript:Solyc09g082460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKSESTFLGDFLRQCGGYAVIDGGLATELERHGADLNDSLWSAKCLVSSPHLIRRVHLDYLEAGANIIISSSYQATLQGFEAKGISREEGEALLKRSVEIACEARNIYNDRASKGSWDDFIDGAGLKRNPVLVAASVGSYGAYLADGSEYSGIYGDAITVKALKDFHRRRVQVLADSGADLIAFETTPNKIEAQAYAEILEEEAINVPVWFSFSSKDGINVASGDSIAECASIVDSCKQVVGIGINCTSPRYIQGLIQSIRKVTSKPILVYPNNGETYDGVKKEWVASRGVVEEDFVSYVDKWCDAGASLVGGCCRTTPNTIRAISKVLSRRSQSV >Solyc05g053560.3.1.1 pep chromosome:SL3.0:5:64491662:64492618:-1 gene:Solyc05g053560.3 transcript:Solyc05g053560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIERFEDKTEWGDRIGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGTAPINLTDRLHQVLRWATGSVEIFYSRNNPILASPRLKFLQRIAYFNVGVYPFTSIFLVVYCFIPAFCLFTGQFIVQNLNVFFLSYLLLITVTLVLISLLEVKWSGIALEELWRNEQFWLIGGTSAHFAAVIQGLLKVIAGVEISFTLTSKSAAEDEDDIYADLYVVKWTSLFILPLTIMVVNIMALVIGISRTIYSVIPQWNRLFGGVFFSFWVLSHLYPFAKGLMGRKGRVSTIIYIWSGLIAITVSLLWITLQNNVEGGGNFTI >Solyc02g021450.2.1 pep chromosome:SL3.0:2:23241760:23243347:1 gene:Solyc02g021450.2 transcript:Solyc02g021450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNVQENSFHDLVPSPTSEQSYNDSSLEGVAANFKLLLKLIQDHKDASNKDNTDGLRMLRVTTMMTILDNVRTRIKKCQSFGEKRLSESKLRRCYTDVKLTNNVRKEKKQDEAMIDEKERLKRQLNASLAARKRLEVMCWSLGKEKEIMAAELSKKVHEVSEMEDLINELKEKNECLVERLHEGSKEKHEIKIETNKALSEQLLRSLDGYRSIKRKWKNEHEKNMTMHETLEEMGAKIESGIHLFRQKITSVEDIIELEHVIESLEMQVAKHL >Solyc02g090515.1.1 pep chromosome:SL3.0:2:52693154:52693471:1 gene:Solyc02g090515.1 transcript:Solyc02g090515.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLFDSQMSLGVTNGEDQFMPLSLELHRSHYFLMRFRGTSFLERMRGESTSQLVFGRTLGVLTCWTCADVYNCIV >Solyc10g018353.1.1 pep chromosome:SL3.0:10:7444082:7444650:-1 gene:Solyc10g018353.1 transcript:Solyc10g018353.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLHYFLGNEVIRCLDGLLLSQHKYDNDKLKHSTMTCACSIHTPLATEYELYDTEGPPVDASEFRSIVGALQYLTLTWPELARAINLLCKFMQHPCAIHWTGVKRVLRNFAGTTQPGLHITAKSYLNLVDWGGCPIMQRLTTCLCAFKKQTIDTKSSAEVEYSSFASLVVELT >Solyc01g080920.3.1.1 pep chromosome:SL3.0:1:80116795:80118413:1 gene:Solyc01g080920.3 transcript:Solyc01g080920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LHLFIFQCCYNNLPKKTIRKLYQLFMDFPLHFRCPISMELMKDPVTISTGVTYERKNIEKWFYTCKKKTCPTTMQVLHSFDMTPNHTLKRLILAWQNGKSQSQSHDDELSMKHHDELVSLINTIESSPFKVSSLKKLKSIVELGDHDVKDDFKKCGGVEVLVRIIQQILIESSDFVTFRACEEALSVLIKLPIVLEEEETINTLLQPGCMKSMAIILQRGSEEARFCTVSILQRMMKSDCQWNVVIEDQGIEFFKSLMEIMSDEICSKASSCALQVLIDILEKSKKSRLKAIEAGAMCTLIEILPDSSKSKSEKIMYLIKMMCECADGRMGFIEHGLGVAAITKKMLNISNIGTKIGVKIISLICNSHPTDKVLDDLLMYGSVKKLVALLHIGGSSTTKERVIKILKLHGDKWKKNPCFPYELKNYLGLESDSL >Solyc02g079580.3.1 pep chromosome:SL3.0:2:44654492:44658055:1 gene:Solyc02g079580.3 transcript:Solyc02g079580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFRQAWKVLLICLISCYIIQTYYCNASDTIQQSWKLLVGETLTSASQVFEFGFFTPANSDKRYLGIWFKNIPPIKVVWIANRESPLKVSDSAASLSISENGNLVLLDGTQTVIWSSNVSISSNKTVAVVLLDSGNLVLKDNVSGQTFWESFDYPCDTFLPGMKIGFNSKTGEKWLLSSWQKENDPSPGNFSIGISEQLSPQFFVWNKFTPYYRTGEWNGLKFIGLPCIDSAAYIIQFVFQQDFQEGTTYFTFLPNTSFLNFVELQSTGSVQVVQWTNGDPAWEIYATMVRAPCDIYNTCGPSAVCSKHNFPICSCLRGFVPQSGDEWSKGNWTGGCVRRTELLCQQKGNTLSPGVGLQDRFLKLSGLKLPDLAAIFRLDSASECEKLCLNNCSCTAYAYVAGIRCMVWSGDLLDMQDYSYSGEDLFLRLAYSELVFSCLFSGFALFCLLKHKIHQTGQKRKGARSFSLGDSCYISKDYTVESLWIGNLKKEDPIELPLIEFEVIASATNNFNEENKLGEGGFGPVFKGKLKDGPEIAVKRLSNRTGQGIEEFKNEIVLISKLQHRNLVRLLGCCIEGEEFLIIYEYMPNRSLDKSLFDASQKELLDWPKRFNIIQGVARGLLYLHRDSCLNIIHRDLKVSNILLDEDMNPKISDFGLARTFQKQQQLVHTHRVAGTYGYMSPEYALRGVFSEKSDVFSFGVLLLEIISGKKNSSFHYVEENLNLLNYAWKLWSEQRGLDFMDGTLINSFSPEEIPRCLHVGLLCVQEHPRDRPTMADIILMLNSEMKCSSPKQPTFKFETYLDLDGSAKDNERCSVNEFSASLSQGR >Solyc06g034063.1.1 pep chromosome:SL3.0:6:23661486:23670854:-1 gene:Solyc06g034063.1 transcript:Solyc06g034063.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLCCGKVSDSEAAEVTTFDKWLLQIGDGSFYSDVDNDLIKVPTDICIMPSNDPIGSIVDAVYPSLLQKYNDPTYLQEREILTPKNEMVHELNDTIMKMIQGEGRTYFSSDNVCKSSVNTNDEDLLYPTEFLNSLRFPGIPNHEVQLKVGTPVMLLRNLNQSEGLCNGTRLIVTHLGCLPETWKSLSSVNNHHKKDPNVKFSIKSFKVPELYIEVPQTATVGSLKQKTPLAFRSYNGTSCCNSTDDKQLQTQFNAMNISDSRCASLVKSVICAAVEPLASFSAVEHEQFKFDKFSTELFRTDSVPRRLPILCNSKTSENSNKSSQTKNDFCSEVWTTCQNVSIISSPFAPSVKSNSTKLTDLWKSQIGFCNEFGGASGVGSQANKLSSGQCSDHLSKPPIFVLLKRCLFDSDDEGNLMHGIIRKNQVNRFKDKLNEGSVFIIKNFKVVESIGGYRPIQNSLKIIFFPTTAIKNLSEDIVEIPVNGFEFINPDVIDSRVNNNIVLSDVVGCLYEIGDIESVGSKWKKRDIHILTDYLPREEEMFLNRMDIKELLEAEWSSELQEYIVTVKSKIIEIHNYFGWYYISCNVCSKKIEPTNSIYRCHNCNKDCKFPLVRYKIHLKVTDRTGDTTFILFNAVAEKLLDTSAHKLFNKLTTANNDVSVQLWIPDDNLEVQYKLRKEEKGKNLSKNETDPKDQGTNGLTKQMNNQVSVDELLTDLEDYEDEAHVTNSAKNRKRRNLIIDDEELSVQDTNKFKK >Solyc07g066130.1.1 pep chromosome:SL3.0:7:67766515:67771111:1 gene:Solyc07g066130.1 transcript:Solyc07g066130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDVSAADVRSGAKDIQGMKWRTKTIGEKVRQRILQYHMRNCRENVPQSDKEHKTIKKDCVYSFRWYARSISPSFSNFLLRNMVWATSKNDVYLMSNFSAVHWSSLTCTKTEILDFSGHIVPIEKHPNSSPEGLTATVVSILAVKDKLLLAGGYQGELIVKYLDKPGVSFCARPTYDAKTTCIEINNSASGALHFIASYDDSVVREFDMETFQLSNYFHFNRPVYHTSLSPDGRLLVVVGADPVGMLVDARNGMMEDVSAADVRSGAKDYQGIPWYMRPTRELVRQRKLRYCRNSRRYTQQSGETSDKEHKTIKKDCLYDFRWYARSIRPSFSDLRLRNMVWATSKHDVYLLSAFSAVHWSPLTCTKTEILDFSGHVVPLEKHPKSFPEGFTVTLVSTLAVKDNLLLAGGLQGELIVKYLDKPGVSLCARPTYDAITTCIEINNSSSGALQFIASYNDSGVREFDVETFQLSNHFQFNLPVNHTSLSPDGNLLVIVGDDPEGMLVDSRSGVVVSSLSGHVDSSYASAWHPDGQTFATGNEDNTCRIWDIRNLSKSVTVLNDTFGPIMSIRYTSDGRYMAMAEYEDLVHIFDVKSGYVVEQEIDFFGEITGMSFSPDTESLFIGVSYSGLLEFRRRHNYSYLDTMI >Solyc07g053050.1.1.1 pep chromosome:SL3.0:7:61627997:61628347:-1 gene:Solyc07g053050.1 transcript:Solyc07g053050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTTKANDFEDMLPMMANKLGGDGLIRELCNGFRLLMDKERGVITFESLKKNSALLGLQNLRDDELMSMLREGDLNGDGHLDQMEFCVLMFRLSPQLMEQSQDLLEIALQHEFKS >Solyc03g031630.3.1 pep chromosome:SL3.0:3:4128340:4129222:1 gene:Solyc03g031630.3 transcript:Solyc03g031630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEVLDGATILSFVEDEEAFSEFIVERFNNLDKDHDGILSYSEMLKELQGLRVFDTHFGIDVKIDPNEISRVYSSIFVQFDHDSNGTVDLKEFKQEMKEMMVAMANGLGFLPIQMVLEENSFLKKAIDRELKYYSI >Solyc01g097825.1.1 pep chromosome:SL3.0:1:88402338:88403697:-1 gene:Solyc01g097825.1 transcript:Solyc01g097825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHVKNAAGCKDVRRYLSLFQDQIHIDKARCQVFITVPMVSLHKGSINEGLKNSRIMQVEKLLHHARMIISLTRAPQTRRKNLANGFKSRNVPALNMLNNLFGDREYQ >Solyc07g061950.3.1 pep chromosome:SL3.0:7:64946787:64954448:-1 gene:Solyc07g061950.3 transcript:Solyc07g061950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSITDATIIHHVGIVLLALWLLNSFDCCHAFAYFLSLIYLYMVHEQYVTKLRRKLQFEEKRQSCQRRVLSDSESVRWLNYAIEKIWPLCMEEIVSQKILLSIIPWFLQKYKPWTAKEAVVQHLYLGRSPPMFTEMRVLRESTGDDHLVLELGMNFRAADDMSAILAVKLRKRLGFGMWAKLHLLGMHVEGKVLVGVKFLRKWPFLGRLRVCFVEPPYFQMTVKPIFTHGLDVTELPGIAGWMDKLLAVAFEQTLVEPNMLVVDVEKFVSPQPENWFSADAKEPIAFVILEVLEAADMKPSDLNGLADPYVKGHIGLYRFRTKTKKKTLNPQWREEFKIPVCTWESPNNMLNVEVRDKDHLYDDTLGKCSINICDFRDGQRHDMWLSLQNIKIGRLHLAITVVDCAKKGAEQSYDSGSMVNEQDSKSAEVDKTEQSSLTTESVDEPSKTGDKYEPINIEGQRETGIWVHQPGSEVAQVWEPRKGKNRVIGGEVHSENAGSKGSLKSTSGGSSHYNEYKLDGSGNGSKPDSPGRFHRGLHKISSLFRRSSSKEDKSGNLGEPDLSPRVNLRAVNAKDIGVKIIVDDTILPSSLTTPTEDGKDNCAGNGKNPTKGRVRNKTKKILKNAGKSVGGGIKKVMSGKSSGKSKEEVESSETERLSSVESDTSYAESQRSSVDSPPVVAPSADNSSTPSSGIENSDTTIRTSELVDSESIKTPDEVAANSNENHAFGQSSSFKKSEVDQ >Solyc10g076230.2.1 pep chromosome:SL3.0:10:59168728:59169465:-1 gene:Solyc10g076230.2 transcript:Solyc10g076230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCLASIAFLDLSYDFYDDICPQTIRQERRMGASLLRLHFHDCFGCDASIFLDQMTTIDSEKTAHDNNNSAREFEVIDRIKAEIDKVCGRPVVSCAKLHGPTWEVELGRRDSTTNKDLLALIDNFKKQGLDEKDLVALSSVHTLGFTQYPTFRNEIYNEATIIDSTFASQKQANCPRSGGDSNLAVDQ >Solyc07g008260.3.1 pep chromosome:SL3.0:7:3015354:3025874:-1 gene:Solyc07g008260.3 transcript:Solyc07g008260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRYMMLSSSFSAWISHFLACMGGCFGCCAKSQPVIAVDEPSKGLRIQGKLVRKQSLSDDFWSTSTCDLEISTVQSHRSMSSISISNQSLSQQSGTGNASNNNEFVNHGYLLWNQTRLQWLASKNPENQRVIEEPMLTWNVSYDSLFGTNKRFPQPIPLSDMVDFLADIWEHEGLYD >Solyc05g010394.1.1 pep chromosome:SL3.0:5:4572403:4572768:-1 gene:Solyc05g010394.1 transcript:Solyc05g010394.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLALLHFFLGFEVNYFVGGIHFNQSKYVAELLAKTEMTSAKDVATPLARKHGFLKLWEVFRESSNLTLTRPDITLVVNLASHFMKIPNIEHL >Solyc02g086310.1.1.1 pep chromosome:SL3.0:2:49640717:49641001:-1 gene:Solyc02g086310.1 transcript:Solyc02g086310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVCSFVAVMLVMILVCDQFGANEAATCSASQLSPCLGAIQGGTAPSQDCCARLKNQQPCICGFMKDPNLRQYVNSPNARKVAGQCGVSIPSC >Solyc06g068080.3.1 pep chromosome:SL3.0:6:42307913:42310965:-1 gene:Solyc06g068080.3 transcript:Solyc06g068080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAVLFANSEGNILVERFNGVPAEERLHWRSFLVKLGSENLKGVKNEELLVACHKSVYIVYTKLGDVSIYVVGKDEYDELSLSEAIFVITSALKDVCGKPPTERLFLDKYGKICLCLDEIVWTGLLENIDKDRIKRLVRLKPPTDF >Solyc05g009130.3.1 pep chromosome:SL3.0:5:3251824:3254205:-1 gene:Solyc05g009130.3 transcript:Solyc05g009130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVCYNQEIHHLPYIQRDFWRKKVRMNPFCPSISALEQGRVSNRTMEELNDVWHSMNDEELMWRASMVPQIKKYPFNRIPRVAFLFLTRGRLPLAPLWEMFFKGHKGLFSIYIHTSPDFNYEPPPSSVFYKRRIPSQAVQWGRSTMIDAERRLLANALLDISNERFILLSESCIPLFNFTTIYTYLTNSDQSFLGSFDDPRKIGRGRYNKRMYPNITLFDWRKGSQWFEIHRELAVKIVSDVLYYPVFKNHCVPPCYMDEHYLPTLVNKVCPGLTSNRSVTWVDWSAGGSHPMTFLKKDVTEEFLKSVGSELNCSYNGKMSSICFLFGRKFHPSTLQPLLRIAPKLLGFDQID >Solyc02g086410.2.1 pep chromosome:SL3.0:2:49718526:49719536:-1 gene:Solyc02g086410.2 transcript:Solyc02g086410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQARFRTSNSAFIRRRRVRPVRYRRRIKTP >Solyc07g065735.1.1 pep chromosome:SL3.0:7:67531381:67537095:1 gene:Solyc07g065735.1 transcript:Solyc07g065735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTGVSRVIIPSNVRKTIDDIKEIAAGKHTDEDIYAMLKECNMDPNDTAQKLLYLDTFHEVKRKRDRKKTKGSSQTFDDYRWMSGMQRRARDGREIISANYITDSKYGASTGDVSGRRYIKKENGVNNSKDRISKVSKPPARKTEDASIHPSKEFAVEVAGDDPGHVSRVTSFVNVNKLAKVSTIPPNLINRHLNLDPGPTPTPTPTFTPGTRFKDKVFISIPHELVKSTTSASVSGVYSSSSDPVLVPALNPRNPGTVGTIKREIGNQRTVTDSTVSPANEGTSDACQDAPQNTNAVTRTVNYINTTGPKESWGVSVTAIQPVVINHESQHSKKDKGHSGVLPSQAAAVAKGDNLPSVSKPNSSVEQAVPQLDMKLEKLNVSAPSQPVIFPNNLQVPESFRSGLTFGSLDPQLDQSISCGKDSMPVETVPANDTTSMELGSYKDASSAAQRGDYPDNLLSHQNGSENISPFEVSGASHVYDPSKLEKCPLSAGSQSPLLQTPPDYSLGFVPPMLGPHLVCLEGPEQQGGNSQAPSTLGSNQPVAQPIGLGQSSVSVPPHLFPLVRQPFPPNYMPYNPYIPHLYMPQSAHQFLGPSGFPQQPSPANFYMSPSVTAAGVKLPLPSLYKPAAIAGNLNHFGIPTGYSSYGSSTVSYSGTPALVCSASNENLAAPDLKEKNVYSMHKQNEDSHYRNSAPGRDQSMLQTNYFYNIPQDQHIAVAPAHSSNSSYPGINVSQTLVAQSTVQPLAQQPQTVARSGDSGLPTSGAFQQPQATIHWNNKLLNRENV >Solyc08g075360.2.1 pep chromosome:SL3.0:8:59620899:59626483:-1 gene:Solyc08g075360.2 transcript:Solyc08g075360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQRLSRIAILNSDKCKPKKCGLQCKKCCPVVKTGKLCIEVTATSKIAFISEELCIGCGICVKKCPFEAIMIINLPKDLDKDTTHRYGANTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFSNPPDWQEILTHFRGSELQNYFTRILEDNLKCGGVRNLYKNSGIFFFTTREIQDHLLHPNKIFSRPFLFQVIKDAELIFFKQAIIKPQYVDHIPRAVQGNVGQVLDQKDERDIKEKLCVDLELNQVLDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVRQRLKAAHVVRSLLRPDSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLAGFVPTENLRFRDESLTFKVAEIPQEVAEEIESYARYRYPTMTKTQGNFKLKVAEGEFTDSQIIVMLGENGTGKTTFIRMLAGLLKPDVVEGSNLEIPEFNVSYKPQKISPKFQSTVRHLLHQKIRDSYMHPQFCSDVMKPLQIEQLMDQEVVNLSGGELQRVALTLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHTKKTAFVVEHDFIMAAYLADRVIVYEGTPSMDCVANAPQSLLTGMNLFLSHLNITFRRDPTNFRPRINKLESAKDREQKLAGSYYYLDD >Solyc09g092360.3.1 pep chromosome:SL3.0:9:71952944:71957254:-1 gene:Solyc09g092360.3 transcript:Solyc09g092360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRTISRLKIPSLLLKHLSVSPLPSISSTLTSSSSCSPSWVPEFSRSSVRDVRWYHDGRPRGSLWRGKKLIGKEALFVILGLRRFKDDEEKLDKFVKTHVLRLLKMDMIAVLNELERQEEVSLAVKVFWVIQKQAWYQPDVYLYKDLIIALARRRKMDDAMKLWESMRKEDLFPDCQTFTEVIRGFLRDGSPADAMNIFEDMKKSPYPPEELPFRVLLKGLLPHPLLRNRVKQDFEEIFPDRHIYDPPEEIFGLR >Solyc04g014760.3.1 pep chromosome:SL3.0:4:5005019:5009070:-1 gene:Solyc04g014760.3 transcript:Solyc04g014760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFDDKNKQGEEESAPVCEGKKGGIADSDSEIEHEVHGNKVSRQMSESSMYTTEDEDEDENTNKIELGPQCTLKEQFEKDKDDESLRRWKEQLLGSVDINAVGESLDPEVKILSLEIKSPGRADIVLPIPEDGKPKSPWFVLKEGSKYHLKFTFQVNNNIVTGLKYTNTVWKTGIKVDSMKEMIGAFSPQTEPYTHEMPEETTPSGIFARGSYSARTKFLDDDNKCYLEINYTFDIKKEWQAI >Solyc04g014530.1.1.1 pep chromosome:SL3.0:4:4802369:4803070:1 gene:Solyc04g014530.1 transcript:Solyc04g014530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSSPKTQYPNFNFFQDQSSLPWNDQHFLDEYLTNIDQNNDHSLPGSTCSFLTSKESYRREVSSSNLHQLPRSWSSSNDTNSSKESNNRHEIEEVTSHHHDKNNSTKHYIGVRKRPWGKYAAEIRDSTRNGIRVWLGTFNTCEEAALAYDQAALTMRGPLALLNFPMDKVRESLENIKYICEDGISPAAVLKATNKMRRVKHKRNRKKRNVLVFEDLGAELLEELLTSTSSN >Solyc02g064595.1.1 pep chromosome:SL3.0:2:36277230:36296366:1 gene:Solyc02g064595.1 transcript:Solyc02g064595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTPSACAMQWSIELEKGLRSKKPGKSIEAILDIGPRLEWWSRESNLHAAEYKIFGLIPGEDKLFANAILLRLADAFKSGDEHMKICIVKIFLSELKQRRQLRSQGRKDEGILSKDKVDSYRELLTRIKIVFDSGNVEERALALVLFGCWAHIAKDSADVRYLILSSLCSMHILEITSACKIQHREAVIKAKASLFAAGCFSELADDFAYVFLEMLGGLLVSSETSRAIRLAGGRAFAKMWCPILLADIAHKTGVKLILESSEEEFSLVMLVSLSEIASKWTPLIPRQDPKEHKRMFIIFGGLYVMQQDGYVELLSSFLTKDRGLRLQVMALKCLRFILAKGMYHFPANSNVTLKLFGVINQLDFPPALHFDALRALCKILPPNLDTIPCTEILTIFSKFLQVVEVKLQSPVISERVFAIHVLACIFDKLLGILKDAAGGIGSIVSSRMLTFTLDRISQLIKLEVDNPHPDKGTEQEILITCFEKLDISTGGATEVFNRMEHLVEHVHQCSLLPVYVHLIYDFLLHFHAAYQCKWLEIGEDLGSNRNFRPSRYNSLSHDGPLSQHEILIIDRVKQILVKKDYWLSYKLAKYAACNGAWLVAAYIFGELIPMVQSDLCCFWLKSLSHLSELERKFQLFGLTLSGNAAGEIMTADQIENVIGAANKLCSLEESFDASVSGLAFSFQRWFITLRSKVVRTVADVLKLLSMNLLSQDATSTKQIEARILVWHSNSSKGLSSLLQLLAHASSQFMMLVKEFDLLAASFIVMDRKSMKIVSDLGLSCSLLAFSTGLTLRLASFRGKQNCSTYGLETTDEQFHAQLVHDLLRRLGFTDIETSKNLRHLLDFHRSSRSCSTQEFRNEVSTTSVEARDIAKLCKYSVQRLLSLQAILVHENNGISQIPRDALPLLFNIIFSWIQIPFRTPKHFFQLRPPISAELFITNEDGKRIDDISVFSGFQLPLTLCIQLRNISQDQLSQVSKLYCILHSRTSFQVFSANRDKKVSESICQAWKSDHMVGLNDKLLHFTTGTTERDGLRAMENAGGSSAVDKFVCFDPNEKGQGFATCLLNVSAFPVGSYQIKWHSCCIDKNGAYWSLMPLNTNQFFTVQESFNSGQVAVNCHCLVDGGGLDMCLCQQREENNEHILLHDYGIESFNGYRDNLRDHKAGSLHFQWILGNTIGNFRAAQAFKMIYAKCVNYIYGLTPRSRYNRGLLANFAEVIANLFFVPRVSNSFRPLRFQFQQFTVDDNGVNSVHRRVTLSPSQTLRRHFLPKWTSEEEAALKAGVAKYGVGKWSTILKDPEFAVVLRSRSNVDLKFSGLLWLVKVALMGLVLPGQDKWRNLHVMASGWGSRHRGKIVSKSAQPTPKHDDSTLVSYVSENDRDIPDVKPLASTGDELKDVGSKRPLSRLDDLILESIAKLKESRGSSRNAISSYIEERYVAPLNFERLLAANLKVLTEKGRLIKSFLDQTSTVIGIAPNRASSDGKGGPFSLPMGGKLDSTMVEKNETRVLTKEQIDADLEQMKSMSAEEAAAAAAQAVAEAEVAIAEAEQAARIAEEYEAEAEAAQCFAEVASKALQHQTILVWMSTPLTLMGLSGSTARQSTIPQTVMFQHKKHNGIYSELKRYGIQAYDVTTRKKS >Solyc02g088750.2.1 pep chromosome:SL3.0:2:51363606:51366280:-1 gene:Solyc02g088750.2 transcript:Solyc02g088750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFIPHIVAIPYPAQGHVLPLMELSLWLIKELGCKITFVNSEFNHKRVIKSLSENDDVEDKISLISIPDGLEPHEDRTDLKKLTESINEAMPGKLMEVIHMINSNESQVSCVVADENMGWALEVADKLNIRSVAFWPAAAATLASLFSVSKLIDDGIIDKDGSILKAEGIKLSPNMPIMNPSDFSWATFPDPAMRKMIMDLVADNNEKVKFADWIICNSAKELEPAAFAMFPQVSPIGPLLASNRLGSSAGHFWPQDSNSLKWLDQQPHNSVIYVAFGSFTILDLTQFQELAIGLESSKRPFLWVVREDLILDGDDCAYPKGFKDRVGSRGHIVKWAPQQKVLAHPSIACFLSHCGWNSTVESVSNGVPFLCWPYFADQLFNQSYICDVWKVGLGFNKNEFGVIGKEEIQNKMDKLFGDVTFKERALDLQAKVNSSVNKGGNSNKIFGKFIEWIKTQRGSI >Solyc12g098700.2.1 pep chromosome:SL3.0:12:67100774:67110974:1 gene:Solyc12g098700.2 transcript:Solyc12g098700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELKHKSKREDESKKRHRDKEDRDREKRDREHHHRDKKDREREKSKREREKEREREKEREREREREKEREREREREKEREREREREKERERERKRDRERREREKERNREKDRHREVYEKGSDDEVDTKDRERKRRKRDNDDHHKERDRERSSKPNNRLREESTDEVSAEKDQKSREEDLAEEQRKLDDEMEKRRRRVQEWQELKRKKEESERETLGVDAGAEEPKLGKTWTLDGESDDEDAEGKTGMDIDRDDTGKVMDDENGAGKVVSSSIGSDSPVIQNGGDGLVDDDEIDPLDAFMNDMVLPEVEKLNKSVVNSLDGENSSMKEKNGPRKEEKPKMSMKKTMGRIIPGEDSDSDYGNVENDEDPLEEEDDEEFMKRVKKTKAEKLSLVDHSKIEYPPFRKNFYIEVKEISRISAEEVSAYRKQLELKIHGKDVPKPIKTWHQTGLSSKMLDTIKKLNYEKPMSIQAQALPVIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPLMSGDGPIGLIMAPTRELVQQIHSDIKKFARVMGLTCVPVYGGSGVAQQISELKRGAEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNTRPDRQTVLFSATFPRQVEILARKVLNKPVEIQVGGRSVVNKDITQLVEVRPESDRFLRLLELLGEWYEKGKILIFVHTQEKCDALFKDLLKHGYPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSIAARGLDVKELELVINYDVPNHYEDYVHRVGRTGRAGKKGCAITFISEDDARYAPDLLKALQLSEQVVPDDLKALADSFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEVRRAAKKAQAKEYGFEEDKSDSEDEDEGIRKAGGDLSQQAALAQAAALVAASKASMASAATPVSAGHLLPNGGLPVALPGVLGINIPGATAVAVGNGLSVGSNDVTARATALAAALNLQHNLAKIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISEWTGAAITTRGQYVPPGKVPGFGERKLYLFIEGPTEQSVKRAKAELKRVLEDITMQASSLPGSAQPGRYSVRPVAENVVRSCKKKSMYCSESSSVI >Solyc01g012590.1.1 pep chromosome:SL3.0:1:9686086:9687827:-1 gene:Solyc01g012590.1 transcript:Solyc01g012590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKPVLPHTSRVKARLHEHILHTSLDLQSLCCTFMEFKKLDLVSTFRWESGTILPKVLGDDFESLARERSLLNWLRQRYNISKHKTSYSIVD >Solyc10g086240.2.1 pep chromosome:SL3.0:10:65247697:65249593:-1 gene:Solyc10g086240.2 transcript:Solyc10g086240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNQSTHQKPHAILFPYPLQGHVIPFVNLAIKLASNGFTITFINTQSIHQQISKAGRSNVIDNENNDLFSCACKSGLDIRDVSIYDGFPVDYDRSLNHDKFFEAIMNDFVFHVDEVVGKLMLSNPSPNILIGDTFYVWSSTIAKKYSLVNVSYWTEPALVFSVYYHMDLLKQNGHYDCIENKREDIIDYIPGVKAIKPTDLPSYLQSDDTNMVVNRIIKRAFKEVQIVDFIICNTVHELEPEIITALQKYLSIYSIGPIFSPGFTNTSVSTSLWSESNCTDWLDTKPNGSVLYVSFGSYAHTNKETIVEIARGLVISEVNFIWILRPDIVSSEETDYLPIGYEESIKDRGLIVPWCCQTAVISHPAVGGFLTHCGWNSIMESIWCSVPFICFPLLTDQFTNRKLVVDDWKIGINLCDEGSISRDEVAEKVKFLMKGKEAEEIKQRIKKIKKELEDGLALNGSSQVNFSKFMDDLESKISKKNVLDVEFENQKLNHIAK >Solyc03g025617.1.1 pep chromosome:SL3.0:3:3050654:3055253:1 gene:Solyc03g025617.1 transcript:Solyc03g025617.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLGSLVEILRLHSVISKEEVVLDGSEAPVRIDAEALLSTEKLVPSAVLNKSEYPKYTPLLAKILEGVLSRSNIEDKFHHFTEVKATSRSQDPAKLTFRDCGHSPHSRIPVLVIAMFCLPELCNCILCLAVTWEAPIISKSLPISMPTKVLKHPRALRVQMRERHKS >Solyc10g018550.1.1.1 pep chromosome:SL3.0:10:8728063:8728569:1 gene:Solyc10g018550.1 transcript:Solyc10g018550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGTFMQNSGVSRTQGLARYGAILRDDKGRWLGGFVGRVGMVTTSCLTLKLWVIQGGLTVAKNFNLKNDIIKTDSREALMLTSKGGVVDNHPDGAVIEECRYFLFELGISVMHTLREGNSCANHLAKLGRMQLDEDLVILHRPPHSIHQLLLADMTHVAYLTYRKHVQ >Solyc05g050120.3.1 pep chromosome:SL3.0:5:60955438:60959825:1 gene:Solyc05g050120.3 transcript:Solyc05g050120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Malic enzyme [Source:UniProtKB/TrEMBL;Acc:K4C144] MESALKDLSTPTGGVEDVYGEDCATEDQCITPWTIAVSSGYNLLRDPRYNKGLAFTERERDAHYLRGLLPPVISSQELQEKKLMQSIRQYDVPLHKYVAMMELEERNERLFYKLLIDNVEELLPIVYTPTVGEACQKYGSLFKRPQGLYISLNEKGRILEVLKNWPEKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPITIDVGTNNEKLLNDEFYIGLRQRRATGQEYYDFLHEFMSAVKQNYGEKILVQFEDFANHNAFELLAKYRTSHLVFNDDIQGTASVVLAGLIASLKLLGGALCDHTFLFLGAGEAGTGIAELIALEISNKTNIPVEETRKKIWLVDSKGLIVSGRKETLQAFKKPWAHEHEPVNNLLDAVKAVKPTVLIGTSGTGRTFTKEVVEAMACMNKRPLIMALSNPTSQAECTAEEAYTWSEGRAVFASGSPFPSFEYDGKLNIPGQANNCYIFPGFGFGLVMSGTIRVHDDMLLAASEALAAQVTEEHYAKGMIYPPFADIRKISAHIAASVAAKAYELGVATRLPRPADLVKYAESCMYTPNYRSYR >Solyc10g055000.2.1 pep chromosome:SL3.0:10:56164658:56165130:1 gene:Solyc10g055000.2 transcript:Solyc10g055000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYFHNKVSAKIPTAVQIAQSNMTAKYPTSFGSVTMIGDPLTVGPESNSTIVGRAQGIYGSTDQDKSNGSTLSILGRNAFQKYREMPIVGNVMVLHYSH >Solyc06g048540.3.1 pep chromosome:SL3.0:6:31295425:31299908:-1 gene:Solyc06g048540.3 transcript:Solyc06g048540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFSPTCSSTSPLLSFRNNISFFPSHRPSFPGVRCTLTVKATVSVESPSSSSTDCRDDSPKVLLEVRDLSAVIVESKQQILNGVNLTVRQGEVHAVMGKNGSGKSTFAKVLVGHPDYEITGGSVSFKGENLLEMEPEERSLAGLFMSFQSPVAIPGVSNIDFLNMAYNAQRRKLGLPELGPIEFYGYIAPKLELVNMKIDFLNRNVNEGFSGGERKRNEILQLAVLGADLAILDEIDSGLDVDALRDVAKAVNGLLSPKNSVLMITHYLRLLEFIKPTYIHIMEKGRIVKTGDISIAKVLEKEGYKAISGP >Solyc12g037980.2.1 pep chromosome:SL3.0:12:49222152:49240045:1 gene:Solyc12g037980.2 transcript:Solyc12g037980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSAVGSVDEMEVDNPDNPEDNSGSAPDLKSKGKRKLYVGSQALGFRRDHMEVISPIRDGMVVDWDIVENIWDHAFRECLLIDPKEHPMLLAEPCSNTQQQREKAAEIMFEKYQVPALFLAKNAVLTSFASGRATSLVVDSGGGLTTVAPVHDGYVLQKAVCTSPIGGGILTDCLIKSLEQKGITIKPRYSFKRKEIRPGEFQTVDLDFPDTTESYKLYCQRAIASDIKECVSRAPDTPYDDSSYSNIPTTSYELPDGQIIEVGADRFKIPDIIFNPSLVQTIPGMESFAETAASLRGLPQMVIDSINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKSEYEEHGASYVQRKCP >Solyc01g014240.1.1.1 pep chromosome:SL3.0:1:11855059:11855307:1 gene:Solyc01g014240.1 transcript:Solyc01g014240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMHDLIQDMGRYVVKMQKYLGEQRKQWDVENIEKLIVNNTISSLNNAIIFNFLSSSFTFYLKDENNWYYLNYNFVLSQHE >Solyc09g010550.3.1 pep chromosome:SL3.0:9:3912668:3919655:-1 gene:Solyc09g010550.3 transcript:Solyc09g010550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSTCQTQRVEEKKEPKSSNSSKSCFQPTVSLKDWWLIRAERDSQGRTLAVAGRTSREGQALRGFTSAPIHKIYDVFNLETIDGICVVLKGFINRSRSEENGFPSEVIEQFLFGFPPQWETFNEKFLGRDSKGKASASYALGFEKPSGCSEIIVQKNTKSATRSTDKLNSTNTSTSIAKEQTILDHNGRKDCEVEDNLMRDNQNDGEVASEVIVQKKTKTAMRSLDMLNSTNSSSSIAKEQTADVNRTTHFKSSSTENAKRKLSYGSPQQGKEAVRIVSPEPLSFNRSRSGRVLLPPMAFWRNQRAVYDAVSHQILHIPLYNSAMNLGLSSNLMHFKDQSVTEVKQGDPNLDYLSRGAARSLYFPYEMIHIKNFA >Solyc03g058240.1.1.1 pep chromosome:SL3.0:3:25769655:25769822:-1 gene:Solyc03g058240.1 transcript:Solyc03g058240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGLFELPKPEFLNNKQPVMIFTTNNYWILFRIGLERRRKVRMTIGVYYFEYTQL >Solyc09g014510.3.1 pep chromosome:SL3.0:9:6142483:6144562:-1 gene:Solyc09g014510.3 transcript:Solyc09g014510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTVNFIWNLTKNYFTFGLIGLTISDRYASIVPVGGISMSPTFNPLDDSSMRSLTRDFVVVEKFCLEKYKFSLGDVVVFSSPTNHKEKNIKRITALSGDLVSTPPYDAVVIPEGHCWVEGDNQAWSLDSRSFGPIPLGLVRGRVTHVVWPPHRVGKVDRMTPKSLTPF >Solyc10g061870.1.1 pep chromosome:SL3.0:10:22611175:22614508:-1 gene:Solyc10g061870.1 transcript:Solyc10g061870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLISFNGSIGDISFGHLNINIYVRLIPTCTIFSDNYLTIILGKQWRETQIHKITDNVFDRVRIDSGRENLKFEDLYIAVLLVFNDINKRLPGPHFDPPTKHQVRVLMQECDINTDGELDRQEFVKFIRKLTKDTFIMVSQGQLITLAVAPTIAVLTKRSTEGVPHVGMVVQKMPSSVYASLVTLAVVLFQQAVESKE >Solyc01g104630.3.1 pep chromosome:SL3.0:1:92954278:92959489:-1 gene:Solyc01g104630.3 transcript:Solyc01g104630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLWVQRASNISLIARFHGLTSSKSIPSYGPGPEAVWFTKVVCLLCFHHSQSLDVFGSDYFRQNLDPHIAFTVIHHINTNLNNPRLAFRFLQCTRINLNLIHCIGSFNLLLRSLSQMGFHDSAMLVFKYMKADGYLLENSILESVVLALANAGKFEIAKEILISQAELGREEGSIVRPFVHNSLLSLLMKRSRVDEAVDFFKHHILRSERLFPDTCTFNTVIRGLCRVGGVDKAFEFFNDMGSFGCSPDTVTYNTLINGLCAVGQVNRAQGLLGNLQLQDGLSPDVVTYTSLISGYCKLSRMDEAINLMDEMITYGISPNLVTFNILINGFGKIGDMFSAIKMYGKMCAVGYPPDVVTFTSLIDGYCRTGELDQGLKLWDDMNSRNLSPNLYTFSVLISALSKENRLNEARELLRQLKSRDDIVPQPFVYNPVLDGFCKAGNLSEANVIAAEMESKGCCHDKITFTILILGHCMKGRMLEALAIFDKMLSLGCVPDDITISCLTSCLLKAGMVKEAYKRHVNRCSLEVLQDLRRLLQHIYIKLKHHGHRRFCKLLGVVPHIQRILASFIILVDVLDVVFSGFRQDLQKLTGLRLFSSQAGAMWRHDDWGRGRKCSILSPDAK >Solyc05g006215.1.1 pep chromosome:SL3.0:5:879459:882258:-1 gene:Solyc05g006215.1 transcript:Solyc05g006215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEQKKQKVGSKKVNQLRPLDFGVNITVKVISKKLIAQRNRIAECLVGDETGIIIFTARNDQVDLIQDGSTLVLTKAKVDMFKGSMRLAVDRFGRIEIGAPASFSVEQDINMSLIEFERVDVVV >Solyc05g014410.2.1 pep chromosome:SL3.0:5:8250379:8252585:-1 gene:Solyc05g014410.2 transcript:Solyc05g014410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRSSGCYKSFNSIKSDKTNRARLRKSTDQPKLLQSSNNPTPNREPNVDVSEVVRAADCGIGRALEGLGLRLRREWLESCVGGLEGSVVGEFSGLDDTTKAKLCFEQFLYSDMNFCGAGMLPKDVHKLHLVDLKGPFVLQKFLKESSICTWMSSLSYFLVDESSSQFRELLRTIWEGISGTGLLRKKLETGINEIVNISCPLRDRYQKVAAGIKRCLKLSMTDGIQRVFGMEYRPIKDLDVLSPSGLKLLKYYLQDEN >Solyc01g009920.2.1 pep chromosome:SL3.0:1:4423526:4428529:-1 gene:Solyc01g009920.2 transcript:Solyc01g009920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEAALPVGFLDPLPPEERLAFNKSLEVVVRNNNEFRVSQEKVVVASTKQFWKAGDYEGIDGGFAAGHAEGMDHVRVHPKFLHSNATSHKWALGAFAELLDNAMDEVCNGATYVSVDVLDNKKEKGKMILVEDNGGGMTPDKMRQCMSLRYSAKSKLANTIGQYGNGFKTSSMRLGGDVIVFSRCQGKDGLSTTQSVGMLSYTFLRNTGKEDIIVPMIDFVKRGEIWEMLVRSSVDDWKRNSDTIVQWSPFESEEDLFLQFELLDNQGTRIIIYNLWEDEKGETELDFDTDPHDIQIKGVSRDEKKIEMAKIYPNSRHFLTYQHSLRSYASILYLRLAPGFRIILRGEDVEHHNLVDDMNHAKKNTYRPQPIGDETSKDPNAVAVVTIGFVKDAEHHIDVQGFSVYHKNRLIKPFWRVWNAAGSDGRGAIGVLEANFVKPAHDKQDFERTIVLARLEARLQFMQKQYWRDNCHEIGYADRRSVKHSVSSEDETNTSAKSNSCSRFSSNVHTNSRNVHTTSRDAHTPSRDVPTTSRDVPTTSHDKSRSKCTGGEHKTGQVHSSIQATNQTMWRELSNRPTRQISTNLGVKIQDTSSNLREEDHVTKDRLQQALRDLQYERDKNKSLEGQLKAAQRLITDLNSNHDNLAHMLLEERKRSRESSVINQELRDKVKLLGKRMPFTSVKREH >Solyc03g120070.3.1 pep chromosome:SL3.0:3:70050741:70055879:1 gene:Solyc03g120070.3 transcript:Solyc03g120070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGMIINESVRLYPPAVAAIRRAKVDTQLGDFTLPRGTELLIPIIAIHHDQTLWGQDANEFNPARFGLGVAQAAKHPMAFMPFGLGARRCAAFRSSLTGVYRRFITESTVFRPQIPNRREMQPLLLVSEESQFLLRILNGSFQTLDIIKDRIFHDFANLFCSKANLDVIDMRQENVMHSVNRDELGSKDWSSKGNNSNPEGDSTAGFSVLDTMLKRSLDRLKSMRERISLAEAGINYCTWEINFNKDAYVVRAMCLDGQLGAALSLWWNMIQNSIVPDVITHNYLINALCKNGELEKAEWIVRGMLYRGPSPTCAAFNSLIRGYCLVNDVDNALNTFSTMANHGIVPNRVTCNILVHALCKKGLLEEANNLFHKLLSKVHNGGSSNLITSTIMMDGCFKNGDTDQALAFWERMLLERTQVDKVSYNVVIHGFCLSHDLGTAYKYCCEMLKLGFVPDVYSYNTLIGALCRQGKISDACYIYDVMTGMGVKPDHITYRMIIQGLCINREIDRANCFLDYMLEYSLIPEPLVWNVIINGYGRCGDIQKASYIREKMVACGVLPNIYTYNALIYAQIKSGNLSAAQSLEKELLLYGLTPDSVTYNLLIGAACNLGLIHSALQLHDKMLRKGCQPDVITYTELLKVFCVQGMMREADKLFGRLMASGLAVDHVPFLILMKRYCRMGEFNEVYDLHQKWLETMPR >Solyc08g080450.2.1 pep chromosome:SL3.0:8:63858456:63866820:-1 gene:Solyc08g080450.2 transcript:Solyc08g080450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPLVLLLFFALFILLQYASAIQILSKSKLRKCEKISDSNSLNCTNKIIIDLAVPSDSSGNEASLIAEIVEVEDNSSSNMRTLRVPPVITINKSAAYALYELTYIRDIAYKPQEFYVNTRKCQPDAGADVVQICERVKLVQLKLMIGCPFLVQERVPEGLIQIEKENTVIYLSSFLICFHRLRDENGHIIENTQPTCCPCGDQRRVPSSCGNFYLRYITFEVNAATVLSTFRFHVFGIGQRSVGFSIRIDVKKQSQNSEVTVGPDNRTATSSDKFLRVNLIGDYVGYTDIPSFDDLYLVIPRQGGPGQPQNLGSNFSMWMLLERVRFTLDGVECNKIGVGYDAFNAQPDFCSAPFWSCLHNQLWNFWDADQNRISRNQVPLYCVQGRFERINQHPVTFQNAGSHAFSIGITEVLNTNLLIELNADDIEYVYQRSPGKILSITIPTFEALTQFGTATITTKNIGEVEASYSLTDALAPGRVLPQFNFEAYSMLWLWMGPVLSDLLHRSPSPLQSTKFDCSAGVSQMEVIITQPLIYDTKS >Solyc01g103120.3.1 pep chromosome:SL3.0:1:91654788:91679822:-1 gene:Solyc01g103120.3 transcript:Solyc01g103120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRKQEDIENEGVGVMVMEDGKDLERNISSEGGFREPLLKSKSRVNNTSQIAIIGANVCPIESLDYDIVENDLFKQDWRSRKKVQIYQYIFLKWTLVLLIGLFTGLVGFFLNIAVENIAGFKLLLASDLMLEDKYFRAFAIFAGCNLGLATCAAILCACIAPAAAGSGIPEVKAYLNGVDAHSILAPSTLFVKGISPYLSQFKYQCLVFQAQLMYFERKRALIIGSVLGVSAGFVVGKEGPMVHTGACIANLLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGAAAGVAAAFRAPVGGVLFALEEVASWWRSALLWRTFFTTAIVAMVLRSCIQFCRSGNCGLFGQGGLIMFDVNSGFPNYNTVDVLAVLTIGVLGGLLGSLYNYLVDKVLRTYSIINERGPAFKIMLVMTISILTSLCAYGLPWFASCTPCPVGLEEKCPTVGRSGNYKNFQCPAGHYNDLASLFMNTNDDAIRNLFSAENSSEFHLSTLFVFFAGVYCLGIITYGIAIPSGLFIPVILAGASYGRLVGSVLGSVSNLNNGLFALLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLVSKTVADSLNKGIYDQIVQMKGLPYLEAHAEPYMRQLAAGDVCSGPLVTFSGVEKVGNIVHALKFTRHNGFPVVDLPPFSDAPEFCGLVLRSHLVVLLKGKTFTKQNVLSGSNTLKKFHAFDFAKPGSGKGLKFEDLSFSPEEMEMYVDLHPITNTSPYTVVETMSLAKAAILFRELGLRHLCVVPKTTKRNPIVGILTRHDFMPEHIKGLGSMEAIEELEQLGDAMRQAAALLADEDVNEAAASNKRPSTFLNVVALGNTGAGKSAVLNSLLGHPALPTGEGGATRAPICIELKKDSSLNSKSIILQIDSKSQQVSASALRHSLQDRLSKISNKSRDEIYLKLRTSTAPPLKLVDLPGVDKGHIDDALSTYVARSDAILLVVIPAALAPEISSYKALRLVKEHDGECTRTIGIISKVDQAASDPKVLAAIHALLLNQGPPSTSDIPWVALIGQSVSIASAQSGNVGNDNSLETAWRAESESLKSILTKAPQSKLGRVALVEVLAQQIRNRMKVRLPNLLSGLQGKSQSVKDELVKFGDQMVNSGEGTKALALELCREFEDKFLEHLTTGEGGGWKVIASFEGKFPDRIKQLPMDRHFELKNVKRVVLEADGYQPYLISPEKGLRSLIKTVLELAKEPSTLCVEEVIAIATTALDGFRTEAKNMVIALVDMERVYVPPQHFIRLVQRRMDRQRRDDGLKNQSSKKAAQAEQSMLTRATSSQAGDEKSSSKSGKDKSAQQDKDSQEGPVLKTAGPDGEITAGFLLKKSDKKSGWSKRWFVLNDKTGKLGYTKKQEERHFHGVITLEECNLEDASEEDEPSKSSKDKKATWPDGGKGPSLLFKLTNRVQYKTILKGAQSTVILKAETLAEKTEWLNKLKNVISSKGGQVIAESSQPMRPSLSEGTPGTPDMMTRKPADPEEELRWMAQEVRGYVEAVLNSLAANVPKAVVLCQVEKAREDMLNKLYSSVSAQSRAKIEELLLEDHNVKRRREHFQKQSSLLAKVTQQLSVHDNRAAAASSYSNSDGAESVSRSGGQSSGDEWRSAFDGTSSAPQNGDAGSRSRRTPSRMPPAPPGSGQKS >Solyc04g072815.1.1 pep chromosome:SL3.0:4:59866469:59868740:-1 gene:Solyc04g072815.1 transcript:Solyc04g072815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRKRLLRDQNYPAKWTLQYFRVYIYLLHRLGMRTGNHNLQSHTVANTGSVQWLNNFDYTSEIP >Solyc02g030210.3.1 pep chromosome:SL3.0:2:25787777:25804520:1 gene:Solyc02g030210.3 transcript:Solyc02g030210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLSSEPTAKTEGSSGNDAGGGETSEAMGHIGTDQLLLYRGLKKAKKERGYTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREDYLASLRRKSGGFSRGISKYRPLSSRWDLQFGRVPGADYFNSLHYGDNATVDNEYIGGFCMDRKIDLSSYIKWWGGNKARQTDSHLKISEETKVGCPEDIDNELRASELSIQQTEPYEMPRLGVYQENKNHKSSTLSAVSILSQSAAYKSLVEKVAKKKEKVENDENENKSTINRVDRGKMIEKSSPDSGSERLGAAFLNAGGLSINRNLHPLTPLLSGPLLTNYNSIDPLTDPVLWTSIVPNFHTGSSRTAEVHKSEASSDYTLFQQED >Solyc02g085870.3.1 pep chromosome:SL3.0:2:49288588:49290622:1 gene:Solyc02g085870.3 transcript:Solyc02g085870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4BB98] MPEPVPNFSNSVKLKYVKLGYQYLVNNFLTFLIVPIMAALIIQVLKLGPEEIVSIWNSLHFDLLQILCSSFLIIFIATVYFMSKPRSIYLVDYSCYKAPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMETARNEAEVVIFSAIDDLMKKTGLKPKDIDILVVNCSLFSPTPSLSAMVVNKYKLRSNIKSYNLSGMGCSAGLISIDLARDLLQVLPNSCALVVSTEIITPNYYQGSERAMLLPNCLFRMGGAAILLSNKRKDSARAKYRLMHVVRTHKGADDKAFKCVFQQEDPQGKVGINLSKDLMVIAGEALKSNITTIGPLVLPASEQLLFLFTLIGRKIFNPKWKAYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHKFGNTSSSSLWYEMSYIEAKGRMKKGDRIWQIAFGSGFKCNSAVWKCNRTIKTPTDGPWDDCIDRYPVFIPEIVKL >Solyc06g051990.3.1 pep chromosome:SL3.0:6:35749606:35757222:-1 gene:Solyc06g051990.3 transcript:Solyc06g051990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRYQKERKKNGGIERHRAIACFLCINFIDPCPGTTTGQMPMNDDRMKLPINANPVDVHDPLRFNQGGQADPYSFARDTMQNEAAEAAVYNTKKLEDAVHEMGLQLKHHEENIIFLKAHKNRLDNSILDMQVALGKYQTASESGSENEELSHVQSEEETLGNIFLHEKSAAGIWYELKCHHGTQASHLPLMKDVVGIVAMLGSVDDDNLSRLLSDYLGLETMLAIVCKTFDGMKALETYDEKGHINKSLGLHGLGASIGKPLDGRFLVICLEKLRPYAEDFIADDPQRRLDILKPRLPNGDSPPGFLGFAVNMVNIDSVDLYCATSTGYGLRETLFYNLFSRLHVYKTREDMLQSLPCIRHGAISLDGGMIKHSGVFSLGKREINVKFPKSSRGSNLPQNYFEIGREIKEMKWKKERTVEDMQREQVLLERARFNFDLKKQGYMMFLAQSSTYATQ >Solyc06g034360.2.1 pep chromosome:SL3.0:6:24263170:24268500:-1 gene:Solyc06g034360.2 transcript:Solyc06g034360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4C4Q7] MTTYNSKLLLFIFFSLLHQSYSIEESTSDDINWWCSTTPHPEPCKYFMADTTLQRTYKPKCKADFRTMTTEVALEQALLVQNHSKSMGQHFGGKRKKLVWMDCDKLIDDTIHQLNRTLHGIGSNSTSCTAFDAQTWLSASLTNIETCLSGSNQLNVSNVLHPTLSANVSQLISNSLAINGELVNSLNSTEIGGFPSWVTSRERKLLQSSTRSLASQAIYVVAQDGSGNFRSIQAAINATANKVSDERTIIYIKKGVYRENVGIGPSMTNIMLVGAGLRYTIITGSRSAAGGFTTYSTATVGVDGSGFIARGITFRNTAGPKKGQAVALRSASDLSVFYACGFEGYQDTIFVQSQRQFYKTCHVYGTIDFIFGNAAVVFQNCVIYVRRPLVGQVNVITAQGRGDPFQNTGISIHNSRITAAPDLAPVVQAFKTYMGRPWQEYSRTVILRSYIDRLVSPSGWLPWLNSDFAFKTLYYGEYGNFGPGASTINRVKWPGYHVITDVKEASKFTVANLVGGRSWLPSTGVPFTSGL >Solyc01g005250.3.1 pep chromosome:SL3.0:1:191358:195082:-1 gene:Solyc01g005250.3 transcript:Solyc01g005250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPALSLRHNHFLNGSSALVSGIRLPRSSSSPFRVRMSLQENGPSVAVVGVTGAVGQEFLSVLSDRNFPYRSLKLLASKRSAGKSMKFEERDYTVEELTEDSFDGIDIALFSAGGSISKKFGPLAAQKGTIVVDNSSAFRMDENVPLVIPEVNPEAMAHVKLGSGKGALIANPNCSTIICLMAVTPLHRRAKVKRMVVSTYQAASGAGAAAMEELVQQTREVLEGKEPTCNIFNQQYAFNLFSHNAPVQPNGYNEEEMKLVKETRKIWSDKDVKVTATCIRVPVMRAHAESVNLQFENPLDENTARDILKNAPGIVVVDDRASNRFPTPLEVSNKDDVAVGRVRRDVSQDGDYGLDIFVCGDQIRKGAALNAIQIAEMLL >Solyc06g075250.3.1 pep chromosome:SL3.0:6:46833208:46836044:-1 gene:Solyc06g075250.3 transcript:Solyc06g075250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSDDGLSSLAFIQDPNSNPTNNNPNPNSNPSAKRKRNLPGKPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRNKNEVIKKKVYICPEKTCIHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHTKICGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARFTSVPSTANLNFRNEILLNGGFGNTNLQQSTGNPQFGSNLFRPEFMGLGLDPAISHHHQPQLNNVDGQKPRIPLWLDNNMNPNPGNDFLVASSSSTTSNLPHHELVQIAAHNNTQQWFINGTGVGDDSGIGSSSSQLPSRVLLKEEEENKRNMSETISSMYYNNHHNETTAPATHMSATALLQKAAQMGSTRSNSALFGTGFGLMGSSFSKSNGQGQFATHDQNFNGLMMHSPNNNNSNQGNRLLFGDMNSTSLEGNASGKNSDPFNLMPRNNKGKQVNLSGNEAMEGGLTRDFLGVGGNESRPFLSKNELAKFGNISASAMGLSDYSETH >Solyc02g091710.3.1 pep chromosome:SL3.0:2:53594773:53597752:1 gene:Solyc02g091710.3 transcript:Solyc02g091710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGWRRAFCTSIPRDRDTKEKQDNTNPTPSPRINSKFGFFSNPSTPRFQSPPVSSSILRCRTTAAPATVQAASAPGSPKLQCKTKNSPRFFNRSTPSSPRSPSTFSLLKSSLRFSKTKCGTCLQTVKTGQGTAIFTAECSHSFHFPCIAALLRKQTALVCPVCHAEWKELPLLSIHDTQKPVKVEEKTIREVSPSPKAKGDVKFTTETNFQGRPILKVYNDDEPLMSPTSGARFNPIPESDEYDEESDNVVEEFQGFFVDANVKPLKDSLVNFTNFEARLLPEAAVVSVGRSYETYVIILKLKAPSALTRTARRAPIDLVMVLDVSGKMKAQNIQMMKRAMRLVISSLSTSDRLSIVAFSTTSKRLLPLRRMTTSGKRSARRIVDAIVALDGTGTSASDALKKAAKVLEDRRERNPVASIMLLSDCPNDRLTTTISTNQRYQSTIVSTCTRLNNSEIPVHSIGLNQSNDDVFKKFIGGIINVVVQDLRVQVGFVSGSAPAEVAAVYSYTNRPAALGSGSLRLGDFYAEEERELLVELKVPTSAIGTHHVLSVRCSYKDPSTQELVYCKEQALLVPRPHAVRSSTPNIQRLRDLFISTRAMAESKRLIERNDLTGAHHMLSSARALLVQSNSSSAGEFVHGLETELSELHYRRQNQAQSQSQQPHRRRINVHQREDDKAEPLTPTSAWRAAERLAKVAIMRKSLNRVSDLHGFEDARF >Solyc04g058180.3.1 pep chromosome:SL3.0:4:55201710:55207627:-1 gene:Solyc04g058180.3 transcript:Solyc04g058180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGISTSLSGSENNLVSLTKSPAFVKIMGFSFLCLSLFIILRSFSSDITTVSNPTTPSLAFSMSFTSTPTAGDNPYPPPSAAAAVPKLRQPGMERTGIVDENGAMTTEFVVGDFDEEVIDSVVDEKNGSDENGGVLRGKIGKFKVCDERMRDYIPCLDNMEGILERHCPPKGKGLDCLVPWPKGYKLRITWPKSRDEALLLKSSSFKQIWLSNVARTPFAKGKAGNKWVVRKGDKYIFPGDMTQFSQGVNQYLDQISKMVPEIAFGQRTRVVMDISCGIASFGAYLMDHNVSTLSIATKDVHNNQIQFALERGVPAMFAAFTTHRLLYPSQAFDMIHCSRCSINWTRDDGILLLEVNRMLRAGGYFIWAAEPVHKHEENLFAPFKEMEDLTRRLCWELLKKEGDIYIWRKPLNNSCYLSRAPAVQPSLCSAGDDPDNVWNVNLTKCITQLPENGYGANVTAWPARLHSPSDRLFSIKMDAELSRREIYKAESNFWYAVIKGYIGAFRWEKLNLRNVLDMRAVYGGFAAALHDFNFDCWVMNVVPISGHNTLPVLYDRGFIGVMHDWCEPFDTYPRTYDLVHAAALFSVEKNRCNATTIMLEIDRILRPGGRVYIRDTTPVIEELIEIVQALGWVSFKYDSSEGPHSNWKLLIGEKRL >Solyc11g007980.2.1 pep chromosome:SL3.0:11:2191918:2194113:1 gene:Solyc11g007980.2 transcript:Solyc11g007980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQILSLVYIFLFLSFLFLLRKWKNSKSQTTKKLPPGPWKIPFIGSIHHIVGGYPHHVLRDLAKKYGPLMHLQLGEVCVVVVTSSEMAKQIFKTHDLAFASRPKLLVMDIITYNSRDIAFSPYGDYWKQMRKISVMELLNAKNVRSFSSIRHDEVVHLIDSIRSSSSSGEPVNFSERVLWFASSMLCRSAFGKLPKDQDIFIKLVRQVLRLSNQGFDMADIFPSYKFLHGLGGLKKKILDVHYKIDSIVEDVINEHKKSFANHKRTSDDDALGGGDEDLIDVLLRLMKDKSLQFPINNHTIKAIIVDIFAGGTDTTSIIIVWAMAEMMRNPSILAKAQAEVREAFKGKVKFDKYDVEELKYLKLVVKETLRLHPSVPLSVPRECREETDINGYTIPLKTKILINVWALGRDPEYWDDPESFKPERFEQCSVDFIGNNFEFLPFGSGRRVCPGISFGLANIYSSLAQSLYHFDWKLPNGMDPTDLDLTESDGGSTGMKNDLYLIATPYKP >Solyc11g050822.1.1 pep chromosome:SL3.0:11:16526023:16527226:1 gene:Solyc11g050822.1 transcript:Solyc11g050822.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFKENIICQSNNIGTTFKGAIDDLDFVIQTLENCGYSSDRYYIHCDAALSGLILPFIKHVSKKVTFKKPIGSISISRHKFLGCPMPCGIQITRKSYARNLSKIEYIASIATTICGSRNGLTPTVLLKWLV >Solyc08g065167.1.1 pep chromosome:SL3.0:8:53018881:53022519:-1 gene:Solyc08g065167.1 transcript:Solyc08g065167.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSEHTLNSWAPVVGLKLTASPSPPSPLRLQASPSSPKLTASPSSPLVRYPIVTSVSIKNEQNIRKPYIFTKPELYEIHI >Solyc08g082540.3.1 pep chromosome:SL3.0:8:65414119:65419166:1 gene:Solyc08g082540.3 transcript:Solyc08g082540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKPRNIVYNDVVSKPQLSLRLFVSIFCAILLFYSMFVYGYGGISLAYAETITNYSVKDIEFNSSTQPLLESGGKSSKTEMLLELNISVKINDSCSPTDNIATPEHSLQKTSALEDAVSTILGYNMLICQMQPQVVHIHRNEGRILNGRSHLTYPNLEEFRSITKQEKRGSTASQLVNITHRLEPDGTPYNYASSSKGAKVVAHNKEAKGANNVLNKDHDKYLRNPCSVAGKFFVIEFADETLVDAVKIANFEHYSSMLKEFELSGSLVYPTETWNPLGTFVAENVKHAQCFKLPEPKWVRYLKLNLLTHYGSEFYCTISFIEVYGIDAIEQMLEDLIVHSPESSPDKMGNLNKTTMLSMIPDSGSDYHETKDVVQNVVESANQGLENFDEGQGINLDATRKKQTITNSPEFIKTHRQQSNGRTHGDAVLKILLQKVRALELNLSVLEQYIKELSKRQGDILPELDNEISQVSDLLEKSKLEIKDLLKWKENTEKEHSDLESWKASVSAQLDLLVNGNRMLRLDVEKVVNDQKSLENKELAMFSISLSFACIAILKFVSNRFATCRGWLLILVSSSLIACVPLFYS >Solyc03g082360.1.1 pep chromosome:SL3.0:3:53731955:53732977:1 gene:Solyc03g082360.1 transcript:Solyc03g082360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVHCSRLNFSLCHCSQSQARRGTTRRLEVPREGGCGEEGLGSGRGICRGKGRLVG >Solyc04g017725.1.1 pep chromosome:SL3.0:4:7933218:7942486:-1 gene:Solyc04g017725.1 transcript:Solyc04g017725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNILGSGSSTHVIPENQTMDYNHPLYLCPTDVIGISLISFQLLRIENYVVWSRSIRLAFVGRNKIGLVDGSCRKNDGHAMVVNDKCQKLTSSGVNIGLNSICSTGVDPLVVYSRTGGYPPDFRSKRKVTNGAGHNVYMIGSEFTTPRRDGYNGLSNESSFNSENHTKGKGQKSEVNTSAELYTGKVWEISNEEAGLYLLSKTLAQGQVVTRGGSIRAECEKETDMPEEPEHNPMLEEPDPEGAESQEPIEPLVTRRKTYEGTTIILVYVDDILVTGSSLELIKETTEALQQVFKMKDLGELRYFLGIEFVRCEAGMVMHQRKYALQLIDEVGLSGAKPSGTPMDVNVKLTLKQYDDQTKENQGDKLVDPDAYQKLIGKLQYLNMTRHDISFSVHTLSQFSQQPKKCHMDAVLRVVKYIKKQPVQGLLLSSSSRESMVSWKAKKQTTISRNSAEAEYRSLASTVTELV >Solyc03g114850.3.1 pep chromosome:SL3.0:3:66227640:66232910:1 gene:Solyc03g114850.3 transcript:Solyc03g114850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESWSYFSGGKGFVSEESVSVNDGMRRVKNGVMGWELKTQSSYGMCTTDNQGFHELGSPNLMRKPMFTDQMRDGFASSKHWGGGGGSIVGAFSGEDKSSSKLSSSAVDSISRDSSLIDLKLGRFPDHHVDGNIFKSAKTLSSSTSAESILPAKRMRAGGLNSHKPFCQVQGCGKDLSPCKDYHKRHKVCEVHSKTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPPHTGTRFRGTSFATSPFACQDILSSRYLHQPKYEMSDWCKNVKVEDGVDYSPQLAMPFTNGQLQPKSHFTSYHAKKGCHSFHDGLPAVTTSRINESTNSSLHDMRGSDFVSPSLFHTTSTGSEVLHALDTSSIQGLSGISNSSNALSLLSSQSQGSSNHSTVFSTSRPLIQPNNNYNVTQASKNVLGLGPQASAGRMSNTFNSSGINSAEGGLDQMIFPNNTCGINRVIQGSDLVNSKNQLSCEDGPTIDLLQLSSQLQRVEHQRFSVQVKQDGNAFPGLRIT >Solyc04g007200.3.1 pep chromosome:SL3.0:4:896737:898412:1 gene:Solyc04g007200.3 transcript:Solyc04g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQSIVSPLIGNNCLISLFPNRRPPSTFPVRQLHLPNLQLSASKSRSFDTNAFDLNGDLYFHEVELKVRDYELDQFGVVNNATYASYCQHCRHEYLERIGLSVDEVCRNGDALATTEISLKYLAPLRSGDRFVVKVRLSGSTAARLYFEHFIFKLPDQEPILEARGTSVWLDKSYRPVRIPSEFRSKFDQFIHQKGSNY >Solyc04g007640.3.1 pep chromosome:SL3.0:4:1318725:1322139:1 gene:Solyc04g007640.3 transcript:Solyc04g007640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BNS1] MAGGELIAVAGDSTKIPLQVVHDVCRISGAGFAGFFKKDCTDLARRVSLLAYLLEEIRDSNTHLGSSSSSHDSCLYDLSIALKAAKRLLLAANDFDPKISADAERKKIVFQFQCVTWKLVKSLGSLPYDQFDISEEVQEQVELVRSQLTRAKERYGGPLASYVLSRALSQPLDKEIDPLQSGSRGVGSLHLENVGNIDHEVWPKLGGLPLGNTPNGNVCVQIVQEPENLRNSSKNSEVTSPKSPGLAREDDSPSKKLVESKKPNSPIIPEEYLCPISLELMRDPVIVATGQTYERSFIQRWIDGGNTRCPKTQQILQDLTLTPNIALRSLISDWCAKNNVEQPTALANGRIKRSDGSFRDVSGDIAAIEAIVRKLSSRSTDDRRAAVAEIRSLSKRSTDNRVLIAEAGAIPLLVNLLTSEDSQIQENAVTSILNLSIFGNNKGLIMLADAVPSIVQVLRAGSMEAKENAAATIFSLSLGDENKIIIGASGAIPALVELLQTGSTRGKKDAATALFNLCIYQGNKGRAVRAGIIPVLLMMLKDSSSCMVDEALTILSVLASHQEAKAAIAKASTIPVLIDLLRTGLPRNKENAAAILLSLCKRDTVNLSCLRRLGALIPLTELANTGTERAKRKATSLLEHLQKPLQP >Solyc05g026150.1.1 pep chromosome:SL3.0:5:39936081:39936892:1 gene:Solyc05g026150.1 transcript:Solyc05g026150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSGGLFSWLTGGGSDSLPPLGFPLEDVQLPPSLPDYVEPGKTKITTLTNGLKIVSETSAVPIYGVQSPPASIGLYVNSCSIYKTPASYGATHLLERMAFKSTLNRSHLHIVKLEIDGYTKNSQHLLLEAVHSAGYSSPSGNSLAATVNRLYNTVLEEFVVKS >Solyc04g072400.3.1 pep chromosome:SL3.0:4:59507821:59515133:1 gene:Solyc04g072400.3 transcript:Solyc04g072400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLAASLTTCYAVSVRPSTSASRNFSLLPPLSLIRSPIQQRQPHLAGANSGGSLLSINGVRLRQSSFAAVIGDTTAVSDGAINEEVSTTKLSDSATTQETDAENGEDRKDASEGLDENKMIRVCDKLIEVFLVDKPKPTEWRRLLAFSKEWNNIRPHFYKRCQDRADSESDPGMKHKFLKLQRKLREVDDDVQRHNELLEAIRRSPSDVSDIVARRRKDFTKEFFAHLHTVAESYYDDPAEQNAVAKLGNMCLVAVEVYDTATASMEALNAAELKFQDIINSPSVDAACKKIDDMAQKNQLDSALMLMITKAWSAAKESDMTKDEARSFFFQIHIYISLLPVKDVLYHLYKTTRGNLQRLMPKEIRILKYLLTIKDPEERMSALKDAFTPGEELEGEDVDNLYTTPEQLYNWIGTVLDAYNSSKEGTLIKEARDLMNPKIIKKMEELNKLIVDNFM >Solyc06g065740.3.1 pep chromosome:SL3.0:6:41326275:41332800:1 gene:Solyc06g065740.3 transcript:Solyc06g065740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Golgi SNAP receptor complex member 1 [Source:UniProtKB/TrEMBL;Acc:K4C7K3] MDPPTSWDSLRKQARKLEAQLDEQMHLYRRFVSNKSGNANDHDLESSIDQLLKQLQQVNSQMQAWVSSGGSEIFSHTLTRHQEILQDLFQEFNRIRSSYRAKKEHASLLEDFREFDRTRLDLEDGSGSHDQALLNERATLHRTTGQMDGVISQAQETIKTLMFQRSTFGGINSKLSNISSRLPTVNHILSAIKKKKSMDTIILSLVASVCMFLILVYWMTK >Solyc04g081080.1.1.1 pep chromosome:SL3.0:4:65213490:65216900:1 gene:Solyc04g081080.1 transcript:Solyc04g081080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTATFLLSPPLVVVALTWLLISVFSMAENVTETEMEALMSFKRNLEDPLGVLDGWDLSTSLAPCDWRGVLCGAGRVRELRLPGLQLSGRLTDQVGNLRQLRRLSLHSNNFNGSVPRSLAQCALLRAVYFHYNSFSGELPPAISNLTNLQVLNLAHNFLSGHVSGNVPASLRFLDLSSNILSGGIPGNFSADSQLELLNLSFNRFSGEIPASIGALQKLEYLWLDSNQLYGTIPSAISNISTLIHLSSSDNHLQGLIPATIGSLSSLQVITLSQNQLSGVVPASFFCNGSVNAHAIRIIELSFNAITGLTKPENAACLSALEVLTLHGNHINGVFPEWLTSFSSLKVLDISGNAISGTLPNDIGNLRFLEELRLGNNTLTGDIPSNIVNFGSLGVLDLGGNRFSGLIPQFLGKLTGLRMLSLSGNRFSGSIPDSLGSLYQLEFLDLSMNDLNGSLPQNLMLLSNLTSLNLSSNLFYGEIPRGIGNLHGLEVLNVSNCGFSGNIPTSIGSLLRLTTLDVSKQNLSGELPFDIFGLPSLRVVALQENMLTGDGLEGFSSLSGLEYLNLSSNAFYGQVPKTYGFLTSLKVLSMSNSGINGSIPAELGNCSGLQVLELRGNKLTGQIPKDFSRLSHLRKLDLGHNGLTGEIPENISNCLSLATLLLDSNHISGHIPESLSKLSNLEMLDLSSNNLNGSIPSSLSLISSLRYLNISHNHLEGEIPEALGSRFKDPSLFAANNDLCGKPLKECNDVRRKRKKLILFIVLAAVGAFFVAVFCCGYIYGLILWHKKLKGSAEGKKRSPGRTSSGGEGGRGSGENGGPKLVMFNNKITYAETLEATRQFDEENVLSRGKYGLVFKATFADGMVLAIRRLPDTSIEVNTFRKEAESLGKVKHRNLTVVRGYYAGPPPDVRFVVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAYLHSVSLIHGDVKPQNVLFDADFEAHLSDFGLDKLSLVATPAETSTSSTPVGTLGYIAPEVALTGQPTKEADVYSFGIVLLEILTGRKPVMFNGDEDIVKWVKRQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVGLLCTMPDPLERPSMTDIVFMLEGCRVGPDIPSSADPTTLPSPM >Solyc03g082990.3.1 pep chromosome:SL3.0:3:54263723:54270649:1 gene:Solyc03g082990.3 transcript:Solyc03g082990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSLLRSTPSQLTNSGRMNLISSNTHLGILSVSFYHRPSQSCNIKLCFSTSGLCSRLGTRCCVVSATSSKLHENKGIDSSIDSQRYTDLKDDMASSLSILEEQLRDLFNEVHAMIRIGKENEARDLLLANFEAVKEQIDAGLKGIEEAAILDVTALGYMALGDIRTVKPLLDVLSEVVDDLKDEEPLLDSILTHMGNMYEKLENFEMSICVYERAVKVVERLYGNTSSFVVTPLLGMAKVLGSTGKTKKAIETYQRVVKILESSRGEESEELVVPLFGMGNLLLKERRVTDAENAFNRILTTYMKLYGENDGRVGLSMSYLARVKCAKGDVNEAIDLYKEALCRLKVSDYIAIDDHIMEKMRVDLAELLHAVGRGEEGRMLLEECLLITEKFRGEGHPSSVSHLVNLATSYSQSKLFAEAERLLRMSLQIMYQNVSPDDQSITFPMLHLAVTLYNLNRNEEAEKHALEVLRIRERAFGEDSLPVGEALDCLVSIQTQLGKDDGELLELLKRVLKIQEKAFGTDSEEVMETLKKVVYYLEKMGRKHEGLPLERRLSKLRTKFKQMVQY >Solyc12g098450.2.1 pep chromosome:SL3.0:12:66925635:66932068:-1 gene:Solyc12g098450.2 transcript:Solyc12g098450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4DHL8] MRGISDNVHGVILAISSSIFIGASFIIKKKGLKKAGATGGTRAGSGGHSYLLEPMWWAGMLTMIVGEGANFAAYAYAPAILVTPLGALSIIVSAVLAHFILKERLHMFGIVGCVLCLVGSISIVLHAPLERKIESVMDVWHLASEAGFIIYTCVVVVAVLVLIFRFVPKYGQRYMVIYIGICSLTGSLTVMGVKAVGIALKLTFEGKNQFKYYQTWLFTVFVIIFCLLQLNYLNKALDTFNTAVVSPVYYVMFTTLTILASMIMFKDWDHQTATQIITELCGFVTILSGTFLLHKTKDLGNSQSTNAILLPKNKEIESKATNENSKEIIEV >Solyc10g086137.1.1 pep chromosome:SL3.0:10:65197394:65200800:1 gene:Solyc10g086137.1 transcript:Solyc10g086137.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LGSKSEAFRREGQAWHCTSGLPSDVTFEIGEMSFYLHKFPLISRSGLLAKLIKDSSKDDVSVSELQLNDIPGGAKAFELVAKFCYGVKIEITPLNIVSLRCASEYLEMTDEYGERNLIAQTESFLNEVFGNWTDTIKALETCEEVLPQAEELHIVSRCINSLAMKACTDAKLFNWPVSENGHEDTTDTEVWNGICTGSKTQPMTDDWWYEDVSFLSLPLYKRLIQAVEAGGMRPDNVAGAVVFYAKKYIPLMNRQASFKDATSQTNKSGSTISTPSEADQRALLEEIMELLPNQKGVTETRFLLRLLRSAMMLQASPSCRENLERRVGLQLDQAALDDLLIPNMGYSVETLYDIDCFQRILDHFMSVDQASSAPSPCIMEENQLMEGSTSLTSLTRVANLVDSYLSEVAPDANYKFPKFQSLAATIPDFARPLTDGIYRAIDIYLKAHPWLTDSEREQICRLMNCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLRLRTSISGLFFVSDNLDNSQSTTLHGGNRNTNTSNGRGSSIDDMRERVAELEKECNSMKQEFKKVVKTKKKWNVFCGRKSECDLNSVNPSKLQPRHVNEHKNQKNGGST >Solyc10g008890.3.1 pep chromosome:SL3.0:10:2914883:2921261:-1 gene:Solyc10g008890.3 transcript:Solyc10g008890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLLLLKLGTLALRTLSKPIAVRLKKEAGLHPKFRNFIISIAQANHRITTTMQRRIYSHATDVGIRPLNEEKAVQAAVDLLGELFVFAVAGAIIVFEVQRNSRAEAKKEELRRQETEKLRLRDEALEKEIMSLRSKIQELEQLARGKGLAGMFNLRLPHIGEGKSATPA >Solyc07g042300.1.1.1 pep chromosome:SL3.0:7:55552602:55553165:-1 gene:Solyc07g042300.1 transcript:Solyc07g042300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dirigent protein [Source:UniProtKB/TrEMBL;Acc:K4CE93] MFKLCSPLFLIVLLSVLTNGNCHEFSRILSKKAMGLKREKLSHLHFYFHDIVSGKNPTAVRIAEAAVTNRSATGFGLTAMIDDPLTVGPESNSKIVGRAQGIYAQASINDVGLLMVLNFVFIEGKYNGSSLSILGRNSVASIVREMPIVGGSGLFRFARGYVQAKTHNFDLKTGDAVVEYNVYVFHY >Solyc09g015460.2.1 pep chromosome:SL3.0:9:8867791:8870711:1 gene:Solyc09g015460.2 transcript:Solyc09g015460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVRAKVSEDGVMGIFDNDEEALDAVENGVAVVDLSHYGRIRVSREQKVQFLHNQSTANFEILHEGQGCDIVFVTPTARTIDIAHAWVIVILQSSLAGNWFLVPKHEESD >Solyc05g056560.3.1 pep chromosome:SL3.0:5:66660866:66671350:-1 gene:Solyc05g056560.3 transcript:Solyc05g056560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGVVFPNRSFPMDISTFAQIDPTHWVLDMNTFVGEAYDSIREVCIFLLNNFTLPPDKALAVYIQSPGSPFLFCGAVTLTRPSAVLSLPWPEPGGQLQLTADATPISAKIGISVEDLATLPSLDVAAGQKIERLALKVGENLFNFMQSFCGVDGSKLVVPMDILDRWFKKFQERAKRDPEYLKGFAL >Solyc08g082100.3.1 pep chromosome:SL3.0:8:65113797:65120641:1 gene:Solyc08g082100.3 transcript:Solyc08g082100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKAIIKLEEGTTCTAWNYSGHRLAAGSTDGTLFVFDSTDPASSVFSGSSKFKVHESSIVKVVWAPPEYGDVVACICADGSLLLWEEVVEDSELLQWKLCKCFDRISSLVLDVQFGVSQTSLKLVAAYSDGQVKVFELLDPFELKNWQLQAEFQNVIESVSKFGNVSCRSASIAWNPLKGEIQQSSFVLGFDSDTPHLNSSKVWEFDQDHQRWLPVAELALPADKADPVSTVAWAPNIGRPYELIAVATCKEIALWHVGSNPDSDGRLSVEKVAMLSTHDSEVWQMEWDMSGMTLATTGSDGVVRLWQCNLNGVWHEQATLQPTS >Solyc03g005190.3.1.1 pep chromosome:SL3.0:3:115980:117378:1 gene:Solyc03g005190.3 transcript:Solyc03g005190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNLSPQHHHLAYLHFDPHSHLEALLDKSCTLLACSRMVRTCQRQLPLTRLSHQVQLPAL >Solyc05g055360.3.1 pep chromosome:SL3.0:5:65887459:65891870:1 gene:Solyc05g055360.3 transcript:Solyc05g055360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding QVAFFISKATLFRNSCQHTKVKQEFNYSILGSQKKKTEIRFNFKELNFELYFTRWEKRSRFEELDFELNFVRCQVTGKKIKIRALYSKTPVKIVFLALLVQHKKTVGMENSSMTIEFLRARLLAERSVSQTARQRADELAERVLELEDQLKIVSLQRKKAEKATAAVLSILENEGITDASEEFDSGSDQEAIFSNSKGADSTDNRNEYKPDPSNVKERENDADISSSEIISSPSTGRSLSWKSGKHSLPSFERNRYTDSAWRRSGSFASTGTSSPKRAGKSCRRIRRSNTKTVTDECPPEHLPSFANNGHQSLMDSAGNNDVNDQLHLPTSETSENQRKADESDEGMERALQHKALLIGKYEAEEKAQREWEEKYRENNYAQDSCDPGNYSDVTEERDDMKAFEQPYSAEMINLQNHANKFQEVDIPSTNGVTDNVPSNPHISTSCRKDQNCSRIINSESPASEFALPKSNGSCPENDGPTPAYCHHQLPSSNGSPIQPLENSISSSGGSSLQAGQALVSGDASDNIGSILGALEQAKFSISQQINVSPVEGRSSIEHSIPTAKIEDRLDIPPGFPGLFRLPTDFQLEATTTASYQGFPSRFSSANHFHEPGYNQFSATPYMESPSNAITGLPYTTGFDYLNPPSSFGHPFSSKSTYPTYPFRPNT >Solyc01g104438.1.1 pep chromosome:SL3.0:1:92775962:92779728:1 gene:Solyc01g104438.1 transcript:Solyc01g104438.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRGPCLHCGIKKTSHWRPGPPEKPVLCNACGIRWCIRGTLQNYIPRHANRETQSMQMEETNDKDTFWNPNCVPKRKRSKLRQHILSTVERLQRQLYNILQEPEFENIRDRGKDVTLIHARNKYIPPNEIGLGAMPLVSPTTTECSTSLPPIAKDNASDSMNAPVENPNR >Solyc04g076950.3.1 pep chromosome:SL3.0:4:61977831:61980247:-1 gene:Solyc04g076950.3 transcript:Solyc04g076950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BUD2] MCNPKPSSPSSFVSNEKVHFVNSNKPIDVQVDDEEQLHKWPTPSEAFEEMKEIGKIAGPTAITGLVLYSRSMISMLFLGYLGELELAGGSLSIGFANITGYSVISGLAMGMEPICGQAYGAKQMKLLGITLQRTVLLLLSTSIPISFMWLNMKTILLWCGQDEDISNMAHTFILFAIPDLFFLSLLHPLRIYLRTQNITLPLTYCSAISVLLHVPLNFLLVRYCDLGIAGVALAMVLTNLNFFLLLCSFVYFSGVYKDSWVAPSMDCVRGWSSLLALAIPTCVSVCLEWWWYEFMILLCGLLPNPKATIASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPGRARVSMIVSLVCAMALGLGAMLFATLMRHKWGGLFTKDVEILKLTSIALPIVGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAILVGFILKKGFGGLWIGLLAAQASCAILMLYVLCKTDWKVQVERSKQLTQPTSLPVTMPNLDKKKIKKKNNNNIISNNLEEILCNKEEDDLMKSEDASLDTDPLISSTT >Solyc01g065573.1.1 pep chromosome:SL3.0:1:71763458:71763663:1 gene:Solyc01g065573.1 transcript:Solyc01g065573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSKELKEESYENGSKGTYLSTFPITPKKQTLSYVKLPEYD >Solyc05g014570.1.1.1 pep chromosome:SL3.0:5:8430114:8430374:-1 gene:Solyc05g014570.1 transcript:Solyc05g014570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSNSIWTWEEQMKFLEALAICGFDDTLGIWRYLVDVTGKNQEEVKFHFEKVMVEIKNIEASLIHLLKNEEISGGHNNNRDDDEQR >Solyc02g062957.1.1 pep chromosome:SL3.0:2:35453768:35454565:-1 gene:Solyc02g062957.1 transcript:Solyc02g062957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVALEYYQQKFSLLPHYFSVSLKHSSMVSEQYELGFELFLHNRDNYTLWSKAMELALLGKNNVGFINGTVKNTQFTGDLTRLWDRFNAIVISWILCNVSKDLHSGVFYCSDSHLIREDLKERFNKLHKEIFTLVQGVSSVSLYYSRLKDSWDEYDCIMPPPACTYSRSKEFFEQSQHKRMLQFLMGRNDNYSQARR >Solyc10g061845.1.1 pep chromosome:SL3.0:10:22496053:22499334:-1 gene:Solyc10g061845.1 transcript:Solyc10g061845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQQETSKRTKKAKKKTFAKPGSLSFLENKKRRLLTTNKIIKEIECEKGHLLKLIGAEQSVAPQSEAKRQSVEELPFENHPVEELHVVQPVEELPLVQPVKELSLEQHGKDFPFEDQVQMNSVTPRTNDQPEEQAGDVSTPNKRGRTQMHDVHAQKERKMIILNSQNQPVGLTNDVVIELSSFLGTLARNATLCPFDILDWRSMDTKKDLWDSTKEKYIIPEAAYHWAMVTIRDAWRRHRSDLKLNYYDPYDNGAVRMAKKPCHIPECQFTELLKYWNSEKFKKMSETNAKNRKKLMNPHTAGKKSFALVRNKLEKEKETVSSKDLFVVTRTRNPSCLYKTSNEDTTSKIAEIEEIEKQISINGEYVDAFSSVMGPEHPERLRLYGAGVTKTTLKKKVGNSESTLSETTDVMQQMQERMQKMEKQMEEQKKIHAGLIDPNILAALSTPSPRESTSVQGAKQGDEIEEGDESSSEDLT >Solyc11g070070.2.1 pep chromosome:SL3.0:11:54912209:54915515:-1 gene:Solyc11g070070.2 transcript:Solyc11g070070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEKENMTFSDQPQQPVPSHFMQMPFVGGDAEDVWSHSSMNHELFESYSQFEHAPPFKRMREFDSNSPNSAAFSAINSRVNPPNLPGSKGTSHIFYKTRMCAKFLEGTCRNGEHCTFAHGVEDLREPPPNWQDLVREKDRGAENWNDDQKIIHRMKICKKFYNGEECPYGDKCNFLHERPPKFKTDMPRHRESSAISIGTTGNRCDTELIEISKHGNADSDAVRIKPVYWKTKICSKWETTGQCPFRDRCHFAHGQSELQMPTGRVEMDMLTNSGPITPRPFSVQPVDSSSATTLVNASMQEEKEEKKIHKWKLSKKISRIYGDWIDDLVPPHLHPDNADN >Solyc03g065150.1.1.1 pep chromosome:SL3.0:3:42030585:42030893:-1 gene:Solyc03g065150.1 transcript:Solyc03g065150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDIEVYIDIDVDETLMLIFSSTFTLTLTFIFTLMLTLTFMVTLMFMLTFTLKYTLNFALILTFIFTFSLTLTLTFMFMLMFMLTLTFILTFRLMFMLCSR >Solyc12g042487.1.1 pep chromosome:SL3.0:12:58743817:58746519:1 gene:Solyc12g042487.1 transcript:Solyc12g042487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSDTTSSDSDSDDYIMFCAIVDHTEQVVRESRLLSRQPQHTSRPGMAWVVDLLRGHRMRMYESMRFYPETFEHLIKVIRQNNLLSSDGRTTHVLLMESVAIFLTVVARNDSQRSVSECFQHLLETINRHVRRVAEALCQLAPTYVYLKNMIGVHAKIRHNNRFWPWFKDCIGAIDGTYIQGEVPRNKQQAYRNRKGNTSQNVLCACDFDMRFTFIAAGWEGTAHDSKVLENAILDSSANFPFPSHGHHSLDITRTMIFGSTKRSRPLVNKEGASKVLNQGVVVELEKLVVSMVKSLENQGHNQPPL >Solyc11g027800.1.1.1 pep chromosome:SL3.0:11:19068084:19069073:-1 gene:Solyc11g027800.1 transcript:Solyc11g027800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4D7C3] MTTSPPEYLEKNNLSEDGMKLLSILPKEKGWLGSYAYNYQGFWVPQKFLQAVIAFQQQFQAQDSDIILVTTPKSGTTWLKSLLFALVNRVKHPILEPNHPLLIENPHVLVPFLEHTLYFDGRTDDISTFTSPRLLATHVPFASLPKSVQVSKSKLVYLCRNPRDTFISMWHFTNSLLPDHKEADSIEEMFNLFCKGVSLYGPFWNHVLDYWKKSIEKPNKILFLMYEEIKKKPKIQLKRLADFLECPFSIEEENSGVVDDILNMCSFENLSNLEVNRNGKFSTGEAYKVFFRRGEIGDWKNYFTIEMCNKLNHIVEEKFQGSGLKFSYV >Solyc02g092415.1.1 pep chromosome:SL3.0:2:54117121:54119228:1 gene:Solyc02g092415.1 transcript:Solyc02g092415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHCKTQMKKLRNLETQQGSSVIIDIVDRNVSDEINENLKRPVTIEEIKHSVSLCNVVDRITCKVLANRMKRCMNLIISEAQSAFIPRKYITDNAMIFFEAMHYLKRKTQGKEGYAALITDMSKAYDRVEWDFLRAMMIKMGFYLEWVNKVMQMEEAETIKLCLKIYQAASGQQYPARRTNNFGNSFGVYHCRLSVPAATLYHAKKELEEWRRANSGAKMTVVAATKILLRGKFYLLVVWLAHCWPRS >Solyc03g096820.3.1 pep chromosome:SL3.0:3:60609326:60615736:-1 gene:Solyc03g096820.3 transcript:Solyc03g096820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAGNAYLGSLKQSFHETSKERLLLLRKGYSDLSVGGGGGFTGNENFLERIRYRVSEYCNNVKQAASKAVKMGRSDPRKIIFSAKVGFALALVSILIFFKEPIPYIGSHSIWAILTVVVVFEFSIGATLNKGFNRALGTFSAGGLALGIAELSLMAGGFREILIVISVFIAGFCATYMKLYPPLKEYEYGFRVFLLTYCIVLVSGTSDFVKTAVSRLLLIGVGAAVCLVINICIFPIWAGEDLHKLVVKNFKGVATSLEDLHTSFLGCVNDYLQCLEYDRIPSKILLYQASDDPIYSGYRAAVESTSQEASLLAFAVWEPPHGRYRMLNYPWAEYTRVSGALRHCAFMIMAMHGCILSEIQAASELRRTFMKEIRRVGTEGAKVIRLLGEKVEKMEKLSPGDPLKDVHEAAEDLQLLIDQKSYLLVNAENWESSKRPKKFEDPERLQELKDNEPKPMVINSLSEATLHLRSAHTLKHMDTLNPNVSVNFSTSQWGSSADVFAQQTMWPSRLSLIGDVILNEREVRTFESASTLSLATFTSLLIEFVARLQNLLHAFQELSEKAKFKEPVDTKEATTL >Solyc08g014300.3.1 pep chromosome:SL3.0:8:4096329:4099419:1 gene:Solyc08g014300.3 transcript:Solyc08g014300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAIAAAEERIITERIRQKINEVNTAAQTQLSGVQDHVHFTLQQAYFKCAYECFDRRKKQEDIDNCVEYCSVPVLKAQNFIESEMADFQEKMNRSLMVCQDKFEAAKLQKNKSDAMKDMESCVDQSIQDSIKTLPHLVGKFKVSLGITE >Solyc12g005310.2.1 pep chromosome:SL3.0:12:188717:191242:-1 gene:Solyc12g005310.2 transcript:Solyc12g005310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAPKNSPIVAEENKKTLHFIEDVTTKADEIQKRVLAEILCRNANVEYLKRYADLNGNTDRETFKKVMPVISYEDIQHDINRIASGDTSPILCSQPVSEFLTSSGTSGGERKLMPTTEEELGRRSLLYSLLMPVMSHFVPDLETGKGMYFLFVKSESKTPGGLLARPVLTSYYNSPHFKNRRPDPYTNYTSPNETILCPDSYQSMYSQMLCGLSQNAQVLRVGAVFASGFIRAIRFLEKHWSLLCTDIRSGIMNTELITDSSVREAVMKILKPDPDLADFIEAECSKKSWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPLCKPEDVAYTLIPTMCYFEFLPIHRNNGVMDSIAAPKSLNENEQQTLVDLVDVKIGQEYELVVTTYAGLYRYRVGDVLRVAGFKNKAPQFNFICRKNVVLSIDSDKTDEVELQNAMKNAVSHLMPFDAHVTEYTSYADTTIIPGHYVLFWEVNVNGSTPVHPSVFEDCCLTIEESLNSVYRQGRASDKSIGPLEIRIVEVGTFDKLMDYAISLGASINQYKTPRCVKFAPIIELLNSRVMSKYFSPKCPKWTPGHKQWNNMN >Solyc02g089740.3.1 pep chromosome:SL3.0:2:52107272:52107790:1 gene:Solyc02g089740.3 transcript:Solyc02g089740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGLVQLYHSSCSNIPTCNPHSFRV >Solyc01g088740.3.1 pep chromosome:SL3.0:1:83376475:83382810:-1 gene:Solyc01g088740.3 transcript:Solyc01g088740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRDRGGGGGSSSRSEIVGGTLDRKRINDALDKHLEKSAPSTSRALKDKAVPSTSVGAGKLHQQHVDHRDTRSSSSLATKNKCSDDESETDSEESDVSGSDGEDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMAAMSEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTCTRHRGRAQVIMGGVLYMGKLDSIDQESNSGESVKCNSSLKFHHEIHRASPNISRRLALLIAAGGRHPPDFRTFSPANSIASIQDSLHHRRLS >Solyc08g065163.1.1 pep chromosome:SL3.0:8:52991439:52994624:-1 gene:Solyc08g065163.1 transcript:Solyc08g065163.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRSTQPQPHNFNNQMNNSLTTKKTQGQNSQGRRMGLVCDHCGYKGHTRESCYRIVGFPADFKRTCRAEANAAGMNPLTVNDNGNAVRWIIDSGATHHITCCESPCPLLPPFLDNVDDVSNDVNMDQVLPSCSSHEESCHTHIEEISNTTDGLQESSHSSHNEPPINIGSRKTTRQSKPPVWIKDYVVPHKSKTGLSGAKTVSTPLEFNQKLTSVGFDQHTGGSDNAELEDITAYQRLIDKLLYLTITRPDICISVQVLSQFMQHPKVSHWEAALRVVRYIKKSPGLGVMLRRDTGVTKLTGYCDSDWA >Solyc10g012030.3.1 pep chromosome:SL3.0:10:4309353:4319507:-1 gene:Solyc10g012030.3 transcript:Solyc10g012030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLETDGGSSTDCASETQKHSRKSYSREVLLSISQLEICKKLPSGFNEPTLSKLEDSSHGIQDRQRVPGSLPLQGFRRTDYSSSPPTRGDSSSYSRGNYGRWESRSSGWSDRDNDAQSDRDSDSGRRYGNQALRISQSSEHDGLLGSGSFPRPSAYGSGIPAPKVQASNNYQSNKTMEPYHPPRPYKAGPHPRKDTDAINDETFGSVECTSEDRVEEERRRRASFELMRKEQHKILQEKQKSKLEKHKTEDIIVQLEDNKEDRTVLEKNSEVDGMTTRPSANSGLGKTSFPSQNPPARPRIPPGFKTTVLDKNSGSNLSHSRVTEVGESAKEESLLEVKAYAAPNGTLHSVERQSLQEISSSYKLEGRSSHTTILKKNDQIANQSVRSDTSARTLGMEDHFNQRATLLEAHEALHEPGIIEHSTQQSDRKFAKSSQDPSASILDKIFGSVIPINVGDSEAPVMNHDSKPNDMLGSKAIQSSKFAQWFKEEDRKTTDDSSSNRPNDLLALIVGGDKSRSQAFDGNISKSFPSEFFDRSPEPTSKVTLHMPSAPLGVPELMHDSSKREAIPPILTCEDLEHKMLSEYSEKKPNLQPTSQVYGTNRLDTVEQPANVDSNASQHLLSLLQKGTGLTNMKGKGSTGTDAQDGHDEFTVHGRSKEETARDSHAPGKAVTLETLFGSAFMKELQSVQAPVSIQKNSVGPGLIDDSETHKSSLPGFDDGLFSSMIDGIGPKGGENLFSARDHSNPQVSMVMPAGILGKGELTTGSVSGGDERSLMSLEGLPLSSVPYDQSEMPLHHRLAQPSSLQFHPLQMSQGRPLFNPMDSGPAHLNPQIFNGRESMGLHEAPGRQFAGNMNRPPFHHPNGGLTGFDLPAHHPMLQQMQMAGNNPNLLQDRLRGAQVPSHLSNQAANNMQEVNRVQAFPFVPHQVNISGRTVQMPDPDINSRNNHPESMQRLAERQLGAPKQIHPFAGGNVQGMYSHELDMGLRYR >Solyc12g055880.2.1 pep chromosome:SL3.0:12:62834410:62834832:-1 gene:Solyc12g055880.2 transcript:Solyc12g055880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRLMMQLSIDPMRKASTGEDDAQSPDSENKYRKTVLQALERAEETEKPPLADLFTDVYDQIPSNLQEQERFIRDAINKRPNEYSTNIPL >Solyc03g115740.2.1.1 pep chromosome:SL3.0:3:66848562:66852709:-1 gene:Solyc03g115740.2 transcript:Solyc03g115740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPDNSFTAQKRAGGALPTTATPNGGAGGGRASSILPRGRQIQRTFNNIKITILCGFVTILVLRGTIGFGNLASSGPDVENLNLIEETNRIIAEIRSDKDPDDFFTLNATYRLGPKITAWDEDRKLWLQKNPEFPNFVQGKPRVMLVTGSPPNPCDNPIGDHYLLKAIKNKIDYCRIHGIEIVYNLAQLEMEMAGYWAKLPLIRRLMLSHPEVEWIWWMDSDALFTDMVFEIPFNKYEDHNLIIHGYPDLLFDQKSWVAVNTGSFLVRNCQWSLDLLDAWAPMGPKGPVREEAGKVLTANLKGRPAFEADDQSALIYLLTSQKDQWMDKVFIENSYYLHGYWAGLVDRYEEMIEKYHPGLGDARWPFVTHFVGCKPCGSYGDYPAERCLKNMERAFNFADNQVLNLYGFRHEGLLSPNIKRIRNETDNPLQYVDQLDVRHAKHESTETHS >Solyc08g077220.3.1 pep chromosome:SL3.0:8:61250475:61256857:-1 gene:Solyc08g077220.3 transcript:Solyc08g077220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACKGFWECLLKLLNFLLTLVGLTMVGYGIYLFVEYKNHSHSGDDYPVAPPMSGDMIEFGRPMLMAVSLAENIFDKLPKPWFIYLFIGIGAVLVVVSCCGCIGAATRNGCCLSCYSMLIFLLILVELGAAGFIFFDKSWKDEIPRDKTGNFETIYDFLDDHWKIIKWVALGAVIFEALIFLLALVVRAANRPADYDSDDEYIGGPRQQIRQPLINNRPPANPATGVPVTATLDNRPSRNDAWSTRMREKYGLDTSEFTYNPSESNRYPPTAAQPQEERKGCTIM >Solyc04g049440.2.1 pep chromosome:SL3.0:4:41833175:41836404:-1 gene:Solyc04g049440.2 transcript:Solyc04g049440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNLFGEIDCRSKSDGIVMGILRCAMDKAHEKVQCEAGSIEFLHERSKFYELAVILVESGLSIIQEETDILESNREKVISDLTEMRHWLFGRIEVMKLLINEKDRELTERIENELKLREILKSKEKEVFYLRDKLENQRTMSEGSLDLELLVKKNKEDEISDSKSDSELLNEETFQKDSIFYGSYNHIPKIKPMMKDSLCPEQNNVIQQMSCDIDILNETLDFAFGRRDNGEMVPIEKQWKCSIEKDTLSILIKGFIQDIKHRFQDTLKENVEIVIFEKDILYVLLRGFISDFQQELGDQTPIEFSNDNLEEFIGEMTILREELEAFCNKYEDRNKTISNQDLPRPLTKIQRTCSEPLPSIDQEDYQEVSGNINDVAKLIKDHESVIRKQLEDQNHMKKEVFRGERSALHKKEKEVDFLNVMVKNIITRLDDISSLSLKLVDKSYVKCKEKYIMKNISKSTIGDKVVTNDCTCEIIRDDVGVPKEDTENLNLQVLILEEIYLIIYEGLYKEMFKAWKDEIDDFYMEVEIREDFYKFMMVEAVKDVHTKMLNYLNHPYKKVEIDRIEEIKIEKLDSTLKCLETKEDPMVKASSEIEEHNEQEILGYEGFEECETIKWLLNDDVCTFSSVNEKLEITMKQLSTCKELLFDLEQSLDVSPDVLDISSDDCAYTNNTSLVVETKKPSQESIAKIDDNQITLINPYNVLISAQLFDFHQMIQEFEINVVQNLEMKSLRYSRLSSFEQPHSIYIGSNNNVITSFRIEELKHQFHNFIIEPIALMKKKKLLYKKAFLARCQNLKLAETEVDLLGNQVEELLHLLKNIYIILDQNSTILSCHFQVFDILKLIKDELIGEVVCLSSS >Solyc04g063210.3.1 pep chromosome:SL3.0:4:55359681:55363040:-1 gene:Solyc04g063210.3 transcript:Solyc04g063210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIGVHNFAALKAVYERPSLRWILQPKMQLPFSGRRMNLQSKTSDEVEGSPFDHKGLLQSRELYQYILETSVYPGEPAPLKEIRAITENHPYFFMGASPDSGQLIAMLLDMLNAKNTIELGVFTGYSLLLTALTIPDDGKILAIDPDLKSYEMGLPIIQKAGVEHKINFKHSPALPVLDKLLEDDDNKSSFDFAFVDADKTNYGNYHERLMQLVKVGGLIVYDNTLWYGTLVMPEESVHELMRSERSYLLELNKSLAADTRNQISQVPLGDGMTICRRLF >Solyc10g085420.2.1 pep chromosome:SL3.0:10:64732977:64734086:1 gene:Solyc10g085420.2 transcript:Solyc10g085420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLALEGWDEILTGKTSHLHRHCLIYTASSYLILYIRQDLIEHEIQERTYFSVYRRRSSLLKHMKNELIVYNSIRRKH >Solyc12g088390.2.1 pep chromosome:SL3.0:12:64778806:64781884:1 gene:Solyc12g088390.2 transcript:Solyc12g088390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEALNSWTKGKIRSKRSRSIVMEEQPSIITEEEYLALCLLMLARSNNNNSNSNVSITGDNLYKCSVCGKCFGSYQALGGHKASHRSNRDKVCEQSVIVTSGNVVSGRTHECTICHKTFPSGQALGGHKRRHYEGKMTSSSSSNGVGSVNNFDLNIPVLPDNWPGFASGEDEVEIMALEALNSPTTTTPPSLFQFQINTTTQNYLESWTNSKMRSKRPRSGLIMEEQQPIISEDEYLALCLLMLAHSNNNNNNDITICGKCFGSYQALGASHRSNRDKVNEHSVITYSATSGNAVSGRTHECSICHKTFPTGQALGGHKRRHYEGKVTSSSSSLNGVGSVNNFDLNVPALPEYWPGFGSGEDEVESPHPAKKLRQLL >Solyc02g032830.2.1 pep chromosome:SL3.0:2:29861152:29862836:-1 gene:Solyc02g032830.2 transcript:Solyc02g032830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding TCPQSEDIIKEQVNQQQQNNAFSWLWNVFHDCFVESYNVSLLLELTSGMLSEMETDRSFGMRNFRYIDTIKEAVERESHDFVSCTDVIVLSGRDGIVAVRGPHIPLKTGRRHGRRSRADILEQHLPSHNGSLVLCLRGAHSVFFPQCIKLVQRLYPEVDPQLNPEHVHHILKKCPNSKAVHYVRNDRGTPMKLDNNYYRNILDNKGLMLVDQLAIDKRAKLYVKKMAKSQHYFFKEFSRAITVLSKNNPLTGTKGEIRKQYEAESINFILDYDPSLPFPQSLDYGLECYYK >Solyc02g081830.3.1 pep chromosome:SL3.0:2:46179232:46182651:-1 gene:Solyc02g081830.3 transcript:Solyc02g081830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMFGQVPEVQDYKPEALQVKIWIMDRPTAGLLVPSAHTMSVCTHELESRTVTHRVEFSVQSFTMSILTKLRCITLDVTGTLIAYKGELGDYYCMAAKAAGQQCPDYKRVHEGFKLAYTEMAKKHSCFGFAEKIPNIVWWKTCVRNSFVQAGYEYDDETFEKIFRRIYATFGSSAPYNIFPDAIPFLRWLRERGVTVGLVSNAEYRYQDVILPALGLNQGSEWDFGVFSGLEGVEKPDPRIYEIALKKAGNVAPEEVLHIGDSLRKDYLPARSVGMHALLLDRFKTADAVDWRKSGVTVLPDLIATKEWLTSENSKCIDL >Solyc10g074540.1.1.1 pep chromosome:SL3.0:10:58170355:58171389:-1 gene:Solyc10g074540.1 transcript:Solyc10g074540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFFANLFLLFLVIQFGSNNGSEQTLNLINSNYFNPKLPPRTLSSNKKFEGSSDLVNLRYHMGPVLSSPINIYLIWYGKWTPSQQLLIKDFLLSVSTFNHRAAPSPSVAEWWSTVSLYTDQTGANISRSVLIAGEYSDRRYSQGTHLTRLSIQDVIAEAVKSKPFTVDHKKGIYLVLTSVDVTMQDFCRAVCGFHYFTFASKVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGGNGPGALKSPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPVAPTEIGDLCEGLYGTGGGGGYMGQVMKDRDGRTYNLNGRRGRKYLVQWIWNPILKACAGPNAMD >Solyc08g063040.3.1 pep chromosome:SL3.0:8:52641846:52642867:1 gene:Solyc08g063040.3 transcript:Solyc08g063040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKGMLGDDSMSNLTSASNEASISSTNNRIEIGSHVLYPQLQNIQTQPPPNKKKRNLPGNPDPEAEVVALSPRSLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRSNKEVKKKVYVCPEASCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSSIHVLL >Solyc09g072510.1.1 pep chromosome:SL3.0:9:65499494:65501090:-1 gene:Solyc09g072510.1 transcript:Solyc09g072510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNDAYVIHELYIYLTKWPFIGYSLNYVPLSPMENLVGQGGYSDVYRGDLEDERRIAVKRLVKDSTNMNKEKEFLMELCVIRYVNHPNTTSLVGYCIENGFYLIFKIYPNGTLSKALHNCYFTSARKTNKSLEWPMRYKIALGIARGLHYLHKYCKHRIIHRDIKASNVLLGPDYEPQIQLIKHIFFHNIYRVFSIFTNSCIE >Solyc02g072140.1.1.1 pep chromosome:SL3.0:2:41994791:41996161:1 gene:Solyc02g072140.1 transcript:Solyc02g072140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVRPAPAPSKSRLAKTFQRVIHRKNSSKPFSNNGFCLLIPQEKLRCCESQHFDKEEIEECKEHTKNRAVMEAFVAKLFATISSVKAAYAELQLAQFPYDSEAIQVADQAVVDELKALSELKHSYIKKQIDSSPPHVTLMLAEIQEQQSVMKTYEITMKKMQGEIESKGYNISSLQKELQETIHNNRSLERKLNASGSFSILDNVKFSDVNPKDFIMVLHYAMRSIRNFVKFLIREMESANWDIDAATKSIQNGVTFHKSNHRAFAFESFVCREIFSGFNEPTFSVQNDDSLFSGVIQRRDFFFDQFKKLKSVNVTPFLKQNPSSLFGKFLKAKYLHLVHPKMEFSFSGNLNQRKLVNSGEFPETEFFKVFSEMGRRVWLLHCLAFSFDQQVSIFQVRKNSRFSEVYMESITDEIFSFAGGEFKVAFTVVPGFKIGQTVVQSQVYLSPVTPPSKH >Solyc02g068480.3.1 pep chromosome:SL3.0:2:38984514:38991930:-1 gene:Solyc02g068480.3 transcript:Solyc02g068480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKKREVEDGVVPAESTAKRQKVMDQSSPSLPPVAFENPLLPLASYDDDEDDEDDGTKGHIPEQVINNGGDKEQNGYSSDDKEDEDDGRSQGKRNRAIEIRRDCPYLDTVNRQVLDFDFEKFCSVSLTNLNVYACLVCGKYFQGRGPKSHAYTHSLEAGHHVFINLRTEKVYCLPDGYEVIDPSLDDIIHVLNPRFAQEQVKQLDKSRQWSRALDGSDYLPGTVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHNRSPLVHRFGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGAQSDPVEFMSWLLNTLHTELRSSKKGSSIIHRCFQGELEVVKEMHNRSIAEKRENGEDGEHESHNTGMETSRMPFIMLGLDLPPPPLFTDVMEKNIIPQVPLFNILKKFDGDTVTEVVRPRIARMGYRVTKLPKYLILHMRRFTKNNFFMEKNPTLVNFPVKNLELKDYIPLPAPKENEKLRSKYDLIANIVHDGKPGEGSYRVFVQRKSEELWYEMQDLHVSETLPQMVALSETYMQIYEQHQQ >Solyc10g047335.1.1 pep chromosome:SL3.0:10:40515200:40516833:1 gene:Solyc10g047335.1 transcript:Solyc10g047335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLVGALQYLAITPPDIQFAVNRVAQRMHQPSEYDYHCLKHILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKSDRKSTSGFLVFLGPNLISWCTKKQPKVSRSSTEAEYRALALFAAETIIFKSFPNSNRFSSHDFPNKLDWGSMGMKQVTRLSKAAIQIRALPSSFCNPASVNNKMSPYKVVNLITG >Solyc01g103510.3.1 pep chromosome:SL3.0:1:91978081:91981591:-1 gene:Solyc01g103510.3 transcript:Solyc01g103510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRKFEHPRHGSLGFLPRKRAARHRGKVKAFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMIVVGVVGYVKTPRGLRCLSTVWAQHLSEEIKRRFYKNWCMSKKKAFAKYSKKYETDEGKKDINAQLEKMKKYCSVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDAAQKVDYAYGFFEKQIPVDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTEMNKKVYRLGKAGQESHSAITEFDRTEKEITPIGGFPHYGVVKEDFLLIKGCCVGPKKRVVTLRQSLLNQTSRVAMEEIKLKFIDTSSKFGHGRFQTTQEKAKFYGRIKA >Solyc03g111215.1.1 pep chromosome:SL3.0:3:63329978:63337802:-1 gene:Solyc03g111215.1 transcript:Solyc03g111215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDIAMFLESIFVFRSKLEENLKQNLSCGKSDLENYEKDSEKYGEAGGHKAKHLEVPRRGRGGLGQGASRSRRRGGGNRNEKTEAGAQVNTGNVQYNMQGSTHSTTKGDRTPALGSRRTEEARFAEEPALALAEKEKAKSRAAVEQAEAA >Solyc08g080730.3.1 pep chromosome:SL3.0:8:64060205:64065443:-1 gene:Solyc08g080730.3 transcript:Solyc08g080730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSTSIFVIRWINFLTMLLAVGVIGFGIWMSTHHDVCRKSLTLPVLGLGGVIFVVSIVGFLGAWKNNSILLWIYLIMLCLILVAILVFTVLAFIVTNNSSGHSVNGQRYKEYQLHDYSSWFLKQLNNTHNWKHLKSCLVKADDCSNLSKRYRTAKQYKLAKLTPVEAGCCRPPSECGYPAVNASYYDLSFHPTSSSKDCKLYKNSKNIKCYSCDSCKAGVAQYMKTEWRVVAIFNVILFVVLSMIYFVGCCARRNAARERSKI >Solyc04g079190.3.1 pep chromosome:SL3.0:4:63830480:63831944:1 gene:Solyc04g079190.3 transcript:Solyc04g079190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTSNEIVHDVFPYLQVYKNGTIKRLVGTEFTPATYDSQTNVTSKDVMVDPKSGISARIYRPNSITKSKKLPLVVYFHGGAFCISSVGDPKYHDSLNVFVSCANVVLVSVDYRLAPEHPLPTAYDDSWFVLQWVAQHKLEQGSDVWLNEFVDFDNVFLAGDSAGANISHFMAIRAKEDLGMKLSGMVMISPYFWGEEPIGIEIKDSLRKSMVDKWWEFVCPSNKGNNDPLINPFVDEAPNLEELACDRVLVCVAELDILRDRGILYYESLMKSQWKGKVEIFETKGEDHVFHIFNPNSEKALDLVKSWATFINEK >Solyc02g092410.3.1 pep chromosome:SL3.0:2:54111071:54114992:-1 gene:Solyc02g092410.3 transcript:Solyc02g092410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTVVGNNALICRPQLASCCASMKLGILKLQACNCKAKSLGFNITGTEVKTTFLAPVRKTETSRIVHINGKSASSHQPGYNSNDEISANDFSGFPGKSISLTSTNGNSTNIVWHKCSVEKSDRQELLQQRGCVIWITGLSGSGKSTVACALGRCLHARGKLSYILDGDNVRHGLNRDLSFAAEDRTENIRRIGEVAKLFVDAGVICIASLISPYREERDACRASLPEGDFIEVFMDVPLHVCETRDSKGLYKLARAGKIKGFTGIDDPYEPPLKAEIVLRQNQGLCDSPNDFADVVISYLDRNGYLKA >Solyc01g067500.3.1 pep chromosome:SL3.0:1:76035124:76045663:1 gene:Solyc01g067500.3 transcript:Solyc01g067500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGSSDGEGPDATAQRKIPPPSSMLWVRNLRRYIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEAGTIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPAAFVQMYCRIAAHKFFFFCDPHRRGKACIKKILLSNCLQELMELHQETEEEVTDTEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELREYADGTLTDIFIERVFDEHVRRGKIGGGNAREMDFESFLDFVLALENKDAPEGLTYLFRCLDLQGRGFLTTADIHTLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPSDSLRISLADLLSCKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPQEEG >Solyc04g009810.3.1 pep chromosome:SL3.0:4:3117673:3125879:-1 gene:Solyc04g009810.3 transcript:Solyc04g009810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein pelota homolog [Source:UniProtKB/TrEMBL;Acc:K4BPD6] MKIVRRDFVPDGSGSVKIIPEEADDLWVAYNLIAEGDTVLAVTVRKVLREAASGGRDAERVKLKLEIKVENVEYDKEGSALRIRGKNILENEHVKIGAFHTLEIEQHRPFVLRKVVWDSLAREVLRQASDPSASADLAVVLMQEGLAHILLIGKSVTITRSRIESSIPRKHGPAIAGYDKALNKFFDNVLQAFVKHVDFKVVRCAVIASPGFTKDQFHRHLLLEAERKQLRPIIENKSRIILVHTTSGYKHSLKEVMDAPNVMTMIKDTKAAKEVQALKDFFNMLSNDPDRACYGPKHVEVAHERLAIQTLLITDELFRSSDVETRKKYANLVDSVKDSGGTALIFSSMHVSGEQLNQLTGIAAILRFPLPELEDIEM >Solyc12g096160.2.1 pep chromosome:SL3.0:12:66167404:66173362:-1 gene:Solyc12g096160.2 transcript:Solyc12g096160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKMAAVSVGNGNEVWRAHGTMAMIQLLYGGYHVITKVALNVGMNQIVFCLYRDLLALSILAPVAYVREKRSRIPLNRRLLLSFFFLGLTGIFGNQLLFLIGLGYTNPTYAAAVQPAIPVFTFIFAVLMGTETVKLFTVEGQAKVGGTIVCVSGAILMAIFRGPVVFGDRSSEFIHSDISAKGQPEPTGWLMSSFVELGFDDWHLGVLCLIGNCMCMAAYLAIQAPVLAKYPASISVTAYSYLFGCLLMVATAFFVTDESTDWNLTQSEAFAVCYAGIVASALNYGMLTWCNKILGPSMVALYNPLQPAASAFLSRVFLGSPIYLGSIFGGLLIISGLYLVTWASYRQRQAAIGIDPHASRSSEPPITYQLGHLFSVPPSSIPKIID >Solyc09g007605.1.1 pep chromosome:SL3.0:9:1187095:1191306:1 gene:Solyc09g007605.1 transcript:Solyc09g007605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANPYRIHHTALLHVIRYIHGFMSQGVLFGSDSSIHLEGYTHEDWAGCPYPQSYVTGWCMFRNNPVYLNQLYMEANCATSIESSEIVWLQRLLSDPGVVITSPTLLHVTESRITFSKKIFNTPRVDLLIDETRDTLHTTPTSKPVRKLFRSKRKRAPTGHAIAASGVWTREEDKIFENILAIYFNNNNLLMKMEEAPPGDETKDHYNLLLEDINAIDFGGTPLPTLVMAYAAV >Solyc02g088860.2.1 pep chromosome:SL3.0:2:51438627:51441389:-1 gene:Solyc02g088860.2 transcript:Solyc02g088860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGDRLMPIFPCGTAAESPYAAAKTSVWWDIENCQVPRGCDAHVIAQNINAALKKMNYHGQVTIYAYGDTNGIPLSIQRALSTTGIRLNHVPAVTKDASDKMILADMLLWAVDNPAPANYLLISGDGDFSNAIHQLRMRKYNILLAQPVYASPALAAAATNVWQRTTLAAGRSPPEFAFSTKTLRPISKPISANQPDFSDAHANTNTNATASATQSLSNPKTKAIDIPKNLDQLTMTSMSGTPTKVEETSSSYSPHAPSVAPVLFVPHLFFTKSDDSENLNS >Solyc09g009700.3.1 pep chromosome:SL3.0:9:3088426:3103498:1 gene:Solyc09g009700.3 transcript:Solyc09g009700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKISNFFIFSIFITGFLWGVRVEGSRHHHHHRHQNNPKNYKNDQKDHQFYNFKPTKMFVFGDSYADTGNNRKSMANSWRQPYGLTFPGKPSGRFSDGRVLTDYLAKFLGLKSPIPYRWMKYATNRLKYGINFAYGGTGVFNTTVPEPNMTTQIDFFQKLMAESVYTKADIEQYSLFLLSLAGNDYGAYLANGGTVQDIPAFITSVVNQLVVNMKRVNKLGAKKIAVTALEPLGCLPQSTILNSFQQCNATENIAVDLHNSLLQQAVEKLNNETMGSTFVILDLFSSFNSVLASKGAPGSTRFETPLKPCCMGISNKYSCGSMNVKGEKMYTVCNDPKSAFFWDRVHPTEAGWHAERD >Solyc08g006140.2.1 pep chromosome:SL3.0:8:867500:869907:1 gene:Solyc08g006140.2 transcript:Solyc08g006140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPPPPNMSGERMPLPNKLIAVSIDKDKGSKIALKWTVDHLLAREQTVLLIHVKLKQSANASGQSISSRSNQSSDEGVGNTELDQQTKELFLPFRIQCYDIVLDDTDVARAVIEYVARTGVEVLIVGASSRGGLLRFKAKDIPGSMLKGAPDFCTVHIISKTGKISSTRAASRSAPFVHPLRHQLMQPASTKFAPFDTSTPSSNNSRSSFPGGPKPVCDPPPSTIQSDTMSFKSPFTHRKGPNGKPYEISLTDTDISYVSSGRPSIDNIYSSLSDSYESGGPTPPRLSGFSDFDSQSFDSTQFGRRSVDTTPPELSLTLLDGDRTSFSQGPGDDIEAEMRRLKQELKQTMELYSSACKEALTAKQKAR >Solyc06g048825.1.1 pep chromosome:SL3.0:6:31891669:31894941:-1 gene:Solyc06g048825.1 transcript:Solyc06g048825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSVSKISGVLEEVAFFSQKGSQSNNNGRGFNSTRSFSGEVSNSGGKELLIILVYVDDLIVTGNSQTLINKAREDLQHSFKMKDLGELKFFLGIEVARSNEGIVMCQRKYALELVTETGMSGAKPVSTPLEINQKLTSTEYDRHVSSKAEISYEVLENPATYQRIAGRLLYLTIIRPDIALVVQVLSQYMHCPKKSHMEDALRVVRYIKGTPGMGLLMPAGTRINLWPIVIQTGEHA >Solyc02g089070.3.1 pep chromosome:SL3.0:2:51614066:51619601:1 gene:Solyc02g089070.3 transcript:Solyc02g089070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Eukaryotic translation initiation factor 3 subunit M [Source:UniProtKB/TrEMBL;Acc:K4BC61] MTTVVPTSEEDPVLSVVRFTAEMSWADAGAETAEPEVNRLCVEAQKCMIEGRWLDLVSLMLASADIIFSKASEKDLECVFTIMCNLVKKPESLDQVHEMAELISAKIIQQPNDKPALRLKILFNLYNLLENPYSRFCVYLKSLKLATAGKVTEHILSSIKMMDNFLKEWNLGVKDQRELFLAISNILKESKGSTKDSFMFLTKYLETFSSEDASNMTEAKEEAASAIVDFVRSPDMFKCDLLDMPAIVQLEKDGQYGPVYQLLKIFLTQRLDAYLEFEAANSALLKTYGLVHDDCISKMRLMSLVDLGLNESSQIPYSVIKQALQIDDIEVESWVVKAITAKLLDCKIDQMNQVVIVSRCTERVFGVHQWQDLRTKLVTWRGNIAGVISTVQANKITEDSTQAVQGLAIR >Solyc11g062220.2.1 pep chromosome:SL3.0:11:49427668:49443388:1 gene:Solyc11g062220.2 transcript:Solyc11g062220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDEESLNKPIIADELKLDNVTGLGATSPSLVGEGDTASPAPEVGPPSVEESEVALDSSQQAGVGDADVGASMGVSESSQQGNGDAEEVEAVRVTENEAVTEENVTALEKDEVTEAALVRNEGTEENVTALEKDEVTEVALENEVAEALEVTKGEAEICMPEGTGDEEVVMTAKENENDEILAGNDNIEGTENGEAVTEADVLTVEGSVGLGKNGEMEEVKCEEVGITPVVLDDSGGITLEKEAEEMETDHKTANAEENDDMVSHVDIAAVEAGVKLEKDVEMDTIKHEEVEYTPLAEEDKGAGAEDEAANGEKVVVTQNEEDDEMVTQVDISAVEARIEPEKDVEMDTVKHEEEESVPLDEEDEGTKPEEEESVPLDKEDEGTKLEEEESVPLDEEDEGTKHEEEESIPLDEEDEGTGAEDEAANATPTEIESESEMTESGKSSGGKRKRKNTKSTGKSRSGGRASSRKTIGEDVCFICFDGGDLVLCDRRGCTKAYHPSCIDRDEEFFRAKGRWNCGWHQCTICQKNACYLCYTCTFSLCKGCIKDDVILCVRGNKGFCKNCMRMVKLIEGIGKEENDGPIDFDDKSSFEYLFKDYLMDLKAKLSLSSDEIADAKSPRKGADVSASKQELSQAQRDNNDDGGSGSDASIDTLEASKIKRRKLRKRSKSIRKEEDATTTAVTISEGFSTAGTTEWASKELLEFVKHMKSGDTSVLSQFDVQALLLEYIKTNKLRDPRRKSQIICDSRLERLFGKARVGHFEMLKLLESHFLMKEDSQIDDVQGSVVDTEFNQFEADANADTPTKGVKDRKRKRKKGEIRGPQSNLDEYAAIDVHNISLIYLRRKLVEDLLEENEKFHEKVVGTFLRIRISGNVQKQDLYRLVQVVGTSKAAEPYKLGKRTTDIQLEILNLNKTEVLSIDTISNQDFTEEECKRLRQSIRCGLINRPTVGDILDKAMEIHAARVNEWLESEISRLSHLRDRASEKGRKKEYPLFANILSFLFPSPSLLLLSNCSTWNTVLPNIYDTFRSSSFIPSSIEKLQLLKTPDERHRRLEEIPEIHADPKMDPSYESEDEDSESNDRRDAFMRSRDSSLNRRGRGPVSPRSNFSAKDSWGAAGKFSSKNYELSRSSSSKNVLSRSEDGVHSGGGLNEDTWIEGRDKETESMNINKPTSAVISEPMGRNSQFLSRMESFSGASSVASPAALQGKVAESSIKINEAEKVWHYKDPSSKIQGPFSLVQLRKWSNTGYFPADLKIWRSSDKQEESILLTDALAGRFEKMPSAVDNILSATVLKIQNGERPRVDQNVGSQSTRRLVPSGGGMTSGDVSALSTERWSNDDSSNLPSPTPKQNTASWAVGDGPSVPGANLYSSGNRILQSPPDDGVNASASVQNFGGPSIKGSENNYVNSGSDFGLVPTSEQVIAAQSGYSLQNAQSFAASEQQTALINSQLGAQHAALQSVSLNMQNPSVDVHTWVATAPSKGEPNISALAPGQSQGYGNWGTTSSSVQNLAGNFSNAGASVLPQPDYWSTPAQGSQQIIQPTTVPSVPWGAGLQENASSASALRPENNTGWGMMPGNPNVGWGGPVPAVMNVNWGAVQAMPPGAVNPGWAPTGPLPGNLNPGWVAQSGNAGVQGLTPGNANPGWVAPTGSMGSTIQGPTSGNGWGMGSGNPGALVQRPLPHGDSNQGRGGANGNRGTRNNDQHQDGRFSGQRDKGRNWDRQSSFGSRGPSRGGFKKNNVPCPYNTNNRCIKGDKCNYLHG >Solyc12g098753.1.1 pep chromosome:SL3.0:12:67147362:67154551:-1 gene:Solyc12g098753.1 transcript:Solyc12g098753.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YVYTSPPPPSPSPPPPYVYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVKSPPPPYYYSSPPPPKKSPPPPYLYTSPPPPVKSPPPPYYYSSPPPPKKSPPPPYHYTSPPPPVKSPPPPYYYNSPPPPKKSPPPPYHYTSPPPPVKSPPTPYYYKSPPPSTHYYPPHHQFVVKVVGKVYCFRCYDWKHPKMSHDKKHLKGAVVEVTCKAGDKKIVSYGTTKNNGKFSITVKGFEYRKYGAKACKAKLHYAPKGSKCSIPTNLHWGIKGANLKVKSKNKYEVVLYAKPFAYGSKTPYAECKKPKPTPAPYYYKSPPPPSPTYVYKSPPPPTPTYSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPAKSPPPPYYYNSPPPPVKSPPPPYYYSSPPPPKKSPPPPYHYTSPPPPVKSPPPPYYYSSPPPPKKSPPPPYYYSSPPPPVKSPPTPYYYKSPPPPPKKSSPPPYYYTSPPPPTHYYPPHHQFVVKVVGKVYCFRCYDWKHPKMSHGKKHLKGAIVEVTCKAGDKEIISYGTTKINGKFSITVEGFEYRKYGAKACKAKLHNAPKDSKCSIPTNLHWGIKGANLKVKSKNKYEVVLYAKPFAYGSKTPYAKCKKPKPTPAPYYYKSPPPPSPTYVYKSPPPPTPAYVYKS >Solyc04g080310.1.1.1 pep chromosome:SL3.0:4:64629760:64630020:1 gene:Solyc04g080310.1 transcript:Solyc04g080310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGDSQQQQQITPRKRGRPRKIISIVKEDEEEVSAELKKLKTNEVEESQGENKKEDEFEEDKNLQPQKQQPTRSRARRKSKPRKSC >Solyc04g025260.3.1 pep chromosome:SL3.0:4:24979839:25009713:-1 gene:Solyc04g025260.3 transcript:Solyc04g025260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRQRINRDSGSVVLVAKRSNYVILALFVAAVYGSWFVYEQQYLNLPTPLGAQHVGKRGFSEHEAIQHVIALTQFGPHPVGSPALNHALQYVLQAAENIKETAHWEVDVELDLFHAKSGANHMVGGLFKGKTLVYSDLNHIILRISPKYAPEATENAILVSSHIDTVFSAEGAGDCSSCVAVMLELARGVSQWAHGFKNAVIFLFNTGEEEGLNGAHSFITQHPWSDTLTMAIDLEAMGVGGKSGIFQAGPQPWAIENFALAAQYPSGQIVAQDLFKSGAVKSATDFQVYQELAGLSGLDFAYADNTAVYHTKNDKLKLLKPGSLQHLGENMLAFLLKAGTSTNLPKGKGTNSSGKSGQDTAIYFDILGTYMVVFRQYFASLLYNTVIVQALLIWTTSVIMGGRSAMVSLALSSLSLVLMWMCAIGFSVFVAFVLPLVSSSPIPYVSSPWLVVGLFGAPAVLGAFIGQHLGYLILLKYLTKTFSRRNANLPLVVQEDLAKLDAERWLFKAGLLQWLVLLIVGNFYKIGSSYLALAWLASPAFAYGLLEATLSPARLPKPLKTVTLLIGSSVPCLLSSGIIIHSVSTLIGSSVRLERSPGSNPEWLGNVIVAMFIAAIACLTLVYLLSYIHISGAKVPLIITTCLLFGISLTVIQLGVVPPFTEDTARAVNVVHVVDMAGANGKKQEPASYISLFSTTPGNLVKEVEQIGEGFTCGTVKPLDFVTFSVKYGCWSDKNANIGWHETDIPLIHVENDINGDNRVTHVSIDTKLSTRWTLGINTDEVEDFQLKDGPEELVPIGDKSNADSWHIIQFSGGNKAPRKFSLTLFWANNQTHKKDSNTKQPLLKLRTDVDRITSPTETVLGKLPQWCSLFGKSTSPLTLAFLTSLPVDF >Solyc11g071920.2.1 pep chromosome:SL3.0:11:55534962:55545183:1 gene:Solyc11g071920.2 transcript:Solyc11g071920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDSTMVSESDHDPTVQNDSSTSEQQLNDESSTSLQQQSSQTQQQQQQSSSSSSVPAVAGPRCAPTYSVVHEVIEKKEDGPGPRCGHTLTAVPAVGEEGSPNYIGPRLILFGGATALEGNSAASGTPSSAGSAGIRLAGATADVHCYDVFTNKWSRITPIGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLADVWALDTAAKPYEWRKLEPEGEGPPPCIILYFKGSKSQELLVHIWSVSDLLYEKLFILELYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRFSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVAVMFTNEVFVDYPNCCNECFSNLSSHENLWSSYLDHLLVSAASVLLDDLLVAEDLAAAETTSAASHAAAAAANLQDGRLAGRYGFGDERTKQTVSEAVNDGSVVLGNAVAPPVNGDMYTDISTKNVMLQGSRRLSKGVEYLVEASAAEAEAITAALAAAKARQQGNGEVELPDRDGGAEATPSGKAASSSISLDSSGSNNSAPTGVRLHHRAIVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPENVTAARKLLDRQMSINSVPKKLITHLLKPRGWKPPARREFFLDCNEIADLCDSAERIFASEPTVLQLRAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPYNIHLIRGNHEASDINALFGFRIECIERLGERDGIWAWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIESIQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPIPPVSSPENSPERHIEDTWMQELNANRPPTPTRGRPQVANDRGSFAWT >Solyc03g026230.1.1.1 pep chromosome:SL3.0:3:3692532:3694115:-1 gene:Solyc03g026230.1 transcript:Solyc03g026230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4BF96] MCKPTSPPFTCKCEAYAEKNFTTIKVPEESDMSTPLITKSPTNDTYPHITNKKITSLVFNEAKSIANIAFPMILTGLLLYSRSMISMLFLGRLGGLALAGGSLAIGFANITGYSILSGLAMGMEPICGQAFGAQKYNLLGLTLQRTVLLLLLISFPIALFWVNMKTILLYCGQDEDIATEAQSYLFYSLPDLFAQSLLHPLRIYLRTQSITLPLTCCAVFAILLHIPINFLLVIKLNLGVRGVAMSGVLTNFNLVGSLIVYILVSGVYKKTWEKLSMECVKGWKSLLNLAIPSCISVCLEWWWYEIMILLCGLLINPKATVASMGILIQTTSLIYIFPSSLSFSVSTRVGNEVGAMRAGKAKVAAIVGLGCSFILGVTALFFAVTVRNVWAKMFTNDKEILALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYIVGMPVAVGLSFYMGFDFQGLWLGLLAAQASCMVTMLLVLLHTDWEFETLRAKQLTGTIIIDQTQGNTSSSTKQLNENFLC >Solyc12g038100.1.1.1 pep chromosome:SL3.0:12:49652443:49653180:1 gene:Solyc12g038100.1 transcript:Solyc12g038100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVASLSPEIIPFVTDDKTSLALWHNIATTYAKPSCSRIMSLREDFNTIQKGNLSITVYLQKIKEICTKPASVGVHISPDEVFLRLVHGLPSEYDSIASALRARETTITFQELHDKMTDFEAHLTRRSSQIVAPITTNFAAKPPASTNRNSNRGANNSRSNQRNFSPSNQNGNGGFKKVVLVVKIIDPWSLVNCVKPGHHVKQCRKLLSILSAAQVLNPMVFQANSTDQTINSPKLILLHNPLMQT >Solyc05g016622.1.1 pep chromosome:SL3.0:5:17710047:17711256:1 gene:Solyc05g016622.1 transcript:Solyc05g016622.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGENRQVFEADEDAYGGMNVHIKDSIDSIKFIAMLRASITQWTNQGKKGVWIKLHIEYYHLVNDAVKATYCMLVYWIPQTPHTIPSNASHRVDTGALV >Solyc04g077060.3.1 pep chromosome:SL3.0:4:62106960:62112806:-1 gene:Solyc04g077060.3 transcript:Solyc04g077060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMKLTPSKRSHDQGPVETNGRGKWQKSSSFSSQRSPAKVRILCPASKIIFLIGEDSSIISRIQEETGAEVRVEDSIVGCDERVIVIVGSGKEDEVVTKQFQADIEGSETKEKDNCNDENSENKASLPAENSKTEKETESIQKALFLLFERMVGRAEMDGGDEEGNDSSSLIIRLLVLSSQVGCLLGKSGSVIKKMSSESGSQIRILPRDKLPSCASSSDELVQISGEHDAVKKALEMVARQLLESSSGDQDFLSADAGGPSSQSPRRPLSNRELRPTSARPYHGQGPASSGGFRVGEAGIPGRMNHIPDALTFRLLCPDEKVGGIIGKGGSIIKALQHETGCQIKVLESTGDSEDRIIVISGPAFPDDRISLPQDAVLRIQSRIFRAAPENQDNVMVAKLLVFSNQIGCLLGKGGGIIAEMRKSTGAYIRIVGKDQIPKFAAENEEVIQINGDVEKVHEALLQITARLQNHYFRDAFPSNPGFLDQVPPFPSHVGRREFSPPPGMFPNIRPPFHKFDALPPHGGFHPHDDRPPFMQNFHRPGVPPHISDRMPSSAPWGSQGRGEGGGHLGFPDYAGGPRNIGSFGGASNPAVITSTTVEVVVPRSVIPAIYGEGGGCLRQICEISDAKVTINDPKPGATESVIIISGTPEQTNAAQSLIQAFVMVETEGA >Solyc04g005530.3.1 pep chromosome:SL3.0:4:345215:353923:-1 gene:Solyc04g005530.3 transcript:Solyc04g005530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTCLLTMRRFLMLYVLFKVETVLSCLWILVSESNHLHLQIVLWHHVESELTKAAEHSPKMEHKKTTKRLRCIVKLGGAAITCKNKLETIDEENLKEVSSQIRQAFVPDSTSANVLGMDWSKRLGQSEAPSFVNDFSDQPVVDSESFIVVHGAGSFGHFQASKSGVHKGGLSRPLVKAGFVATRISVTSLNLEIVRALAREGIPSIGMSPFSCGWSTCQRNMTEADISMVIKAIDAGFIPVLHGDAVLDTLQECTILSGDVIIRHLAAELKPEFVTDVLGVYDRPPVEPGAVLIREIAVREDGSWSVVKPILEDTSKPVEFTVAAHDTTGGMVTKITEAAMIAKLGIDVYITKAGTDHSVKALSGFLKGGIPDDWLGTAIRYMS >Solyc10g006340.3.1 pep chromosome:SL3.0:10:965557:968816:1 gene:Solyc10g006340.3 transcript:Solyc10g006340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFGSKNKMKMKMKMNCFRCCVANEDHKKTLKKNNQEHKNNKSQSSFDNLSLKTDSNRRKYIAEEIAKLGKGNISAEIFSYLELKIATQNFNNDRLLGEGGFGRVYKGHIESKNLDVAVKQLDRNGFQGTREFLVEVLILSLLHHPNLVNLVGYCSDGDQRILVYEYMPNGSLEDHLLETSPDRKPLDWDTRMKIAEGAAKGLEYLHEKANPPVIYRDFKASNILLDENFDPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVFLEMITGRRVIDTSKPSEEQDLVLWAQPLFRNKKKFHLMVDPLLEGNYPRKGLCQALAIAAMCLQNDASVRPLISDVVTALAFLAGNKKKDEDEEEAALDTPKTPLQSNTENIGTNEASVDT >Solyc06g074335.1.1 pep chromosome:SL3.0:6:46108289:46110625:1 gene:Solyc06g074335.1 transcript:Solyc06g074335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECADSLNKTDKLLWHKRPGHASSTTMKEILGCKLETGLGGAKPAWTPLEINEKLTAIELDKLTGKEDDDIVIGRLLYLTLTRPDIAFSVQTLSQFLQQPKKSHWDAAMRIIRYVKRQPGLGILMSS >Solyc01g094700.3.1 pep chromosome:SL3.0:1:86019978:86022925:1 gene:Solyc01g094700.3 transcript:Solyc01g094700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPKSKKSFPSVTTCDTSAVNHHSVAADLDGTLLISRSSFPYFMLVAIEAGSLFRGLILLLSFPLIAIAYVFVSEALAIQMLIYISFAGLKVRDIELASRAVLPRFYATDVRKESFEVFDQCKRKVVVTANPTIMVEPFVKDFLGGDKVLGTEIEVNPKTKKATGFVKSPGILVGKWKKLSILKEFGEEMPDIGLGDRESDHDFMSICKEGYMVLPSESAKPVPLDRLKSRLIFHDGRLVQRPTPFNALVTYIWLPFGFALGVFRVYFNLPLPERIVRYTYGMVGINLVIKGPRPPPPSPGTPGNLYVCNHRSALDPIVIAIALGRKVSTVTYSVSKLSRFLSPIPAIALTRDREADAAMIKKLLEKGDLVVCPEGTTCREPFLLRFSALFAELSDRIVPVAVDTKQSMFFGTTVRGVKFWDPYFFFMNPRPTYELTFLEPLPMEMTCKAGKTSIEVANHVQKVLGGVLGFECTQLTRKDKYMLLGGNDGKVESMYSKKA >Solyc03g083520.3.1 pep chromosome:SL3.0:3:54892169:54892752:1 gene:Solyc03g083520.3 transcript:Solyc03g083520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEQMGKDLSNDQISSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIIAEEKLNAPFDFNRFLELMSKHLKPEPFDRQLRDAFKVLDKDSTGYVVVADLKHILTSIGEKLE >Solyc02g071570.3.1 pep chromosome:SL3.0:2:41540090:41543202:1 gene:Solyc02g071570.3 transcript:Solyc02g071570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSLQFHFFSCNILLKGINSTGLSDKLNVSSALKHSKKQGELSLTISDAADQKKVQKAGKVEHHLWKKRESAGSGQKALNLVRIISGLPNEKESVYGALDKWIAWETEFPLIAAAKALRILRQQRLWKRVIQVAKWMLSKGQGATMATYDALLLAFDMDNRVDEAETLWNMILHTSTRSVSKRLFSRMISLYDHHHVPDKIVEVFADMEELGVKPDEDTVRRVARAFQMLGQEDNQKLVLKKYQSRWKYVHFNGERARVRRDIE >Solyc02g083370.1.1 pep chromosome:SL3.0:2:47361553:47361968:1 gene:Solyc02g083370.1 transcript:Solyc02g083370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQNKVDCVADGKKFKRIAALLHCHHHHHHHHHHHQAGFLLAGHCLLSRWLRWLINLGSCPVLSFVKHLSAPWNCFPSIKDSS >Solyc04g078140.3.1 pep chromosome:SL3.0:4:63051857:63052804:-1 gene:Solyc04g078140.3 transcript:Solyc04g078140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEKIFTLSQVAQHKSKQDCWIIIHGRIIDVTKFLEEHPGGEEVLIESAGKDATKEFEDIGHSKAAKNYILKYQIGNLQGYKIQDDDDDDNLFTHSNKEPIKAKEIEAFVIKQNFKPKHLFFFEYFVPFLAAAFFLYYRYLNGALQL >Solyc11g007570.2.1 pep chromosome:SL3.0:11:1807773:1810997:1 gene:Solyc11g007570.2 transcript:Solyc11g007570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECNKEISMIQKWLNQHNELYTSATRHPFTLSIRDGSVDLSAFKRWLGQDYIFVRAFVPFVASLLLKAWKESDEDSDIEVILGGIAALNDEVSWFKSEASKWSVSLSTTVPQKTNLEYCRFLESLMSPEVDYTVAVTAFWAIEAVYQESYAHCLSDGSKTPEELKETCQRWGNDGFGQYCHTLQNIANRRLEKSSEDIISKAEATVIRVLEYEVEFWNMSRGET >Solyc10g047823.1.1 pep chromosome:SL3.0:10:42755127:42760571:-1 gene:Solyc10g047823.1 transcript:Solyc10g047823.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNTVGKKNSVFYAMADSVRITRGINNDIQNFPELPSFDLCITQGLIENVGSAARMAQEYEMKTNVDQQKYPSDEVLRHEDEEVANEFYVKNKPKKAPHIHCFFNHDIKADLMKYLHGHVYNVFCESTCFGKYMQMHQCRARGKIHRCCMALELNCSSRQAFVMRVNGSTLRFTLREFALISGLNCVNEETDFIFDQSEPNSFMEKYFEGVKLIRKIDIMRSFHRNVRGVGGGENDHDGLKFAILYFIQTVIFSGERSTKKVPRLYFDLVESGRYTQFPWGKKAFYLLIKSLSKKLNGKKQFYRIGGMPIVFQVWLFECSSSNDFQVAQKVDDHIPRLLNWQTTNESRRYKKLMNTIFSDVNNKIKFRNITPNQRELAVLQLPPEGIENQAPPQYSDSSDDEIDDEIIDTDDDQREGSCDDKDSEDDFQAPPPPQAVKVKRKENVGSSTSPLRKRTKKLVTGGSKQDAKKLEPRIALKQLRKKNAEPKKVINKLKDFFQRTSGLEVEGWVKELSDFRKEVKQEFVEIRNLINDNFKTVLADINSKQNEQEAEHSDDHVVPPKSNDEDGYTQPFTFNKESPTNQVLVSQCDKLKSENSETLKDSNDSKSTNVVSPIAD >Solyc02g080860.2.1 pep chromosome:SL3.0:2:45516186:45524480:-1 gene:Solyc02g080860.2 transcript:Solyc02g080860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNELHPFSDNSPSNLSMKQPIMLENTNNNKSGVRPYVRSKMPRLRWTHDLHRCFVHAVERLGGEDRATPKMVLQLMDVKGLTISHVKSHLQMYRSMKHEQLMQAVAEAANGSKSNKMDGPDQVHYPHGNFLHHYYNGHPNSTITSTYSDHIASTPAFIPPAPWSVSFPIHT >Solyc05g046020.3.1 pep chromosome:SL3.0:5:58955167:58956729:1 gene:Solyc05g046020.3 transcript:Solyc05g046020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4C0T5] MATFVYLCPLILMCMLVSSNAQLQQNFYAKSCPKAEKIILEYVHKHIPNAPSLAAALIRMHFHDCFVRGCDASVLLNFTSSTGNQTEKVGAPNLTLRGFSFIDNVKKIIEDECPGVVSCADIVALVARDSVVVTGGPSWSVPTGRRDGRISNASETLTDIPAPTSNFSTLQNDFAKKGLDLKDLVLLSGAHTIGISHCSSFSTRLYNFTGTFGTEDPSLDSEYAANLKANKCKSINDNTTIVEMDPGSFRTFDLSYYKLLLKRRGLFQSDAALTTSTTTKTYIEQLVAGSLKEFYAEFAQSMEKMGRIEVKTRSDGEIRKHCAVVNS >Solyc12g095940.1.1.1 pep chromosome:SL3.0:12:66011044:66011430:1 gene:Solyc12g095940.1 transcript:Solyc12g095940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKSNSKKPKPVEVAAAAVVEKEKPVSSSKPKKPGSEIDDIFAGKKRKKPELQEKSSGVAVTEPKKAKKSKENSGRIPKDNVLSEPPRRSRKKTADGFTLYTEEELGIGRSNAGGTSLCPFDCDCCF >Solyc12g035780.1.1.1 pep chromosome:SL3.0:12:44483128:44483343:1 gene:Solyc12g035780.1 transcript:Solyc12g035780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKCVWISCGNDLDDPKQKIVVFASNNIMEEVNQYILIRNLIQIQYSTYGCIGNVMNRFLLMNRSDGNFEY >Solyc12g038640.1.1 pep chromosome:SL3.0:12:51593871:51595519:1 gene:Solyc12g038640.1 transcript:Solyc12g038640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHDQNTSKFWFLAPGNNPKLRLRKYFLQPDDLAYKVHARVLVITAHKCFELPTLL >Solyc12g033090.2.1 pep chromosome:SL3.0:12:37834043:37834522:1 gene:Solyc12g033090.2 transcript:Solyc12g033090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCETITHREENRFIDGIKYAVFTDKSIRLFGKNQYTSNVESGSTRTEIKHWVELLFGVKVIAMNSHRLPEKSTRMRPTIGHTMHCRRMIITLQLGYSIPPLRKKITYILSCDTHLMLRQAYNDATFIGGEVAL >Solyc12g008320.2.1 pep chromosome:SL3.0:12:1732361:1736856:1 gene:Solyc12g008320.2 transcript:Solyc12g008320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar transporter 18 [Source:UniProtKB/TrEMBL;Acc:K4DBS0] MAGGGFDDKSRGARAHLYEYKITSYFVCSCIVAAIGGSLFGYDLGISGGVTSMDDFLKIFFPEVYKRKQEHLKETDYCKYDNQILTLFTSSLYFAALISAFGASHITRKKGRKASILCGAISFFVGAVLNAAATNIAMLIIGRCLLGFGIGFSNQAVPLYLSEMAPAKVRGAVNQLFQLSTCLGVLIANFVNYGTAKIHPWGWRLSLGIATIPALFMFIGGLFLPETPNSLVEQGKLEQARQVLEKVRGTTRVDAEFADLVDASNAARAIKHPFRNLLSRKNRPQLVIGALAIPAFQQLSGMNSILFYSPVIFQSLGFGSGAALYSSAITGGALVIAALISMTFVDKFGRRAFFLEAGVEMICVMVAVAITLALEFGQGVILPKGIGIFLVIIICIFVLAYGRSWGPLGWLVPSEIFPLETRSAGQSMVVCVNMIFTSLIAQCFLVSLCHLRYGVFLLFAGFIIIMTCFIYFLLPETKQVPIEEIYLLWEKHWFWKRYCSSEENGIELKNGDLKV >Solyc06g051045.1.1.1 pep chromosome:SL3.0:6:34222178:34222708:1 gene:Solyc06g051045.1 transcript:Solyc06g051045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSQLVLIVSLLLALAVPLINAYDKVPTKSVEKTDKVVVEGMVYCQSCDNYGSWSLSKAKPIAGAKVSVICKNYMKRVSFYKAFKTDETGYLFAELDGFKMGHSYLDHPLHSCRVKLVDSPLENCDVFTNVNYGITGARLRFLDKTLKRSNYEAVIYAAGPFAFRPDHCPPKPEY >Solyc06g048683.1.1 pep chromosome:SL3.0:6:31537831:31541860:-1 gene:Solyc06g048683.1 transcript:Solyc06g048683.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNEVVIDTHTSAIVIDSNRSKDQFGIYYHHPLYLHASDAPSSMSIGIPLVGMENYSIWREVMQLSLLTRNKLGFVDGSINRVTYGPAYELLWNPGSGGMDPTALFTARGSNTKPKKYGLECDFCHTEGNAPTTIPATSITSPMFTHEQYTRLLGLLNTEGGQNASAYMAGISSHTSEVNPNWIIDTGATNHMVGNSHLLIGGTEVGNTGKVQLPNRESTDITHVGNNLGDLRYFLGIEFARSAEGIVMHQRKYALDLISDLGLSGAEPANSPLELHEKLTSTDLDCLIGTKDDPLLTDISSYQRLIGRLLYLTHTRPDISFAVQTLSQFIHSPKLSHMDAATRVVRYLKKSPGLGIFLSSDCDSTLTAFCDADWASCPNTRRSVTGYLIKFGSSPVSWKSKKQSTISRSSAEA >Solyc08g029150.2.1 pep chromosome:SL3.0:8:38122048:38127199:-1 gene:Solyc08g029150.2 transcript:Solyc08g029150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWIDQNLVMDQAMAAIIRNRPFESSIPQSIWTVETVSQVLRSIPRFLFQSPRSIGRQNGFRHRTPLKQRNLKQELHNARKGVLILGPAAHRDVQKVQLGLEKALEFFHWVETRCGFTHNELTCREMSLVLAKGSCNSKFLWEFLRRMSRRGLLTTPTVTCLIKCLGEEGLVNEALTTFYRMKQFHCMPDVYAYNTLIFALCRVGNFKKAKFLMEQMELPGFRCPPDVFTYTILISSYCRYGTETGCRKAIRRRIWEANHLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELLDDMVKRGVVPNRITYNSFIRYYSVTNEIDKAIEMLRRMQGMNHGVVLPCNSSYTPIIHAMCETGRVVEARDLLVEVAEQGSIPREYTYKLVRDALESSGKIDLLDEELCTRLEDGIKGRIRQVMKVKPLLQHQTVSSFGEFDTDTVSTCDEPDVTYNSWVRALKLVIAYIRMTGKSLVRNSWVRRPKKDQEHTNEEKNFNQ >Solyc04g071040.3.1 pep chromosome:SL3.0:4:57997418:58007053:-1 gene:Solyc04g071040.3 transcript:Solyc04g071040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVYGRHLVSYGDADRDIEQALIALKKGAQLLKYGRKGKPKFYPFRLSNDELSLVWISSSGEKSLKLASVSRIIPGQRTAVFRRYLRPDKDYLSFSLIYNYGKRSLDLICKDKVEAEFWITGLKALISSGQGGRSKVDGWSDGGLYFDDSRDLTSNSPSSSSVSATKEISSPDASLSSNPNTSPKSYQPYNFVQSERSHVALDQANMHNIQAKGSAPDVFRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEVICDSIVKVGPEKNSSTVSTRADVLVPRPLESNVVLDVHHIACGVKHAALVTRQGEIFTWGEESGGRLGHGVGKDVTQPRFVESLSLCNIDFVACGEFHTCAVTMAGELYTWGDGTHNAGLLGNGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALITSTGQLFTFGDGTFGVLGHGDRENVLFPREVKSLSGLRTIAAACGVWHTAAVVEVIVTQSSASVSSGKLFTWGDGDKNRLGHGDKEPRLEPTCVPALIDYNFHKIACGHSLTVCLTTSGHVFTMGSTVYGQLGNPFSDGKLPCLVEDKLLGEIVEDIACGSYHVAVLTSKNEVYTWGKGANGRLGHGDVEDRKAPTLVEALKDRHVKYISCGSNYTAAICLHKWVSGAEQSQCSACRQAFGFTRKRHNCYNCGLVHCHACTSRKAIRAALAPNPNKPYRVCDSCFTKLSKVAEIGINNRRSAGPRLSGENKDRLDKADIRSAKSGMPPNLDLIKQLDSKAVKQGKKADTFSLGRSSQAPLLQLKDVVSTTGDLRWAVPKPVMIQSGVSSRSVSPFSRKPSPPRSATPVPTTAGLSFSKSIADSLKKTNELLNQEVHKLRAQVENLRHRCELQESELQKSTKKAQEAMALAAEESAKSKAAKEAMKSLMAQLKDMAERLPPGAYDVESLKLAYLPNGLDSNGIHYPNANGERHSRSDSVTSSYMASQTSMDFSTYGMHSPTRYQRDSGSIEAISNNQILTSNGTDDRGEVRLPNGSEAQVNINSASQAVDNEDAESLQDNGNGLKSRNSLPSGNPNQIEAEWIEQYEPGVYITLMALRDGTRDLKRVRFSRRRFGEHQAETWWSENRDKVYERYNVRGSDKSSVTGQAARRSEGGLSPSSQI >Solyc01g066613.1.1 pep chromosome:SL3.0:1:74536053:74549298:1 gene:Solyc01g066613.1 transcript:Solyc01g066613.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAVCIFQIDLTDGTATVTTSISGELGEKLLSMTAEDIFDITCAKRQSLHVNHVHEMLSNKLFQIQLRKSSWGSSNNTQATYSIISYMEKQHTSPTAIDRNSKKIRPLEISEMELLRRSSGFEVAATHRHAQKVRSSETWISFASFDMRFSTLLPQDSVNFLVATMGGIDEVALDCLSLVTEMTRHIRVKASGRKASASKLGQFSPVFIWFPRDFYLDWVEDNRKITPQDYLQLALKPIQGGDKDVAAKNEECQIKTVLYADEIEQYAEMLKLMNTYLISTARVKISQTSHGKPIHKFYWVLDKETVIEHITPSNGVENPLPPPTKLNITIFDRIPHMMLDSPVEIGLSLQSRYNSTIRIAPNYPQAVELTKWAKENKSMLLSRESNKTSTSSSAPLMVLTPAGQQVVSIEQISSPTSMGLFYLEAEMAISDELQEFCVLECSGCKQKKRTKDRKDFHCPKCNRKITLLPRCIFQIDLTDGTATVTTSISGELGEKLLSMTAEDIFDITCAKRQSLHVNHVHEMLSNKLFQIQLRKSSWGSSNNTQATYSIISYMEKQHTSPTAIDRNSKNIRPLEISEMEVTETTTAAGSSNATPKFEPPTPTKKL >Solyc09g018605.1.1 pep chromosome:SL3.0:9:15718754:15719098:1 gene:Solyc09g018605.1 transcript:Solyc09g018605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVPNERNEFVLLRHKLNALIEKDKFPMPFMDHMLDNLVETGYNKISIAPEDKGKINNIHLMIWDLRLQKHAIWVVQCFSDLRMVYDVTFLRY >Solyc09g089880.3.1 pep chromosome:SL3.0:9:69974350:69977865:-1 gene:Solyc09g089880.3 transcript:Solyc09g089880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIMVAIKRVVDYAVKIRVKPDKSGVETKNVKMSMNPFCEIALEEALRVRESGLASEVVAVSIGPVQFTETLRTGLAMGADRAIHVEAPENIYPLTIAKILKALVDVEKPGLLFLGKQAIDNDQNQTGQMVAGLLKWPQGTFASKVVLDKEKQVATVDREVDGGIETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKPIKKFTLQDLNVEIRSDLEVVEVTEPPKRKSGVILSSVDELIDKLKNEARVI >Solyc05g055540.2.1 pep chromosome:SL3.0:5:65989019:65993205:-1 gene:Solyc05g055540.2 transcript:Solyc05g055540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKLTLQVFTGRWFMVFATILILSSSGATYIFGIYSTDIKTSLGYDQTTLNLLSFFKDLGGNVGILSGLINEVTPPWVVLSFGAILNLFGYLMIWLSVTKKIPKPKIWHMCLYICVGSNSQSFANTGALVTCVKNFPESRGAVLGLLKGYVGLSGAMLTQIYHAIYGNESKSLILLIAWLPSVVSLVFLRIIRVMKVIRVEHEMKVFYKFLYTSLGLAGFLMLVIILQKKMHFNQIEYGLSTLIVLFLLCLPLVIVVKEEFDTLKINKQSVSLVTEVTNTQLQMVIQSEIIQVQQHDVSCWKTVFRAPDRGEDYTILQTLFSIDMLILFFATICGVGGTLTAIDNLGQIGASLGYPKTSISTFVSLVSIWNYLGRVVSGFLSEHFLTKYRFPRPLMLTITLVISCLGHLLIAFNVSGGLYVASIVIGFCFGAQWPLLYAIISELFGLKYYSTLYNFGSVASPIGAYLLNVRVVGYLYDKEANRQIVAMGKIRKIGEDLEWVLDILLPSGMDC >Solyc11g071370.1.1.1 pep chromosome:SL3.0:11:55168153:55169676:1 gene:Solyc11g071370.1 transcript:Solyc11g071370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALPAINVTAAATPPLLSPPLQLLQLHNKNTASAATYRSNNDSTASWTSLIARHCKNGRLIEAVAEFTRMRNSGVEPNHITFVTLLSCCAHFPDQALSFGSALHGYARKLGLDTQNVKVGTAVIDMYSKFGLVGLARLSFDHMGAKNKVTWNTMVDGYMRNGDFKNAVKVFDEIPDRDVISWTALVGGFVKNGLFEEGLVWFREMQLSGVEPDYVTMISVLSACANLGTLGISLWLHRFILRREFKDNVRVNNSLIDMYCRCGCVELACQVFHRMTGRSLVSWNSIIVGLAVNGHAIDALQYFDLMQNEGFQPDGVTFTGVLTACSHAGLVEKGLKYFKAMKRVHRITPRIEHYGCIVDLYSRAGRLEDALGIIKKMPVKPNEVILGSILAACRNHSDVRLAERLMHYIYELDPDGDSNHVLLSNIYAAVGSWRGASTVRKKMKALGIQKRPGTSSIEIDGVLNEFVAGDRSHLHAEQVYAMLEHLSGELKVSGYVEDDFSQLYECG >Solyc07g026805.1.1 pep chromosome:SL3.0:7:31677393:31691132:1 gene:Solyc07g026805.1 transcript:Solyc07g026805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGTDIAHYANEFVPFQTYLLSRAFVSESIKAYGIPLHQFSWKIDKGTIVEPIDKVIPPEEPLLPPTLLKTTSFDSFDYQAIGFEFNILALVINGSPPSYASNGSRIQEFIIIDYECQFEVTIKDYTGSTTAMISDKIGEELLSLTVAEIHDIRCITDSVAILEKELDWARKIISLEQDGLIHVNIDGGIDSNSSIGFSSRKQFP >Solyc06g011290.2.1 pep chromosome:SL3.0:6:6463873:6467306:1 gene:Solyc06g011290.2 transcript:Solyc06g011290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIEWHKKVVPMVGRILRVEHLAEKILDKVLEEKYNREIMQSAEAKPLYISTTFLVMANKVVAFKSMVACRSGLAINTEVTKKEAEEGLNDVLRAGNPLRISNKSFIDYIFMHALEVAQSYDLPMQIHMGFGDKDFDMMLANPLYLHNLLEDKRFNDSRLVLLHASYPFSKEASHLASAYPQVYLDFGFAIPKLSFHGMISSVKELLKLAPMNKVMFSTDAIAFAEAFYLGLAKLELILYP >Solyc10g052810.1.1 pep chromosome:SL3.0:10:53493284:53493620:-1 gene:Solyc10g052810.1 transcript:Solyc10g052810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVLFDMFDLDVKGGKDGNPQDLATIFFETRKKDNKLVEQKEIEKHAQLKEMVKEDPSPSTVEIFENFVDLKLVAMYLDLGVE >Solyc04g071980.3.1 pep chromosome:SL3.0:4:59083671:59088721:-1 gene:Solyc04g071980.3 transcript:Solyc04g071980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRKSLASSIDEVDRTMYSTFSSAANSLSQLYSQALNQQKLSFQAGERHGLEKMYQWILRQQEGGSRVTTADMMNYLQSELDYSGEDHSMSPRPPQNQHSQPMLFPNSGFPVSSGSIGVAAPGHDVRLHHDPQSKNYVFSNALSSPVRQSLQNYQVAQGGYFSNNVQLSIGARNNETNLHHQNGDSNSYNSADAAMDMHSDSPGHDFTC >Solyc12g010780.1.1.1 pep chromosome:SL3.0:12:3710249:3710686:1 gene:Solyc12g010780.1 transcript:Solyc12g010780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYDIHVLDQGNQQTSGRRTRTARMQVNTNYIRYNIQVPQSILDDTYTDEIEEEEEEVVEEEDMSEYFGKSTCYVTCVMDIDTNEDDEEQDACAICLLEYKDEDNIGTLQCGHEFHAECINKWLHRKKSCPFCRGFSFAHKHITV >Solyc01g107670.2.1.1 pep chromosome:SL3.0:1:95032801:95034197:-1 gene:Solyc01g107670.2 transcript:Solyc01g107670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIDHFCSHFSNMSKSRTSVFFFLFLFLLSSVQSIPPSTDILALRAFKAAIKPSSIPSYSCLGSWNFTTDPCSVPRVTHFTCGLSCSSGNRVTELTLDPAGYTGTLSPLVSKLTQLVTLDLQNNNFYGPIPSSLSSLPNLKNLVLRLNSFSGSVPPSLTSLKSLLSLDLSHNLISGLPNSMNELTSLRRLDLSYNKLTGSLPKLPPNLLELAAKANSLSGPLLKSSFYGLNQLEVVELSENSLFGTIETWFFQLPSLQQIDMANNSFTLVKISNVVNLNSDLVAVDLGFNKIEGYLPVNFAIFPRLSSLTLRYNKFRGPIPLQYSKKATLKRLYLDGNFLNGSPPAGFFGRETSVTGSLGDNCLQKCPISSQLCLKSQKSTSICQQAYGGKPKS >Solyc12g042470.2.1 pep chromosome:SL3.0:12:58699956:58703651:1 gene:Solyc12g042470.2 transcript:Solyc12g042470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNLIKQMLVPNVNLNSGHKMPLIGMGTAPSLPEHDQLVSTLIDAIEIGYRHFDTAAVYGSEEALGQAVVEAIQRGLIKSREQVFITSKLWCTETHRHLVLPALKRTLGRLKMDYLDLYLIHLPVTMKKKVNSKDDEMRVDKEDIIPFDMRGTWEAMEECCRLGLAKSIGVSNFTCTKISQILHYATILPAVNQVEMHVAWRQEKMLEFCKEKGIHVSAWSPLGANGLTPWGIHSVMESPVLKDIAIHKRKSVAQVALRWVYEQGASVIVKSFNKERMKENLQILDWELSNEEIAQIQEIPPCTGFNVDMVLVHPNGPYKSANQFWDGEI >Solyc04g072490.3.1 pep chromosome:SL3.0:4:59581486:59588318:-1 gene:Solyc04g072490.3 transcript:Solyc04g072490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKSILQFEADWIRQILLITNSVWQLPLQSVSYHGKGTYKDNQNHVHLKEEKKGDHDVLGMEMYPTGSSLPDCSHACGPCFPCKRVMVSFACSIAESCPIVYRCMCRGKYYHVPSN >Solyc01g098330.1.1 pep chromosome:SL3.0:1:88760652:88761938:1 gene:Solyc01g098330.1 transcript:Solyc01g098330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEDKTLHWGRKNKVMPDGSISYGGGITDQVIVKTGIKYNDFVNAVFDRLGIDPSGRILHFTVKFDSSDLIRLRDQKSVDTLLQFNDGFAHVYVSSLEEELNSRPPSGHAEKVVVVGYSDSEKERFQSAGDSKLLKKATANQSEGARDGKPQQNEAVRGDDQNHAGVTRSCSKSMEIFDQNGVGLPEGSVQNNSSKIKTVNEQAPLPTSGCEKKVKVGLIVNSDSGPSTIPDGNPPKVYDYPDPEFRDLDKHKSEIYFAVDQIWTCHYADFMPRFYACIRKVSSPEFKIKLRWLEAHPEDERERAWVRADLPVGCGKFRRGSSKYNSDRLVFSHQMQCENDKRGLYIVFPRKGETWALFKDWDFRWSSDPKSYRKYKYEIVQVLSDFVGDAGIQVGDLEKVTGFISIFQ >Solyc04g078090.3.1 pep chromosome:SL3.0:4:63021946:63026816:1 gene:Solyc04g078090.3 transcript:Solyc04g078090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLFQEFFVTAMLALVFSCIIVKIVSAAVSKDERVDTEKIVVEEVKISKGLVVRSRKSKKRVKFVEEGVVGNVDRVEREIPKKDGVEGFIEKKIEGVLRKGEDFNGEKGFENLDLVEHCGGEREVEIEGVLKKGDDFKGEKVCESLELIEQCGGEREVSDDPEVCGKNGLVEEKDEVKKIEGVLEKDDDFTVEKEDDDFTVEKVCENLDLNKHCGGESDDHDDADVCSKIELMEEKDEVKKIDELLVVKEKDTANAVVVDEVKVGENEVVEVGGGLSKGDDEKTDKMAMDEDIDDDWEGIERSELEEEFAKAVNFVDGGNGKNSGMENMGSELMMQLYGLQKIAIEGPCYEPQPMALKVYARAKWNAWQKMGSMNQEVAMEQYIKLLSDHVPNWTHHSKNDCEVGSSETKMPGDPDPIPDSSNDTGKDERTQETNRAAEGDKGEKE >Solyc12g005880.2.1 pep chromosome:SL3.0:12:512766:518415:1 gene:Solyc12g005880.2 transcript:Solyc12g005880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4DBC6] MYFKNRGATERPSRSVVSKKWSLFLCLGSFCAGMFFTNRMWIVSETKEGITRTTTVEAERLKLISENCITKFQQDVKLVSKDNFGILDKTISNLEIELAEAKAAHESILSGVPISEEIEKGESRRRRKYFMVVGINTAFSSRKRRDSVRATWMPQGEKRRKLEEEKGIIIRFVIGHSATLGGILDKAIEAEDKKHGDFLRLDHIEGYLELSAKTKTYFATAVNLWDAEYYIKVDDDVHVNIATLAETLVRHSKKPRIYIGCMKSGPVLSRKGVRYHEPEYWKFGDKYFRHATGQIYAISKDLATYISANQHVLHKYTNEDVSLGAWFIGLDVQHIDDRRLCCGTPPDCEWKAQAGNVCVASFDWTCSGICRSVDRMKEVHRHCGEGENALWKAAF >Solyc08g023550.1.1.1 pep chromosome:SL3.0:8:27480489:27480686:1 gene:Solyc08g023550.1 transcript:Solyc08g023550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTSHQDQISFIIQSVDISTTPINVTKYFLKFIKEDDTSGKGIFEVTIDEIKCIGLDMDNLKGQ >Solyc05g005350.2.1 pep chromosome:SL3.0:5:281753:283779:1 gene:Solyc05g005350.2 transcript:Solyc05g005350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSGNGGFHSYRRSPQPTPADPPSSDVEMSLELPAHLNQEVDEIISQEQDEVIPNVARIMHSTHPSHVKISDDAKRTMYHCMSEFICFVTYEANTHCQREQRNTITVEDVDWVINKFGFDDYIEPLPYYFPPNSEDDGGECGSLTRESLLKCPMVDTASSSNITPYSVPPNFPMAHHHFVYPPPMGNGDMQGEASTSQYAGVSVDTDVESPMEEDKE >Solyc11g042455.1.1 pep chromosome:SL3.0:11:36761330:36763954:-1 gene:Solyc11g042455.1 transcript:Solyc11g042455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKHSCCENAFKNRIVTQEALTHYFKKKLQNNPKYSVNHMRQDLDDNFNLNVSYSKMKRVKRLVLEKLEGTYIDEFNKLEGYAQELRDNSPGTDVIINVSREALEQGKRRFLRMNICIQALKMDGKEVMNRLQKLEEEGRNWNGEFSPYAMELEAYMLIYMHKIQPVRGDKFWKVDPSHAMEPPKIHKLSSQPDGPSKSKKIEKNPTGPSKSKRKIIVDEFEDWQHVEPSSAVADEDGDEHESEDNQTILRPKAISEARTRLQAKKMQIRPTGTRRIDFKGDDNGGSIPTNLPYSPRKLAWKGKEATTLDHLTIEKEKRIGKLKAKRGGKK >Solyc04g080640.1.1.1 pep chromosome:SL3.0:4:64836372:64837175:1 gene:Solyc04g080640.1 transcript:Solyc04g080640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNEVFDSVKFEKEKAISRYNRFQNMMKMLQIFEVIVAVVLISWSWTRVPVAVKLSGEFLVQVSVYLFKPHVVFFIGNAIIVAIVVLCRQTDAGSNNSVTDDIDSDEIPYSEDERSIISTSYCSSDMPDLSSASLAETEVSTMEDKQIVSSEYKVQEIQCNDMATAIDTATKQIQKFKRTQSEKLKREITSNPRRELRRSETEMRRIVVRPGDQQSIVPVEAVDTLSNEDFRLTIEAFIKKNQTFFEKQRLAESEPEKYERIGIEAF >Solyc06g053390.3.1 pep chromosome:SL3.0:6:36248874:36250453:1 gene:Solyc06g053390.3 transcript:Solyc06g053390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGQKSKTRVIKENCNPVWNEELSLALYDPNLPITLTVYDKDTFTADDKMGDANIDIKPYLDAINMGLEGLPDGVKVDRVQPNRENCLSDESCILWENGKMTQNMLLRLQHVECGEIEIQIELISAHGKRGRLYI >Solyc06g060660.1.1.1 pep chromosome:SL3.0:6:38795985:38797535:-1 gene:Solyc06g060660.1 transcript:Solyc06g060660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHHDNFSAPMPWIGRYIVAASLICILAMLADAEQGIRRRKFWIPCRFFTFNAASLTLLGVVVKLPLDLNNPMPGKIDQMAKLSSVVFMSTAMANFMPSLALMDNKDILMGMTALGILVITLVVNVCIELGTGIIYSEKTEHIVVMLSMVVLFVIMCFSALTVPTIKSYLELEYRERSNMIEIDNLVEGEKPVEVKLKENLSKFFVMAKTGNPQFVMARSATCAACCAICCLNCLLLLEVSFRDNLFDAHVLSMSDYRRSTYCIARIQSAGVAVGTLSSLIRWFAIATIKSSRKSICIKVEKHWLERLKDWKDSPFAPEIKNPKCRKLVQQIHIIILLNCIRVQILIVVASKIVQIFPILLLSFLRFCFYSSSQCNNIINSESESAESLEEDISRYVLHLEGEEELTQVTANKRDDTTCMMEIGRKQKPEHLIKLLQKLTDFRGVSKFDINQFQELHIGWKMCIVTLTTIATTIPNIDHKMADSLLLSVKVSSMSASLNMSLEPKKTRRKINKQQR >Solyc04g077330.3.1 pep chromosome:SL3.0:4:62351718:62386723:1 gene:Solyc04g077330.3 transcript:Solyc04g077330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEHLRSVQNPVALLLDSGNLVVKDANDDNPENSLWQSFHFPTDTHLPDMKLGKNFKTGHEVYLSSWKNDNDPAPGEFTRNIDPTGYPQALTKSGTNVTARIGPWNGLRWSGAPIPLRECCFYKFIFNDDEVYYSFSLINSSDLARLVLTSNGYIQHLKWVEWTKRWHIYYNLPADYCDTHSLCGAYGSCGVDSSPVCGCLEKFVPKYPRQWEKGDWTKGCVRRTPLDCNTEHIFIKYPGIKLPDTKHSQYDKTVTLEGCRQVCSTKCSCTAYSSLDISKGDKGCLLWFGELVDIRKISEGGQDIFIKMDSSEQGSKTKKAKILAVIFSVLMSMILLILILLWYKRKNKKLKLREDCELPQFPLLTITRATDNFSVNNKIGEGGFGPVYKGVLEDGQEIAVKRLSRTSMQGIDEYKNEVIYIAKLQHRNLVRLLGCCIQGEEKMLIYEYMPNNSLDSYIFDQTKNKLLDWPKIFHIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDIEMNPKISDFGLARSVAGNEMGAKTRHVVGTYGYMSPEYAVDGKFSVKSDVFSFGVLVLEIVSEFIRSKLKQAWKLYKEDRSLELAAEQLGDALNISQVLRSIQVGLLCVQQHPDDRPSMSCVVHMLANEGLLPKANEPGFFTERNVYDEAQSKSQTTSSKNEFSITLLDPRTNQFIIDGETIVSSGGTFELGFFNPSGSSHRYVGIWYKQILPHIQTVVWVANRENPLTNTSSVQLKVTKPGILALFNDQNETIWFTNTSRSVQNPVAVLLDSGNLVVKDANDNNPADFLWQSFNFPTDTHLPDMKLGKNFKTGHEVYLSAWKSDNDPAPGEFTRNIDPTGYPQVLSKSGTDVLNRIGPWNGLRWSGAPIPLLTQSRLYTFQFIFNEEEASYIFSLTNYSSVLSRIVITSNGDIQRFMWVDQTKRWHIHYRLPADNCDTYSLCGVYGSFSTTTDLISTNQFIIDGETIVSSGGTFELGFFNPSGSSNRYIGIWYKQILPYMQTIVWVANRETPLTNTSSVILKVTEPGILALLNDKNEIKWSTNTSRSVRNPVAVLLDSGNLVVKEANDDNPENFLWQSFNYPTDTHLPGMKIGKNFKTGHEVYLSAWKSDNDPAPGEFTRSIDPTGYPQILTKSGTNVLYRVGPWNGLKWSGAPIPLLQQSRLHRFQFVFNEEGIYYIFSLINHSSILSRTVMTSNGDIQRFVWVDQTKRWHIHYRLPADNCDTYSLCVADLQNVESKAGSNRKKAKILAVSFSLLVATILLILILLLYKRKKKKLKLREDFELPLIPLSTITRATNNFSVNNKIGEGGFGPVYKGVLEEGQEIAVKRWSRTSMQGLDEYTNEVIYIAKLQHRNLVRLLGCCIQGEEKMLIYEYMPNNSLDSYIFDQTKSKLLDWPKRFHIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDMEMNPKISDFGMARSVTGNEMGAKTGKVVGTHGYMSPEYAVDGMFSVKSDVFSFGVMVLEIVSGKKNRGFSHQDHNLNLLGHAWKLYKEDRSLELADEQLADSCKTSQILRSIQVGLLCVQQHPNDRPSMFSVVQMLANESLLPKAKEPGFFTERNVYDEGKSGSQITSSKNEIISTTTNVITTNQSIADGETVVSSGGTFELGFFSPNNSTKRYIGIWYKQILPHMQTVVWVANREKPLTNTLLVVLKVNKRGILALLNGKNEMIWSTNTSRSVQNPVAILLDSGNLVVKDANDDNPENFLWQSFHFPTDTHLPEMKLGKNFKTGHEVYLSAWKNDNDPAAGEFMHHIDPTGYPQALIKSGTSVTARIGPWNGLRWSGSPIPLLECCLFQFIFNEQELYYRFTLINSLDLTRLVLNSNGYIQHLKWVEWTKRWHIYYNLPADYCDTNSLCGAYGSCDIDDTPVCGCLEKFVAKYPHQWEKGDWSEGCVRRTPLNCKTEHVFIKYSGIKLPNTKYSRYNKTMTLKGCRQVCSRNCSCTAYSSLDISNGDKGCLLWFGELIDIRKLSERGQDIYIKMDSSERGNNLFRKFPMMCFLHLVAYLESDAGSKRNKAMILILMSFSLLMAMILFSLIFLLYKGKKKKKLQLKEDFELQMLQFSTLTRATNNFSLNNKIGEGGFGPVYKGLLEDGQEIAVKRLSRTSMQGLDEYKNEVIYIAKLQHRNLVRLLGYCIQGEEKMLIYEYMPNRSLDSYIFDQTKKKLLDWPKRFHIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDIEMNPKISDFGMAKSVTGDEMGAKTHNVVGTYGYMSPEYAVDGIFSVKSDVFSFGVLLLEIVSCKRNRGFVHQDHNLNLLGHAWKLYKEDRSLELVDEQLADSCNISQVLRSIQVGLLCVQQHPDDRPNMFSVVQMLANESLLPKAKEPGFFIQRDVFDEEKSGSQTGSSKNDVTITLLDPRASSMGTSFWFFLPFMLAFCSSIHNSFSTTTDLITTNQSIIDGETIVSSSGTFELGFFSPSSSSNRYIGIWYKQILSHVQTVVWVANREKPLTNTSSVILKVNKPGILVLLNDKNETIWSTNTSRSVQNPVALLLNSGNLVVKDANDDNPEDFLWQSFNFPTDTHLPDMKLGKNFRTGREVYLSAWKEDNDPAPGEFTRYIDPTGYPQLLTIRGTSVSARIGPWNGLRWSGSPIPLLDCCHFQFSFNEEEVYYRYTLINSSTITRLVLTSSGYIQRLTWVDRTKRWHIYYNLPADNCDTHSLCGAYGSCDIDNTPVCGCLEKFVAKYPQQWERGDWLDGCVRKTPLDCNKEHVFIKYSGIKLPNTKHSHYNKTMTLEDCRQVCFRNCSCTAYSSLDISNGDKGCLLWFGELIDIRKLSERGQDIYIRMDSSELVSCKVSSLCRLAVADLRSCVESEAGSKRKKAKILTLSFSLLLAMILLSLILLLATDNFSLNNKIGEGGFGPVYKGVLEEGQEIAVKRLSRTSMQGLDEYKNEVIYIAKLQHRNLVRLLGCCIHGEEKMLIYEYMPNNSLDSYIFDKTKSKLLDWPKRFNIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDTDLNPKISDFGMARSVAGNEMGAKTRNVVGTHGYMSPEYAVDGIFSVKSDVFSFGVLLLEIVSCKRNRGFVHQDHNLNLLGHAWNLYKEDMSLELIDEQLADSLHISQVLRLIHVGLLCVQQHPDDRPNMSSVVHMLANESLLPKAKEPGFFTERNIFDKEKSGSQTRSSRNEACDTTDFLVEIFLLTLHILLLCGFLCLVSSAKDIVTATDFLTDGKTITSSDGSFEMGFFTPTSFTNNWYVGMWYKHNVPDESVVWVANRANPFTNKSGVRLKIIDTGQLALLTADNKSIWSTNSSRSLPVKNTVAQLLNSGNLVGKNFVTGQEFYLSSWKNEYDPAPGEYTYHCDPTGYPQDVMRKGKVKGGYMSPEYVVHGVFSVKSDVFSFVVRETEDLPVKVRVSTYSDMYENFIKEGRALELIDGHLMDSRYISELLRLMHVALLCVQQCPEDRPDMPTVILMLTNDAILPQAKEPGFFTERKVTSECSTSMSSTNEITVTQLEPPY >Solyc12g019310.2.1 pep chromosome:SL3.0:12:9927180:9954072:-1 gene:Solyc12g019310.2 transcript:Solyc12g019310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAVSSHSTLNRPSISLYSSISHLGFPHVVRFSTSKPNFTLSNPKTILVSAIKADEKHANEDHSVTTSDSSTVTNVRRHTIQVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTERVLQQVMEQLQKLVNVIKVEDLSKEPQVERELMLIKISADPKFRAEVMWLVDIFRAKIVDISDHSLTIEVTGDPGKMVAVQRNLSKFGIREIARTGKIALRREKMGESAPFWRFSAASYPDLEVAMSANTVAGTTKKTSNGESMSMAEGDVYPVETDGNSGVNQVLDAHWGVLNDEDTSGLRSHTLSMLVNDSPGVLNIVTGVFARRGYNIQSLAVGHAEVEGLSRITTVVPGTDESVSKLVQQLYKLVDIHEVRDFTHLPFAERELMLIKIAVNAAARRNVLDIASIFRAKAVDVSDHTITLELTGDLHKMVALQRLLEPYGICEVARTGRLALVRESGVDSKYLRGYSYPL >Solyc06g074950.1.1.1 pep chromosome:SL3.0:6:46593394:46593669:-1 gene:Solyc06g074950.1 transcript:Solyc06g074950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQSENESRDVPEDVKKGHFAVIAMDNDELKRFVVPLSCLTHPLFLRLLEQAAEVYGFDHEGALTLPCRPSEMERILALIYMEFARDCLA >Solyc12g077350.1.1 pep chromosome:SL3.0:12:21341722:21343802:-1 gene:Solyc12g077350.1 transcript:Solyc12g077350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDIISKGVHSYNMTCAYLESVIGKCNAGSATTHTHRSWRVCISWVTFALANVKQCQPRHVHFLQVKLANGKKQYPRPARLYRGVTDIYCGLPASVKACANLLVIVSVDYPCCPWPTRSGLLAWVIICPHCLWSTYTVNDIQLHPRPARTLVKCVHRNVTSGMENHQPRHAHTDVFCADISSNVGQGLAIACTHRSWRVCISWVTFALANVKQCQPRHVRFLQVKLANGKKQYPSPACLYHSASTFTRRH >Solyc03g115700.3.1 pep chromosome:SL3.0:3:66824354:66833144:1 gene:Solyc03g115700.3 transcript:Solyc03g115700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:Q6XNM3] MSSRGGGIAESPYLRNYRVGKTLGHGSFGKVKIAEHLLTGHKVAIKILNRRKMKTPDMEEKLRREIKICRLFVHPHVIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARKFFQQIIAGVEYCHRNMVVHRDLKPENLLLDARRNVKIADFGLGNIMRDGHFLKTSCGSPNYAAPEVVSGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKSGVYTLPSHLSPLARDLIPRMLIVDPMKRISVADIRQHQWFKIHLPRYLAVPPPDARQHLKKLDEEILQQVTRMGLDRDQLLDSLQKRIQDDATVAYYLLYDNRSMASSGYLGAEFQESVDGYSSGLFPNLDLQLSSGNGVSEESLRRPFRKEKTWLVGLQSPANPKEIMNQVLGTLLELNVRWKKIGHYNMKCLWCHDLHLHSMASNHMNDDDHFISNATAISTHLQPLPTVKFEMQLYKTEDEKYLLDLQRISGPQFLFLDFCAGFIRQLEGPQ >Solyc03g007130.3.1 pep chromosome:SL3.0:3:1720829:1725221:1 gene:Solyc03g007130.3 transcript:Solyc03g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAAQGDPVQQMETTCGTLLYELQIIWDEVGESDTERDKMLYELERECLEVYRRKVDQANKSRAQLRQAIADAEAELAAICSAMGERPVHIRQSDQSLGGLKAELRTILPELEEMHNRKSDRKNQFIEVTKQLQKIRDEIFKPTGCTSTAVVIDESDLSLRKLEELHAELQALQKEKSERLKQVLDHLSTLNSLCLVLGMDFKSTVNEVHPSLGESEGTKNISNDTIQHLAAAIGRLREVKLLRMKRLQELASSMLELWNLMDTPIEEQQTFQNVTCKIAASEHEITEPNILELWEMTLKYFTIKVEGELSRLEELKASKMKELVLKKRSELEEICRKTHMVADSDNAMDVAIEAIESGAVDAASILEQIELQVAQVKEEAFSRKEILDKVEKWIAACEEECWLEEYNRVGLLLTCIVMCFISIMCFISILIITQLSPLTPAQDENRYNAGRGAHLTLKRAEKARTLVNKLPVMVEALASKTKAWENERGIDFTYDGIRLLAMLEEYNILRQEKEEERKRQRDQKKLQGQLMAEHEAMYGSKPSPMKNQSAKKGRRMSCSGATNRRLSVGGTMLQTPKLSIGGTMPQTPKTEFHSTKATPNTHNTKKSDLFQFNYSNDDGLPALSSGRKGLDLAGLPLKKQSNTVNGCELEKTMTRKPFSPISSTDSSKFNATNILEDQNRKHNQNEMANKMLPSSQIPFSTPVKTLYTTEEENRTPLTVPIIPVPSTPLTVSAPMQTAITPVHNALVPYNYSKPVEEIPVEIEYSFEERRLGFVLPRTQV >Solyc09g005640.3.1 pep chromosome:SL3.0:9:437631:442926:1 gene:Solyc09g005640.3 transcript:Solyc09g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSISRNQYIRYLYLSISSQKCRSTKPSFHLLQSLSNTPTTTKSLPLSPLAMRLDRLENGLISYRYLCTSSSSNQSNSGSGGSSAGTNSGNSESSDGSQKTKQGKSIRGSPVSWMSFFLLVCTGAGLVVYYDREKKRHIEDITNASTSVKQGPSVGKAAIGGPFSLIDHNGKPVTEKDFFGKWTVVYFGFTHCPDICPDELQKLATAVDIIKETSGIEIVPVFISVDPERDTVEQVDEYVKEFHPNLIGLTGSPEEIKKTARAYRVYYMKTEEEGSDYLVDHSIVMYLMDPKMEFVKFFGKNNDVGMLTDGIIKEIKQYKKVKA >Solyc05g041970.1.1 pep chromosome:SL3.0:5:55226800:55227472:-1 gene:Solyc05g041970.1 transcript:Solyc05g041970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDQLLYLMLVQVQDLPLAPLLDQVQDLLLSPTSTPTSTQTAGPRATPDVALRTTPNAPTRRGSGRPRGSTENPEMPSERFRNVKSSVVITGDLGHIPTCGVQWKGKQVMTSSQLEQMRGRKQVETRSKVAHLSQESSNAI >Solyc06g083875.1.1 pep chromosome:SL3.0:6:49216706:49225512:-1 gene:Solyc06g083875.1 transcript:Solyc06g083875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYITSTREFEITQKKMANSLIRKNRLALLVLLFTYYLDVSAQQFRPGFVYTRNRGRCTPQYWSSRRESWPKMVPQTSTVSKIFGSRAYERYRYDLTLLEAAGRNDDGDNVFARLVKQSTAALLNSYARQNYPYSAWEVKTMLIQALVSEKSASVLAQQLSQANEACD >Solyc03g111260.3.1 pep chromosome:SL3.0:3:63352602:63365696:1 gene:Solyc03g111260.3 transcript:Solyc03g111260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAFSAISLCPYKLCHQLNPRKHFISCYTPSTSSIGIRGSKGPRKRPDKLEGAGRSIDDSVQRRMEQFYEGSDGPPLRVLPIGGLGEIGMNCMLVGNYDRYILIDAGIMFPGYDEPGVQKIIPDTTFIKKWSHKIEAVIITHGHEDHIGALPWVIPALDSHTPIFASSFTMELIKKRLKEFGIFVPSRLKVFKTRRKFTAGPFEVEPITVTHSIPDCSGIVLRCSDGTILHTGDWKIDESPLDGKVFDREALEELSKEGVTLMMSDSTNVLSPGRTLSETVVADSLLRRISAAKGRVITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHSLKLNKEDLVLYSAKVIPGNDTRVMQMLNRISDIGSTIVMGKNELLHTSGHAHREELEEVLRIVKPQHFLPVHGELLFLKEHELLGKSTGIRHTAVIKNGEMLGISHLRNRKVLSNGFISLGKEKLQLMYSDGDKAFGTAAELCIDERLRIASDGIIVVSMEIMRPQSTDGMTEKALKGKIRITTRCLWLDKGKLLDALHKAAHASLSSCPLNCPLSHMERTVSEVLRKLVRKYSSKRPEVIAVAFENPAGVLADEINGKLSGKSHVGFGISALRNVLDEDQKRRQASGARAEGGNGNGYPVDDAVEQVKGDDMDIERLMHDGATTSSANSLDEYSTAEEESESSRKESVQVDSGFPQSMMKSSKPLKRNRWKHDEIKKLIMLRGELHSKFQVVRGRMALWEEISSNLLSIGVDRSPGQCKSLWASLVQKYEENKSDEKRQDKWPYYEEMRKILSDLEATAHK >Solyc12g009870.2.1 pep chromosome:SL3.0:12:3046408:3051375:1 gene:Solyc12g009870.2 transcript:Solyc12g009870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSSKRDAHDDDNIIRRGFSSRRFKVGRSSCLESFLLGRGVDCPLGRNNCPSLMELCVHRIRKDLDKYKSFSMLPRDISQLIFNNLVYSNRLSDDNIEAFRDCALHDMWTGEYKGVKHNWMDVFSSQGSSLLSVCIFGSKVTDFGFCLLKKCPNLQALSFDCCDRISEQRIKELSGFSNLTYLSFTKCVSVTAEAMESLSSLDKLVKLDFERCPQIHGGFVHLQGLPKLESLSIRCCQCIMDSDMQPLAGIASLKDLEIVCLYITDYGVSYLRGLNKLLVLNIEGSHVTTSCLDTISELPSLQSLNLNRCCLRDDGCEKFSELSELKVLNLGFNHITDECLVHLKGLTKLEGLDFDSCRITDDGLAHLSGLKNLEDLNLKFTLVTDDGLKMLSGLAGLKSLNLDVRQIADSGLAFLTGLTGLTHLDLFGAHITDSGTKYLTYFRNLQSLDLCGGTLTDTGVENIKDLSYLMFLNLSQNRNLTDKTLELLSGMKLLVYLNVSNSCITNDGLKYLKPLKNLHTLDLEYCNVTSFEIKKLQDNVLLNLVRYRPN >Solyc11g072280.2.1 pep chromosome:SL3.0:11:55770055:55776216:-1 gene:Solyc11g072280.2 transcript:Solyc11g072280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPQNDPLLQTETTCGLLLHELQIIWDEVGECDTERDRMLFEIERECLEVYRRKVDQANKSRAQLRQAIADSEAELAAICSAMGERPVHMKQSDKSQGGLKAELRAVLPELEEMRKRKSDRKNQFIEVFKQIQMIKNEISRLTSASLVVDESDLSLRKLEEFHMELHALQKEKSERLTLVLDHLGALKSLCSVLGMDFEHTINEVDPSFGESEEAKNICNDTIQNLAATIQRLQEVKLQRMQRLQDLTTSMLELWNLMDTPIEEQQLFHNVTCKIAAKEHEITEPNMLSMEFITYVEEEVGRLEELKASKMKELVLKKKSELEEIYRKTHMVGDSDSALNIAIEAIESGAVDDADAVLEQIELQIAQVKEEAFSRKDILDRVEKWIAACEEECWLEEYNRDENRYNAGRGTHLTLKRAEKARALVNKLPAMVEALASKTKAWENERGTQFSYDGIPLLSMLEEYTILREEKELERKKQRDQKKLQGQLMAEQESLYGSKPSPMKNQSAKKGPKLSCGGAPSNRRLSLGGTMQQTCKTELPYSTKATPNTRQAKKSERFHQLDQFNHPTDDGFGALSTGRRGGLGIDELPSKKQLISALNGSEVETAVMRKPFSPISSKGSSKSNATNILGDMNRKHNETMTKTPLSNHTTPVSTPVKSISTYEEENRTPAKAMPIPVPSTPSTVSVPMQTTTPGPAVVTPYNSKLVENTHVKEEIEYSFEESRLEFYLQRTHI >Solyc11g012890.2.1 pep chromosome:SL3.0:11:5665207:5673382:-1 gene:Solyc11g012890.2 transcript:Solyc11g012890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELASKVGDAVSKALNSNKVLNVVLMSAFGLLCMRSVQQQKNMEVLEAEKDSLLKSNKAMKKDMWDWKQQLFAEAELPNPLIPLSKIKAIYGDVQTTTSTSGGDAHNGDGKSPAGVFVI >Solyc09g015430.3.1 pep chromosome:SL3.0:9:8760187:8761066:-1 gene:Solyc09g015430.3 transcript:Solyc09g015430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCVEKEMRKTWLWFNFNSSFLLPTKSFNFKNSFLFSSKCSSLNVRNKGSRSGLVNLYKDMEACAGYNDIQVMWEMIHSNVNETKCKKKPLCWRFLNKIRV >Solyc02g081320.3.1 pep chromosome:SL3.0:2:45878344:45885862:-1 gene:Solyc02g081320.3 transcript:Solyc02g081320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEELNLKSFLKWAAELGISDSPSTCTTQSDSCLGKTLCVANFPKAGGRGLAAVRDIKKGELILRVPKGALMTSQNLMMNDVAFSIAVKNHPSLSSAQILAVGLLNEVNKGKSSRWWPYLKQFPRSYETLADFGKFEIQALQIDDAIWAAQKASRKAEQEWNEVTQLMHELKLKPQFLALKAWLWASGSISSRTMHIPWDEAGCLCPVGDFFNYAAPEEETSIYEDQGAGKPYFMQENSTLKSETELDSTTRLIDAGYEKDVSSYHFYARRNYRKGDQVLLSYGTYTNLELLQHYGFLLTENPNDKAFIPLEPDMYSLCSWDNESLYIHPDGKPSFALLSTLRFWAVPKTSRKSVVHLVYSGNRLSTESEVVAMRWLIMKCRTTLEVLQTTAPEDCRLLNILYKFQDIHKFPEVKEIPPPLASELCAFIEKNKNVASEGICSLSSVARRSTERWKLAILWSIGSLGCQFRRAYSLLSLNDLQDNKGARKQKTRKGRGIGSGKGKTAGRGHKGQKARGTYKFGFEGGQTPLRRRVPKRGFKNPFSLTFQDSGAIGKQIEDGARLMGRGAELIQWPIHLEVSRVTARAKAAVEAAGGSVRRVHYNKLGLRALLKPEWFEKKGRLLPRPARPPPKLKDKVDSIGRLPAPTKPLPFVVEEAESVSAAPA >Solyc11g012270.2.1 pep chromosome:SL3.0:11:5136006:5139346:-1 gene:Solyc11g012270.2 transcript:Solyc11g012270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAVRVGENSKKMGFDDNKVEGKEGSATICEGNKGIVADFDPEIENGGGTDEGNRVNRQMSESSIYTTDHEEDDDEANHKIELGPQCTLKETFEKDKDDESLRRWKEQLLGSVDINAVGESLDPEVKILSLAIKSPGRSDIVLPIPEDGNPKSPWFVLKEGSKYSLKFTFQVNNNIVTGLKYTNAVWKTAIKVDSTKEMIGAFSPQLEPYTHEMPEETTPSGIFARGSYSARTKFLDDDNKCYLEINYTFEIKREWQEK >Solyc06g035870.3.1 pep chromosome:SL3.0:6:25206688:25208741:-1 gene:Solyc06g035870.3 transcript:Solyc06g035870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALQQWDAWKESIIESTGLSPATIFTIVALAVAFYYAISGFSPSSDHAHHQRSRDFEEQTQVLPPVQLGEVTEDELKEYDGSDPKKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKLSFEEKDLTGDISGLGAFELDALQDWEYKFMSKYVKVGTVKQAVPVNDGQANDETIEATTDGEAKPGEDDASGSAKPSEYDGPSKGSEAGADAPSETAAVESVVKSEADVDKKD >Solyc12g036590.1.1 pep chromosome:SL3.0:12:47267150:47267898:-1 gene:Solyc12g036590.1 transcript:Solyc12g036590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTALSPPKEQSWLDEEERARKPIISFLSFYLMLRGKEKLFCFSVLSPSTYTAVEAPKREFGVFLVNNGSNRPYHHKIRAPGFPQSQGLDSMYKHHMPADVVTIIGTQDIVSGEVDI >Solyc10g083980.1.1 pep chromosome:SL3.0:10:63793969:63794867:-1 gene:Solyc10g083980.1 transcript:Solyc10g083980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDNSKEIFEFPWEIKMKNLSKKSTFNGGYIGKLPTLPLYESVCIDDLLQPGSVETFADIFWPKGNHEFWYAWTNGRLHSPLHKVTMAGENDRFSIQLFADPKTDCTIKAPKELVDEEHPLLFEPYDILGYFEFFGTEAGPNVLKAYCGV >Solyc01g109440.2.1 pep chromosome:SL3.0:1:96305302:96306823:1 gene:Solyc01g109440.2 transcript:Solyc01g109440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSENSKQSETLMKLNLRSESHECVEADAQCPSNIQTPKRENENQPAEGSSKPHQSENVNSLKANEEELRKEICTLSSLLKKSAKSCLELKKENYNLLQELKNKLSKDEISKLEAMNPDGNSQSLDNDDEEPCQTSSESSDESNSSSDCYSDDEEGDDSDSLKPMQIIKE >Solyc07g062570.3.1 pep chromosome:SL3.0:7:65379348:65387830:1 gene:Solyc07g062570.3 transcript:Solyc07g062570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Fen-interacting protein 3 [Source:UniProtKB/TrEMBL;Acc:K4CGU0] MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKSNEVEAVETAKEWTRLYASGA >Solyc01g105130.3.1 pep chromosome:SL3.0:1:93295505:93298982:1 gene:Solyc01g105130.3 transcript:Solyc01g105130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEKGKVGSERWSAAIGNLSEMTSNLNSLQKLLVKKAVFVDDDTFAKVSLTSEQARTIKVLEQRVQTLERELDNAISAAAHARTEKRQVEVAQKAAELRAQEVTKELENTTRVFELHMEELRAKQEEISKRDKEIKLLEAIIQTLGGKGSNSSDE >Solyc08g005316.1.1 pep chromosome:SL3.0:8:256998:260385:1 gene:Solyc08g005316.1 transcript:Solyc08g005316.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVPLSLGFTFPYSENQIDILAYLAFINGEVYYWKSCEKIKRFRVITHLRYDEIYTKDVYLWVHFATKVANVEVFTSYCQLNPCGSVYWSNLVSLSIGNAQPTDGVTEKILSSCPNLECLELRKVSGIQRLEIRSVKLRILIIEEYYEKNHDIWLEIIAPHLKHLEIIGIR >Solyc06g071390.3.1 pep chromosome:SL3.0:6:44067618:44069731:1 gene:Solyc06g071390.3 transcript:Solyc06g071390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEQPKPATVDVKMDLFEDDDEFEEFDIDQEWESKKEGKEATQQWEDDWDDDDVNDDFSLQLKRELESNTEKK >Solyc07g065260.3.1 pep chromosome:SL3.0:7:67223002:67228347:1 gene:Solyc07g065260.3 transcript:Solyc07g065260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDSHSQQQQLHSTSEQQLRDGTNSSGGFRHNAAGSNGGAKYKLMTPAMLPISRSACITIPPVCLSVQIVTVGSDAYMNNAEPSPTTGSFSKFQTVQGSGGAAAFLLTRGYASGNTYTERKASCFEYKYAGGSSSTSGSLATEHVISTGLNQQHNEPLEEVQDQCYPQLLVPSSLAKHEMETSKELSLSAPINVDASSKEESLYEPINVDAMNPRGQSSASMQGSHADHKDVLSVTSERSSDDGYNWRKYGQKLVKGSEFPRSYYKCTYPNCEVKKIFERSPEGQITEIVYKGSHDHPKPQLSRRFSPGALMSVQEDKCEKEVCFRGQEVYVEDKFNTNVQTSKTEPSSTPVSPQQADTDGLEGAGSQMHGTNDDMDEDDQFAKRRKMDGGMDITPVIKPIREPRVVVQTVSEVDILDDGYKWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPAAKNNNHEMTGSSPVTGSSRIRAGETNSLSLDLGVSTGYRLDNGNNGQLHTLHHQVQVSRSGMMLVQPAAVAARYGIVHGGMSRFGAIDNRVQGPSFETLPLQPSTQSLQNYGKILLGP >Solyc11g010130.2.1 pep chromosome:SL3.0:11:3248624:3252190:-1 gene:Solyc11g010130.2 transcript:Solyc11g010130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYEVLKDIGSGNFGVAKLVKDKLTNELYAVKYIERGKKIDEHVQREIMNHRSLRHPNIIRFKEVFLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARFFFQQLISGVSYCHSMSSLLHSQPKSTVGTPAYIAPEVLSRKEYDGKLADVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTLTRILSVQYSIPYYVRVSKECKLLLSQIFVADPSKRITIEEIKKQPWFLKDLPIEYMEGEDASLQMKEENEPTQSIDEVLAIIQEAKKPGEGPKGCDLFVNGSSSIDLLSGFTYGKRLM >Solyc11g007090.2.1 pep chromosome:SL3.0:11:1549972:1566916:1 gene:Solyc11g007090.2 transcript:Solyc11g007090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIARFQFLQPYPISKPSAFFSKIRQTSPSFSSFSSTKPCRIHFRKFSVTSPLCSSSGSIPEFPESSESSTSIVGDLLDYLNESWTQFHATAEAKRQLIAAGFHLLKENEKWDLQPGGRYFFTRNMSSLVAFAIGKKYSIGDGFHVIAAHTDSPCLKLKPKSASTKSGYLMVNVQTYGGGLWHTWFDRDLSVAGRVILRDDDGSFLHRLVKITRPLLRVPTLAIHLNRTVNQDGFKPNLETHLIPLLATKTENATADSTEKSNVSSSKDVHHQLLLKNIVHFKDNQLKHRAIAVSSLQKQMHQISSDHTLDDGEAGSSLDSRFSVDSILSDELSCKIEDIVSVELNICDTQPSCLGGANNEFIFSGRLDNLASSFCGLRALVDSCALPEDLSNENSIRMIALFDNEEVGSDSCQGAGAPTMFEAMRRITDCLSHQSAGETDFARAIRHSFLVSADMAHGVHPNFVDKHEEHHRPELQKGLVIKHNANQRYATSGITSFLFKEVARLHSLPTQDFVVRNDMGCGSTIGPILAAGVGIRTVDCGIAQLSMHSVREICGKEDVDIAYKHFKAFYQSFSSIDRKLNVD >Solyc10g049477.1.1 pep chromosome:SL3.0:10:45889268:45894961:-1 gene:Solyc10g049477.1 transcript:Solyc10g049477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQKHLFAILITQNCTKFLKLAGFVNGKLYWTSSTCINKYKVCHITSFHLADGTWGSLELPSCGKDNSDINLGAMGSDLSLLYTCQRGAATSDIWIMKLSRVNVSWTILFTIKYPLSIKTHSLMPPADFGQRVLSQATSALLFRV >Solyc03g113850.1.1.1 pep chromosome:SL3.0:3:65393566:65394990:1 gene:Solyc03g113850.1 transcript:Solyc03g113850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPFYQTSCSRNSSKAEQEKPTSLDSQPSFRSVPSIKSLCHDQSFSRNLETQHQCIANLKGQNSYTSSLVLGGKFLFTGSSDKEICMWEQKALTSTIDASIIDTVIAGEGAVKSLVILADKVFSAHQDHKIRVWRIENHDTDTPKIVHLATLPILSDRAFKFMLPKNHVQIRRHKKSTWVHHVDTVSALALSNNKSFLYSVSWDRTLKIWRTSDFKCLESIANAHDDAINALEISQDGDVFTGSADKRIKMWRKEEKKHLLVCTLEKHRSGVNALAVGRSSTSTHSVLYSGACDRSILVWEKDENGEMVLVGALRGHKKSILCLAVVADLVCSGSEDKTVRVWRGVERCYSCLSVISGHSGPVKCLTVTSDQQIPSDTNSSYVLYTASLDGETKVGRFLFLFSKAICMMNMVKICQLTYLYTIFCIIDCWASDLEKKISAKFQLFFLFSWIVYSIEKGYHYEMIMLLKLGNHFD >Solyc04g017723.1.1 pep chromosome:SL3.0:4:7824920:7830694:-1 gene:Solyc04g017723.1 transcript:Solyc04g017723.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVCLYVDDLMLTGNNPVLFEAFKKVMSLEFEMTDIGLMSYYLGLQVKKMEEGIFISQESYTKEILKKLNMFGCDPVNTPMESGTKLSQWIRSGFHFVQESHRKFEVLDILMYAMVCTRPDIAHAFGVVSRFLKNLGKEHWEDVKWILRYLRGISDEYLCFEASNPILKGYTDSDMAGDLDNIKVTNGDLYHGSRSCRSVLHYLQLKLISRFMESPTSTYLKVTKRILRYLKGTIDLGLFYSSSDDFNLVGYCDSDYVGDVDDRKNTSGFVFFLGDCVIYLSSKKQIKLLLS >Solyc02g021195.1.1 pep chromosome:SL3.0:2:22370690:22375617:1 gene:Solyc02g021195.1 transcript:Solyc02g021195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNAKLVSIPLAGHMKLSKKMCPTAREEKENMAKVPYSSIVEREAISWQSKLKKCVALSTTEAEYIAASEAGKEIIWLKRFLQELGLRSLWIIRNLES >Solyc07g061710.2.1 pep chromosome:SL3.0:7:64798495:64803370:-1 gene:Solyc07g061710.2 transcript:Solyc07g061710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPTLSTIKKDSTFSSTTNRSWTIWSKDGGCPFGTVPVKRMTKDDLIRLSRMPPPEDVTFNAEYDVSNNNSRPNGGYISSQGYKVVAIAQISYNPHNNFAGAGMVTILYNPQVNGQQHSGSRLKIHKGSDILQVGWRVDPTLYGDIKTRLFIHFQAGKIHCFNTLCPGFVQVNHDIPLDTPFMNISQRGGEQWGITVHIDRDLSGNWWLLMTPNFTRVGFWPQSLFTDLKSFATNVDWGGVVYSPPGVPEPPMGSSQFPIENTLYDAYCADVTIVNEKGKTIEVDSTITHTDYPYKVEFKELSHGAKDKYFVLYGGPGESTHV >Solyc11g068470.2.1 pep chromosome:SL3.0:11:53479921:53481382:-1 gene:Solyc11g068470.2 transcript:Solyc11g068470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMLSHHFSSKDLLVLVMCSSARLSKAEPLSAWYKFKSHYHTQQPEQDRKQRQADEEHKQNTNQGPSIGSPARVQKLIASQSDPLLAKEIFDLASREPDFQHSYATFHTLILKLGRSRQFSLMQSVLSSLKSQHYSISPSLFSHIIQIYGDAGLPDRALKTFYTILEFNMKPLPKHLNLILEILVTHRNFLRPAFDLFRSAHTYGVLANTESYNILMRAFCLNDDLSIAYSLFNQMFKREISPNVESYRILMQGLCRKSQVNTAVDLLEDMLNKGFVPDALSYSTLLNSLCRKKKFKEAYKLLCRMKVKGCNPDIVHYNTVILGFCREGRAADACKILEDMPSNGCLPNLVSYRTLVGGLSDQGMYDEAKNYMVEMMSKGFSPHFSVVHAVVKGFCNLGKIEEACGVAGSILSHGEPLHTDTWEEIVSIILEWDAAEKIGNTLVQLIQAEIKPETRIVEAGQGRVDHFIGQE >Solyc03g033815.1.1 pep chromosome:SL3.0:3:5449572:5449971:-1 gene:Solyc03g033815.1 transcript:Solyc03g033815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQVQSKTLLQPHRHGLTFHKKNKNLVLNSYLPYIIKEVGYEEIYNLHDMWNGDQKHMILKDLERFLMRKDYYRK >Solyc02g087100.1.1 pep chromosome:SL3.0:2:50254531:50254883:1 gene:Solyc02g087100.1 transcript:Solyc02g087100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSMGHQACGALELWNYPIWMRNLVAQDVDLAALEIYRDRERSVARYNDFPRRMLQIPISKWQDLSDNEETLGEVYGDDVQQLHLLVGLKIKEFAHL >Solyc03g026010.2.1 pep chromosome:SL3.0:3:3446460:3450966:1 gene:Solyc03g026010.2 transcript:Solyc03g026010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSSSLLLILSGNLFLQAALALSLTFLLHFIKIPALLLSGLFTYVHPDDVAPNNASSNGVRAAIRRPGTNDSDLKPRKKSKERFEFDENKAQIFRVSFERSASKLSERHLSILLGFLGFIVSLGIVHGAVPKWFFLPASMVLIFLGLSQLGGDLLTNYEGTVGSLSQMIIALKMSNLRIFIKKGVKI >Solyc01g014360.1.1 pep chromosome:SL3.0:1:12156291:12157214:-1 gene:Solyc01g014360.1 transcript:Solyc01g014360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSLSFAKMRANKKGIGEKHVPIIIVGTRICYGSVCISETGDEKPGVAKNNRCNLAEEPRKSLTVEENNHAQMEL >Solyc02g084640.3.1 pep chromosome:SL3.0:2:48375297:48380342:1 gene:Solyc02g084640.3 transcript:Solyc02g084640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVVEEDVLGEVTTAFRSRRTRSVAWRKAQLQAILKLLDENEEEIFEALRQDLGKHPVESYRDEVGVVRKSATNALRCVEKWMAPQKSVISSAALALDPVIGAISAGNAIVLKPSELAPKCSSVLANTIPRYLDPEAIKVVEGGHDVSEQLLQLKWDKIFFTGSPRVGRLIMSAAAKHLTPVTLELGGKCPTILDRLSNFSDLQYGVGFKVAVKRIVGGKWGPCNGQACIGIDYVLVETQFAPVLIELLEKSIKTFYGENLKTLANLARIVNKHHFDRVHNLLKDPKVAASVVYGGSVDEENMAIEPTILLNPPLDADIMNEEIFGPLLPIITLKNIEESIPFINSRPKPLAIYAFTKNDSLKEKILQETSSGSLTFNDAMIQFLCDTLPFGGVGQSGYGRYHGKFTFDTFSHEKAVLHRSFLVELESRYPPWNDFKMEFVRLAYNYDYLGMILLLLGLRGLFRTNRRQ >Solyc12g040305.1.1 pep chromosome:SL3.0:12:53914795:53924280:1 gene:Solyc12g040305.1 transcript:Solyc12g040305.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLENQIPAQLARVKHKEIGLEEKLLLDGSSHSGKNLRTRGGHAYSSDYAPTDDWEPSVPFRPSFLLRQIIENPNRVLYDGFPNSIHQCNVGDGSFSVLTKHMQANADPASTGSNKDQFLAKVIYCLRNICLVMNFHAAIVEFVEELLRPTLNFCILSKVAYKKIVEKTVDKVENSFHPNQIPNTAESTEEYFDLSLTKLSNTIDVILSM >Solyc01g096030.3.1 pep chromosome:SL3.0:1:86994832:86998330:-1 gene:Solyc01g096030.3 transcript:Solyc01g096030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKDKMVVAGLIDKATNSTRPEVEPRLLKSIKSVVRSSDSELRLAAQTLISLMKRDHSQVRYLALLIIDELFMRSKLFRTIVVENLDQLLTLSVGFRRNLPLPPPASVASVLRPKAIEFLEKWNSSFGIHYRQLRLGYDYLKNTLRFQFPNLQANAARIRQERREREMRTKEILLKKFETLKENLASIKDEIQSTVDEIGECLNILSTKDEEDILLLSLDDEDIVEFRNSELRQIRLDSLKEGEKIKVDSENEVVFDALRELFKVLVTNHMVTLQEWISVLIRVETTDTSFRDAILKDFIDIRNHLKSVKKKCEESGCTLPKTRSVEDEDIWEEGNVEPENGRSFKMPDQGEDCSLNLNFNGMRVEAPECSNVSLKGKEKLQEAKGGSETDISRGKLLAEAPVMKWGSFLDDWGSTSRDALANQRGLDLDGHWGRVDHDAVIPAEKIAELKVHATVYREDPVEIQPCRAPLRNGELCQRRDLKICPFHGLIIPRDDEGKPIDTGSSIEDQAAQLVDQQEPINACPSVAEKIHDLDDKLVEKLAKQAVKNRAKLAKVREHNQEVLRDAALASTSRSLHAGEDQDRSSLSKSSSTSKKETLASMLKKKETAKDRLGQRLLNARARDATVRQLTVAEDSNYREAFPNQ >Solyc04g071165.1.1 pep chromosome:SL3.0:4:58200708:58201391:1 gene:Solyc04g071165.1 transcript:Solyc04g071165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNEESNNTQQESKFTLSMNPDMEQQQISLDHQQVEEKEFDYSKRSQWLRAAVLGANDGLVSTASLMMGIGAVKKDIKVMILTGFAGLVAGASFSVGAIVPLLAASFIRDYKVRIGAVVAAVTIALMVFGWLGAVLGKAPAIKSSARVLFGGWLAMAITYGLTKLIGSSGL >Solyc11g065690.2.1 pep chromosome:SL3.0:11:51516096:51523063:1 gene:Solyc11g065690.2 transcript:Solyc11g065690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDICQKKAPMANTSFILLANFDTSDLLVSCVSSSGQTAYPLLMCWSPPPYTLPTFVERLGLWCSLDSSWKTFLVIPQHSCRGGNTQRIVPVLAPER >Solyc12g038870.2.1 pep chromosome:SL3.0:12:51664742:51668346:1 gene:Solyc12g038870.2 transcript:Solyc12g038870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAGGGGDFTGGGGGGGEGEGGGGDL >Solyc06g071490.2.1 pep chromosome:SL3.0:6:44138074:44142844:-1 gene:Solyc06g071490.2 transcript:Solyc06g071490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METQKISETLVEGSVDYKPILSQTPDGKTLEKVSVVSSLSESGAVSELVTVTEVPSGVGNANVHKNIANVVDGTELELMGVGFEQKIVDGYDNIDSEVKSEERLVDGYDHIDSEGVKSEEKLANVDNNNGLEGVDTEQKLVDGQGTVESENKLANVDDYNDLEGVEIENKFGDVDDTIDLEALGLANEDVTLDGLMEAGTLKHETQESGAEFNESLDNRVEKIGVADAVEDMDRSKVHINDSPTKIEVSGDGISLTVDVFGPDCTFYMETDNPMGVNGNEAEGDVSDNQEHTFAVGDLVWVKMKTDLWWPGMICDPQTSKDAGKCNQVDGFFVKHFGSTSSVWCRPFQLKPFIEYFELMSRQNKSRSFYGAIEKALGEYGRRVKQKMTCSCFSKENQVAAQNVPSKEDENGGSAFSASQFEPSKFLKFIKSRALGLLSPSDIEFTVAENCLSAFYSSIGHKQLPLYKLRPTSNQISASKDEDLDKLSSGDSVLKSCRSGSDDRKMTEMELSGSLESPRGTRSMISRSQISNENAGGKSEKGFESRERKKSKYLSYPYVNSWASRKNSLGQGEDETEDCEEVTPGGVKRSSNPSMVSTPIGNSSNKTSLRKSRKSVNDNDICNNADFSAVSSAEMLQGLHQTARDCFFPIQSTRSIPIRDFYLSFRAFRDPEVQIDEYKEATLGCPVTFQSDNSLASGGYDLQVEGQPPPNVLPKKRGGKKSDGINATGPKFSSKTNLPRMTADGTSMNGSPLIDSVQTGPDTLKKGVVHRQRKKAAIAAVVHNEIGILGGLPDLNGNNAGLSVENMQVIGPAPTQGKLEPKRRRRKKEELVSENLPDLSKGNTQFIPMLKSVEVTGSLPLEGGPQPDNMLGVQGASSLPNAELFAGQPNANGIHAAGSSLPNISQITGLVSSAKGEGKKRKRKEKALIIQNTSSALPDLNGQVTDPNLKGKEVTEMSSVSGQAKPKQKRRRATKSAAIGIPNPNGDHNTLLLYFTPGSPVPSKEYICATFASFGPLEESKTLYLNDSTAQVVFAKDSDAMEALQSLQSRNPFGPSLVSYRLRHVSTSQPAALLSGAVPSNGEGPDLVVIKQNLESMTTMLEKAGDNISPEIKAKLESESEWILDNLNNQLHLKSKDGSRLNESSISVLLTPEKLRRLGVGY >Solyc04g063420.1.1.1 pep chromosome:SL3.0:4:55543416:55543808:-1 gene:Solyc04g063420.1 transcript:Solyc04g063420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSDKSTTSSMSYSEHPAMFNSLQQTSRSVCGSNGDAGGLFMNNSSCPKRLYVLFDKLNNKQTICFCCYFFILYFFANFSPCLMKEVLEILLIIFPLIYVYICKLLNGNESRPVKYSHVKRFILLQICGY >Solyc05g050550.3.1 pep chromosome:SL3.0:5:61528621:61532209:-1 gene:Solyc05g050550.3 transcript:Solyc05g050550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNITTSPVHHTIVSVPFQWEKEPGKPNTINQPINKPKSLEPPPRLYSINTPSPTTVLDGPYYNNNNNKISSSSFRFLKNISKTPETEQFVKRGNWWQRNIKRKISSNNSSVFLSSMDSTGKCCSARMASFRRNGSSSNLYATKSNIIWANIYEGFKKAIPWKSNKSKNYSSYKFQHQQSI >Solyc08g076210.3.1 pep chromosome:SL3.0:8:60350007:60357939:1 gene:Solyc08g076210.3 transcript:Solyc08g076210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASTLGFGQKHDATDDVPLDSMNGSNKKQKELATWEADLQRRERDIKRREDAVAGAGVPTDDRNWPPFFPIIHHDIANEIPAHSQRLQYLAFASWLGIVFCLAFNVLAVTICWIKGGGVKIFFLAVIYALMGCPLSYILWYRPLYNAMRTDSALKFGWFFMFYLFHIGFCILAAIAPPIVFQGLSLTGILAAIDVFSDHVLVGIFYLIGFGFFCLEVLLSLWVLQKVYMYFRGHK >Solyc04g005223.1.1 pep chromosome:SL3.0:4:177106:177668:1 gene:Solyc04g005223.1 transcript:Solyc04g005223.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFAIPNSFNTYHNGLIFGESQDVFDSPSTAISQKKQMRNAVESSDQDVPLPLEYEHTDRSPLKNDKNVNFVKSQGEAKECVGISENESECSGHQEEVEGGYSSARCLGSRKRKRSGQDAEFDQMNGAQQQPAELAKEQNLNSIACRPGGKNEDPPKEGYIHI >Solyc10g055065.1.1 pep chromosome:SL3.0:10:56250065:56251806:1 gene:Solyc10g055065.1 transcript:Solyc10g055065.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMPMAQGVESCSKAVEKWFKKLPHAKQNVTKFHFYFHDKVSAKIPTEVEIAQSKMTAKYPTSVGSATMIGDPLTVGQEKYNGSTLSILGRNAFKKYREMPIVGIFRLAQGIANAKTYWCNRTSGMLLLSQRARQIRLTKRLGDLPNKFGDSPAHWLLLGWLVVTFSGLGFKRRCEKCPLQSLNGTPFYASHWISRRSWKSRQ >Solyc11g071190.2.1 pep chromosome:SL3.0:11:55010795:55012434:1 gene:Solyc11g071190.2 transcript:Solyc11g071190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLPSSSSNHHNHHHHRYRRLTPTQPLADRIFRALSHHLLLLHRRDTTFYVLGATCNVYTVTISTTPSCSCPDRTTPCKHILFVLIRVLGVSIDDTCLYRRRLRPRHLQRLLNLPISTEVLATSEVREMFHQLFSQQPKRSSPVIIKVSL >Solyc04g051020.2.1.1 pep chromosome:SL3.0:4:49261805:49262582:-1 gene:Solyc04g051020.2 transcript:Solyc04g051020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELFTLDVFIAKGFVSASITHRVTCKEVVVAGPNSKYIKGVPKSRSDIPACLSVGKILSDRAREVDVYTASYTPRDRDKFEGKIRAVVQSLIDNGIDIKVYLD >Solyc03g082420.3.1 pep chromosome:SL3.0:3:53780273:53781284:-1 gene:Solyc03g082420.3 transcript:Solyc03g082420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HSP21 description:Small heat shock protein, chloroplastic [Source:UniProtKB/Swiss-Prot;Acc:Q95661] MAYTSLTSSPLVSNVSVGGTSKINNNKVSAPCSVFVPSMRRPTTRLVARATGDNKDTSVDVHHSSAQGGNNQGTAVERRPTRMALDVSPFGVLDPMSPMRTMRQMIDTMDRLFEDTMTFPGRNRASGTGEIRTPWDIHDDENEIKMRFDMPGLSKEDVKVSVENDMLVIKGEHKKEEDGRDKHSWGRNYSSYDTRLSLPDNVVKDKIKAELKNGVLFISIPKTEVEKKVIDVQIN >Solyc12g049487.1.1 pep chromosome:SL3.0:12:62082217:62082821:-1 gene:Solyc12g049487.1 transcript:Solyc12g049487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSISLLVMHSGRWNNENCYVDYSTEAIVLKEHATFRELMDLVSNQICVDLSFNIVKLKYKIEGSTAPLEIHNDMGVRVYVSLKKITKNCQNGFEMCGPGGIDIVDTESLVLSVQNNSDNMNCDIITNAKHKVVLEDQVYKDKGTLKAVMTQYAIDHRFQWKTDRSSQTWYVYLGWILL >Solyc02g084100.2.1 pep chromosome:SL3.0:2:47846428:47852882:-1 gene:Solyc02g084100.2 transcript:Solyc02g084100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADQDQFSDLDILPSDNMSLLEFMHAVDGRSRSRILHWIFPLSTLHQVSVAIGIGTCCVKVVAQFVYHEDVEVLSSLEVQLNLFSLELMKLLLVTTPQVLDCDFLEPKVFILVRQPGKASPLGNRGLLPLGVLCLLFAWFNLSLRKNRPNDKGELGPAVDCGKVDDNGSSNVVPSFEGSSDVNFLLEDHQMLYLLLKNHLKLYLLLKDHLELYFLVGQLLESPTKDRGKVYLPMLAFNYRIRL >Solyc02g077250.2.1 pep chromosome:SL3.0:2:42811987:42813713:-1 gene:Solyc02g077250.2 transcript:Solyc02g077250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEECTKCTSVIFIYFFPLGPRCMKMNHQFQVSDEEDGSEEEEEEEEEVFQENDIGNLQSHYQNQQMPQSLCKKPEKWANFTVSEQELNKGTRRMKPKRAKTDVIEGHGGRIIRATGRKDRHSKVSTAKGPKDRRVRLSPNTAIQFYDVQDRLGYDRPSKAIDWLIKEAKAAIDALGEFPNNFHSTKLNPQKMQYSFDQEQSPEFSQENRGVPNSECGVQDNQQEVNYDIPNLFSSSDGFKIPFLSDLQSHPHGHFLNFQSLQDDTVLSSGNHHQGRFFTTTSVNHFPSVLSQNQVFSHREPLQSSFFPLMSDPLSTQLETLSYGFSNDGFSGIISSASRIQGEEEQATFFTAS >Solyc10g054920.2.1.1 pep chromosome:SL3.0:10:56049187:56049471:1 gene:Solyc10g054920.2 transcript:Solyc10g054920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding QDKLQPLSKKLDLLVVILLDNNGSLYGDLKRICETELGVVSQCCLTKHVFKMSKQYLANLALKINVKVGGRNSVLVVAISRQIPLGALLRSRNN >Solyc07g032370.1.1 pep chromosome:SL3.0:7:38268080:38269474:-1 gene:Solyc07g032370.1 transcript:Solyc07g032370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISYYGERDFIVLFHVAQLVFVQSGDIQLGTLYFCALHAQRLSCTSCTCSSRSIATSEVSKYH >Solyc02g032550.2.1 pep chromosome:SL3.0:2:29129804:29135179:-1 gene:Solyc02g032550.2 transcript:Solyc02g032550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRQNNFPLHFLVLLFLCRYSIASSHVRRLLLSHESEHYAVIFDAGSTGSRVHVFRFDTKLDLLPIGNHIEYFLAINPGLSSYANNPKAGALSLKPLLDKAESVVPKDLQPQTPLKLGATAGLRLLKADAAVKILQAVRDLFKNETTLNYKAEWVSVLDGTQEGSYFWVALNYLLGNLGKKYERTVATIDLGGGSVQMTYAISKESATKAPKEPNGEPYVLNKSLLGTNYDIYVHSYLNYGQLAARTEIFKASGNLSSSPCILGGYNGYYTYNGVAYKASSPKHGSSLRKCRTLAKKALKIKAPCKHKKCTFGGIWNGRGGQGFKNLYASSFFYDYAAMVGIIDPKKPSGRAKPIQYLHAAKLACNTKVKDIKSVFPNIDERNIPFICMDLVYEYTLLVDGFGLHPKQEITVVHEVKYKNYIVGAAWPLGCAIDVVSSSS >Solyc09g075795.1.1 pep chromosome:SL3.0:9:67841839:67843223:-1 gene:Solyc09g075795.1 transcript:Solyc09g075795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLLIRYKRQTSYNFHDQLDPAIKVGTKEDHYDSYCSHIEEMRQSNKIITEPVDFPQCSHYPFLMDPPHRLPPPLSPESVTTSASPSPPRSENDLITSSTSLIHYI >Solyc02g083100.2.1 pep chromosome:SL3.0:2:47182710:47183335:1 gene:Solyc02g083100.2 transcript:Solyc02g083100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALSSKPNHIRSVSFPGRSHPTTQRVEVELNKLKSLEVSVAPAAVSNGLLGLEKSFKCIDDLFNLPQTLQALSQNLHAKWLDDLLDKSVRLLDLCGTIRELVSQCKENMTALKDLPLSSRRSRGMPKD >Solyc09g083390.2.1 pep chromosome:SL3.0:9:69458051:69465356:-1 gene:Solyc09g083390.2 transcript:Solyc09g083390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNINDDDIDLGLALGCTTTRNVHTKLKDAVGAGVNASSTVDMAFAESDPLSELVWSPRKGLSLKCAESSLADKKPFRLWNVGPTTLITTPSQSNRFKGTYDENAAYEKIIDQERLETKKLVLESGNEIGCSSKVKIMNAADGVDMVDTDQDEENVKNTEKGFCVPIVESCENDAGEGDFGTERFLLHGASSKVDAVTTEPLAGKNNQEVLTGNKCRNEDVSGGSQALIPTVKDSESPACLLPSSPIKMEADNTLESTGLPVLECTAENDLHIPGIIETCDQNEEQLLRGSSVPPETPPTHSRSSSYRRKGKAKALSDGNSNNKMSNDEEDSHESVESCNSTGLNPKGKKRWHFEKQFFVGSKRIRTDVHRDPSTESTVAHNSSFVTWISNMVKGLPKSNLEDSPTLALTFTPNNEENHVKETNHQEIVAYEKDHDSASRSMGFQSLFQSLYCPTLKVSETEIPKEDHSVGEPKKIPSADKILIDFPLISCHREGDMLDTHMLMSNDKSNQSTVACKEVPLMQTHIMPAVVAPREVSRNTSVENKASNDSLSRLRTSICEEKNTSHSSEYDMSSRNQSLRSLWITRFSNKTPGTVVNIDDSKPTTHETSVECRIEQASSDVKGTSDKDQHDDVAASSKEIRDNNFERSMNNLHPIVSSPKFKKSEALSSLFSRRLDALKLIGPFSTRNEYSSSYTRTTCFFCGKSGHDLRNCSEVTESELEVLIRSIRAYEGAEGSSCLCIRCFQLDHWAISCPTSASNRGNNLRVVSVNECLPSQLEIKQSHPIELANRVHHSRDKSSSDLMHKRKQFLFAITSGSNQVPKQRTSESTENSLKEHIISSNFVSKEIAVVPKGIFDVIRGLRLSRIDILKWMNSHTSLSHLDGFFLRLRLGRSEAGLGGTGYYVACINGLKGEKLERDSNNCICVDVCGVKCPVGSQYISNQDFLEDELSTWWHKMLESGGKVPEESDLRLKLDERMKLGF >Solyc10g045140.1.1 pep chromosome:SL3.0:10:31632825:31633368:1 gene:Solyc10g045140.1 transcript:Solyc10g045140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHAMLISQEFHVIVDHCHIYKRFYITAQSDHKLLRSAVPPQGLSSEQEKLPYLPSRIESNSKSKERGETIVVAQSFVGVVRSEEVMFGSLRT >Solyc05g052580.3.1 pep chromosome:SL3.0:5:63643429:63649508:1 gene:Solyc05g052580.3 transcript:Solyc05g052580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPELVQAAQVYAPTRTLQIWKSLLNWLAFFFQIFVQILKGTPCVTQVLSYFGVRNSSLLSSSPQFKPLPDVEPPEEEEAPPPSSVALSTLQITTGSVPISVEEEHLQKLTVVLDLDETLICAYETSSLPTIICTQATEAGLKWFELECVSSEKEYDGKPKINHVTVFERPGLHEFLKQLSEFANLVLFTAGLEGYARPVVDKIDLENLFSHRLYRPSTISTEFREHVKDLSCISEDLCRVVIVDNNPFSFLLQPLNGIPCISFSPGQPYDNQLLEEILPLLKHLSEQKDVRPVLRERFQMPEWFQNHGIPTCEFTNLR >Solyc03g117140.2.1.1 pep chromosome:SL3.0:8:12572495:12572662:1 gene:Solyc03g117140.2 transcript:Solyc03g117140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFTSTPTAGDNPSRPPSAAVAVPKLRQPGMERTGIVDENGAENRKKYLXXXXXXX >Solyc05g051730.2.1 pep chromosome:SL3.0:5:62968243:62975605:1 gene:Solyc05g051730.2 transcript:Solyc05g051730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGAESPVVIFTKSTCCISHSIETLIRSFGANPKVYELDKLVSNGEMEKALVELLGCKSTSVPAVFIGEEFVGGSNEIMSLNLGSESPVVILSKSTCCISHSIETLIRGFGANPMVYELDKLSNGKEMEKALIELLGCKSSVPAVFIGEEFVGGSNEIISLNVRGKLKKLLLKANAIWI >Solyc09g075965.1.1 pep chromosome:SL3.0:9:68021114:68028744:1 gene:Solyc09g075965.1 transcript:Solyc09g075965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METTVIDTHTSATVIDSNRPKNQLGIDYHHPLYLHASDAPSSMSIGIPLVGMENYSIWREAMQLSLLTRNKLGFVDGSISRGTYGPAGGMDPTALFTARGSNNKPKKYGVECDFCHAEGNASTAIPATSITSPMFTHEQYTRLLGLLNTEGEQNVSAYMAGISSHTSEVNPNWIIDTGATNHMVGNSHLLIDGTEVGNTGKVQLPNGEHEFFLHLNSPDHIPPSTPSIPALPELPNTSNFPFTESSSVSVDTQPSTDLQSTSTLPSSEVVYFLGIEFARRAEGIVMHQRKYTLDLISDLGLSGAKPANSPLELHEKLTSTELDCLIDTKDDHLLIDISSYQRLIGRLLYLTHTRPDISFAVQTLSQFMHSPKLSHMAAATRVVRYLKKSPGLGIFLSSDCDSTLTAFCDADWASCSNTRRSVTGYMIKFGSSPVSWKSKKQSTISRSSAEAEYRSLASTVAEIVWLVGLFTALNVKIPLPVKSFACLA >Solyc01g097340.3.1 pep chromosome:SL3.0:1:88113763:88117102:1 gene:Solyc01g097340.3 transcript:Solyc01g097340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GDP-mannose 3',5'-epimerase [Source:UniProtKB/TrEMBL;Acc:C6K2K9] MGSSGGIDYGSYTYENLEREPYWPSEKLRISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLADLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIFYNNTMISFNMMEAARINSVKRFFYASSACIYPEFKQLETNVSLKEADAWPAEPQDAYGLEKLATEELCKHYNKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAQTATDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEMVLSFEDKKLPVQHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKSQGVDTATYGSSKVVGTQAPVELGSLRAADGKE >Solyc07g062090.2.1 pep chromosome:SL3.0:7:65042508:65044083:-1 gene:Solyc07g062090.2 transcript:Solyc07g062090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYAAWKELFLGYKCKVQDEPKPWNFWMIMLKSGNMDTTAAICPRNGLPSQPFDQIPRFPCFGKGCMNMPRIYHDYTTLHSHRKHPKVTKLKGGFHGTWELDADMSTAKTQNDTSFFSVTWHKILGKGSWKFHHVLKTSSKYPWLMLYLRSDATTGFSGGYHYETRGMSKIVPKSPNFKVRFTLDVIKGGGPRSQFYLMDIGSCWKNNGQPCDGDVTTDVTRYSEMIINPDIRAVSSGCNPKENLKLCPVYHTFANGTRVHRTDEARFPYDAYHMYCSPGNGMYLEEPFNHCDEYSNPQAQEILQILPHPVWGEYGYPTKKGEGWIGDARTWELDVGRLSQSLYFYQRNVVSWVILQDPFTKPAERHWPSIDLGTEIYVSSNELAEWTVSDFDIIVTDE >Solyc03g120750.3.1 pep chromosome:SL3.0:3:70511342:70515529:-1 gene:Solyc03g120750.3 transcript:Solyc03g120750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRLYTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCASKGIPYFETSAKEGFNVDAAFQCIAKNALKNEPEEEIYLPDTIDVAGGNQPRSTGCEC >Solyc01g090225.1.1 pep chromosome:SL3.0:1:83734120:83744465:-1 gene:Solyc01g090225.1 transcript:Solyc01g090225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEEEIVGEVEALQAVYGDDCLLLQTYPPSFHLHIKPRTADDSSQQFVEAIIGIQAGSKYPDEPPAIRIIDSKGLDEQRQKQLISCISERASELSSCLMLVALCEEAVERLSSMNHPDGECPLCLYPLVAEDSGSSEPFMKLMSCFHCFHCECIIRWWNWLELLKESDAPTASGSASSSGSIRDQDEESRRKCPVCRKSFLAKDIEHVLDFVKTQHAVTSSRSEVNNEDKILSSVSEKLRRAKFDAILKLQQEKGGLIEIKKHEVLRPGIYLPQPAALPSTASTEEAKEQQDKDLAANSRTNSSGSTNKPNTSRARNSSTKKHQGHNSRKQVAQSSRKQVTQWVKKENSNAT >Solyc06g053410.1.1 pep chromosome:SL3.0:6:36272153:36274226:1 gene:Solyc06g053410.1 transcript:Solyc06g053410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSYPTSVMMLEMLISSSEVVVFLCYCYHCFDLVRCGVWTVILVVMLLELDFDDIDASTCTGRSQARKRRRASINCLEASNLPVLSLEKRGGSVFKGYCFSCALKVVGVFLLRALGTVSFILNKIMLTKVLVRVLGIWTSQVPHGFHHTTLSVEKCNR >Solyc01g101070.3.1 pep chromosome:SL3.0:1:90798859:90809706:-1 gene:Solyc01g101070.3 transcript:Solyc01g101070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLVSSDDIESIRMDLSEIGRSFRSSFRRQTSILRSNSALSASEKDDVVDEENMLAWAAIERLPTYDRLRSSVFEEVNGNEANVKTKRVTDVTKLGAVERHVFIEKMIKHIEHDNLQLLHKIRKRIDKVGVKLPTVEVRYKNLTIEAECELVHGKPLPTLWNSLKSTIMNLARLPGLQSEMAKINIINDVSGVIKPGRMTLLLGPPGCGKTTLLKALSGNLDNSLKVSGEISYNGYKLEEFVPQKTSAYISQNDLHIPEMTVRETLDYSSCFQGVGSRADIMIDLSRREKEAGIVPDPDIDTYMKAIAVEGQKTNLQTDYILKILGLDICAETLVGDAMRRGISGGQKKRLTTGELIVGPTKALFMDEISNGLDSSTTYQIVACLQQLAHITDATILVALLQPAPETFDLFDDIILMAEGKILYHGPRNSALEFFESCGFKCPERKGVADFLQEVTSKKDQAQYWHETKETYKFLSVDTLSRKFKESPYRKKLNDELSVAYDKSRCHKNSITFRDYSLPKWELFRACMSREFLLMKRNSFIYIFKTVQLVIIAFITMTVFLRTRMHTDLVHANYYLGALFFALIILLVDGFPELTMTIARLAVFYKQNDLCFYPAWAYAIPAAILKIPLSILESIIWTCLTYYVIGFSPEAGRFFRQLLLLFAVHMTSISMFRFLASVCRTVVASTAAGSLSILFVFLFSGFIIPRPSMPVWLKWGFWISPLTYGEIGLAVNEFLAPRWQKTLSTNTTLGNEVLDSRGLNFDGYLYWISVCALFGFTILFNIGFTLALTFLKAPGSRAIISRDNYSQIEGSSDSSDKADAKENSKTTMDSHEGAGRMVLPFEPLSLVFRDVQYSVDTPAAMKELGFTQNKLQLLSDITGAFRPGVLAALMGVSGAGKTTLLDVLAGRKTSGHVEGEIKVGGYPKVQETFARVSGYCEQTDIHSPQITVEESVIFSAWLRLHPQIDSRTKYEFVKEVLETIELDGIKDTLVGMPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTTGLDARSAAIVMRAVKNVADTGRTIVCTIHQPSIDIFEAFDELILLKSGGRMIYWGPLGRNSCKMIEYFEGISGVPKIKNNYNPATWMLEVTSTSSEAETSIDFAEVYKNSALHKNNEELVKKLTFPPAGSKDLHFPTQFSQNGWGQFKTCFWKQYWSYWRSPSYNLMRSLHMLFASVVFGLLFWNKGKKLDDQQSVFSVFGSMFTAVIFCGINNSSSVLPYVTTERSVLYRERFAGMYASWAYALAQVAIEIPYLLAQALSFTVITYSMIGYYWSAHKVLWYFYSMFCTLLYFTYLGMMLVSLTPNLPVAAILQSSFYTMFNLFAGFLIPKAQIPKWWIWFYYLVPTSWTLNGMLTSQYGDVDTEITVFGDKKSVAAFIRDYFGFHHNQLPIVGVVLIAYPLVFATLFAFFIGRLNFQRR >Solyc01g106550.1.1 pep chromosome:SL3.0:1:94278881:94279908:-1 gene:Solyc01g106550.1 transcript:Solyc01g106550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTFSKLLPKFQMDVQSTSKRFTLDISLHVENPSFSLGLTHNFREISGSISKSNIVQDIISKLRNDPTRFVNGSVKDHANVVVGSSKKRKDKTDVCTVHNDKNEVVGSGSFGHHKAIKFLGQRESILIRINT >Solyc02g069543.1.1 pep chromosome:SL3.0:2:39969027:39973191:-1 gene:Solyc02g069543.1 transcript:Solyc02g069543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAKSNRVRGFWTQSNKWSKPKVEEVDPNVGSVASTIMYAFYLNETLNDDKLCTVPVINMKRSDVHSHAELKWLLDSCFVDESSLIFVDEIDLSYYELYGSLQLVLVNCSKLPANQEEDTPYSSVAAVTIGKEASCCTLIADKFVLTSPEILVGQWFSRLLLAGILMDTGNLTNSQTTTKDKYMTTLLINGAGRFGCNVRYKMHDAADARRGEVSRKDIKRLSKSDAADPRVGEVLDIDIKKLSKINVADPRVGEVLQRDAKKSSKLNAADPGVRENSQRDTKKLSKSDAADHGVGEVLQKDTKKSSKSEETDHRAEEVLQKDIKKLSKSDTAEPKMQEVLQKDNINLPKSDAADPRTGEVLRKDIKRWSKSELEAGKPGGTGSRMGNSNIGMSSVGISIGELLTHHSTSAENIRSFQQLEKLHILLIVSGYYDAEKSFKREILVSAESAELMKSLLHFIYSYANLLPLRALRQSGLPAEMRVFEIEKIVSRKTIEKLLEEFNERAK >Solyc09g009860.1.1.1 pep chromosome:SL3.0:9:3350215:3351414:1 gene:Solyc09g009860.1 transcript:Solyc09g009860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCVPSKLFNKKNLRKNGDDYPDHVVSLTSSTYGVLNLEKDSNFVRNPPLSIKECVKEIKKSPPHEESHEVINTWELMEDLDEEVVVKNSKISPKSRVFLRGFGDIDARSPLKFLNQMSSPRKFKKLSGKENRGRGNETNLVDFSPKNVLKDCKLMQSPWKMPPRLNFSKKGSPNEVKCDSLKVDSVLVSRRRRSLSPLFDPQLVEAFEKEMSAEEEQIKKMVSATPISRKARHSQEAETMLELFEKKCPPEGENAVVIYTTTLRGIRKTFEDCNTARAILESNDVRVIERDISMDSGYKEELRGLMGTKEVKVPLVFVKGRLIGGADEMLKLEEEGKLGILLDGIPRAAATCDGCAGIRFVMCMDCNGSRKLLAKDGKSTVKCGDCNENGLIQCPICC >Solyc02g032590.1.1 pep chromosome:SL3.0:2:29271984:29272837:-1 gene:Solyc02g032590.1 transcript:Solyc02g032590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARVSEKGGSLHIGGAISLVTRKERMEYRSTLPLESQDRSFTQEESENLWKQSAGEVIRRSVYDYQERKYQKKQAWYCGSSSYSLDGMDKETIFAMEGKILFLNPELVALADRERKRDVEITTSKEAENKRHAALQAQLTFLFESGNILPPCPTSNDEGADQEDDENDKGDKESEGDKE >Solyc06g074570.1.1 pep chromosome:SL3.0:6:46279248:46280420:-1 gene:Solyc06g074570.1 transcript:Solyc06g074570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTALFSFLQDLARTVRQIPTRYAPVRHFVLNSSHLLGETSYLRTLFVPRKGGPPYDATDGCPKCKGEAPGIIERKSVHEPAIAFADPNALTRRTIRIRPCMPVPKTDALPLGYTRREGKSPDHQPRLALVTCYVSPLYSQVKGESHASVCGSRSHTLIPTGARSRPALSREDLLGARGGFK >Solyc10g050516.1.1 pep chromosome:SL3.0:10:49865188:49867790:-1 gene:Solyc10g050516.1 transcript:Solyc10g050516.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDPGPLDPSVFTGQLTHRSRDIWIGNDNMILNTKKCDGKFWDLVNEHLIHPRVLDVIKLSGLYGVYRSHRPVIDRSLITALVERWRPETHTFHFRTGESTITLHDVEILYGLPVKGNAVVGYEPQRSVVDWQNICQRLLGFSPQPQDFKHSSLKVSALNAHLRLQPRLPDLATQDMVNEKARCYMFWMIAGLLLADTSGGLLKLMYLPMLEDITTVGSYSWGSATLAYLYSFLCKASQSSQNEIAGFLPLLQIWAWERVTVLTPQIVAKRDTRNIFPVGLPRGPHAARWYAHFSWTDTTKHVLRVFRDALDSMTEDQKCDQR >Solyc02g077590.1.1.1 pep chromosome:SL3.0:2:43046175:43046714:-1 gene:Solyc02g077590.1 transcript:Solyc02g077590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLSCQTQKIHLKCHKKRLNQDQMRLLEISFSSNNKLDSDRKFQLAQELGLPPRQIAIWYQNKRARWKSQSLEVDYKTLQQRLDNALEDNEKLKLEVERLRKELNKNQEVLLGFNTTTTNNYSSISSSCDEVGSTSCLQLHDQSKHNHLDKDFYACLIGDEGHFGTHDGHNFFASSLS >Solyc03g007080.3.1 pep chromosome:SL3.0:3:1637176:1639532:-1 gene:Solyc03g007080.3 transcript:Solyc03g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMTIYVAPTAENNPSVNSTCKKERQQISIPFIWEERPGIPIKDWKPKPVATATTSGAFTFTPPVKLIASVPFEWEEKPGTPLPFFSQTSPHENIVGLPSTVRAVHEGGDDFWAGIGEYIDQRGNHEEDEMTESEVEASDSESIYESFSSAPSSLLANGFIPTVDISSAVPVEQTSPTADIHHTQLQSPLSPTSEAGSSVLSYATGTTSLVGTAFLEKLFPLLSPNTSFLQNCSNPEKGGSHVPPKALNNNQVRENNCSIKVRHPLTLGELIMMSRRRSYQRKTVQMQKQSISKEMMEDDDVVGSCILGIGNSIGELHNKWKRQLQLKLI >Solyc12g096900.2.1 pep chromosome:SL3.0:12:66613806:66616646:1 gene:Solyc12g096900.2 transcript:Solyc12g096900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHASSSKIQKYDAFLSFRGVDIRKTFVSHLYNALVQRGINVFKDDERLETGKSISDELLKAIEESKFAIVIFSESYASSKWCLNELAHIIKCRKELDLTLIPIFFDVNPSDVSHQTQSFAESFSKHEEQYKDDMEKIHRWRDAFAVSGEIKGHHLQNYQDEADCIKKVVDELMTSLHIKSDDEDDDDAGDRGIDSKSTILIEGGKMSFSRIKKTGKKCFMIAARGLDIEWSNTPDYWEWLPHSESRFGEVAKLKWVCWLDIRGKIETQRLSKRTKYVAYLVFKLEDKFHGLETVKAVVRFVDFMSVKEAEQRASVVHFSGRGAKETLPVKRGDGWMELKMGDFFNDAGEDGDVDARLMETEQLEAKGGLIVQGMEFRPE >Solyc05g014820.1.1 pep chromosome:SL3.0:5:9020042:9020555:-1 gene:Solyc05g014820.1 transcript:Solyc05g014820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4BYK0] MAGKEKNLGSNAKRRFRRNKAGLKFPVFRIARFLKVGKYDKGVGAGAPVFSLLCLSTLQLRFLNWLELQRGMKKRLVSLQGIFNWLLGLLRKLYKFLRDVTILNGGIIPKIQKNLLPNNKSNTSKVVVAAQEEED >Solyc01g057460.3.1 pep chromosome:SL3.0:1:60981002:60983603:1 gene:Solyc01g057460.3 transcript:Solyc01g057460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSGDWRTQLFPESRQRIVNKIMETLKRHLPVSGQQGVEELKKIAVNFEEKIYSAATSQQDYLRKISLKMLTMETKSQNSGSSGHNALSPGEQ >Solyc12g056625.1.1 pep chromosome:SL3.0:12:63558656:63559920:-1 gene:Solyc12g056625.1 transcript:Solyc12g056625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNRPENGKPSHASRFLLRFVRSQAWQQAFSSLSSSHRLCSAAPEKGSSRIMLSLCSVVSLSSTS >Solyc05g056130.3.1 pep chromosome:SL3.0:5:66373913:66380469:-1 gene:Solyc05g056130.3 transcript:Solyc05g056130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQLKEKTEESLLFPQKLAMEAMAILRLALVFSILTSFSLLAGSSPSPSTELQIVTAERRIDLTSHIVKVFLTLKVENIGESPASEVLLAFSPTEADRLAVVKAAASAGKKKKKSYVPLDVKPTDLPDGPNGTKYYLIHLLKPLGKGEAISLEVLYILTHSLEPFPVEISQSESQLVYYRDSAKILSPYPIKQQATFLKTPTSRVESFTRVVPTDRANTELRYGPYEEQPSFSYSPIIVHFENNKAFAVVEELVREIEISHWGSIQVTEHYKLVHAGARHKGAFSRVEYQSRPNSGASSFKNLLAELPPRVHSVYYRDNIGNISSSRLRTNFKKSELLIEPRYPLFGGWKSTFVIGYGVPLEDFLFEAADGTRYLNYSFGCPLAETVVDKLTVKVVLPEGSKNPSTVVPFPVEQRTERKYSYLDVVGRTVVVLEKSNVVPEHNSPFQVYYQFSPIYMLAEPLMLTSVFFLFFMACVTYLHLDFSISKIKQT >Solyc12g008400.2.1 pep chromosome:SL3.0:12:1836422:1841884:-1 gene:Solyc12g008400.2 transcript:Solyc12g008400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLYLLVLTFITIWLSSVKGFTNIETDESALIAFKAYITSDYDHILSKNWTPSSNRSSICYWIGVFCSVENENQRVTSLNVSGFRLSGTIAPDLGNLTFLTSLDISNNNFSGLIPNELSNLQRLQEINVGFNDLSGEIPSWFGNLPQLESIFMNDNTFDGLIPPVLGNNTKLKRLVLSYNMLHGNIPQEIGNLSMLIIVDTKYNVLTGSIPSELFNISSLKSIDLTGNSLTGGLAPDICSNHRLVELQGIFLSANQLHGLIPSTFHLCKELQDLSLSYNQFSGKIPDEIGYITKLKTLYLGINNLIGGIPEYLGNLTYLEMLSLRGGSLTGQIPQALFNMSSLKQLDLSNNSLSGSLPSVSSQCNLPHITGEIPENTFRCKRFEVIQLADNMLTGSISKDIRNFTFLQILNLAENNFTGRLPAEIGSINLKKLNVHGNHLSGVIASEVFNISTLQILDLNRNRLTGTLPSGLGLQFPNLQELYLGENELTGSIPSSISNASQLATIYMSLNSFTGSIPNLGNLRLLKRLFLAENNLTEGTSKGELKFLSYLTNCRHLETVDVSLNQLNGVLPSSLGNLSASLQIFSAFGSKIKGTIPVGVGNLTSLTGMYLDSNELTGVIPNTIGKLRNLERIYLEYNRLEGHLPTDICQLSKLGDIYISHNMIRGAIPACFGELKSLQRVFLDSNNLTSTIPLNFWNLNGLVALNLSTNSFKGYLPSEISNLKVATDVDLSWNQFSGDIPSQIGSAQSIVYLSLAHNRLQGPIPESLSNLISLETLDLSSNNLSGMIPKSLEALRYLRYFNVSVNELEGEIPSGGCFSNFSAESFRQNHELCGVARLHILPCRTKHSKSKTVSSLIKYVVPPLLSTILIVTVVLILIRKRNQHVKMKMEESQLAAILSPIAYLRNVSYLELVRATHSFSESNLLGKGSYGSVYRGELNDGTDVAVKVFNTLTEESTKSFYAECKILSNIRHRNLTKILSCCSTPDFKALVLDYMPNGNLEKWLYSQHCCLSMLQRLNIAIDIASALEYLHCGLTTPIVHCDLKPNNILLDEDMTAHLCDFGIAKIFEQDMHMAQTKTLATIGYMAPEYGTHGIVSTRGDIYSYGIILLEMFTGKKPTDDMFGETMNLKCFVGESLRRKSLMEVVDSDLIRDVHQFSEVIQQFVSSIFCLGLECLKDCPEDRMSISNVVDSLRKAKIEYLAIR >Solyc01g008415.1.1 pep chromosome:SL3.0:1:2435750:2436927:1 gene:Solyc01g008415.1 transcript:Solyc01g008415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQIHYTTFLVLLFCFLMIASMEVEALGCEKPSTTWSGPCLFSDECNNQCINWEGAIHGECSFALGPECFCYFC >Solyc01g106630.2.1 pep chromosome:SL3.0:1:94321306:94324378:-1 gene:Solyc01g106630.2 transcript:Solyc01g106630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSHNMYKKIFLVTYSCPI >Solyc05g050085.1.1 pep chromosome:SL3.0:5:60931133:60931454:1 gene:Solyc05g050085.1 transcript:Solyc05g050085.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLILSPTTHEVLHHHGPLLLSQSSYIKDILHRSHLQDAKPLRTPADSTATLTRDGPSAPDATLYRSILLCC >Solyc08g078630.3.1 pep chromosome:SL3.0:8:62510290:62516453:-1 gene:Solyc08g078630.3 transcript:Solyc08g078630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEPTSRRDKESYNKMNKNSFSNVRCPHCAGPLSKEMETSEWTVPPLIRDSFSMIGSAVGGTTSAFYGFNHVMPIVRRWVKGPMWLHFLVGAPPVIVFSSACAGIAGGAVPAFTQLASSSYHAAISSSTLPPTASQDENMRKSTTSSTL >Solyc06g059760.3.1 pep chromosome:SL3.0:6:37758731:37774015:1 gene:Solyc06g059760.3 transcript:Solyc06g059760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPTGPPNSLGGSQPVPSSLLRTNSGVMGGQGGSMPSPGGFPSMVSPRTMFGNMNMLGNAPNVSHQSFANGGPNAGLAGPGNSQRGPVDHGAETDPLSGVGNGMGFSAPSTSFMSSAMATNPNSSQVQGQQFPNPSGNHMLTDQQRSQQLDSQNVQHNQQLQQFSSPINSQTQQQQHHFQSMRGGLAPVKMETQVTNDQTPQQLQALRNLAPVKMEPQQVQSMRGLAPVKVEQQQSDPSLFLHQQQQQQFLQMSRQSPQAAAAAQLLHQQRLMQFQHHHQLLKTAPQQRNPLQQQFQPQNLAVRPPVKAVYEPGMCARRLTHYIYQQQHRPEDNNIEFWRKFVAEYFAPNAKKKWCVSMYGSGRQTTGVFPQDVWHCEICSRKPGRGFEATAEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRLVFSQPDLKIVSWEFCARRHEELIPRRLLIPQVTQLGAAAQKYQAATQNASSSASVSELQNNCNMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRNGTSAGVQGPVQSIEDQTQQPQQQQQQQQHTHQIVSSSNHETTSQPGVPPLPLSNGMSNVHNSVNRVPATSSSGTVVGLLHQNSMNSRQQNPMNGGSGTYSGNTVQMPSPNSSSTMPQSQPNSSQFQSPTPSSSNNTPQASHSGLSSVQHMNSANSPKISMQQPAHSNDVDANDSQSSVQKIIHEMMMSSQLGGSGMVGNGIIGNDMKNGHGMLATSNNSLLNGSNCLVRNGTANANSTGVGAGFGSMNNGLGQAAMVNGMRAALGNNPSVMNGLGGITMARERNMSQQQQDLGNQLLSGLEAVNGFNNLQFDWKTSP >Solyc03g005570.3.1 pep chromosome:SL3.0:3:419011:421370:1 gene:Solyc03g005570.3 transcript:Solyc03g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRAPSCEKTSLKKGSWDHEEDQILISYINKNGHGNWRALPRQAGLLRCGKSCRLRWMNYLSPHIKRGNFTKEEEDNIINLHEILGNRWSAIAARLPGRTDNEIKNIWHTHLKKRLKNCQSPRSFKRHKKINQINNCMHAPNSPEISSSETSIMTTNSSSSGTFDTTIDDQIVIKQEEMDSSEYFAKIDESFWTDELTIENNFDFVTNMDGEEFESLDMFNSTTIMEDDIDMDFLFNFFIKTEEFSELPEL >Solyc08g006760.3.1 pep chromosome:SL3.0:8:1330693:1337923:-1 gene:Solyc08g006760.3 transcript:Solyc08g006760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKVVNHGIQESLMNKVIDGTQGFFNLREEEKKEFEGKHVLDPIRYGTSFNTSKEKTFFWRDYLKVFVHPKFHSPSKPDRYSDIMWEYCEKVRQVTKVLLGGISQGLGLEECYLEKTLEVKSGFQIFIANYYPSCPQPELALGMPPHSDHGLLTLIIQNQVGGLQVQHQGKWIHVNALPNSLLVNTGDHLEIFSNGKYKSNIHKVVVNNTSPRISVAVAHGPSLEAIVSPASPLIQSETNYTPMKAFFLGGYVPSEPEMKRSVEGFNGISFVDEEESKDFVSKKRKLQAAKLKKESILAEILFLKQRRRYLLKSQSSDDDNEKNISRFKKIFDAENEVPKVERSNSGYDAVVESARPAFTSKFNSEHHEVGYEELSAGVDVLRMECVPKNYLIDDNNRVGKKELSWHGQVTLKV >Solyc09g063090.3.1 pep chromosome:SL3.0:9:61301791:61313673:-1 gene:Solyc09g063090.3 transcript:Solyc09g063090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEIDYPTQAVNSPRNPDTRPHFLRNVSLSIPPTTLQGREASSGVAGSFSVFAFCNPFRSHLSKFRKGLFDVHFGIYALHCAISGIKMNGFQNGRIHTHDKPSPGCLGRMVNLFDLNSGVTGNRLLTDKPHRDGSLSRSQSDLVRLPPSSEDQVEEKMVVSDLKRTNSNRKSNGMPMKMLIAQEMSKEVGSGHNPPSVVAKLMGLDAFPQKSVPAIRNHFGGHSRCHTDSSFSYCQEENESLTEELQQELHQYPEQNEYKDVYEVWRHPPKMNSVRSESPQKARHDDQISFEKKSAFVRQKFIEAKCLSIDEQLRQSKEFQDALDVLSSNTDLFLKFLQEPNPMFTQHLSNLQSIPPPPETKRITVLRPSKMIDDCKFSGSVKKNEKDISRAIHIVQGNKAKSHMTFSPPIANWNIHENHAQPTRIVVLKPSLGKTHNFIDASSSPSASPRVSQTETSFVHMEVDEAQESREVAKAITQHMRVNIGGHQRDETLLSSEFANGYIGDESSFNKSEKQYAAGNVSDSEVMSPASRHSWEYINRFGSPYSCSSLSRASYSHESSVSREAKKRLSERWAMVASNGSCQEQRQMRRSNSSTLGEMLALSDIKTTRSIEQDNIKEDPQISNSNSPSNSKDDEGNHKSPKNLLRSMSVPVSSTAFSSQLNVGAPETVTGENDLSKHTTKSRSTKSSLKGKFSNLFFSRAKKPNKDRAKCLQSNDDLHSGPKPLRSLSEIDKYSGQFLDDPGAECSRTNLRESSCALTCEDLVEKQTTISPEVVFSGSRSVCARYLCENQDQPSPISVLETPFEEDDHLACISSGGIKPDRHGAELSVHSLRSNLIDKSPPIGSIARTLSWDDTCADTASSVCVRPSSSTQRTEEVEREWFSFVQTLLTVAGLDEVQPDAFSTMWQWHSPESPLDPSLREKYIDLNEKETLHESKRRQRRSTQKLVFDCVNAALLEIAEYGADNFQKAIPYMGVHNNLPQGTTRLVLLEQVWDWMKEWFSSEMKYLSTDGGDLNSLVVEEMVGKEVMGKMWLGNLRIELDNVGVEIEEKLLEELVNESVVELTGKM >Solyc06g005530.1.1 pep chromosome:SL3.0:6:574531:575418:-1 gene:Solyc06g005530.1 transcript:Solyc06g005530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGVWIFDKKGVAHLIKNPTRESFELKQPTHPGTGTATAPGARPRVLVYLPENEMIGSYEELEKRLIEIGWTRFNNPMKSDLLQFHKSDDSAHLISLPKSFTNFNSHNMYDIVVKNPSVFEVRDVKVCDHLI >Solyc12g036850.2.1.1 pep chromosome:SL3.0:12:48491453:48492274:-1 gene:Solyc12g036850.2 transcript:Solyc12g036850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTETHELGTMKQPAPTMAEINQISQPVAPKSEQTRIPIQTSNLKFKIPGNFNVFIQYMVEISNNFITFR >Solyc01g103185.1.1 pep chromosome:SL3.0:1:91731511:91733506:1 gene:Solyc01g103185.1 transcript:Solyc01g103185.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPYSRFAVDALGVITIILVLILVLAGLFCILYLIYFHTKIRGQGYNQLGYFHGPWIIRIVFILFAIWWGFGEVVRLNLIRGEGRLLSAFGFRWQETVCKCYIVSSLGFAEPCLYLTVVFLLRASLQKSGTLSQKWNGKTVGYILLFCLPVFALQLVLILAGPQLEKNGLKHLPEYFTSPVKQSEDDVALCTYPLLSTFCHGLFAIMLTSYLVERICFELKGKKMHPPLTLHRHPLCADIIEEFQKCHTDHPLGKFLGQCTELKVKLDRCFRQEKAIKRKANFEQSKKLKERLQAYRKETAEMQS >Solyc01g079570.3.1 pep chromosome:SL3.0:1:78501015:78506919:1 gene:Solyc01g079570.3 transcript:Solyc01g079570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-L-arabinofuranosidase/beta-D-xylosidase [Source:UniProtKB/TrEMBL;Acc:H1AC31] MSGGNSITKSTSSLFIFIFLFVSIQAARPPFACDQKNRAFRNFPFCQTNLPIGDRVRDLIGRLTLQEKVKLLGNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTKFGGEFPGATSFPQVITTAASFNASLWEEIGRVVSDEARAMYNGEMGGLTYWSPNVNIFRDPRWGRGQETPGEDPVVAALYAERYVRGLQGNEDGDSLKVAACCKHYTAYDLDNWGGVDRFHFNAKVTKQDIEDTFDVPFRSCVKQGKVASIMCSYNQVNGIPTCADPQLLRKTIRGGWGLNGYIVSDCDSVGVFYDTQHYTSTPEEAAAAAIKAGLDLDCGPFLSQHTENAVHIGILKEAAIDTNLANTVAVQMRLGMFDGEPSAQQYGHLGPRDVCSPAHQELAVEAARQGIVLLKNHGPALPLSPRRHRTVAVIGPNSDVTVTMIGNYAGVACGYTSPLQGISKYAKTIHEKGCGDVACSDDKLFAGAVNAARQADATVLVMGLDQSIEAEFRDRTGLLLPGFQQELISEVSKASRGPVVLVLMSGGPVDVTFANNDPRIGAIVWAGYPGQGGGAAIADVLFGAHNPGGKLPMTWYPQEYLNNLPMTTMDMRSNLAKGYPGRTYRFYKGPLVYPFGHGLSYTKFITTIFEAPKTLAIPIDGRHTYNSSTISNKSIRVTHAKCSKISVQIHVDVKNVGPKDGSHTLLVFSKPPVDIWVPHKQLVAFQKVYVPARSKQRVAINIHVCKYLSVVDRAGVRRIPIGEHSIHIGDAKHSLSLQASVLGVIKS >Solyc02g078130.3.1 pep chromosome:SL3.0:2:43477569:43479618:1 gene:Solyc02g078130.3 transcript:Solyc02g078130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQYCSNKPSSSSSCKAADRKTIEKNRRNQMKDLYMKLNSLVHHDQHTKEFLSLPDQLEEAANYIKKLQIDLEKMRLKKEALTATAGTLNSNSNSSSNTDGRTLENTLPLPHIDIHNVNSALEVLLITGYDYHFMFNHIIRMLHEDGVQIISANYTLVGHTIFHSIHSKVGESATSSTAKIISEKLKQFVGAAAT >Solyc10g007130.3.1 pep chromosome:SL3.0:10:1518287:1526974:-1 gene:Solyc10g007130.3 transcript:Solyc10g007130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRPPSNGGPSFRFNPAEVAEMEAILQAHNITMPAREVLVDLAEKFSSSSERSGKFVVQMKQVWNWFQNRRYAIRAKTAKSPGRYIAHPVPPSDPAAVKAPAKLSASPMPHSDPAAGRIMPQAPQPIPAPQVTVRTMPQVPQPLPAPSVAVQSVGRSASDNTQMEFEAKSARDGAWYDVASFLSHRSVENGDPEVLVRFAGFGAEEDEWVNVRMHVRQRSLPCESSECVAVLPGDLILCFQEGKEQALYYDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKVCRRPETDYRLQQLNAESVKQQKIGNDPTTGNTMKVYPPADTPQKAQTESKMKLAEPTQKQPAPEYTIKPEPNVFPMPQKPRTDSLTGNTLGETTPKLMEEMAEKPVAETAEAPQEEKIETPLAEITNKAPAEAPEQPPAETTPKPLADSTSKPAEATEVLPAETTPNPLSVSTSKQAEPTEETPAETTLKPPAASTLKPDEAPEQPPAETTPEPPASSTSNPVETPKNPPAETTSVEETTLEPPAETTPEPPADATSKPAEQSEEPPAETTLEPPADSTSTPVEEASEEHVDAGPPAASTDQDSADV >Solyc09g074390.2.1.1 pep chromosome:SL3.0:9:66614180:66615127:1 gene:Solyc09g074390.2 transcript:Solyc09g074390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YIRTRIPSRTWCDLYPELLSEIAESLGIIDLLGFRGVCKDWRSASFTASASIESTSLNSKLCFLLHNDRDENTILFNPTTNKSYTINIPELKQATCLASTQGWLLISQRGNIFFFCPFSRVKIDLPPIQGLENTPAVAAFTSPPSSTDCVTAIIYKKNDDIVEVNVLERGASMWIKYDYDLKFYQKSFGDAKCATFQQGCLYVMDSFKKLLTFRLEDKRFEMFIIVDHTTNRDQNMETLSFRYKDKHFSRSNLKKQMNLGDDVTITTCGATYFGRDVEVLIHNENIEAMEGTNAQHFKGIWIQPRYFQLPPNYTW >Solyc04g018035.1.1 pep chromosome:SL3.0:4:9062425:9062857:-1 gene:Solyc04g018035.1 transcript:Solyc04g018035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPPELEEQRKQFKELLKADQISSSKAPYGMPMLLQKKLGQDKNFTKMDLLKGYYQVRIAEREKPKTTCMKRYGAYEWLVISFGLTNTPATFCTLMKKIFHPLLGPVRGGVF >Solyc03g112990.1.1.1 pep chromosome:SL3.0:3:64777738:64778466:1 gene:Solyc03g112990.1 transcript:Solyc03g112990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESINLVKGYGKVNPSEDPSSYSNPRAAHKSRLTIAVSLTLFLTLFICAFVGAFIHAYDSDGRTPSPSSSSADSLKIVCAVTQHPGSCFDSISSLNSTSTKPDPEQFLNLSLQATVKELTGISSLPKTLLSKINHPGTVSALKDCISLFDDALSQLNQSAELLNVGTGESALTVMKVKNMQTWISAAMTDQDTCLEGLDEMGSPLLGEVKARVQKAKEYMSNTLAILSNMPNLLKKFGISMH >Solyc01g088040.3.1.1 pep chromosome:SL3.0:1:82763872:82764239:1 gene:Solyc01g088040.3 transcript:Solyc01g088040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RAVEENWCIDRRDLSMSLMKGEPLVRKIALIAKYAVFPGAMAAAVIYSPPTYASYFKPQSSASK >Solyc10g012200.1.1.1 pep chromosome:SL3.0:10:4572252:4572755:1 gene:Solyc10g012200.1 transcript:Solyc10g012200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNSNVDSAVAKDKRAEGGKILKMQKALFKKASDLSILCGIQVAIIILFINRQPIVFGKPDAELVIHQFIEANHPTAPRFYMKMKKKEEENKKKGKSVEDDIQSQDFESPYLESLLKLYEGLREFENQLTKEMDLKQLNQEIEKHKDPKLMNVASSSTLPTNFNL >Solyc01g014495.1.1 pep chromosome:SL3.0:1:13922481:13925855:-1 gene:Solyc01g014495.1 transcript:Solyc01g014495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESGRSSESPEVAKKGKSKTPRKPKDSILKQKSPAEFFADNKNIAGFDNPGKCLYTTVRELVENALDSAESISELPVVEITIEEIGRSKFNSMIGLADHERRDEALYDDFETAKAREKRLAKEARVLEIQAKNASLGKKVKDPAATKAAKGREASYYRVTCKDNGRGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEITSSMNSQNYTSFCRLDIDIHKNVPHIHIHEKRENKERWHGAEIQIVIEGNWTTYRVCSS >Solyc10g045600.2.1 pep chromosome:SL3.0:10:34771368:34779155:1 gene:Solyc10g045600.2 transcript:Solyc10g045600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACISVQCLVLLPTLAILLSLFTSVESSIHIYNRDPFREVGNAYLVSGGSEGVASSRFVPPPRRPFRSTAHDGLSYIRFENITFWRSKAAADQHPTMAYSSGLIQIIIFEAADRDNIGGSPYGGQRSICCTADLAKLEGCKQGEVIRTPSVTDANWPMVLNVNFKGRQLSVQLKKKEVYIKKTGMYNLFFISCDPKLKGMKVSGRTVWKNPDGYLPGRMSPLMKFYAIMTVAYILLTAIWCLQYVRHRQDVLPLQYCITGVIALGLLEMIFWYFDYAYFNNTGARPVGLTSWVVTIGSTRRTVSRLLILSISMGYGVVRPTLGGLTTKVLLLGITYFVASILLNITEYVGAINDIAGRARIMLVLPDALLDAFLILWIFTSLSKTLEQLQAKRSSVKLDIYRQFSNALAITVIASVAWIGYEVYFKATDPFNERWQGGWIITAFWDILAFGLLCVICYLWAPSQTSQRYAYSDARGEDEDEETESLCKETPQGDIGLIKMDKKEDSESSDVEDDTQEGKRE >Solyc03g059312.1.1 pep chromosome:SL3.0:3:30929659:30932341:-1 gene:Solyc03g059312.1 transcript:Solyc03g059312.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYLNDIVIYNNILEEHSDNLKKDSQEVDNNPVNTRLYRYSFTQKDVIEKLVKDMLEQGIIQPSFSPFTSSVLMVEKNDESWRLSMDYRGLNMVMIKVKFRIPIIEELLEELGGSQINSKTELKSSYRQIRMAKEYIIKTAFKTHERHYEFFVMPFGLNNAPSSFQSLMNHFHFEIEYKKCPENKGTDSLSRV >Solyc12g038035.1.1 pep chromosome:SL3.0:12:49396362:49397037:-1 gene:Solyc12g038035.1 transcript:Solyc12g038035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAKPVKESGTSDRGLFLRKESPLLFHAYSDSDWAGDPDDRSYTAAYVVFHCCNPVSWSSMKQRIVARSSTEAEYRAIASTTTELCWVRNILNELAVTPAKIPIIYYDNLGATYVCSNPVFHSRMKHIELDYHFIRKLVQQGILRVSHVSSKDQLADMLTKPLPSGSFDLLRSNIDISG >Solyc12g088460.2.1.1 pep chromosome:SL3.0:12:64804165:64805834:-1 gene:Solyc12g088460.2 transcript:Solyc12g088460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTLPSTKDTLLQHFSINNDHQVSKVIKKSCLLVFASTMEISYYTLIFTFIYILKNHFLRKFQNLPPSPFISLPIIGHLYLLNKKPLHKTLANITEKHGPLLYLRFGSRPVLVVSSPSLAEECFTKNDVVFANRVNLLAGKHIGYNYTTLVWASYGQHWRNLRRIATHEVLSTQRVQMFADIHRDEVRALLQRLLRGKTSGGDTNTNVVDMKAVFFEMTLNIMMMMIAGKRYYGDSAGKLEESRRFKEIVIESFQVSGATNIGDFVPLLKWIGVNKLEDKVKLLQEKRDKFMQELIEEHKNRRKGSYLEQKNNTMIDVLLSLQDSEPDYYTDEVVKGMGIVMLTAGTDTTASTMEWALSLLLNNPEALKKAQNEIDTHLGESSRLLDESDLAQLPYLHGIINETLRLCPAAPLLVPHESSDECVVGGFRVPRGTMLLVNLWAIQNDVKLWDRPNEFMPERFIDFKGQRDGFRLMPFGYGRRGCPGENMAMHVAGLALGSLIQCFEWERVSEELVDMTGGPGLTMPKAIPLLAKCRPRQNIDNLLTHL >Solyc03g005100.3.1 pep chromosome:SL3.0:3:70428:75006:1 gene:Solyc03g005100.3 transcript:Solyc03g005100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVAVKLYSVFFKFMLKHRLQNRIQIDDTINGSQHFGVTSRPNEESIAASNPLFTDGVATKDLHIDPGTSVSIRIFLPETCLGSHESDLKSKSRIRVSGSDSNQGLLRRNSYGNQTHVQKNGFNHRRSSLGSVADELSLKSENGVYRGYSPVTGKKCRKLPVMLQFHGGGFVSGSNDSTANDLFCRRIAKLCDVIVLAVGYRLAPEDRYPAAFEDGLKVLHWLAKQVNLAECSKSVGSRRGGGTDLKKSDTYGHIADAFGASLVEPWLAAHGDPSRCVLLGVSCGGNIADYVARKAVEAGKLLDPVKVVAQVLMYPFFIGSVPTPSEIKLANSYFYDKTLCTLAWKLFLPEGEFDLDHAAANPLTPGRGPPLKRMPPTLTVIAEHDWMRDRAIAYSEELRKVNVDAPVLEYKDAVHEFATLDMLLKTPQAQACAEDIAIWVKKYISLRGHEFSY >Solyc10g006450.3.1 pep chromosome:SL3.0:10:1049196:1052879:-1 gene:Solyc10g006450.3 transcript:Solyc10g006450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVRSEGMKIPWMNLINCIVLVVFCYSIEVCNGQDYGGGDEAVKAAPPPAQENCDGVFVTYAFDNREREYPFVKNVTAQAWAFTSMLTVINTGLFELKSWKVQIGFQHNEVLISTEGAVAMGGDGFPVKTGKNGTVLAGFPQSDLKTAIETAGDFTQMAAQVKIKGTQFGVPAKATPMPKSINLINDGYKCPAPKKFKTFMQMCCKKDPKFKPKNTSIKFMERQNGDLSFTYDVLSAFENKYQAQVTIDNISPLGRLDRWNLTWEWMRNEFIYSMKGAYTHKKDPSECIYGPQGQYYKDFDFSVVMNCQKKPVISDLPPEMENNDKLGKLPFCCKNGALLPTTMNGTKARSIFQMEVFKLPPDMNRTALYPPQNWNIVGRVNPSYKCGPPIRVDPTGFPDPNKIGITLNAVASWQITCNITRPQPKQAKCCVSFSAFYTDSVVPCNTCACGCEQPSKCDGNGKALPLPAQALLVPFQDREKLAKEWNRIKHLGSMPKKLPCPDNCGVSINWHLESNYKTGWTARMTLFNWGNDAFVDWFTAVQMKKNIGDGYENVYSFNGTKLPQRSNTIFMQGLKGLTYLVGQVNGTNPNKDPKVPGKQQSVISFLKKNTPNANIEGGDGFPSKVIFNGEECALPPNIPKSHAAFKSQVGLLPAVLLALLTFLLLTEQFH >Solyc10g006150.3.1 pep chromosome:SL3.0:10:872962:876081:1 gene:Solyc10g006150.3 transcript:Solyc10g006150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding APSSKIFTFIKLHTKTISIDMIKISQVLKLLLIIAALLIITLLLSTSVRTTYLYFIVHLLIISLGAEAGLISFLSKSPYDKKLPSTNSIITQKQHNMTTPVIAFLPSLGTSEHQETTPQVKHDHIASLESSLLITTKKNTGKVVKKCASEKIIGVAKVHEQTQVKKCPSMPNLFFIAGTGEEIGEVEEILKEEKYTEREEAGDQLISNQELFYKAETFIGNFYKQLKIQREDSWKRLHDFYDKAQPNMIIA >Solyc03g051645.1.1 pep chromosome:SL3.0:3:22340942:22342047:-1 gene:Solyc03g051645.1 transcript:Solyc03g051645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFCSKDVIVDLTSIWWNGQITLRDQGWMFIPLFVDVFESLGAPLNVAKPIKDSSVAADEAKISSASRIICVDLNTT >Solyc01g079310.3.1 pep chromosome:SL3.0:1:78247871:78253771:1 gene:Solyc01g079310.3 transcript:Solyc01g079310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGRVSSLVTILIFAQTGILYSHHEAAHWSCDSNADTHVQAHFNPGRITLDGQVDDWKDMDGSAFSVLPALDPDADKAYNGGKMTVKASHDGKDVFFMLQVDGDYTYSEGYSNKTASVALMFQIGENASYHRMGGCEEEPDTCTSKSCKGHEVDLMHFSIGKAIPGRLYGGNLLDNSEGNGGDRFGHLVDLYCWNPHCRYLDGYGPSVNDTSAQNDWKGTWWHSSLTHSGFIKDDSPYSSSNQSGAYYFEFSRPLRTMDRLQQDAQFTIGKTSKMSVAFWYPENGKPWHGSGHFSISCDWILLDILADSSELTKVPQRSSWDAATAFSLLFSVVAFCISIFVGHRVSRTKNIPFTPMSNL >Solyc04g054280.2.1 pep chromosome:SL3.0:4:52211227:52214268:1 gene:Solyc04g054280.2 transcript:Solyc04g054280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPW9] MERDLNLRLGLPGREEDQYDHQQIMSISKNNKRALSEYEDDEATTPRVTKVQIVGWPPVRCYRKNTLQNTTKQTEDQCGIYVKVSMDGAPFCRKIDLKMYKCYTQLLKAMEKMFKLKKGEFSPTYEDKDGDLMLVGDVPWEMFMSSCKRLRIMKRSEARGLGSCGL >Solyc03g095680.2.1 pep chromosome:SL3.0:3:58275665:58315906:1 gene:Solyc03g095680.2 transcript:Solyc03g095680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEHDECDGLATSRGCHXXXXXXXAEARYGYQVAAHADIGLASGLDESSSSARKLSRFDVASFYEAIKPSKEEPMLDDDLPGLLPKLRPYQRRAAYWMVQREKRNSDGSLLSKINHFISPLCMPLSLIDTPITIYYNPFCGNVSLHPESTPPVVPGGILADEMGLGKTVELLACIFTHQVASSSIGNFTGEFLCDEGQKNSLKRLKRERVECICGSVSESIRYKGLWVQCDACDAWQHADCVGYSANKRYKKSKAILTEQQSTGNMHKHAKRKNGVKIVEMEDGYICQPCSELIQACVAPVGSGATLIVCPAPILPQWHAEIVRHTSPGAMKTCIYQGVRNNSLSQTPLPDINELLNASIVLTTYDVLKEDLSHDSDRHEGDRRALRFEKRYPIVPTLLTRILWWRICLDEAQMVENNAAAATEMALRLHGVHRWCITGTPIQRKLDDLFGLLRFLNASPFNTLRWWTDVIRDPYERGDSRAMTFTHDFFKHLMWRSSKVHVADELQLPPQEECVSWLYLSPIEEHFYQRQHDTCVNDARELIGSFKNDIYKRKIPGSQLEDAASDVVITNIEAAKLFNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILSVLVSKTKVEGEEALRRLVVALNALAGIAIINQNYTQAVSLYQEAMALAEDHFEDFRLDPLLNIHITHNLSEVLPLSSDSSQKLECAPGSTRGEVSNIEDAEESDKGALLREDKVKEESMLLTNSNGPSNLMSNSLENCSVDENSVNRLNFLSKSTMTIACEKLKEKFLCVFNLKLAGAQQEFKKSYDQVCNAFSDRKNQYTAWWLEALHHIEQNKDSSNELIRKIGEAVSGTLNTSRASKVASCFHSITALKIYIQSGLDSLESSRESLLVKLLEIDQTMGNPRKEDIARVRYCPKCYADSEGLLCVHCELNDLFQVYEARLFRLNKGKSGEVITSAEEAVDLQKKKSQLNRFYTTLARTDRNSGSATIEYEDFGKKRDLENIVVSKAPSDLEVVLVLIKSNSRGLLDAEGVSAARKQLQLLEGMRKEYAQARLLATAQAHVLRAHDEIMMATSRLRLKEDENDKSIDALDPGELDAANVEWSSEKFLFLSSLSRIKGQLRYLKGLVQSKQTNHLASSENSNVTRATIVTAAHAEEKKEHQAIIEEDTCPVCQEKLNNQKMVFQCGHVICCNCLFAMTEKRLALHGKPQVSWLMCPTCRQHTDCRNIAYAVDRRNMSCPSSSIASENSEASTNVQGSYSTKIEAVTRRILWITSTNPVAKVLVFSSWNDVLDVLEHAFAANNITFVRMKGGRKSHAAISQFRGHNNNVEENGKRHVGQPETRSIQVLLLLIQHGANGLNLLEAQHVILVEPLLNPAAEAQAIGRVHRIGQAHKTLVHRFIVKDTVEESIYKLNKSRNIGSFVSGNRKNQDQPILTLRDVESLFRVAPAPSTDEEATESLNHFPPSVAASIAAERRLREQTSGQEPQENCCC >Solyc03g007050.3.1 pep chromosome:SL3.0:3:1613105:1624469:1 gene:Solyc03g007050.3 transcript:Solyc03g007050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEVSLKMKFRSQALLLVLLLVFPIVLALTEEGKALMSIKASFSNVANVLLDWDDIHDEDFCSWRGVLCGNFSMSVVALNLSNLNLGGEISPDIGELKNLETLDLQGNKLTGQVPDEIGNCISLIYLDLSDNLFYGDIPFSISKLKQLELLNFKNNQLSGPIPSTLTQIPNLKTLDLARNQLIGEIPRLIYWNEVLQYLGLRGNMLTGTLSPDMCQLTGLWYFDVRGNNLSGIIPDNIGNCTSFEILDISYNQITGEIPYNIGFLQVATLSLQGNRLTGRIPEVIGLMQALAVLDLSENELVGPIPPIFGNLSYTGKLYLHGNKLTGPVPPELGNMSKLSYLQLNDNQLMGRIPPELGKLDQLFELNLANNKLEGPIPENISSCSALNQLNVHGNNLNESIPSGFKNLESLTYLNLSANKFKGHIPSQLGRIINLDTLDLSGNNFSGSIPGSIGDLEHLLTLNLSSNHLDGQIPVEFGNLKSIQTIDMSSNKISGGIPKELGQLQTMITLTLTGNYLTGAIPDQLTNCFSLTSLNISYNNFSGVVPLSRNFSRFAPDSFLGNPFLCGNWKGSICDPYAPRSNALFSRTAVVCTALGFIALLSMVVVAVYKSNQPHQFLKGPKTNQGSPKLVVLHMDMAIHTYDDIMRITENFNEKFIIGYGASSTVYKCDLKDSRPIAVKRLYTAHPHSLREFETELETIGSIRHRNLVSLHGYSLSPHGNLLCYDYMENGSLWDLLHGPSKKVKLDWETRLKIAVGAAQGLAYLHHDCNPRIIHRDVKSSNILVDENFEAHLSDFGVAKCIPTAKTHASTLVLGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKPVDNDLNLHQLIMSKADDNTVMDAVDPEVSVTCMDLMHVRKTFQLALLCAKRFPCERPTMHEVARVLVSLLPPPPTKPCLDPPPKSIDYTKFVIGKGLPQVQQGDNSSEAQWLVRFQEAISKNSL >Solyc06g073950.3.1 pep chromosome:SL3.0:6:45841789:45852226:1 gene:Solyc06g073950.3 transcript:Solyc06g073950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDNSSVTAAPSPSSEKTSAIEDALLKQFFAEVSEVERDNEVIRILSCFKLNPFEYLNLSFDSSIDEVKRQYRKLSLLVHPDKCKHPQAKEAFGALAKAQQLLLDQQERDYILSQVVAAKEELRSKWKKQLKKDTASKLKSLVTEGKYDQEHEQSEEFQQQLKLKVREILTDQEWRRRKMAMRISEEEGRLKKDEEETKEMWKRKREHEEQWEGTREKRVSSWRDFMKGGKKAKKGEIRPPKLKTEDPNKSYVQRPVKRG >Solyc08g007750.3.1 pep chromosome:SL3.0:8:2250610:2271545:-1 gene:Solyc08g007750.3 transcript:Solyc08g007750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEGILTDQSDSSCLGVIEGGEFTVSSPSTGISSAIEKGKLYGIRYFVIKSLNHENIQLSVNRGIWATQAMNEAILDEAFHNSSKVILIFSVNMSGYFQGYAQMISSVGLRRDQVWSQGNGGRNPWGRSFEVNWLRLYDLPFQRTLHLKNPWNQDKPVKISRDCQELPPDIGEALCELLEGQDSMDVDLKMDTFARNDLSSKRPYVEPSLHLGFQDCNASLVPNGTMFYPSSLYQHQIDASRLQVAPVRINGVFSAEESAIASVESKSRQSRHSKRNRSLANLHVDTGMGPQINMLGLPAERSPLSSNLTEVDILEMTYDEYLEACSRGSKRSPHPVSGPSRSTQRSLASEVNCDDSQSGCSSKKRRSH >Solyc01g108020.3.1 pep chromosome:SL3.0:1:95290995:95295331:-1 gene:Solyc01g108020.3 transcript:Solyc01g108020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASASCATPYSKSPSSLSRSLSLQYRELCNLPYKCKQHQNVRIGLSVENDKGFGTWKTSSPSSLRVFCIRDTQAAAVTAKSWDKLILGSDTPVLVEFHATWCGPCQMVHRVIDEIAGEYSGRLKCYVLDADREPKVAENYDIKAVPVVLLFKNGEKFESVIGTMSKEFYVAAIERLLSS >Solyc01g086650.3.1 pep chromosome:SL3.0:1:81498518:81503084:-1 gene:Solyc01g086650.3 transcript:Solyc01g086650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALVSRIPSISSSSGATQLTKIKSFCVNPVCCINYASSSSSSPFTEKHSIERYQRDSWIYKNELEQQSSSCPILPDPSYVRDYDIALQLPELKKMLQVLREKREGEGGCDRKGPGNVFLVGTGPGDPELLTVKALRVIQNADLLLYDRLVSNEVLDLVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGANVVRLKGGDPLVFGRGGEEMDFLQQKGIQVKVIPGITAASGISAELGIPLTHRGVANSVRFLTGHSRKGGTDPLFVAENAADPDSTLVVYMGLSTLPSLASKLIHHGLPTDTPAVAIERGTTPQQRMVFAELKNLADDIVSHQLESPTLIIIGKVVALSPLWPHSTEESPVLVETKSSINTLQ >Solyc01g010640.3.1.1 pep chromosome:SL3.0:1:5589679:5590631:1 gene:Solyc01g010640.3 transcript:Solyc01g010640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMATTTTRSSAAAAAAAAATPLLSPTTSSLHTITRIPFISATPKCNRPITKLHVSSNPVASSSTSTSKPNQESIFFDGGAHYGDLAANLLLGFTLLWLPLTLASVFRAFYLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDVIITLKDGTVVDLRSVPKFREIAKYCLSMVDKESSGVSKEANTTDGPKGF >Solyc02g090660.2.1 pep chromosome:SL3.0:2:52836056:52836604:-1 gene:Solyc02g090660.2 transcript:Solyc02g090660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAMVLMLYYQISVLVLRQLQFIYLLTCSRQKIIMEMIDLIDGGIAANNPALLAMRPTGAKANLLPENVLDLWQDDYLSGDVSSTD >Solyc11g027835.1.1 pep chromosome:SL3.0:11:19148369:19153301:-1 gene:Solyc11g027835.1 transcript:Solyc11g027835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEDENADTTQSRSHCKGHSKEFCYKIVGYPPDFKSKRKVQETSSAYGTTGNINYNHTPLTHANLTYGMNTNVPPPGWENISEQHQSPSETTSVNRTVSAAEKEVQHNMWIIDTGATNHMVSSLNMLNKNIVHELEVSKPVYLPNGTTTQCTSSKVSRCSLTNVSHETPTMSYFYEVDHSESPTVDNESEQGTILVLVYVDDMLITGSSLKLIEDTKKALQQVFKMKDLGELKYFLGIEFTRSAAGILMHQRKYTLELIAEVGLTAAKHAGTPIDINVKLTSKLYDEHVNKEQEESDDPLVDQTAYQKIIGKLLYLNMTRPDISFSTQTLSQFLQQPKRSHLDAALRVIRYLKKQPGQGLLLESDSDGQVTAFCDADWASCPLTRKSVIGYMIKIGQSLISWKAKKQKIVSRSSAEAEYRSLASTVSELVWLLGLLKEKDLCDDHNGTC >Solyc01g097710.1.1.1 pep chromosome:SL3.0:1:88322695:88323786:1 gene:Solyc01g097710.1 transcript:Solyc01g097710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGKLGKWSFLTVALAICLVASTVVADYSYEYTSPSPSHNSNKYYKSPSPSNYHVPTPYYKKPYPSHYYNKSSAPSKHAYYKSPSPAKYYKSHVPSKHYYKSPVATKYYYKFPTPSKYYYKSPASSKYYYKSPTPSKYYYKSPVATKYYKSPTPSNHYYKSPSPSKYYYKSPTPSKRYYKSPSPTKYYKSPTPSTRYYYKSPSPTKYYKSPVYYKSPPPPPTYYEKSPSYYKSPPPPPKYYEQSPSYYNSPPPPPKYYEQSPSSYKSPPPPPKYYEESATYHKSPPPPYYPESSPSYKSPPPPPKSYKQSPLTYNSPRPLKTYEQSPYYEQIPTYSSPPPPFEKYEQSVTYASPPPPSPTY >Solyc04g078900.3.1 pep chromosome:SL3.0:4:63660433:63663805:1 gene:Solyc04g078900.3 transcript:Solyc04g078900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYP707A1 description:Abscisic acid 8'-hydroxylase CYP707A1 [Source:UniProtKB/Swiss-Prot;Acc:A9QNE7] MVNYFEIFLYISMFVLGYLSYYFCFGKNNNSSSKKNAYKLPPGSMGWPYIGETLQLYSQDPNAFFINRQRRFGEIFKTKILGCPCVMLASPEAARFVLVNQANLFKPTYPKSKENLIGQSAIFFHQGDYHNHLRKLVQAPLNPESIRNQIPYIEELSISALNSWVGGHVVNTYHEMKKFSFEVGILAIFGHLDGHVKEELKKNYSIVDKGYNSFPINLPGTLYRKALQARKKLGKILSEIIREMKEKKTLEKGLLSCFLNAKEEKGFLVLNEDQIADNIIGVLFAAQDTTASVLTWIIKYLHDNPKLLECVKAEQKVIWQSNEQENHGLTWTQTRKMPITSRVVLETLRMASIISFAFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPEFFPDPQKFDPSRFENAPKPNTFMPFGSGVHACPGNELAKLEILIMTHHLVTKFRWEVVGSGSGIQYGPFPVPLGGLAARFWKTTST >Solyc10g018397.1.1 pep chromosome:SL3.0:10:7772784:7777030:-1 gene:Solyc10g018397.1 transcript:Solyc10g018397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGYSAYQLDLGPLEPSVLTQQLTHRSRDIWNGSVNMILNTRRCDGKFWDLVKKYPIHPRVLEMIELSRLYGVYRSNRPSIDRSLITSLVERCRPETHTFHFRTGEATITLQDVEVLYGLPVNGDPVLGDESIRTIGDWQNICQRLLGFIPRPQDFNRSSLKVTALNAHMLEQLQLHDLTTQEMIDQMARCYMFWMIACMMMADTSGNYLKLMYLPMLEDLNVVSSYSSGSATLAGPCATRWFAHFSWTDTTKHVLKLFRDSLDSMTEDQRVRAPIYCWDIVEVHLPDRVMRQFGLNQTIPTPFLFDTTHFHHDRRGRPNTNWKLEHAQWLPLWNQRLQYVCDAPVDAACCNSG >Solyc06g036780.3.1 pep chromosome:SL3.0:6:27910472:27927556:1 gene:Solyc06g036780.3 transcript:Solyc06g036780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4C540] MWESIFLTVAATAGNNIGKVLQKKGTLILPPLSFKLKVIKAYAFNKAWIFGLLMDLFGAVLMLRALSLAPVSVIQPVSGCGLAILSVFSHFYLKEIMNAIDWIGITLAGIGTIAVGAGGEEQQASAISIFHLPWLACAVAILFVLLNGWLRIYRRQRREQELMQYEVIEEIIYGLESGILFGISSVISKMGFLFLEQGFSKLLVPICISISICCSASGFVCQTRGLKHGRAIVVSTCAAVASIVTGVFAGMFALGERLPSAPTSRLWLLLGWMCIIVGVILLVASTRLARSLPRSWIHVVRSGVDKNIGVRQSVATRSRDTSPSTVIQASTLHHLIATPSKAKA >Solyc10g011790.3.1 pep chromosome:SL3.0:10:4010523:4015585:-1 gene:Solyc10g011790.3 transcript:Solyc10g011790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDQNLDLFDPRTAVMDPEYSPTHTGEPDFAFAFDDVNFSDRILRIEILGDSIAGGSSSDGGCSSLADWARNRKRRREDFRKENALDITACPEDQIICCNQIDSEDADNENQDEAVVAMIEETNSGDEAANSDDSTWNCDSPRVMRVKTLNISSPILAAKSPFFYKLFSNGMRESEQRQVTLRINASEEAALTELLKFMYRNMLTTNTAPGLLDVLMAADKFEVASCMKYCSRLLCNLPMTPESALLYLELPSSVLMADAVRPLTDAAKQFLAARYKDITKFQEEVMKLPLAGIEAILSSDDLQVASEDAVYDFVLKWTRAHYPLIEERREILSSRLGRCIRFPFMSCRKLRKVLTCNDFEHEFASKLVLEALFFKAEAPHRQRTQAAEESASTSRRFVERAYKYRPVKVVEFELPRQQCIVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFTVDYEFAARTTLAEEYVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFIKGVLHLRAELTIRP >Solyc09g089590.1.1.1 pep chromosome:SL3.0:9:69796627:69797220:1 gene:Solyc09g089590.1 transcript:Solyc09g089590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKNSSKYFNKHQTMKNVKEYSWDNNYVDHQGGDLVGGFLWPPRSYTCSFCKREFKSAQALGGHMNVHRRDRARLRLQSPTILESNPNPNSNPNPNPSFVSSPSSPSTKLFPPFVSTLPPLLSPNSFSSSTAAGGGSHEMKNGDLTKMGSAKFEENGKECSEVVKRSEFLRLDLGIGLISESKDDLDLDLELRLGYI >Solyc01g013895.1.1.1 pep chromosome:SL3.0:1:10296885:10297130:1 gene:Solyc01g013895.1 transcript:Solyc01g013895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQYAADTLPFGGVGQSGFGRYHGKFSFDTFSHEKAIARRSFLTDIWFRYPPWSDHTLQLFRSAFIYDYLSVVLITLGLKRA >Solyc06g084020.3.1 pep chromosome:SL3.0:6:49330532:49331460:-1 gene:Solyc06g084020.3 transcript:Solyc06g084020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEEPVVAIEPVNEPMVVAEPATEENPPAETDEPKKEKEIKAKKSSAPRKRNPPTHPSYFEMIKEAIVTLKDKTGSSQYAITKFIEDKQKNLPSNFRKMLLAQLKKLVASAKPAAKPKAVVAKPKAAVKPKAPVKAKAAVKPKAANEKPAKVARTSTRSTPSRKAAPKPAVKKAPVKSVKSKTVKSPAKKASARKAKK >Solyc10g087040.2.1.1 pep chromosome:SL3.0:10:62139552:62141057:1 gene:Solyc10g087040.2 transcript:Solyc10g087040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFTYSTRWYLSNIWWKSSSAPTNWPLVGMLPGLIQNTHRVHEFVTDALVESKGTFEFHGPVLANLNMLLTSDPANIHHILSKNFSNYPKGVEFRKIFDMIGNGIFNVDYELWEMHRKTTMSLMNHPKFQTMLERNMWSIIENGLWPILDGFAEQGTLFDLQDIFQRFTFDAITKLLLDHDPKSLSIGLPHVPCENAFNDSLDAFMYRHFLPESCWKLQKWLRIGKEKKLIQAWEAIDQFIYPCISRKQEELMHTSRAKDEEFIFLNAYIRMYNQWKDGDLGTLQTFLRDTFLSLLFAGRDTTSAALTWFFWLLAKNPSVEKRIREEIQQQLNLKEGENLKFFEIEEARKLVYLHGALCETLRLFPSVAIEHKLPLEFDLLPSGHRVSPNTRVVLSFYTMGRMESIWGKDCLEFKPERWISERGGIKHEPSFKFPAFNAGPRTCIGKEMAFIQMKIVAATIIYNYYIKLEDQIILPNSSVIIQMKNGLKVRVFKRVPLMSN >Solyc10g054278.1.1 pep chromosome:SL3.0:10:55155233:55163355:1 gene:Solyc10g054278.1 transcript:Solyc10g054278.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSEGARGHSWKTRRSIELFEELSEGERPMPSLVSVFGDYDFICVFSRNFMWNNISGVIPKEIGNITTLSPLSRNIPPKVNNNNRGRSRPNNNRSNDTRSNPRDQPLNFRKNYEDRKRGAPQREGCYICGETTHAAWYCPSLRKLSAMVAAEKQQEKAAAQASSSAGEKRGQSSGADKGKNVAVGMFNHMALISHISIAALAAKPAGVRPRESLFVDAKLNGNDVRIMVDTGATHNFVTEQKAKELGLNYVASNTKLKTLPMVNLIQKKQDWTMRMCVDYRMLNKAIVKNKCPVSLMQDLMDRLSKACWFTKLDLRVRIAEGDETKTTCVTRYGSYEFLVMPFGLTNASATFCNLMNNVLFDNIDDFVVVYLDDLLYIVEHWMNMSITKLRKYTLYVKVEKCEFAQQEIKFLGHLVSKNQVRMDPKKVQTIVDWQTPGNVKDLQSFLGLANYYRNFIMGYSKRAAALTDLLKKDAKWIWVVRSEETFQNLKEAITSEPILKLPDFELSFEVHTDAFDKAIGGMLVQEVHHVAFESRKLNDAKQRY >Solyc01g049895.1.1 pep chromosome:SL3.0:1:46758199:46780721:-1 gene:Solyc01g049895.1 transcript:Solyc01g049895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLFSQMPLVWILTKQYHLPFHHFDEATLNCTDETTEKEDVTWVRAIGVVCVCRLFFVIEEQEEWKRGARKTELLHSFEEKKESERVKKNREITKGVGWFVEKGFVKPVAPTTLALSNHFYILKVLYKPIEKKPGGKHIQRAEESSIGPASQHQ >Solyc06g064820.3.1 pep chromosome:SL3.0:6:40503270:40506792:-1 gene:Solyc06g064820.3 transcript:Solyc06g064820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACNFRLFPLCFLMFLLLVNNNNNVICQEEGSGTKSALVPAMFIFGDSLIDNGNNNNLASLAKANYFPYGIDFNGGPTGRFSNGLTMVDVIAELLGLPLTPAYSQVSGDQMRFGVNYASAAAGILDNTGRNFVGRIPFNQQITNFESTLNQLRNNGDVEEALAKSIFFVGMGSNDYLNNYLMPNYNTKNQYNPQEYATLLTQQYNQQLIRLYNLGARKFVIGGVGLMGCIPSILAQSQNGACSEEVNQLVLPFHNNVRSMLTSLNTNFPGFKFSYIDIRNMFQDLLANSRHYGFSEVNLGCCGVGRNRGQITCLPFQTPCSNREQYIFWDAFHPTEAVNVLFGRKAFSGGPDVVYPMNIQQLATL >Solyc03g058910.3.1 pep chromosome:SL3.0:3:28825338:28827419:1 gene:Solyc03g058910.3 transcript:Solyc03g058910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LAT56 description:Probable pectate lyase P56 [Source:UniProtKB/Swiss-Prot;Acc:P15721] MEYSYRTKINVLFIVLILFVFAALGTAINAPRRKLTKKYRGPCMAVNSIDKCWRCDPFWAEDRQKMADCALGFGINAMGGKYGPYYIVTDNSDDDVVDPKPGTLRFGVIQKGPLWITFARSMRIRLTRELIVSSNKTIDGRGKYVHIANGAGIKIQSASNVIISNLRIHNIVPTAGGLLRESDDHLGLRGADEGDAISIFNSHDIWIDHISMSRATDGLIDAVAGSTNITISNCHFTDHEKVMLFGANDHAEEDRGMKITLAYNHFGKRLDQRMPRCRFGFFHLVNNDYTHWERYAIGGSSGATIISQGNRFIAEDKLLVKEVTYREKSTSSVEEWMKWTWITDGDDFENGATFTPSGDQNLLSKIDHLNLIQPEPSSKVGLLTKFSGALSCKIRRPC >Solyc11g006820.2.1 pep chromosome:SL3.0:11:1390130:1393077:-1 gene:Solyc11g006820.2 transcript:Solyc11g006820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Small nuclear ribonucleoprotein G [Source:UniProtKB/TrEMBL;Acc:K4D4U3] MSRSGQPPDLKKYMDKQLQIKLNANRLVTGTLRGFDQFMNLVIDNTVEVNGNDKNEIGMVVIRGNSVVTIEALEPVARPQQ >Solyc11g072615.1.1 pep chromosome:SL3.0:11:56126731:56132281:-1 gene:Solyc11g072615.1 transcript:Solyc11g072615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKLLSEMGLPNSIANIFVARNLITAKDVFSLTEFELMELLDVDLAVVTSAMAHISEITCPPYQTALSLLEQRGQNENMAGHLPTSLKGLDNALCGGLPFGVVTELVGPAGIGKTQFCLKLSLLASLPSSYGGLDGSVVYIDTESKFSSRRMIEIGFHSFPEVFQTEGMAQEMAGRILVLRPTSLSEFTDSLQKIKVSLFQHGVKLLIIDSMAALLSGEGAQGPQRQHSLGWHISFINSCRIFTNSCSSDQSSEISKS >Solyc09g059155.1.1 pep chromosome:SL3.0:9:53754894:53760326:-1 gene:Solyc09g059155.1 transcript:Solyc09g059155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGTDTPFADITHFRSLIGALQYLSITRPDIQFAVNRVAQRMHQPSEHDYHCLKRILMYIFGTLGRGLLIRPGDLELRVSRSSAEAEYRTLALLAAETIVPECSSSDVVFHLNSLEKMEIQHFACEGGGVASCEGGMVSCSSSSP >Solyc06g066130.1.1.1 pep chromosome:SL3.0:6:41568550:41569224:-1 gene:Solyc06g066130.1 transcript:Solyc06g066130.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLLWKRGIITLGEGSYGVVSLACTSNALFRGVTLPSLIAVKSCNLSASHSLKEEVKILRMFKHSPCTVHCYGAKVSFEDKVYLYNLYLSNCNSLPEFEVKKHTKNVLPGLSCIHNNGIIHCDNILLVGRDKTANGDVVLNKIKFEEPKFQNSKLYNEAQDFLEKCLVKNPSTRWTADMLLNHPFLLHSSKAANTAKTRKRKSGSMSLLHKPIQKVTFKIGNH >Solyc11g065950.2.1 pep chromosome:SL3.0:11:51856328:51866997:-1 gene:Solyc11g065950.2 transcript:Solyc11g065950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLTPLPPPPSTPPNFPSGYSSMPSFRRGFYRTSQIVAPQSREVVVEEEEQLQGSKVFVAVGKYVDKGVALVQWACKTFGNSEICILHVLQPSPYIPTLLGKLPVTQANAKMVAAFRYAEREEAWKLMSRYLSVCCKSKVKATVAIVESDQVLKGILDFVNKHNIRKLIIGAIPDCVKVKKSSRKASYAATKFPTFCEIFFVYKGRLIWIRQPPECSSFIAPISPNTQAGAVDVCGLRSQCLKSCENEVILFPERVQSSLSRDLLSSGIKKLVLEAGIPSQTDLRVKDFSSSSRSNLISVPSLSISTSSIHDISCASSVEQMVPPDAEMESLYKQLAEVGTEFESSRNEAFAEILKRKKLEAEAEEAIRKIKAFESAHAREVKLRKEAEDALETTLLEKKKLLMEKKEKTYELCKAMRNIALLDCRAQEADRRCEEITGELTLIHSSISTLQQEKQKLKQKNTEAMHWINSWKNRGKYGGQSAIDLAECSVSLGLVEFSSSDLQTATCNFSESFRIGQGGYGDVFKGELSDKTVVIKQLHHYNMQQPSQFFEQVEILAKLRHPHLVTLLGVCPGSWCLVHEYFPGLSLQDRLFWKNNTRPMKWKMRARILAEIASALLFLHSSYPEKIVHGDLRPENVLIDSTGSCKICDVGISSLIPKQALRCPSFDRLSEPKGLFAYTDPEFHETGAMTPKSDIYAFGLIILQMLTGRTLTGLVNEVRRAVLYAQLDSLLDSSAGEWSTFVSRRLAELALQCCELNSRDRPELTSSLVMELKNLNESEEQSVPSFFLCPIRQDIMNDPQVAADGFTYEGEAIEGWLESGHDTSPMTNLKLSHLELTPNHALRLAIKDWLCNL >Solyc02g070460.3.1 pep chromosome:SL3.0:2:40766763:40782229:1 gene:Solyc02g070460.3 transcript:Solyc02g070460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQPNKRSSSLSTPPPSPLSRSMKKAKSQAAAATDDNKNGQQQQQHHHHHHKDEPCVSMIQYSGGGVTSNLSRKKATPPQPPKKQLVIKLNKAKPTLPTNFEENTWATLKSAISAIFLKQPDPCDLEKLYQAVTDLCLHKMGGSLYQRIEKECEAHIVAVLQSLVGQSEDLVVFLSVVQRCWQDFCDQMLMIRGIALYLDRTYVKQTPNGHSLWEMGLQLFRKHLCLASEVEHKIVFGLLQMIESERLGEAVDRALLNHLLKMFTALGIYAESFEKPFVERTSEFYAAEGVKYMQQSDVPDYLKHVELRLHEEHDRCLHYLDSSTRKPLISTTERQLLERHVAAILDKGFMMLMDGKRIEDLRRMYSLFPRVEALESLKQTLSLYIRKNGQSIVHDDEKDKDMVSSLLEFKASVDTIWEESFSKNEAFGNTIKDAFGHLINVCENRPAELIAKFLDEKLRAGNKGTSEEELEGILDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARKKLPTGIEMSVHVLTTGYWPTYPPMDVRLPHELNIYQDIFKEFYLNKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQAVVLMLFNDAEKLSFLDMMEATRIEDKELRRTLQSLACGKVRVLQKIPKGRDVEDNDTFVFNDQFTTPLYRIKVNAIQMKETVEENTNTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPVKPADLKKRIESLIEREYLERDKNNPQVYNYLA >Solyc04g057970.3.1 pep chromosome:SL3.0:4:55033369:55038054:1 gene:Solyc04g057970.3 transcript:Solyc04g057970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLCPCQDKDTYHDLCSALESCVHVDEDYKSLLLFIEKSGVGYAGERDNPKLAFFDVEADPLYMNFLANTKLDGTSYVSKVNDQNGLTVFIKYERDRELEFHGVERGQDNVREKCVLGRISKALKTNARKNRMENGILSKKQRNEDRDLDNRKQRIDVEIVPDKEQKMKGGILSKKPRNEDMDLDNGKQQIDVNIGPGKEQQVKDGILSKRQGNEDRDLDNRNHRIDVMTVHGKKQKMNDGILSKERQNEDRDLFNCKHIIDENIIPVKELKIQNDSKFMDVEVKIEPHEDSDSIKEAESKSEVEILENVGAEIGWGSSSNPFVPSVERCLNLDATIIDSRYRKEVLNLLMKPYNEEEYKKLWKDIKMRLPSSSTNGHGKSLLSLHKAVNRAIKRANHDKDKKLNIMRGFFFWLEHLTRQDAFQPWEDAECLQTMPGSS >Solyc07g066300.3.1 pep chromosome:SL3.0:7:67902509:67915056:1 gene:Solyc07g066300.3 transcript:Solyc07g066300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPELVSTEEVVNALLEYLVGPRLPLKSSAVKEPPTLSQQQSVAKQLHAVVILYNYYQRKEDPQAQYLDFESFCKLAMTLKPALMSYMKFMNQSDLTSSKDMNNDLSVTEKAIQDACNISCALDASKDVPAINKWLISKVSVLLLDSKKETCYLFYSLVTDGVWSLIEKCLELPTAEIEGMVEGIKRQTSMRSVTVEQKDDDSCFQQLAFLAVEDATGISKTDLVTLEKHVVYSLTKEKTASYFYIMQSTRSTDQDMLVSVKEIIERVAHLFDDIASSIIKQMCYSNMRDTRVLVEKAYSRRKGPLVKRSSGSWSATEVVEYYHLLPYANIIATFFLREVSSLEGSNTRIHEDITGNCKKEISESRISLVDQNSGNVSIMTAIGSESACSNVANDVYDRTVNPTDAFGAEVVADGACERKTGDEKDSGKENGVHHHHKTVRTHGRFSGKAKKTSGCNGNQGVEEKVKKIEGKMPDESYEALIGTKSDSINLEISEAKHSDGCHEKVGHSAVGQEATAIGAPRNIWKSEEAGSDCSIKNTGIIDLSGNKLTGVNLKCLNDTSRKVQHENSRDYEDSTVKELHAIINHPRTPEEKTEELVKSTKGCEINDYNGMELAGAHLKTLEVKINDGYHFSSSPTRPQRMEIDACIPFSQNVNNSKDELIKNVHQQKKRTRESDGEIIGSGIIRKAERADEVKADKEDLSTVSSNQNGISVAHTRFVPCKHESTDISDLQTVLASKEEALTKSSLEVLFQKRQKLEVKGSSVVETETIRWLSFLVVVLILHQGGKDSLALKIDTVLAFCDEMCLKDRASIQEDGNPHHKEFGLIQPMSGRRLSKAVHTP >Solyc01g101090.3.1 pep chromosome:SL3.0:1:90816729:90823228:1 gene:Solyc01g101090.3 transcript:Solyc01g101090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKVVDENEEGPVVPMRVDRFGFVKQEHGPTEGLARSRSAFEYQRDERRIRKWRKMIGVGGSDWKQYVRRKPHVVKRRIRKGIPDCLRGLLWQLISGSRDLLLLNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVFDRDVGYVQGMGFVAGLLLLYMSEEDAFWLLVALLKGAVHTPMEGMYLVGLPLVQQYLFQFDHLVKEHMPKLGEHFAQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLFEGVKVVFKVGLALLKYCHDDLVKLPFEKLIHALRNFPEDAMNPDILLPMAFSIKVSKSLVELKQEYEQQRLKPPESPVKQ >Solyc01g109330.3.1 pep chromosome:SL3.0:1:96217647:96220688:-1 gene:Solyc01g109330.3 transcript:Solyc01g109330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLKFSINPLYLHNQLFVPQNSRILGVNNKKGATFSFSLTCKFNPSSKGMKISKKNKISNWPDSGVALPLLERNNGTKLKKELLKGLLNLPLAIGETFAAAALMALGSCVVGQGEVQEFVYQNIPENHPLFSLLSSKWITLGLDHMFSSPIFIGTLGLLGASLMACTYTTQTPLVKVARRRSFLNSAEEIHKQDDADTPPRASVKDSGVTRMGAGYEVFVKGPTLHALEGLADRIASIGVHLALLLVMSGGTLDAAGTLGEAVTVPQGLNPVVEDVLTPSGVLSSPSDDASRTDIHVNRFYVDYDESGEVSQFHTDISLFDLNGKEVLRKTVSTGVRRPNSKLPIEIDGSKPVIADAIGSHDSDLKTDSEAPIVYASLGSLMLSTCISYLSHAQISVFQDGITEAVRGKTNRDKGESSDTVDHSLDQVPEVFESSCTEESDSFIGIRMQLGIWNKKDF >Solyc11g071290.2.1 pep chromosome:SL3.0:11:55114017:55121017:1 gene:Solyc11g071290.2 transcript:Solyc11g071290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSSNHKVITCKGAIVWKSGDELKIEDIEVDPPKSTEVRIKMIYASLCGTDVLCCNGFPKPLFPRIPGHEGVGAIESVGESVKDLKEGDIVMPHFLGECGDCPNCKSKKSNLCHKYPLNFSGLLLDGTSRMSINGQKIYHHVSCSTLSEYIVLDENYVIKVDPRLPIEHVPFLCCAFTTGFGATWKDVNIEKGSSVAVLGLGGVGLGVAEGARQKEAAQIIGMDIHEMKSEKAKIYGITDFINISEKSISISELIKDATGGLGVDYFFECTDVPQLTINEAIQSTRMGYGTVIVLGAGLVLDWQMSYVPLMFGRTLKGSIYGGIRTHTDLPSIIDKCISKEIKLDELLTHEVSFNDVNKAFEYLKEPNCVKVLIKF >Solyc07g016050.3.1.1 pep chromosome:SL3.0:7:6213309:6214380:1 gene:Solyc07g016050.3 transcript:Solyc07g016050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding VKIAIAELEQEGKKAAGVFITSPTYNGVCSNISEISQICHSHGIPLIVDEAHGSHFQFHPNMPKTALSQGADLVIQSTHKVLCSFSQSSMLHLSGDRIDRDRVHKCLQSLQTTSPNLLLLASLDATRDELSKNPNTLFNEVMELVQQVKELINHIPGVLLLDLSCFSNNFSFMDPLRMTIGVQELGLSGLEAYNILSTSHGIEPELIGTQSFTLAFSLGTNKEHTQRLVSGLKYLSINFLQEEREIIKIDHGTDRVPFGEVYMSCTPREAFFAKKKKVNFEKCIGEVCGEFICPFPPGIPMLIPGEIITKRAMDYLIQVKDKGAFLKGAADPLLSTVVVCDF >Solyc01g095140.3.1 pep chromosome:SL3.0:1:86397518:86398199:1 gene:Solyc01g095140.3 transcript:Solyc01g095140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene-responsive late embryogenesis-like protein [Source:UniProtKB/TrEMBL;Acc:P93220] MADFMEKAMDFVSDKVEKPEADITDFDLKKVSMDSISYHAKVAVKNPYSVPVPIMQISYTLKCSGRVIVSGTIPDPGNIKANDTTILDVPVKVPHSMLVSLGKDIGKDWDIDYKLELGLAIDLPVIGDFTIPLSHSGEIKLPSLSDLWNGDKQEDTEKEI >Solyc05g015040.3.1 pep chromosome:SL3.0:5:9724809:9727695:1 gene:Solyc05g015040.3 transcript:Solyc05g015040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDLPIVRFEEKIVETVKENPVVVVIGETGSGKSTQLPQILYRRGYAKSGIIAVTQPRRVAAVSVSRRVAQEQNVRIGEEVGYAIRFEDRTSEKTRIKYLTDGVLLRESLFNPELNQYSVIILDEAHERSLNTDILLGLMKRLIKWRHSNLKVLITSATLDGGKVSRFFSDCPVLTVPGELFPVEIVHISEPPKSYVEASLKKAIDIHVREPEGDILIFMTGQDDIEKLVLKLEERVQSLEEGSCMDALILPLHGSLPPEMQASCCPS >Solyc01g107510.3.1 pep chromosome:SL3.0:1:94922670:94939122:1 gene:Solyc01g107510.3 transcript:Solyc01g107510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEPSRTANSGSISKRSSNSNRSNNSNKKKKKTSQNTLGMAWGANSRSASRPAFSTSPFSNFGSYMAVKNQKLHDQFEAEASSTSISGPNSSKPIFQGVSIFVDGYTVPSSQELRGYMLKHGGHFENYFSRRRVTHIICSNLPDSKVKNLRSFSRGLPVVKPTWVLDSVAANKLLNWVPYQLDQLASEVNNQPKLSAFFTKNIAIYDDTTTCSTVQAISRVGSPLSYSGPFEDPMSIEEWQSAVDLEHCALQSKDLVQTDYNEDRVEESSCSIERPELSDAASGDGSQAPFSEPSGPHNNASVCSEWMSDPVNVGPSNLKIPRSPNQQHSTLNDANFVENYFKHSRLHFIGTWRNRYRKRFPSSPGGFRCTSSGPSSSATANKTMIIHVDMDCFFVSVVIRNRPELKDKPVAICHSDNPRGTAEISSANYPARGYGVKAGMFVRDAKSCCPHLVILSYDFEAYEEVADRFYNILHKYCNKAVSCDEAFLDATDSGVEDIQIFVSVIREEILDATGCTASAGIAGNMLMARLATRIAKPDGQCYIPAEKVEEHLRELPVKALPGIGHVLEEKLNGRQITTCGQLRMISKETLQKDFGSKTGSMLWNYSRGIDDRLVGMIQESKSIGADVNWGVRFKDQKDVRSPLNIILFCAFVLMLIDSKRLLNSGTMFSVKPLQGGFITFAGVWSDREEVYLKGLSIKKRKGDAGEPVKYLGCGVCDNLSHSVTVPLATDSVDVLERIVSQLFTTSHVDVEDIRGMGLQVSKLETADSSKQGLFFAFHISLYASYDDDTNSKDSVDERQAQLQGDCSTPFIEMTAVSPSGTAGTLPPMNELDIGVIESLPPEVYSEINDMYNGKLAHFINEKRSKGKENISSVCPVAPDEAFAAHEHQYNEEEIQVVSHPNKLFADMKSETLSDATVPNMDVVIDAPVSGGISLMPSSLSQVDTSVFQELPEELRTDILELLPAHRNTESSLDASLVCANNQNCSPSISSIDLWVGNPPEWIDIFKATNCQILCVLAEMYQKAGAKKQLSSVLQRTMSKIYILPDVGTDGWDEAVSCLCELIKQYLRLKISTDIEEASVSENYGGSFYIASVTE >Solyc08g061103.1.1 pep chromosome:SL3.0:8:46740400:46741027:-1 gene:Solyc08g061103.1 transcript:Solyc08g061103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKNDSGSLGVKSELDKYLLEDQEPESEDFDILSWWKVNFPRFPLARDVLAIPMSSSASECAFSTGGRILDPFRSSLTPKYVQCLICVQDWLRQETKPICVEESPRVKTMKK >Solyc12g017950.1.1 pep chromosome:SL3.0:12:8725161:8726553:-1 gene:Solyc12g017950.1 transcript:Solyc12g017950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNNIGFIVPYMQPDTRIQKLNIATFVSSELLVRFVFIALSALLYFYLDCAHAKTACHHLINGVILDFLPSRHSFLFTVILWFS >Solyc02g014255.1.1 pep chromosome:SL3.0:2:16147302:16150237:1 gene:Solyc02g014255.1 transcript:Solyc02g014255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGNVYGALDGTYIHIKVPSEYKPRYRTRNGDIATNVLGRGDNGTSKPGYLKELECYLCEYHPNSGFESDGAIIVDDPKFWDDFLKVDPNSKNMNTKKWPMFVDWEEIFGKDRAIGEFAEGPLDAIEEIQMKAGSSHTTIPEASQNESVEAFEPEEVGSQQTNKQSEYTKRSSNVNEKEKCKKIKKNPENDSEIFLKGMVEIGNRDQSDLRDQIYSIIKFPMFELTSTDQRIKATMILCDDVKKMELLLCMGQLERQTMMFMMINDKL >Solyc02g068010.3.1 pep chromosome:SL3.0:2:38639676:38641982:-1 gene:Solyc02g068010.3 transcript:Solyc02g068010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAPILPFSSIPNLFSFFIFFLIIYLIAYFIIFPSWKPKLRPEASSCVISFFHGTPAVFLAVTSLLADPDRNFHSTNTPFQNTVLDYSIAYFLMDLTHYLIFYPSDVLFIGHHLATLFVFVTCRYMVYHGAYAILVLLILAEVTSFVQNTWTLASARKSDVEFAAKSPIHLAVLVETAESI >Solyc09g090340.2.1 pep chromosome:SL3.0:9:70320981:70326577:1 gene:Solyc09g090340.2 transcript:Solyc09g090340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAAPLRRLKDRGGAAGAKINVPPSTTTARPSKSLTPLSNKSSSVNSIGGENLRRSTGKENPRPTSRVRAATASTNQKPVLRAMPRIDKAASGTATATGAEGGEARAEPRARWSTSVPRGRSSSPSEFSKTLSDIRKTSRVSRVSGNSRGVNDKLSENGNRVSTEMEKSRELLGKFDVKSEKIKISEGKNSKFCDREELISSSSSVKLKSLVDKSGNVVESTVKDPRLLTKSNSFSGVSKEKCENEQGKVGSSVNKYPSKLHEKLAFLEGKVKRIATDIKRTKEMLDMNNPDSSKLIISDIQEKISGIEKAMGNVVDGDEKIGLTSSSKNESLNVDEKICGIEKGMCNIVDGDREIGLLGSISSEDMIIDEKETIPEDNGKISVKGLNVEELEARLFPHHKLLRDRTSLKTLMGCTKNEELGSAESTIEVKPEKNYVSPIDENPIAVEFLASLSKEQSKVTTRCEDACLQISNVQDVDDAVSSQNQNSSSELFKGKEIVDHLLASDERLESFDAQENKQEMLMEEETEDSSICELNEIGRKTSTGGWFVSEGESVLLTHDDSSCSFYDIVHCEEKAEYKPPVGVSSNMWRDCWIVRAPGVDGSSGRYVVAASAGNSMDSGFCSWDFYTKDVRAFHVDDGFSNTRAALAPLPNNPMYRRNTLSSIMAPQNQQWWYKPCGPLIVSGASCQRMVRTYDIRDGEQVLKWDLQRPMLSMDYSSPLQWRSRGKIVIAETEGLSLWDVNSISPQALLSISSSGRQISAFHVNNTDAELGGGVRQRASSSEVEGNDGVFCTSDSINILDFRHPSGIGLKIPKIGANVQSVFSRGDSLYLGCTTVKSAVKRQVTSQIQQFSLRKQKLCNTYVLPESNAHSHYTALTQVWGNSNVVMGVCGLGLFVFDSNKDDALQSLNTLDQNNGQNLREAIGPDDLYSPSFDYLSSRVLLISRDRPAMWRYMF >Solyc03g044960.2.1 pep chromosome:SL3.0:3:11154281:11157190:-1 gene:Solyc03g044960.2 transcript:Solyc03g044960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKKTLPQRIFSAYKFSAPSLTTCRIASSTLVEVKSTNYDKITTSDPRPRFLHQSLASSSEIQRSLILTGEKLRKKLHEMDVITRDRTRLINGTLLLPPRAPPRPDSIEDARKILRLSRLETLKSRIRKIEKSSMLFAEFIEICKETCNLDDDQGMEFAKRLDDSGDVIVLGNIVFLRPNQVIQAMQELMPMHQLNHEKERMKELEEMEVVKTSIDNKAESLVRKEMWFGLGCLVIQTTAFMRFTFWDLTWDVMEPICFYVTSTYFMVTYFFFLKTSKEPSFQGFFQARFSTKQKRLMKLHSFDLQRYNELRRAQSSIVN >Solyc06g062800.3.1 pep chromosome:SL3.0:6:39739680:39742116:-1 gene:Solyc06g062800.3 transcript:Solyc06g062800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMARLAPEGAQFDARQFDSKMNDLLAAEGKDFFTSYDEVYDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLIQCQSLVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILAAGVHVVVGTPGRVFDMLRRQSLRPDCLRMFVLDEADEMLSRGFKDQIYDIFQMLPTKVQVGVFSATMPPEALDITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTTDDERMLFDIQKFYNVVIEELPSNVADLL >Solyc02g014225.1.1 pep chromosome:SL3.0:2:15964606:15968370:1 gene:Solyc02g014225.1 transcript:Solyc02g014225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSKLQLISVLLVGLLALEAVSYNFDYGNAMDKTLLFFEAQRSGKLPPNQRVKWRGDSGLKDGFLQGVNLVGGYYDAGDHVKFGLPMAYSLTMLGWSVVDYRKEIADVNQMGNTLAAIKWGTDYFIKAHTQPNVLWAQVGDGDSDHYCWERAEDMTTPRTAYKLDPSHPGLYDDSIPNAQAFYTSSGYSDELLWAAAWLYRATYDEFYLKYVVDNAVSLGGTGWAVREFSWDNKYAGVQILLTKILLDGAGGSYTPTLKQYQAKADYFTCACLQKNDGYNVALTPGGLIYVREWNNLQYASSAAFLLSMYSDYLSEKKIVLQCPEGQVQPSDVLSFAKSQADYILGKNPKAISYLVGYGQNYPIHVHHRGASIAPISVLHTAVSCIEGFETWYRRPQANPNIIHGALLGGPSKTDDFSDDRSNYEQTEPTLSGSAPLIGLFVKLQSLSGYRGAPVTFLHSIISTWTIGPTTYYKHRVVVKNTSQKPITNLKLIIENLSGSLWGLSPCPEKNIYELPQWIKILKPGSELTFVYVQGGAQAKVSIKSYH >Solyc12g038450.1.1.1 pep chromosome:SL3.0:12:51180466:51180747:1 gene:Solyc12g038450.1 transcript:Solyc12g038450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKRNDVKATTLAVPPNEVRYKGVRKRPWGTYGAEITNPIKKVRVWLGTFKTAEEAARAFDEAAKIYHGPNAKLNFAPTNEDNLQNTNNFET >Solyc05g018320.3.1 pep chromosome:SL3.0:5:20444615:20452678:-1 gene:Solyc05g018320.3 transcript:Solyc05g018320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENDLDLSLGLPCGGVVASEKSKSGSSSDSKVEEVDRDGKVINDFKNFLDGGTSSQKHDCGVGSQRSDSTKHGGNLLSSTSADADASKKLNSGGFWVPNDNRPIEVEEERRTEVGEKRKNLFRESSQQKKHEREAHHVDMHDKTRASHISITTDDGSTAENDDVADSETVGSTSRQILQHDENSKRFVGSSAEVHKELRGVSDSSGVELLGQRRFTISSEKDVKFGNTPYSTPFQGQSINIMNLPYSMPLRDSNPGNTASTTGYAVPGMMQVIATTSGDRPGAQPVIPTNLPLMFGYSSIQLPTLEKDNSRGAASHLQQLHPSYGRGSLGSDKHKDGPNISQATLPIIAHKSSESVQYDGRAVEHVKGNGRQHNAEETSTSRVEENVKGSNISFRSKDPPEQPRAEAVPSEFATIRPGLAADLKFGGSGSYPNLPWVSTTGPGPNGRTISGVTYRYNPTQIRIVCACHGSHMSPEEFVRHASEEQTSQEGGAGVSSFPSSNPAASAQS >Solyc08g079680.3.1 pep chromosome:SL3.0:8:63281846:63286742:1 gene:Solyc08g079680.3 transcript:Solyc08g079680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSTLISIPKLPPLSQTNRTQFLHFAKQRSLFYAPIECSSSENLKNAATVLGVTGIALATLMLGPATASASEIAIMGSSFQFNEPSNALSLPTWAIHVSSVVEWVTAMALVWQYGEKSGNDSWKGLSWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVLGNATMCIAAFRIYRSQEQ >Solyc03g111995.1.1 pep chromosome:SL3.0:3:64044961:64045516:-1 gene:Solyc03g111995.1 transcript:Solyc03g111995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTELLRHPRAMETLQNEVRGLAQGKAEVTEDDLGYMQYLKAVIKETFRLHPPDPLLVPRESTQDIKLFGYHIPSKTQIIIDAWTIRRDPLSWENPHDFLPESPFGAGRRRCPGISFAIVVIEVALARLVHNFNFSLPTPEELDMTESNGVTIRRKSPLLALATPCSTYN >Solyc07g006040.3.1 pep chromosome:SL3.0:7:889273:890219:-1 gene:Solyc07g006040.3 transcript:Solyc07g006040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLITQNFINYYSSSNNFSYIFLRYRKKRLNTKHSTISLAKNKEFSEKSKVEENSISSLKIPRNFLIQALVGVFALGFIDAGYSGDWSRIGVISKDNEDLLKITAFFIVPLCLFVIFSFSKKIEE >Solyc06g043150.3.1 pep chromosome:SL3.0:6:29769958:29772566:1 gene:Solyc06g043150.3 transcript:Solyc06g043150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPITQRRKSGINLPSTMSETSLRLDAFSSPPRSKRTTNLASSPMSNRSPRTISNLSSSPSSKSASCSDRFIPCRSSSRLHTFGLVEKASPVKEGGGGNNDAYSRLLKSELFGADFSCFSSPAGTKGCANSPMSPSKNMLRFKTENSGPNSPSVLGHTDTSLSNEVSTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNILAVGLGTCVYLWTASNSRVTKLCDLGPTDSVCSVQWTREGSYISIGTSLGQVQVWDGTQCKKVRTFGGHQTRTGVLAWSSRILSSGSRDRNILQHDVRVPSDFVSKFIGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQRSQQSVLKLTEHTAAVKAITWSPHQCGLLASGGGTADRCIRFWNTINGNQLNHIDTGSQVCNLAWSKNVNEIVSTHGYSQNQIMVWKYPSMSKVATLTGHSLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSVKIPAAVKDTGVWSLGRTHIR >Solyc02g065050.1.1.1 pep chromosome:SL3.0:2:36735180:36736469:-1 gene:Solyc02g065050.1 transcript:Solyc02g065050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVNVLLLHLVFSVLFIQSIATKHSLILPLIAKKTPSISASKPPNKVAFHHNVSLTVSLSIGSPPQQVVMVLDTGSELSWVHCKKTPTTPLIFNPLVSSSYSAIPCSSPTCTVKTRDFSIPVSCDPKKLCHATLSYADSSSVEGNLATETFRIDNLNLPGVVFGCMDMSSSSNPEDSRTTGLIGMNRGGLSFVTQMGYPKFSYCISGRDSNGVLLFGDANLPWLKPRKYTPLVQMSTPLPYFDRVAYTVQLEGIKVGETILPLPKSVLIPDHTGAGQTMVDSGTQFTYLLGPAYTALKNEFIKQTKGVLRVLNDPNFVFQGAMDLCYLVESTRTILPKLPPVTLMFPGVEMSVVGEKLLYKLAGVTRGKDQVYCFTMGNSDLLGVAAYIIGHHHQQNFWMEFDLANSRVGLAEVSCELAGQKLGLGL >Solyc03g121360.1.1.1 pep chromosome:SL3.0:3:70958395:70959006:1 gene:Solyc03g121360.1 transcript:Solyc03g121360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNTEKLMNQIMELKFTSKSLQRQARKCEKDEKSEKLKVKKAIEKGNMDGARIYAENAIRKRSEQMNYLRLSSRLDAVVARLDTQAKMSTISKSMGNIVKSLESSLNTGNLQKMSETMDQFERQFVNMEVQAEFMESSMAGSTSLSTPEDQVNSLMHQVADDYGLEVSVGLPQAAGHAIPTKDSEKVDEDDLTRRLAELKARG >Solyc00g007300.3.1 pep chromosome:SL3.0:2:32454509:32457425:1 gene:Solyc00g007300.3 transcript:Solyc00g007300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSAMSVDEIVEEMMRLNKSLPIRPGIDEVEAAKVLVMNMDKEEKMKLETIARQNKRKDVPEELFKILQEMQRNLVYFQSKEEKREALKLLDLENVHYLFDELIQRASKCLSSNPQANNASSRNSSNLSLANSSSFNGSSFNSPATTTTMSSSSSFYSEKESVKVSELVTRDDSYLKKPTTAFQMDGIGVGLRSKNASSAPQIVDTTLKRTTGENGEKMSLIKLASLIEVSAKKGTKELILRRKLSDQLEWIPDSLGKLSNLVTLDLSENRIAVLPTTIGGLSSLQKLDLHGNKIVELPDSIGDLLNLVYLDLNGNNLKTLPLTLARLTHLEEVDLSSNMLSVLPEAVGSLISLKKLIVETNDLDELPHTIGQCTSLKELRADYNRLKALPEALGRMDSLEILSVRYNNIRQLPTTMASLTSLKELNVSFNELESVPESLCFATTLVKLNISNNFADLQSLPRSIGNLEMLEELDMSNNQIRILPDSFRMLSRLRVLKTEGNPLEVPPGNVIEMGAQAVVQHMADVVEKRDAKPQPVKQKKSWAQICCFSRSNKRKRNGIDYVVQA >Solyc06g072690.2.1 pep chromosome:SL3.0:6:44962513:44964030:-1 gene:Solyc06g072690.2 transcript:Solyc06g072690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIVKFIQLAKIIQILGLCVPHVPKKVDVGKQADPVHYTVSQMDPEAARNARDSLDLVFHMSNILDTGLDRHALSILIALSELGFNPEALAAVVKELHRETPVSSSVQSSAPSAP >Solyc08g044330.2.1 pep chromosome:SL3.0:8:21583623:21584422:1 gene:Solyc08g044330.2 transcript:Solyc08g044330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVRIEQDEVDEMMYTTTIRPLNKEPIIVETLWNSSSNCALVNNWVSKIKKIQEKKYYGKYPLLLWKLFSDNNTIVVGLGIEQVVKKLEKDYNMKISKWVDLRYKAREMATFGEIANNCSVEKLANKVLGEEWHVNKPSTMEWFNSQDDCLLSDEKIKFGSLESFLAYRIAVHLLL >Solyc05g021410.2.1 pep chromosome:SL3.0:5:27336080:27337946:-1 gene:Solyc05g021410.2 transcript:Solyc05g021410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNVFVDVRHDIVYAYGWPSRLVRPIWKVKRAPKLSYASFRRHFLGDRDFDVKNAKFFRGRPSRPCLCIRLAITLVRPIWKVKLAPKRAYASFRRFYPFFGPCLCIRLAITACATNLEGHTSPEASIRLILTIFVPCLCIRLAITACPTHLEDSYIKMPNFFADVRQDIVYAYGWPPRLVRPIWMVKRASKHAYASFRLFSCAIAHHFLGGRDSDVKNAKFFRGRPSRPCLSIRLAITACPTHLEGQTSPEASIRLISTILCQIFSWTFVKTLSMHTAGHHGLSDPFGRSNEPRSKHTPHFDDFHYFLGDRDSDVKNAKFFCGRPSRPCRHTVGHYGLSEPFGRSNEPRSEHTPHFDDFRVLLHTIFWVIGIPTSKMPNFFVEVRQDLVYAYDWPSRLVRPILEGQTSPEASIRLISTIFVCYCTPFLGDRDSDVKNAKFFRGRPSRPCLCIRLAITACPTHLEAYASFRRFSCAIAHHFLGDRDPDVKNAKFFRGCPSRPCLCIRLAITACPTHLEGQTSPEASTRLILTIFVCYCTPFFG >Solyc05g012410.2.1 pep chromosome:SL3.0:5:5663259:5665257:1 gene:Solyc05g012410.2 transcript:Solyc05g012410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKNTSAKGKEKKEDEHDEQDGYSVQSPCQPASSHKEEQSQVELEIRLLEALEIYPLAKLRGIHRHFVLFGLTENLSRSLRRPFTPDDVLKLLDRFYDLNMVKPDDGDTRIFKKEEGFSLPHSYFMMDEEP >Solyc04g081620.1.1.1 pep chromosome:SL3.0:4:65676327:65676539:1 gene:Solyc04g081620.1 transcript:Solyc04g081620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILCADSTSEDMLVMSVRSANVTYAARFSFGNHCVKQALLSAIRPNPSIVYGNISFQGLIDSFFMCCFA >Solyc11g013460.2.1 pep chromosome:SL3.0:11:6482175:6494904:1 gene:Solyc11g013460.2 transcript:Solyc11g013460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIVGLTGGIASGKSTVSNLFKAHGIPVVDADIVARNVLKKGTGGWKKVVAAFGEDILLENGEVDRAKLGQIVFSDPGRRQLLNRLLAPFISRGILMEVLKLWIKGCSIIVLDVPLLFEAKMDKWTKPIVVVWVDPKTQLQRLMIRDGSMEEDAKSRINAQMSLDLKRSQADIVIDNTGSLEALHEQFQKVLTQITRPLTWTELMLSRKGAFLALFPILVGVAICKRTEAALMFCLSLNSELHSMFCPRDDGSDSSLSYFFTGRERLDRFALCCWLKIPQQGQFIQ >Solyc04g008230.3.1 pep chromosome:SL3.0:4:1901948:1904871:-1 gene:Solyc04g008230.3 transcript:Solyc04g008230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIFLLLCLIIIIITSNSINVDARHHISKKTKSKKVISHNNNAPSPIEGSVNEPSPSPLPSSSPVSSPSSNVVPSDPSYGGAPTLDPEEDCIFDVMEYGAVGDGSTDDTEAFVAAWKAACQVESAVLLAPADRIFMITSTIFYGPCKPGLEFRVNGVLMTPEGPDCWPKKDSKRQWIVFYKLDNMTFSGTGTIEGNGEKWWELPCKPHRGPNGSTLPGPCDSPSMIRFFMSSNLTVRGLRIQNSPMFHMKFDGCEGVLIDQLSISSPKLSPNTDGIHIEDTKSVGIYNSVIANGDDCISIGPGCSNVEIEAVTCGPSHGISIGSLGVHNSQACVSNITVRNAIIRDSDNGVRIKTWQGGSGSVTGLSFDTIQMENVRNCIIIDQYYCLSKGCRNETSAVSVGDISYRSIKGTYDVRSAPIHFACSDTVACTNITMSEVELLPHEGELMEDPFCWNAYGIQQTLTIPPIDCLQDGMPLAIGETVDYSCSI >Solyc05g020005.1.1 pep chromosome:SL3.0:5:25633792:25635219:1 gene:Solyc05g020005.1 transcript:Solyc05g020005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYGECFIFDYQTPLLSDWEIKKIKSKLPSADMINIYDTYLQNHATNFGMYSVDGCRDFTKKGDNGTKEEYICADCGRFKTLFFYPHVNPHGGGNVPVIIYP >Solyc06g072012.1.1 pep chromosome:SL3.0:6:44527650:44528305:1 gene:Solyc06g072012.1 transcript:Solyc06g072012.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEKRVFAESANDSNVGSSGKSMPILEESKNIEFTCHSGAETEKPCCQLISEFLENPTVDISGQHFMAGAGKDMQ >Solyc05g005125.1.1 pep chromosome:SL3.0:5:117847:118262:-1 gene:Solyc05g005125.1 transcript:Solyc05g005125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDSRGLVYLHEQCDPKIIHRDVKAAYILLDEEFEAVVGDFGLAKLLDHCDSHVTTAVRGTIGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKAMDFGRGANQKGVVMLDWVRLFLFLWML >Solyc03g063050.1.1 pep chromosome:SL3.0:3:35291205:35293748:1 gene:Solyc03g063050.1 transcript:Solyc03g063050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDHCKAFISCAHGLSLLVNYIYQTLLLMHMNPIIHEDFSALHIDLPILIILGTSCRKSMDIYSNVSSPSLDFVGAPSLSLLGSSFFGSSLIRRNTPEILPSLHNPLIPPEEEEKEAHKHISHDENPSNDARRIPISRQSSYGQAMVNGMNVLSGVGILSTPYAVKEGGWAGLSILFIFGVLSFYTGMLLRYCLDSQPGIVTYPDIGQAAFGTTGRIFVSALLTLTCLVSHIGDWRVIASVLVVLCLYWASLVDHVGFENKGTVHY >Solyc09g089580.3.1 pep chromosome:SL3.0:9:69774781:69776711:1 gene:Solyc09g089580.3 transcript:Solyc09g089580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESPRVEESYDKMSELKAFDDTKAGVKGLVDSGITKVPQIFVLPPKDRAKKCETHFVFPVIDLQGIDEDPIKHKEIVDKVRDASEKWGFFQVVNHGIPTSVLDRTLQGTRQFFEQDNEVKKQYYTRDTAKKVVYTSNLDLYKSSVPAASWRDTIFCYMAPNPPSLQEFPTPCGSATAQLIDYLKTAKIPRSVAAQLIEESLIDFSKDVKKLGFTLLELLSEGLGLDRSYLKDYMDCFHLFCSCNYYPPCPQPELTMGTIQHTDIGFVTILLQDDMGGLQVLHQNHWVDVPPTPGSLVVNIGDFLQLLSNDKYLSVEHRAISNNVGSRMSITCFFGESPYQSSKLYGPITELLSEDNPPKYRATTVKDHTSYLHNRGLDGTSALSRYKI >Solyc01g049845.1.1 pep chromosome:SL3.0:1:46597577:46601400:1 gene:Solyc01g049845.1 transcript:Solyc01g049845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRCHVQAQLFRCFMLRELEGSSVNAILFCINDRKLRFIIREFAITFGLNCSDNVADFCFDTDQPVESSLSIFQEIVLSLKIDWLKLLKRGLKKNQFFPVISLNKSSPKAIKIYTRRSMPRQVTRSRSININSVEKHSDVGTSQNNKREEQKGVNIVDDRQESPIGDFHPQSTYSPHEPQSQSRKLKDQELFFSIKKGDNLKDLNPDSVRLDQVSLGDNLNDLSGTASPYQVVLDATVDAHQIAQKEIENRSDSQVHNNIYNATSVECTVDAKESTIIAAPIRMVYMHNSNQDTTVTESQDEFPDHLFPSMNTLQNIVLKKQVATEVTPLSAVRLRCLGPFNISPYMTSFGSDVEGDFSKEVLDEMIIDYINGYMMLAYAPWHTVDDVFIPVNVKGRLHWILIVIYFSDRCIKVYDSMNNSLHHSFFVNHMKKDCKVYVASYAVFLSERKDIPTDLDPEEVRIDMIHYCGITTFKSYKVELSVIVKRL >Solyc03g063680.2.1 pep chromosome:SL3.0:3:37593262:37596150:1 gene:Solyc03g063680.2 transcript:Solyc03g063680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWIQDERMCYPFMITTMNMNHLTVETEDTFASLLEIAANNDVVACKRWMEYDLSSSIHEVGLWYGHQKGSRKMVLEHRTPLMIASTYGSVDVLKLILSIPEVDVNQSSGQDKSTALHCAASGGSLNAVEAVKLLLKAGADPNSEDADGNRPQDVIVVFPKFQSTKSSLETLLRSDAMGKCSLRVSAATSNSSSPPLLPWLDGSPSSASDSISSPRSAKSNDTPVSCTSERKEYPIDPSLPDIKNGIYSTDEFRMFSFKIRPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKLVCQRGDMCEYAHGVFESWLHPAQYRTRLCKDGTNCNRRVCFFAHTQEELRPLYVSTGSAVPSPRSNNSAVNSMDFAATMGLIPGSPSSVRVMSPAPYTPPISPSANGISNMGWPQPSVPALHLPGSNLQSSRLRSSLNARDLTMLPDFDVHQQQFLNEFSCISQSSVNTNSLNRLAHPMALAPSNLEDLFSAESSSPRYSDQALAQAVFSPTHKSAVLNQFQQQSMLSPIKTKFSPKNVDNSLFQASFGVQTPGRMSPRGMEPISPMSSRMSMLGQREKQQQFRSLSSRDLGSTASAVIGSPTDTWSKWGSPSGNAGCAASTDEFGRLKRSSSFELANNGEEPDLSWVQTLVKESPQDIEDKAVSRVLGLLCSNEDSTSNSQVEQFDQLNAWMEQMKLDKLMAQ >Solyc07g047740.3.1 pep chromosome:SL3.0:7:59079268:59085839:1 gene:Solyc07g047740.3 transcript:Solyc07g047740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CEY0] MAKFGDLSNFLVLCILVGIAGSSYGQLQLNFYAKSCPQAEKIIQDYVYKQIPNAPSLAAALLRMHFHDCFVRGCDGSVLLNFTSSTKNQTEKVAVPNQTLRGFSFIDGVKKAVEAECPGVVSCADIVALVARDSVVVTGGPYWKVPTGRRDGRISNASEALANIPPPTSNFSSLQTSFASKGLDLKDLVLLSGAHTIGVSHCPSFSSRLYNFTGVWGKKDPSLDSEYAANLKMKKCKSINDNTTIVEMDPESSSKFDLSYFQLVLRRKGLFQSDAALTTSATTKSFINQLVQGSVKQFYAEFGLAMEKMGKIEVKTGSAGEIRKHCAAVNS >Solyc10g076390.2.1 pep chromosome:SL3.0:10:59461745:59463755:1 gene:Solyc10g076390.2 transcript:Solyc10g076390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEDKKISGVRGCIKTSRGPWLVHRTAKDGSVVTRFRYPSDRERQKNKQREKNRRRVAHKIFAGLRAHGNYKLPKHADTNDLLMALCKEAGWHVEEDGTIKGKDPVKDLPRLIDVDSAQVSMEDQTKEGDYCKCEDQIKNGEYYCKCEVDMNNVETEKGRPEGSLTSSAFDVNLTLSLSS >Solyc02g072520.3.1 pep chromosome:SL3.0:2:42271079:42275049:-1 gene:Solyc02g072520.3 transcript:Solyc02g072520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKILFTLFIFLSLFTFSKSESPCRNNTDVDSSLVFKAFSSVYGFNISWFSSNCSSPITEINLSSRNLTGIVSWKYLKNLSHLHSIDLSNNSLKGSVHPLFWSISSLVQVNLSKNKLGGAVAVARSSSSIQRLDLSFNRFTNLGSVFYGFPNLTSLDLSHNDIKFLPFWFTNLTKLENLSISSCNIYGNPKPLSHIKSLKHLDVSVNHMDGKLPNDFPPLSSLNFLNISFNNFTGEIPKDQFAKFGNSSFFHAGHLQIKNLLPNPKNSSQLRIKNHNFTTPTHKKMLPLRHKPVKPNTHKKEPKSRKKVLTVALSSASAFLILVMGLVILCLYKRKKQMARKNKWLISKPIQIPFRMDKSGPFSFETESGNSWVADIKEPSSAGVVMFEKPLMNLTFKDLIAATSHFGKESLLAEGRCGPVYRAVLPGDLHVAIKVLEHARELSHDDAIALFEQLSRIKHPNLLPISGYCIAGKEKLVLYEFMSNGDLHRWLHELPTATTNVEDWTTDTWELQNGSQITSPGKMEWHTRHRIAVGVARGLAYLHHAQSKPVVHGHLVLSNVLLADDFEPRIADFGLSRNQAEGSSEMDVYDFGVVLVELLTGKIGSDETIKWVRRLVKDGNGADALDSRLKLGGDSVSEMVECLRVGYLCTAEAPNKRPRMQQVLGLLKDIQPHYQLGKTQNL >Solyc03g005680.3.1 pep chromosome:SL3.0:3:484660:488722:-1 gene:Solyc03g005680.3 transcript:Solyc03g005680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDQGKLFIGGVSWETSEEKMKEYFQGYGDVVQTVVMRDKITGKPRGFGFVVFADPSVIDRVLQDTHVIDGRTVEAKRALSREEQQGPKSGNMGGGRSFGGSGNTRTKKIFVGGLPPTLTEDDFRIYFETYGNVTDVAIMYDQQTNRPRGFGFISFDSEDAVDRVLHKAYHDLSGKQVEVKRALPKDSNSGFGGRSTGNGGSGMGGGSYQGYGDNPSSYDRMDTNRYMQSQNTGGGYPSYGSSGYGTAGYGYGSSNNGMGYGAYGSYGGANPGYGGVNPGYGGAAGAAFGNPNVPGTGYGSGQAGGPRSSWGSQVSSGYGNMGYGNVSWGASSAGGGGGPANGGSAAGQSPTGATGYGNQGYGYGGYGGNDGSYGGGASGDMQSGGGYMGSGYGDASGNSQGSGNYGAQQNGPYGGGAPSRQAQQH >Solyc06g059730.2.1 pep chromosome:SL3.0:6:37701879:37708031:-1 gene:Solyc06g059730.2 transcript:Solyc06g059730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin efflux carrier component [Source:UniProtKB/TrEMBL;Acc:E5KGD8] MIGVNDFYKVMCAMVPLYFAMIVAYGSVKWWKIFSPEQCSGINRFVAVFAVPVLSFHFISQNNPYQMDTKFILADTLSKILVLVLLSVWAICKGQLDWLITLFSVSTLPNTLVMGIPLLNAMYGDFTQSLMVQLVVLQCIIWYTLLLFLFEYRAATILIKNQFPGNVAASITKFEIDNDVISLDGRNPLCTESEIDGNGRIHVRIRRSTSSAPESAFSSSIGITPRASNLSNAEIFSVHTPLHNGDIPFGHGDLGVGFRAASPRLSGGYASSDAYSLQPTPRASNFNELDVTTSGNTPMWVMSPVGGKVFKQASPSSKMAWESSCLNGERQGYRDDVGEKEISFRDISNFPVQEVGAADSSNTNNIIKQEMPNALVMLRLIIVMVGRKLSRNPNTYSSILGLLWSLISFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIACGTKMAAIGMAIRFIGGPLVMSATSIAVGLKGVRLHTAIVQAALPQGIVPFVFAREYGLHPDILSTGVIFGMLVSLPVTLLYYVLLGL >Solyc05g011810.3.1 pep chromosome:SL3.0:5:5042584:5047223:1 gene:Solyc05g011810.3 transcript:Solyc05g011810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSGKGHHVEEEEDEYDFGSNRDATPSSNSTKDGKNSDKANAIRSKHSVTEQRRRSKINERFQMLRNLIPHTDQKRDTASFLFEVIQYVQYLQDTVQKYEGSYQPWSSEPTKLMPWRNTQWRAQSFPANPQALNNGTDAGPTYSGRFDENLLTVTSSMQANQRNPLESHSGGDVKSMDQGKELARTAIATSMPLQASMPVPFQNDSAFSDSLPTPASDECPRTTNALNDQEFMVEGGTINFSNTYSQGLLNSLTHALQATGLDLSQASISVQINLGKRANKERALGPSVAKDTENPPPAPGDQFLEFRDTNNGEELNQAQKRLKK >Solyc01g081640.3.1 pep chromosome:SL3.0:1:80686077:80690362:-1 gene:Solyc01g081640.3 transcript:Solyc01g081640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYHFNNNGVFQVISIVPSLDDDPWKSRGFYLRVSDSQHSAYVSVSEQDIELILSDKIQLGQFIHVTRLDSGSPVPVLRGLKPVPNRRPCVGDPKDLISSDFLTARNRPDPKRGKKNGEVKRVEVKTKINRVVLSEDVKSRRQSIGNGKVDGLEMRRLSFDSVRRGWDRSPGEKNGAGATPRSKSKTGFPGSDSLPSVKKPSLDKVSTPRHSTASISPLTSRNNIVSPRSVSKPTRKDVELIVSPRSVSKPTRKNLELIVSPRAVSKSARKDLELSQDKTLPCNLTKVALSFKNWSDSRISWSSLPSTVRDLGKEVTSSRNVAFLSAMHALEEAAAAEGVIQCMSMFAELCESSKKVPAGQLIEQFLNLHQNMQKAASLTKSLVMRTPEAKGNDKLCLQDPFPEICHNFTNKNAASWIQAAIDSELSKFCLFTKEDKNGNLDNEKSHHIILESTPKISEAENHSPVNKQSTKANGLSGPNSSRRSSVSKPKQHLPATTRRTNIEREEWSEGSGVKEAANLAEKLLSSSRAWFLNFLEDALSKGFGLDTVDGNSEIVDLLGQLKSVNQWLDDMLKLGNRIDERVEGLKQKLYRFLLDHVDSSILGGK >Solyc06g075555.1.1 pep chromosome:SL3.0:6:47057861:47058432:1 gene:Solyc06g075555.1 transcript:Solyc06g075555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPSHIWCKCKLQRHSIYNIVVQNAYAKAMQKRRKIWISHKFIEKKSYFLLAKLMNGKSILKKFNSKEPIRHLSVKRLRTTVVLKKLPSSTSLGSGIVIEADRCET >Solyc03g083660.1.1.1 pep chromosome:SL3.0:3:55036374:55036958:-1 gene:Solyc03g083660.1 transcript:Solyc03g083660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMSICKTLLFPLLTIALFISLPQTSRAATNEAYTNFVKTKCNVTTYISLCEKTLIPYASSVKTNSTRLCKAALDVTIKASKNASSTVSTLRKQKGITRIEASIIKDCIEDVKDAVYELKQAVEAMKHLGDKDKAFQLSNAKTYASAVITDADSCTEGFSGREVNPTVKEMINSSMANITKLASNALALINHLY >Solyc12g036727.1.1 pep chromosome:SL3.0:12:47953973:47955073:-1 gene:Solyc12g036727.1 transcript:Solyc12g036727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFPPNFYFAAGFELLGCLFVLESCGRRIIALKLIAVTEFAAPRKLNLCPCVASICGVAMPSSVVANKFVC >Solyc07g064040.3.1 pep chromosome:SL3.0:7:66467844:66471490:1 gene:Solyc07g064040.3 transcript:Solyc07g064040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSPESTNWLYDYGFEDSCVPDSNFSASASGFNWSVQNLNGSRNVSSEIDGSIGESDYPKESGSKKRARVESCAPTSSKACREKLRRDRLNDKFMELGALLEPGRPPKTDKSAILVDAVRLVTQLRDEAQKLKDSNLNLQEKIKELKVEKTELRDEKHRLKAEKEKLEQQLKTTSAQPSYLPPAIPSAFAAHGQFPGSKLVPIMSYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Solyc09g008150.2.1 pep chromosome:SL3.0:9:1610217:1615018:-1 gene:Solyc09g008150.2 transcript:Solyc09g008150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LCFGKREEFTKWGGGILRNLNMIVGGNAEDKREKESTVDNTREERIGTDDFGRAVSRTAVAQICESIGFEIFNESALESLADIAIKYILDLGKTANSKANIAGRTQCNVFDIIQGLEDMCASTGFLRASEVNRCGLSSGIVSEMVEYVESAEEIPFSQPLPHFPVVKQPNLIPSFLQIGETPPFKHIPPWLPAFPDPHTYVRTPTWNERASDPRADKIELARQRRKAERSLLNLQQRLVCNGSAVASTSRQPDDVGITSSASKSENPFLAKPFQAGEKDVDPVALPTKLSSEVDDKNHVSLLETFSPAIQAMKDGLSETVDGTEKTLPDKRPAVCLEFRPGKKALGDSLDLRLWKKGSRNASLFRRDEDRDDKKRRAELILRQSRENQQELTQL >Solyc03g098260.2.1 pep chromosome:SL3.0:3:62049384:62053355:1 gene:Solyc03g098260.2 transcript:Solyc03g098260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRGHWRPHEDEKLRELVAKYGPHNWNAIALNLQGRSGEKL >Solyc09g066380.2.1.1 pep chromosome:SL3.0:9:65039462:65039719:-1 gene:Solyc09g066380.2 transcript:Solyc09g066380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VISIFLKKSVKSLPKPRNWIEKKRDSSCKISNETIAGIEILFKEKDLKYLEFLFVYYIDDPIRKDHDWKLFDRLSLRFHLFLKKN >Solyc08g077800.3.1 pep chromosome:SL3.0:8:61828786:61835317:1 gene:Solyc08g077800.3 transcript:Solyc08g077800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQFHEHIITELLEDTNGGLVVTSSGLGLHKLISSLFILHHHSQGCLLVLSATPPQKSSILRNYENDIQSLISGEVPNLPSEITFDLPANQRLALYTSGGILFITTRILIVDLLTHRLPTTAVAGLVILNAHSLSDTSTEAFIVRILRSTNRSLYVRAFSDRPHSMVSGFAKAERTLKCLFLRKLHLWPRFQVYVSQDLERDPPEVVDIRVPMSTYMIGIQKAVIEVMDACLKEMRKTNKVDVEDLTVENGLFKSFDEIVKRQLDPIWHTLGKRTKQLVSDLKTLRKLLDYLVRYDAVTYLKYLDSLRASESFRSVWIFAESSYKIFEYAKKRVYHFGRTDSGKLGLSKTVSTKKRKLNDNKKDEVAQQCRAVKHDIVPLVFVCLIDQSTSTETMVVLEEVLEEPPKWKVLLDVLMEIQEERDKQASSGEEKDHLGDGDDNGIVLVACKDEYSCMQLEDCITNGSQKVMCEEWEKYLLSKVQLQALPKRNAKKAKEPKGFGVLDAASEVSKRINKDIVGEDDNQKHVDVGGSVNRKGKGKKKKGSAGENCNNDVAPEDDAMEGGCLNEVFLRKHDLGLDAESSRETKKLPPVVFYALESDKKILDILKPSIIIAYHPDIAFVREVEMYKAENPSRKVKVYFLFYEESTEVQKFEASVRRENGAFESLIRQKSLMMIPVDQDGRCLGLDSSNEPQSVISQNHVTRKAGGRREAEKEMQVIVDMREFMSTLPNVLHQKGMCIIPVTLEVGDYILSPLICVERKSISDLFGSFASGRLYHQVEMMSRYYRIPVLLIEFSQDKSFSFQSASEIGDDVTPNSIISKLSLLVLHFPRLRIIWSRSLHATAEIFASLKSNQDEPDEVKAIRVGVPSEEGVVENDVRAENYNTSAVEMLRRLPGVTDSNYRAIMDGCKSLAELAMLPMERLAELMGGQKAAKMLREFLDAKYPTLL >Solyc04g074300.3.1 pep chromosome:SL3.0:4:60386666:60388501:1 gene:Solyc04g074300.3 transcript:Solyc04g074300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERGAGDRGGFGRGFGGRGGRGGDRGGRGRGGRRPRRETEEEKWVPVTKLGRLVKDGKIRSLEQIYLHSLPIKEFQIIDTLIGPSLKDEVMKIMPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGGIILAKLSVIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLMKTYGFLTPDFWKETRFTKSPFQEYFDILAKPANKVIVYATEEAAPERVEA >Solyc05g012367.1.1 pep chromosome:SL3.0:5:5628975:5631917:-1 gene:Solyc05g012367.1 transcript:Solyc05g012367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCHGGGYLNSSGPSSSPAYYPSNGSNGKGGYRPRNNGDARQGGRSNLYCDYCHYRGHTNESCYKLHGYPKKKRGSSSHANSAATGGHQLPESGAYDDSSVSTNAKSYGTSPNNLSLNTQGMSLFTNEQYNQIIHMLSKGKGKEADFMANVATARWQMLQLQVHQCTDLGFKSDMKVNFSTGAQVAISHVGDSLVLKDKLVKDVLFIPDFHGRVLGLVRKIKRVGKEFFVILVYVDDLLVTDSNLNLIQQVRKDLQHRFKMKDLGELKYFLGIEFFRNTDGILINQRESMHLDLQGVNLHPLP >Solyc06g068840.3.1 pep chromosome:SL3.0:6:42772216:42783676:-1 gene:Solyc06g068840.3 transcript:Solyc06g068840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNCCSDENGGHSGVGGNGAYAANQNNGHNEAFDALLKSRGYQGLYSHVELSLSATGLRDRDVLSKSDPMVVIYSKGRDGSLQELSRTEVVPNSLNPKWITKYTIAYHFETVQNLVFRVCDVDTQFHNQDVKMLKLEEQDFLGEASCTLSEIVTKSNGSLTLDIIRGEQSSGPTHAQKSGKLTICAEESVASKTTVELKLRCSELVSKDLFSKSDPFLVISKYTESGMMVPICKTEIIKDDHSPKWKPIIVNIQQVGSKDSPLTIECYDFNSNGKHDLIGKIQKSLSDLEVLHSTGSSTNLFTSAAVGQSSQNKVLKSQLFVDSFFEKTQHTFLDYLAWGYELNFMVAIDFTASNGNPRLHDSLHYIDPSGRPNDYQRAILDAGEVLQFYDRDRRYPAWGFGARPIDGPVSHCFNLNGSSDYCEVEGIQGIMTAYMSALFNVSLAGPTIFGPVVTSAADIANQSLMKRERKYFVLLIITDGVITDLQETMDALVHAADLPLSVLIVGVGGADFKEMEILDADKQRLESTHGRVAVRDIVQFVPFRDVQSGDSVLQALLAELPSQFLEYMRLKRIQPT >Solyc10g079110.2.1 pep chromosome:SL3.0:10:60847575:60852870:-1 gene:Solyc10g079110.2 transcript:Solyc10g079110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAAVIVPMGIIFFISGLFINLIQAACFVLIRPFSKNIYRRINRIFAELLWLELVWLVDWRAGVEIKLYMDPETFRLMGKEHALLIANHRSDIDWLVGWVLAQRSSCLGSALAVMKKSSKFLPVLGWSMWFSEYLFLERSWAKDENTIKSGLQRLRDYPQPFWLALFVEGTRFTQAKLLAAQEYATSAGLPVPKNVLIPRTKGFVAAVSHMRSFVPAIYDVTFAMPKSSPAPTMLRIFKGQSSVVHVHIKRHEMKDLPENDEATAQWCRDIFLAKDKLLDKHIAEDTFGEQQLQNVGRPVKSFVVIASWACIVLFGAIKFIHSTALLSSWKGVAISAALLAAVTLLMQILIRFSQSERSTPAKQSTVNQHSTNGQQKQH >Solyc10g007170.2.1 pep chromosome:SL3.0:10:1609301:1612585:-1 gene:Solyc10g007170.2 transcript:Solyc10g007170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDERRFSGKVYSRRNRIPLKKNVSPATSAGVTEPETKVTFPVDSDERRFSGKVYSRRNRKSSLKEGVSLATAAGETTLETKVSETLEAEQPAKVIPQADLLITDSTSGFVPEGGVELGEGSRPDRSLISRAEDRVRVRLNKSRSPVAIGEARRVFEGELDEVRGMVTRVEAKEKLQHTSGKHRGLVLKTTRPISSYRIPRFTADFALKNRCPNLAGRVDVPKHPFRPPSLASIANNGIVEREKRDRKPNRYYYSSEYLLENDKLPFEIYKKSMKKNQKEAKNKGFAAGLKQGTSGGTRSSSIHVEDVRVANNGNSDSGSSSSG >Solyc02g014220.3.1 pep chromosome:SL3.0:2:15846323:15850772:1 gene:Solyc02g014220.3 transcript:Solyc02g014220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4B4M1] MGISSKLQLISVLLVGLLALEAVSYNFDYGNAMDKTLLFFEAQRSGKLPPNQRVKWRGDSGLKDGFLQGVNLVGGYYDAGDHVKFGLPMAYSLTMLGWSVVDYRKEIADVNQMGNTLAAIKWGTDYFIKAHTQPNVLWAQVGDGDSDHYCWERAEDMTTPRTAYKLDPSHPGSDLAGEAAAAFAAASLAFKPYDSSYSNLLLVHAKQLFSFADTFRGLYDDSIPNAQAFYTSSGYSDELLWAAAWLYRATYDEFYLKYVVDNAVSLGGTGWAVREFSWDNKYAGVQILLTKILLDGAGGSYTPTLKQYQAKADYFTCACLQKNDGYNVALTPGGLIYVREWNNLQYASSAAFLLSMYSDYLSEKKIVLQCPEGQVQPSDVLSFAKSQADYILGKNPKAISYLVGYGQNYPIHVHHRGASIAPISVLHTAVSCIEGFETWYRRPQANPNIIHGALLGGPSKTDDFSDDRSNYEQTEPTLSGSAPLIGLFVKLQSLSGYRGSYRHISPTPYQKPPASYHPQPSTPYYKAPGSSNYKAPGAPVTFLHSIISTWTIGPTTYYKHRVVVKNTSQKPITNLKLIIENLSGSLWGLSPCPEKNIYELPQWIKILKPGSELTFVYVQGGAQAKVSIKSYH >Solyc06g060100.3.1 pep chromosome:SL3.0:6:38119402:38128098:-1 gene:Solyc06g060100.3 transcript:Solyc06g060100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mannose-6-phosphate isomerase [Source:UniProtKB/TrEMBL;Acc:K4C6J1] MEEVNGGLIKLICCVKKSDWGRIGQESTVARLYYRNTGINIDQNQPYAEFWMGTHESGPSYVGDTENLTLKEWIERNPSVLGETVLNKWGTDFPFLFKVLSVAKPLSIQAHPDKDLATSLHKEQPSAYKDDNHKPEMALALTQFEALCGFVSLEELKVIVRTTPEIVEVVGNSKAEQVLILNDDEGKEEIRLLLQSVFTDIMTVCKDVTAEVLAKLISRLNFEGQARHLTEKEQLILQLEKQYPADVGVLAAYLLNYVKLNPGEALYLGSNEPHAYLYGESVECMANSDNVIRAGLTPKQRDVKILCSMLTYKQGFPDILKGTVVNPYTKRYLPPFDEFEVDRCILPQNSTTVFASIPGPSIFLVVEGEGTMTTSSNKVVAEGDVLFASANTSITVATMSGLHLCRAGVSSRLFELHK >Solyc01g097890.2.1.1 pep chromosome:SL3.0:1:88456602:88458316:-1 gene:Solyc01g097890.2 transcript:Solyc01g097890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSVPNRHHQASYDNLDYEVDMDVEGDEDSTSSPSIAGEVTIAVAGVSTGDSGAGMMNPGKRPRIDDFAIPVILPGAVKKPSAGFDESRKLFQRLWTDEDEIELLRGFLDYTTQRGLNTSSPQHHYDTTAFYEQIKSKFQLDFNKNQLVEKLRRLKKKYRTVVSKMGSGKDFVFKSAHDQVTFDISNRIWSTGGSYARSSPGGGSVGFSAPPLEDGGVGLGLEEDLDVNPNPNPDSIVLYHSPKFNLNSNPNGIAIKTPRSHKRSPIPLEAVKVEHQPFQPPGIRINVQMPATNSNPVAAVPAAPPVSGVAAPQVSGVATPPVGGTAAPLVAGVAAPAPTTGAASVPNLIEETVRNCVSPIFKELLNNVANLNGSARGFGFGFGGIGMSPMGLGMSPMGLGFGGGAMSMEMMRDEKWRKQQMLELEVYSKRLELVQDQVKAQLEELRSMGSNT >Solyc12g019240.2.1 pep chromosome:SL3.0:12:9874202:9877035:1 gene:Solyc12g019240.2 transcript:Solyc12g019240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVMSPSKSIQKFEMINTIQRLGVDYYFEHEIQESLSYLYEGYEEWIDEVDDNVDNLHVVTLRHKVIMSRVTFFRKFTDEHGNYKEALVRDVQGMLSLYEAAQFRVHNEKILDEAMNFTNTQLKLILPKLNDSLAQQVSSALKFSIRDGMVVEGIGTREGIYFEPQYSVSRKILTKVLCFCSIMADTYDTYGTLDELTLLPNAIE >Solyc02g032510.3.1 pep chromosome:SL3.0:2:29016568:29021101:-1 gene:Solyc02g032510.3 transcript:Solyc02g032510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCVSCKEDYGSSDAGTCRECYEEASETEEELKREIEDLKAKVNFLRFWSPSQHLHQQQRTTPFFSDIVLVASHDESGKSTPYPVPVPANRALLASRSPVFRAMLENEMEESLSGTIKISDVSYEALRAFVTYLYTADACLDDLMACDLLVLAEKYQVNHLKTYCEKFLISKLNWENSLPNYGFAHQHNAKKLLDAALSLIMENMDKLSNREEYKELVDKDPRLVVEIYEAYLSKQVNTAVQKDPVAKA >Solyc05g047600.3.1 pep chromosome:SL3.0:5:59953155:59957962:-1 gene:Solyc05g047600.3 transcript:Solyc05g047600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-specific serine/threonine protein kinase [Source:UniProtKB/TrEMBL;Acc:K4C0Z3] MSSSTSTSSPFSRSRTRLGKYELGKTLGEGSFAKVKYAKNIQTGENVAIKIINRDRVLRQNIMEQIKREISTMKLIRHPNVVRIFEVMASKTKIYIVLEYVHGGELFDEIARHGRLKEDEARRYFQQLINAVDYCHSRGVFHRDLKPENLLLDSFGILKVSDFGLSALSKQVRDDGLLHTACGTPNYVAPEVLTDKGYDGTTTDVWSCGVILFVLMAGYLPFDEPNLNALYRKILKATFSLPPWLSSSSKNLINRILDPDPLTRITIPEILEDEWFKKDYKPPPFEQDEDVNLDDIDAIFNGSDDHLVTERKEKPASVNAFELISRSKSFNLENLFEKQALVKRETQFTSRSPANEIISKIEETARPLGFSVQKKNYKMKLQGDRTGRKGHLAVATEVFEVAPSVHLVELRKTGGDTLEFHKFYKNFSSGLKDIVWTTEQTTEQPSEEKGS >Solyc06g069355.1.1 pep chromosome:SL3.0:6:43220544:43222090:1 gene:Solyc06g069355.1 transcript:Solyc06g069355.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLKVMVVLVFILLSIKDTTIAHTTTHDQVKEKIVIVDEAGNDIDHKEVYGRVKGNRASLMDFNAADYHVATTHPPRNN >Solyc09g007460.3.1 pep chromosome:SL3.0:9:1021061:1025905:1 gene:Solyc09g007460.3 transcript:Solyc09g007460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWPALVAAAAAGSGFLAKKILNQNATEPISGSTESDSKCDKLNDPEEFMTSFQHKDSIFTSICDKPFNPQGHKDSIFISTFDKHFDPEGTNTPFQHKDSNFICNLGYSIQEKSEGFSDGSIFRFSSACDSEMGFRNLRKKNVEGSRKTKGNVMEWKGKSGGKCGNVRSGEKELFRLDERKRGNGKRFYVCLKKRRTNKVPSGKCDSCASKGNSFFGYGLGIGMMCMMSAGKSEINRLNTTMDETAKAVEELKAELSRKKVAHNLCASKNEVDMDEKNNRECRIHVIAENNNENRNIYRALDLQVAEEGECASSVITEEPQPEVMEMDQLEAELESELLKLPWCATEVMDLNGGRDPCQDEFLEKEFNQADDRNAETYLCNGVLPSELDQKLCHLLIEQQEGQIVELESELRQTHSKLHEKEAELQALKDCVRRLTEFSLGNASDEETDGKMEDEIIVGGDQEKKIEPEVGKSIIGMKRSMIF >Solyc04g005865.1.1 pep chromosome:SL3.0:4:558704:559128:1 gene:Solyc04g005865.1 transcript:Solyc04g005865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTWTRSPFQTQFIHVIYRYSKRKTLGHLECTKTFVASFGEISILAVGFKVRSLEDFTKQRKMCFVVFVDRNFYVIAGIGKTELSKVLLTHYGDYYYLKQEN >Solyc06g066380.1.1.1 pep chromosome:SL3.0:6:41792624:41793949:1 gene:Solyc06g066380.1 transcript:Solyc06g066380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTNLYRVLRQAAVNSKSSWILLRTFNSWSFAIKSAKSPQRALTLYAQMQRQAIPFDSFAVLFTLKSCTYLGNLSLICHLHAHLLKLGFNIHVYVATSLLNAYIGTSFQYACKLFDEIPVRNTVTWNTMITCFSRSGDVKKAREMFDEMPMRDLASWSAMIAGYMNTGHWEEGVALFREMVIFEQLKPDQVTIGPILAGCSEMGSIGLLLGKSVHGFAVKNNWELNVELGTCLVDMYAKCGFLNFASLVFDMMKDRNVVTWTALICGAAKHGFGTEALEIFKKMRQGGVVPNEFTFTGVLSACVQTGLVDEGRGYFKMIKECGLRPTIQHYGCMVDLFGKAGLLREAYEVINTMPREPNVVIWGSFLSSCKLHKQFEMAERVIERVMNVVRPENDGGVYTLISDLYVLGDKWEDAERVRQLMLHQNVRKARGCSFIRNNPT >Solyc10g085360.2.1 pep chromosome:SL3.0:10:64689766:64694669:1 gene:Solyc10g085360.2 transcript:Solyc10g085360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYNGVYHLFYQYNPKGAVWGNIVWAHSVSTDLINWVHLDHAIYPSKEFDQYGTWSGSVTILPNNIPVILYTGIIDANNTQVQNYAIPADASDPLLREWIKPDENPLIVADISINKTRFRDPTTAWLGRDGHWRMVVGSARRHRGVAILYRSRDFLKWTKAQHPLHSSSKTGNWECPDFFPVSLNHTNGLDTSVDGENVKHVLKVSLDDTRFEYYTIGTYDTKNDRYIPDHKMIDGWKGLRLDYGNFYASKTFYDPSKNRRVLWGWANESDAVPRDAIKKGWAGIQAIPRKLWLDPSGKQLVQWPVEELETLRNKKVELRNHKLNKGEIVEVEGITAAQADVEVTYSFSSLKNAEEFDPSWAHLYAKDVCAIRGSAVQGGLGPFGLLTLASENLEEYTPVFFRVFKVKNKYKVLMCSDASRSSVRNNPKMYKPSFAGFVDVDLSDKKLSLRSLIDHSVVESFGAGGKTCITSRVYPALALFSDARLLAFNNGTETITIETLNAWSMDKPDK >Solyc08g062360.3.1 pep chromosome:SL3.0:8:51024056:51025598:1 gene:Solyc08g062360.3 transcript:Solyc08g062360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTEKRLYDAAAKGDVRVLQGLLEQDVLILDRLALTCFSETPLHIAALRGHIEFVRLILAQNPQLAADLDSRKLSALHIASAKGHLAIVKMLLLVNPEICLACDRDGANPLHLAAIKGRVEVIKELIHVRPRAALGTMINRENILHLCVKHNQFEVLKVLMEIEWDREFLNAKDGNGHNILHLAVADKQIETVKYLLKTHQIDVNAMDANGNTSLDILVQSRRDVNDLSIGECLREAGGLRAKDISMSIIPNDSGGNNDPPVSSAPAYLGGNQAPSKGDWLSKKRETVMVVASLIATMAFQAGVNPPGGVWQENEKLDDPRTVPLLYKAGESVMAYNHARPYRYFLRVNTIAFVSSLSTILLLISGLPFRRRLFMWGLMVIMWSTVTTIALTYGIAIYIITPRKDSEPLGQIIDIGITVWCGLMALLLLGNTIRLLRAWQKKKHEIRRAAVQKFVNSITVDV >Solyc12g088610.1.1.1 pep chromosome:SL3.0:12:64874994:64875248:1 gene:Solyc12g088610.1 transcript:Solyc12g088610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKCQKKCLYWNPEEDELLQKLVKQHGTKNWFVIGQLIPDRSGKSCRLRWCDQLSSKWIINLLLLKKMILLSILMPNLIINGT >Solyc05g053430.3.1 pep chromosome:SL3.0:5:64361869:64365909:-1 gene:Solyc05g053430.3 transcript:Solyc05g053430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA-directed RNA polymerase subunit [Source:UniProtKB/TrEMBL;Acc:K4C223] MSTMKFCRECNNILYPKEDKEQKILLYACRNCDHQEPAENNCVYRNEIHHSAAERTQVLQDVAADPTLPRTKSVRCSQCGHGEAVFFQATARGEEGMTLFFVCCNPNCGHRWRD >Solyc01g016980.1.1.1 pep chromosome:SL3.0:1:23286395:23287111:-1 gene:Solyc01g016980.1 transcript:Solyc01g016980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLEIFSLFSTVKTASMALCYGKVWMFRRSNTGKRTGDFQVSHVLRERNHLANLLTNRVFDCAGSIKYISFHEPPSKDSKTKNSKNSSLGDKRKGRRLKGQKKYMDRQIPAAEKEQHTPLLLIDSFLVLGSLSRLEKILDSHTTTRRGKEKEGDSATNETAALGLDDNVENPGKDNKMGKQWASLFLKEGDMIVQLQKDDIPKATEKLKKVMILYVVDTEPTIASLERFIASQWNLHE >Solyc03g065190.1.1 pep chromosome:SL3.0:3:42451852:42453486:1 gene:Solyc03g065190.1 transcript:Solyc03g065190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMDVIHVDVLKYLSQALKKTKEAYMVLDNRMNMQKLYFALMRTSVLVISMKGEDVFVMNVGNNRAALQDVQRIKSKHPYLPCSIMNDRVKRSLKVTTAFGMEFYDLLEMQQGNRRRYHDDV >Solyc04g049878.1.1 pep chromosome:SL3.0:4:44623611:44623891:1 gene:Solyc04g049878.1 transcript:Solyc04g049878.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGYIKGTLHFALGIILQSPCRLYGYSNADREVVSQLGDQLQTSKKQTTVARSSVEDGYRALASTAAEITWILYILHDIV >Solyc02g070393.1.1 pep chromosome:SL3.0:2:40684635:40686864:-1 gene:Solyc02g070393.1 transcript:Solyc02g070393.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEAAIIFFQRRLGYQLIQEGNVLSGVQDEIEWMKREFEAMVAFPKDADKRQQRDETVAGWVKEDVLKHILFGFIAKRGEPALDVMGAMDEGWLLERINDYLQDKKYLLAFRSSQGTCPDELKEFTEAIVRKCGGLPLAIVAIGGLLSCKGRNTRVWQSVLDTLDWDHNRDIGRLNKALLFSYNHLPFYLKYCFLYLGLFPEDYEIGRKKLIRMWVAEGFVEGTAQRTEEEVANYYFVQLTDRSIIQAVTIHARDVVKACKLHDLVCDVANQMLQEEKFGSMIKEVDKNIQERQRRLAIYEDADSIPSDINLRGTLINELPKSAKNLRNLQTLDVRNLEVKHLPAGINELQHLRHLLLSSFRDRENGFVKMASGGQDFVKLQTLSGIESDEDLVKQVGSLRSLRKVYIGRMTQANSGDFCQSLERMNKLRSLTVPFEQNIQMESLTKSTKHLEKLKLQVHMKKLPG >Solyc04g015060.2.1 pep chromosome:SL3.0:4:5249742:5261052:1 gene:Solyc04g015060.2 transcript:Solyc04g015060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGKIKQYSNVLERPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNITELERRLEDAGYAVGARILELLCHREKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGAFNCGAFVAGIVRGVLENAGFPAVVTAHFVPVEGQHRPRTTILIKFAEEASILLSYNFLVKKAMDLGGGNGSGGFHGYRRFPQTTSADGIATLNQAIPTTNHLNDNNNASVPDDSECTVREQDRFMPIANVIRIMRKTLPPHAKISDDAKETIQECVSEFISFVTGEANDRCQREQRKTITAEDVLWAMSKLGFDDYIEPLTMHLHRYRECDGGDRGSLRGEPLMLMKSRGAMVDPAASTSIGNNMTHVNYPLPPNFTMTHHHHGYYTYAHMTNDNVATNSQANLDEANNNVESFGEHNK >Solyc10g018815.1.1 pep chromosome:SL3.0:10:10429426:10429949:1 gene:Solyc10g018815.1 transcript:Solyc10g018815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEYRHNKFVTLNCKLAATPMNISLWNNLQRYTMGQQRESFGTLLELRTFVFGTSNLIISYCASTHIVIRQIECFDECFHSRFKSGDFECKVTKLHHYCQLQKLSTLQQLQQLVKPFEMINNNNI >Solyc03g007790.3.1 pep chromosome:SL3.0:3:2342995:2348379:1 gene:Solyc03g007790.3 transcript:Solyc03g007790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQMASNFHYSALALVLLLFVAELCCYIESVSGVVLGSRLLAKENKAWFSDNKTFAFGFTPTKESHDQYQLSIWFAQIPGDTTLVWSPNINSPVTKDAVLEFDNTGNLLLTDRGTTIWASNTSGAGVETAVMSENGNFVLYTDKLSVVWQSFSHPSDTLLPGQPLTASLELVSSKSPSLGGYYTLKMLQQPTSLNLGLTYNVPESFDMSPELYSNYSYWSGPDISNVTGDVVAVLDQAGSFGIVYGSSSDGAVYVYKNDGDYGGLFLALNQSNWKKPSILRRLILEANGNLRLYRWDNDVNGSRQWVAEWAAVSNPCDIAGICGNGICNLDRSKTNASCTCLPGTSKVGNDVSCSGNSSLTGKCGPRHENLTSQFKISTVQQTTYYFSESSVIANYSDKNTVSKCGNACLSNCNCVASVYGLNEEKPYCWILRSLEFGGFEDPGSTLFIKVDANGSFGIGDKQNGDSSDHSQSKHDKVLILPIVLSMTVLIVLLGCLLYINIHRKRSLKRALDGSLIFSGAPISFNYRDLQHRTNNFSELLGTGGFGSVYKGTLGDGTLIAVKKLGKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGTRRLLVYEFMKNGSLDKWIFHSYSNRDRLLIWPTRFRVAIGTAQGIAYFHEQCRNRIIHCDIKPENILLDEDFCPKVSDFGLAKLMGREHSHIVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIIGGRRNLDMTCDAVDFFYPGWAYKEMTEGTPEKVVDRRLEGAIEKEELIRALMVAFWCIQDEVSTRPTMGEVVKMLEGSVDIDMPPMPQTVLELIEEGLDHVYKSMKRELNQFSSFTMTTQPSSNATCSYSTISPR >Solyc09g018310.3.1 pep chromosome:SL3.0:9:14434775:14446508:1 gene:Solyc09g018310.3 transcript:Solyc09g018310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCILCSIQKWSRGVATMLPWLVIPLIGLWALSQLFPPAFRFEITSPRLACVIVLVVTLGWYEVLMPKLSAWRARRNASLRERKRFEAIEMQKLRKTATRRCRNCLTPYRDQNPGGGKFMCSYCGHISKRPILDLPVPPGLGLSNSGILRDLVGKGGKMLNGKAWSDNRWMCGQDWLENGNWVGGSFVSKSDSWSKTGGGFLGVDHCIAEKSYSRVFAFACKALTAFFLSIRWLCSKVFRLSSSRSDASMDAERRAMMDKRGENGGNCQESRGEKARRKAEEKRLARLEKELAEEEERKQREEVARLVEERRKLRDEKMEAEKERGKGSPSAKLRDGKREAEKKRQEKKKERDRGSSKSNSDVEELDKRQGKESVRNRQSDGDRRHQHKNGPESIKTHNSEVIHGFKGGSSSSLNHGNVGTRYLDRMRGTFLSSSRAFTGGGFFGKSNATNIPREQKSNTPIDPVHNASRRELSQSDRIPGKLNPSGDDRSINRPVLIESQPFTAPKKSWQQLFTRSSTVSPPSSNVISRPSVKPQTEILSPSCQTPAVQSFDNPISFGLPSPFTLTSFPCGPASCTTTIPSSPRAIHPRIGDGTGQLLAEELENFEDPCYVPDPVSLLGPVCESLDDFQLDLGFVSDTGLDSPCVVKNLNASSEVTRPSPIESPISRMRVPEERHAGSFLFPNTPNAQDMHTVPMNVSNSVNDVGTWQMWNSSPLGQAGLSLISSSTNWRFSSDLNTSTVAPTPPRTMASLFKNDEQLHSICHPPQTVYTGSCQNGGTQSTVLPGSAESRYPKAPFGTYAGGESQFSLKSEDAAQSEMTYGSPNATAANHPFASSPPNWAKKDWISQRPDEAFGNSPMASASVGGLYSTPNVQSFWSFE >Solyc09g098090.3.1 pep chromosome:SL3.0:9:72488057:72488620:1 gene:Solyc09g098090.3 transcript:Solyc09g098090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METPASKIPRIKLGSQGLEVSRIGLGCRGMSPNHGPPKPEPEMIKLIQHSIDRGVTFLDTSDQYGPHINELLIGKAIKGMREKVQIASKFGISLKEGKMDICGEPEYVRACCEASLKRLDIDCIDLYYVHRIDIRVPIEITV >Solyc09g009520.3.1 pep chromosome:SL3.0:9:2930292:2933423:-1 gene:Solyc09g009520.3 transcript:Solyc09g009520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKKISSASARSHTRKNKQMKSSIPLHSGMFGKIVAVVFVGFVAYAYRAMQPPPSKICGAPDGPPVTSPRVKLSDGRYLAYKEHGIPRDQAKHKIVFIHGYDSNRHDAVVATGLSPEVIENLGVYIVSIDRPGYGESDPNPKRTVKSLAFDVQELADQLGLGSKFYVIGFSMGGQAVWTLLKYIPHRLAGAALLAPVTNYWWPNFPANLSKEAFNQQLPQDIWNLRVAHYLPWLTYSWNTQKFFPACSAAAYNPGALSSQDLELMPKYRANRDLYAQVRQQGLHESLYRDLMIGFGTWEFDPMDLENPFHNKEGSVQLWQGDEDKLVPVTLQRYIAQRQPWIQYHEIAGAGHMFPVLDGMADKIVKALLIGEKNMT >Solyc03g097520.3.1 pep chromosome:SL3.0:3:61289258:61303400:1 gene:Solyc03g097520.3 transcript:Solyc03g097520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSNIVIKQEILEGFTKKPPEKSSPAPQSVFIDLSSSDDSDSDSGSDSGSISGNGSNRPKKKRKRVQDVNFALPLGFLDPLPPPKEPPLPLPAPPNGSNTDLGVSGSSCKQFWKAGDYEGSSSASSVLKSGGIDHVRVHPKFLHSNATSHKWVLGALAELLDNSLDEVSNGATYVNIDMVKNKKDGSRMLLIEDNGGGMDPERMRHCMSLGYSVKSKMADTIGQYGNGFKTSTMRLGADVIVFSRSDGKPGKSPTQSIGLLSYTFLRNKGMEDIVVPMLDYEKREGWDRIIRSSSDDWDKNLETIIEWSPFSSEADLLRQFNPMKGQGTRIVVYNLWEDDQGLLELDFDADPHDIQIRGVNRDERSIQMAKQYPNSRHFLTYRHSLRSYASILYLRVAPGFRIILRGKDVEHHNIVNDMMMTQEVTYRPMPGADGVPKDSNMVATVKIGFVKDAKSHIDVQGFNVYHKNRLIKPFWRLWHAPGSDGRGVIGVLEANFVEPAHDKQGFERTTVLSRLEARLVQMQKTYWSTLCHKIGYAPRRNKKAIAREDSPDYPSSASQPKHNSSAKSSEKIYPSSASQSKHNSSAKSSEKIYPSSASQSKHNSSAKSSEKSNVDGHLNGKHDGKIRRSRNIPSSLEPSSSAEDDSDDDVQVVLPKNKPVGHQNHTNGKDGPRVMHSPPGFGQRVAEQVCSPGGNLKRVTRSSRSKGDADENEGMLPDNLTESLEQLKAENHELKERLRRKEEEILGDLLRDLQHERERSKSLEAQLQESTRKLEELNKEQESLIDIFTEERQRRDMEEENLRKKLKDASNTVQELLDKVQVLEKTRSANYR >Solyc02g032335.1.1 pep chromosome:SL3.0:2:28742787:28750841:1 gene:Solyc02g032335.1 transcript:Solyc02g032335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTWLMHALSNSVNSKLDVRTVDPQILLYIRKWKTEKISRKSRYHRMRIDPTLLCPLITQSQVNSSKLDERREMQLKHAFILTKNTQIINVRAKEEHSMMETTHYFLVVAKRAANFTLNWLKYLDLSKCLKSLTHEKKITRHCLIISGCCCDESASAVHCATISCFFEHHEKHPDPSAVHCATISCFFEHHEKHPDPSAVHCATISCFFEHHEKHPDPKVKQ >Solyc01g005360.3.1 pep chromosome:SL3.0:1:257332:258836:1 gene:Solyc01g005360.3 transcript:Solyc01g005360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTSFPMNDGNSAYSYFNNSQRQKEIIDASKEMVREAIIGKLDVEVMFSSSKLFRIIDLGCSIGPNTFHAMQHVVDVVKEKYNNINLEFQVFFSDHIDNDFNTLFRSLPMDRSYYASGVPGSFHGRLFPSRSIHFAHASTSIHWLSRVPKELLDEKSPSWNKGLIHYGTSNSEVVKAYVAQFEKDMEVFFNARAEEIVQGGMMVFISPFSSYIRLVEFFGSSLMDLVNEGKLDESLVDSFNLPMYFPSPQDMTKVVEKNGCFSIEKMELTHPKSKLVDDFDAKTFIINLRAVLEGLLINHFGSKIAEQVCERTLLKAEEISTWMKANGGKPCQLFVALKRK >Solyc12g027887.1.1 pep chromosome:SL3.0:12:29063947:29081081:-1 gene:Solyc12g027887.1 transcript:Solyc12g027887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSKVKDFAQLGHRHSDGNVGRYEARMVTLLKPPGFVNEKFPNSDSDWVEITSYGSFFHGGKIQGLASTTTERRWVHNFLKELSGAPVKTLVIYCDNLGAT >Solyc11g032105.1.1 pep chromosome:SL3.0:11:25075990:25076558:1 gene:Solyc11g032105.1 transcript:Solyc11g032105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILETKGILKDNFKIKDLGELRFFKGIEFARNNTGILMHQKKYCLELISDMGLSSSKPVGAPIELNKRPTTTEFDLHFSPADEHHELLKDPDPKTSHMDATIRVVRYVKQSPGSGIFMASVVDNQLRAYCDADRASCPNNRKSIIGYMVTYGDSLLS >Solyc05g016257.1.1 pep chromosome:SL3.0:5:15429487:15431858:1 gene:Solyc05g016257.1 transcript:Solyc05g016257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTVLVGTRFKYSSLETLSPCGPQCVYLMSEDSNNTVSNSFLLDNYSRELVQYTGLAWEEFKHIRHAIEFLVHKIQQHYRINRVYWDDRHGTQSVSLDASHNFCP >Solyc01g094600.2.1.1 pep chromosome:SL3.0:1:85900606:85902499:1 gene:Solyc01g094600.2 transcript:Solyc01g094600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKFFILHLVDVFMQYNPSLMNSVFGLAKSFHLVEMHAYKLFVKMSKRSYSSRISLTFRESSFFVDPIKFAEKQNVRAWTSQISSLVRENQSIEAINLFKAMLKDEQKPNYVTVLSVIRAAEKWQPMVRGIHGFTIKMGFEIELPVVTALVGVYSIWDMDTAWQMFNHTKWKDVILWSAMASACVKSGEYVEAIEIFREMQFCGVEPNYVSIVGIVPACANLGALSIGKEIHAYSIKVPSISHVNIQNSLVDMYAKCGSLKASITVFRGIEKKDPVSWRSMIHGCVENGCFNEALSLFSEMRCCCFEPDEGVIREVIGALSQLDEIKIGQCFHSFALKQGFLGCVSVVTALLHMYGGFADIESARSLFDPLKSKDLIAWSTMIAAYAQSECPSNAFDIYRQMQSANEKPNEIIYVSLIQACSSITAEVIGEGIHAQVIKSGNTSNAFLITSLIDMYCRFGRISQGEAIFSECPNEDLICWSSMINGYGINGHGNEALQCFSDMLNSGIKPNDIVFVSVLSACSHCGLEYEGWNWFHAMEEQFGVTPKLAHYACMVDMISRQGNIEEAFEFVNNMPIEPDKRIWGTLLAVCRKTRVSVEISETVSKQLIALDP >Solyc05g007610.3.1 pep chromosome:SL3.0:5:2136081:2155547:1 gene:Solyc05g007610.3 transcript:Solyc05g007610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHNILQSLQHLEDQWGLDMPESLEDVIKFLKREYTFLDFFLSLQNFTDLSYMPEIVHNVQTLFHDAAVNLREISEMDHVDGVTDQLQVNIQKTKLEIKREYLFPKVNKDGIVVTAKFVIDFIDTVVVNLGDLLKVFCSSSLLFVRGPNKEIRDVFKELKLLRNFVCFVTDRFKELKSQPIDFFVHVLEVTSHAAMIAWLYLPSNEKENQETNDLLSDHLNMKIKPIDRSIGKIYIDVLQALRSEWRPIISIDHVDNCVAGFVETLQHNLKAISVSNPNTHQIADLQEMLNLLIANLSIQALEFHLKDIDTVMIDSGILVYSLCEDVVLGEVSIDLPGTIERIKILIYNIIRKEFQSSLSRIHGLGYVDFALSNLHEFQRRYADSLDSVKTQLQIIQTELDGVQPFLKFVAEQQYNIHDKFQNSVSLLIGKAYEVEYIVDACVSKRVPDWCLMRWLVDISSEVAEMQQKKMFEVDLVLPYTIATDTSSKSSNLEKNPGIKEEMIGFEDEIKTLIRQLTRGSRMLDIISIVGMPGAGKTTLANRLYSYNSVVSHFDIRAQCHVFPVYSQRGLLLSLLAMLQVSIDETSLVSEETDELKDILSRILRSKRYLILVDDVWDHKAWDDLKCCFPDDNNGSRILLTTRYHDVADYVKSVYKPHHLRSLTHEESWKLLKMEVFGNERCSPLLKKVGKKIARKCGGLPLSIFLVAGILSNMEETEECWNEVAKHLGINMSNALNDIIEQSYQHLPYYLKPCFLYFGTFLEDEEINVSKLTWLWIGEGFVKDHEGKSLQDIAKVYLENLIRRNLVMNAKRSSDGNVKACRVHDLLLDFCKKKAEKEHFLSWIKWDQNDKSLSSISSQKKLTQRRLVFIEEENLVGWSSSSCLVDSVLFRRIDVSSRQFLKVLNLESTVINSFPTVLVYLRYFAAQTDQDSITSLIANLWNLETLILKPTKGKLKLPVTIMKMVRLRHLCIDNAYFTLNGEEGLLEKLEVLSTPCFTCAKDVELLVQKTPNLRELRCSFVDFRQECLPCLDFVETLEIHLAADSTVSRPYIFPAVVRNLTLSNFFLGSSHRSNIPKLPNLRVLKLVSIFFDNDEWEVRDDEFFQLEVLKLVKCEFLEEWNVSDDAFSSLKHLVLRECPYLKKIPFRFRDKSLSIKVKSCSKSVERKNVLDLVLHNITNASNADTSSQFARNPGMNEEMVGRYYEMDKLRHKLIGGSSNLEVISICGAPGVGKTTLANYLYFDPSVVSRFHIRAQCCLSQKHSRKDLLLALLGDITKDTTDFEGETDYVLADKLRKLLVSKRFLIFVDDIWGSSVWADLKVCFSDFNNGSRIILTTQFYGVASRATKHDSDLYVLHMLSNEESWVLLQNKVFNKERFPLVLEDVGRSIAQKCQGLPLSIVLVAGVLARMPKERHRWEQVATKLSVDIQAHFERTMELSYWYLPHHLRKCLLYLAVFSEGREIQVSKLTWLWISEGFVKTHSERLPEDIADDYMENLVERNLVMVSKRSFDGKIKACHIHDLLLEFCRKKAELESFAQRIKGDIFDLYRKTRTSWRFSLHSRCDNLTLCSYFSNLKSFQFREVRNTAFSSIDHASDIFKRFSFLKVLDFEFTIVDALPQGLTFLRYLAFRTVEDTLSLPTDLLNVETLIVRGVGGRVSLPNTIWKMVKLRHLHIYDQAFFTLNNRKEFSETSSTMDDLQTISSVCFSRVKNADKILEKTPNLRKMRCEVSKFEGSFPAFSKLNKLEMLKISSGEQLTWINDLNIPRNLKKLTLSYFRIDLNEVATLSNLEVLKLLEVTIRSNVWEVNDEQFLRLRILKLENPSFSRWYASDDAFPCLERLELKRCQHLKNIPYCFEHSSSLKSLKIISCNDMLANSVMQTKESSKYLHGASGFEQIAVQWDSDIPETSKVQIRFLKRDFEFLHIFLSFTDLSFMPDFTCKVQGLFQDAVVDLKKVNKIHHIDRVTSRIQEKIRISKLEIRDIHLVLSNKDGIDNPEFVIDFIDTVIQNLDDFVELGDSVFKELKLMRNFVCFVSGRSMEPKSLHAFVTHVLLVVCRAAMIVWLYYSENQEMNSLLSDLVQMKIKLIQPEVRKIYIDVLQAVTHPIIQIENAADCVAGFMETLQHNLEELPTHSQMDVVLTDQILQIAVQWDSEMPETSKDRIRFLKTDFEFLHIFLSFTDLSYMPDFTYKVQGLFQDAVVDLKKVNKIHHIDRVTSRIQEKIRITKLEIRDIHLVLSNKDGIDTPEFVVEFIDTVIQNLADFVELGDSVLKELKLLRNFVCFVSGRSMEPKSLHAFVTHVLLVVGRAATIVWLYYSENQEMNSLLSDLVQMKIKLIQPDVRKIYIDVLQAVTHPIIQIENAADCVAGFMETLQHNLEELPTHSQMDVALTVQTLVLQEMLHLLVDNFRCLSVQVLEFHLQDIDTVVVDSGLCVYSLYEDVASEKVTPDLQGTIERIKTLIYHIIRKEFQSSLPSIHGIGYVDFLLSNLKKFHDRYSSSLAHFTNELQMIQTELERLQPFIRSVALERRNKYDKLQHSVALVIGKAYEVEYIVDACVSNKFPDWCIMLWLLELIKEIRAVAAKIQSLEVDSAPSHGTIDAYPSHTQTINDDIVGFEDVITKLRDQLTRGSKKLDIISIFGMPGAGKTALANKLLLDKSVVDHFDICAQCYMSSVHSRREWLLSILKTLHVSIDENSLLSEETSDLAAMLRQILQTGRYLILLDDIPNDSAWDDLESCFYDANNGSRILLTTRNSNVAYYARSISQPLYLRMLNDGESWTLLKKKVFGEGFCSPLFEKVGPKIVRKCGGLPLSIVFVASILAGMERTEQCWKQVARSLGTEISCYPENIIEQSYQNLPYHLKSCFLYFGMFSDHEEINISKLTLLWIGEGFVKYDKHKSLEDIAEGYLKNLVESNLVMLSKRSCGTKVKVCRIHDVLFHFCKARAHSENLIQRIQRSQGDVTFPKQLGQRRLAFYAEVGDLVEWSSSCSLVSSVLFRKANINASSSIANASDMFHDFRFLKVLDLEFTVIDSFPTNMVYLRYFATRTSHESITSSIHMLWNLETLIVNGMGGHLSVPSTIWNMAKLRHLHISPSFTAEELLEDSELNDLVTFSTPYFSCVKDAELMLEKTPNIRELKCKFKGLSSDQFSVLDFPTHLEVLDIFGDEHVESLPYLVFISALSLEKLKVSYFILGSQHLSNISQLRKLQELELNFVEFEGEKWEVREDEFVELKVLKIVNCSSFREWTVSDDAFSNLQHMFLRCCQSLVEIPSWFAEIHSLKYIEVDNCNGSVVESARTIQNTKVEDYDVDLELVIKTHNSDGVTGRHVDQSPSGGSMARSLPVTIVGNRTC >Solyc03g098090.2.1 pep chromosome:SL3.0:3:61872585:61873524:-1 gene:Solyc03g098090.2 transcript:Solyc03g098090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BJM4] MATSKRIIINSIVVLRILTLSACAAAGVLIVTNNFTLDGDKTKFSDIKGYRYVLAAAAGGVLYSLIQLPFALYQAVKEKRLINGQFLPVFDFYGDKVIGFFLASGVGVGFGVSIELKLLIDQLVDGLESLGASGLDEFGDKNKKFVDRGSIASGVLLVGFVTMAILTILTSANRNGRGF >Solyc03g120950.3.1 pep chromosome:SL3.0:3:70672281:70673549:1 gene:Solyc03g120950.3 transcript:Solyc03g120950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGAKGNGGKVQHVTKASSDQLLRKFAEMDSELEVKKELRLAKRRKRTLQTKVDTNNHVFGERKSLLPPAASQRSAALIVKAKLRARNLNNRSFFGTIEKTWRRTVQGASKVFIEKHYNRHRRLISDTEY >Solyc01g110686.1.1 pep chromosome:SL3.0:1:97223954:97224414:-1 gene:Solyc01g110686.1 transcript:Solyc01g110686.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLGEENLEISCYHKLCSSRVDGDSHKWIDAGNRGKTDELVRKKKSRRSHSAPPFYQDKKKFFATKSRVVRRLHHSAEAICAEIPLSLLINVLNLPPIMW >Solyc10g008270.3.1 pep chromosome:SL3.0:10:2390845:2392538:-1 gene:Solyc10g008270.3 transcript:Solyc10g008270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHLKSKLAPKLERKYVEKNRRNHMKNLCNQLHSMLPTHSSTSKETTMTVPDQIDAAVKHIETLKMNLEKNKKHLEELKMGPNKAQSLNQTNEPGPITKSPPQIEFHEMGPNMVVVLINSLDNIATFNNIIRLCHKEGVEVVSTSFKLNGNSTLQISHEPKVQINKSSPMEFKATSLCDKMKELIYGPSCNNDIESNQHLWDYIIESGLIEFNTIELPPIENHMKNIYETPSFF >Solyc12g044390.2.1.1 pep chromosome:SL3.0:12:60239040:60239435:1 gene:Solyc12g044390.2 transcript:Solyc12g044390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELVQSSSISVSKTEEKEKRTRDETYPVYRGVRMRSWGKWVSEIRQPRKKSRIWLGTYPTPEMAARAHDVAALSIKGNSAILNFPQLVESLPRPASISPRDVQAAAAMDELNSSVSSTLRHSESMETEDQL >Solyc03g006280.3.1 pep chromosome:SL3.0:3:891371:897295:-1 gene:Solyc03g006280.3 transcript:Solyc03g006280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFTLTLINSPANSISPETNSAIRKCPLFIRNLHFTLYRNRRRSISCSAQQKPFKPMTEENILEAVADFDGDEKSVPCLRTYENDIARLTLVGAVDFQQALTAAAADGGEAAGEHIAAGMDAMVVETLFPGPSDEHSTISTRLFLPARKVMEKAHKLRSTITKEMLSGTTSTNILAMTFRQVTLQHLWNFDLVLFIPGTERNMDDLETPREVPPSFAIKSSDERVISVIAEVICLSALESTKKHFFNGTPGGAPKKFFRWFDTHKSIVSKDSSVTLYNLMEYEIVANANVLLQKFSSERANYRPREGRWMGNWLTSTTYFKLEQIGGPEFISWLSERVPAYKLQIDAEKLDNVKFEGWKETATNTWEVFLTHSQMVGLSDVLDMYYEDVYTLPYKQLSLGVVAKSFNSPSSKRSISMSKAISMVLASGIFLVAIRILGQRYLPYLPIRKHYHPGVNPVNSSDMISIQPQSMESCKLEDYCVSVVRRIKEFYCWPGDVVMGSGSSAWIGELPIYLKNEMDSEVLDLNSSSTPSEGIEDEMKAAGEDIASYQIVLSGDGKIAGFQPTSRVAESQWEANPLVKELYGTEKLSPDLIEQGLKRSRGSDAVVLELLMSTNPQSSFALVRPVTENSR >Solyc05g046205.1.1 pep chromosome:SL3.0:5:59228927:59229506:-1 gene:Solyc05g046205.1 transcript:Solyc05g046205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEGKLVSEINIKCDGDVFHEIIMYKPHYMCNICPDEIQNVDIHEGDLGTISSVRFWKFTHVCPNSVQLSQSLLSESSMNLILLYIFVCVDGKEIVAKEVIEKIDEEKKLVKKMMTEGDMLEYYESFYLTIHVETKDENNLVTWILEYEKKNVHVPDPQTFMELCINITKDIESYHIK >Solyc08g082685.1.1 pep chromosome:SL3.0:8:65512551:65520962:-1 gene:Solyc08g082685.1 transcript:Solyc08g082685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSSLIPFATFSDDKLFPKLLSVIIQYPEPLTKQVLIVMLFTTTFFIPSHWFPCYKGMGLWTLLEGCLLLANALAILNEDRFLAPRGWSFQEYSGVRRNSFKGQLLGLIYATQYLRVLLILLNTLCIVVKVNNSGQILKAQTLEFSGSFFEGGFGGGNADEPPTTPWSGISAVEDKEEPQCPPGLRPYETMVVLRPDMSEDERLALTQKYEELLVAGGGMYVEVFNRGVIPLAYSIRRKNKAGETNTYLDGIYLLFTYFTKPESIVALEATLVADDDVIRSSTFKIRKRKY >Solyc04g049850.3.1 pep chromosome:SL3.0:4:43616265:43625435:1 gene:Solyc04g049850.3 transcript:Solyc04g049850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSVTSIPSLNKAFQTLFTHSTTNPSLLHTLSRNLQNSHRPITLTFSLILRRQSHSLNHSFISSSKKPSYGVAKCLSSISSSPHTVDWNDAVSCSEIAEAEKLCLEREEDDDDASATAVKPYIPVRAFFFSTSVDLRSLVEQNKQNFVPPSSRMTNYVVLRFGDTKKAASALGANLSGSDCCYMVVFQYGSIVLFNVSDHEVDGYLKIVERHASGLLPEMRKDEYEVREKPTLSTWMQGGLDYIMLQYMNIDGIRTIGSVLGQSIALDYYVRQVDGMVAEFTDINRGMEKTGTFTMERKKLFQLVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIILIGAEILISVYDIAHKSSITL >Solyc03g034263.1.1 pep chromosome:SL3.0:3:6023606:6024190:1 gene:Solyc03g034263.1 transcript:Solyc03g034263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHENKDLLHVRHANRTVLQFNIKDFATVTCLKCKENVKDFSYPKFTPSRLLQRYFPDATIDTLYYVAL >Solyc01g056575.1.1 pep chromosome:SL3.0:1:54708682:54709423:-1 gene:Solyc01g056575.1 transcript:Solyc01g056575.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRSQTGSLEPKCPTSYLAMSNDVMEPSCYSQATRYAHWRRAMQEEHNALLENGTWQLVPPSSSQNIIRSKWFKPDGPIDRYKARLVVKGYHQRLVVDYVDTFSSVVKPATIHLLLSSAVPNSWHITSSLIGYVITRLKLQFVV >Solyc03g119710.3.1 pep chromosome:SL3.0:3:69735542:69746293:1 gene:Solyc03g119710.3 transcript:Solyc03g119710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SSNYLIIERKTSLLCLSRGLLSTIRHCGAYRWIHTDIYSATHAMGCCCRAGSSWLTTPRLIMNAATLNFRPIFNMCYMSFHRNNTSSPTASYSRRWYKSGSRGGISQEHEIVRHWVQGDALPGGKHHEERFTVASYNILGDRNVSNHGDLYRNVPPAYLDWDHRRRVICEELLGLNPDIICLQEVDKYNDLLNILEKAGYLGSYKRRTGGSVDGCAMFWKADKFQLLEGESVEFRQHGLRDNVAQLSVFEMREAKSRRLVVGNIHVLYNPNRGDVKLGQIRFLASRAHILSEKWDHVPIVLSPIYKFLSSSELNLMLHNRKELSGQRLCHPSQVLGLRREKGILFVLMDRFFNSRWSDEEINVAIGALKSHIAMHPLKLNSSYAMVKGPLTTRDNSGEPLATSFHSKFLGTVDYLWYSDGLAPTKVLDTLPINVLKKTGGLPYKKLGSDHLALVSEFAFLEVIGATEDRLSVKGDIC >Solyc10g077055.1.1 pep chromosome:SL3.0:10:60069244:60074344:-1 gene:Solyc10g077055.1 transcript:Solyc10g077055.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTEMEFTSQHRIEVSQFAPAFSFFNLIFYGPCVPYRGAQFNRGNRAKGFNKLLTRSKKIASACVNLDMKYVRITPNVLSNAFAPFSLLLCLTVLIQEVVQPHVLKFRIILFLHSAHANIKWDRSGTVFGSTFTGDILTVSSYVPSSAYPSEFFYYHRSVVEDRSSKVLDIWYTL >Solyc05g007580.1.1.1 pep chromosome:SL3.0:5:2120182:2121075:-1 gene:Solyc05g007580.1 transcript:Solyc05g007580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELTYSINPTPTPSSTKTPDSEVDTPPLIKPLSFTNGNNHHSHHNQSPPCTAVIYKECLKNHAASIGGHAVDGCGEFMPSPESTPSDPISLKCAACGCHRNFHRREPSDDSSPPAHFIDFRRHIFPPIKRFSPSPTPSPSLSPSLSPPPLPSLFQPQPVTPTGLKSENPNGRKRFRTKFTAEQKEKMHSFSEKLGWKLQKCDEAAVDEFCNEIGVGKGVLRVWMHNNKNTFGKKDYQISNNSSRDHSFENKNGFNINGTASSNEEEDQHRNNNNDNSTTSNCELHLHISTNASSSSS >Solyc02g070870.3.1 pep chromosome:SL3.0:2:41038766:41039917:-1 gene:Solyc02g070870.3 transcript:Solyc02g070870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKNLKQLTPFIKEAFQQTLLVAKFLCGIHVVNSYICTLALTQGPSMLPTFSLTGDLVLAERLSTRFEKMQRGDVVLVRSPENPRKIVIKRIMGMGSDTVRYVVDHANNGIEHTIVVPDGHVWIEGDNKFNTNDSRNFGPVPYGLVQGRVFWLVS >Solyc05g032650.2.1 pep chromosome:SL3.0:5:46441625:46443328:1 gene:Solyc05g032650.2 transcript:Solyc05g032650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLRILLCGDLIIRLNKLFKRVSLVNKAAGPFDLGVGVYFDRKPYAKVDALHVTRFLGFAPVRNNDKQKFIHAISPTPALTMSKTEFSAKPPITTSCPYANVDKAFESQYQRYDVSKKKQKHEDSGGDILCFKFYSSSSCPRGNQCHLRHDEELQNNTQEVCVLTFLTRENVKKVLMKLQAQLARFSDQHLGQQVESHLITSVGEYYYCALAKGSLAPEHVLIMPIEHTPNTLSLPLECENELEQLQSSLKHYFKKQGNEAIFYEFVFMRGSHIFKLALDRSCNLFYVEVPGGDILSHVIKENEKFPAKLRLFILFVVLLLLSCVIF >Solyc07g019450.3.1 pep chromosome:SL3.0:7:11413745:11415877:1 gene:Solyc07g019450.3 transcript:Solyc07g019450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPX4] MSSFESQTRQECLNKRITTKYYDMMSSRSSSSSCNDPPAVTVVIEGRSICQMISLHKLGSYQSLAKTLNQMFVDSGDIIECSSQNNNNNNVDLSNALPGHLIAYEDIENDLLLVGDLSWKDFVKVAKRIRILPAKPNSRTGRVESNI >Solyc12g038407.1.1 pep chromosome:SL3.0:12:50697169:50698243:-1 gene:Solyc12g038407.1 transcript:Solyc12g038407.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERRAKGLCYNCDEQYSATHQCKRLFLFELDDSIDDEIPEVPDDCPKISCNYRISISNGEKVQCLGVCTGVTISIGEHNFIVDLYVIPLGGFDLVLGVKWLQSLGPILWDFAALTISFSVEGKSVLLQGQQGYCGKALHLLGKGITDEQRLEYLLTEFDDLFQEPKSLPLLRQCDHRISLLPGTAPRDGSWRLCIDYHELNAKTVKDKFPIPVVDELLDELHGAKYFTKLDLCFGYHQVRMSSYMWKRRPLELITGTLSFLSCRLD >Solyc01g109600.3.1 pep chromosome:SL3.0:1:96412245:96423533:1 gene:Solyc01g109600.3 transcript:Solyc01g109600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIIDSSRPDNTLTERTQKTSGIRRTASQAFIAPSSSAAVSRGFNDSATADVVLRLFVDQLPLFDTDDSESISAVDSDQSDVQIYLHSDVLCRSKYFATLLSDRWQKESNDVDSGNSLRMFRLNLGVPATPGSIDHHLAVLQLLYTNDFSTTIHNVSVALCLLPVALELIFEDCIKACVRFLEAVPWTEDEERKILSIVPLLGKEESQELLARVSIDKIDTSEQMLHGLILSALHNHPNTAFAKAFVAKLLRDFSSKEAAKRVLDRAFQSSLKIVKESLEEYSSPDFRGDHNEIEAIQRLNLHTAMTNGRHLVWLVERMIELRVADTAVQEWSNQAAFTADLLRALRDDAWRNIVPGLPAVVLRCTCKLSNAVATGTILATRQVRKKIVKDWLPVLILCKDYATPMMPSHKTIYVELEDTFLSIISTLPLSDAQELLQQCLSFSTRNVEDCPHLISAFTTWFRRANKFPLPDM >Solyc12g094620.2.1 pep chromosome:SL3.0:12:65780218:65785116:1 gene:Solyc12g094620.2 transcript:Solyc12g094620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSKMIVTQYRPSSAYDTPFLTTNAGGPVYNNVSSLTVGPRGPVLLEDYYLIEKLATFDREKIPERVVHARGASAKGFFEVTHDISHLTCADFLRAPGAQTPVICRFSTVVHERGSPESIRDIRGFAVKFYTREGNFDLVGNNVPVFFNRDAKSFPDTIRALKPNPKSHIQENWRILDFFSFLPESLHTFAFFYDDVCLPTDYRHMEGFGVHAYQLINKEGKAHYVKFHWKPTCGVKCMSEEEAIRVGGTNHSHATKDLYDSIAAGNYPEWKLFIQTMDPEDVDKFDFDPLDVTKTWPEDLLPLIPVGRLVLNRNIDNFFAENEQLAFNPGHIVPGIYYSEDKLLQTRIFAYADTQRHRIGPNYMQLPVNAPKCGHHNNHRDGAMNMTHRDEEVDYLPSRFDPCRPAEQYPIPSCVLNGRRTNCVIPKENNFKQAGERYRSWEPDRQDRYINKWVESLSDPRVTHEIRSIWISYLSQADKSCGQKVASRLTVKPTM >Solyc01g014727.1.1 pep chromosome:SL3.0:1:14667413:14671690:-1 gene:Solyc01g014727.1 transcript:Solyc01g014727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDPSENPPENPNQLISMEHMQQLFQMFQTLNKNSTNIEPGTSQTVRVTEKLNFTNYTKWCKLMQIAIGGRGRLNHIIVNPISPDDPEYQQWAQKDLMVISWIIENIDGDLMNQFLDYKTARNLWKGIETLLSRGRDELQIYDLNTKATSMKQGIDTIEVYFSKLNTLWKEIDRRMPNPMKCAEDITLFNSFIQQQRLYQFLAGVNDSLDKEKRDILNLDPLPTIDAAYATIRREIARRGIMTGNSSLERGPSEIGSGLVTQRRSDSSFSRSDSSFRREDKTHLKCSHYGGTKHTKEGCFKLIGYPEWWEDLRQRKVATKVTKTGGKANAAIVEGEPTSEASSTTVTNRRTGTSEASSTSVTDRRTGTSGKDGFTKVSGEPWMETEKSMGRRREKILEEPSSRNESEGKQEAPKKSDPLALVNQKPKKSEAQLYKKPKEKQSVGLMCNKSNWIFNCGATDTMSYDPSDFLSSTSTTRTKIQTANGEFIPITQAGDDAQTGRIIGRGIERGGLYYVNEPSHQGETMNDELSWLIYQEMMDHDPPTQVSNTADVNSETSISSPSPQSTPMTTTEHPDSTSVEYILDLLTETGMLGGKPADTPIVANHGLQVIEGAKATDREQYQKIVGKLIYLAHTRPDIAYAVGIVSRFMHLPQIHHMTAVMRILRYLKGTSSTGIYFGKNDSLDIIAYTDADWAGDRDERKSTSGYFTLVGGNLVTWRSKKQKETAK >Solyc10g036840.1.1.1 pep chromosome:SL3.0:10:17111660:17111869:-1 gene:Solyc10g036840.1 transcript:Solyc10g036840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSNNKKHQSSPPRMSHGLCASAGQYGRQETTDNTNCALGKWCRSIASGIAQESFVMGRLYRSWLVHIA >Solyc01g105060.3.1 pep chromosome:SL3.0:1:93228574:93231192:-1 gene:Solyc01g105060.3 transcript:Solyc01g105060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMALSQSVFSTPTSQRFENASFPLPSSMVKQSLVTFRSHSLSTHCKKIRNSVITNCAAPDNDSKIETPIELRYPAFPTVMDINQIREVLPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEAMAQVGGLVMLQPEVGGSKQNFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGDVVCEGEFLMATGSE >Solyc11g010440.2.1 pep chromosome:SL3.0:11:3495515:3498366:1 gene:Solyc11g010440.2 transcript:Solyc11g010440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKQQNDDGGKENAAVAAEEQKTSYVVNPDQGTKPDPKCVQQENTITIASVNENKIGKIENEGIKSANGLNKSKKSVHWSQELVTESYVQRTTGNRGSNPYLVHSPAPVNNSASVNIKNKMENVKDVLGRWGKKVGEATKKAEDIAGNTWQHLKTSPSIADAALGRIAQGTKVLAEGGYDKIFRQTFEADPEEQLRNSFACYLSTSAGPVMGVLYLSTAKLAFCSDNPLPYKNENKTKWSYYKVIIPLHQLKAIYPSSSRTNSLEKYIQAISIDSHEFWFMGFLNYTGAVKCLQDTLQARTLQVV >Solyc11g066203.1.1 pep chromosome:SL3.0:11:52211833:52218507:-1 gene:Solyc11g066203.1 transcript:Solyc11g066203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCEIIRNVEVPSAYVGLRILLVDPDTTILKEHSFKDMHPSNLYVHPGPVEHDVLKIQVHHRSEGFWNGNIKEERSCLYTRREILDVGRVSYDSGLISASIERWRPKIHTLHMRTGEVTITLQDVEILFGMVADGSPMILNGADSLGIIGLDGINDHSTKHEVQQRFRLYLLWLCDGSIFSDKSNNKINLDILIDMRNLDLMSTQAWGAATLSYLYNCLCRASMKKSNEVCGFLSLVQIWAWERIIPLQPLPKASKDQST >Solyc07g053170.3.1 pep chromosome:SL3.0:7:61751913:61752933:-1 gene:Solyc07g053170.3 transcript:Solyc07g053170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRLPGIFAQKKRVDSKHKRAESSVKPKPKLQRLNARKNIDYEPSMLEFSGDKSFRIQGKDGEFIEFFEKLGFSGPDDFAIPTEEWDAMIVRSSSDNHVIDVKSNGSCCGGGGNQCSGSGRVVDLGSNLIKEDSCRYSDSSKASDVIQLQVRSVELNYDRGGVVCAARVINDVSGADSRLGDGVTVNGVVSNGNKPVSLLGGAGGGGIKGLRPPLLAPPPVMSLPIVDDACSTWDIFRAFGPEDHRESGMVGHGICRSEVVNGDEEYMKDEEDEENSTRRILGVSSLLSQSTARQSVCQSSHQMGDSHASLQDGKREVS >Solyc06g010260.3.1 pep chromosome:SL3.0:6:5575917:5585920:-1 gene:Solyc06g010260.3 transcript:Solyc06g010260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHPHPYGEDHDEPTVMGFEVPRSPDASYNNVYPGHIDEGREPPMVPPHLHHTLLNHPATGDESTSLPLPQNAVLNHLYIENGEVPRSVVALGVTHRFRSKYVTVVLYKPVQRK >Solyc11g045030.2.1 pep chromosome:SL3.0:11:32091744:32099446:-1 gene:Solyc11g045030.2 transcript:Solyc11g045030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQIGSTVGSWTHKKIVDPLLQILRRGAEPKQLAFSGALGATLGLFPICGVAVFLCGVAIAVLGSLCHAPTVLLVNFIVTPIELSLVIPFLRLGEYVSGGPHFALTSDALKRVFTGKASWEVLLSIYHALLGWLVAVPFILAGVYILFLPCFTILVRKFSSLQPNKTTSVPSSPKRAVPLSPRKVVQPLTEVRVKVRDV >Solyc04g009000.1.1.1 pep chromosome:SL3.0:4:2579380:2581509:-1 gene:Solyc04g009000.1 transcript:Solyc04g009000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKDTSLFKKLITWDLFTLSNSTPFAKILDSYINTKSQYVIQTVHCRVLKTHFSSEVFINNKLIDTYGKSGVLKYAKNVFDKMPERNTFTWNSMMNAYTASRLVFEAEELFYMMPEPDQCSWNLMVSSFAQCELFDSSIEFLVRMHKEDFVLNEYGYGSGLSACAGLRDSRMGTQLHASVAKSRYSRSVYMGSALIDMYSKTGDVDCAAKVFNGMCERNVVSWNSLLSCYEQNGPVKEALVVFARMMEFGFKPDEKTLASVVSACASLCAIREGKEIHAQIVKSDKLRDDLIICNALVDMYAKSGRIAEARWIFDRMPVRSVVSDTCLVSGYARVASVKTARAVFSGMIERNVVSWNALIAGYTQNGNNEEALNLFLMLKRESVWPTHYTFGNLLNACANLADLKLGRQAHTHILKHGFRFQNGPEPDVFVGNALIDMYMKCGSVEDGSCVFTKMLDRDWVSWNAVIVGYAQNGHAMEALETFNAMLVYGEKPDHVTMIGVLCACSHAGLVEEGRRYFYSMDRDYGLTPFKDHYTCMVDLLGKAGCLEEAKDLIESMPMPPDSVVWGSLLAACKIHREIELGKYVAEKLLEIDPTNSGPYVLLSNMYAEQGRWQDVKMIRKLMRQRGVVKQPGCSWIEIQSQVHVFMVKDKRHTQKKEIYLILNTLTKLMKLSGYVPNAGHLDGDEEQTMLDFNSSEEFEEPVTAAIAC >Solyc11g006070.2.1.1 pep chromosome:SL3.0:11:861881:862408:-1 gene:Solyc11g006070.2 transcript:Solyc11g006070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNPKVFFDILIGNAKAGRVVMELFKDKTPKTAENFRALCTGEKGIGQLGKPLHYKGSGFHRIIPQFMCQGGDFTRGNGTGGESIYGTKFADENFSVMHTIPGLLSMANSGRNTNGSQFFITTVATPWLDGKHVVFGKVVDGYNVVEAMEKVGSDSGKTSCPVLIDDCGEITEN >Solyc07g008103.1.1 pep chromosome:SL3.0:7:2831399:2832952:-1 gene:Solyc07g008103.1 transcript:Solyc07g008103.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKLSSLVVFGSILFALLQHVAMAQQTHVVGDTLGWTVPNGGAASYSTWAAGKSFVVGDILVFNFRSGSHSVAEVSKGAFDSCNTSSPISISTNGPTNITLSSAGSHYYLCTFPSHCTLGQKLAINVSGSASPAPQP >Solyc10g055580.2.1 pep chromosome:SL3.0:10:56959986:56962489:1 gene:Solyc10g055580.2 transcript:Solyc10g055580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVLRSSDGETFEVDKAVALESQTIKHMIEDNCADTSIPLPNITSKILALVIEYCKRHLEVAKVEDKIAEEDLKTFDAEFVKVDQSTLFNLILAVNYLNIKSLMDLTCQTVAEMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENAWAFE >Solyc02g068750.1.1.1 pep chromosome:SL3.0:2:39246460:39247500:1 gene:Solyc02g068750.1 transcript:Solyc02g068750.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable tRNA N6-adenosine threonylcarbamoyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B7K7] MKDKKLIALGFEGSANKIGVGVVAIDGTILSNPRHTYITPPGQGFLPRETAQHHHQHILPLVKSALETAGVTPDEIDCICYTKGPGMGAPLQVSAVVVRVLSQLWKKPIVGVNHCVAHIEMGRIVTGAVDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLTLSNDPSPGYNIEQLAKKGEKFIELPYVVKGMDISFSGILSFIEATAEEKLKNNECSPADLCFSLQETLFAMLVEITERAMAHCDKKDVLIVGGVGCNERLQKMMQIMCSERGGKLFATDDRYCVDNGAMIAYTGLLEYANGASTPMEESTFTQRFRTDEVLATWREKETAIA >Solyc06g074500.2.1.1 pep chromosome:SL3.0:6:46236017:46236962:-1 gene:Solyc06g074500.2 transcript:Solyc06g074500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDFASCFNEYAVQVSDNTSCSSYSNSACIPPSIIPSVQNTVNCLYKVNLSNKKHVLITISWCKTNVTQGLSVHFGDDHPNVFKLNTNTRLFRKKKGSKSMDLDHFKVEIIWDLCGARYLSSGPEPIDGYYVLIIVDSQLGVILGDMAEEASLRKLKNGIPMAKFSLVSRQEHFSGNTIYSTKAQFCDNGNLHDVLIRCNGENDGLKHPVLSVYIDKKMVIRVKRLQWNFRGNQSIFLDGLLIDLMWNVHDWFFNPASGIALFMFRTRSGMDSRLWLDEKDKFLIKDQEKIEFSLLIYASKTT >Solyc07g040770.1.1 pep chromosome:SL3.0:7:49820284:49821265:1 gene:Solyc07g040770.1 transcript:Solyc07g040770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLARDCNPKFLTGGRESFR >Solyc07g032480.3.1 pep chromosome:SL3.0:7:39495869:39500859:-1 gene:Solyc07g032480.3 transcript:Solyc07g032480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHIQEKSVSYTQRWYFTTEEIEDHSPSRRDGIDYEKESHLRKLYCVFLQELGIELKVPQVTIATAMMLCHRFYMRQSHAKNHWQIVATVSMFLAGKAEETPRWLSDLVVVAYKLVYKWDPSAPLRIRQKDIYDKEKESVVAGERMLLVTVAFDLSIQHPYKALVAAMKRLEISNNEMVKVAWNFVNDWLRTTLCLQYKPHYVAAGSMFLAAKFLKVKLPAKKGNPWWMQFDVAPKKLEEVIQKMLQLLEQNQKQVTPSTSSKLTESKPVAEKATSSIAESCISSVSVVAQESRNIGLVKTRGLSTSVTSKFIEKASCNSINTVKEETEHWETSECGSANSAVENGVCQPVKNEGGKEICHAVSVSDHNGKFDIDRIKERLKRRKLEQSSMKKSSMYDEIDSEGWIERELENLE >Solyc11g069110.2.1 pep chromosome:SL3.0:11:54004426:54005678:-1 gene:Solyc11g069110.2 transcript:Solyc11g069110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSNLNHQANNGLPICLAGITRPLPLCKEMTDHIGRREAMKKLRVQMGGKWKTDQVRSNEREFREKINETDLDLIVALLCGLCLSCTAKAA >Solyc02g088720.3.1 pep chromosome:SL3.0:2:51333447:51350407:1 gene:Solyc02g088720.3 transcript:Solyc02g088720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METHVVECSQKQEEDEHIFRSRYPPVHVPDNVTLPEFVLHNVELYADRLAFVDATTGKGYTYGEVERDIRRFAKALRSLGLRKGRVVLVVLPNVPEYAIVALGIMAAGGVFSGANPAAHSSEIMKQVESADGKLIVSDLPTYHKVKDCGLPVIILGEERAEGTIHWDELLEAADRASSRTDHITNQEDEIVQQSDLCALPFSSGTTGLSKGVMLTHRNLVANLCSTLFSISPEMIGQVTILGLIPFFHIYGITGICCATIRNKGKVVVMRRYELRAFLNALIIHEVSFAPIVPPIILALVKNPIVDEFDLKKLKLRSIMTAAAPLAPEILNEFEKKFPDVQVQEAYGMTEHSCITLSHSNQHIAKRNSVGFILPNLEVKFVDPDTGRSLPKNTPGEICVKSQCVMKGIGRYMELSSLMRKFSGYYKNESETSLTIDTDGWLHTGDIGYIDDDGDIFLVDRIKELIKYKGFQVAPAELEGILLTHPSVEDTAVVGLPDEEAGEIPAAWVVLNSKAKESHEDIISYVASTVAQYKRVRLVQFVDSIPKSPSAEQEMKGTEIFVGGLARTTTESKIHEVFSSCGEIVEIRLIKDQIGNAKGFCFVRFATKYAADKALKEKSGYVLDGKKIGIRPSVEQDTLFLGNLNKGWGAEEFESIVRQVFPDVTSVDLAILGDVQLGQKQRNRGFAFVKFSSHAAAARALRVGSQSDFLIGGNLHPSVQWAEEESEVDPNELAQIKVAFVRNVPPGADEDYLKKLFQPFGNVEKVTLSRKGSSTIGFVYFDKRSDLDNAIKGLNEKTVQGPRGGPSSKIQVEVARPTDKNRKRGREDQKMSSNIESHSKLLKDDPNVEMVGGPKSKAQPVMHLSDEMDYLDPYEAAVIALPVLVKERLVRILRLGIATRYDIDVVNLTSLKMLPESAAISVLDQQVEKLGLKQFDSKSRVEDVALRVPEPDSFSTRVRLPHLDSYASRVPLPMARADVYTSRYSAYLDPYQSGRVTTERMEEASSHLQGTSLTYGQVTTRMEEAGSIHKKRMEEANPTLQSFLSGGVTKRRMEEPSPLQATSLPSGRVSRMDEAGPTFQASWSPSAAADRVGLHSRITPTSDHQQTRPRIRFDPFTGEPYKFDPFTGEPIVPESSSHHRSLY >Solyc01g104470.3.1 pep chromosome:SL3.0:1:92826984:92830003:-1 gene:Solyc01g104470.3 transcript:Solyc01g104470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ribosome assembly factor mrt4 [Source:UniProtKB/TrEMBL;Acc:K4B222] MPKSKRNRAVTLSKTKKKGKEHKENIVNSIRECAEKYSSAYVFSFENMRNLKFKEFRDQLKSSSRFFLGSNKVMQVALGRSDSDEIRPGLHKISKLVRGDSGLCFTNLSKEEVQRLFNEYEEHDFARTGTTATEKVELQEGPLDQFTHEMEPFLRKQGMPVRLNRGVVELVSDFVVCEEGRPLSPEAARILRLLGKKMATFRLNLICRWSPDEFEIYREGLEESDIESS >Solyc10g009260.1.1.1 pep chromosome:SL3.0:10:3238348:3238569:1 gene:Solyc10g009260.1 transcript:Solyc10g009260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGVILAWWSSKFHTSNRQLWMVPLGLILLVTPIIICFAILLESYNNHRGINDHQHLECVSKDSSQDLEKSF >Solyc10g009330.3.1 pep chromosome:SL3.0:10:3394461:3400408:1 gene:Solyc10g009330.3 transcript:Solyc10g009330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTTTNKGYAFVYFEDDRDAADAIRGTDNMPFGYERRRLSVEWAKGERGRHHDGGPKSGGNQRPTKTLFVINFDPIRTRVRDIEKHFEPHGKVLHVRIRRNFAFVQFENQEEATRALECTHMSKVLDRVVSVEYALKDDDERGDKYNSPRRDYGRQRDSPYRRSPSPVYRRNRPSPDYGRPRSPVHNGPSYDRYRSPQYGRYRSRSPVRRS >Solyc03g006900.2.1 pep chromosome:SL3.0:3:1439336:1443975:1 gene:Solyc03g006900.2 transcript:Solyc03g006900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDENKGDTTKKQHLSNSPVDPHEQDSPDNTIPLQHPVVSAAPYISSSALYNIPTAAAATSFEQQHQFDAVNTKRPRYTSSQWKFISSHHQQQQQQKAGTILSAESSPISPTAHATTATNIPQTQVPTASSSDTASSPSHSPRPCSEPSKSEGGEQVHQQFRKGKYVSPVWKPNEMLWLARAWKIQYQGSGSSSELVHLEGSPGAGSGRGKTRADKDREVAEFLNRHGVNRDAKTAGTKWDNMLGEFRKVYEWERGAEREQIGKSYFRLSPYERKIHRLPASFDEQVFEELSQFMGSRMRTPQTRIGTQSNSIADDIPTPLIVTKSLPPPPPPFREDELPHSARAKQLVMSRGTETLIHGTRGGFLGFETVQPSSSLDIMGGGPSSSGSKELRRIGKIRMIWEESVSLWAEEGEHHRGRVKLQGCSFLNADEIAFLDDSMVASTMEAFEDGPMKGFSVDRFQSGIQLKVFGRRKSSSPIAPCGPSERLQLPSSEFPIRSTTPWEFQDPTEYYVGCLRSPPPTLPTLFELSWHLQQPPPEELRFPLRRDVFKDLPQGRELFFTTSSTELLDCRGITYDVLTCIMRSNPSLNAATATDRDSYIGLWDDCINRMISKFCSIEMVFVRKSSSSLAETVQDQWPNVTAFLRNFCLWRGEETDQLREGQLDPSSSIVEKFLWSYMDLPYVLGYYAVGFIVTFCALSRSQERIIRTDLYTVDLSTPVERLKALVPCWRIAGLLPLLADKCFHYMSSNFKHLLYTDFERTDFGNGNFMEMTPNTVVRYFSSKRKWLGMKEIYDFLDHRIPHAEFVVRASEKDLALVFKPRGCKFKPVNCDQLIEALKQVTKALVALHDLSFMHRDLGWDKVMRRIDRENEWFITGFDEAVTAPQLYPHGGAAAAGTTGIGRHPPEMGRNYHGVKVDVWGIGQLVKSCGLVGVPKLLRELQNRCLDHNPEQRPTAADCYRHLLQLQSSISAAAAGGY >Solyc04g024840.3.1 pep chromosome:SL3.0:4:28583626:28587027:1 gene:Solyc04g024840.3 transcript:Solyc04g024840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNIFFHLCILISLASLNFLVNCFDIENKVTTTVFVFGDSMFDPGNNNYITNPSAYKANFEPYGISYFKHPTGRFSDGRLLPDFIAEFANLPMIPSFYQALHNHSINHGVNFASAGAGCLDETYREKVIPLNTQLGNFKIIRKKLLAQLGEKGSKAMLSNAVYLFSIGNNDYLRLYDIPDIPSDSSCLAYTTEHEYMNMVMDSLVTVMMEIYKLGGRKFGIQDLLPLGCLPRFRGLALLKKGPHSDCLDELNSVVRKHNLALSRKFKQLKKELRGFEYSFFSIFDALKELYENPSTYGFKEARAACCGFGPYRGFGSCGMAEAYELCENVKEHVIFDSYHPTEKAFHHFAQLWWQGNSNVVESQSLKSLLA >Solyc04g050855.1.1 pep chromosome:SL3.0:4:48673312:48675172:1 gene:Solyc04g050855.1 transcript:Solyc04g050855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSIRNDFLAEFETRKFSIGDFVDPVDIDQVYKNKAILKSVIEKYAIEKRLQYKIVRSNAISLSCGRFQYEEIPCEHDWAVLKHKNLVADGNCSDLYKPKTV >Solyc03g045100.1.1.1 pep chromosome:SL3.0:3:11587120:11587362:1 gene:Solyc03g045100.1 transcript:Solyc03g045100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVCTNAEGTYTNLIHKFKIIDINIADKLRMVLDSMKKPPPIAPNQLLYQSKVQSSSKIIAEEKHLPFPDSPQARHLLTL >Solyc12g019975.1.1 pep chromosome:SL3.0:12:12857668:12864537:1 gene:Solyc12g019975.1 transcript:Solyc12g019975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRFNICRSFLADQPVNVRSLIQIYKIGFQWEVMESTVIEKTVRKLMLSEERKDVKKKVADMQHSIVSVLDFISAMPSWIPPPTHVAGTILSLNQYQR >Solyc01g110470.3.1 pep chromosome:SL3.0:1:97084070:97087993:1 gene:Solyc01g110470.3 transcript:Solyc01g110470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDGGQRENRRHRMDYSKGCYAPWNVVPPYQMKDQEAFIMNTKIRMVFAERDAAVEERNRAVIEKKEAYAERDFAIQQRDTAFAERDTAIKERDNAIAALHFLESTTNGSLGCRTRGTKRPEQPKNHRNYNTDSVCINRDVPVADAFPISAISSEAAKALQVKRSKVNKGMSTKSAKSPRKTKKVNEDLNRHLSKDGSKAEWDAHDLGSIDQIQFDESTMPIPVCTCTGIPRQCYKWGSGGWQSSCCTTYLSEYPLPQLPNKRHARLGGRKMSGSVFSRLLTRFAVADRDLSMPIDLKTYWAKHGTNRYITIK >Solyc11g064953.1.1 pep chromosome:SL3.0:11:50559984:50566206:-1 gene:Solyc11g064953.1 transcript:Solyc11g064953.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADISPSTSTDADTEDKNRENINMVEPIVEDKSRLMMGQWRKDMEPYASIIYSTKLTVHDDPSALLLNVELDNAFLNQQLCAVASDGSDRPKDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRMKLTQLEQELQRARQQGIFISGSGDQSQSMSGNDSLVVFIYRNCRSCICPYVSSCQGSYCLKGLDMYTFHEKERGSLTLIKKGEESEHKKGKKFILESLLLLSIQRIQKRHKSVVLQSALQLDRINSYAPGNTHEMLSKEHYKAP >Solyc05g051950.1.1 pep chromosome:SL3.0:5:63171744:63172830:1 gene:Solyc05g051950.1 transcript:Solyc05g051950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIRSDKLTLVLVNIAGIMEKADEALLPNVYTEIGKDLHTDPTGLGSLTLFRSLVQCLCYPLAAYLSTRRNRGHVIAFGIAISRGLNGIGLAIVTPAILSLVADSTHESNRGTAFGWLALTGSSGAVVGGTMSVLIAETSFMGITGWRISFHLVGVISVLVGLLVYFFANDPQYVGSKKNQRIVKPFQEEISELIKEAKAVIKVPSFQILIAQGVSGMFPWSALSFATMWLELIGFSHKTTALLSTLFQVSQSLGALCGGFCKNYKKQ >Solyc02g089210.3.1 pep chromosome:SL3.0:2:51726178:51730664:1 gene:Solyc02g089210.3 transcript:Solyc02g089210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRGRVELKRIENKISRQVTFSKRRSGLLKKANEISVLCDADVALIVFSTKGKLFEYSSNDSRCSYAERQMNANDSDPKENWSVEYPKLMSRIELLQRNIRHYMGQDLDPLSLRELQSIEQQIDTSLKRIRSRKNQLMHESISELQKKEKALQEQNNLITKKIT >Solyc06g052050.3.1 pep chromosome:SL3.0:6:35802341:35806485:1 gene:Solyc06g052050.3 transcript:Solyc06g052050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGAWKLSASWIILFGCLFAYSVANEEASKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVSFTDGERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDREVQRDMKLVPYKIVNTDGKPYIQVKIKDGENKVFSPEEISAMILTKMKETAEAFLGKKINDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAATIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGEDHGVIKKKHGKDISKDNRALGKLRREAERAKRSLSSQHQVRVEIESLFDGVDFSEALTRARFEELNNDLFRKTMGPVKKAMEDAGLGKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPSKGVNPDEAVAYGAAVQGGILSGEGGDETKNILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYKDQQTTVTIQVFEGERSLTKDCRQLGKFDLTGVAPAPRGTPQIEVTFAVDANGILDVKAEDKASGKSEKITITNDKGRLSQEEIERMVKEAEDFAEEDNICI >Solyc08g077020.1.1.1 pep chromosome:SL3.0:8:61088095:61088466:-1 gene:Solyc08g077020.1 transcript:Solyc08g077020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCIVLPVAILTRRCSGRLLGYRPLVEEDYDDSSYPVTVLVGKEKREFLVESFVLEESPFRSLIEMVRKEDGGKVKENRGKKVIYVDVDAILFEHMLWLMQNDCSSLFQLNLKEIIDFYAQDI >Solyc04g005210.2.1 pep chromosome:SL3.0:4:161437:165137:1 gene:Solyc04g005210.2 transcript:Solyc04g005210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNLFVDVRQDLVYAYGFISRLVRPIWKVKRAPKRAYSSFRRFSCAIAHHFWVIRIPTSKVPNLFVDIRQDLVYAYGWSSRLVRPIWRVKRSLKRVYASFRRFSCSIAHHFLGDPDFDVKNAKFVHGHLSRPCLCIRVKRAPKRAYASFRRFSCAIAHHFLGDLDSDVKNAKFFRGRPSRPCICIRVKRAPKRAYASFRRFSCAIAHHFLGDPDSDVKSAKYFRGCPPKPCLCIRVKRAPKRAYASYRRFSCAIAHHFWGDPDSDVKNAKFFRRRPSRPRLCILLAITACPAHLEGQTSLEASIRLISTIFGQTSPESSIRLISMIFVCYSTPFFGAKRAPKRAYASFRRFSCAIAHHFLGDPDSDVKNAKFVRERPSRPCLCIWVKQAPKRAYASFRRFSCAIGHYFLGDPDSDVKNAKYFRGRPSRPCLCIQMAITACPTHLEGQTSPEASIRLILTIFVCYSTPFFWVIRIPMSKMPNFFVDVRQDLVYAYAHHFLGDPDSNVKNAKFVRGRPSRPCLCIQLSITACPAHLEAHHFFGDPDSDVKNAKFFCGRPSRPCVCIQLAIKASHHFLGYPDSNVKNAKFVRGRPSRPCLCIRLAITACPSHLEDLVYAYGWPSRLVRPIWRVKRALKRAYALFRRFSCAIAHHFLGDPDSDVKNANFFVDVRQDLVSAYGCPSRLFRPIWRAKRAPKRAYASFRQFSCVIAHHFLGDPDSDVKNAKFVRGRPSRPCLCIQLAITACLSHLECQTSP >Solyc08g061320.3.1 pep chromosome:SL3.0:8:48571928:48576718:-1 gene:Solyc08g061320.3 transcript:Solyc08g061320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGKGTPTSDKKLTLAKATSLNPNAAEFVPFALRSPSGSTSSTDASKFANSTTTLGKAVLDRSESSASNNSDDEAHQYWRRQLPDDITPDFNVMGEDDSHGISSLPFSRLSVTDVNEASIFPASTGSGFMLKDQLELSPNRINGTSFVEKTGYPITSFGEDASSTSFHLPSKPWDKPSLTSDQPFANIREGPHYNGNSGNSFFGDMMNEQPFFEADVNPLEFLASQFPGFAAESLGEVYYANGGDLNLTIEMLTQLELQVDGGFNQNLNSKALSAPNLSALDFPALSVAESQNSSLKYSGSDAQQNVNPYRPSEKESTLLFRSGSSIPFRGATDFASAVRKMASQDSSIWKYDRTGVADGSVGSSRNSPVLASSYNGGQSRGVYGDRLQSRGSTRAAPVWLETGEAVANIYSEMREEARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMQMKAAHGKAQESIYRLRNPEMQGNGRGQERIIDLHGLHVTEAIHVLKRELSVLRNAARSAEQRIQVYICVGTGHHTKGSRTPARLPISVQRYLLEEGLDYSEPQPGLLRVVVY >Solyc07g065040.3.1 pep chromosome:SL3.0:7:67101045:67108855:1 gene:Solyc07g065040.3 transcript:Solyc07g065040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTVPEEAIDVISQSIGISNLSPDVLPALAADVEYRIREIMQEAIKCMRHSKRTTLSTDDVDSALTLRNVEPIYGFASGDPLRFRRAAGHKDLFYIEEKDIEFKDVIEAPLPKAPLDTALFAHWLAIEGVQPAIPENPPLEALVPPPDNQKAEYKEDGVPVDLKVPVKHVLSRELQLYYDKITELIMNKSNSPLFKEALASLATDPGLHPLVPYFTYFVADEVARNLNNFDLLFALMRLVWSLLQNSHLHIEPYLHQSMPSVMTCLVAKRLGNKFADDHWELRDFTAKLIALICRRYGHVYHSLQPRVTKTLLHAYLDPAKALPQHYGAIQGLAALGPGVVRLLVLPNLEAYLRLLEPEMQFEKQKNEVKRHEAWRVYGALMCAAGLCMYEHFKMLPASLCQSNQTFLKSQLKVLTTLPNKRKTSMDNMVSQPPTKKLVTDGPTPMLSDSLSADIQGATDGHDTVPAVTDGDLSSSDTVLAVTDGDLSSSPQDLQNKNVTNVTKPSKREITGGQSQKTPAAPGQALKKDMDAANLLPPLFDYFGESMLFFVPSPELSFFL >Solyc09g061570.1.1 pep chromosome:SL3.0:9:60002401:60002742:1 gene:Solyc09g061570.1 transcript:Solyc09g061570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICAEMATGSSHRFKTSNITLHGSNNERLGDFRLARHMDHKKSPASILIAGTKMGYIAPEYLKYRKSNKKTTVFNYGVFILKAAGERRPI >Solyc07g043680.3.1 pep chromosome:SL3.0:7:57773009:57775769:1 gene:Solyc07g043680.3 transcript:Solyc07g043680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITSLPSTITVPSEVMKQNREVVIAEEIGRGRVLTLNRPNNLNYISENVALTVGQKLEKYEKDDNAKFVILKGAGRTFCAGGDLKRIYDARDSCLEDIYRVYWICYHIHTYKKPHIALVHGMSMGGGVGFMAPMKYSVVTEKAFCSTPEANLGYHPDCGLSYILSRLPGRLGEYLGLTGAKLKGKEVVAAGLATHFVPSHKLFQLEKSLLSINNADQEDTIRSLIDEFSTNVQIDERSVLSKLSVIDDCFSRETVEEILDSFEAEAGKKGNDWIMPVLKSIKKASPIGLKITLRSIREGRTQTLSECLRKEFRITINTLRTIISDDFYEGMRAAVIDKDKSPKWNPSTLDKVRDEQLDLIFKPFDEHDLELQIPINEEEYYRWGGKYENSGYCLRTK >Solyc07g062825.1.1 pep chromosome:SL3.0:7:65604781:65606801:-1 gene:Solyc07g062825.1 transcript:Solyc07g062825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLHQGRCPHFAYILINALPTNTLDRAGVLLIEEWISFPLSISSSFAHAFRADEYVNGLGATPSPSISFKYSRASYSCPKWAQPEIIAVHETTSLIGIS >Solyc07g008940.3.1 pep chromosome:SL3.0:7:3945620:3952678:-1 gene:Solyc07g008940.3 transcript:Solyc07g008940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTSQGWRLGMKEMQILSAPRQRAQLKKPTWIIVLVSLVSLFLICAYVYPPQGSGACYVFSLNGCKGLSDWLPPAPARELTDEELASHVVTNEILNTPPVVPENPKIAFMFLIPGALPFEKLWDRFFQGHEGKFSVYVHASKDKPVHFSRYFVNREIRSDKVVWGKISMVDAERRLLAYALKETDNQHFVLLSDSCIPLRDFDYVYNYLMYTNISFVDCFEDPGPHGSGRYSEHMLPEVEKKHFRKGAQWFTMKRQHALAVMADSLYYRKFRDYCRPNMEGNRNCYSDEHYLPTFFHMLDPTGISNWSVTHVDWSEGKWHPKSYVQKDITYELMRNITSISENVHVTSDARKEVQINPCQWNGNERPCYLFARKFLPEALDSLLQLYPNYTSI >Solyc10g009250.1.1.1 pep chromosome:SL3.0:10:3232677:3232874:1 gene:Solyc10g009250.1 transcript:Solyc10g009250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLHLELLKKIYFLKFIDHQNSNSISLNTSLFFQLDGSVISVRCKKLQQNYLGYWAWPINLFSLV >Solyc12g099230.2.1 pep chromosome:SL3.0:12:67418973:67425797:1 gene:Solyc12g099230.2 transcript:Solyc12g099230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4DHU4] MNINITLFFVIFLVNYVIFLDFVHGLSVKNVIDSHVLTQKISTNRTIIVDINGQGDFKSIQAAINSVPNGNSNWVIIHVRKGTYREKVNVPPKKSYIFMRGNGKGRTSIVWSESSIDTTKSATFKVEAPNFVAFGISFKNQALDGATSTSPNQTSVAALVGADKVAFYHCTFLSTHNTLFDNKGRHYYEDCYIQGSLDFIFGSGQSLYQNCEIFVVADRRVDIRGSITAQSRFSDKENSGFVFVEGKVYGIGGVYLGRAIGAYSRVIFAKTYFSKTIVPQGWTNWSSPGSTKNLYHGEYKCHGPGSAIETRATWSKQLNDKEAMAFTSIEFIQGKQWLPAWI >Solyc02g030340.1.1 pep chromosome:SL3.0:2:26010747:26011142:1 gene:Solyc02g030340.1 transcript:Solyc02g030340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTHQAHCEDDSNEDYLWHSFDYPTDTALPGMKLGIDLKTGFRGFLRSWKRKNDPSEGEFSWVFDLRGFPQPFIMKGSIELYRSGPWNGRGFSN >Solyc08g066430.1.1.1 pep chromosome:SL3.0:8:55131610:55132125:1 gene:Solyc08g066430.1 transcript:Solyc08g066430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATQQLESKWEGKFIVNLKHAKAEQVWPLLEDFFNFHKWLPNIDTCHQIDSDNKDEIIRYCASTTPLSSGDGEAIIKWCHEKLLTIDKIERCISYEVLDNNIGIKYYVSTLKVLSLDEGGCQIEWLFVADPIDGLTLELFSGYINSSLQGMAENIEKALESSKLGDFVCSN >Solyc09g082100.3.1 pep chromosome:SL3.0:9:68373501:68377783:-1 gene:Solyc09g082100.3 transcript:Solyc09g082100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSMMKCIHSHIDTQKKKKMSQDQPQRPEREQPLKYGDCFNVKGDLADQPIAPQDAAMMQSAEAMVFGKNQKGGPASVMQAAAAKNERSGHVSHDATTQLAGDEGITVAETKLPGRRIITESVAGQVLGQYVEPLPVTAAAATGGDVEEAPITIGEALAATALTAGEKPVEQSDAAAIQAAEARATGSSVVTPGGLAATAQSAASANEGLAQDVDKIKLNDVLTGAAAKLPADKATTRQDAQGVMEAELRNNPTLTAYPGGVAASVAAAARLNEKTDPIKYGDVFAVSGELGEKAVKPEDAAMMQSAETAVLGKTQKSGPAAAMQSAANVNVSAGLVQPGDVTDVAAREGVTVTGTAVPGANIITESVAGQVVAQYIQPTGGVGGQPQGAATTGGSRREITIGEALEAAGQTIPGKPVDQCDAAAIQAAEVRATGSNVITPGGVAATAQSAAAYNESMIKDEDKVKLGDVLSGATKVLPADKAATRQDAEGVVGAELRNKQDMSTTPGGVAASVTVAARMNERSRQQS >Solyc12g017540.2.1 pep chromosome:SL3.0:12:6803554:6811824:-1 gene:Solyc12g017540.2 transcript:Solyc12g017540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEDKAVFVGSRNSIISEEKTVFSEMEVETADMDISDRNNENVVLPSEEGEGSNGNVVFSREAPLVHKDFRSSAVAGGCSCGVNKIKSGIANSDSELGKSEESGLEKKLSRQDRIELGRLFQGAVSDHDWELAESLILLADPQTLNDALCIGLDSIWFLSNQDELYGITGLIKKIIANGAFDFTRAALRTSFLASCVSACQSRTMGLADTVTVMARRLHERLRECSGDEVLKAEAGAKVQKFTEWALKCIGFHSRCQGKRDTVGKNSAVEIQLQLSAFKTFLDLAGNHLTGKDFTEAFDAACFPLTLFPSSFDTCWASGISATAIQGLLGMLVDGGADNVNQCFLEASRFGSTELVRILLQIAQRNSLDVDVELALGFASHYGKIGTMECLVEEGNALSFLGPLMRAAERGCMQVVEWFVKRGCRDMELCLALTAATSSSQVEVAAYLLPHVPKHVLAALSIEILKAAGERSSGSLDGVAFLLHSDFLSDPVATYAVADSIAKSDDDAVPPGLRSFLREHWSEAAFSDGLRQGQQHYLNFVQIVNYGESPICLKDLPGPLRVAIAYLPLYRESVKAGRCCLLSQRLRGQLVEAAKRLGGVVLEEANQGKELVAVLEHHLPPFLLNASSAAY >Solyc05g013203.1.1 pep chromosome:SL3.0:5:6275297:6279258:1 gene:Solyc05g013203.1 transcript:Solyc05g013203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMKSKPKWPPALCDQKLSCYSTTGKPTLDFGVHGLRPNYDNGSYPSSCDITNLYDETKIKDLISSMQENWPTLACLRNKGTKFWSHEWDKHVTCSLSTLDEHSYFKAALTIKEKSSIKLFIKSRNKHIAGIEPGGFYSVEIIKEATSSIVQYSLEGDAMKLLSLQPLDLIQDAVSVVAFFLVPLKEERCLSLFHSISSSFNWNPSGHSPKSQSVKCHLK >Solyc03g080050.3.1 pep chromosome:SL3.0:3:53354487:53361723:-1 gene:Solyc03g080050.3 transcript:Solyc03g080050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTARSNSLSKLRLLNHLLQSTPVVTCRAVSTLSSPILKQSSLLSGQIHPRQLSPFFTPVRHFRNARDPSVRYEIPPPVNWGVRIVPEKKAYVVERFGKYAKTLTPGIHVLIPFVDKIAYVHSLKEEAIPIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPLYAVIQLAQTTMRSELGKITLDKTFEERDTLNEKIVMAINEAAKDWGLKCLRYEIRDISPPRGVRAAMEMQAEAERKKRAQVLESEGERQANINIADGRKSSVILASEAAKMDQVNRAQGEAEAILARAQATAKGIAMVSETLKEHGGAEAASLRIAEQYIQAFSNIAKEGTTLLLPTNASDPASMMSQALAVYKNVVSKNLGTQLPVTSQSKLTKNTRQSDSSAVSGDDSSLTANSADDHHLSDPIFSLQSQKKDD >Solyc04g054740.3.1 pep chromosome:SL3.0:4:53121777:53124660:1 gene:Solyc04g054740.3 transcript:Solyc04g054740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIENFKVESPNVKYTESEIHSVYDYQTTELVHEEKNGTFQWTVKPKTVKYEFKTDVHVPKLGVMLVGWGGNNGSALTGGVIANREGISWATKDKVQQANYFGSLTQASTIRVGSFNGEEIYAPFKSILPMVNPDDVVFGGWDISNMNLADAMARAKVFDIDLQMQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQIDQIIKDIREFKENNKVDRVVVLWTANTERYSSVAVGFNDTMENLFASVDRNEAEISPSTLYAIACILENVPFINGSPQNTFVPGLIDLAIKRNTLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGRNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKAEGEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Solyc08g042100.3.1 pep chromosome:SL3.0:8:23888053:23890323:-1 gene:Solyc08g042100.3 transcript:Solyc08g042100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKCHRGNDVASVVLDPPVSTTGHDHFKLWSSFSRASFRRMILDAVRCGGRSHKPSSKSQPPKPVCSSVVRSDCQHKKPAGSDRLSELLRLSESSENEEDVRHKVQMLEELKRVVKWLQSSNVLEGAKEVRRLTKEVSDARTTLALLGAIPPLMALLDSQDSISQIAALYALLNLAIGNDANKAAIVKAGAIHKMLKLVNESPNPDIAEAVVANFLGLSALDSNKPIIGSSGAIPFLVKNLDNTNSCQARQDSLRALYNLSISPLNVFPILESDLIVYIMNKLGDMDVSERFLSILCNLVSVAEARKAISSVPDAFPLLVDVVSWTDAPGCQEKASYILMVMAHKSYGDRQALIEAGVASALLELTLLGSTLAQKRASRILECLRVDKGKQVSDNYGGMSTTISAPQDCTTYCSSVELKDGMEDDDMMSEEKKAVKQLVQQSLQNNMKRIVKRANLPHEFVPSDHLKTFTSSSTSKSVPF >Solyc01g009510.2.1 pep chromosome:SL3.0:1:3706287:3712784:1 gene:Solyc01g009510.2 transcript:Solyc01g009510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVSEEESVGCYKVQPPQVQEGFSDNLPDSASLNELFKHFYQPEGHDVGSNSTHEGFGLSYGIDQIFEQAADVIAPARGNAQNLNSDGSDAAGGGNRRRRAATIEQETLMEKRQRRMIRNRASAQRSRARKQAYTAELEAQLEKVRDENERLKSIVEEKKEETTKAQWMGNTMKKLRRSVSF >Solyc01g100780.3.1 pep chromosome:SL3.0:1:90597505:90603291:-1 gene:Solyc01g100780.3 transcript:Solyc01g100780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METETEMLNTYFTNGAEVEISSDEDGFRGAWYEGIVVRPIQKKQRRNKDEDDDDDESSNKLRVLVEYKTLMADKKGKRPLKEAMTLVQLRPRPPPERRRKFEVSDEVDAYYNDGWWEGVVMELSGDGKYSVFFRGTRDQLEFEESQIRIHREWANGKWTPSFGDEGEEKQDEKELVSTETKPNNKAAEFFSKGSLVEVSSDEEGFEGAWFVATIVKLLDNGNYLIEYQNLRNNDDTAFLQEETDRLHIRPSPPDVGSFESFKVLEEVDALHNDGWWVGVISKVLKGQRYKVYFKASNEELEFKHADVRLHLDWTNGKWVRASQALKL >Solyc10g006157.1.1 pep chromosome:SL3.0:10:888810:900754:-1 gene:Solyc10g006157.1 transcript:Solyc10g006157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMRYYNCRKLVSDSMRKPMGSNLPRQAPVGRCSISFFAPMIDLFLGREEMMRYYNCRKLVSDSMRKPMGANLPRQAPRNDVLMFRYLVAFFDLDLQRLPRAYCYAEYVGRTTTASSSEHKENAKLQVAKAALKELFYNPFDKMDVEFVPFQRKKRDSESITFQKKKMDVEFDPTKECEGAKQKLNGLCQIEKWPKPTYRVEKEIGPVHDRRFICSVQIIIAEGMLFDEAGTRVRFNLEVYLTQEIISVYPVLSPPNLTPAQSNRVCNVLALLQIDNTEVISFLVSTEIVPRCLCSMEMGSELSKTVATFIVQKILLDDVGLKYICTTSNRFFEVAQALGNMVGALAQQPSSRLLKYIIRCYLRLSDEPRACQALKNCLPDRLRDDTFSSCLSEDPTTRTWLQQLLLNVNGNQVAPEAGGFDRMPGMLTAIVSKILAEKLLSMTAEKVYQIVVFKVRFFSGEARFSCVLVSTGISCVAATEGRSYKHGDTTKGNGRVIEVLIYEQEAPRKCS >Solyc01g009340.3.1 pep chromosome:SL3.0:1:3472635:3476824:1 gene:Solyc01g009340.3 transcript:Solyc01g009340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNNSDFKQKGTIATPMSTRGGSSLSAATPTIDITQLIQPPILVKQQCAILIIQTTFRGYLARKALGALKGVVKLQALIRGHNVRKRAQITLQCMQSLVRVQTQVCDQRRRLSCEGISCGSMFKEPKSILELHLNDKESNSMNQAYIPDKDGYDHLHALEKIEALLHKAKEATKKRENTLAHAFSRKMWTSNKDEDSSYNAELDEDLRVFDLIDEKNRKSISRASCDQPRDRIKNIEIDTACSYSDSDTEFWRLHHQYYRDHQQKFYSSYVVPSPLSHREDINGLLMTPPLKMKNIQVHSASPRYRREEKSHHHRMALLSHRANVNSSPTAADQPSYMAATASARARERSQSTPRQIPMTPEREKTSSTKKRLSFPIQ >Solyc12g006280.2.1 pep chromosome:SL3.0:12:796415:800780:1 gene:Solyc12g006280.2 transcript:Solyc12g006280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEIFLMSQEMMRKSKKMKEVRKYKKSLAPRLRWTPQLHHLFIQSVQNLGGRNKATPKRIVQMMAIKGLKISHVKSHLQMYRNMREHPSLHVVMQDFNLSSPMHVSKILGEHAQNSRKQKESGSQNGENIHYNDFQEVEGSLSSGMTKEEEEDNDGEIYEYRETSTQINLDLTLS >Solyc09g074440.3.1 pep chromosome:SL3.0:9:66675146:66675858:-1 gene:Solyc09g074440.3 transcript:Solyc09g074440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSLFKIVILLVLFFMGNAEANICQQPSKTFQGPCVEQAKCTSACQSEGFQFGECSDSICYCKKPCPP >Solyc07g040785.1.1 pep chromosome:SL3.0:7:49919646:49921889:1 gene:Solyc07g040785.1 transcript:Solyc07g040785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPNGRVKLNVGGRIFETTTTTLEFAGKNSFFRAMLDDNWNSAINEHFIDTNPGCFGSRAFKIIELSAATDLRVGINYIPKEFLLDPSKLCHAVLFYFQDLCAHVSCYWEHKNRYYNNTMTSLEELENQCLPISKLKKYATEWVIKVLVIRLHCFKSQV >Solyc08g075150.3.1 pep chromosome:SL3.0:8:59419080:59424699:-1 gene:Solyc08g075150.3 transcript:Solyc08g075150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELLQLVNTVMILLIKPLSLVKFTCFFGVRTICIVIQTWTELLRAAMGFHARLLWKLTIWAIAVLSLPIRSLTALQRERALEMHLQEMQIEFENLVWERKQLEKKILVAIKDKKIMQAMLAELEDEHDDAIVKIEQLEDQLKNLKVENQRLNEVHGKALSDLEDHFDGPVMQGCSDAVPFWRSSGIESVAIHKEGMQEDNSKNGSTSSEFVEARSCRDVNRPRTQTTFEYFDTNDVLQQRRDLAVYQTLFSAILSLLVGTIIWKAEDACMPLVLALFAVVVMSLWSVVQFFSTIRNRPASDAVALLSFNWFLLGTLTYPTLPRITPVFAPVLWTLSDRAVELLGF >Solyc08g066150.1.1 pep chromosome:SL3.0:8:54622252:54623474:1 gene:Solyc08g066150.1 transcript:Solyc08g066150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEGSELLLHLTSYWEGFPNFPLCILFLVRCWWSLYCLIIFLPLGVVFKGNTLLGAHIFLSNMTLPRFMTMVQFSVVWFVRSPSWLWSLSLLLNQQILLVYGWLQFMGEVPVLPELLRHGHDPEEDARLYVDREECLLLALLTGPSCLVN >Solyc04g049170.2.1 pep chromosome:SL3.0:4:39905311:39917078:-1 gene:Solyc04g049170.2 transcript:Solyc04g049170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVVDEAVEKEAIFKVPRIISVSTQYTHACTHARTHEHGHTLMLSFQ >Solyc09g091170.3.1 pep chromosome:SL3.0:9:70970482:70974408:-1 gene:Solyc09g091170.3 transcript:Solyc09g091170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEAMIRKKAGMASVKDMPLLQDGPPPGGFAPVRFARRIPNTGPSAMAIFLTAFGVFSWGMYQVGVGNKKRRVIKEEKYAARRAILPMLQAEEDERRASLNLFVKEWKKYLEEEARIMKDVPGWKVGESVYNSGKWMPPATGELRPDVW >Solyc03g006020.3.1 pep chromosome:SL3.0:3:687665:691993:-1 gene:Solyc03g006020.3 transcript:Solyc03g006020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSGSKENDAAKQIRKPKPWKHSEPITRAQLVQMRDEFWDTAPHYGGRKEIWDALRAAAESDISLAQAIVDSAGIIVQAPDLTICYDERGAKYELPKYVLSEPTNLIRDN >Solyc01g090950.3.1 pep chromosome:SL3.0:1:84531714:84541050:-1 gene:Solyc01g090950.3 transcript:Solyc01g090950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKRDTAGGGVAAVSANPSSKWQQQQQDNTTATSSNVGAESELQQVQPPSSLEMGAAATGGDKCAMEEWEGGLSESVMASPCQEQSILRWIMGDVDDPSMANLNKVLQVSGTGDYEFNGGFGVVDQGFGVDPVGQIGSFMPAISSSVSMSSSSFPTNRMNSDKIGLVSNPPTNLPQNPIFPSLSNNLGPIAFGQTQQQPFESTDLKPHAFNSQFLINQHQTQIPQNPSFLLPLPFAQQEQNLALPPQAKRHNPGTMGGLEPGSQISKGLFLDAGHQQPTPSQGLAHQLQLLPHFRPGAMGTKPKMLFKAAELVQTGNPVLAQGILARLNHQLSPIGKPFYRAAFYCKEALQLLLHANTNNLNPSMASSPFSLIFKIGAYKSFSEISPVAQFANFTCNQALLEVLDGFERIHIVDFDIGYGGQWASLMQELALRSGGAPTLKITALASPSTHDQLELGLTRENLIHFASEINMAFEFEILSIDSLNSTSWSLPPLVSENEAIAVNLPVSSLSSYQLSLPLVLRFVKQLSPRIVVSVDRGCDRTDLPFPNHVIQALQSYSNLLESLDAVNVNFDALQKIERFLLQPGIERIVMGRFRSPEKTQHWRSLFLSSGFAPLSLSNFTESQAECVVKRTPVRGFHVEKRQSSLVLCWQRKELISASAWRC >Solyc03g120860.2.1 pep chromosome:SL3.0:3:70588358:70589289:-1 gene:Solyc03g120860.2 transcript:Solyc03g120860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSAFFYTTLCFIALTIVAASAEEFKVGDAVGWHQPSQNETDLYNHWAANKKFHIGDSLRFEYRNDSVIKVGKWEFYHCNRTHLASAGKDGTRTMNLNRAGTFYFVSGDPEHCKNGQRLAVEVLPLHPISESPPQPFSQAPAPSPLSISASVSSAVPLAFISALLISVIVVAIAGLA >Solyc03g115250.3.1 pep chromosome:SL3.0:3:66528055:66541223:1 gene:Solyc03g115250.3 transcript:Solyc03g115250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLFFIRLGRFFDLYPEEPDNVDLLFTVRGCGESSMPGNEFGDSVHNFFAQDSLSQVQHNSPVADINWPTSRGNMWAGSQRQIGVLSSNTKNYNLQNSDAGRGIISYPFNGQHGLNFTQPIPRPEFGKSQSQSQQPNLNGYMYGNQFYQTRQDETNFPSVDTSSDQRNIASGGSSIFESQQWLGPEQQTGVSVRSEPSDSPISGNLFGGQQISHQQSNMLHSLQRQQSGINDMQQFQQQVMFMKMQQELQRQQQIQLEARQQNTLNQVSTCPKVASDVHSSALVNGTANSGALNQSWANELGNTNWSQRGSLVLQGSSSGLIPTNNGQAQHLMGLIPQQIDQSLYGFPVSSSRPSLNQFSQGVTDKQPVQQMPTFNSSFPVNQYTPLADHVSGQDGIFLSRQRLQVDNVFGDAPSHALSSPMDVENLQQVDSMQNASALHEFRGSLDIAVSPETAQEEAAKGASPSQNEVGLDPTEERILFGSDDNIWAAFGKSPMSGEGGNPFDGAELLDGTPSIQGGTWSALMQSAVAETSSSDVGLPEQWTGLNIHGTEIPSGSPNLTYNSESHKATYAEDNLPQTSSLNSVSVHSSGSPNMRNSYHNVQGQRFPFEPGKSLQSDSSQRLAQSSDENKWSKLGQSQMLGAEGCQMVEKTSNLDREMTSKHISSNLAPEFGGATEQYHKSAGWSVLESAIPSGDAVDYNQKFIQGEIVCRGAGWNSNPGSNTTVTMAPTESSVGSPQANSEVFGLHNSAAIPNSSTMTSGKETSQFFKNNHQSSYWKNADQFVKSSVNKGEVLQHHVSEDNQLLHSSRDIDDKEGKMHEMENSDKQENSNDSHRSNLSPHSSTGDVRENVMSDARDSRFLPTGKHKLSNEVGRRNSWANKFQHHPIGNVDKDVAHYGQSPLAQVPNIETDLAKVRASDELTDRKGYGVHSGGGFPGGASNMSTLINRSIGLPPNTAPKSSPDMLQLLQKMDPSRERGSTAHFNSYEHKASSDVPEAENSDGSAGHLWRGQSSASQGFGLQLGPPSQQISVQTHLLSSQGPNEAVKSSHANHSVAEVREKSRGQMLRPHQTQPSPSPSDLLQQESQRNTSTIKETDTHTMSGNFSSAFESASGHTYLRNPIQNPHMVRASGEDSTNQSIGVSFDEHASHSTERGDCGRGPLSDGAGNIPYSPALSTGKSQLSSANGPHGSVSINRPSSKEPVPASPSFLMPGISLQDSSSKKLTNMRTNFPPPPHLFSSQYSKDASHISQLNQTNITESSLSAPERQGDPDANKGGTFMSQLGSGSGNPLHSVEGEELGEKENISEPVPTVNVNLVQEMDDSQGRESIVKNLHESTSMQRDIEAFGRSLKPNSFPNQSYSLLNQMWTMKNMETDPSKMNFKRMMVPDSSAATQQVPSADSRMLNYAGPDDLQGSLSFQHGGRVTPHDVAFRQDESQIGSHNSNTSSIMPEQTQISPHMAPSWFDQCGSFKNGQMLQMYDVHRAAAMKTAEQPFTPAKYTSGLYAFNSIQHVIHATADKSQIGNFGQRSVANSAGTEHFSSLQVLSMSVDQKNPIMKPKKRKRSTYEFTPWYKEISLDLWSDQTISLSDIEWAKAVNRLTEKVKEIDSFDDGPPRLKARRRLMLTTQLMQQLFYPPPAAILFADAKSEYESVAYSISRLALGDACSMVSCLNADTNMPHDGKEHLPDKCKASERNDRHHFGRAMDELMGKARKLESNFVSLDKRASLLDVIVEGQELEKFSVFYRFARFHGRGQSGGAESSSTDASAHSHKPFLQRYVTAFPMPQNLPDRVQCLSL >Solyc09g057687.1.1 pep chromosome:SL3.0:9:50418804:50420471:-1 gene:Solyc09g057687.1 transcript:Solyc09g057687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQRGDNRNKTIPPKVDNNKMRRSRPNTNRSSDTRSNPRDQPSNFRKKYEDRKRGAPPREGCYVCGEMTHAARYCPSLRKLNAMVAAEKQQEKVAAQAGSSAGEKPGQSSGADKGKNVAVGMFNHMALINHISIAALASKPASVRPRESLFVDAKLNGKDVRIMVDTGETHNFVTEQKARELGLRYVASNTKLKTVNATPTTVNGFVAKVPIELGEWAGQTDFTIAPMDVFDVILGLDFWYEVNAFISPRHNKLHISDNGGSCVVPLIRVPQIGMHLSAMQIIKGFKRGEPTFLSTLIEDAGSCTEAVPLPPCIKHVLSSNKDVMPTELPQRLPPRREVDHQIELVPGAKPPAMTTYRMAPPELEELRKQLKELLDAGHIRTSKAPFGDEPKTACVTRYDAFYWLVMPFGLTNAPVTFCTLMNRLFHSYLDQFVVIYLDDIVVYSNNMEDHVEHLCKVFEILCDNELYVKREKCSFATNCPFPWTHYQP >Solyc06g009200.3.1 pep chromosome:SL3.0:6:3144111:3147304:1 gene:Solyc06g009200.3 transcript:Solyc06g009200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPKGLEINNIVGLFLLLGIAILSSKVAECAPFGSRRGLMETVFDVTKHGARPDPTADSTRGFMMAWRAACQSTGPAKVVIPPGTFTTGETIFQGPCTCPKPIIIEIQGTVLSNTDISLYSRASWISLEHVDGVVITGGGTLNGQGNASWQYADKSGNSPPLPTSLVFQTVKSSSINGINFVDSKGVHLKVTDSNDITVSKIKITAPATSPNTDGIHISETININVTDSNIGTGDDCISVGHGTTNIFVNNIHCGPGHGISIGSLGKRPDEKDVTKVYIKNCTFHSTTNGARIKTYMDSSPLQVSDVVYEDIVLEDVKNPILIDQHYNSKNKVEPSKVKLKDIHFKNIRGTTNTKAPVSLNCSEAAPCEGVELADIDIAPSGTTGPLQGATCQNAKTVFNGKTNVPACK >Solyc03g025870.3.1 pep chromosome:SL3.0:3:3282012:3285457:-1 gene:Solyc03g025870.3 transcript:Solyc03g025870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCKLAAEKPKQKHKKGLWSPDEDDRLKNYMIKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGAFSLEEEDIILTLHAMFGNKWSQIAQQLPGRTDNEIKNHWHSYLKKRVSKMGENEGHTKPGKTDSSSPSLKKLTPQNSSLDSFEHIEGSLADSDQSVYPRETQKSNLPKVLFAEWLSLDQFNGQDFQNSGSFSFEPCKSNFVYNNNAELHDILMHSLPMNNDDGNGVNQEVLHNDIFPPQLKFEDTLSGNGFEEFMSREFNINDDVMYI >Solyc06g034220.3.1 pep chromosome:SL3.0:6:24049707:24055832:1 gene:Solyc06g034220.3 transcript:Solyc06g034220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEQLLLSIDPLDLKFPFELNKPVSTAFQLINKTDNHVAFKVKTTNPRKYTVRPNSGIVLPRSRSNVTVTMQPQMELPPGMQCKDKFLVQSVIVDPGSTAKDINPDMFNKSGVNDVEECKLKVIYVSPPRKPSPVPEDSEEGTSPTASDSDLQEDSEPQDNSIKARDLILRLMEERDSILRENAKLSRDLELLRHGRHERRTGVRLLYILLVGLGSILLGFIVRKV >Solyc02g071600.3.1 pep chromosome:SL3.0:2:41574155:41576709:1 gene:Solyc02g071600.3 transcript:Solyc02g071600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIGFWKVMWNVGWDYARNYAWRDATSFEIFGAFSDLNEILRGLSDFSSVSSDRERVNWMNRNYENLFRVSKSLFGKFRKVFRQPGPLKEVMETLQKEVLEGDLLKDCLELGSTDLKGLLTVLKDISSKYTSTFSTRADL >Solyc07g065170.3.1 pep chromosome:SL3.0:7:67179416:67182180:-1 gene:Solyc07g065170.3 transcript:Solyc07g065170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:K4CHJ6] MGISRDSMHKRRATGGKQKTWRKKRKYELGRQPANTKLVPNAKTVRRIRVRGGNVKWRALRLDTGNFSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIIQVDAAPFKQWYLQHYGVEIGRKKKTAASSKKEGEEAEAVAEEKKSNHVQRKLEKRQQDRKIDPHVEEQFASGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGAGAAA >Solyc05g018710.2.1 pep chromosome:SL3.0:5:22851008:22853989:-1 gene:Solyc05g018710.2 transcript:Solyc05g018710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDCDVFSVSSIIADIVQYRNMHQGLQHLVTRFQDVDNVEASDRSVKEGLLSLGVHFAANYNEHDEVYSIVTSVTEIAYKAEYVTDSCLVSSHPLWYESHWISEVVKNIKLLTKVVSESCNRKKIGVTMHEVAKPPINLEAMGKTKKHLLRGSSQQDIISIVGMPGLENTIVAEKIYNDLIVIHHFDGHSKCRVTQVYSWKELLLTRLNDVLDPTDALKQKIDVWDKTTWDNLYMCFNANYVKRESNPHHLRLLRDDESCTLLQEEVFQGESCPPELVDVGYRIAKICVALPLFVVLVPGVLKEKEKKIDLWKQVEESLGSKNIGSLEGSMSLIAFSYKNLPHHLKRCFLYFGGYLKGRDIPVSKLTRLSKVEGFVQANKEKRTETAQDFLEDLISRNLVMVMEERANYKVKRCCIHDLLHNFCFQKSKRKTSLTLNRYWKFLNHSYQDEIDLWQPCSSNVQSLQFKMIDPDNLLWPRDLSFIFDSFKLVKVLDLESFNIGGTFPSEVQSLIHLKYFAVQTDANSIPSFIAKLWNLETFAVRIRTRSDITFFYSKDDQIEAFTIYYLETFSAPHLFYGEDAEMILTKMTNLRKLSCIFSGTFSYSEKVKGRCVHFPRLEFLSHLESLKLVSNIDPAKLPQEFNFPSKLTELTLSKFRLPCLNLNLYNINISQWSISDDAFPKLERLVLTKCTQLEEIPSPFGFFVANSALRIQTTQHEDMANNMFIVTIHPLDWTR >Solyc04g045280.1.1.1 pep chromosome:SL3.0:4:32116134:32116325:-1 gene:Solyc04g045280.1 transcript:Solyc04g045280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDSLLQPPSQVSISVRHEPTDQLVGLSFQQEESQLIRVLPSFIFGCNPNSRENEIQNFDKYF >Solyc03g019770.3.1 pep chromosome:SL3.0:3:62846972:62856822:-1 gene:Solyc03g019770.3 transcript:Solyc03g019770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMIMMLAVFVLGGIFVLAVEAVGIAIFIWWLMRRVDKGKQPQGSLSFAGDIDPSFYNKQAEYSKYNLVQTILCTTGSSQNAQSCSVLGIVWVLESERILKALNTDKATKQNKAQKEILEVIPSQKFGKIKNHSLILIESDGSHTEIPLKGCMVAAVSASSLSSRKWAKRYPIKVESGASAVYKGSRIFYLYLETSWEKEAWCKALRLASCEDEEKIKWLAKLNVEFQNYLTSLNAAYPSFMKPSSSFGAEVVDKSSKPDGSSSKVRQFLKKLTKKASKNAPENKASSSLKLGPEERKLIEKGPSFQDLDLASSVMKVAPTRKPLDFSNEDVIVPSSTGSVISDADSEDRVIGDEGSLCWNLLISRLFFDAKRNEQMKSSLQERIQRTLSNIRSPSYIGEVTCAAVNVGDLPPYIHAMRVLPSDMNELWAFEIDIQYSGGAILDLETRLEVQDLDLHEGDEASLDSSVVDNVKSDLLEGYERFSEQFKHSDENADKMDQRSGGDMLARNFSTASRSSNCSSCSPPGSKWKSILHSVAKQVSQVPLSLGIRVASLRGTLRLYVKPPPSDQIWFGFTVMPDIDIHLNSSVGDRKISSGHLLLFITSRIKAAIRESVVLPNCENVCIPWMIAEKDDWVPLKDAPYIWIHNKSAVNAKKQESDRRSTSSNAESEQEKLNRVGWATQKSKSLDPHSLYSAPKVQPSFRSLTTSPERTHLESKKHQGETLPRKSSDPQVYLSVHMGQSSGADLHAPLLSHNEQLESHRMSTEENMQSYSPSSSHSLSMLEEQNSSTEDEMKPRRTSARAKMLGLRKKMGEKLEEKKRHIEEKGRHLVTRMRSHKEYS >Solyc12g038700.1.1 pep chromosome:SL3.0:12:51664933:51666251:-1 gene:Solyc12g038700.1 transcript:Solyc12g038700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHGKKHLEGVVVEVTCKDGDKKTVSYGTTKINGKFSITVEGFEYQCQKPKPTPAPCYYKSPLPPSPTYVYKSPPAPSPKYVYKSPPPPTLTYVYKSPPPPAYYYKSPPPPTKSTPPHYYYKSPPSPSPKPTPAYYYKSPPPPTKSPPPSYYYISPPPPSPKPAPIYYYKSPPPPSPSPPQPYYYKSPPSPSPPPTYYYKSPHSPSPSPPSPYYYNSPPPPSPSPPPHYYYKSPHPPSTSLPPPHY >Solyc10g017655.1.1 pep chromosome:SL3.0:10:5596051:5600140:1 gene:Solyc10g017655.1 transcript:Solyc10g017655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNGQLGAVIGRTYVINNNENPVWMQHFNVPVAHYASDVQFLVKDNDMDGLMATHDEETRRFFKNSSVQVLLCPRVAGKRHSWAKQRGSTTGCPREPWHDLHCKIDGPAAYDILKNFEERWLKASKPQGIRKLKKTYDDSLLRIERMPEILSIAETSSTSSTDPDNWHVQIFRSIDSNSVKGFPKDPKEATMKNLVCGKNVLIDMSIHTAYVKAIRAAQHFVYIENQYFIGSSYNWSQYNDVEVGLEDAFSPQDYLNFFCLGNREVHVQETENSGAANTPQALCRKYRRFMIYVHSKGMIVDDEYVILGSANINQRSLEGTRDTEIAMGAYQPHHTWARNQSSPSGQIYRYRMSLWAEHLGVVDDYFIRPESLECVRRVRSMGEANWRQFSADEVTEMRGHLLKYPVEVDRRGKVKNLPGFEEFPDVGGNIIGSFLAIQENLTI >Solyc03g044450.1.1.1 pep chromosome:SL3.0:3:9273204:9273539:1 gene:Solyc03g044450.1 transcript:Solyc03g044450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRPSIRLVLSQGQVSSKDRVGFFGLGEVSTLVSIWGLMLGSGLFFVGVRIEYQVMSLVEARFQIRIGLGSLVRVNSKLWSLFGVFYLGRVMVWDLGLRSRLGQGLVLG >Solyc06g069040.3.1 pep chromosome:SL3.0:6:42955182:42956140:-1 gene:Solyc06g069040.3 transcript:Solyc06g069040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQLLSEAAQTRKLESYSSMKMVLQMSRIQEAPGDLAPPRVSRNLEESIFALSIAAKAAKISSAGTFLVLAAFFSTLTLSSTS >Solyc02g093335.1.1 pep chromosome:SL3.0:2:54870056:54874208:1 gene:Solyc02g093335.1 transcript:Solyc02g093335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNEPLFWSRDANASGFSKFGSLQATSFGVSSNSHFGTPSFNSYSFGQPAISMSGIKDQTCKAGKESTTPLLQNSGFGKSAFGINQKGSRTTSYIATPDIDSTCLFGGRIQSICGMQTYQDKSQEELRFEDYQLGDKGQECGSSFSVSTAQGFGLINNTRPFISPALNQSTVDQPFYSHFPSTFTVERAGVDSLSKNSTSLAQQAISHIFPPNPSLLNSCGTRNRVQSTASDVSFSPWNNSNQFAPFKPASSSSTAFTTPLRNPFSLSTSAPLSPCSVSPSTYPLLTKPSGHDFYQKNSFSTTPVLTTGGASQSTLCLNCLKQSQPTPPGLSNVSSTPLAANQNTPLFIGPLQPEITPKVGTTLPTTNASHPTTGASCSEIGQGVGQQPSMNGQQSYFPVIMEVKPSPNMPPAETQPSDQISVEHPYLVTSIQYGISSLPVSDNPAPVRRRSSLIIRHSSLTHHRLPPQKYKPTSDKPKVPFFMDKENATGVLKTEVIIIPRENPRDWVRPTTESPQGADTSMDRMHDGDKVLHKLKLQSEETHHDNCGDDEDVDGIMPKLQRADYYTVPPIEELLLKEKEEAGFCCHVKDFVVGRHGYGSIKFLGETDIRKLDLDSAVHFNHREVIIYMDESKKPPVGQGLNKPAEITLLNVKCINKSSGKEYRDGPMVNKYKDMLIKKAVEQHAEFVSYDPVEGQWKFRVSHF >Solyc04g076800.3.1 pep chromosome:SL3.0:4:61762754:61765644:1 gene:Solyc04g076800.3 transcript:Solyc04g076800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLPSVVVGNTLKLENEFKKNSVTPLPFEKKNHSPMNSDKALEPLNVEFREALSLIKEGSEKVESASYVPLLQECIKNNSVSEAKAIHGHIIKMGIHEDLFLMTFLVNVYAKCGMMGNARKVFDNLPKRNVVTWTSLMSGYVQNSQPEVAISVFQEMLEAGGFPTNYTLGVAFNACSLLGHFELGKQIHAYVVKYEIEDDTSIGNALCSLYSKSHNLDSAVKAFRMIADKNVISWTAAISACGDNGDSAMGLSLFVDMLSADVEPNEFTFTSVLSLCCIMQALKIGSQIHSLSIKLGYGSNLRVTNSIMYLYLKNGWIIEAKKLFDGMESISLVTWNAMIAGLAQMMDLGEDGIAAHSSGFEALNTFLRLHHSGMKPDLFTFSSVLSVCSSLVALEQGEQIHAQVIKSGFLSDVVVGTALVNMYNKCGSIDRASKAFVEMSTRTLISWTSMITAFAQHGYSKQALQLFEDMRFVGVRPNKVTFVGVLSACSHAGLVKEALTYFDMMKKEYKIKPVMDHYACLIDMFVRLGRIDEAFDFVKKMDFEPNEFIWSILIAGCRSHGKLELGFYAAEQLLSLNPKNSEAYFLLLNMYLSAERWKDVSRLRKLMKDEKIGKLKDWSWISIRDKVHSFRTGDQLNPPYENIDIFLSDLHDKASTMGFELHTSLELKNEEDNETAFPIGRHSEKLAVAFGLLNIPSAAPIRVIKSISMCRDCHSFMKFISQLTSRKIIIRDSKRLHKFVNGHCSCGDFGSLV >Solyc04g072200.2.1 pep chromosome:SL3.0:4:59284879:59287047:1 gene:Solyc04g072200.2 transcript:Solyc04g072200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKMKKTTDSAGVMPRPDSTLNTGVVRTESQQPESQPRYSPELIIANLSKLSDELEILCKTMDFVGLKRYIVRHMPDKDGLLKQLPKALKLSRNPARLVVQCINKGSKRHVNSSRGRASLLAMECLLLMMGERRVVAIDKRTKNEAERAALAWRARLIAEGGIGKANERDAQGLLLLIGCFGIPQGFMDRDIRFLFKAGGAMGIFGALRRSRVLMEKIPQIIQWMLNNSLVVGAIDIAYTFGMGDRYNPRKILTSFLHNSEVLYLNNTKGLEHQSIAMRAGKKKHLSDLESVGECLERHKIDPSKLLPGWQIDVRIMNLEKDIADLNMHIGEEEVAELTRHIGDQKITQKRKLGETESSGSFSNKEMKPSLFPNPNPWPPQQHRVVNHVDDSNSTLLERSGTASQIYGYSLAPSVLHQTVAGSIHQNAVGSLAGPVGGVVAMDGAGAGNLVKGGSCVGVHGGTLVDHTPSQIGSHTGQLYGPPGDAHTYAYRSPSYFEASGSMGLPNTMPGDAYRPPPYLEGSMGLPNNIPGDAYRPNQYMKCSNGLQKNISGDANQPPPYMEGSARLPNTIPAPYQFADSVPSAALAHPSPSLYWKR >Solyc09g082730.3.1 pep chromosome:SL3.0:9:68843893:68852214:-1 gene:Solyc09g082730.3 transcript:Solyc09g082730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHNTQIQIPSVKLGSQGLEVSKLGFGCGGLSGFLNDPLSHEAGCAILQEAFSKGITFFDTANIYGHDGHNEIMVGKVLKQIPREQVQLATKFGCTFSEDLDGLQCQVKGTPQYVRQCCEESLKRLDVDYIDLYYQHRTDTSVPIEETMGELKKLVDEGKIRYIGLSEASVDTIKRAHAVHPITCVQMEYSLWTREIEEDVIPLCRELGIGIVAYSPLGHGFFGGKAITESLPEGSMMGSHPRFSGENLEKNKALFTRFANLAEKHGCTPPQLALAWLLHQGDDVVPIPGTTKIKNLNANIQSVAVKLTPEDVKEITDAIPVSEVCGARESGVMSKYEYRLADTRLSGVLNTPLSHEAGCSILKETFNKGITFFDTSDLYGHEGDNEIMIGKALKQLPREQVQLATKFGLLVSEGFQFHVKGTPEYVRKCCEESLKRLDVDYIDLYYPHRIDRTVPIEETMGELKKLVEEGKIRYIGLSEASVDTIKRAHAVHPITAVQLEYSLWTRDIEEDVIPLCRELGIGIVAYSPLGHGFFAGKAVTESLPTGSIMGSHPRFNEQNLAKNKVLYSQFANLAAKHGCRPPQLALAWLMHQGDDVVPIPGTTKIKNLNDNVQSLGVKLTPDDLKEITDSIPISEVCGERDDAVISKYDYRFANTPLKQ >Solyc03g122100.2.1 pep chromosome:SL3.0:3:71544834:71550692:1 gene:Solyc03g122100.2 transcript:Solyc03g122100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIDGAELNLKEIWNEIVEYLSNNLLITCEVDESKKLHKPTEMELENVTMWQQLPDEIWREILVRVSTLDKLRSADKVCSLWRRLLKEPFSWKTIDLRDSRCIPFKIMKRFFNVAVDRSRGECNEFYYAYCGSNDLGFLVERCKDLKKLWLRGWAGGWGIPIYSCLIKVAPRLYHLEELILQDCFLTPVCIEALGVHCPRLTSFSLSHFDVMEFQTEEEKNEDALAIAAHLPLLCRLQLIGNALTVWGLEAVLVGCPNLKSLDLRRCLVIGEGSGVLLLEELEHAKTRKAKIYAEFLGGSFTSDAYHMIEPHPEGSGVVLCIENALAQSGVKHEDVNYINVHAASTPAGDLNEYQAILHCFGKNPELKINSTKSMTGHLLGAAGAVEAIATVKPKINSTKSCSPPWGYW >Solyc07g055600.1.1.1 pep chromosome:SL3.0:7:63720225:63720380:-1 gene:Solyc07g055600.1 transcript:Solyc07g055600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTCTLKSTPKVIINNSCKSCLPHSAHSQYFKKANSTRPYAKHFIYLVHV >Solyc03g114010.2.1 pep chromosome:SL3.0:3:65536328:65540108:1 gene:Solyc03g114010.2 transcript:Solyc03g114010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFLSWRKAKHLTVIKPKCRICYSSTATFAAVFQDKIHITRKELCKLLHQRPPKSQLKQIHAQILTQELSSTASIVNSLIHCYLHIKEVTSARFLFLHHPLSSPPILIWNLMIRVYCKLQNSSESFSLFRQLLNLDHPIRVLPDEYTFTFIVTSCAHQKSFVHGKIVHGLVVRNGLESNLYVGNSLINMYSVFKITDDAYKVFDRIADRDVFSWTSLICGYANNGEMYQACEIFYKMPVRNDVSWAVIISGFAGNGRYMEVLLYLNEMLGSVEDKVRPNEAVLVCALSACANLGALEQGNWIHAYIKRNEIRDSSNMSTALIDMYAKCGRIDIARLIFNRIPRPDVHNFTSMISGLSYHGLGDHALTVFNRMLDANVNPNEVTIIGMLNACSHSGLVEEGSSIFYNMENLWGIKPQIEHYGCYVDLLGRAGYMEKALGVVKNMHIKPDIVIWRALLSACRIHRNIFLGNSIINFIQKLNSDGPSGSEVLLSNMYASLGNWEKVSEVRNAMGQRKTQSDIGCSWIEVQQKVNLYRDDGFFNCMSLPVYQIERGMEGCSVELNLMSSVSFSAFLFRYAFASFQESMDVSSSFSLTTGTSMPLSGFDLPKFLWSRTSGRAG >Solyc10g074400.2.1 pep chromosome:SL3.0:10:57796455:57803415:-1 gene:Solyc10g074400.2 transcript:Solyc10g074400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKTSKFTTFSLLFSLVLLSAASAQNCGSQGGGKVCASGQCCSKFGWCGKTNHHCGSGNCESQCPGGGPSPVTGGDLGSVTSNSMFDQMLKHRNENSCQGKNNFYSYNPFITASRSFPGFGTSGDINARKREIAAFFAQTSHETTVFSLVLLSAASAQNCGSQGGGKVCASGQCCSKFGCNYNYGPCGRAIGVDLLNNPDLAATDPVISFKTAIWFWMTPQSPKPSGHDVIIGRWNPSAGDRSANRLPGFGVITNIINGGLECGRGNDNRVQDRIGFYRSYCRILGVSPGDNLDCGNQRPFGS >Solyc06g069580.3.1 pep chromosome:SL3.0:6:43448325:43454965:-1 gene:Solyc06g069580.3 transcript:Solyc06g069580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFPPLLLIFLALCPAFLAQIVEETEFLIDGTVKIAETDNNYVCATLDWWPKEKCNHNDCPWGSTSLINLDLSHPFLANSIQAFNHLRLRLGGSLQNRIIYDVGNLESPCHPFTKQGDELFGFSNGCLRMDRWDELNSFFNKTGALVTFGLNALRGRQRTSKRVWEGNWDSSNAHDFIDYTVSKGYQIHSWEFGNELSGKGIGAKVDAEQYGEDVIHLNNLIDQLYKHFQPRPLLLAPGGFYDKEWFETFLEMSGPGTVDALTHHIYNLGAGSDLNLVNKILNPLHLNKIADTFSNLSQTIEMNGPWSSAWVGESGGAFNNGGPNVSNTFVNSFWYLDQLGMAATYHTKVYCRQTFIGGNYGLLDTSTFVPNPDYYSALLWHRLMGKGVLAVSNNASSYLRSYAHCTRHRAGVTLLLINLSNQTHYGVNIESSVSITSHVKEKSNHKSSFVQRLKKTISWVGRKSSDVTLSREEYHLTPLDGNLQSRTMLLNGKPLQLAENGNIPSLSPVLVKLKSPISISPLSIKFIVFPYLSSPVCT >Solyc03g117365.1.1 pep chromosome:SL3.0:3:68014866:68018168:1 gene:Solyc03g117365.1 transcript:Solyc03g117365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCPVLLNLTQISDQTDDISKQLDFTDRVASVVGIRGMAVVIVGQVESKKLGRGNNNGNHSSSLTPRRSSTTLGFQIELQIS >Solyc12g009220.2.1 pep chromosome:SL3.0:12:2502493:2504654:1 gene:Solyc12g009220.2 transcript:Solyc12g009220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Jasmonate ZIM-domain protein 1 [Source:UniProtKB/TrEMBL;Acc:A7XXZ0] MGSSENMDSGKVTGQKSQFSQTCNLLSQFLKKKGSVGDLNNLGIYKTTFESTGSQQTATTTTTTMNLLPMIEKSSDSSSSSSSVETNPQKPMNLFPQEFDFSKEQSTKKTESWKPDQPEKAQMTIFYGGQVIVFDDFPADKANEIMKLANKQNPTNNFTYPMIKNQKTADQSGVSFGNKLIQELPKLSMPQPSVADLPIARRNSLTRFLEKRKDRVTSIAPYQISNNKKSKNEDNKAWLGLGAQFVKTEQYF >Solyc04g009450.1.1.1 pep chromosome:SL3.0:4:2859935:2861734:-1 gene:Solyc04g009450.1 transcript:Solyc04g009450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESAGANAEENRSSVSAHHMYDKMPSSNSTNKSVPAAERSDSIVLGLQNAANFSGVRKRKRGEYVAEKWDPITKKRVCLGTFNTAEEASEAYLAKQCEFSTARHMLDEMPSSNSTNKTANAAAGSTDADHGKKPLIQEISFSHKSMLLGAEWHNMGKLRKKTFKKKQVCKGFNSNMHASNKPINVMNLESCKQTSCSLSKSADRCNTMKRNPKAKSGLLGIRKQKNGRYSAEIRDPIKHKQVWLGTFNTIEEASQAYLSKKSEFDMLTQGYKEDKPNNCDQTKPESSVGASLSSGGRNKKMDSPKTTRIIGVRKNQWGKYTSEIINPISKKKIWLGTFGTYEEASLAYQSKKLEFREIVKAKKKCSKKIHLNAREKQVGKEKIAVNCEGFQPESVAGETFHANLYMKVGVQRSKEAELQSNMPVDFSTGEKQAGKEKLVVNCEAFQSESAAEVTFHANQYMKFDVQRSKETKLQSNMPVDFSTAEKQAEKEKLVVNFEGFLPESAAEETFHANQYMKFDVQRSKESELQSKMPVDFSTWEKQGSQEDTDSSMGKWVQLPDGREVKFSLELGVPIIDKYGSLLGDFCGFDNLWIYDESIY >Solyc12g100170.1.1 pep chromosome:SL3.0:12:67975774:67976894:1 gene:Solyc12g100170.1 transcript:Solyc12g100170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLKVPTIDFRNYSEWKKGSEKWNLVRDGVFKALEKYGCFEALLDGEIPKEQLYEKLKQVFNLNLDEKFEKSQNVLVGYTRDNPRTPLQERMMIGNVLTHDAVQNFSYILWPQGDPKFCDLVLGYSKKLLEFDDMVRIMVFEKLGLERYLDDHKKSGDYLLNCMKYKVGEETNVGVPPHTDKIISTILSQHDQHVNGLQILDKNGQWIDLQYSSPHSYMYFVGDCFKAFTNGRFHSSTHRVIMGNEERFSMGFTTIPKQGYIIKVPEELVDEHHPLLYKPFDGSKYLPFYMSGVRRGVVETIESFCGVSPNTQDLS >Solyc03g042528.1.1 pep chromosome:SL3.0:3:6931977:6935110:1 gene:Solyc03g042528.1 transcript:Solyc03g042528.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRVNHSSSSKEEEEMVRFLVGRDEHDNDEGGPCNSSTSVCKRRRTTAGNFSRGVCGNGHIACAPFCIKIANKCPSCCLPIGYNRCQAMENVLESLKVSGVNNRNGCKEILNLSKKIDHENVYAHFSEKHASSAEHISFNAVHPIYIEKDQRYIILQMRTDVILFIINHASDCVGSVINIIRVGPFKQKRRFSYELVVTDGESSFKLESVEESVPNWSEDSPLKKFLVVPKVVVNSSARLKLNVLIENKE >Solyc10g084027.1.1 pep chromosome:SL3.0:10:63823787:63824246:1 gene:Solyc10g084027.1 transcript:Solyc10g084027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKFKNIEVDVKEGHFAVFSVNSEEDPKKFILELHWLNNPFFLKLLKQAEDEYGFQQKGVLQTFTSHGGGRFGPEEII >Solyc07g042390.2.1.1 pep chromosome:SL3.0:7:55649037:55649603:-1 gene:Solyc07g042390.2 transcript:Solyc07g042390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKTLLVSLFLISSVTTSSSSSASDIVRSSCVHASYPTICVRTLSSYSDTPINTPQDLAQAAVKITLSRAGKASGFLSRVKVESKREKGALSDCIEQMGDSMEELRKSLSELKHVRRGNAFKWQMSNLETWVSAALTNEDTCLDGFKEIDGKIRSDVKRKITNVARVTSNALYLINRLDDSANKITHP >Solyc05g005810.3.1 pep chromosome:SL3.0:5:624910:628868:-1 gene:Solyc05g005810.3 transcript:Solyc05g005810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEQLNSLAITHLLQHSLRSLCIHENSQWVYAVFWRILPRNYPPPKWDNQGGAYDRSRGNRRNWILVWEDGFCNFAASTAEINANECPGSSSNNNNNNNYGEYQHYQGLQPELFFKMSHEIYNYGEGIIGKVAADHSHKWIYKEPNEQEINFLSAWHNSADSHPRTWEAQFRSGIKTIALIAVREGVIQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPFKVDGYGASPDAWHFQTNLPTPTPTPTELYEHFNQHQHMRITPSMSSLEALLSKLPSVIPADVAAGMTGGSIPTTYCHEYQQQPQYRPNVEILGARHNQVQGKHHCAAQHFRSTADQFEENK >Solyc09g005580.3.1 pep chromosome:SL3.0:9:403449:404834:1 gene:Solyc09g005580.3 transcript:Solyc09g005580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PYISIPPQPLSVCVNLVAMDRTQLLLVGLPLFLFISDLFQLFTPPPQKPNSDHHHHHHQPPPLIQQPQTLEFPTQKTGGIGAVGIGNTVTIDFCSSCSYRGTAVTMKNMLDNQFLVSMSSLLTILLHFQNVCWEK >Solyc01g094520.3.1 pep chromosome:SL3.0:1:85832778:85835501:-1 gene:Solyc01g094520.3 transcript:Solyc01g094520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSFKAAPSNPQGTGTNTEQVYSELENFVVTENSLNNDQSVDVEDSGSGDNSELDPEASRLIPGLPDDIALFCLARVPRRHHVLLKCVSRKWRDLVSGEEWHSYRKKHDLQESWICALGRDKSEQLCCYVLDPTRLKRGWKPILGLPQRCIRRKGVGFEVLGKKLFLFGGCGWIEDATNEVYCYDAAMNKWNQATSLVVPRCYCVSEVLDEKIYAIGGIGPNSNNLPSWETYNTEIRSWTLHENPNIFPDIEDSIVLGGKIYIRGGSSPQSSSVSAFVYEPSSSTWQPAASELVSGWYGPAVVVDGTLYVLDQSSGTRLMMWQKDIREWVAVGRLSPLLTKPPCRLVAVGNNIFIIGKGLSTVVFNVENARNMNGVLVSTSIPKSISDDDVISCKAITI >Solyc05g006220.3.1 pep chromosome:SL3.0:5:882978:887348:-1 gene:Solyc05g006220.3 transcript:Solyc05g006220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKKVLVSVMFSMFMFFSSGREEECLNPFLIDQNIFAKDYIINLANDTETVKWMKKIRRQIHENPELAYEEFMTSALIREELDRMGVKYRWPVAKTGVVAAIGSGKPPFVALRADMDALPIQELSNWEHKSKIDGKMHACAHDAHTTMLLGAAKILQQLQHNLQGTVVLIFQPAEERGHGAKDMIDEGVLENVEAIFGLHLVHKYSSGIVASRAGEFLAGCGSFKATIRGKGGHAAIPQDTVDPILAASTSVISLQSIVSREADPLESQVVSVAMIQGGSSFNVIPDSATISGTYRAFSKESFYGLRKRIEEIVRAQAAVHRCTVEIDFDGRENPTVPPTINNEKIYKHARKVSKMIVGEENYKLASSFMGSEDFAVFLENIPGSLFFLGTKNEKIGAIYPPHNPNFFIDEDVLPIGAAIHATFAYTYLLNSTNKCINSHSSY >Solyc06g060180.2.1 pep chromosome:SL3.0:6:38257817:38262004:1 gene:Solyc06g060180.2 transcript:Solyc06g060180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Terpene synthase [Source:UniProtKB/TrEMBL;Acc:G5CV42] MSGAMATFSVFPHSLINFNIWRYTCEPKVHSLKRKLMSPLLAMDVNSSRHLANFHSNIWGYHFLSYTSQLTEITTQEKLEVDELKEKVMNMLMEIRDDNSTQKLVLIDAIQRLGVAYHFHNEIETSIQNIFDASKQNDNDNNLYVVSLRFRLVRQQGHYISSDVFKQFMERDGKFKKTLNNDVQALLSLYEAAQIRVRGEDILEEALTFTTTHLESMIPLLSDNPLKAQIIEALTHPIHKVIPRLGARKYIDIYENMESHNHLLLKFSKLDFNMLQKQHQRELSELTSWWKDLDLASKVPYARDKLVEGYTWTLGVYFEPQYSRARRMLVKVFKMLSICDDTYDAYATFDELVLFTNAIQRWDINAMDSLPPYMRPFYQAILDIFDELEEELTKEGKSDRVYYGKFEMKKLARAYFKEAQWLNAGYIPNCDEYIKNAIVSTTFMALGTTSLIGMEEFITKDIFEWITNEPSILRASSTICRLMDDISDHESDQQRGHVASVIECYTKEYGASKQEAYVKFRKEVKDAWKGINKALLRPIEVPIFVLQRILNLARTMDTFFQDEEDGYTNSNSKCKDIVTLLLVDSVTIGRS >Solyc03g097881.1.1 pep chromosome:SL3.0:3:61643153:61644908:-1 gene:Solyc03g097881.1 transcript:Solyc03g097881.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNFFVDVLQDFFYASSWPSRQVRPILKVKRAPKRAYHSFRQFSCAIANHFLGYPNSYIKNAKLFVDVRQVLVYAANWPSRPVLPILKILTSKMPNFFVDILEDLVYASSLPSQLVRPILKIPTSKMPIIFVDVHQDLVYAAGCPSQHVRPILKVKRAPKRAYPSFRRFSCAIANHFLGYQDFDVKNAKFFCGYPSRPCLCSRLALTASTTNFEGQTFPEASIPLISKIFVCFNKPFFSDLDRRQKFQNILLTSVNTLDMQPVIRIPTSKMPNFFVDVRQVLGYASSCPSPNQFLGYPDSDVNNAKFFCGRPSRPCLCSWLSLTDNPTHFEVNHFLGDPDSDVKNAKFICGRPSRPCLCIQVAITSNPTHFHGQTISEESIPKFRRFSQFAITANPTHFQGQTSPEASIPQFRRLF >Solyc07g064420.3.1 pep chromosome:SL3.0:7:66717699:66722935:1 gene:Solyc07g064420.3 transcript:Solyc07g064420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVILKNHCLLITVLFLCCFCSWRQINGYESLFHPKDILPLLPRQVSWPIINSLHSAVDLLPAFMGAASVEGNNTLEWKGACFYKNIAWLQLHNKSKSQFGGGTLHIKVSNAHSWTCLDLYIFATPYRVTWDYYFFSREHTLEIKEWKSQAELEYVKNRGVSIFLLQAGTLGTLSAIWNAFPLFVNNGWGEKSNIDFLEKHMGASFEERPQPWVTNLTTDDIHSGDFLAISKIRGTWGAFETLEKWATGSYAGHTAVCLRDAEGKLWVGESGNDNDKGEDVIAILPWDEWWEFELTKDNTNPHIAVLPLHPDLRAKFNETAAWEYARSMEGKSYGYHNLIFSWIDTIDGNYPPPVDAHLVASVMTVWNQIAPEYGSNLWNEALNKRLGTQNLSLPDILVEVEKRGSSFAKLLAIPEQDDWVYSDGKSASCVAFILEMYKEGGLFGELANSIQVTEFTIKDAYTLNLFENNSSRLPKWCNADDTMKLPFCQIRGKYRMELPGYNSMDLYPHMNERCPSMPPKYYRPQGC >Solyc11g050795.1.1 pep chromosome:SL3.0:11:16261589:16263539:1 gene:Solyc11g050795.1 transcript:Solyc11g050795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NDVKDIESKKVKYNGSHPELAARLEKETLYTNPGFDYNDVAGLSDAKRILQETLGIGRPWRGVLMFGPPGTGKTLLAKDVATECGMTFMNISCSSLCGKSYGESERLTRCLFELARGDALTIIFIDDIDSLCKHETSRRIKSELLVHTDGLNNSNSTSGNISDTFDERRLEKRIYIPLPYFETCKELIRINLKPIEHKKAEGYSGDDLTNICRDASFSGMRRKIAGKTIDEIKNILKSEMLKIPMTMENFLETVDKIKPTVSSGDIQQHEKWYSEFGSS >Solyc07g052500.1.1.1 pep chromosome:SL3.0:7:61138174:61138950:-1 gene:Solyc07g052500.1 transcript:Solyc07g052500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHITIVMDGYEFSMEINPQEPILQTKQKIQNFLGVPMSSQTLSFLGFELLDGFNIQDYPIITQGTKIQLTIQNIIGETSLQQNNIFFSTKFQIIVKMSSRKLNIDIDRTETVQSLKEKIHIIDGTPIRRMSLFFSGNELNDEYQSLIEYGVQEFSEIIVFLKTMSRMVTETPSRGISLVVQTSSSLLNSAKIPIEMSDLGTVNNLRQLLLDRKILSMDEYIFIHKQRIMRDNSSLRWHGVENGDFVYVFKGSVSREEH >Solyc11g008780.2.1 pep chromosome:SL3.0:11:2962920:2972883:1 gene:Solyc11g008780.2 transcript:Solyc11g008780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKNSLLPALINPASPGRTGSRNISFGFKNVLPVNVIASSNGSGSTDNGAVYVARTAPVTPSSPASSRVKSHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVSGTEKVLQQVVEQLNKLVNVWKVEDLSREPQVERELMLIKLNADATTKAEIMWLVDIFRGKIVDISDHFLTIEVTGDPGKMAAVLRNLSKFGISELARTGKIALRREKMGEDAPFWRFSAASYPDLEEKLPSILNNKSRPIDNGASNDPGGDVYPVESSDEISVNQVLDAHWGYLYDGDLTGIRSHTLSMLVNNAPGVLNLISGVISRRGYNVQSLAVGPAETEGLSRITTVVPGTDETIGKLVKQFNKLIDVHEVRDITHLPFAERELMLIKVASNAAARRDVLDIASIFRAKPVDVSDHTVTLELTGDFNKLLALQRLLEPYGICEGNCKAVADEYIECELMPTDTCSTIGSRERLCIMRSCCGNYLWVS >Solyc01g090300.3.1 pep chromosome:SL3.0:1:83839127:83848011:1 gene:Solyc01g090300.3 transcript:Solyc01g090300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYQQKSTTISDSDLSVLENIKFHLVNDSDFSQILSMFDPINISHADIINSPNSSYGSSTSAAEISWGDMITNIDSPWQCIDKLEHEEAPKEEPLVARGVHAPGDWNRYRGVRRRPWGKFAAEIRDPDRKGARLWLGTYGTPEDAALAYDQAAYKIRGSKARLNFPHLIGSDISEPVRVAPPIDSNNTPTDFTQNNFDYGELTPLINSSTTLQANEKSEVEESETVVASVKHAPKDWKRYRGVRRRPWGKFAAEIRDPDKKNARLWLGTYETPEDAALAYDQAAFKIRGSKARLNFPHLIGSGVPEPARVNPRRRSHSPESSCENGTPRKLYFI >Solyc08g015810.2.1.1 pep chromosome:SL3.0:8:5653396:5653701:1 gene:Solyc08g015810.2 transcript:Solyc08g015810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENQECDSTEEVCHCLQLQKERCVLEFCRQEVCKLQLSFLVDNLTPFSFVVIATYITTFYFWFETGLQLIDEVWILVISIQYKIVDCRFFMGIESSGTSIIR >Solyc06g063295.1.1 pep chromosome:SL3.0:6:40120388:40123925:1 gene:Solyc06g063295.1 transcript:Solyc06g063295.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding ATSSSCSSPYTNGSSPITTIAQDHLFSILLLLPLDSIFCFALTCKKFRSLTYSDSLWESLCRRDWGNSTIDALRSFAVDDKQQQFPWKKLYQQIYQLDSVYCRRLLTHPLGGEELILPRPRASHSLNFVSGSLVLFGGGCEGGRHLDDTWVAYVGNDFKRILKWNKIGSGVPSGRFGHSCVVIGDSLVLFGGINDHGARQNDTWIGQVAVHDAFGITLSWRLLDVGSVMPPPRGAHAACSMDKRRMLIHGGIGLSGLRLGDTWVLELSENLHLGVWQEIATHPSPPSRSGHTLTPVGGNQTILFGGRGLGYEVLNDVWLFDTSDSHCRWVQLLFDLQNIPQGLTLPRVGHSANLIIGGRLLIYGGEDSYRHRKNDFWVLDISSVTSIMQSGSPPSPERSMTKLWRRLKSKGDNPCGRSFHRACVDPSGRNLYIFGGMVDGLLNPAESSGLRFDGELFLVELLLQC >Solyc01g066890.1.1.1 pep chromosome:SL3.0:1:74956138:74957004:-1 gene:Solyc01g066890.1 transcript:Solyc01g066890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKIVSPARLFSMWRRVLTPHLLKLRIKPRMRSNRQSQWSFFSENVETFQNISTNVEVVETSQIVCPNVSKDLVFPLLATAYNFESPKIIPGFKLSYVSGMWRTLCECITQFFFESSENHKDLEIGFALILNGLRQVDFINLNPLEVLLEDFLKKHRDYDVARQSTSQKIRRDSHQELLSAAQQGLNTANEERINMEKHLEGLQKVFARAEKELKVWTSKKKKTISLIEDHQKRLSQNQKIITNREDEIHAIEKIVPLPEIEIKELDKLKKDAETSLHQILRHKFIS >Solyc03g005690.3.1 pep chromosome:SL3.0:3:492530:500902:1 gene:Solyc03g005690.3 transcript:Solyc03g005690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMASKPFCIFSLFLVIFTIFKLTPQAKSDSDYTKLIYKGCAKQDLSDPSGVYSQALSSLFGTLVSQSSKSKFYKTSTGSGQSTISGLFQCRGDLSNVDCYKCVSGLPILIDKLCGSKPVAARIQLYGCYMLYEVAGFPQISGMEMLFKTCSGKNAPGSGFEERRDTAFSTLENGMASSNGFYTTSYQSVYTMGQCEGDVGSADCADCVKNAVQKAQVECGSSVSGQIFLHKCFIGYSNSPNGVPRTSRSSSDWSPSSSSGSGQNVGKTVAIILGGVAGVGFILICVLFARNLMKKHDDY >Solyc02g072380.1.1 pep chromosome:SL3.0:2:42179695:42180071:-1 gene:Solyc02g072380.1 transcript:Solyc02g072380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLHLPSNVLLDNDIMGHLTDFGIAKLLIKEESIAHTTTFATIGYIAPGLISKRSDVYSFGIILLETFTKKKPRDEKLAGDLNLRSWVHNSLPS >Solyc01g005850.2.1.1 pep chromosome:SL3.0:1:575559:576446:1 gene:Solyc01g005850.2 transcript:Solyc01g005850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFRNLGQLPLLAFALAICFVASTVVADYSYGYSSPSPTYASKKYYKSPSPSPYYKKPEKHAEYSPSHYYKSPVPSKHDYYKSPTPSKHYYKSPVVVKYYKSPVPSKKYYKAPSHPKKYYYKAPSHSNKYYKAPAPSKKYYKSPAPSKNYYKSPSPVKYYKSPSPAKYYKSPAPSKHYYYKSPSPTKYYKSPSPAKYYKSPAPKHYYYKSPSPAKYYKSPAPSKNYYYKSPSPVKYYKWPSPSNHYYYKSPSPTKYYKSPAPSKYYKSTPYYKSPPPPPYYKKSTPYYKSPPPHP >Solyc03g120370.1.1.1 pep chromosome:SL3.0:3:70284864:70285256:-1 gene:Solyc03g120370.1 transcript:Solyc03g120370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESVTQYRLIRNLIQIQYSTYGYIRNVLNRFFLMNRSDRNFEYGIQRDQIGKDTLNHRTIMKYTINQYLSNLKKSQKKWFEPLILISRTERSMNRDLELNTIYRVLTRIKRISQNFNVYTQSFLTITNIL >Solyc09g066360.1.1.1 pep chromosome:SL3.0:9:65026722:65027303:1 gene:Solyc09g066360.1 transcript:Solyc09g066360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSSRDDLLFHYNSLPFNVNDTQDMLLYNLVAEGSSQETVNSSSSYGIKEEEVTSYEEERKDKNYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDNAEEAALAYDQAAFAMRGSMAILNFPVEIVKESLNEMKCRFDGNCSPVIELKKRYSMRRKSVSRKNRARKDVVVFEDLGAEYLEELLISSESITNW >Solyc12g017410.2.1 pep chromosome:SL3.0:12:6659954:6668552:-1 gene:Solyc12g017410.2 transcript:Solyc12g017410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQLYGYNPSGTAGIVAANTRSMEDYLSKDKTLLSSSTYFGSTAYSSYQTDTYSPTASRIPGYGPPGVDVTQPAAVTDSYFSSLKRSSSNALYHQALLGAHNTIGQAEAWCSANPLAKRPRFESASNLSIYPQRPGEKDCAHYMQTRTCKFGDSCKFDHPIWVPEGGIPNWKEVPVSTESLPERPGEPDCPYFVKTKKCKFGDRCKFNHPKDNTAHLFQGSVQNPGVSDLPERPSEQQCTFYMKTGTCKFGATCKFHHPRDIQLPSPTQKNGSVGKLGSANYEMTEDVNLVKPLSVAALLHNSKGLPIRPGEVDCPFYLKTGSCKYGGTCRYSHPERNGVGIGPALVASPATHWNIGMVNPAASLFQNFDPRLTHTMLGVLPPVYPQRPGQVECDFYMKTGECKYGEKCKFHHPLDRSASAVSVKDVQQPNVKLTLAGLPRREGAVLCPYYMKTGMCKYGASCKFDHPPPGEVLGMTTSQGASLSVDGVDNVDVNE >Solyc01g067160.3.1 pep chromosome:SL3.0:1:75383103:75384820:1 gene:Solyc01g067160.3 transcript:Solyc01g067160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPTCCSDKSQESRLHYWCRKRDDRRWPEFYALVEIRSRVQLGVEAARRNLLLKLKLYLGNSGEEFVSRIMQVPKYYQDTEGGIIREEGSRYYLQRTY >Solyc03g083240.3.1 pep chromosome:SL3.0:3:54514125:54516818:1 gene:Solyc03g083240.3 transcript:Solyc03g083240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDDNVMEDLNQKQGSFDGQLVLVPFLENVVANASVISSKKRGRPKGSKNNRRSVEENVGTSGTASVMDDSGQRVLMKNRLDRPKGSKNSRRSGEEDGGISGTVSVMDGSREGFLMKKKMQLGRPKGYKNNRRRGEENVGISGTVSMMDGSGEGVLMTKKNKQLGGRKGSKNKKRKVGENGESPNAAGIGNDCDAMLMKKKNVEQRHKGSKSKKKNMEQNREHQRNTDIVEKEIFTTGDFGISANQVSGRNEIVKKKIGRPKGSRNKKKVLIGRLTVPSHNEGGSKDIDSNKGKIFMPAENAGKMDDFVVGYKKHIVKRGRPRGSKTKKKVTLAYMSNANATTGHEVDVMCQGEYEKRITMAGQNGVILNEDQGMIVKKKDRRGRSRGSKTKSKVIPGHSSGTNTDDGDMDAVRKEDDGKRKFVAEGGGNGIAISNGERIFKKERRGLPKASKSKKRTTGSNFADANLNNREQDVGTMRLNVAENGMLLTEENKGDLNEGSLVSAVRVIKRKGVLGQPKGSKNKKKTIISSSSDVYSGHGVGAMNSSKEHENKMASLATDHMVGILSEVTITKIDSCSLPQGLHNENKIIESGENQHAIVDAAEDGTRKVVKKKRCRGRVKNSENKKQAAVRRGRPKGLKNKRMAGEIATVTNVVNLSIKRKNGRGRPKGSKNKKAKIMSEKNNKTAGALIVYDDGGGSQAEQKVKHCGMLPVATENGGISGDSILLDALGGGVSKRKVSSGRPKGSKNKKKAVTFDMGFPCQVSCQNAVSKMVKRRGRPKGVNDKKKIPIVSDCMGEQELSANAETSGLTGQGVLVRISSSQTYLSSCYDLYGILFVKT >Solyc04g039990.3.1 pep chromosome:SL3.0:4:12312474:12319020:1 gene:Solyc04g039990.3 transcript:Solyc04g039990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVEAEICFESMVVGQRQILDPFWKGDCFFGFAESWTMAVPWSTTLWIAKMVWMALSGWVVACLTIADEVAASFRTGDIGPFHILERVLAKSFLFNIIERVLAKVSSIAIYEISLAWNVKTELRKLQSTLSTIKVVLLDANEQQAKNHEVRDWLEKLRDVVYDVDDLMDDLSTQLLLRMHFEKVKEIKELLNEIADDWRNFHFTEHTYVTPAENTSREQTHSFVRASDIIGRDDDQENIENIFVIPIVGLRGLGKTTLVKLVYNNNRVVQNFNLRLWVSISEDFSLSKVIEKIVRSGTGESFDHLDMDQLQGCLGEVLQQKRYLLVLDDVWNEDQHKWIDMRELPMNCSRGSKIVVTTRSKMVALITGTVPPYYLRGLVEIGKEIVKKCGGVPLAVKTLGRLLYMKTDKNEWLQIRDDEIWEIEQNKSDILPILRLSYEQMPSHLRQCFAYCPMLPKGQEIPWEDFINRWAQGFIQSSNRNRKLEDIGNQYFDELLSRFCFLDVIQAAFDGEILACKIHNLVHDLAQSVSGAECLNVKPNAFVVSERVRHLFFHAEDMSRKYFPRFLLPLHKPELVQHFTELRLLRIYECQVYVLFQELWIWNCEELDLIDREGMSGLTSLQSLLLMGLPKLVTLPLELKDTAPTTLKYFRIADCPYLVELPEWLPNCSSLQRLYIEDCPVLASIPQGIYNHNANVHIIDCPLLGG >Solyc04g005760.1.1.1 pep chromosome:SL3.0:4:489359:490042:1 gene:Solyc04g005760.1 transcript:Solyc04g005760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPTEFVCHDCFKKSFVSVEPIVSSSSSWLPYLTIHFNFSILQQFWFIRPNDQASVYLGRVREDCSTKSTQIINHLSNTMLYEKLDQALSQVFMQFKDEFEDQKHAIVEQTTRKLLSITTTEMSEVCVDVELRIDHCCDGRILSALEESSSVDGMVPASESSIELLEPMEADERNSNDECLVCLDELGEETDVLRLPCSHMFHAECITKWLQNSHYCPLCRFEMPTD >Solyc04g080880.3.1 pep chromosome:SL3.0:4:65013916:65017749:-1 gene:Solyc04g080880.3 transcript:Solyc04g080880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWLLPSLVLVLLIFQQPLCTCSSISDLFETWCQQNGKKYSSEQERMYRFKVFEENYAYITEHNSKGNSSYTLGLNAYSDLTHHEFRNSFLGLSSSANDFIRLKGRGSGSSAAGVLSDVDAPSSLDWRDKGAVTNVKNQGSCGACWSFSATGAIEGINKITTGSLVSLSEQELIDCDRSYNQGCGGGLMDYAFEFVIKNGGIDTEKDYPFREKEGTCNKNKLQRRVVTIDGYTDIPQNDEDKLLKAVATQPVSVGICGSARAFQSYSKGIFTGPCPTDLDHAVLIVGYGSENGFDYWIIKNSWGTSWGINGYIHMQRNSGNQEGICGVNKLASYPTKTSPNPPNPPAPGPSKCSTFTSCGQGETCCCGLKFLGICLSWKCCGLDSAVCCKDGRHCCPWDYPICDTSRNLCLKRMSNATIVQQPQKEPFTGKFGGLIYPF >Solyc04g082030.1.1.1 pep chromosome:SL3.0:4:65920534:65921829:-1 gene:Solyc04g082030.1 transcript:Solyc04g082030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ODC description:Ornithine decarboxylase [Source:UniProtKB/Swiss-Prot;Acc:O22616] MAGQTVIVSGLNPAAILQSTIGGAPVAAAAENGHTRKVVPLSKDALQDFMVSIITQKLQDDKQPFYVLDLGEVVSLMEQWNSALPNIRPFYAVKCNPEPSFLSMLSAMGSNFDCASRAEIEYVLSHGISPDRIVFANPCKPESDIIFAEKIGVNLTTYDSEDEVYKIRKHHPKCELLLRIKPMTDGNARCPMGPKYGALPEEIEPLLRTAQAARLTVSGVSFHIGSGDADSNAYLGAIAAAKQVFETAAQLGMPKMTVLDIGGGFTSGHQFTTAAPAVKSALETHFHDFPELTIIAEPGRFFAETAFTLATTIIGKRVRGELKEYWINDGLYGSMNCVLYDHATVTATPLACMSNRNNLNCGGSKTFPSTVFGPTCDALDTVLRDYQLPELQVNDWLIFPNMGAYTKAAGSNFNGFNTSAIVTHLAYAYPN >Solyc09g008300.2.1 pep chromosome:SL3.0:9:1755199:1757235:1 gene:Solyc09g008300.2 transcript:Solyc09g008300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLKKFHGHFITNGFSNDTLSLSAILYFTALSPTGDLAYAHLVFNQIDSPNTFMFNTMIRGYGSSSNLSEVMSFYIKMLQNGFFPNHYTYPFVIKALCRTQNYILGEALHCSVIKFGHVLDLHIANSLLHMYAKFGFFVEIMYLFDEMPEPDVVSWNVVIDNFVKNGCFDEVLDAVNQMCLNGVEPNAVTLLVLVSFSLKMGDFGLGKLIHLYVMKRGIHMSENLGNGLIDMYSKFGDMESAEKLFDMMKMKTVFSWTSLLDGFIQKGELQRAVVVFNLMPKDTTAWNVMLSGYSEAGDMSSAETMFRAMPDRDLVSWNTMILGYTQNKMYMKSLELLREMLGFGLRPDRITLVGLFSVCGYAGVLHIGEAIHSFMEKQNVKEEEVEVALLDMYSKCGDPEKALTVFYTIRRRKSVLAWTNMIVGLAMNGLANEALVLFHQMCDEGTDPNEITYLGVLCACSYAGLVKEGKWLFNAMSKVHGITPRSEHYGCMVDLLGRAGLVEEAEMFIQDMPEKADAGIWGALLGACRMHGEVQMGERIAKIVTQMDPYQSGRYILLSNIYAAENRWFDFG >Solyc01g096360.3.1 pep chromosome:SL3.0:1:87315356:87319879:1 gene:Solyc01g096360.3 transcript:Solyc01g096360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRLLRSKSYTFGRVSASNSSSVLDLSPHCSSLYDYEQVSKEEEEDEEEEGEEGFLSLDQNRRSRVSGDDRGRQSHDNNQSPILAVLVTALRKSLVTCSVDRDDVANMDIGWPTDVCHVSHVTFDRFNGFLGLPIELEPEVPSKVPSASASVFGVSVQSMQCSYDQRGNSVPTILLELQSRLYTEGGLQVEGIFRINAENSQGETVRNQLNSKGVIPYGIDVHCLAGLIKAWLRELPTGVLDSLTPEQVMNCNTEEECTQLVNLLPPTEAALLDWAINLMADVVHNEHYNKMNARNIAMVFAPNMTQMVDPLTALIHAVQVMNLLKTLVIKTLHEREESHETCQMQSPRANISSSMVDYVSTQSNGALTKEPVLDGYSHEKPTAGELSRSATLDSTECELGEQFWNCRSTTSDAGEECDSVVKTSPVAHKRQTLESRFREGFDTEEVENTVFRLSLRKGMQKLCRHPVFQLSKPVKKSRLIGIVNSRRGGREALA >Solyc12g017890.1.1.1 pep chromosome:SL3.0:12:7750152:7750343:1 gene:Solyc12g017890.1 transcript:Solyc12g017890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKSLLKSCLIQNRASSLSRYLLHQPISSTLVSRICCELSRLGMGLCVRSGEWGCFRWDYWAE >Solyc09g075530.3.1 pep chromosome:SL3.0:9:67654809:67661910:1 gene:Solyc09g075530.3 transcript:Solyc09g075530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRNRIRVFQSYRDTLNENRIPFTTSKDSGGPVIEMATTSFLNSNRSYAPLSTEDDLGPSTSRDAFTLGLPPAWVDVSEEVAASIHQAQVKLADLKKCHAKALTPSFGDGREDQNVIEVLTMEITDILRKSQKKLQKLSASGSCEDSNVRKNVQRSLATDLQNLSVELRRMQSLYLKQLRLQSEGHDGLDLEMNEKKSSFLDDDFNDVGFTELQMATGQKDEQFTAEREREIRQVLKSVNELAQIMKDLSVLVIDQGTIVDRIDHNVQSVSASVEEGFKQLQKAERSQRKGGMVKCATILVIMCFVMLVLLVLKQILL >Solyc07g056000.3.1 pep chromosome:SL3.0:7:64022163:64033504:1 gene:Solyc07g056000.3 transcript:Solyc07g056000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSHLLLISIVLMGNLVAVLAAGNFNDLTEITWGDGRGKILDGGKGLSLSLDNYSGSGFQSKNEYLYGRFDMQLKLVPKNSAGTVTTFFLSSQGEGHDEIDFEFLGNVTGEPYTVHTNVYSQGKGNKEQQFHLWFDPTAAFHTYTIVWNANRIVFLVDQIPIRVYNNHESIGIAYPKSQPMKVYCSLWNADEWATQGGRVKTDWSQAPFTAYYRNINIDGCVVKSGASSCASRSTESTNSAKSWETHELDAKGRNRVRWVQSKHMLSLLTLVLLSPVSADNFYQDAAVTFGDQRAQIQDGGRLLTLSLDKISGSGFQSKNEYLFGRFDMQLKLVPGNSAGTVTTFYLSSQGAGHDEIDFEFLGNSSGLPYTVHTNVYSQGKGNKEQQFRLWFDPTSSFHTYSIVWNSQRIIFLVDNIPIRVFNNHEALGVAYPKNQAMRVYASLWNADDWATQGGRVKTDWSMAPFTASYRNFNTNACVWSAATSTSSCGGSKTESVNNDETWQTQQLNANGRNRIRWVQQKYMIYNYCADANRFSQGFSPECKRSRF >Solyc10g054387.1.1 pep chromosome:SL3.0:10:55213806:55218231:-1 gene:Solyc10g054387.1 transcript:Solyc10g054387.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLPSSNVSGRPLGSNYCPDKWLRSELNPMLLPSLPMQMLRWEWLLRNNVLFNKNINQFLAISCGLVEGLFKQNGTRDELSVCPRPGAVTSSVL >Solyc08g080540.3.1 pep chromosome:SL3.0:8:63940376:63941991:-1 gene:Solyc08g080540.3 transcript:Solyc08g080540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPSSVDCTGESATGENQRSLPTPFLTKTYQLVDDPAMDELISWNEDGTTFIVWKPAEFARDLLPKCFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKGLLRDIQRRKISPAGAAVVAPAVVTVNAAQAVTVAVAPAVRVVSSSNSGDEQAFSSNSSGAAAGAAMLRTTTCTTTPELIEENERLRKENAQLNQELNRLRSLCSNVYNLMSNYTANPADIPAGILGGRALELLRTGQVDGGGAAITAAESSGFKADEDMSPRLFGVSIGVKRVRRSSEEESEDQDQVQPHGSDMKSEPLDSGSAQHDDQPWIDRLDLGC >Solyc07g043650.2.1 pep chromosome:SL3.0:7:57739258:57740753:1 gene:Solyc07g043650.2 transcript:Solyc07g043650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKIFKSSNMLLRFFNRSVQLPAHMHRVQQLCKLARGIEMTDESQRLLEGVITSPPNYVPLTPISFLEREASVFGDRTSVVFGSRVKYTWEETHSRCLKVASALVHLGISRGDVVATLAPNIPAIQELHFAVPVAGAVLCTLNTHHDSSTIAGLLRHSEAKILFVDHQLLQIAQGALALLSKDKTIKPPILVLIPQYENSSHPLLETSYIHEYENLLASGSNSFTIRWPKTEMGPISVNYTVAIFLVCAIGPMPTYLWTVPMFHCNGWCMIWGIAALGGTNVCLRHVSAKNIFESISLNKVTHMGAVPSVLNMIANSPLNDRKPLKRKVVILTGGSSPPPHVLSKMEELGFRVTHGYGITEAYGVATYSLWKPEWDSLPLDERTVLKSRQGVQHLCIEKVDTMEKVPADGKSIGEIVLRGNTVMSGYLPHYMAPKTVLFEDLPKTSIGKVQKFILREKAKALGSIH >Solyc10g044993.1.1 pep chromosome:SL3.0:10:29498827:29499452:-1 gene:Solyc10g044993.1 transcript:Solyc10g044993.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICHSFLVLTFIKHQKHFPLSISLVSTTIDIAHSLLKSQCIEKYHEPIRYRQLVGSLQYLNLTRLDIAFKVNKLSQFMHCPTNIHWLALKRLLRNLKLTIAFGSFYILVIFQLTGFPKKHTIVARSSSEAEYKPIVATTAEVLWVKSLLQEQGYSVPSTTI >Solyc07g066210.3.1 pep chromosome:SL3.0:7:67816015:67827996:1 gene:Solyc07g066210.3 transcript:Solyc07g066210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chloride channel protein [Source:UniProtKB/TrEMBL;Acc:Q9ARC6] MSGGEYGDHNILLRSTSSASEGDVESQSSPRRTNTRSIKDLLKRLDRGFSGRRSSDRDHHHSSSPSPSNRRGVSSTADEILGDSAPPEWAMLLVGCLLGLATGLCVAGFNRGVHVIHEWAWAGTPYDGAAWLRLQRLADTWHRILLIPVLGGVIVGMLHGLLGILDQITQSSSTQGQGFDLIAGIFPTVKATQAAITLGTGCSLGPEGPSVDIGKSCAYGCSMMMENNRERRIALIAAGAAAGISSGFNAAVAGSFFAIETVLRPLRAENSPPFTTAMIILASVISSTVSNAVLGEKQAFTVPTYDMKSAAELPLYLILGMLCGVVSVVFTRLVSWFTKGFQFLKEKFGLSDVVCPALGGLGAGVIALRYPGILYWGFTNVDEILHTGKTASAPGIGWLAQLVAAKVVATALCKGSGLVGGLYAPSLMIGAAVGAVFGGLAGELINSAIPGNAAIAQPQAYALVGMAATLASVCSVPLTSVLLLFELTKDYRILLPLMGAVGLAIWVPSVTDQPNEAESSEAKFASKGYSILSPTDENNEGNGSRQSGERNNLELMEVHNSHESFDEGLILEDLKVSQAMSNDYLKVSPSQTVKEALECMHDGRQSCVLVVDAEGYLEGILTYGDVKRSLFKNHGDSSNKDLSVTDANTCLVSSICTKGISYRGQDCGLLTCYPDTDLAIAKQLMEAKGIKQLPVVKRGGEFRRERKRRVIALLHYDSVEETIRREVSHRKSVYQQNEEEKDKQIITNGH >Solyc12g082730.2.1 pep chromosome:SL3.0:12:16744952:16749924:1 gene:Solyc12g082730.2 transcript:Solyc12g082730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVIRVRPRHLYDLPNQKDEMEQYQLIDLVEKGETIQEVELEHDNIRIEREDIDGPTMMKNMHISNDNETTDVSAIPAPEKKIKGRGKTTRISTQKKHKENDNGKLKVIIPPDRTVAVGPGAKDFITELSVKVLHNARHDVKNWKGVPDLAKNRIVAYMLDTFQLSNIQHNRDTILQTAKNLYQYRRSRHHDHFKKFSTKEESLQNIPTDGNETEWKFLVDYFSSDEFKRNTTTGKEPNFQKLWEITHMKPNGQWVTSASAEVNDKVKDVIAEKIQDIDEGTDVDPIINAAFVQIMAEKSKYILGKGSGIKSASRISRNEIQEQLRAQQKEAEEERYKRESVEIKLMEVKNQLEEEGKNREVMEFRLVHDQKLLKESMMALVSHLKNPKNDLPASIFNIFTTSTTSNETSSTCLMNNNWEDLHVKKNQESQMQKELDNLKDVLNFEKQNLEMAIYDCDKFNTLCNEKDVELKAALTEKRNLEMRLPKLSSQGSKKTTPKELADANNQVFDKIHEELKACCMLRTAEETKKRLLSEKSSLEEKIVEIKKKKSSEVCFLNISCFNPSSKS >Solyc03g111040.2.1 pep chromosome:SL3.0:3:63201702:63205274:-1 gene:Solyc03g111040.2 transcript:Solyc03g111040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNNNKNNNIESNKENIINNWLPITSSRNAKWYYSAFHNVTAMVGAGVLGLPYAMSQLGWGVGVTVMVLAWVITLYTLWQMVQMHEMVAGKRFDRYHELGQEAFGEKLGLWIVVPQQLLVEVGVNIVYMVTGGKSLEKIYQTCCPNCYPLRTSYFILMFGSVQFFLSFCPNFNSITFISFLAAVMSLSYSTIGWGASLHKGISPEVDYSPRASTSTGRVFGSLSALGDIAFAFAGHNVVLEIQATMPSTPEKPSKKPMWRGVVIAYMVVAMCYFPVAFVGYRVFGNGVEDNILISLRKPAWLIIMANAFVVIHVIGSYQVFAMGVFDMIESYLVKQRNFNPTKTLRVIVRTGYVALTMFLAISFPFFGGLLGFFGGFAFAPTTYFLPCIIWLILRKPKRFGLSWFINWICIILGVVLMILAPIGALRQIILQAKGYKFFS >Solyc01g008000.3.1 pep chromosome:SL3.0:1:2110531:2114573:1 gene:Solyc01g008000.3 transcript:Solyc01g008000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLTFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKTFSESVWLWHLLLRRSLLKRFCNTMVECM >Solyc04g025070.1.1.1 pep chromosome:SL3.0:4:25860135:25860437:1 gene:Solyc04g025070.1 transcript:Solyc04g025070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRRISRVRQMVELKNKLMNLEGVLQMEKYRGESLLEIMKRADGVWWESPIEELKLFQLQHLKKALETLNQNVEKEIQMMNNNAFPFQSLGSAWTLPSFY >Solyc01g094650.3.1 pep chromosome:SL3.0:1:85966833:85970015:-1 gene:Solyc01g094650.3 transcript:Solyc01g094650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKQKIPIRSHTQNEAFQQTIPIRSHTQNGAFQQKIPIRSHTENGAFQQERGCRITIESDEDIDAGFSCLLEENGTGIYPLDDAKEESARILRKARRRKRKHFNTIIID >Solyc11g072040.2.1 pep chromosome:SL3.0:11:55620787:55623580:-1 gene:Solyc11g072040.2 transcript:Solyc11g072040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLGSESWVLGSIFYVGLLLKMDLCMGNDELFVSTNGTSGWPSSVSSMYILGDSSVDCGDNTPFYPILHQNLSLNPCNGSDQTLLPQLLAKKMGLQYVKPFYSQNGSMEDLLNGVNYGAAQATIMYPKRGSYQSLNQQLRQAFETIQLLQLQLGQDTAKSLIESSLFYLSFGKDDLINYLVDDESSTSPGYDGLRFTHILVDQMINVIQNLYDANVRKIVCMGILPLGCSPSVMSIRYNSSIGYKLGCENDVNLLVLEYNTRLEQRIVDLNIKMRGAQVIFCDTYRAMMEFIFHPQAYGFEDAKNACCGTGEYGGTKGCLSPDMACPRASTHVWWDLYNPTEAVNVLLANSAWSGDPLPSICRPITFKALESSAY >Solyc07g041687.1.1 pep chromosome:SL3.0:7:54131828:54134324:-1 gene:Solyc07g041687.1 transcript:Solyc07g041687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNQNQDCTNISGSAAHGVQANSAEPDPESAESQKPIELVVTRESSKGAHPPIWMKDFVSLNINKEIQKTYEGTTTILVYVDDILVTGSSLKLIKETKEALQQVFKMKDLGELRFFLGI >Solyc03g117710.2.1 pep chromosome:SL3.0:3:68251947:68253906:-1 gene:Solyc03g117710.2 transcript:Solyc03g117710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGIKLHWSFVSGVVIIYGINQGMSLGLSKISTQYEQKLQPYEAQIYAGIIQIPWIILFLLLDIEGGHNLFLLVCLVLFPCAALNHKLQLASALLCLMGASAAQAVADVTIDACETEDSTSYPSLASDMQSFCGVTSSVGQLIGFTISGFWFI >Solyc08g061771.1.1 pep chromosome:SL3.0:8:49560395:49563087:1 gene:Solyc08g061771.1 transcript:Solyc08g061771.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding YDSCFIWIDVEQSVLNRRDYMKVDQMVKAGEIDSDSDFTTKDFCLQAVIYIEKILKIQRVPIIVGGSNLYIDKLVEDHVFMFKYKYDSCYILIDVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFISDADYTKGI >Solyc05g044500.1.1.1 pep chromosome:SL3.0:5:57485325:57485489:1 gene:Solyc05g044500.1 transcript:Solyc05g044500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTDMRQDLCTLQARSHTQSWVTRSNFWPQIFRLLACISHMRWQLLAICGSSTM >Solyc07g042270.3.1 pep chromosome:SL3.0:7:55518699:55522599:-1 gene:Solyc07g042270.3 transcript:Solyc07g042270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLILGISILVATPGRLLDHLKNTSSFLHTNLRWIIFDEADRILELGYGKEIEDILNILGSKQQKSVGKGNTTSQISEVQRQNVLLSATLNEKVNHLAEISLDNPVMVGLDKKIELQLTHQDVKPMEFNGNDILGKDGKLLSSSTEEYKLPTQLLQRYIKVPCGSRLVVLLAILKHLFEKEPSQKVVVFFSTCDAVDFHYSLVSGFQWLSRQQSDTDVKQLFLKCNTFRLHGNMNHEDRRTTFHAFKTEKSALLLSTDVAARGLDFPKVRCIIQYDPPGEATEYVHRVGRTARIGEKGDSLLFLQPVETDYLPGLEKHGVTLTEYPLQKLLDSFPLFGIRYHPKNFVSVDTHPWVVSLQKALESFTSSELKMKKIAQNAFCSWVRAYTAHRGELKGIFMVKKLHLGHVARSFALKEQPSLVNKSLQKQTKKRMRDQKQTNVSKKRKVGKR >Solyc10g048150.2.1 pep chromosome:SL3.0:10:44343004:44344002:1 gene:Solyc10g048150.2 transcript:Solyc10g048150.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASRVILVFTIKYMASCLLFVKQFCLFIFLAYVSSSGKMDMHVFLLLCLPPDSSFIMIPYLHMEVTLFILLQGFCESIFLSSIGRTIGTSLQSFYRRLKL >Solyc08g062705.1.1 pep chromosome:SL3.0:8:51894156:51898527:1 gene:Solyc08g062705.1 transcript:Solyc08g062705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRFIAGYGGAGNKIISDGSSAGYRRPHIANNVADCDLEVTKDTEAHDDNAKNANGNADTGALYDDITISVPIATEIVEVTTTSIRRTSRRIKEPIWMKDYTKGKQSSTRHPIANSLSYNRVTSGYKAFANDYTVDVILQDITTYQRVIGNLLYATITRTDISYAVQVLS >Solyc08g005290.3.1 pep chromosome:SL3.0:8:216322:231019:-1 gene:Solyc08g005290.3 transcript:Solyc08g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEMDKSSKEAKEAKESKPPNSQEQTSTTTAGPVNQDWSGFQAYSPMPPHGFMASSPQAHPYMWGVQQFMPPYGTPPHPYVAMYPHGGIYAHPSIAPGSYPFSPFAMPSPNGVAEASVNNSGNVEVDGKSSEGKEKLPIKRSRGSLGSLNMITGKNNGAGKTSGASANGVYSKSAESGSEGSSEGSDAHSQNESPMNSGGRQDSADTSQNGNAAHGSQNGGTGTPHSMINQTMAIVSAAGAGGIPGPTTNLNIGMDYWGAATSSSIPAMHGKVPVSVAGGLVTAGSRDSVQSQMWIQDERELKRQKRKQSNRESARRSRLRKQAECDELAQRAEVLKEENASLRAEVNRIRSEYEHLLAQNASLKERLGEDDPRTGREDHLPGKNAQHSSQTESQ >Solyc02g082553.1.1 pep chromosome:SL3.0:2:46782234:46784849:-1 gene:Solyc02g082553.1 transcript:Solyc02g082553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTLLIFDIILETQGLRIVFHVSFVSKGITSFRLEFIDTSFRVNKYWKSLSRMRGLGKARIGSEEFPPHLLLSHSLLLFTGIPVNYEIKKKRCRAQSFKATLELPSPAAKETDWRVLVRREIRCMPLAIIDQMSEFELYEKVHMFGDALSPSECSNNSSH >Solyc09g090710.3.1 pep chromosome:SL3.0:9:70622125:70632608:1 gene:Solyc09g090710.3 transcript:Solyc09g090710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYFKPRQTWTSIIERMKENNQSIFGGSKPNTRATSVPRPRAVLSSPVNDQMISTRSKTKAEAVSDLKNHNTCQNRHHIKCKTFPKSIQDSHHTSGNKCSKETDNGKLDPRARARLVKGDPSRRTHLQEDDQHPVRSKVKQ >Solyc05g052280.3.1 pep chromosome:SL3.0:5:63410974:63414037:-1 gene:Solyc05g052280.3 transcript:Solyc05g052280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4C1Q9] MASSKNNTMVFLFLLVTLLIGSSSAQLSTNFYSKSCPKLYQTIKSTVQSAINKETRMGASLLRLFFHDCFVNGCDGSLLLDDTSSFTGEKRAAPNVNSVRGFEVIDNIKSAVEKACPGIVSCADILAVTARDSVVILGGPNWNVKLGRRDARTASQGAANSSIPAPTFNLNRLISSFSAVGLSTKDMVALSGAHTIGQARCTTFRARIYNETNNIDSTFARTRQNSCPRNSGSGDNNLAPLDLQTPSKFDNNYFKNLVNKKGLLHSDQQLFNGGSADSIVRSYINNPSSFNSDFVTAMIKMGDIRPLTGSNGEIRKNCRRRN >Solyc12g011050.2.1 pep chromosome:SL3.0:12:3912730:3927392:1 gene:Solyc12g011050.2 transcript:Solyc12g011050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSRGGRDRHRRDHPPRSEEKSHQGRENPPSRHLWVGNLSHSLSESTLASHFLRFGDLERVAFQPGRSYAFINFKDVEGAFAAIRHLQGYVVAGNPLRIEFTKAEKSSPAPPRDEEYFPRRDDRPMIRRSPLSQRDLRTRHSTSDLPPYPDKSRLDDKGGEPSEVLWIGFPAQLKVDEFILRKAFSPFGQIDRITAFPGRTYAFVQYKNVTAACRAKETLQGNLFDNPRVHICFARAEAGTSNKERSPTNDSPSSHLRSYGHIGSSENLRHDRDFGNAPRDHGMRSPRFNSDMDPGDSRHVGFGRKGNAWVGEDDRRRFPVLDSELGHGDSAYNHRSPPRKRVVDIREREFSPQRFPRQDPFYDDSWDLPEDPFVFREAKKLKTSSYFPENELPEYPFNDMEPARQRGYPEFPQAEVLDKNFDSGSLVHRQIPERMMNSNVPFPEENDRWNSRFDGFKVGSGQLASKAEQKRLTPEPHASSKSSEWKWEGTIAKGGTAVCRARCFPVGKPLEMILPVYLDCTARTSLDMLAKHYYQAAGSWVVFFVPATDADIAFYSEFMNYLGEKQRAAVAKLDDRTTMFLVPPSDFSEKVLKVPGKLSISGVVLRLDPPAPGFGSHSEKNETGITGFQGMTSFAQPISPSGPNAALTSYAATQRPGISNTSFPGIDTGPPAASFSGSLHPAGNFSESFSGDRHNYMVNQQYPAMGQNWSSHDMQNQNPSVKNIISQSSSGRNDPTIGQGYNPAMPGTGQESSSIYRGEVPNFHSNGNNRPPPEAKTPPAPFQSEQLALLTSSLLGQQRQSGVASTGQDSRQTGTAYLPDNSYRPQQNLSFPNNQPVDHSSSQFGQVQQQQQAMASLPGPPPRELQHGTNVGQLQNAADEETDPQKRLQATLQLAAALLHQIQQGKS >Solyc04g024770.1.1.1 pep chromosome:SL3.0:4:28928937:28929242:-1 gene:Solyc04g024770.1 transcript:Solyc04g024770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSERVARAVMLLRDWRRRGLQGQCCCCCESWRRRGSRGQQELVAERVARAAGAGGGEGREGSRSWRRRGSRGQQELAAERIAMSERVARAVRKKERRSRGQ >Solyc08g075036.1.1 pep chromosome:SL3.0:8:59326284:59326797:1 gene:Solyc08g075036.1 transcript:Solyc08g075036.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATNIIFLIFPTISIAEAQKINITKVHTATTQGAGINIDNYIHFIFSLELDLMFVSTKTPPAYYFDWGFDARASSWIIYLQGGGWCENLKDCHYRTFQPTCSSNLMQDQTKFGGIFNNTPQNNPDELIFAGDHLLLLKS >Solyc04g047880.1.1.1 pep chromosome:SL3.0:4:38342974:38343159:1 gene:Solyc04g047880.1 transcript:Solyc04g047880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPYVQIFTTMFVVTMKAVSVGSTLAISAIALLLVKTCRRLTRWAIKSVGRASPINGYLVK >Solyc01g017183.1.1 pep chromosome:SL3.0:1:23806163:23806630:-1 gene:Solyc01g017183.1 transcript:Solyc01g017183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHRGTAEKLTAKSDPIYQTKPLSVLRQVIRRVTPIITLKGRRVGGSTHQIPIEIGSTQGKTLSILESRKRPCRNMAFKLSSELVDESKGSGDAICKKEETHRIVEEKRAFAHSR >Solyc11g022500.1.1.1 pep chromosome:SL3.0:11:14226107:14226349:1 gene:Solyc11g022500.1 transcript:Solyc11g022500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPIMHLPYHLKRVSMATSKAFTKSSQVRWESCSSTIYFLAIAKTFTKLGIMPIIKETYRGPSLQALIKTQYTLTPSGTY >Solyc07g005920.2.1 pep chromosome:SL3.0:7:751805:755174:-1 gene:Solyc07g005920.2 transcript:Solyc07g005920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSKFSMYNFFFLIMVFSIALGQKNDQITLNDRDSLVSFMSMIVSDPFHVLESWNSSNIHVCNWTGIVCDKRIHRVVELDLSHHSLRGTISPSISGLSFLQILDLSGNLLHGRIPSGLGDLVKLNQLSLSSNLLEGEIPNELGFLHELKYLDLGTNNLSGAIPQSLFCNCSASLQYMDLSNNSLRGEIPMDDHCELSGLKFLLLWSNELVGEVPIALSKSTKLEWLDLESNSLSGELPSDIVSKMPKLQFLYLSYNNFDSHSGNTDLTPFFASLVNCTNLQELELAGNNLGGELPPIIGNISKNLAQIHLDDNLIYGLIPTQISSLVNITLLNLSSNHLNGTIPPELCQMRKLERLYLSNNSLSGVIPSAFGNVSHLGLLDLSKNNLSGLIPNTFANLPQLRRLLLHDNYLSGTIPPSLGDCVNLEILDLSHNRITGTIPSAVAGLSSLKLYLNLSSNYLHGSIPLELSKMDMVLAIDLSSNNLSSNVPSQLGSCIALEYLNLSSNSLEGPLPSSIGRLPYLKEIDVSFNVLSGDIPQTFQASSTLQNLNFSYNHFSGNVTDTGAFSSLTMSSFMGNSKLCGSVQGMKSCHKKKGRHFIITILLSLLITPIFCVVGYPLLLRSRFRNQFASFNKSKVIEDVEQGGGRKEQKYPRISRMQLIEATGGFSSSSLIGAGRYGRVYKGVLKNNMSIAVKVIDTKGGGEISGSFKRECQILKRTRHRNLIRIITTCSRPDFKALVFPLMPNGSLENHLYPSHGLRHQLDLAQLVNICSDVAEGVSYLHHYSPVKVVHCDLKPSNILLDYNMTALVTDFGISTLVKAVEENTNPIDESVTYNSTDGLLCGSIGYIAPEYGMGRRASTKGDVFSFGVLVLEIVTGKRPTDVLFQQGSSLHEWVKSQYSHKLEAMIDEVLQRCRIVQRDSNIWHDIVLELIELGLMCTQYNPSTRPTMLDVALEIGRLKQYVSTSSNSVTEDVTTKS >Solyc02g091640.3.1 pep chromosome:SL3.0:2:53525523:53530396:1 gene:Solyc02g091640.3 transcript:Solyc02g091640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRLHCLETSIFATANLSLFHTPFSPSAVLPITSTKPASLLFENFKKSASRTPTRTSANNPTTKVLASGVSTAAVDGEADVEVAEGYTITQFCDKMIDLFLNEKPKSKDWRKYLVFREEWKKYGDRFYSRCRTRADTEDDSQMKEKLISLARKVRKIDDEMERHTELLKEIQDNPRDLNAIVTKRRKDFNGEFFRHLSLLSETYNSLEDRDAFARLGTRCLSAVSAYDNTLEIVGTLDTAQAKFDDILNSMSVDAACDKIKSLAKSKELDSSLVLLINGAWASAKESSTMRNEVKEIMHRLYKATQSSLRSMAPKEIKLLKYLLNITDPEERFSALATAFSPGHEHDAKDPNAIYTSPKELHKWIKIMLDAYNMNKEETEIREAKQLDQPMVIQRLSILKETVEAEYLEKEANTEKDSQPEETVI >Solyc04g074490.3.1 pep chromosome:SL3.0:4:60567529:60578930:1 gene:Solyc04g074490.3 transcript:Solyc04g074490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLESSPMEIDQIDRRPAALGDLRILPDEILCSILTYLTLRDVARLSCVSSVMYILCNEEPLWMSLCIDIADRQLQYKGSWKRTALDQLNVTFENKESCQKPLYFNGFNSLFLYRRLYRCHTSLNGFYYDSGNVERAKNLSVDEFRDKYDGHKPVLIGGLADTWPARTTWTTEELLKNYGDTAFKLSQRSRHKIRMKLKDYVAYMKVQHDEDPLYIFDEKFGEAAPELLKEYTVPNMFKEDFFDVLDMDQRPSFRWLIMGPERSGASWHVDPSLTSAWNTLLYGRKRWALYPPGRVPLGVTVHVNEEDGDVNIDSPSSLQWWLDFYPLLAEEDKPIECTQLPGETIFVPSGWWHCVLNLETTVAVTQNFVNSKNFEFVCLDMAPGYRHKGVVRAGLLALDDISIEDVRKNMLSLESGLSYSDLSRKDKRIRVDQPRSSEDGSTIDWVSKGINSTEVEFSYDINFLAMFLDKEQDHYTSLWSSSNSIGQREMREWLSKLWVEKPETRDLIWKGACLALNADRWYARATEICTFHGLPLPTDDERLPVGTGSNPVYLVGDNVIKILVEEGLEVCLHSLGTELEFYSSLQKMNSPLRNHIPNVLSSGILYIENGLCKVQCWDGKGIPEVIANFRPIVEHGEADYPFGLWSKRQLDYTKAGMSLAELVSTGSGTTIWPYVITQRCKGKIYAQIRDTISWEDTLNLASFLGEQMRNLHLVPCPALNDLTLLETQQKVVPTANGNLEDHEDKICVPAEWNLFLKTLNRKKKDVCDRLTKWGDPIPRELIEKVEEYIPDDLQKVDMGVRSCTWIHSDVMDDNIHMEPCSLPSRSGGTTDDPESIDNVSANGSNLSEPIRAWRPTHILDFSGLSVGDPIVDLIPIHLDIFRGDPHLLKQFLDSYQLPFIKTGVNASAKSNGFQRLSYRAMCYCILHDENVLGAIFSTWKKLKMAKSWEEVEEAVWGDLNSYTGSC >Solyc01g011025.1.1 pep chromosome:SL3.0:1:6950340:6951982:1 gene:Solyc01g011025.1 transcript:Solyc01g011025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTGYIDKIRQNVILSKIPHENNLTINTHKQVQKRSKKFLRLNQDAPLKVSGSSFKYMPSSKLK >Solyc03g111710.3.1 pep chromosome:SL3.0:3:63855417:63858784:1 gene:Solyc03g111710.3 transcript:Solyc03g111710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPNNFDAGEMPEFDIQIITSGGHRIPAHSTVLSSASLVLESILVRPQKKRSSEKTIRILGVPCDAVSVFVQFLSSFQCTEEQMKKHGIHLLALSHVYLVPQLKQRCTKGLADQLTIENAVDMLQLARLCDAPDLYLKCLKLISTNFKKTEKTEGWKFILDHDPKLELEILQFMDEADSRKKRTRRHRREQNLYLQLSEAMDCIEHICTEGCTSVGPCGEEPCTKKLPCSKFKTCQGVQLLIRHFATCKRRVNGGCLRCKRMWQLLRLHSSICDQPDECRVPLCRQFKEKVQRKGDDGVWKSLVEKVVSARALSSLSLPKRKREEEPKMNLQHHQVRSFLTTQCH >Solyc11g065900.2.1 pep chromosome:SL3.0:11:51780832:51785182:1 gene:Solyc11g065900.2 transcript:Solyc11g065900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication complex GINS protein SLD5 [Source:UniProtKB/TrEMBL;Acc:K4D9J9] MDSGDASGYSAGVDDDYESLLSTTDAELLKRAWRNEKAAPEILQHETALVQRSREQIQLMEETVEEFSKNGVDPLTLSLYQMDLDRTLFLLRSYLRTRLQKIENYAFHIQKNTDLWNRLSKQEQKFAERCIDDTEQHLDQSVLSKLPHGFKSHLKQSSLSLADDMVPEPQLDQYVICRSKKFLGSFQLDDSGEEPVNIEANDLYALPYKSIKPLVESGQIDLV >Solyc01g103580.3.1.1 pep chromosome:SL3.0:1:92030293:92033051:1 gene:Solyc01g103580.3 transcript:Solyc01g103580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMSLRARSTSSKQTSTDASEIPLLPTSNNDHEAERPAIPRPSISQRALESTAHLANLLPTGTLLAFQLLIPIFTNNGSCDTASRPLTLVLLALLAFSCFLACFTDSFKAPDGQIYYGLATFKGLWIFDYQAASISGVPGELSRYRVGFIDFVHAILSVLVFVAVALRDKNVVNCFYPTPDHETKQVLDVVPIGIGVICSLLFVVFPTRRHGIGFPVTPDKR >Solyc06g009850.2.1 pep chromosome:SL3.0:6:4052000:4053884:1 gene:Solyc06g009850.2 transcript:Solyc06g009850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFVACIPGALFFDIDGITDRTTNLPHMLSSKEAFAIKNKDEVVVYDGKASFSCRRKVKRSIQDRTYQHIDARCKSRALLDAFLINNYFNMLVKRGYCLVV >Solyc10g006070.3.1 pep chromosome:SL3.0:10:792567:796153:1 gene:Solyc10g006070.3 transcript:Solyc10g006070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:40S ribosomal protein S8 [Source:UniProtKB/TrEMBL;Acc:K4CXD9] MGISRDSMHKRRATGGKKKAWRKKRKYEMGRQSANTKLVPNAKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRLLDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVEIGRKKKSAAKKEGEEVAEAAAEEKKSNHVQRKLEKRQQDRKIDAHIEEQFASGRLLAAISSRPGQCGRADGYILEGKELEFYMKKLQKKKGKGASGATA >Solyc03g082980.3.1 pep chromosome:SL3.0:3:54255406:54262204:1 gene:Solyc03g082980.3 transcript:Solyc03g082980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRSRSKRYYYDQDYESENLPRTKQRYNNPHHYAPTAHHRRPVSGGGGGGRKMQDPALMVTTTYRILCHDVKAGGVIGKSGSIIKAIRQHTGAWVNVHELIPGDDERIIEISDTRRRDPDGRMPAFSPAQEALLMIHERILDSDSGGGGYSGGMDVEEEFRMRGVSGSNRAVTRLVVTRMHVGCLLGKGGKIIEQMRIETKTHIRILPRDHSLPRCVSMSEEIVQVVGEVNAVKKAVEIISSRLRESQHRDRGHFPGRPHSPERFLPPDDEFIPHMNSTARRLSENGSAFGSRLPAGMSGGRSNNYSSSSSGYAIESGIAPNNDNGQVMYVEDLVFRILCPVDKVDTVAGESDGIIELLQNEIGVDVKILNPVAGSDEQVIIISSDEGPDDELFPAQEALLHIQTRIVDLVPEKENVITTRLVVQTDEVECLGGRDGLLSDMQKITGATVKILPKEELPPCVSRTDEVIQIVGEIKAAREALVEVTSRLRSFTYRNFFQKDIPSPAIPASSPARSTIGADKNSFNNTSPSQQNYSVNDVPTSIYQNTPAKPISQPVKETGASASEITKQNESERREDIPSGLNRMHVTLVTRSILEVVIPPHAAPKLITKSRNKLAQISELSGANVKLIEDRPEVTDKIIQISGTPEQAERAQSLLQGFILSTLEDGP >Solyc01g067045.1.1 pep chromosome:SL3.0:1:75203398:75209279:1 gene:Solyc01g067045.1 transcript:Solyc01g067045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPTALFTSRSGGNNFSGPSNRSGNVKFNKQRSGYLYCDHCDMKGHNRADCNKLKYCIHCHKHGHLKESCYQLIGYPTNYKGKRQANIMTTNYNPQFNNPGSSTNGNVVDQMQQFKSGGSYQMSQQYESNPSSSGSGAVLSQHFTPDQYQQVLQMMNKSLIHEGNTVSTNSSANTTGIFAGHSQFTPSTSSFDWIVDSGATDHMVRTKDLLTHGSTVKSSGNVQLPNGDSTKVTHSGCSQLQGDLGLGGSKPIATPVEMNGNLTTAVFDKHVGITSDLVLSDIGEYQRLVGRLIYLTITRPDLSYAVQKLSQFMNAPKQSHMNAAIRVVRYIKQQPGLGILLSAQNSGSLQDWGSCPDTRRSITGYMVTFGKSLLSWKSKKQSTVSRSSAEAEYRSMASTVAEVTCLYIVE >Solyc07g064910.3.1 pep chromosome:SL3.0:7:67013898:67019463:1 gene:Solyc07g064910.3 transcript:Solyc07g064910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFDTSPINRCSKEHEKIYQQWFNFADSDGDGRLTGGDAIKFFAMSNLPRPELKQVWAIADSKRQGFLNFRDFVVGMQLVALAQAGHAVTSDLLNADVDFENLPPPAMEGLDVLLAKKKLMPKSEQDQNGSAPVPAAPTTSWFSSSKSSKKISLTSVTSIVDGLKKLYIQKLKPLEVAYHFNDFVSPLLTNSDFDAKPMVMLLGQYSTGKTTFIKHLLKMSYPGAHIGPEPTTDRFVVVMNGPDERSIPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMAHPLLEHFTLVDTPGVLSGEKQRTQRSYDFTGVTSWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGHDDKIRVVLNKADQIDTQQLMRVYGALMWSLGKVLNTPEVARVYIGSFNDKPMREAAAGPLGKELFEKEQDDLLTDLKDIPKKACDRRINEFVKRARAAKIHAYIISHLRKEMPAMIGKAKTQQRLIDELENEFGKVQREFHLPAGDFPNVEHFREVLGGYSFDRFEKLKPKMIQDVDDMLGYDIPELLKNFRNPYD >Solyc12g098290.1.1.1 pep chromosome:SL3.0:12:66843820:66844068:1 gene:Solyc12g098290.1 transcript:Solyc12g098290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGISSARIFGIVLLIVLFSGIMVSADVLGRRMLGASGVGSGGGGLGGLGVGIGGSLGRDINGGIGFGGGVLGGKGLGIGGGN >Solyc01g058410.1.1 pep chromosome:SL3.0:1:66428730:66431468:-1 gene:Solyc01g058410.1 transcript:Solyc01g058410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGRAQVESCYMDTVLCLVDASPSLERIVWESPCLEWKECFAKESCGAVKGCLLRFLTLQVSTYLWNRGSFLILRCQGDQRRLQVEATDALIIQLTPCCLSVLDVMLQKQGFWSISKGKVEEIQSLFYLEGAEQSKNEPNQMIVLEWLFLTIAPCDAAEPWTRRIAAPREILLYTSSQTRFLNRTLIGERCKNREVRSNLKVPSVLGVDEPRLV >Solyc02g066910.3.1 pep chromosome:SL3.0:2:37737299:37746817:1 gene:Solyc02g066910.3 transcript:Solyc02g066910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISKELLLTYVYLLVYIVLSSGVILYNKWVLSPKYFNFPLPITLTMIHMGFSGLVAFFLVRVFKVVSPVKMTLEIYATCVIPISAFFAASLWFGNTAYLFISVAFIQMLKALMPVATFVMAVICGTDKLRCDVFLNMFLVSVGVVISSYGEIHFNVVGTVYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLFIPWYLLEKPEMEVTQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTLIFPESTITTLNITGYAIALCGVVMYNYLKVKDVRASQLPVDTMLDRTAKELKMEKKSSHLYLPDDNADLGGNSTKNDSSDPMVDEEAPLITSTRISHLGRSNLSS >Solyc01g010530.1.1.1 pep chromosome:SL3.0:1:5492993:5494462:-1 gene:Solyc01g010530.1 transcript:Solyc01g010530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNNFEMNNSKMTLYVVSCWIFAAFGGLMFGYDIGISGGVSGMDDFLIKFFPHIYETKLHAIENNYCKYDSMLLQLFTSSLYISALFASFFASKAATKLGRRLTISMASIFFIVGAVLSAAAENKSMLIFGRILFGIGVGFGNETVPLFLTEVAPIQHRGAVNIMFQLFVTIGIFIANLVNYGTSTVHPYGWRMSLGLAAIPAVILFIGTFVITDTPTSLIERGNEEQGKSTLMKIRGVNDVEDEYKEIVIACQQAKQVKQHSLKKLLKPASIPPLVIGVLLQVFQQFTGINAIMFYAPVLFQTMGFKANASLLSSVITGIVNVGSTFVSIYLVDKVGRRKLLLQACCQMLISQLAIGAILLTSLSDTGTLNKTLALIVVVLVCTYVMSFAWSWGPLGWLIPSETFPLETRTTGFAFAVSTNMLFTSIIAQLFLTMLCTMKAYIFFFFSGWIVVMGLVVYFFLPETKGVPIDSMVERVWMQHPIWKKLF >Solyc02g094050.3.1 pep chromosome:SL3.0:2:55327848:55328992:1 gene:Solyc02g094050.3 transcript:Solyc02g094050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVRKSLLISVIVMVMIQKNAMAAQHVVGGSQGWDESADFKSWASGQTFKVGDTLVFRYNPGLHSVVELEGDSSYKSCDISSTVNSMSAGNDVVKLNKPGTRYFACGTAGHCDQGMKLKIKTVTGSAPSNQEDSSTPRSSSAASHCFSTAFFTFIVAILTVQMALVFLL >Solyc05g041110.2.1 pep chromosome:SL3.0:5:50248156:50249582:-1 gene:Solyc05g041110.2 transcript:Solyc05g041110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPMRTRKMGGKYSEDDAKDIMIQLLSVVSYCHLQRVVHRDLKLENFLFISTDENSPLKAIDFGLSDYVKPDERLSDIVGSALCVTVYARSDS >Solyc08g008240.3.1 pep chromosome:SL3.0:8:2672016:2675932:1 gene:Solyc08g008240.3 transcript:Solyc08g008240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQFRQIGEVVGSLKALMVLKNDIQINQRQCCFLFDMFVHSFDTISQEIKHNLRLNESSIKWKALELPMKELHRIFKEGESYVKYCLDVKDLWGKAISLHMNRDCVEFHIHNLLCCFPVVIEAIETAAEISGFDEEEMQQRRTALMRKYDRELIDPRFFQWMSGKQYLVTREICSRLESCWKEDRWLLIDMIRQKKTETLFKYEQRLGDLLLRKLDGVEPINKILLPSSILVGSNDYHVKRRLGSWGGHVKEIQWLGESFALRNFFGEVEPLHDEISLVFSLSHPNILQYHCGFYDEERKEGYLVMELMNDTLTTYIKDHSGQRKRPPFSTSAAVDIMLQIAKGMEYLHSKKIYHGELNPSHVLLRARNSSAESYFHAKLKGFGLTSIKSTYKTASHNAADSVIWFAPEVLAEQEKPGSKCAFKYTEQADVYSFGMICFQILTGKVPFDEGHLQGEKVVRNIRAGERPLFPYPSPKYLVNLTRRCWHTNPNLRPRFSSLCRILRYIKKVLVINPEHGQPETAPPLVDYCDIEASYSKKFAEEESTSLIPVSQIPFQMFAYRLIEKEKIIGKNWDPSRDGFSVHRRASLLSDDGQLSAMDDLFLAPSDGRSVCSEIIDRKDSRFFDQRSAISEIPHRRLLLFDQTSVGSESPERRFSSVATADETLFFADSPDRKGAVSSPLVNRSPRIDSLEKKIVSSMGKNQRLKLLANQEKAMSPKAGDEKPSSSVAAPQKLASPRTSEKMKSAEQNIVSPPTSEEMKPAAKNLVSPRTTETKKSAEPNLVSPRTTEMKKSAELNLVSPRTTETKKSAEQNVVSPPISEKKILSYDQKPTSSETQEKKNESDDQKLVSSEAHEKKHSSDDQKLRRSKTLDRKKSLAANDKPLHGEEMQRTSSRTRRLNQKLFNIADKKVPEPNQISMSSENHEKIASKPINQKTAVKKDSSRNKVQDRKASTIPEKLIDDSPISSPARGNRIHSSPMSSPTRSPKTYSRSPAIGSNGYGYQSPSSSPLNPCSRCSRVNREYQTSGMSPHTQRKAHTCHSEIA >Solyc12g044260.2.1 pep chromosome:SL3.0:12:60093975:60095353:-1 gene:Solyc12g044260.2 transcript:Solyc12g044260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESIGVLMACPMSSYLEQQLDNRFNLIRYWNFSDKKQFINDYAHSIRAVVGNAAAGADAELIEALPQLEIVASFSVGLDKIDLNKCKEKGIRVTNTPDVLTEDVADLAIGLILAVLRRICESDRYVKKGLWKAGDFALTSKFSGKRVGIIGLGRIGLAIAKRAEAFDCPISYYARSEKTNTNYKYYPTVVELATNCEILVVACALTPETRYVVNREVIDALGAKGILINIGRGPHVDEKEMVSSLLDGRLGGAGLDVFENEPEVPDNLFGLENVVLLPHVASGTEETRESMADIVIGNLEAHFQNKPLLTPVV >Solyc01g090790.3.1 pep chromosome:SL3.0:1:84342901:84347710:-1 gene:Solyc01g090790.3 transcript:Solyc01g090790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQCVPSWDLDDSTVPRKNLIQTQSNSLAVDVPSLDYEVAELTWENGQLAMHGLGPPRANNKPISSYGGTLESIVNQATRCNDDVPLHLHGKSTVDRNKQSGDEVVPWFNNHNAVAYAPPATGLVAMTKDALVPCSRNTSNSDNQRSVHVPGIDGSTHVGSCSGATNSRDWTVAPRMRVRPTRREWSSRADMISVSGSETCGGDSRQLTVDTFDREFGTTMYTSTSMGSPENTSSDKQCTNRTGDDHDSVCHSRDQKEGGDDEDDNDNKKGSKNSSSSTKRKRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVHMMSRMNMSPAMMLPLAMQQQLQMSMMGMGMGMGMGMGVAGVFDINNLSRPNIPGLPSFLHPSAAFMQPITSWDNSNSAPSPPSAAMPDPLAALLACQSQPINMDAYSRMAALYLQFQQPPTGSGPKN >Solyc05g018125.1.1 pep chromosome:SL3.0:5:20057122:20063090:1 gene:Solyc05g018125.1 transcript:Solyc05g018125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKAGRNMKNDGIDSANISALHCIDLSSPDIHTSVSLLKQACLDSGFFYVVNHGISQEFMDEVFAQSKKLFDLPLEEKMKLLRNEKHRGYTPSLDEHLDPDNQINGDYKEGYYIGVEVPEDDPEAQRPFYGSNVWPTAGKLSDPSNGIFGAGAHSDYGLITLLATDDVSGLQICKDKDAKPQIWEYVPPLKSAFVVNLGDMLERWSNGVFRSTLHRVLANGQERFSIAYFMEPSHDCLVECLPTCQSKVNPPRQKNESFLYAKMKMEYLGSTKF >Solyc02g084285.1.1 pep chromosome:SL3.0:2:47989430:47994763:1 gene:Solyc02g084285.1 transcript:Solyc02g084285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNLRSNLQLGKESTTPLLKSLGFGKSAFGITRKGSRTATPKEDNTRPGGENFQSICGLETCQKCGSSVGVSATGIWCHRQHEAFHITIFNQWTVDQPSYSHFSTLLLWCCTSKLLLLLQPRFFCPLQPEMTPKVGATLLTHQQPPICLPRRGGGNKLWKVAKETDWKVHCLKRDSILTEYSVGSASDYASSSRFIPSCYMTALKVRSLQTVAPLSTLPPDGSLIEVTKGAEASH >Solyc03g033790.3.1 pep chromosome:SL3.0:3:5429571:5441386:1 gene:Solyc03g033790.3 transcript:Solyc03g033790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAESKMALAKTVLSTIGSVAATTMLVRSIFHDYIPQEIHEYLFFGLRKMFTKFSNQLTMVIDEFDGLVNNEIYEAAETYLGNKLSPNIHRLKISKPEKEKNFNIAMERNEEVTDVYNGQTFKWIWLCRQTQSTNFYNSMDMNSTLKSEIRSFELTFHKKNKDLVLNSYLPHIMKEAKLQKHVNKTIKIHTVDCERMYNLHEMWKPVNLNHPATFETIAMESDQKDMILKDLERFVKRKDYYRKVGKAWKRGYLLFGPPGTGKSSLIAAMANYLNFDIYDLELTSLRGNLELRRLLVATANKSILVVEDIDCTIDLQDNLANRATYVHSINGFHMQEHKVTLSGLLNFIDGLWSSCGDERIIIFTTNHIEKLDPALLRPGIKDHKLFKEIEELIDIANVTPAEVAEQLLKEDEVENSLKGLSIKSMAVLAVINSTIQIIGGILTLVILINNNRALFSKFKKLFSKKFTLVIDEYTLVDNEKVKNNVYEAVEIYLCNNLFPQKCKVKASKTEKEKNSFKVTLEHNEKVKDVYNGHKFKWIWQEFKRSDNKTEMQSFNLIYPKKDYRYVFDTYLPHILNEAKYQKYI >Solyc07g006400.2.1 pep chromosome:SL3.0:7:1221741:1224114:1 gene:Solyc07g006400.2 transcript:Solyc07g006400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNSLCFSKQKSLEKAPKSVKSMPIPIQNQETKPKKLISLFIKCVIMALILSLFLIFLLFLGFAALVLLHFLITSTAFHRRHRRRHHHRFPATPTSSFDLPCVSYCGSQQSARDCAICLEGFKDGEICRKLPDCGHLFHVKCVDSWLIRVLNCPICRNRVRVDLGNSGSVISDEDWKRWWAVGVSG >Solyc04g051170.1.1 pep chromosome:SL3.0:4:49799311:49800568:-1 gene:Solyc04g051170.1 transcript:Solyc04g051170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNQMSILHRSKFKHNNKIVFFIATMLEAHLEEISLRIRIQATPPIERFYGVSAFLSIYNPKVDGNGSYSAATIFLSNGKGQNVEEIQVGWIVHPSLNGDTRTHLYTKWTVDSYQKTGCYNTKCPGFIQLSRTIPIDYAFPRTSEIESYYKEEVLLRLYQTKYFDYHLTMPVMNEEIGMWPYEVFNKLTENAGDLVQYGGKVYTPGGQYITPPMGNGQFRGGHWLLTSYMIKVLYEIEVDGHKQQVSPDESKVEPRESRCFYQGNHYNAKDGYWDYNFLFGGAGGGDQSEFCQY >Solyc04g005890.2.1 pep chromosome:SL3.0:4:572617:574103:1 gene:Solyc04g005890.2 transcript:Solyc04g005890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEVASRRSSDRISSLPINAIDDILTRLPLRDAVRTSILSRKWRYDWVKITKLTLDESLWKDLPTHEVRVKLGRILLHLFSFRQGPIRECRISIPNSKYLPELDNLIFFLSRNEIESLFLELPNGEKYKLPSSVFTSPKMRQLTLEYCVINLPTTFRAFGQLLSLRLFNVSISEEHLEILISRCSLVEDVELDISNPLSYIQFNAPNLKFLNIGSKIISICFKNTPLLADVSIMAESLNHGPVESLDVNRDFVERGTCDLREFFGSLPAIKNLRLDHFIIKTLIAGIDEIPTTLPMPLLNLTKIYLFDLCLTGLEEIRFLLCLIKSSPNLEEIVIIIQAINNERGDDRTSLELLKAEYDSGIKLNRLTNVSLIDIRGTKTEMKFIKLLLAKSPVLEKMMISPFYIGPESPQTLVEILMQINTFQRASLRAIVNFNF >Solyc08g067620.3.1 pep chromosome:SL3.0:8:56680654:56703367:1 gene:Solyc08g067620.3 transcript:Solyc08g067620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGENLVRVSSARLSGSNVWRNSAMDVFSRSSSREDYDDEEALRWAALEKLPTYSRIRRGLLLEEEGQSREVDITKLDLIERRNLLDRLVKIADEDNEKLLMKLKQRIDRVGLDLPTIEVRFEHLNVDAEARVGSRALPTIFNFTVNILEDFLNYIHILPSRKKPLPILHGVSGIIKPGRMTLLLGPPSSGKTTLLLGLAGKLDKDLKVSGRVTYNGHGMDEFVPQRTSAYISQNDLHIGEMTVRETLAFSARCQGVGDKYEILAELSRREKEANIKPDPDVDIFMKSAWNEGQEANVITDYTLKILGLEICADTLVGDEMIRGISGGQRKRLTTGEMMVGPARALFMDEISTGLDSSTTYQIVNSIRQSIHILQGTAVISLLQPAPETYDLFDDIILLSDGKIVYQGPRENVLEFFEYMGFKCPERKGVADFLQEVTSRKDQEQYWSRRDEPYRFITSCEFSDVFQSFHVGRKLGEELAVPFDKSKSHPAALTTKRYGISKKELLKACAAREYLLMKRNSFVYIFKMVQLTMMASIAMTLFLRTEMHRDTTIDGAVYLGALFYAVITVMFNGFSELALSIMKLPSFYKQRDLLFFPAWAYALPTWILKIPITLVEIAIWVCMTYYVIGFEADVGRFFKQLFLLICLNQMASGLFRFLAALGRNIIVANTFGSCALLVVLVMGGFILSRDDVKQWLIWGYWTSPMMYAQNAIAVNEFLGKSWSHVPPNSTGTDTLGVSFLKSRGIFPEARWYWIGAGALIGYVLLFNFLFTVALAYLNPFGKPQAIISEEIVVERIASKRGEVIELSPIGKSSSGNFCPLSTYGIKTKYAERGNDVAISASSRSLSSRVGNITEGDLSKRRGMILPFEPLSITFDDIRYAVDMPQEMKAQGFIEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYINGTISISGYPKQQETFARISGYCEQTDIHSPHVTVYESLQYSAWLRLPREVDTETRKNFIEEVMELVELIPLREALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIFVGPLGRHSSHLIKYFEGIDGVLKIRDGYNPATWMLEVTSLAQEAVLGIDFTELYKNSELYRRNKALIQELSVAAPGSKDLYFETEYSQSFFTQCMACLWKQHLSYWRNPPYTAVRLMFTFFVSLMLGTIFWGLGSKRGRQQDILNAIGSMYSAILFLGIINATSVQPVVAIERTVFYRERAAGMYSALPYAFGQVMIELPHLFLQTIIYGVIVYAMIGFEWTVAKFFWYLFFMYFTLLYFTLYGMMTVAVTPNHTIASIVSSAFYTIWNLFCGFVVPKTRMPVWWRWYYYVCPLSWTLYGLIASQFGDVQDKLDTKETVEQFLENFFDYKHDFVGYVAVILVGISGGGDILKVSSARLGSSTVWRNSGVDVFSRSSREDYDDEEALKWAALEKLPTYLRIRRGILSEEEGQYREVDITKLDLVERRNLLERLVKIADEDNEKFLLKLKKRIDRVGLDLPTIEVRFEHLNVDAEARVGSRALPTIFNFTVNIIEDFLNYLHILPSRKKPLPILHEISGIIKPGRMTLLLGPPSSGKTTLLLGLAGKLDKDLKVSGRVTYNGHGMDEFVPQRTSAYISQNDLHIGEMTVRETLAFSARCQGVGAKYEILAELSRREKEANIKPDPDVDIFMKSAWNDGQEANVVTDYTLKILGLEICADTIVGDEMIRGISGGQRKRLTTGEMMVGPARALFMDEISTGLDSSTTYQIVNSIRQSIHILQGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLEFFEYIGFKCPQRKGVADFLQEVTSRKDQEQYWARRDEPYKFITVREFSEAFQSFHVGRKLGDELAVPFDKSKSHPAALTTERYGVSKKELLKACTAREYLLMKRNSFVYIFKMIQLTLMATITMTLFLRTEMHRDTMIDGAVFLGALYYAVIMIMFNGFSELALSIMKLPSFYKHRDLLFFPAWTYALPTWILKIPITLVEVAIWVCMTYYVIGFEADVGRFFKQLFLLICLNQMASGLFRFLAALGRNVIVANTFGSCALLIVLVMGGFILSRDNVKQWLIWGYWISPMMYAQNAIAVNEFLGKSWAHVPPNSTGTDTLGVSFLKSRGIFPEARWYWIGVGALLGYVLLFNFLFTVALAYLNPFGKPQAVLSEETVAERNASKRGEVIELSPIGKSSSERGNDVRRSASSRSMSSRVGNIAEGDLNKRKGMILPFEPLSITFDDIRYAVDMPQEMKAQGFTEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGTISISGYPKQQATFARIAGYCEQTDIHSPHVTVYESLQYSAWLRLPREVDTETRKRFIEEVMELVELKPLREALVGLPGVNGLSTEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELLLLKRGGEEIFVGPLGRHSSHLIKYFEGIDGVLKIKDGYNPATWMLDITSVAQEAALGIDFTELYRNSELYRRNKALIQELSVPAPGSKDLYFETKYSQSFFTQSMACFWKQHWSYWRNPPYTAVRLMFTFFIALMFGTIFWDLGSKRRRQQDILNAIGSMYAAVLFLGVQNATSVQPVVAIERTVFYRERAAGMYSALPYAFGQIMIELPYIFIQTIIYGVIVYAMIGFEWTVAKFIWYLFFMYFTLLYFTLYGMMTVAVTPNHSIAAIISSAFYAVWNLFSGFIVPKTRMPVWWRWYFYICPISWTLYGLVASQFGDLQDKLETKETVEEFIESFFDFKYDFVGYVALILVGISVGFLFIFAYSIKAFNFQKR >Solyc02g079780.1.1.1 pep chromosome:SL3.0:2:44786083:44787060:1 gene:Solyc02g079780.1 transcript:Solyc02g079780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFYGYNDDDAGEYHWTPNFNVSYDYDAFPMSYPSYGQSTNYNYYHTTQIHPQFTYSVHNSVEPKLIQYEKGADSLETRTVISCYTKELDDTQFDEYDPTPYDGGFDMAQTYGKPLPPSNEICYPRSTLKSNGLPLDGFDYGSNNLPYVNHANQLEQLQNQKKGNGVKDEQKSSSGVKEKTSFGKPAQQDQDFVIDNGKTGEKPILEKPVEPLQQDQDFVVDNGSIGEYGYDYGRQLQQIPYGSGLESMDLCESLFGYWPCIAKETQRRNCNCANVVEDNSSSYNEQWKCTADYLFGNPYGYGGDGGDWDCVYSNQSYYNHQQQL >Solyc01g009600.3.1 pep chromosome:SL3.0:1:3767097:3771753:-1 gene:Solyc01g009600.3 transcript:Solyc01g009600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKTPPYYSPTYKKNGANYPSSPHSIRINVYTLAIAFTILCSMSYLIGRGSISTSPVSNASSSVLCIPLKITSSTSGVYKNSSSGDEEGEGAVSDDVKLYPPCDFKYSEYTPCEDPQRSLKFKRDRLIYRERHCPDKTQLLKCRVPAPYGYKKPFKWPKSRDLAWYANVPHKELTVEKAVQNWIRKEGDKFRFPGGGTMFPNGADAYVDDIDKLINLKDGSIRTAIDTGCGVASWGAYLLSRDILAMSFAPRDTHEAQVQFALERGVPALIGVLASKRLPYPSRAFDMAHCSRCLIPWDLYDGAYLMEVDRVLRPGGYWILSGPPIRWRKYFKGWERTKDDLNGEQTRIEEVAKKLCWKKFVEKDDIAIWQKPYNHFQCKEQKKQLMCSVQDYDKAWYTELETCITPLPEVTSEEDVAGGQLEKWPKRLNAIPPRISSGSVKGVTAGSFEKDSQLWRKRVSYYKSIDNKLNQPGRFRNLLDMNANLGGFAASWVDDPVWVMNIVPAEAEVNTLGVIYERGLIGTYQSWCEAMSTYPRTYDLLHADSIFTMYKERCDMDDILLEMDRILRPEGSIIIREDVDILVEVKRIVDGLNWESLIVDHEDGPMEREKLLFGVKTYWTAPANTTTSPRI >Solyc03g079900.3.1 pep chromosome:SL3.0:3:53216606:53217492:1 gene:Solyc03g079900.3 transcript:Solyc03g079900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFENVHRWLRELRDHADSNIVIMMAGNKSDLNHLRAIPDHDARLLAEKEGLSFLETSALEALNVEKAFQTILLDIYQIISRKALAAQEAGATPGQGTVIKVGDNSGNSNTRPCCSN >Solyc07g044730.3.1 pep chromosome:SL3.0:7:57905786:57909034:1 gene:Solyc07g044730.3 transcript:Solyc07g044730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIISIPSSTTMFPMPQQVLRQDREALTLGHNFEKYEDDDNADFVIIKGAGRTFSAGGDLRIFYEERNTRDSCLEGTYRMYWLCYHIHTYKKPHIALVHGMSVGGGASLMAPMKFSVVTEKAFSSTPETNIGFHPDCGFSYMLPRLPGRLGEKLRGKEVVAAGLATHFVPSQKLFQLEKHLLSIKSGDEDTIRSVINEFSSNIHIDERSVLNKLSIINECFSKDSVEEIIESFEAEGSRKGNDWILAVLKSLKKASPTALKITLRSIREGRTQTISECLRREFRISMNIQRAITSGDFYEGIRAQIIDKDKSPKWNPSTLDKVHDDQLDLIFKPFEDHELELQIPINEEEYRWRGKYENSSYSHLRGSVLM >Solyc06g008350.3.1 pep chromosome:SL3.0:6:2219657:2227160:1 gene:Solyc06g008350.3 transcript:Solyc06g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSPSTSRKRQRAGEPCPEPESDLNDDQLLSLEENFNFSDTLVALRMMRAHFPRIEKVSVQPFILRSQLYSSVKDRTQVDRELESLRREGVLRTFKLSTGQDDHAIMFLDDYLSQIERVSKRLETQKQEGLSVFEWFKEHVIHLKLDPSIGHEELRSLLSLGGKVKEEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELMSFLNRRKYKEMPMAALEKKRLRLSPLDMRFHLRDLLGSGHLKTVEGPTGLVVKVVKD >Solyc10g083770.2.1 pep chromosome:SL3.0:10:63655562:63656646:-1 gene:Solyc10g083770.2 transcript:Solyc10g083770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLSDEKLIPNQPATIKIIPEKSDDLWLLYNLIVEDDVVSVQVQLEIKVINVDYAKDCSTIRVRGRTITSNEYVSSGSFHTLELETNRELKLTKNPWDEKTIKILKEGLNQNGKYDLGIILINNTSAEILLTDNNTTSITHCATIRNEKTLKNNNSNFEKFFENLFVSFRKHIDMDVIPNMVIASKDSIKDDFRAYLLLEAQKRKIKSIERNESRILMIHQNNIKDILSDKVIKNMNANTKVIEKFMDMVINKCDWVCYGTKSVEYAHELLAIDTLLITEELSEKKDIKLRKKYSKLKKLVQEAGGKVIQFSDVEGDKLAQMTGIAAILRFPIPNIDDLVL >Solyc03g098635.1.1 pep chromosome:SL3.0:3:62366347:62367619:1 gene:Solyc03g098635.1 transcript:Solyc03g098635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYREYHLINKKYKDQKQLASPGQEKLIIGMDNKNVSWQVLTRDRYFYSYTNSFYCELEEFNVTGLDRGCQIYSFKNLFLYDIYNFNHYLNRSPINLGNFMRHLHIVKLATMILPEHCSFGGLRLEKPYRHPHLSL >Solyc02g090390.3.1 pep chromosome:SL3.0:2:52579683:52585489:-1 gene:Solyc02g090390.3 transcript:Solyc02g090390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRGPGPCMDMPIMHDSDRYDFVRDIGSGNFGVARLMTDKQTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIIRFKEVILTPTHLAIVMEYASGGELFERISNAGRFNEDEARFFFQQLISGVSYCHSMVWTSLKYFLSFIKCGDLGNLLSMFVFYFFSKQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLLRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPKDFRKTINRILSVQYSVPENIQISEECRHLISRIFVGDPAQRITMPEIRNHVWFLKNLPADLIDDRMISDQFEEPDQPMQSIDTIMQIISEATVPPIGLYNLEMMDDDMDDLDSEPDLDIDSSGEIIYAM >Solyc04g080180.1.1.1 pep chromosome:SL3.0:4:64529353:64531272:-1 gene:Solyc04g080180.1 transcript:Solyc04g080180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLYKGKIFLFSLLLLFHVSWVFRAFAEVVIEEEKLENIGVEEIFEHVDALDLLKRHQLQIEKLEGIVENLSLLVSRLESRLLEHPKVQNLEGEVQVVLGEKKKIQGEGFVGNEGSLENKVSVTKYSPLWLERFQFISAVRLGSDATSINVLPFRDAEGLSKYVAIGDDRGKVYAFSRNGEVLVEFQTSMSSPITAYVSYLSVYKNESVVVTGHENGGILMHRIWEVVVPDGDDRTSLRMETVGKFASPEIEEGGSSILSLEVHHVGRNRYILSTDSGGKLWVFRENGTVYGVTTPKSRPLAFLKQRLLFLTETGAGSLDLRTMKIRESECEGLNNSIAKSYVFDATERSKAYGFTSDGDLIHVLLLGDNMNFKCRVRSKRKLEMAEPLSFQAIKGYLLVANQDKVSLYNVSSLHYVRSGGPRQLFSVGHDEIVASFLSSQSLEPNDKSRKVIPLVASDQEKLVILGLGSGYLGIYRSNLPVFKNEFNTMLWTSPVLFFIIFLLGAYYFFAKKKEALTSWGPDDPFPSTGVTSGAPMGSSQGDRSYPDSSRNADLMDLRGSSLRGPSGRYVSPSRYSGGTAGAYRTNSADTNSRSASVDPNFRTTSELKFRGTNLETPGFPKRRDSLFVNSQIVDDGK >Solyc02g011845.1.1 pep chromosome:SL3.0:2:14145349:14145561:-1 gene:Solyc02g011845.1 transcript:Solyc02g011845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSGFNKLFATIKILGGALRAQRRKTFISKVFQANVHSPLFIDRKTTLHLFSFDISELIKPIFRN >Solyc12g011160.2.1 pep chromosome:SL3.0:12:4007787:4013109:1 gene:Solyc12g011160.2 transcript:Solyc12g011160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQETLNLEQEVVLVEELGNARVVTLNNPKQLNVISPKVVVLLAANLEKWEKDENAKLVIIKGAGRAFSAGGDLKMFYNGRNSKDSCLEVVYRMYWLCNHIHTYKKTTVALVHGISMGGGASLMAPMKFSVVTEKTVFSTPEASIGFHTDCGFSYMLSRLPGRLGEYLGLTGARLNGKELVAVGLATHFVPLEKLPELEKHLLSLNTGDEAAVGSAIKEFSTDVQIDEESILKKQSIIDECFSKDSVAEIISSFETEAGKEGNGWIVPVLKGLKRSSPTGLKITLRSIREGRKQTLSECLKKEFRITINTLRARISGDVYEGIRALTIDKDNSPKWDPLTLENVEDEQVNLVFEPFEEDFELKVSENEQDRWDGKYEDSAYCHQ >Solyc02g036370.3.1 pep chromosome:SL3.0:2:31082538:31085374:-1 gene:Solyc02g036370.3 transcript:Solyc02g036370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGDQSESDSILPCRNRMSVDVGAPSPRSIQMDEQVNPGEEYAPKIRKPYTISKQRERWSEEEHKKFLEALKLHGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRESSNGDASSVKSIEIPPPRPKRKPMHPYPRKMATPLKSGTLASEKLNRSGSPDLCLSEPENQSPTSVLSTLGSDAFGTVDSTKPSEQSSPVSSAVAENSGDLVLSEPFDLVVEESRSSPARAYATSNPANQACVKLELFPEDNDFVKEGSDEASSTQCLKLFGKTVLVTDAHMPPTDETLTRSSFPAKYLPWDSECPQSTFSIVPPTPSYYFTTPNGSSGPNQSGVATTHLPWGSSCAVPCTQVHSPIPMKGRPLFNDRYLEGKETQKEGSSTGSNAESVDAELSGEKNMEIEAQSSRNVVEESVRASVPSLFERRANSTKRVKGFVPYKRCLAERGVSSSTLTGEEREEQRTRLCL >Solyc09g066490.3.1 pep chromosome:SL3.0:9:65137932:65140419:-1 gene:Solyc09g066490.3 transcript:Solyc09g066490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFEEDEESFEHTLLVVREVSVFKIPPRPTSGGYKCGEWLQSDKIWTGRLRVVSCKERCEIRLEDPNSAELFAACFVPPGQRESSVESVLDSSRYFVLKIEDGRGKHAFIGLGFNERNEAFDFNVALSDHEKYVKREHEKEVGDGEAGDDNHIDIHPAVNHRLKEGETIRINVKNKPSSGTGMLSAVGLAAGTTGAVKRTPALAPPPSGGNKIRSPLPPPPNDPVTSRKTSTTPSIDFKGSKENARHSTDPLSNLSQIERSLPSATGSGSSKTKTTAAGWAAF >Solyc09g082080.1.1.1 pep chromosome:SL3.0:9:68340748:68341383:-1 gene:Solyc09g082080.1 transcript:Solyc09g082080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRILQKKFYHCLPSFKCLPTILSLPFEETEEEKTEQKKIKNFNSVFDIPSSDSATTSKSLTNSSTTTTEEEDNNTNCTFTSFEDSDYTNIPDFSNIFASQRFFFSSPGNSNSIIDFPPENPKVVTGGVAVQTYSPDPYSDFRRSMQEMVEAHELTNVKANWGFLHELLLCYLNLNPKHTHKYIIRAYSDLVVSLMSMDDSEKKTEGIARP >Solyc10g044745.1.1 pep chromosome:SL3.0:10:27620195:27623500:-1 gene:Solyc10g044745.1 transcript:Solyc10g044745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLCVFFRSTSNASLGTELLLPAPPSASSPSTTKVDPKIDLLSGDDFSSPTTENVLALVPVGGEPQSASPFTEECLDLVDMFSPPSNSQSPYSADQTHASSPQFRQQSFTSTLSALYPNGRVHGTTYTQGSNMAWNEQISQQQQQSPSPVYGGQSSSFPPPSWEAEAAENSQTVGNPHAQPCKVISCCQVVHMPYQCTTIS >Solyc08g007980.3.1 pep chromosome:SL3.0:8:2479884:2482019:-1 gene:Solyc08g007980.3 transcript:Solyc08g007980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKICPELLLQQSSPSSSSSSPSPFIKSIRETFTIWMKSLIFHGNGCTIFNSKGEIVFRVDNYQESCRDEVCIMDLKGQVLFSIKREKLRVFGRWNGYGSCGEIKGRPLFQVKRNCDIFSRENVICNFGSCDENNVGINCYKIQQFDRNSSYKVTNSTGQVVAEVKQKQSSRGFGYGEDVLTLEVEPNIDHSLIVAFVIVCGLIHGKL >Solyc02g014320.1.1 pep chromosome:SL3.0:2:16237572:16242489:1 gene:Solyc02g014320.1 transcript:Solyc02g014320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREFCILPVQLVLAAMHERNRNRERKSAPVVLQDQSKSDISGGGAERVTKSSCSTSFARSLSDVYEGICQNLRVFTVSQLKQKCNFWEWYINQILSNLDILTLSYLHEELEVQSCAYCIFLTWYAQSQTPRPGIKSGHVFHFPLLVIRTTILKVGGGVISPRLSLTFRDGKKFGMLMYSRLKNRYSISPTRKMAKLADTCLLKSAKDRPKISQVVQTLKKIILTFTISI >Solyc11g013397.1.1 pep chromosome:SL3.0:11:6390350:6392585:1 gene:Solyc11g013397.1 transcript:Solyc11g013397.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSHLHIRCMAHIVNLIVQDGTKEANLSIERVRQAVRYIRQSPARWKKFQECCEDENLAKKSLCLDVPTRWNSTYMMLKRVIEYEGAIVEYADRDIGLTLHLKFVDMVDKNSTGTLLSSDWEGIKRITKFLEMFFNLTLKISGSRYVTSNLHFLEICQVGVYLNQLISNEDHVLAKMAKNMKEKFDKYSGDTEKMNKMVFIPCVLDPRHKFITLGFALRKMFGEKGAALEIGVRTYMESLFNKYTKPVDSDKNGQFSSTEVDTSDSRSGGEFGNFFEELQKHTSEKGGASSKSELVKYLDEEIEVGKSNFDVLLWWKVNSPRFPILSEMARDVLSIPVSSVASECAFSTGGRILDSFRSSLTPKLVQALVCLQDWLRSEPQPISIEEDLDFLEQLEEDFIMPQLHGSNARSPIWNHYEKLEEKEDGSWTVKCIHCGRVAYYHSHYNGTASLRNHVKQSADCCGLWLLCRVEFSVGLWLLLWAVVVCSVGC >Solyc04g064790.3.1 pep chromosome:SL3.0:4:55948995:55953669:-1 gene:Solyc04g064790.3 transcript:Solyc04g064790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BT70] MRGSLAGGPPSPIEPRQRLSVFTEETSKRRFLRSKVFRDGERALHSPTKNRNFTCKFPTIKLILGFIALVAIWSLWHSPAIYNTEYISRSGSRAALVHRELSDHPSADQRYTSLLDIDWDQISQVIEKLADRHEYQGIGILNFNDSEIDQLKELLPDAEHVILNLDHVPNNITWETLYPEWIDEEEEFEVPTCPSLPKIQFLGKPRIDLIVVKLPCKKSKDWYRDVARFHLQLAAARLAASNKGYHPIHVLLVTEHFPTPNLFTCKELVVREGNAWLYEPNLNTLREKLHLPVGSCELAVPLKAKASWHSGNVRREAYATILHSANFYVCGAIAAAQSIRLAGSTRDLVILVDESISDYHRGGLEAAGWKIHTIKRIRNPKAEQDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFEMPEITAIGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHYWEGDEEEKKQMKTSLFGADPPVLYVLHYLGLKPWLCFRDYDCNWNVGKLQEFASDVAHRTWWKVHDAMPDNLHKYCLLRSKQKAALEWDRREAEKANYSDGHWKIKIKDPRLETCFEEFCFWESMLWHWGETNWTDNATSSPTPPMVNTASLSSL >Solyc02g032173.1.1 pep chromosome:SL3.0:2:28295283:28296595:1 gene:Solyc02g032173.1 transcript:Solyc02g032173.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLLSNSMRKRGSQLSYQKAMEKRHQRKLRILMKYWIRRAIEIIRSVMNNNSKDRKDMPEIYEKRKETRQTFVPKSNGESAIKFNGKGRKQWRKLLKNVKNFDNVVNSMNISDLLRTMPCGTTFGGIINGKLTEGIIYSDGEEKRISILCICHGMFYRTAEFVKHGGGKEVDDPMKFIKEVDDA >Solyc04g078280.3.1.1 pep chromosome:SL3.0:4:63119841:63136051:1 gene:Solyc04g078280.3 transcript:Solyc04g078280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPRLVDNLQPHTLLMKSEVQVKGLLDASTTVSLVMHRKVEPNQIH >Solyc12g045020.2.1 pep chromosome:SL3.0:12:61343823:61345877:1 gene:Solyc12g045020.2 transcript:Solyc12g045020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLIWETIVVICIVYALYELLNIHKRKKFPQGPKGIPILGHLHLLGKKPHHDLQNLAKKYGPFFYIRLGLIPTYIASSVDTAEKFLKTYDHIFATRPHNEAAKYLSYGHKNLVFGTYGPYWRNMRKLVTLDLLTHQKINSFQSVRTEQVDLMIQSLKNDGGGCVVDLSARVSKLSADITCLMVFGKKYMDEELGKRGFKGILQEVVHLGATPNLGDFFPFLGVIDLQGITRKLKELSKVFDAFLEKIIDEHVQSRDQKQSKDFVDTMLDIMHSRDREFQFDRTNIKAILIDMLVAAIDTSATSVDWIVTELLRHPHVMTKLQKELEEVVGLERMVKESDFEKLKYLDMVVKEGMRLHSVVPVTQREAMEDCVVDGYHIRKGSRIMINHYAIQRDPNVWPEPEKFFPERFVGSSIDIRGRDFELLPFSSGRRSCPAIQLGIIVVRLMVAQLVHCFDLELPNGMQPCDLDVDEHFGIVTSKENHLMVVPKYRLND >Solyc10g050580.1.1 pep chromosome:SL3.0:10:50052313:50053280:-1 gene:Solyc10g050580.1 transcript:Solyc10g050580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKIWIHYTWSLTPKNKVPDNTTISSAVLDYYVGIPYDDSMGKFVPQNERDETILLLISNSKTLPKDLQGWFDWANEKVMQATQRLGKDQGVLKRIRQEKEDAENVHHEKQMMEETTMRRIMEMEQPLVNTNSMVEKIKSLLNTLEMDNVGLKKDLDAVMLSTSEHAMNVNNALAKEQVALRKCQAVDMENLSFEEDLSAIKQEKTSLQQQRQKANKVVDQYMDQYKHEESVKQRFLRHVDSL >Solyc10g083100.1.1.1 pep chromosome:SL3.0:10:63104048:63104749:-1 gene:Solyc10g083100.1 transcript:Solyc10g083100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFSLFKSKKKPSFSPMLCRLPRCGNLRTLSIRDENNHNIFNSQRFCINVDDDIVDEVIEGLKFEKKRFYFEAGEKTSSILDVSCAITHIDSIDAYGKTSTRSILKGTKSRLSKNDNSTSNDMVESLPLNDSCVITPSVMRVTSIDPYGYIKKHMEMMVEENQGIKDWKESLKEICALYLEINYIDKNIHRFIIGAFCDLWMSYSGTSTTNTPFGFSTSEPPSPYFMSLMEA >Solyc12g063050.2.1 pep chromosome:SL3.0:12:36450920:36457065:1 gene:Solyc12g063050.2 transcript:Solyc12g063050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRDVYKYPISRDYARGLYNLAWAQAVQNKPLDELFVMTSDNSNQCANGESKVIIDVDVDDDAKEEGELEEGEIDLDSADLVVNFGKEANFIREQLQSVTLDETHKSFSMVCSKLQTSLLALGELALSQDKNDILIQLFMTALRTINSVFYSMNDHQKQQNTDILSRLLFNAKTQLPALLSSEQLKELDALILSINHSLVSSNTQDNDTVNGINVVQLLDMKDSHKSSENANQDFTSVNKYDLGDVSIKSSGLKEQSVSSESVKPGLDNSKAKGLSFPLLDLHKDHDEDTLPSPTRQIGPQFPATQTHGMVKLDLPIFPASLDKGNSLLHPYETDALKAVSSYQQKFGRSSLFVSENLPSPTPSEEDDSGKGDTGGEVTSFDVVHNASHLNESSMGQPILSSVPQTNILDGQGLGTTRTADPLSFLPNPSLRSSTAKSRDPRLRLATSDTVAQNTILPIPDIDLKLEASLEMIVSKKQKTVDLSAFDAPLPKRQRSEQTDSIIVSDVRPSIGNGGWLEDRGTAELPITSSNCATYNSDNDIRKLEQVTATIATIPSVIVNAAENFPVTGISTSTTLHSLLKDIAINPSIWMNIIKTEQQKSADASRTNTAQASSSKSILGAVPSTVAVAPRSSAIGQRSVGILQTPTHTASAASSIYNLLMNDFIYSVIFTASIAQFPFYFFLTFSRDEVAIVRMKPRDPRRVLHSTAVLKGGSVGLDQCKTGVAGTHATISNLSFQSQEDQLDRKSAVTLSTTPPDIACQFTKNLKNIADMISVSPSTSPSVASQTQTLCIQAYQSRSEVKGAVSEPSEWVNDAGLASEKGSPGSLQPQISWGDVEHLFEGYSDQQRADIQRERTRRLEEQKKMFSFVEIDPVHEEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASNLFELHLYTMGNKLYATEMAKLLDPKGDLFAGRVISRGDDGDPFDGDERVPKSKDLEGVLGMESAVVIIDDSVRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLASCLGVIQRIHQNFFTHRSIDEADVRNILATEQKKILAGCRIVFSRVFPVGEASPHLHPLWQTAEQFGAVCTSQIDDQVTHVVANSLGTDKVNWALSTGRSVVHPGWVEASALLYRRANEHDFAIKS >Solyc08g016581.1.1 pep chromosome:SL3.0:8:7996455:7997106:-1 gene:Solyc08g016581.1 transcript:Solyc08g016581.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLVKSDFYLKKGLDIASHHRYQGHTVYDSFKIVYVEDLPQQPAASLDCGVYVASYAEFLSERKDIPADLDPEEIRLRYGALLCNYGNQKIQAGAVNDSEAPLKPVRNRTENNSSERITIL >Solyc02g092040.1.1.1 pep chromosome:SL3.0:2:53887111:53887914:-1 gene:Solyc02g092040.1 transcript:Solyc02g092040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIWSNLVVLLVIFPFCTSDPNDEMCLTHLSQSLQDPLKNLQNWTKSSFAKPCDGFTSYLQGATCNNGRIYKLSLSNLSLKGTISPYLSNCTNLQALDLSSNAISGPIPLELQFLVNLAVLNLSANQLSGPIPEQLAICAYLNVIDLHDNQLNGLIPQQLGLLVRLSVFDVSNNRLSGPIPASLGNRSGNLPRFNASSFDGNKDLYGYPLPPKKSNGLSVLAIVGIGLGSGFLSLVLSFTAVCIWLRVTEQKLDAEEGKISHLMPDY >Solyc03g083610.3.1 pep chromosome:SL3.0:3:54991723:54995332:1 gene:Solyc03g083610.3 transcript:Solyc03g083610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVNVQTQIQKTKHRSKMWRRRFTSLPLFFSHLQQVRRADELKICQAFCTETVKPPVEGESNSGRNSPFVAFVLGGPGSGKGTQCLKIAETFGFDHIGAGDLLRKEMHSDSENGAMIQKLMKEGSIAPSEVTVKLIKKAIESAENRKFLIDGFPRSEENRVAYERIIGAEPNFVLFFDCPEEVMVKRVLNRNEGRVDDNEHTVKERLKVYKAITLPVANHYAMKGKLYKVDGTGTQEEIFERVRPIFASLRLST >Solyc07g017280.2.1 pep chromosome:SL3.0:7:6608460:6611336:-1 gene:Solyc07g017280.2 transcript:Solyc07g017280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSLLSDLDATHDEWLIRVRVCRQWEFINFKRSPKMISLDMILIDEKGTLMHAIIWKNQINKFRDKLSEGFAVIIRNFKVSALTSDYRPVQDDLTIKARITLWEDHGESFYPYVYPNDFGPYNVIITATTVKEFRGELTFATTAASKIYVNLKMDNITALRHKCSKKSVDIVTIASGNSSNVPNAQAMFENGMTVAELLDSEWSPDIEECVVTLRAQITAIENFFDCFKLKEGLENYTVTKVYVPDEELELQHCINKDKRVKGKEKLEDSTEQTDFNAEGLNTDYSKELSDEEDTFISKQRVYSTKFKKRRNLFIADSEESDDDTIKRTK >Solyc11g069890.2.1 pep chromosome:SL3.0:11:54795849:54800096:-1 gene:Solyc11g069890.2 transcript:Solyc11g069890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDQVSEFHVAQHSRRERLRITSSEELDIIHQYGNISYDPSVVLSSSEMINFATTTTGTTDVAQNCSNWRSLQHSSCGWNNVTNFNSSGSVIETNNYLSPMFVGGERGVLSGSLNLNSSSIDEKPNFYGGFNELHQSIPDTITSNAEFSSSVLYHDTLQEVVKSATKGNQGVDTRRVGSWIEDESYVDQSRHLYDKSSGLGTNLRTNVSDNSSVQGLALSLAPVPRTNTMQMEKRNNVMVPENFAIAQRSAVPLGPFTGYATILKSSKFLRPAQQLLDELCDLAAGSSNVIKCSNFSKKVRDGFRVSCDVNAAAESSSGGGGGGVGDSSGLNESNVCPEYLQKKAKLIFMQEEICKRYKQYHQQMQMVVSSFETVAGLSAATPYISLALKTVVQHFKSLRNAITDHLKNIRQALGEDLPSPASGMSNKGDGNSSRLKFVDQTSLHKQKSGSTAGVAFLESQQHVWRPQRGLPERAVAILRAWLFDHFLHPYPTDSDKHMLASQTGLTRNQVSNWFINARVRVWKPMVEEIHMLETKGGDPTRKSDVNKLVTERTNHVNCGQHLSYVLLNMSGVVMPEKQEICHGGIGPSERLDESSSDHHMWRNQEKRSRIECHNISDGSSMDGSLMGFVPHQRNGVEIGGNIGAVSLTLGLRQNAEAAQQQQQLQLHEHRLRQQFGGHIIHDFVD >Solyc03g096700.2.1.1 pep chromosome:SL3.0:3:60439466:60440278:1 gene:Solyc03g096700.2 transcript:Solyc03g096700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding YTLRKSLPYSFSPSSDKSALSKLSLSSVSLSQSDPTSGISDAAATKEIPPISSPTLAEALPNSFPALVEVLPNTLLNSSPTIGCEGSSNPKPSLSSKL >Solyc08g077170.3.1 pep chromosome:SL3.0:8:61201639:61208886:1 gene:Solyc08g077170.3 transcript:Solyc08g077170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACLELSKEVKGKEEDCTNDGTVDFYGMPANKAKTGKWLAGIMLLLNQGLATLAFFGVGVNLVLFLTRVLQQNNAEAANNVSIWTGTVYIFSLLGAFLSDSYWGRFKSCAIFQVIYVTGLVLLSLTTQRYLLKPEGCGEREILCGEHSSMEISLFYVSVYMIALGYGGYQPNIATFGADQFDARDPREKQSKLAFFSYFYLALNLGSLFSNTVLDYFEDEGKWALGFWASAASAFAGLVLFLGGTAKYRHFRSSGNPIIRFSQVIVAASKKWSVETPENEDELYEGNDESDRKMLHTHGFRFLDRAALITSKEQDHEKNRNQWLLCPVSQVEEVKCILRLLPIWLCTIVYSVVFTQMASIFVEQGDAMKTSIGNFRIPAASMSTFDIMSVAVFIFLYRRVLDPIVKNIKKIKGEGQGITQLQRMGIGLVIAVMAMLSAAIVECYRLKYAKKECRHCEGSSSLSIFWQVPQYSLIGASEVFMYVGQLEFFNEQAPEGLKSFGSALCMTSISLGNYVSSLLVSVVMKISTTDNMSGWIPGNLNEGHLDRFYFLLAGLTILDLVAFIACAKWYKGIKHSGEMNQQVKEDDKCEV >Solyc01g099790.3.1 pep chromosome:SL3.0:1:89811378:89818908:1 gene:Solyc01g099790.3 transcript:Solyc01g099790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNGVDLTDDGDGGGGDVGGGEDKASVVSCSICLEAVTDNGDRSWSKLQCGHQFHLDCIGSAFNIKGQMQCPNCRKIEKGQWLYASGCRPLPDFNMEDWAHDEDLYDLSYTEMSFGVHWCPFSGLTRLPASFDEGELSSSAYHDLLGQHAIFADHTAVSSAAHPCPYIAYVGIHPSSSNSSGSINDGPNFNNHWTSPSVPNEIPASYAFPGMDVHYHSWDHHSSFPMASSRVGTADQSSVPSVTQRVARTNADIPRPGSFVPPFLVGHGSAARAGSSVASPMIPPYPGSVARARDRVQALQAYFQQPSNSPAVRTPVMSATRRSNNHRGLAQVGPAASSSDQAGGFYFYPSSSSGRNFQEAENPVSNRYHAWEREHLPAFPLSQVDRDPIWGPFHHTGVGSDSGSRAGSFRPRHGSERMPSQNRS >Solyc04g081300.3.1 pep chromosome:SL3.0:4:65417813:65427483:-1 gene:Solyc04g081300.3 transcript:Solyc04g081300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Endoglucanase [Source:UniProtKB/TrEMBL;Acc:K4BVK9] MGEKSKKGGWCGWIIALVVVAAAAGAIVVLFMKKHNGSGSDAASGASEKKYGDALKIAMQFFDVQKSGKLVNNKISWRGDSAVKDGSQEKLDLSQGMYDAGDHMKFNFPMAYTATVLSWAILEYGNQMKGVGQLEPAEDSLKWITDYLINCHPKDDVLYIQVGDADADHKCWDRPEDMTEARPLIQINASTPGTEVAAETAAAMAAASLVFKSKNSAYSSNLLKHAKQLFTFADKHRGTYSENIPEVATYYNSTGYGDELLWAASWLYHATGDQIYFDYATGKNADSFGNFGNPTWFSWDNKLAGTQVLLSRVSFFNSKVSNSDTLQQYKKTAEAVMCGLLPKSPTATSSRTDSGLIWITEWNALQHPVASAFLAVLYSDYMLTSKTDKMTCDGNEYTPSDLRKFAMSQANYVLGDNPAKMSYLVGYGDKYPQYVHHRGASIPTDANTNCKEGWKYLDSTEPNPNVATGALVGGPFLNETYIDSRNNSVQGEPTTYNSAVVVALLSGLVSTSSVVQSFT >Solyc11g068500.2.1 pep chromosome:SL3.0:11:53489473:53502664:-1 gene:Solyc11g068500.2 transcript:Solyc11g068500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVRATVTRFPIDLESLMDSGLPWGVTVSPFAAKDENGNPPVYGSEGHLLPRCENCWAYYNTYCEQEQWAWTCSLCGTLNGLSSQSVSRYSQPESCPENMSSFIDLELPMEESEEMQARPVYVAAVDLASLGPGSLFGLATFSHKIGPIPVVKNVFIPHDSDGTLSMELQDVMPLSSFLAPVDTCKDRIASALETLRPTTSWERTSGAGQGLDGAFLGGRGFGSAMEALFNYIGSEYGNTFALARVFAFLSGAPDIGAGQLDTRRYGEQYASRREDADRALLPEQTPFYKDLAAVAVQSGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYTSTDDSTLPQDMHTSELPMLQIAFQYTVVVPPDELANAGSNTTTRAKHSLKKRLRIRTLQFGVGHSINEIYDCVDSEVVLSLLVHKSGHGGSSGVHIDVAFSQCPQLQPLPRLFQLEIRYAERILSALEPSSLSRAVYPLLTSYASPDKQAYPRHSLSRAALITSGSSIFFLDAFTNLIVFYASTAEPSLPFPPPQDCKKKMKKGIHPQMQWISYVTQSGRLMHVMMTKIHQTGKVYHIRARRQMAQSLGQIAKFKRRYGEKEEEGEKTDK >Solyc05g053850.3.1 pep chromosome:SL3.0:5:64738354:64740162:1 gene:Solyc05g053850.3 transcript:Solyc05g053850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SP5G [Source:UniProtKB/TrEMBL;Acc:Q84XK9] MPRDPLIVSGVVGDVVDPFTRCVDFGVVYNNRVVYNGCSLRPSQVVNQPRVDIDGDDLRTFYTLIMVDPDAPNPSNPNLREYLHWLVTDIPAATGATFGNEVVGYESPRPSMGIHRYIFVLYRQLGCDAIDAPDIIDSRQNFNTRDFARFHNLGLPVAAVYFNCNREGGTGGRRL >Solyc01g080170.3.1 pep chromosome:SL3.0:1:79240128:79249261:1 gene:Solyc01g080170.3 transcript:Solyc01g080170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKVTKKTLRWRFRTRPLTFEEQFDLIASGFSTSFSGAKSFGADNTSSQINLSKDTEGGAFQLRRQMRRDSSCNPLFNQDDRVVRTSIGSFPLSNRYYRSHGHLKDIKDKFLGSVPEVVKIVEVGPRDGLQNEKTIIPTEVKVELIRLLVSSGLPVVEATSFVSPKWVPQLADGKDVIEAVRNLTGVRLPVLTPNLKGFDAAVAAGAKEVAIFAAASESFSRSNINCSIEDSLSRYRDVALAAKNHSIPVRGYISCVVGCPIEGAVSPSKVAYVAKELVDMGCFEISLGDTIGVGTPGTVIPMLDAVTQVVPVERLAVHFHDTYGQALSNILVSLQMGISIVDSSVSGLGGCPYAKGASGNVATEDVIYMLNGLGVKTNVDLRKLLLAGDFICKHLGRPSGSKAAIALSRTTATASKL >Solyc12g056500.1.1 pep chromosome:SL3.0:12:63385907:63386933:-1 gene:Solyc12g056500.1 transcript:Solyc12g056500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKALLVAIIVVNMVIVPTMSTDHFVGDDQGWKLKFDYNAWAESKEFHVGDKLIFKYKEGAHNVYRADLAAFQSCVPGANVEPLTSGNDVIDLKTPGKKWYFCGINNHCEQGMKVSVNVLEAKDGSSSSASRLSTLNSAFVAAFVMFLIVIA >Solyc05g039910.1.1.1 pep chromosome:SL3.0:5:48481685:48481912:1 gene:Solyc05g039910.1 transcript:Solyc05g039910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSKIYSIGQARVTISSDVTVGIGYTPSNTTKLKWNFKVAISTRKLHELRENQIKKIMGSSSSQNDPSSQTNIP >Solyc12g011200.2.1 pep chromosome:SL3.0:12:4045129:4048554:-1 gene:Solyc12g011200.2 transcript:Solyc12g011200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSEIDNLEDGYRWRKYGQKAVKNSPFPRNYYKCTTQKCNVKKRVERSYEDSSIVITTYEGQHNHHCPATLRGNASFLSSSHFMPNFPPQLFSQMLNIPPNNQNLLITSSTYNYNNNNNNYYYQQQQHQGSEYTLFGGGTNNGDASWVQKQEPS >Solyc01g102530.3.1 pep chromosome:SL3.0:1:91189090:91220054:-1 gene:Solyc01g102530.3 transcript:Solyc01g102530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSQSCLAGMEVPIIGSDSVKKICKSHPNVLEIMEFCGHKEFPKTGLQIVFPEALFPFAVICKNEMALSSVKPYLLHAMTVSGVAYLIKLENISNYVSSSHLQSGDFVDFNTLTNPHQGAATAVAGIAELMVVGRSDGSVGFFQLGILDQQAPGFVQELRDDSGLGRLWGVLSRGRSNAAVQDLVISEFHQKKLLFVLHSDGNLRVWDLSNRSRIFSHSLSVSPSAGSTFVRICVGNDHNNPDAIPMAVLQKNDSEVGTAVISLYNLHLSTGDRINLLLDPSTKSISLEEGDLIDIKLTPNKLWILRENGLVMKELSCQNRNEELAHCYSLQDAFVAEQLFQGSENSSDDLLWLSHTVLSSSKDQISPFVSSVFLQRLLLPGVYHRNVLRVTLQYFSKHFTDSEFDSLTVDGLRNEILSVIQHEVGADSPISVLQSWKTFCTCYFNNWCKTNVACGLLIDSATQAVGVIRKNSVSMCRSLEDIELLVFASGTSGEHGNIICSRFDSSDSDLEREILFEILQCVNTLRQQLGKAAPAIFYESLLRTPSLSSEEVIPRLLKNLDSGYSSSMALHLSELGTDVALNKEISYHKSLRKFSVDMFLSLHNLCSRATTWRSVLHVIESYLKFLVPRKYEHNLDSEGLFTVSTALTVQATSQVAKVMFESALDVHLLLSYMVNSSSQIGMLEDEVLKVKLELVPMIQEVITEWHIINFFSTTPSESPLLEDFSSQLSSLQLVMSSDGNVDRRSWNEKLGKSEFTLAFILLLGGRSGPSFGHLPDPNSLSKSVQEFASWIMWGRTEAEPSVFFSHSIGLALVLLRHGQYDAVEYVLSLVDTYSRTEKICLSLQSDGGEWSTLLHLLGCCFIAQSQRGLHGLKKERKISEAVRCFFRAASVEGAANALQSLPNEAGWLHLGFSQQVSPAAWKLHYYQWAMQIFEQHNMREAACQFALAALEQVDEALGSGVLDESATAVKGRLWANVFKFTLDLNYYYDAYCAIISNPDEESKTICLRRFIIVLYERGAVKILCDGQLPFIGLSEKVERELAWKAERSDVSAKPNPFKLLYAFAMQRHNWRRAASYIYLYSAQLRIHGATQDLQRRSFILQERLNGLSAAINALQLVHPAYAWIDSPLEETYSNIYPSKKARITMEEQSPGNGTQSQRQRSYLDVEKLENEFILTSAEYLLSLANVSWTFAKIEAAPTDVIDLLVESSSYDMAFTVILKFWKGSALKRELERVFAAISLKCCPKRAPSVGNGHRMQSLLLTSSQDEIVVRGSPNVGPPSQESKGSSHWETLELYLEKYKKFHAKLPVVVADTLLAADSQIELPLWLVQMFKGVPAKSGGGMAGSESNPATLFRLYIDYGRYTEATNLLLEYIESFASLRPADIIRRKRPFAVWFPYSLIERLWCQLQQSIKLGHMVDQSEKLKKLLQGSLMNHLYQFLARLQCNDHWTCSNRMNGVLFWFQILYVGFWCRTMMPESTDDLEKANISSGSHVKEDSEYVRLVIRNERTDEVGTSQSQSQSRKEAIVWWIKAIIWCIFSLIILLVSIKWGVPFFFEKILVPTLHWEATAFGRPVLALVLVASLALFPVFFIPSGPSMWLAGMIFGYGLGFVIIMAGTTVGMILPYFVGLFFRDRIHQWLKRWPHMAAMIRLVGEGSWFHQFRVVAIFRISPFPYTVFNYAVVVTKMRFWPYFWGSVAGMAPESFIYIYSGRLIRTFADVQYGNHHLTTVEIVYNVISFIIAIVTIVAFTVYAKRTLRQLESEEENNGEGSTSNLGKLELETLPMQKSKIPSVWSTL >Solyc12g044860.2.1 pep chromosome:SL3.0:12:61142368:61150032:-1 gene:Solyc12g044860.2 transcript:Solyc12g044860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRYDSRPADPGSYRDRRSDSVFGGGSNYRSSSSSRRDSEGKESPRKVNLDDLPPFEKNFYVESPSIAAMTEGEVEEYRRRREITIEGRDVPKPIKSFHDVGFPDYVLQEIEKAGFTEPTPIQAQGWPMALKGRDLIGIAETGSGKTIAYLLPAIVHVNAQPILDHGDGPIVLVLAPTRELAVQIQQEATKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMLESNHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQFLFNAYKVIIGSADLKANHAIRQHVEIVSESQNWLDSSIELHLFCKFRLVKLLEDIMDGSRILIFMDTKKGCDQVTRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKFVINYDFPGSLEDYVHRIGRTGRAGASGTAYTFFTAANARFAKDLVNILEEAGQKVSPELAKMGRGAPPPPGHGGFRDRGRGYGGNKQWS >Solyc05g051360.1.1.1 pep chromosome:SL3.0:5:62480888:62482297:1 gene:Solyc05g051360.1 transcript:Solyc05g051360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4C1G7] MTNKLHIVMFPWLAFGHIIPYLELSKLIAQKGHKISFISTPRNIDRLPKLPLEYSTSITLVKIPLPHVDGLPENAEATMDIHTKDIVYLKKALDGMELQLTSFLEKTSPDWIIHDFAPYWLAPITTRLRIARGFFRVINAWFLAFWGSTDMMLTGYTDPPPTPEDLMVMPKWIPFETKAVFRHHEAKWVVDAMQKNVSGVSDIYRAGATIKDVDVVIIRHCYEFEGQWLKLLEDLHHRPIVPVGLMPPSLDNSDNNGTWTCIKGWLDEQTKGSVVYVALGSEVTIGPREINELAYGLELSGVPFFWVLRKLSNSGHMDYVELPDGFEERTHGRGIVWKSWVPQLKILSHKSVGGFLTHCGWSSIIEGLMFGHPLIMLPFLVDQGLNARVLEDKEIGIEIPRNEEDGSYTRDSVSSSVKLVMVEKDGRRIREEAREISSIYGNKRLHDKYIEDLIQFLEDHRKMSYGHSS >Solyc09g010320.3.1 pep chromosome:SL3.0:9:3695523:3706668:-1 gene:Solyc09g010320.3 transcript:Solyc09g010320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTISRVFIIGNGVAGAENQCFGLVRALGLSHRQTLFRVIRPKGGINKRLQWLPVSLHKRLENVRRLIYGDPLPTQIAVRGHKLLSLAAERQVSSDILEANAKYIAALSCEAFAKDGPSLVVIQHPRSRLNRFDLVITPRHDYYPLTPEGQKQIPWFLRRWITPRTSPERHVDAADTWHDELATIPKPLLVVNVGGPTGHCRYGTDLAVELTALLLNIVPTCGSIRVSFSRRTPSKVSDIIWKELGNHPKVHIWNGQGPNPHMGHLAFADAFVITADSVSMLSEACSTG >Solyc02g077480.1.1.1 pep chromosome:SL3.0:2:42975290:42975700:1 gene:Solyc02g077480.1 transcript:Solyc02g077480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H3 [Source:UniProtKB/TrEMBL;Acc:K4AY75] MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Solyc02g064560.1.1.1 pep chromosome:SL3.0:2:36243573:36244607:-1 gene:Solyc02g064560.1 transcript:Solyc02g064560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQIKKGMGYTQKNKNLLVALGALGDSGFGAYKAYYSPSMVKKRERLLKLLDSVVSLAEMSSDSADVIGIFSKDLKEFMLSNSDQIPKSLKQISKITNSDEFSESIVKVTSALTIGVLRGCCNQETEELDSDLFDKVLNKLFSDAGCGFASVIVGSFARNLVLTFCSVKQGYSVPPEYVDTLIGNCVQVFVSTLVAVYLDKTMNINTYNEIFSGLTNPKNEAKMREMLVTLCNGATETFVKTSHQILTSTEMDDKVSTSTALTVPMSKKYILDLSGRVVFECVRSFVEKLWKCLKRYVEFMNEEVLEKSVEVYRCVSSLYSVVVSLCLTLCGDILNGPWILVIT >Solyc03g117790.2.1 pep chromosome:SL3.0:3:68291116:68305207:-1 gene:Solyc03g117790.2 transcript:Solyc03g117790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKKYPIGPEHYTLFEEVGQGVSASVHRALCVSLNEVVAVKILDFERDNSDLNNISREAQTMVLVDHPNVLKSHCSFVSDHNLWVIMPYMAGGSCLHILKAAHPDGFEETVIATVLREVLKGLEYLHHHGFIHRDVKAGNILIDSRGGIKLGDFGVSAYLFDSGDRQRMRNTFVGTPCWYALTQEDASEIFIKVFMIYSMVDNLRTFDLFWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKKFSKSFKQMIASCLVKDPSKRPSAKKLLKHPFFKQARSNDYIGRTLLEGLPALGDRMKALKRKEEDMLAQKKIPDGQKEEISQNEYKRGISSWNFNLEDLKAQATLIPDEEILGYKDLGGSSNSLSGLDIPGKQLNKFQHQFSFSSQYSDATEFDSNNPSAPPSPATQNVAYNITKCEKSDDDLSIASSFHDHQISQNSSPCYDNRMELNSAGKGDQVADAKLFEGMPTNSRQSDKSQFQNVSSCNGTSVLQTIDDVPTEVISKHCRTSASSEDFDEKTKGHVVQQRGRFKVTSENVDLEKVGASPMLHKSQSMLVMPQTLAASQPLPLDVTPPNLLTPSHFPALQSILEANILQRESILRLMRQVAVGDNTVDAGCMPLNSLGVEKSLLEVAHDKEKELISENTELQWSGVVWFSGGRNGRFNHAIASSSGQWDCFFGQKGWWMDGMITWDSLRAKWVTEVAIMAVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDESTLLENNVSEDGFLVVMLSKSKTASSSGTTSAQQPATAANPTTTPEVIPPSQAPKDVVSASDAAAASLPADDYSQAASNLVAGNNLEQTIQQLMDMGGGSWDKETVTRALRAAYNNPERAVDYLYSGIPETAEVSVPVARGGVNSAAVPTAAPIAPSSGAPNSAPLNLFPQENVAGGGGAGLGSLDFLRNNQQFQALRSMVQANPQILQPMLQELGKQNPQLLRSIQEHDQEFLQLINEPVDGSDGDMFDQAEQEIPHTVSVTPEEQELEAMGFDRALVIEAFLACDRNEELAANYLLEHAGDYED >Solyc05g013650.2.1 pep chromosome:SL3.0:5:6953521:6955910:-1 gene:Solyc05g013650.2 transcript:Solyc05g013650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PLLSSRSNKLIWGSVKLLSFYLYMKNFGRSSSSLKSAETMRLIFCVACGVMFGVFVGISFSTSSLTKLNITASIVSNFPIARDRNNIVSSQNHTDSSIKIQNATEQLKIWVPSNPKGAERLPPGLVASESDYYLRRLWGNPSEDLSSKPKCLVTFTVGVKQKHNIDVAVKKFSDDFTILLFHYDGKTTEWDEFEWSKRAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFDANEYIKLVKKHGLEISQPGLDPIKGTTWPMTIRRGNGEVHTLAEEKPGKCQDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLIHGWGLDFALHKCVEPAHEKIGVVDAQWILHQSVPSLGNEGQAKDGKAAWRGVRERCEKEWSMFQSRAENAERDYYISKGIDTTNFSFKSNGIDPSNFVSH >Solyc08g008430.3.1.1 pep chromosome:SL3.0:8:2837413:2837777:-1 gene:Solyc08g008430.3 transcript:Solyc08g008430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTINGIALAIEMVYLMLFVLYSKKEKRMKILFIILSEIVFIVSLAILVATLVHCHKKRSTIVGTSCIVANILMYASPLTIMLNI >Solyc05g053490.3.1 pep chromosome:SL3.0:5:64418186:64423676:1 gene:Solyc05g053490.3 transcript:Solyc05g053490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding NFHNYLNSPSNPNDQNTLPSTPPTPPSIAYLISGSTKDSSRILRLLFAVYHPRNQYLLHLDRKATQKERDDLAVAVQSVPVFKAAQNVNVIGKADFRYSIGSSALSATLHGASILLRLSNSWDWFINLSAADYPLVTQDDLLHILSYLPKDLNFVNHSSYIGWRESKKLKPIVVDPGLYLAEESEVYYATQKRELPDAYRLFTGSSSAILSRKFTEFCILGSDNLPRTLLMYLSNSPSSESVYFPTILCNSREFNGTTINHNMQYASFNSRAQARRLNSSDFNDLVMSRAAFASPFKAGDPVLDKIDHELLHHNRDEPVPGGWCLGDTETNKCTVWGDAEIIRPGFGAKRLEKHFLELFSNGSYLSDQCQYEKRSSSR >Solyc08g006940.3.1 pep chromosome:SL3.0:8:1501545:1504575:-1 gene:Solyc08g006940.3 transcript:Solyc08g006940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAEDMENSIAPPWLELPPEITSSILQKVGPIEMLKSADKVCSYWRRLCHEPAMWRVVKMQNAGADFWDREDDLEKICGRAVECSNGELVDLSLEYFGSDNLLSYIAGRSPQLKRLRLVCSYNVSAVGFSAAVKKFPLLEELHLYYVGISKEAIETIGRSCRGLKSFKLNNQICRHPYIEYDDEAIAIAQNMPELRHLQLLGNKMTNEGLEAILNGCPRLESLDLRRCLNVQLEGELGKRCSSQIKSLRHPEDPTEDYGLDTEMHDFESFDEDYPSGFSDIDLISDDDDDYEFSYGSNYSDDDDDDEMFDY >Solyc12g027580.2.1 pep chromosome:SL3.0:12:24544626:24616970:-1 gene:Solyc12g027580.2 transcript:Solyc12g027580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHQNSGAADLAQLQATMQAVELACSSIQMHMNPAAAEETILSLSQSPQPYHACKYILENSQLANARFQAAGAIRDAALREWVFLEIDDKRGLISFCFHSAIQHASSPEGYVQAKVASVAAQLIKRGWIEFSAAQKETFFLEVRQAIVGGHGLDVQFIGLNFLESLVSEFSPSTSTAMALPREFHEQCRVSFELEYLKLFYCWAQDAAVSASNKIAESEAAIPEVKVCTAALRLMLQVLNWDFKCDANVLDNAKRGINIFSAGLRGDVSSPKRTECTLVQPGSSWRGILVSSGHIGWLLSFYEALRQKFSCEGYWIDCPLAVSARKLIVQFFSLWGTIFPSDDGNTQKQHLLHLLSGIIAWIDPPDVVSTAIVNGKSESEFLDGCRALLYMATVTTVLVFDELLKSIRPYGTLSLLSALMCEVIKDLMASHTEEETWSWVARDILLDTWTTLLMPLDGSISYAVIPLEGIGATSHLFALIVESELRAASASAFNDENETDYLQASIAAMDERLSSYALIARAAINVTVPFLIRLFSEKFARLQQGRGFSDPTQTLEELYSLLLITGHIIADEGQGETPLVPDAIQSQFMDVMETDKHPVVILCGSIIKFAEQSLNPEMRASFFSPRLMEAIVWFLARWSTTYLMPLDENKMSASSDDHKAKHHKKVLLNFCEEDNQGKAVLDLILHISKTTLTSYPGERDLQALTCHELLHGLVRRKNVCVHLVELDSWRELANAFANEQTLFSLNAAHQRSLAQTFVLSASGMKTPEACSQYVINLTNHMAANLVELSNRSDLKCVAEQPDIILLVSCLLERLRGAASATEPRTQRAIYEMGYSVLNPLLMFMEVYKHESTVVYLLLRFVVDWVDGQIIYLEARETAIVVGFCMRVLQIYSSHNIGKISLSISSSLRSEADTERYKDLRAVLQLLASLCSKDLVDFSSEPIEAQGTNICQVVYMGLHIVTPLISLDLLKYPKLCHDYFSLLSHMLEVYPEMITQLNGEAFFHIIKTLDFGLSQDAEVVDLCLRAIKGLASFHYKQKSAGEVGLGLHASGYKDQTGNFQEGILSQFLRSLLQFLLFEDYSTDLVGSAADALLPLILCEQSLYQKLGSELIEKQCDTGFRSRLTNALQSLTRSNSLSSTLDRPNYQKFRKNLHNFLTEVRGFLRKI >Solyc03g046420.1.1.1 pep chromosome:SL3.0:3:12577598:12578038:1 gene:Solyc03g046420.1 transcript:Solyc03g046420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDELDQNKEIMSLRRERNLLLRKVDVLKAIHKVTLEAKEMEIGVLKQKLDQLDSTIMFYKGVFEATEREIRQLNQKLQEHDNKQWKLKMGLLYTVKSELAKIKIKELQNKVSELENKLKIQDNAIHHKLINGNTQVGEYSGANID >Solyc01g007250.3.1 pep chromosome:SL3.0:1:1808372:1812614:-1 gene:Solyc01g007250.3 transcript:Solyc01g007250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRRNIRLRREYLYRKSLEGKERLLYEKKRKIREALEEGKPIPTELRNEEAALRKEIDLEDEITAVPRSTIDDEYANAPEKDPKILLTTSRNPSAPLTQFVKELKIVFPNAQRMNRGGQVISEIIETCRSHDFTDVILVHENRGVPDGIVISHLPFGPTAYFGLLNVVTRHDIKDKKSMGTMSEAYPHLIFDKFSTKLGERTVNILKHLFPVPKPDTKRILTFANQSDYISFRHHVYEKHGGPKSIELKEVGPRFELRLYQIKLGTMDQDEAQIEWVIKPYMNTSKKRTLLGD >Solyc09g061685.1.1 pep chromosome:SL3.0:9:60418519:60427191:-1 gene:Solyc09g061685.1 transcript:Solyc09g061685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSTGYNYSSSLNSSHDPKSIKLIASPISLNFVIADVIIQVGDVSIQIDMHPSNLYVHPGPVEHDVLKIQVHHRSKGIWNGSIKEERSCLYTRRDLKEFLMLDVIRHTFHMRTGEATVTLQDIEILFGMIVDVSPIILNGADSLGIIGRQEMIFQFTGWLPDTSCFSGVSRLLTYKLIEYIEGLEVVNDNSTEHEVQQRFRLYLLWLCGASIFPDKSNNKINLDILIDMRNLDLMSTQAWGSAALSYLYNCLCRVSMKKSNEIWAWERIIPVQPLPKPLRTNQLEASTALARKWTRRRNYQNEARTVIGQRVWMAQVPLIYGIYREWHMVDRVVRQFGYLQHIPGPCTQFFEYHFRRDKRSKIKQEDIDAFNYTQYLWEQRQNHIFRPPFVSDQTDYFRWYMRHTRMYLVDISTFTIMSKSKNFKNSITCVCRSNGTVISRVDKIFCIFVSVI >Solyc04g077210.3.1 pep chromosome:SL3.0:4:62221259:62228535:1 gene:Solyc04g077210.3 transcript:Solyc04g077210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNNYNNHVSGENSGGQRGHFFYGGNQVLGGAAPIYGRGGDCYDPMIVKTEGGGSTSHHNHTFHYPSIIRNHHHDSTETSGGGAGAGEVIEALKAKIIAHPQCSNLLDAYMDCQKVGAPPEVAARLSAVRQEFEARQRASLTDRDVSKDPELDQFMEAYYDMLVKYREELTRPLQEAMEFMQKIEAQLNMLGNAPVRIFNSEDKCEGVGSSEEDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLSSLKQELSKKKKKGKLPKDARQKLITWWELHYKWPYPSESEKVALAESTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQSAAALYMEGHYMGEGPFRLGQ >Solyc04g018067.1.1 pep chromosome:SL3.0:4:9454800:9455148:-1 gene:Solyc04g018067.1 transcript:Solyc04g018067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYGMSLGCLYRGTIKFGFARRMYQRQFIIHMKAIMTFWSYHLGCQMLDLLFKP >Solyc01g110365.1.1 pep chromosome:SL3.0:1:96998688:97000309:-1 gene:Solyc01g110365.1 transcript:Solyc01g110365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFKFNVASTSDCPPDKNCSQPNNIESISIRDSWGNPPLEETESIVCDLLRSGPVFAFSTRSNHAWFQEDTLKHDIVLSQVEEDFSPDLLCYLKSPVNAVFTVQQDLWLHNWDQTIVLRNGSVASQAPCCFLDSQCRWSVGNAHHAPEMYCPRPGADTSKVRYAWRLASVFSKPMEAKRFPQVAFDSSMAKIPRPGDATVFYK >Solyc07g014610.3.1 pep chromosome:SL3.0:7:4957916:4961565:-1 gene:Solyc07g014610.3 transcript:Solyc07g014610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSGEVSWKSENLGKRRWTFVVFLGILILHGLAIFVFTRGFLLTRTELSQYSHCSDIQQSPCFSPPQDDQMVNHSKGCWTKPAVDRIVIIVLDALRYDFVAPSTFFDEKKPWMDRLQVLHKLASQPGSFAKIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIIEDNLIYQMAKNGKRVVMMGDDTWVQLFPQHFNISHPFPSFNVKDLDTVDDGCVEHLFPYLYQEDWDVLIAHFLGVDHAGHIFGVDSTEMIEKLEQYNGILEKVVDVLESQSGPGGLHENTLLLVMGDHGQTINGDHGGGAPEEVETSLFAMSLQKNPSSLPSETDSSSCRLDMEKRKICTSSIEQLDFAATVSALLGIPYPFGSIGRVNPELYALAAGTWNLDIFTPEGGINLSGSERWMQNYVNVLCMNTWQVKRYIDVYSAQAHDNWLHTKEAVLNCKSESCSTLLPQLKKQVEAYSNFLSSITALARSKWTEFNLKMMGTGLCILVLSLFVHIFIIKKLDKLCSCYLPCGGNFVVSFEAIFAYAAVLIRSFSFLSNSFILEEGKTASFLLATAGMLQLRHAIVKKKMFLEGLLFVLVVPLLRFGIELGQSKQAVNSLFLKSFASWTVSVDNGTNLWIYVADILPFLALVILAYILYYKSIRHSSCRGIFKLVAVGTIFASSLIALAWALDGNLFSPSTVIVDIKAYWIPRIIYVVGLLQLFLLAISQLFGKEKTSGWEEGTIVKATAMLSAWSSTIIILSGKQGPLVALAAVIQGWCVIRLMTLERAKNDYNDSSTSYSSPVAKWSLLAVCLFFCTGHWCAFDGLRYASAFVGFDEFNLIRQAALLTIDTFGFSHILPVMGLPLIVACRRHPEVQAEKRKPLFFLQLCQVYLMYGLTMAISMTFTIICVTIQRRHLMVWGLFAPKFVFDAVGLLLTDLFICLASLYYFT >Solyc12g037960.1.1.1 pep chromosome:SL3.0:12:49173397:49173774:-1 gene:Solyc12g037960.1 transcript:Solyc12g037960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRFRRRWQRKTERFSYCLLAVSNGNWSSLIDVRLGWLRGCFRSGLLLLEKVLSSGWWKLAGKWIGKVEEMEFCWEVIYWLVSGEVHAGREEYCGLLFAEKMKRKIMQVLDSPENAPARVMVGKV >Solyc02g067590.1.1.1 pep chromosome:SL3.0:2:38310750:38310986:1 gene:Solyc02g067590.1 transcript:Solyc02g067590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNIFDQTIFIGNIPLMNSLETSIVNGIYRIVINQILQTPDIYYRSELEHNGISIYTGTIISDWGGRSELEINRKARI >Solyc02g062530.3.1 pep chromosome:SL3.0:2:34766119:34768103:-1 gene:Solyc02g062530.3 transcript:Solyc02g062530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFATAIRLVGRKPKPKMKPIKLKTPPEQTQTITRTIFDIVKEHGPLNIAETWDRVKDVGLRGLTSKGHMKIVLRWMRERQKLKLICNHVGPQKKFLYTTWFTNPNTMHTKPGSDISSPNTKQIFSGSNITRPKSS >Solyc10g053980.2.1 pep chromosome:SL3.0:10:54257300:54260080:1 gene:Solyc10g053980.2 transcript:Solyc10g053980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQEHFYPLYLHCGHVSVCRSNLCLNLHLHLWIFQCPSKMLINFFFLLPIFI >Solyc02g064808.1.1 pep chromosome:SL3.0:2:36522861:36524228:-1 gene:Solyc02g064808.1 transcript:Solyc02g064808.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKGKILRPAPHGRSSKSRPLTSFSDSQNLSGLLSKGSSRRRSQELERNLWVYSKGFFHDAPQLRIRKRISQIFRNIGVGKKIQGSTESSSANIHCFTIFPALVRAPRSGIGGGLGAQRFEASGCSGGRGVCLEVRDRGWPRGKGRGVGACSSRSGIRVGLGAQRFEAGGCSGGGGGSSLSLRTRGPTFED >Solyc04g015190.3.1 pep chromosome:SL3.0:4:5371551:5375495:-1 gene:Solyc04g015190.3 transcript:Solyc04g015190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKKMALITHLPKQEQTLFSFVAVSVLLFLCWGVIEVESGIGVNWGTISLHKMSPFTVVDLLKQNKIQKVKLFDADPAVMKGLMGSGLEVMVGIPNDMLAGLSSSTSAADLWVAQNVSRYIVKGGVNIKYVAVGNEPFLTSYSGQYQSYVVPAMTNLLQSLAKANLARNVKLVVPCNADAYESSLPSQGTFRPELTPIITQMVSLLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGTTHAVTDGPNVYYNAFDGNLDTLIAALAKIGYGQMPIVIGEVGWPTDGALGANLTAARVFNQGLVNHVLSNKGTPLRPQVPPMDVYLFSLLDEGAKSVLPGNFERHWGIFSFDGQSKYPLNLGNGLLKNAKDVEYLPYRWCIANPMKDLAEVTNHVRLACSYADCTTLNYGGSCNEIGAKGNISYAFNSYYQLQKQNPRSCDFDGLGMVTFLNPSIGECRFLVGVSDYASSSGFRLQNSWISVLLILLWDAWLF >Solyc10g085780.1.1 pep chromosome:SL3.0:10:64986804:64987667:-1 gene:Solyc10g085780.1 transcript:Solyc10g085780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTHLNYCVSLVKCRGTIFSEGANATMSDPLVGTDVQVLLERDNDIAEIKEKILNLKDDVVLLTISIVGMGGLGGKTLAKKIFNDKQFEKHFGKRVWLCLPEMSETKSLLELILESLTKRKVETLSGDIIVKMLQDELAGRRYLLVLDDLWRVDSTL >Solyc03g013430.2.1 pep chromosome:SL3.0:3:46213731:46222597:-1 gene:Solyc03g013430.2 transcript:Solyc03g013430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEATPLSAALFSFPFPLPFSRFSPFLFLPATPLLDSLFSIRLFLSSFLLHLWHSSIRQQQLYNSS >Solyc08g015636.1.1 pep chromosome:SL3.0:8:5151361:5151771:1 gene:Solyc08g015636.1 transcript:Solyc08g015636.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSLQYLILTRPDITHVVNLASQFMQSQNVEHFQGVKIIPRYIKGCMTTQMLIGEVVEKLGDQLQTKVARSSTEADYRALASTAPEMTWILYHLHDLAVFL >Solyc08g074580.3.1 pep chromosome:SL3.0:8:58806829:58814052:-1 gene:Solyc08g074580.3 transcript:Solyc08g074580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTAKPKSRLCTLCNEKRAALKRPKTLEQICRECFYTVFEDEIHRVIVDNQLFKPGERIAIGASGGKDSTVLAYVMSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKVVSYSELYGWTMDEIVKLIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLGRCTSIITGEDGPIPRCKPFKYTYEKEIVMYAYFKKLDYFSTECIYSPNAYRGFAREFIKHLERMRPRAILDIIKSGEDFRISTSTKMPEQGTCERCGYISSQKWCKACVLLEGLNRGLPKLGIGRSRGINSEHNANTKQANGTQSLQSKQCGSLDF >Solyc12g006820.2.1 pep chromosome:SL3.0:12:1238303:1246247:1 gene:Solyc12g006820.2 transcript:Solyc12g006820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKLVEFLYEDLPHLFDDQGINRVAYDDYVKFRDPITKHDSIDGYLFNISMLKQLFRPNFQLHWAKQTGPYEITTRWTMVMKFVLLPWKPELVFTGTSVMGVNPETNKFNSHVDYWDSIQNNDYFSLEGLLEVIKQVRKYDPFIVVETEGDNLAGNRGFNDVAGYIFGKNATTEKIPMTTPVFTQAFDAENSKVSIQIVLPSDKSLSSLPAPSKEGISLRKTEGGIAAAIKFSGKPTDDVVREKEKQLRSSLIRDGLKPRSGCMLARYNDPGRTWKFIMRKLKRFWVLFALYLKTLRVSYRPFRGRKMTEAKETSTPLMPPSSNKLPDYNKSVKLKYVKLGYHYLITHGMYLLLTPLVAVVAAHMSTITPQDLSELWDNLQYNLISVISCSTLIVIVLTVYVVTRPRPVYLVDFNCYKPSEAFKCTMQTFMDQSKLTGAFSEENLDFQRRILQRSGLGDNTYFPEALLNIPPNPSLKEARKEAETVMFGAIDGLLAKTNMKTKDIGILIVNCSLFNPTPSLSAMIINHYKLRGNIASYNLGGMGCSAGVVSIDLAKKLLQVHPGTYALVVSTENITLNWYLGNDRSKLVSNCLFRMGGAAILLSNKWTERRRSKYQLLHAVRTNKGADDKCFASVTQEEDANGKLGVSLSKELMAVAGDALKTNITTLGPLVLPISEQLLFFATLVGKKLFKMQLKPYIPDFKLAFEHFCIHAGGRAVLDEIEKNLHLTERHLEPSRMTLYRFGNTSSSSLWYELAYTEAKRRVKRGDRVWQIGFGSGFKCNSAVWKALRTIKPVKEVNPWMDEIDKFPVDVPRVANF >Solyc05g013840.1.1 pep chromosome:SL3.0:5:7222885:7223659:-1 gene:Solyc05g013840.1 transcript:Solyc05g013840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTHLELIECPICKETVRGYQELMIHVNSHSDAEMEENVVDGSNNLGEPLSRSSESVQHESLPLPILMAPPPSFSYNTRLIRGNDDDKGVHINQQLLSLSLYDTSPIRVSNEDGDVNTKQQLLSPSPSSSYRLLLVNDNNIRVEANQLQQMIQSTRKTYAGDLSSVVIGPNGTPPEFEGPHKKEVDFPILDKSDPLELEFKPRLIRDNESSEVDLSLKL >Solyc03g083030.3.1 pep chromosome:SL3.0:3:54286972:54294039:-1 gene:Solyc03g083030.3 transcript:Solyc03g083030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METLNSPATMTFPREPANFDEVSMHQSMLFSDSLKDLKNLRKQLYSAAEYFELSYSNDEQKDVVVDTLKEYAIKALVNTVDHLGSVTYKVSDLLDEKVDEVSGTELRISCIEQRLKTCQEYIDNEGMSQQSLVIHTPKYHKRYILPVGETADGGNLTKLQYQGCSLDDQDDWNQFRNAVRATIRETPPPAAGKGFSPSPTPRLLQQYGKFSFSGTTPKKELDKRTVSPHRFPLLRTASLASRPTTPKSSRPTTPNKSRPTTPNPSNGRRYAAEPRKSASMRLYVDNINTKDPEQHSSKSKRLLKSLLSRRKSKKDETLYTYIDEY >Solyc03g044480.3.1 pep chromosome:SL3.0:3:9365506:9367307:-1 gene:Solyc03g044480.3 transcript:Solyc03g044480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin-dependent kinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4BG67] MDVLLMMRKKRKICDNYTPVVSSDKYEPDGSSMSCCSSNSKSRDLDEKCGEVAEKEEKLIEEEYESEKMPPVEEFDELESNEIEEFGKEMASVEEFDELELKEIEEFGEEIELDEMTSVEEFDELEMNEMPSLEEEVELDEMLFPLEEPEPPLIPTTEELEEFFTRHEQRISTRLRQNYNFDFEKEEPLEGRFEWVPIRKMKF >Solyc05g008420.3.1 pep chromosome:SL3.0:5:2776854:2783974:1 gene:Solyc05g008420.3 transcript:Solyc05g008420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPTGEVAGEDLFAQATAAADDLYNFRDNCFRLTSEERDSKLQSQSDLALQILDKIPIEKRKLPLQRATSEYLRGKILDAVPVYKKEAEDHLSKAVKLNPSLVDAWLCLGNCIWKKGDLNAAKNCFMFALGKGPNKKILCQLSMLERKLSHDAENPEEIVDESIKHAREAIALDVKDGYSWYNLGNAFLISFFVTGSWDHSKLLQSLKAYQNAERDEKMKSNPDLYYSCATVNKYLENYERALTGFEAAALMDPGLSAMEDAQMIVLLLDKLDYLLRGQTKAKRLSSLSSSLATVDLSHSYKRATMDLLSEGLNKGIAVTGKVLFFAKKESVAPLCYVLSDSNHTCSVITVYGIRSDAIKEGDQVTLLEPFYRFVDFSWKGKHYQFKSVRVDFVEQLLVNGKNLSSHHAVRTSIYAQHKA >Solyc07g062320.2.1 pep chromosome:SL3.0:7:65216766:65229162:-1 gene:Solyc07g062320.2 transcript:Solyc07g062320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQHPKRSHWEAAIRVVRYLKGTVGQGIWLKAQSATTLTCWCDSDWAACPNTRRSVTGYIVKFGDSLVSWKSKKRQTVSRSSAEAEYRSMASAVAEVTWLIGLFNEVNVLIQRPIKEVTNLASSAPYKESHIYGNLNTKPSPGEGHSGPPPKIGHSGPPPKIGNVYGNLNTGPSPGEGHSGPPPKIGNVYGNLNTGPSPKIGHVYGNLNTGPSPGAGHSGPPHRIGHVLWQLVNVQILILQYPGEGHSGPPPRMGHVYGNLNTGPSPGEGHSGPPPRIGYFYGKLNTGPSPGAGYSSPPPRMGHVYGNLNIGPSPGEGHFGPPPKIGHVYGN >Solyc04g079200.3.1 pep chromosome:SL3.0:4:63832104:63837129:-1 gene:Solyc04g079200.3 transcript:Solyc04g079200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGDFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLALQRFDAHSKTNEQTVQEMLNLAGKYNKAVQQEDELTPEKLAIANVGRQDAKKHLEEHVSDLMSSNIVQTLGTMLDTVIF >Solyc11g005820.1.1.1 pep chromosome:SL3.0:11:663002:663574:-1 gene:Solyc11g005820.1 transcript:Solyc11g005820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCNFHFLLILVFLISLHELPTISTTSSSIDLIQKTCKNTKYYDLCVSSLKSDSTSLKADTKGLATIMVKIGMVNATATNNFLSSHELVLNTTNNYTNNDLVLMKKLIKDCADKYALATNALQDSLQDLNNEVYDYAYMHVMAAADYPNVCHNGFKRNPRLDYPTQLAIREDGFKHICDVVLGILDALGW >Solyc01g009730.2.1 pep chromosome:SL3.0:1:3966238:3982582:-1 gene:Solyc01g009730.2 transcript:Solyc01g009730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFSYDGKTASFNVSMDKAVNILAFAEWSKFNDAYPSGEKIYVETLSGDQHFARRNSMFGVSEKQKERIDHESDIKVAPLSIFYDGKIAVYDVPIEKVDGIPKFVESSKQQLDDYCRVGLIASE >Solyc07g018430.3.1 pep chromosome:SL3.0:7:10772532:10772944:1 gene:Solyc07g018430.3 transcript:Solyc07g018430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGRTLVLILSLWAVLTIVTPILVRLSASATPKGEFFVITHTTASEKVMGLLPRKALVAQPSNKRHFEVEDINYSSLLFRLF >Solyc11g044638.1.1 pep chromosome:SL3.0:11:32652533:32654270:-1 gene:Solyc11g044638.1 transcript:Solyc11g044638.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNHKGNRVTRWYTIFICILELLLSIYAFCFHFQSNDPLIQLVEDYKWIDFFDFHWRLGIGGLSIGSILLTGFITLAALAVIIVVYLLLAMWGGKKRLYLNNELNFLFVTLYVVLLFAGAIAKSAQFPLHVWLPDTMDGPIPISTLIHAATMVASGIFLVARLLPLFGVIPYIMYLISVIGTITVLLGDILVSIGLYDLITHAYSKTLLFLESGSIIHSMVTIVGYSPSKSQNMGLMGCIRKHVPITKITFLLCTLSLCGIPPLACFWSKDEILNDSWLYSQIFTIIAWDTTVSNYGGKQKIPFYSISLWGKNRFKKNSCLLTMN >Solyc01g087510.2.1 pep chromosome:SL3.0:1:82330610:82333653:-1 gene:Solyc01g087510.2 transcript:Solyc01g087510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERLQVCILFVVILHSMTVEFACKGQNVATSCSASDLEVLLDFKNGLNDPENRLSSWKGRDCCKWRGIGCSNATGSVIKIDLHNPFPVDSADVTRYGFWNLSGEIRPSLVKIQSLRYLDLSLNTFGGIPIPRFVGSLKNLEYLNLSRAGFFGTLPPTLGNLTNLQYLDVSSEFSALTVESFHWVTALVSSKYIGMNQVDLSMVGLSWLEMLNQLPHLKELHLSSCGLSGSISYLTPVNFTSLAVIDLSFNSFNSTFPSWLVNISSLEYIDLSNSGFRGRIPLGISEIPRLRYLNLALNKNLSANCLELFRGSWKQIEVLDLGSNKLHGKLPRSIGNMTYLTHFDLFLNNIEGGIPATIGGLCNLINFDLTGNNLTGGLPEQLQGMEKCDSKKPLPSLMYLKLSSNGLVGKIPGWLGQLKNLQQLGLASNFFEGPIPASFGKLQNLTNLRLSGNQLNGTLPESTLSEVHFKNLRKVKILDLSSNSLTLNVNPNWIPPFQIRNLDMGSCHLGPSFPNWLKSQKELKFLDISNANIDLSSNLFNGTIPLTSVSIELLDLSNNMFQGLIPQNISKVMPDLVFLSLSSNDISGEIPAIIGKMTLVQVIDLSNNKLTGSIPSSIGECSYLKALDLGNNTLSGSFPSSLGQLIQLQSLHLNDNKFSGGVPISLKNLSSLETLDLGNNKLSGKFPSWISDGFQNLRILSLRSNSFSGELPLGMSKLSSLQVLDLAENNLTGAIPTSIGDLNAIVQEKKSDESLVVNLKNQFQKYTKTLSLLTSIDLSRNNLNGAFPVELTNLHGLIVLNLSGNQISGQIPENISSLHQLASLDLSSNILSGVIPSSMGSMSFLSYINFSNNNLSGMVPYKGQMTTFTVSAFEGNPHLCGAPLVVQCQNGNSDNRTVLENDNSDEFPDKWFYFESHGDEHISVS >Solyc11g020760.2.1 pep chromosome:SL3.0:11:11977884:11984055:1 gene:Solyc11g020760.2 transcript:Solyc11g020760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPLYIVDDDDDEFDWDSAVKEIDEATAISNRPSSSHFASTSHSNQQIKEAHKYPFASSSSRQSTLDKFIQNSTKNAEKSSREQENRNGFGARNGNEGESYGPSYVEIDPEAAKTWIFPVNFDRRDYQFSIIRTALFSNTLVTLPTGLGKTFIAAVVMYNYFRWFPEGKIVFAAPSRPLVLQQVEALHKFVDIPQECTIDLTGQTNPSRRASLWKERRVFFVTPQVLEKDIHSGDILHSNFNNFFNYLDSIMDFPVHYGHHQFCPDIFMFHDYGVIGFPLWR >Solyc11g069060.1.1 pep chromosome:SL3.0:11:53947528:53948760:1 gene:Solyc11g069060.1 transcript:Solyc11g069060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGEDEKGGKNVTAILQIDMHCSCEGCSEKVFKCVHDLHDLNSWMKIEENGAVYKVTMTGKFDPSKLQQKMERKLKKIVKIISPKLDIEETEIQKKYKCNETIAVFKLPLNCDACNEKIHKIITRTRGCRNVKMHWEKNLVTVTGTIDVKSLAESLRYHLRKDVEILSVNNGGSGGGGIYSPGFECVNRRSTTTCRSVTGDYLYPATEAFSDENPNACTVL >Solyc03g080150.3.1 pep chromosome:SL3.0:3:53478370:53479688:1 gene:Solyc03g080150.3 transcript:Solyc03g080150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BHZ1] MSVRMLINALIFLVLCECMMLEAQLQVGFYDNSCSMAEFIVRQEVTNAFLRNKGVAAGLVRMHFHDCFVRGCDGSVLIDSTATNSAEKDSPANNPSLRGFKVIDSAKARLESVCEGVVSCADIVAFAARDSVVITGGLGYEVPAGRRDGRISLASETRSLPPPTLDVDQLTQNFRSMGLTQEEMVTLSGAHTIGRSHCLAFRNRLYSFNSTTSQDPSLDLSYAAQLKQQCPEGSTDASLVVPMNPVSPGTTDEYYYTDILANRGLFTSDQTLLTDPTTAIQVLQNARFPFLWKSKFASAMAKMGQIGVLTGTAGEIRANCRVINS >Solyc02g087030.1.1.1 pep chromosome:SL3.0:2:50213694:50214143:1 gene:Solyc02g087030.1 transcript:Solyc02g087030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQTNTKDSDVNEELQELEFTKRGCCFWFPSFTCGRGVWERVSTSDQKEETHWWDKGLNVVMKVREWSELVAGPKWKTFIRRFNKNRSKTNKFNYDPMSYSLNFDDGPGVNDQSEDDRLFRDFSSRFASIPVSAKSSMDLGKDSSSLL >Solyc03g116700.3.1 pep chromosome:SL3.0:3:67477035:67477829:1 gene:Solyc03g116700.3 transcript:Solyc03g116700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKMLYMIVFSALAITSMVHDTAAQTVHVVGDTMGWIVPSNGAVAYENWADDKTFRVGDTLVFNFTTGRHDVLQVQETSFDGCNSQNAIGTAIMTGPASITLNSTGDHYFICTFGTHCQGGQKLEISVSDDSTRTPGTNPPPRSVDGPTGSVPGGIVPPPPSSSTTLLASFLLSLSAIALVIFH >Solyc01g016633.1.1 pep chromosome:SL3.0:1:20750710:20751233:-1 gene:Solyc01g016633.1 transcript:Solyc01g016633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSKHMMKFGDNQAFPHPKLTIGTSKHYDYEFLTHPLKDHIIGLQVLHQNQWVDVPPTRGALGVKIGYFLRVNISMYIRGEQTVLANKVGTTVLVLCSFMFTEGNPQKYHATIVKNYRWCYH >Solyc05g050900.3.1 pep chromosome:SL3.0:5:61974879:61980522:1 gene:Solyc05g050900.3 transcript:Solyc05g050900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIKDAGSSLDSLVSSFNTRISEIQQLVVARNMYPASSVSDLSAIDSALKVLELQLHKIKIRMREETEAIPKAKGAHSSVFMVQLVFGKSSVKLIEASLRQQKKLQNLSSVVPSYVPDRVTKTTDDAIKCSNLEPSVEDSFVSLKLEEPAPKEKKSRISPPLFYINSEELNSVPPYMKQRITLEKVNAAINDMATYAEATSQLLTAPRKKLKENLVERAMELRDIAATETVKGKHFFLETDIRGPSLKLDNTGKAILTLLRHLGRISETRIGHHRVILLLRPH >Solyc01g009635.1.1 pep chromosome:SL3.0:1:3813238:3815307:-1 gene:Solyc01g009635.1 transcript:Solyc01g009635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHFDIYNSNNVTLSHVHIIAPSDSPNTDGIHISLSSNIRVFDSNIGTGDDCISIFAGSQNVNISRVTCGPGHGISIGSLGNKPNEVVKDVHVKNCTLIATQNGMRIKTWASSNVGAATNIYFEDILMDKVDNPIIIDQYYCPSHTCNIKKSLVQIKGVTFNNIRGTSSSLVAVNFNCSSSLSCQKINLNDIDLINYNTKPTIASCANAIGHATGIELPPSCLKSVLES >Solyc01g097330.3.1 pep chromosome:SL3.0:1:88104592:88110568:1 gene:Solyc01g097330.3 transcript:Solyc01g097330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRVFSVDDDIGDHFWSTPPTAELGVDSPTSAAAAAAISYSKMMNRSSSEWAFQRFLLEAAGAAGTTTSSPPQPPTMASSSSSSHQNDVVEIKDENLSTPNLNSGTALNSKPAATLFGSATPQNIHVDAEEYQAFLKSRLDLACAAVALTRAKNLKPQDASSIAPDKGPETASASQSVSHITSKGSGQEVRKVQDKDSGGPVGIPSLPAVQKKPGVQVKSTTSGSSRELSDDDEAEGEAETTQGTDPADTKRVRRMLSNRESARRSRRRKQAHLTELETQVSQLRVENSSLLKRLTDISQKYNESAVDNRVLKADVETLRAKVKMAEETVKRVTGLNPLFQAMSEMSSMAMPSFSGSPSDTSTDTAVPVPDDSQHHYYQQPPNNHMPTHDPRIQNGMVDVPTIGTVQQNPAAAAVGGNKMGRTASMQRVASLEHLQKRIRGEVSSCGTQGRGEQ >Solyc06g070960.2.1 pep chromosome:SL3.0:6:43717508:43724526:1 gene:Solyc06g070960.2 transcript:Solyc06g070960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMELQQRGFSLFWQQTIALLKKNFLLSMRSKRATFLQLFSSLFFLGLLFGIQKGMDFRSKHPSTIRAVNDPQPLTNPPIPPCEHKFFIKHPCYDFVWSGSGNKRIESIVSGILANNPGRPIPSTKVKSFGTKDDLNNWLLSDPMRTPGALHFVERNATVISYGVVTNSSTYIKVPRASEDPTFKFQLPLQLAASREIARSLLGDSKFSWNVGLKEFARPAIEDPEAGDSFQDSSFGNIFSQIFFYAVSMFGFVFQISTMVLEKELKLRQAMTVMGLFDSAYWCSWLLWEGIMTFLSSLLIVLFGMMFQLHLFLKNSFLIVLLLFFLFQLNMVGFAFLISNFIRKSASTTSASFAIFAFAGMLYGSTDHKTRYRRILWSFFPPNPFSGGLVTLLYGVEHGGISWSKPSPGDDSSCYSMLHFYRWLIATFFLWLVLAIYLDNILPNSAGVRKPFYYFLKPGYWTGRGEEKFKENTLCCGSGSSPPNDSFSQDDEDVLDEENRVKQQVKEGNVDPNVAVQLQDLYKMYSRTINFSCHSCCLLCCYCRCKIKKPFKAVQGLWLNLEKDQLFCLLGPNGAGKTTVISCLTGIISVTRGNALIYGNSVRSSVGISNIRKLIGVCSQFDTLWDALSGQEHLELFATIKGLSRTSKRSEAKKLLADVKLDDVAEVRAGSYSGGMKRRLSLGIALIGDPKVLFLDEPTTGMDPITRRHIWSVIEAAKQGRSIILTTHSMEEADILSDRIGIMARGRLRCIGTSTLLKSRFGAGFIAKISFSKVANDVNVMENTIDSKYHEAVKEFFRQRIDVTPKDEDKSFLTFIIPHEKERLLENFFAELDNRKTELGILNIQIGLSTLEEVFLSIAKKAELEGAASEGTIKPLILPSGTTLQVPVGSKYVEIPGTISAENPRGLMVEVYWEQDDHGNLCISGHSDETPVPPNLQISTSRLVTAKPRA >Solyc02g079080.2.1 pep chromosome:SL3.0:2:44262731:44266752:1 gene:Solyc02g079080.2 transcript:Solyc02g079080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDSSHGREIDDDSPQISPHYCSNTCDQSDDEPISWKIRKIERPDRLSALTDPLVLHILSYLRMDEVRRTAILSKRWRLLWTSAHNLVFRCTGKSHYSVTAFITLVDDTLLLSKPNKLNKFSVEFRYSKKLVKCVNKWMLFVHNKYVEELELYLMTRGADNFYNLPQILYSNACFREMSLCNCNLVPKEGINWPSLKILVIRKAELNSDIVDAICSGCPSLESLKFSMCYGVNLFKINSESVKKLVISGYWQQVHDELDDDDDAELIIDARNITSLEIEGCFHKKIVLLENVSALVHAKLDFFRTTDDYESYHSDFKIDEDILRDLGDVEKLSVGSWCLQVLHMIETKNSSCPRMRCKELTLNSRLTNMEFPGLAILLQSCPLVEVLNISDESAFEEYSVGWSFTWRTDEFSAKKYWITRPCWVLNLKTLRIDSPWIYEILYFEYISTFLQAVLKNGLVLQKVILPSFTDRTWISPRRHTIVAEMFVSFPRSSKDAVILFSG >Solyc11g056350.1.1 pep chromosome:SL3.0:11:45626015:45626403:-1 gene:Solyc11g056350.1 transcript:Solyc11g056350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESASFLYDFLSLCSITHGWPTQGRNPGQSPIRVKVQTAHFAPLLCSLRRFYIPAVKRERSVIGSIMEIMDMLFRRASLAVSSFAHDSTGTKDLN >Solyc06g063345.1.1 pep chromosome:SL3.0:6:40151170:40152632:1 gene:Solyc06g063345.1 transcript:Solyc06g063345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEELNANEEIRRTINPRRKKDLRGPVPFIIIICGTLVYYHCVYRNASIVSLVSDVFTVILCSLAILGLLYRQMNISVPVDPLEWQISQNTANRVLACIANTIGAAESVLRVSATGHDKRLFVKVVVTLYVLSVLGRIASGVTVAYAGLCFLCLYMLVENSQLISVCLSSQRKRKDSRETVQSD >Solyc02g079290.3.1 pep chromosome:SL3.0:2:44460468:44461817:1 gene:Solyc02g079290.3 transcript:Solyc02g079290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANMETSARSVDPLVVGKVIGDVLDMFVPVVDFTVEYASKQISNNGVEIKPAEAAQKPRVHIKGSLHSNNLYTLVMADPDAPSPSEPTFREWLHWIVTDIPEGGDASQGREMVEYMGPKPPAGIHRYVFTLFRQKEAEQVPHKPPQGRSNFKTRQFASDNGLDLPVAALYFNSQKEHAAHH >Solyc03g095660.2.1 pep chromosome:SL3.0:3:58270644:58272076:-1 gene:Solyc03g095660.2 transcript:Solyc03g095660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWIKTGNFVVVDESGREEATESGRKVGCVVTQVLYYDQVRVLQKSPECAVQLAGKSLSVDAPQEGPEIFKSTAVESSKQDNTQSQNFQMNESEDSSDDGLPPLEANTNRLNPFQQESETESDSDTDP >Solyc11g065960.2.1 pep chromosome:SL3.0:11:51880424:51881752:1 gene:Solyc11g065960.2 transcript:Solyc11g065960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRPIFKIRPKFNRNDNPKSLTQTTVSCQLSTVVTLVSVTPQLVSVLGVLSLSPTATPRLLAARNCSRDSKRNFLVYNFYQDTVKNKYKREECLLNSLD >Solyc06g069665.1.1 pep chromosome:SL3.0:6:43505374:43525673:1 gene:Solyc06g069665.1 transcript:Solyc06g069665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPPLTSSPKLPSLLGASASPPPPPMQVSPPSPPSQNDSVPPTSTPSRNAPLPPSPPPSSPLSTPSLQSPSSPPLSTPLPPPPPPPPPPPVSTNSPMQFLPPPPPRNDPAPTRPPPPPPPPPPPPPVSRNSPILILPPPPPPLLPSRNVSAPTAPPPPPPLSYNTPPSILCPHPLSTPSSLSRAPPPPPPPPLHLAPLPSPPLSAAPPPPPPLPGAPPPPPAPPPPPCGGTPVPQPPFYGGPPAPPPPPYSGPPAPPPPPYGGPPAPPPPPYGGPPAPPPPPYGGPPAPPPPSYGGPPAPPPPSYGGPPAPPPPSGGGPPAPPPPPGGGGPPPPPPPPGGAPPPPPPPGGGAPGPPPPPPPGGRAPGPPPPPPPGGSAPGPPPPPGAPRAPGGGPPPPPPFGAKGPGAAGRGLPAGRAPALSRSRSNLKPLHWSKVTRALQGSLWEELQRHGGPQIAPEFDVSEIEILFSATPKASSAGKAGAKKKSADSKPDKVQLVDLRRANNTEIMLTKVKMPLPDMMKPPLFSQKNSSLSGCACPHTLEGGGLTYKSQTALWVSNFKLLTGKKNTSDNRRATWSSKHGSHNSDNVWAAALAMDESILDADQVENLIKFCPTKEEMDLLKGYTGDKELLGKCEQMSYKAYDIDETRAPCDFQYSDRKIKGPIPSKSKITELRGHGRVVKVQFMMYSLIPLSRLGILIPCFSGVPDFTFDCQFFLELMKVPRVESKLRVFLFKIQFKAQVTDFKKSLTTVNSACGEVRSSLKLKEILKKILFLGNTLNQGTARGSAIGFKLDSLLKLADTRATNNKMTLMHYLCQVLASKTPELLDFHESLVSMEAASKIQLKSLAEEMQAIIKGLEKVKKELESSVNDGPVSEIFCKLNFDGLALFDSHTLKEFIGASEAEVGSLRDLYSVAGRNADALALYFNEDPAKCPFEQDYARYGSANKISKLSDSIDLAYVEKFPSRGLHCLGQCQTIWGIAVYGAQTVLSITATLLNFVRLFRKAHEENLKQAEQDKKKVQKEAEMENAEKKQLKKEG >Solyc06g069540.1.1.1 pep chromosome:SL3.0:6:43418459:43418872:-1 gene:Solyc06g069540.1 transcript:Solyc06g069540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDGSCNNSGNYFHRTFKFSHRHNGSELFDSFELQQVVKQLNLALIKASAESSSPITSAVLNSPFYTKCLGGSYKQNAKSSRTRRISYSQIGVENTADCKSTGINCIFLRFWNMAKQGFFRGKPKPGQLIAEANSEF >Solyc01g017793.1.1 pep chromosome:SL3.0:1:25446555:25455436:1 gene:Solyc01g017793.1 transcript:Solyc01g017793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIIILKNPDLFTRGIDNQAVNVVSNFDFPKNSETYLHRVRQSERYVKLGLAVSQALDITGSTVKALKATQ >Solyc12g015860.2.1 pep chromosome:SL3.0:12:5832881:5837233:-1 gene:Solyc12g015860.2 transcript:Solyc12g015860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Farnesyl pyrophosphate synthase [Source:UniProtKB/TrEMBL;Acc:O65004] MADLKKKFLDVYSVLKSDLLEDTAFEFTDDSRKWVDKMLDYNVPGGKLNRGLSVIDSLSLLKDGKELTADEIFKASALGWCIEWLQAYFLVLDDIMDGSHTRRGQPCWYNLEKVGMIAINDGILLRNHITRILKKYFRPESYYVDLLDLFNEVEFQTASGQMIDLITTLVGEKDLSKYSLSIHRRIVQYKTAYYSFYLPVACALLMVGENLDKHVDVKKILIDMGIYFQVQDDYLDCFADPEVLGKIGTDIQDFKCSWLVVKALELCNEEQKKILFENYGKDNAACIAKIKALYNDLKLEEVFLEYEKTSYEKLTTSIAAHPSKAVQAVLLSFLGKIYKRQK >Solyc09g014520.3.1 pep chromosome:SL3.0:9:6146352:6148044:-1 gene:Solyc09g014520.3 transcript:Solyc09g014520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4CRS9] MATAAATSSFIGTRLPEIHSGSGRVQARFGFGQKKAAPKKAAKKQIPDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFDLDSLDQNLAKNLAGDIIGTRTEVADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALTVEWLTGITWQDAGKVELVEGSSYLGQPLPFSITTLIWIEVLVIGYIEFQRNAELDPEKRLYPGGSFFDPLGLAADPEKKATLQLAEIKHARLAMVAFLGFAVQAAATGKGPLNNWATHLSDPLHTTIFDTFGFFS >Solyc09g091430.3.1 pep chromosome:SL3.0:9:71178811:71183391:-1 gene:Solyc09g091430.3 transcript:Solyc09g091430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectate lyase [Source:UniProtKB/TrEMBL;Acc:K4CWG9] MAMASKKWLSFSFSFLLILLLLVGVYAAGVQQSNDGSDTRTVEKEQLLSSENSTMAVSLEDVEEKLSKHAVDDPEEVVAMVAQSIRNSTERRKLGYFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRYYVVTDNRDDDPVNPRPGTIRHAVIQEEPLWIVFSRDMVIQLKQELIMNSFKTIDARGYNVHIANGACLTIQFVTNIIIHGLHIHDCKPTGNAMVRSSTTHFGWRTMADGDAISIFGSSHVWVDHNSLSHCADGLVDAVMGSTAITISNNHFAHHNEVMLLGHSDSYTKDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPANRFAKEVTKRVDTAAGQWKGWNWRSSGDLMLNGAYFTPSGAGASASYARASSLGAKSSSMVGAITSSAGPLACRRSRTC >Solyc09g065130.3.1 pep chromosome:SL3.0:9:63226279:63234390:1 gene:Solyc09g065130.3 transcript:Solyc09g065130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGGSISSGRTNVRVVVVGDRATGKSSLITAAASETFAEEVPPVLPPTRLPADLYPDNVPVTIIDTSSSLESRGKVAEELKRADAVVLTYACDQPSTLNRLTTFWLYEFRRLEIKVPVIVVGCKLDKRDEEHHMNLEQVMAPIMQQFREIETCIECSAANLVQVPEVFYYAQKAVLHPTAPLFDHETQALKPCCVRALKRIFILCDHDMDDALNDEELNEFQIKCFNAPLQPAEIVGVKRVVQEKLPQGVNDLGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNDEIKLKDDNLTIPFKKAPDQSLELTSEAVEFLKGVFSTFDTDKDGVLRNSELDDLFSTAPESPWGEAPYKDAVERTPLGGLSVCSCNISGLL >Solyc04g072590.2.1 pep chromosome:SL3.0:4:59652785:59654196:-1 gene:Solyc04g072590.2 transcript:Solyc04g072590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRPYKRVEETNKKMKRVEDQSRISKLPDSLLILILSLLPTKDAFTTSVLSKRWEHLWPSIDSFFFSCKDKSQRKNFISFVDYVLDHSTCSKIKNFHLNFTHLSKYEQRVGFVDDIVDFTISRWVPTNEVKNKNKLLFPISRWLGTVMRMTSLITLDLTCCILDKEVVIEWKSLKTLRLNDITLDDDGIVNILSGCPALEILEFLEFSGFRHMEISSSNLKRLKFENHLSYYNSDDLSLDIFTPHVQHLEISRYMYDLKCRLVNVSSLVSAKLTFQMNWTWFDQGPDEHQVIKILIEDYLQKLRNATELTFGTCSLIRCCF >Solyc05g015653.1.1 pep chromosome:SL3.0:5:11652724:11653656:-1 gene:Solyc05g015653.1 transcript:Solyc05g015653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSATIASAASSTYQNAPTYFRPATSSNHPMTTRAQTNSLKPKTLVVSRHPIPISSVIASEPKTYKQAASSPEWLCAMEAEYQALRRNCTWTLVPCPPTANVVGCKWVYLTKGWALKQLDVNNAFLNGDLMEVVYMSQPPCFIDKSHPHFVCRLWKALYGLKQAPRSWFLKLKIFLLSHDLGNVSFFLGIEVSRVGSGMHLSQTSYI >Solyc06g072800.3.1 pep chromosome:SL3.0:6:45037348:45043087:1 gene:Solyc06g072800.3 transcript:Solyc06g072800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQAFRKLFDTFFGNSEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKQEFQAIIRDPFMLNAVILVFANKQDMKGAMTPMEVCEGLGLYDLKNRKWHIQGACALKGDGLYEGLDWLSSTLKDLKAAGYSSVGTSAF >Solyc04g005200.3.1 pep chromosome:SL3.0:4:129168:150400:1 gene:Solyc04g005200.3 transcript:Solyc04g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRQNDHTQFSCRLKDCANINILWASLIIEECTRLGLTYFCIAPGSRSSPLAIAASTHPTTSCIACIDERSLAYHAAGFARSSHKPAVIITSSGTAVSNLHPAVVEASQEFVPLLLLTADRPPELQDVGANQAINQVNHFGPFVRHFLSLPVPSDDISARMVLTSIDSAVNIATSSPSGPVHINCPFREPLENSPRTWNPICLRGLDSWMSTSVPFTSYIRVQHSYRCNYNTFMDEALEVINKASRGFLLLGAIHREDDIWAALLLAKHLSWPVVVDILSGLRLRKYFVPFPEFEDRILFIDHLDHMLLSDSIKDWMKVDVIIQIGSRITSKRVAQLLESCFPCSYILVDNHPSRHDPSHIVTHRIQCAIPQFADYLITACTPHTRRKWECLLQALNSVAAWDISFLINSEYSLTEPCVAQMTLEAIHCESAVFLGNSMPIRDADMYACNFNWKERTQDEVIFSSELTCHFIQVTANRGASGIDGLLSTAVGFAVGCNKRVLCVVGDVSFLHDTNGLSLLRKQMLRKPMTIVVINNRGGAIFSLLPLANMTARSILDQYFYTSHNVSIHNLCMAHGVKHLKVQSKMELQDALLASQIDKEDFVIEVDSTIDANAAFHSMLRKVSQQGVDHAFNSLSKLTVLNSMNDGFIPSKVGKMQYSSYRIQLSSPPTSSSESHRSTYHREGFIISLCLEDGNTGYGEVAPLEIHQENLLDVEEQLQFLIHVVEGATIDHSLPLLKGSFSRWLWQRLGIQPNSIFPSVRFGLEMAVLNAIAAREGSSLLNVLRVQTEESTDSSLDVKVCALLESNGGPSEMALVATTLVREGFTAIKLKVARQADPTVDIAIIKEVRKKIGWEIELRADANRSWNYDEAVKFGLSVKDSGLQYIEEPVNNEDDIIKFCEETGLPVALDETINSIRKNHLNVLVKYNHPMIVAFVIKPSVVGGFENAALLARWAHQHGKMAVISATFESSLGLSALIQFSRYVDLMKLDTGRMLNKEENSCVAHGLGTYQWLREDVSRRPLMIGYNPCNGVVEASVTDAAQILQHFQFNQDAVVPDCTSRELHAYEFVADLEGASICLNVQEIGKKDDSSVVVFLHGFLGTGGDWISVMKSISGSARCIAVDLPGHGRSKLLGQDFDLEEPGLSIMAFAKILQQLFDSLQCQKVVLVGYSMGARISLYMALRCNYKVAGAVIISGSPGLIDEEARKVRRAKDDFFACSFAASGLEPFLDAWYSGDLWNSLRAHPHFNEILASRLQHCDLKNLGRVLADLSVGRQPPLWEDLKSCRVPLQLIVGEKDVKFKNIAQQMCDTMCQSTETTNIPEIVEIPYSGHAAHIENPLTVISAISQFIREVEFNS >Solyc10g050890.2.1 pep chromosome:SL3.0:10:51044816:51047527:-1 gene:Solyc10g050890.2 transcript:Solyc10g050890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSFSVKFSATSLPNSNRFSKLHATPPQTVAVPSYGAAEIAAERLEPRVEQRDGYWVVKDKFRQGINPAEKAKIEKEPMKLFTENGIEDLAKISLEEIEKSKLTKEDIDIRLKWLGLFHRRKHHYGRFMMRLKLPNGVTTSDQTRYLGSVIRKYGKDGCGDVTTRQNWQIRGVVLPDVPEILKGLDEVGLTSLQSGMDNVRNPVGNPLAGIDLHEIVDTRPYTNLLSQYVTANFRGNVDVTNLPRKWNVCVIGSHDLYEHPHINDLAYMPATKDGRFGFNLLVGGFFSPKRCAEAIPLDAWVPADDVVPVCKAILEAYRDLGTRGNRQKTRMMWLIDELGVEGFRAEVVKRMPQKKLDRESSEDLVLKQWERREYLGVHPQKQEGYSFVGLHIPVGRVQADDMDELARLADEYGSGELRLTVEQNIIIPNIENSKIDALLNEPLLKNRFSPDPPILMRNLVACTGNQFCGQAIIETKARSMKITEEVQRLVSVTQPVRMHWTGCPNTCGQVQVADIGFMGCLTRKEGKTVEGADVFLGGRIGSDSHLGEVYKKSVPCEDLVPIIVDLLINNFGAVPREREETEE >Solyc06g083020.2.1 pep chromosome:SL3.0:6:48627399:48630347:-1 gene:Solyc06g083020.2 transcript:Solyc06g083020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKNIILEYSIVLTLLAFWFLVTQGAPQSALVTQIPGFNGTFQSKHYAGYVNLDESHGKNMFYYFIESEKNPSNDPVVLWLNGGPGCSSFDGFVYEHGPFNFELGKANGSLPILHNNPYSWSKVSNIIYLDSPVGVGLSYSKNKDDYNTGDLKTASETHSFLLKWFAIYPEFLKNPFYISGESYAGIYVPTLASEVVKGIDAKVEPVINFKGYMVGNGVTDEKIDGNALVPFQHGMGLISDKMFETVMGLNIYDILEPCYHSPLSTKNSKLPMSFHKLGETERPLPVRTRMFGRAWPLNAPVKEGLVPSWPELLDNHHVFCTDDTVATAWLNNEDVRKAIHAEPVRYNFAHLVPTLNMMIYFYQLVIDLWFNLVVQQATVTGPWELCKHLNFTHDAGSMLPYHKNLTTRGYRALIYSGDHDMCVPYTGSEKWTSSLGYAIVDEWRPWYTKDQIQGYVQVAGYTQGYANNLTFLTIKGAGHTVPEYKPRESLQFYDNWLQGKKI >Solyc11g030840.1.1.1 pep chromosome:SL3.0:11:23558388:23558618:-1 gene:Solyc11g030840.1 transcript:Solyc11g030840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLTSLLGTGSSHSFDAMPLWWHENCRLGAVFISSLACGRLVHPSTTHPRGSKIASPNGTDIDISFYKAWSTFLYL >Solyc12g042805.1.1.1 pep chromosome:SL3.0:12:59165288:59165296:-1 gene:Solyc12g042805.1 transcript:Solyc12g042805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EM >Solyc03g059420.3.1 pep chromosome:SL3.0:3:31891481:31916582:1 gene:Solyc03g059420.3 transcript:Solyc03g059420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSSGSSGVPHGISLSNTVHSEIAPSLPLPSLPVFCGALDHQLRLFDERSESRSLNRSDILIHANKIADLLHNTDVSYLNLRADASSQSHGFVGHLDLLNEVLTCNSEAFALINAAHCSSFSGPIKETARSRNANSNSLESVPAVQLPQQGTVEIHNYQHDHAISDLTASSRKPKVKKKGRESTLLSSGPDASECQDAVAAGFCEMLEDFCGRAEIFSDEREEREFLAVSVADLKVVLREITSIRAKKALNSIPVDNLLRFLRVLDHQIHRAEGLSINDSEHMDAEVVSSIFCALESIHAALAIMAYNGMPKQLYKEEIIERIVEFSRHQVMDVIFGSDPVYRALHKPPERGIPEGEEDGEVNGDFVSPNRKKRSTRSAKPRKSTSNKVSSAVGNILQKLDVILGFLKELCTIEHLPDSCIIQLIKTCFTTFVVENIQLLQMKSISLISGIFYAYTQHRASIMDEALLILLKLPSSKRMPRTYPLPDEEQRQIQFITALLIQIVHSSSNLPDVLRESSDSPSLEVSVDASYPTKSCESVTEACCLFWSRVLQRLTNTKNQEAAELKTMIENLVIDLLTTLNLPEYPASAPLLEVLCVLLLQNAGLKSKDISVRSMAIDLLGTIAARLKQDAVRCREEKFWIVKELRSGEMIDRNPPKDACSVCSDTRIEKSLVQCHGCQRLFHLNCTGIRGHDIPNRGFHCQMCISKKQLLVLKSLCESQSNDAGQNNRTNSGKMSQVAEAITNLEIVQQLLLNYLRDAATVDDLHLFTRWFYLCLWYKDDPNSEQKFMYYVARLKSQAIVRDSGSLSSLMTRESAKKITLALGQNSSFSRGFDKILQVLLASLRENSPIIRAKALRAVSIIVEADPEVLGDKLVQTAVEGRFCDSAISAREAALELVGRHIASYPDVGLKYFEKLAERIKDTGVSVRKRAIKIIRDMCTSNSNFLELTTACVEIISRVNDEESSVQDLVCKTFYEFWFEEPSGSQHHYFGDGSSVPLEVAKKTEQIVQMLRRMPSLQLLVTVIKRNLALDFFSQSAKAVGINPASLASVRRRCELMCKCLLEKILQVIEMNTGEGEVLMLPYMRLLHAFCVVDPTLCAPASDPSQFVITLQPYLKSQADNRVAAQLLESIIFVIDSVLPLLRKLPESVAEELEQDLKQMIVRHSFLTVVHACIKCLCSVSNVAGRGSTIVEHLIQLFFKRLDALGFSNKQHFQQVGRSLFCLGLLIRYSSSLLHASVSSNNLHVSSSLNLFKKYLQAEDFVIKVRSLQALGYVFIARPECMLEKDVGRILEATLSSNTDTRLKMQSLQNMYEYLLDAESQMGTNNASENEDANTAVGGPSVPVAAGAGDTNICGGIIQLYWSKILERCLDVNEQVRQSSLKIVEVVLRQGLVHPITCVPSLIALETDPQEVNSKLAHHLLMNMNEKYPSFFESRLGDGLQMSFMFIQAMNKGDSQSLKPQSKAPGIISGKSEPGSFTHARLGVSRIYKLIRGNRISRNKFMASVVRKFDTPSLGDLVGPFLIYCTEILASLPFTSPDEPLYLIYSINRIIQVRAGTVEANMKGFLQFLQAGYQKLNGSGGIQTESNQPIRCQTETMVASTKIEEVLEGDHVGVDYGSVEPYMPHLASLNPHGISNTDLQMIQVECLAAGALQLLLRLKRHLKILYDLNDARCQAYSPNDPLKPGESLSKQSLPFNVNEINIEHPKNYEDFVQRYQEFKNALKEDTVDYAIYTANIKRKRAAPRRSRKSGRMMGGCEDEEYEEDEDWGSGMKSSNSGRRSSSRLRQHL >Solyc08g068925.1.1 pep chromosome:SL3.0:8:58066068:58069159:-1 gene:Solyc08g068925.1 transcript:Solyc08g068925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITPFVRFQLLTLTVSHVCGTWRIVRPPKLIAKKNSERSTAPLAPSITTPELSFSEAYSQTPYMHRTYIAGQGMPIPDVDYLCVLAAQLRLLGGNTHPVVFLSVHGERPTAEYARLRKESLESEFGHALTYKSKSLSSLYRFGPFMALYRAAIISFYVLKLTVWRLFVHDLRRRAVKFRETLIDLGPFYIKASYTIC >Solyc02g092357.1.1 pep chromosome:SL3.0:2:54086653:54087040:1 gene:Solyc02g092357.1 transcript:Solyc02g092357.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRDFVQSSVWLFSGSQTVDLELVNAETFAFMLIDSQDHRGLQLHRNRQFVCNLSVDIEKHIVAAGIEVGV >Solyc11g056640.2.1 pep chromosome:SL3.0:11:47492750:47497249:-1 gene:Solyc11g056640.2 transcript:Solyc11g056640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSAEEDEAFIPASVFFSQPPPNLVSLSPFTSSVSPSPRRLSSCYSQPSQPIKAKRELAWVSLQGRLIGAEEASSSRKIGGGLNPKEAVAWELFSPIHRILIVAVVAIAAANSKKNKQICRLKKSVELRDQVLLGMQQKLDTLCEQVNYFKDQPETAADAYDYFLCEQHFNQSNNFFERDMIKGEEILKFEMPPAANQVEPEERRMSDLSDWATSVASSVDIQLNSSAIEQDFYNLQKECEEKDVTIRELSTFLQSSEAFGAKRIGELEDIIRRKNKIITKLKKDVLILEQKVINLTRLRRPSFSSKSSKGVKLPSLTDNILYDMDSSSSPSSSDSDSSPGRIAQPLFASEDISVYHGENTLRENQKQEQVESLPLLVKPTARHPKSRPVSPLKEKSLNQLQDSVSRLKPKQASSISAESRRRRPPVAKSKDVVAQKRWL >Solyc10g078650.2.1 pep chromosome:SL3.0:10:60519870:60523864:-1 gene:Solyc10g078650.2 transcript:Solyc10g078650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGITFSCPFANFEDLDSRVESYLVRTLSLGNDDMKNVLHTKNFNDQITRKSKILKSFGSGNMILEGTLTYKRREFEAKIALRTLISDKEDKKINRSDNPWNRAEVSPKLHSISDKDTRMLPVEYGKHRDQAAVKLQKTYKSFRTRRQLADCAVLVEHRWWKLLDSVELKHSSISYFDTKKPQTAVSRWSRALTRAAKVGKGLSKDGKARKLALQHWLEAIDPRHRYGHNLRFYYNKWLQADSKQPFFYWLDIGEGKEINLERCPRPKLQQDCIKYLGPIEREAYEIVIVGGKFLYKQSRRLLDTRGGPPEAKWIFVLSVSKILYVGMKKKGTFQHSSFLAGGAALSAGRLVAEDGIIKAVWPQSGHYLPTEENFEAFMLFLKQLQVDIAVVQKFTNYEDDAPSTRKECHTNIRKNLSVSDFSQINEDSSLKSSDTKRIGSTNKDCDDEEFSVPHFPRQPRGVRPKIAVEIPEKEGIFELFKETAQQVQPVETPADGYETPDEYLSDTEFSVSKKNLFDHENEEEFKEPVPEEKIIKRIHSHIGMKSNHLAHQLSSCKWTTGAGPRIGCMRDYPSELQFRVMEEVQLSPRPAFPASSKFARRYTPTIFSREANDQGKRPDFEVVVFCESDSPSVKREAVFG >Solyc04g076620.3.1 pep chromosome:SL3.0:4:61584426:61607196:-1 gene:Solyc04g076620.3 transcript:Solyc04g076620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTLRSSLPSRLRQLLSSEGAIGPSIKLDSEPPPKIKAFIDKVIQCPLQDIAIPLSGFRWEYGKGNFNHWRPLFLHFDTYFKTYLCNRKDLGLSDNILEDDSPFPKQAVLQILRVMQIILENCHNKGSFSGLEHFMLLLASTDPEILIATLETLAGWGSKEEGLGLYYCVTVNERSQDEGLSLFPSNVENDGDKSLYHLGSTLYFELHSANAQSNAEAEDGAVSTGMSVINIPDLHVRKEEDLSLMKFCIEQYNVPPAQRFALLTRIRYAHAFRSPKVCRLYSKICLLAFIVLVQASDSHDELASFFANEPEYTNELIRIVRSEETISGNVRTLAMNALGAQLAAYASSHERARILSGSSISFAGGNRMILLNVLQRAILSLSSSNDLSSVSFVEAVLQFYLLHVISSSSSGSVIRGSGMVPTFLPLVEDADPAHIHLVCLAVKTLQKLLDYSNAAVTLFKDLGGVELLANRLQIEVHRVIDVAGDADNSMVIGEHFKSSEEQIYSQKRLIRVLLKALGSATYAPANSARSQGSNDASLPATLCLLFSNVEKFGGDIYSSAVTVMSEIIHKDPTCFPALHELGLPIAFLSSVVSGILPSPKALTCVPNGLGAICLNPKGLESVKETSALRFLVDIFTNKKYVVAMNEGIVPLANAVEELLRHVSSLRGTGVDLIIEIVNSIASRGDGEHAESSGKSSETTEMDTDTDNSESVASSSLVESTYSSGETISDEQFIQLAVFHVMVLVHRTMENSETCRLFVEKSGIESLLKLLLRPSVAQSSEGMSIALHSTMVFKTFTQHHSAALARAFCSFLKDHLKKALSGFDVVSGAFMLDPKSTPDRTFSSLFLVEFLLFLAASKDNRWVTALLTEFGNGSKDVLEDIGRIHREILWQLALLEESKVDVEEGSAGATDEARQSELSTTDSEEQRLNSFRQFLDPLLRRRMSGWSFESQFFDLINLYRDLTRASSLQQRQTTDGPSTVRIEASHQSQQAGSLDDAGGSNRKEDKQRSYYHSCRDMVKSLSIHITHLFQEMGKVMLLPSRRRDDTLNVSAPSKSVASTFASIAIDHMNFGGHVTSGSEASVSTKCRYFGKVIEFIDGILLDKPDSCNAVILNCLYGRGVLQSVLTTFEATSQLLFAVNRAPTSPMETDETHTRQDGVEDADRSWIYGPLGSYGKLMDHLATSSLILSPFTKHLLTQPLVSGDIPFPRDEETFVKVLQSMVLKTVLPVWTHPQFTECNYDFIAAVLNIIRHIYSGVEVKNTNSTATRVSGPPPNETTISTIVEMGFSRNRAEEALRQVGSNSVELAMEWLFSHPEEVQEDDELARALAMSLGNSGSEAKEDVPKESSMTIEEEMVQPPPVDELLSTCHKLLQMKDSLAFPVRDLLVMICSQNDGEHRSAVVSFIVEQVKVSSNVSEDGNRSILFNLFHVLALILNEDTDAREIAAKTGLVSVSSDLLSQWISSTFDREKVPKWVTAAFVAIDRLAQVDQKVNADILEQLKGDDATQKSVSINEDKYNKLQSSLSPKYLDGQEQKQLVEIACGCMRNQLPSETMHAVLQLCATLTRTHSVAVNFLDAGGLQLLLSLPTSSLFIGFDNIAATIIRHILEDPQTLQQAMEAEIRHNVVSASNRQSSGRLTPRNFLLNLTSVIQRDPVIFMRAARSVCQVEMVGERPYVNADLKSGVGTVSHGVHGKSLDASSKNVKVHRKPPHSFVSVIELLLDPVVKFVPPLKDEPATKESLGSTDMEIDISANKGKGKAIASASEASEADNHELSAYMAKIVFILKLLTEILLMYTASVHILLRKDSEVSSCIAVPVRTGHLAGGIFHHILHKFLPYTKSSKKERKTDVDWRQKLSSRASQFLVASCVRSTEARKRIFTEINSVFSDFVEFGSGFRAPGIEIQAFVDLLSDVLTARAPTGSSISAEASATFIDVGLVQSLTRALNVLDLDHTDSSKVVTAVVKVLELVTKEHVHAAESNAGRGEQSTKTQDDNQSGTAIDALAVLANETLSQPNVNSVPTDHIEPFGATQNFGGSEAVTDDMEHDQDIDGGFGPSNEDDYMHESNEDTRNLENGLEIRFEIQPDVQEHLDEDDEEDDDDDDEMSGDEGDEVDEDEEGDEEHNDLEEDEAHHLQHPDTDQDDQEIDEDDFDEEVMDEEDEEDEDEEDGVILRLGDGMNGINVFDHIEVFGREHSLSSETLHVMPVEVFGSRRQGRTTSIYNLLGRGGDSIAPSQHPLLVEPSSLLQLGQPRQSESIRDAYSDRSSEGTSSRLDSVFRSLRSSRHGQRFNFWTNDNQQSGGSGASALPQGFEDLLVSHLRRPSPEKSADQDAIEGSQNRGEATQFAGSGEMAAESAMENNNNNEARDASTPSTVLDESGGANVTPVANVSSQGTDAPSSQSQPVEMQFEQNDVAIRDVEAVSQESSGSGATLGESLRSLDVEIGSADGHDDGGDRQGSADARTRRTNVSFGNSTQVSARDVALHSVSEASEHPNQEAEQGGPNDEQQRNVDADSGSIDPAFLEALPEELRAEVLSAQQGQATQPPNSEPQNGGDIDPEFLAALPSDIREEVLAQQRAQRLQQSQELEGQPVEMDTVSIIATFPSELREEVLLTSSDAILANLTPALVAEANMLRERFARRYNRTLFGMYPRNRRGDSRRNEQLDRAGGTLSRRSAGSKPLEADGSPLVDTEGLRALVRLLRVFQPIYKVPLQRLMLNLSAHAETRTALVKIFMDLLMLDVGQPATDLNTAEPPYRLYGCQSNVMYSRPQHLDGIPPLLSRRVLETLTYLAKNHSLVAKTLLEFRLPRPVLEGPIVPDQRRGKAVMVEADGPDRWQLEGQVSLALLLGLLNHPLYLRSVAHLEQLLNLLDVVVQNTESKSNAREEPGTSSTEQLPGPPVQSAAEMNTESHAASSEVEDKSGASSSITGRDQSTESILLSLPQLELRRLCSLLAREGLSDNAYSLVAEVLKKLVAIAPAICHLFITELAGSVQSLTRSAMDELNKFREVEKALLSTTSTDGAVILRVLQALSSLVASIGDKNNENQIISEKEHGVTISLVWDINTALEPLWQELSTCISTMESFSETAPNLPQSSIVTSSKPAGAMSSLPAGSQNILPYVESFFVMCEKLHPGHLGAGQEFSIATVPDPEEATASAMQPKTPTSATKVDEKHIAFVKFAEKHKKLLNAFVRQNPGLLEKSFSIMLKVPRFVDFDNKRSYFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRTTQELKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNEATFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGAKVTYHDIEAIDPDYFKNLKWLLENDISDILDLTFSIDADEEKLILYERNEVTDYELIPGGRNIRVTEENKQQYVDLVAEHRLTTAIRPQINAFLEGFSELIPRELISIFHDKELELLISGLPDIDLDDLRANTEYSGYSPGSPVIQWFWEVVQAFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSADHLPSAHTCFNQLDLPEYPSKEHLEERLLLAIHEANEGFGFGEQSKGILSLCCKFITPMLSILNTESRPFPFLCDVLIIAVHQFFLDILQTISDIAVSSAFELCYILDKNRYASIRAIESDKESSYEKCLQYWVLFGLTTVLELTLAKPLTGNILMVGSTNASQVMNGIAFFVIRAESSPKCDRTICPKKVQMDWSCPLCLVSVSSEKCLKQHIKGRKHKLKEDEQREHEMIMASKEAKLASKLEGNYLKDLLESLNQIKSLKFVELRGFNLPIRRPLRCCTWKKPKPGWTKLNTDGSIDRKRAGLGGLLRDYEGAAICACVSEVTCDDIFLVELLAIWRGLMLAVSIGIKMIWVESDSMGAVKAINKEQPHNQKAASCLQHIWKMLNKFQKYQVTHSWRETNRAADYLSKMEISGSDIVMWPREFHGPLCKIIAEDAQGSLYIRR >Solyc01g110647.1.1 pep chromosome:SL3.0:1:97206212:97206801:-1 gene:Solyc01g110647.1 transcript:Solyc01g110647.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIRIIKKTCTFGDVPKGHFAVYVGEKQKKRFMIPISFLRQPLFQDLLSQAEEEGIGASQELKSTLSSRPQYNKKNITLYCCRNI >Solyc06g066660.3.1 pep chromosome:SL3.0:6:42002495:42003235:-1 gene:Solyc06g066660.3 transcript:Solyc06g066660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAYPAARKRTYNWSVKAIRRKTTGTGRMRYLRNVPRRFKTNFREGTHIIVFLHFKIC >Solyc09g042573.1.1 pep chromosome:SL3.0:9:24477680:24478038:-1 gene:Solyc09g042573.1 transcript:Solyc09g042573.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDFRVLLSQLFENHGVLNIFLDKVMHDFLTYNEHATRKIVTTMDVVYALKRQGRTLYEFG >Solyc02g071920.3.1 pep chromosome:SL3.0:2:41844793:41851260:-1 gene:Solyc02g071920.3 transcript:Solyc02g071920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQQIGGGANGSISLNIRDADDNLNNKNCANSVSHQDSSSNSTCSFVTVPFIQKIIAETLGTYFLIFAGCGSVAVNADKGMVTFPGISIVWGLVVMVMVYSVGHISGAHFNPAVTIAFASNKRFPWKQVPAYVAAQVIGSTLASGTLRLIFNGKHDHFVGTSPTGSDVQSLVLEFIITFYLMFVISGVATDNRAIGELAGLAVGATVLLNVMFAGPISGASMNPARSLGPAIVSSHYKGLWVYMLGPIGGAIAGAWLVAELLGTYLSMFAGFAAMVINKKIMMVMIYTVGPVFGAHFNPAVTVAFASCTAMDKQAVSDHCLFRCKDPRTEFDLFYPSLCLLMQVGELNGHVIGAVITINSILAGPISGGSINPTRSLGPAILSNCYKKQWIYILGPTAGATTGIWFYNAMKSVKSYNEVTKFLPFLRRLAQNKV >Solyc04g054400.3.1 pep chromosome:SL3.0:4:52408946:52414795:1 gene:Solyc04g054400.3 transcript:Solyc04g054400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENREVVLITGCSNGGIGHELARAFAAKDCLVVATARSLKSMSDFQNDPRFFLQELDVLSEQNVTKVLTKVVDKFGRIDVLVNNAGIQCVGPLAELPLSAIQHTFNTNVFGPIRLIQSVVPHMASRKQGKIVNVGSCSALSPGPWSSAYSASKAAVHSFTDTLRLELRPFGIDVISVVPGAVTSHIGDTAIANYTQMPEWKLYKNFEESIRARAQFSQGPKSTPAEEFAKRTVNAVLKKKPPAWFSIGHLSTVAAIMYHLPIFIRDFIVRKVMKF >Solyc01g006000.3.1 pep chromosome:SL3.0:1:661940:669581:1 gene:Solyc01g006000.3 transcript:Solyc01g006000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GPI mannosyltransferase 2 [Source:UniProtKB/TrEMBL;Acc:K4ASG6] MAGKSTADIHHHHTRLVLKYAFISRLVLISLIVLWRSLLSPYDTSASINPSCLSNTTSIIGLFSGSNSYSKSDSPPVLLPRLASMIEDSIVWDSVYFVRIAQCGYEYEQNYAFLPLIPICISLLSRTVFAPLIPFIGQRAVLGLSGYVLNNLAFVLASFYLYRLSAIILKDSEVALRPTILFCLNPASIFYSTIYTESMYALCSIGGLYYLMRGSNNIATLWLALTGYARSNGVLNAGYICFQTMHTSYKTAFVRKSVGGTLVVLLSGALRSLFIISPFIAFQAYGYYNMCVGGSSDEMRPWCKARLPLLYSYIQSRYWGVGFLKYFQVKQIPNFVLASPILSLALCTIIHYVKLWPEVFVSLGFRESSPNKGSVASPMPLGRNAGSKSVGFQSENRSNAGQGDSLRWRKPALREENYAVQPSEDESSENPGFKPIILVPFILHLVVMVATAFFVMHVQVSTRFLSASPPLYWFGSYVMASPRLSKRWGYIIWTYCAAYILLGSLLFSNFYPFT >Solyc12g095840.2.1 pep chromosome:SL3.0:12:65934353:65941359:-1 gene:Solyc12g095840.2 transcript:Solyc12g095840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDRILERERYQMEQIRELESEELQVEEVDEESSDDETNYRSSGGASASGEFTYNTSLAALHSYLGDVEDTHNRLAFLDGGAVLNVPLFYLEGVVLFPEATLPLRVIQPNFIASVERALRQVDAPYIIGVIRVYKDPNNGRIKLATTGTTAEIRQYRHLEDGSVNVVTRGQQRFRLRRRWMDVEGSPWGDIQIVKEDLPLRTPREAVGRLTPLSIFQSNVHSQIPPMNRSRADLYGFGNENDSDAMSEESFESELSPTERRLHQAALVSCDMLDESASSDDENIDQQFRIQPARSPFDSFRRSFSTGKKQEADGMRLALGKRSMPTDNTWKKHSLNQFREAPRAFWPSWVYQMYDSYSLAQRAAGRWKQIVRAPSMDSYITKPDLLSFHIASKMPVSESTRQELLEIDGISYRLRREIELLESFDCVRCRSCETLIAKRSNMLVMSSEGPLGAYVNPHGYVHEIMTLFQANGLAVIGNPVKEYSWFPGYAWSIAECATCETQLGWLFTATKKKLKPRSFWGIRSSQVADDSR >Solyc05g050090.3.1 pep chromosome:SL3.0:5:60938037:60939787:1 gene:Solyc05g050090.3 transcript:Solyc05g050090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDKKYITAEELKKHDKPDDLWISIQGKCYNVTDWVKEHPGGDIPIRSLAGQEATDAFIAFHPGSAWKYLDKFFTGYHLKDYEVTDVSKDYRKLCSEFSKAGMFDKKGHGVIYSFCFVALLMSLSFCGVLLSKNFLVHMVSAALLGLAWMQISYLGHDSGHYMIMTNRGFNKLAQILTGNCLTGISIAWWKWTHNAHHVACNSLDHDPDLQHLPVFAVSTKFFKSLRSSFYGRELTFDSLAKFFVSYQHFTYYPIMCVARVNLFVQTFLLLFSTRKVPDRALNILGILVFWTWFPLLISTLPNWTERFLFVLLSFCVTSLQHIQFTLNHFAADVYVGQPEGNDWFEKQTSGTIDIACSSWMDWFYGGLQFQLEHHLFPRLPRCHLRKVSPIVQDLCKKHKLPYRSLSFYEANVWTLRTLKTAAIQARGLLWEAVNTHG >Solyc10g005230.3.1 pep chromosome:SL3.0:10:183138:187515:1 gene:Solyc10g005230.3 transcript:Solyc10g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGALLGLGTQMYSNALRKLPYMRHPWEHLLGMGLGVVAANQMVKWEAKSNEDLDKLLEKSRQANERRYFDEDED >Solyc01g108900.3.1 pep chromosome:SL3.0:1:95942219:95950109:-1 gene:Solyc01g108900.3 transcript:Solyc01g108900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALGCFLFFLVSFCSFSPSYFALSDIEAASIARRQLLSNNGQLSNTYESEMTINMKFENARLKKAYVALQAWKKSIYSDPTNFTANWEGSNVCAYNGVFCDNALDDPNISVVAGIDLNHADIAGHLPVELGLLADVSLIHINSNRFCGIIPKSITNLTLLDEIDFSNNRFVGPFPDVVLELPKLNYLDLRFNDFEGQVPSALFEKNLDAILINNNRFHSTIPESLGNSNASVVVLANNKFYGCIPSSIGKMGNSLDELVFTNNELSGCLPEEITKLTSLTLLDISGNKFVGSLPQDLKSMQKVEIFDIASNKFMGNVPKNLCTLPSLKNFTFSKNYFESMDETCRPSESKQVKIDGNENCLGGRSEQRTEKECFPVVSKPVDCSKGHCGVSREGQSPKDPPKTVTPSKPSTPTTPKPNPSPPPPKTLPPPPPKTSPPPPVHSPPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPVHSPPPPVASPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPVHSPPPPVHSPPPPVASPPPPVHSPPPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPVASPPPPVHSPPPPVHSPPPPVHSPPPPVASPPPALVFSPPPPVHSPPPPAPVMSPPPPTFEDVALPPTLGSLYASPPPPIFQAVRCNSNTTSLQKHMVDDTTKPPTEAIVEKQEEAQPPPVPEVAGGGGGWGGWGFSAFSYISDIQKAATTAAEEISRNAVEAAKSAAKSLADVQNSLEDTESLKEDEREVLVEEESEDENDKKRKAALEKLEKASEDSLLGQASATKLVGLRVIDHSVENFASGAWQALGNAFKGSSDLVHKLENSAASIAESVQHGGLPATTGSVAPSILETGKAFTAKGMHVLELLGKETMDLLISETGIRVDSGSKEGGGETDEDQFYEEVTFDRCFYIYGGPEQLEELEALSNHYALLFNRRKAKIPSDQRSSYDGKFKEVQEIFDLSSEAYESGKESGKGKEVETGIADSTDEVKNLHDSSVDKAAELAAGFTNVLVGLAPNDMVQRTARRLDTLHSEGVHRLSELCCFAATQLVMLGKSIISTANKVGDQDANVEILKMEWPEDSIERAKVIRSKACSMTRCVETVSSSFITGISEVSEAYLAAVKSVSADSHEVPQKSIQEKANAYAENLRAAHSTAMGKIQDGLQYLPYVVISTSMPAA >Solyc06g016770.3.1 pep chromosome:SL3.0:6:13532340:13537270:1 gene:Solyc06g016770.3 transcript:Solyc06g016770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKCEAKDKSMAEVYDEYVRSSVCERVAIQIPQGESSSGITEESEHAKQWKRPNLFVEIPSKSSDAANQESVQVKMLSTPTPTPKRVNFLLTPSPSNSRVNAFPSPSSCRGKSSIKNLLPRLSFKLRNMNSDTEKATLPDSDTSAMVPQEKVSIPRSWSFTKLFTPRVKRTSSLPVTPIDHSNPESASGSMSRALTFGPKETQLRISRSLSLPVINKDRRTRRVESFFRVIPSTPQGKDGDSTVPAKVSEDEEPNGEDIPEEEAVCRICLVELCEGGETLKMECSCKGELALAHQECAFKWFSVKGNRTCDVCKQEVRNLPVTLRRLQSANVGSNSFQHLEINRFRVSQELPILVIVSMLAYFCFLEQLLVGSMGTGAIAISVPFSCVLGLLSSLASSTMVKRRFVWVYASIQFILVVFFAHMFYSLVHVQVVLSILLSTFAGLGVAMCGCSILIEFFRWKRRRQASLDQHQNSQLNQTEIPSHISTRQDYQVDLENPGTVSSQ >Solyc06g005730.2.1 pep chromosome:SL3.0:6:775051:775989:-1 gene:Solyc06g005730.2 transcript:Solyc06g005730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELGVRRHAIEIIDLDQQTKMVVELDGHVMCCVRDQNGYHVIQKCIECIPQDAIQFIVFTCYDQVVTLSTHPYGCRVIHVQ >Solyc02g071880.3.1 pep chromosome:SL3.0:2:41810868:41824571:-1 gene:Solyc02g071880.3 transcript:Solyc02g071880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYPTIAWILRLLCCFLFLIFTESRVPQEEVNVLQQIAKTMGATYLSFDADLCRVEGIGVTIKLAWHDNIVGCDHEDHITKIVLKGLNLPGVLPPELVKLPYIKEIDFAYNYLSGRIPVEWAATQLTNISVTVNRLSGEIPKELGNISSLLYLNLEANQFSGSIPSELGKLIYLQTLFLSSNQLVGKLPTSFSKLVNLTDFRINDNNFSGQIPDFIQNWKQLTKLEMHATGLQGPIPTNISLLNKLTDLRISDIGGPAQPFPSLSDIMGFHTLVLRNCSLSGELPVYIWAMKGLQTLDVTFNKLVGEIPNNISARSMLKFVFLTGNMLSGDIPDSILKSGINVDLSYNNFTWQGPDQQACQQNMNLYLNLYKSSAVVSPLMRIHPCMKDFTCRRYGCSLHVNSGGNDFTVKESDGEVHYAGDASVDGGSARYFSSSTNYWGLSSTGDFMDDNNDQNARFIESTPSKSLSELYNNARMSPLSLTYFRYCLSNGSYNVSLHFAEISFTNDSTYTSLGRRVFDIYIQEKLVWKDFNIVNEAGGVQMPVVKHFNTSVTDNILEIRFYWAGKGTIRIPSRGHYGPLISAISLKPTFGSCSEEDKKSATVFVIVGVVTTCIFLLLISTLWWKGYLQCKKKQRTDLEGMELQTISFTLKQIKAATNNFDASNKIGEGGFGAVFKGRLSDGTLVAVKQLSRQSRQGNREFLNEIGMISCLQHPNLVKLHGCCIEGTELLLVYEYLENNSLARALFHSEKSQLMLDWPTRFKICVGIAKGLAFLHEESSLKIVHRDIKATNVLLDRELNPKISDFGLAKLTEDDNTHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGIVLLEIVSGKNNYGYVPSENFICLLDWACHLLQNGKIEELIDDKLGSQFSKAEAELIIKVALLCTCATPSLRPVMSEAVGMLEGKRDAPDSIPEANMYTDDLRFQALKDFQQERQNQSASSNQAQRSSIQTASDLCEYNPESRSDD >Solyc03g078015.1.1 pep chromosome:SL3.0:3:50361668:50372382:1 gene:Solyc03g078015.1 transcript:Solyc03g078015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHFVSLGFTKLLPRSQLSLANLGVLPFDNRKHGMYLWYFYNLGGGSDHEPAHGGFVGEAMLTATIYGHIEISNFNSPRKMKLTNKGLMDFLHAGLPATKLTDYSESALFSKIDEIIFSSEEHCRFAKVGNLVWLIVFLVWGLSKFDDTFGMVGLLLDPILLEIGFLDGIGNLISVFVGGNLSFSAATGSGMRRRFPIFSIGRLYCTGNAEAAPQLSIDLIKIMDQRLSAIENRNAHLERFLDQPELTPSEYSTANKELRKLRDSVHLISELRAKQQVTQPHLSK >Solyc01g100520.3.1 pep chromosome:SL3.0:1:90404380:90409032:-1 gene:Solyc01g100520.3 transcript:Solyc01g100520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:ATP-dependent Clp protease proteolytic subunit [Source:UniProtKB/TrEMBL;Acc:Q93YH0] MAHSCIATTSSLSKYNSAIFPSDYCNISPISLQFKRLSLRKVKAVGKVKSRGNSTVKAVYSGGDWDLAKASRSSGIWSIRDDVQIPSSPYFPTYAAQGQGPPPMVQERFQSVISQLFQYRIIRCGGAVDDDMANVIVAQLLYLDAVDPTKDIVMYVNSPGGSVTAGMAVFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQSDIEIQANEMLHHKANLNGYLAYQTGQSLDRINQDTDRDFFMSAKEAKEYGLIDGVIMNPMKALQPLAAAAEQS >Solyc10g084540.2.1 pep chromosome:SL3.0:10:64141935:64151653:1 gene:Solyc10g084540.2 transcript:Solyc10g084540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVVGKVSSFITQGVYSVATPFHPFGGAVDVIVVKQNDETFRSTPWHVRFGKFQGVLKGAEKVVRIEVNDVEADFHMYLDNSGEAYFIREVPADNQNEVNGDSRDSAKKEEVDTSDLDNVNNNDGVKEDNDSNKAELSSKDEGVTLGIERLDEGGSDGDRRSSEFLEEQSSLEDSAVAELSSSRCENADHVEEVLESQDSSSEVVMVSVDGHILKAPILSSEMNVEDVKLDTPRFHLGPGQGTDFSDSNSEFISGEATWADEYLSYQASPKVSPADACNVKKESSKVEHQTDVSEVDGRYSVNLDIKNQENGKVEITSCIIKKNAVANSCLELQASGTHVENEVNQPDVVSPAKIQEVVDDLENKPPGKLGDCSSLNPAKTLPQGESVMDSNGSDGSIDHQVVSDKHPEKQNNVSLATEAIQSGQKGPDECTQCVDVKHLIEAFLKVYQPMEQTAIAQGGKGSPPLQLENGYQLLFLSARAIVQAYLTKNFLFNLKQDIKALFPQDYNPFYAGFGNRDTDEFSYRKIGIPKGKIFIINPKEDYNIWNYWKMPISDIDYL >Solyc03g123920.3.1 pep chromosome:SL3.0:3:72117670:72123694:-1 gene:Solyc03g123920.3 transcript:Solyc03g123920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRFPSHQLSNGLYVSGRPEHPKERTPTITSAPMPYTGGDIKKSGELGKMFDIPVDGSKSRKSAPITTAPSRTSHSGPINSNTPSARTSYSTSGPISSSGMPASLSTKKSNSGPLTKHGEPIKKSSGPQSGGVTPTGRQNSGPQPPLLPATGLITSGPISSGPLNSSGAPRKISGPLDSAKIHAPSLVNSQAVTTLNQNDVYSFKRSFPKPILWAMVLLFLMGFIAGGFILAAVHNAILVIVVVVLFGIVAALFLWNSCWGGSAIVRFITRYPDSELRTAKNGEYVKVSGVVTCGNVPLESSFQKVPRCVYSSTSLYEYRGWDSKAANPTHRRFTWGVRSSERHVVDFYISDFQSGLRALVKTGYGAKVTPYVDESVVVDVDPLNSELSPEFIRWLRERSLSSDDRIMRLKEGYIKEGSTVSVIGVVQRNENVLMIVPPPEAFTTGCQWLKCIFPASLEGIVLRCEDASKVDAIPV >Solyc09g015420.3.1 pep chromosome:SL3.0:9:8733184:8735097:1 gene:Solyc09g015420.3 transcript:Solyc09g015420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMNKAGSYLIGQKAGKEFNSVTNDLNNLQNSITGGTKKLVNKMKGKTQRPLPELLKEYEIPTGIFPREATNYEFNEETKKLTVHLPEICEITYRDASIVRFNNTVSVHIEKGKLDEIEGMKTKVMFLFITVSVILFEQTEIHFTAGLRRTRPRNAYEAIRDGIGIDKF >Solyc06g071270.3.1 pep chromosome:SL3.0:6:43989026:43993041:1 gene:Solyc06g071270.3 transcript:Solyc06g071270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDSIVNVAIPVASSNSRDFGKKKRANRTAKLKQSKRDARREQWLSQGKNKVSKQELNGGGGVCEQGMQVTNEKGQLMKKFAIKPRGEAEESGGYMNTYSDFDSPSNSPTSHTSSVLEGNYSGTNFTGGSGSSSSSSSGGFSSSGGCCSVSMSEEDNEGDDDCLDDWEAVADALAATDKEQEQHNSSLDSAPQVHMSFQQEVSDGKVPALDTSQQNPKGRGTIPVFPVSFQAWRPDDEFRPQSLPNLSKQYTFPMNSGRHCRGGSVWGCKSAAIPTSCPICCEDLDFTDTSFLPCPCGFRLCLFCHKKILEEDGRCPACRKQYKHDTVGGETTKDAGGLMFKVARSCSMISRS >Solyc02g088270.3.1 pep chromosome:SL3.0:2:51050113:51054343:1 gene:Solyc02g088270.3 transcript:Solyc02g088270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVGFVAVAVPSVCATLQQGKIRSRGFQLFKRNIRVLTPFHEYETKYYNPIKAHQQSYDSQITILADMPLFESPHASFDRYMEDKPRVLKAISPDNRGTQRINEEEWRIRMEPIGFLFLTAWPVVNMRLRCKTNGKEYPPGVPNHTSMVLEFKITKWDLEGVTEGKNKPSEFRLSMEGVLYPDRRISRIKGRLHMSISFAPPPMLALVPPHVHKDVTQAVMKNMAESMQHKVRNNLLADYAKFKKENPQP >Solyc08g006700.3.1 pep chromosome:SL3.0:8:1258502:1265098:-1 gene:Solyc08g006700.3 transcript:Solyc08g006700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRHTIVLMQTSQNRATRTFMDYESISQAMDGICALYERKLKELNPAIREITYDISDLYNFIDGLADMSALVYDHSIQAYLPYDRQWIKQKTLQHLKKLASQR >Solyc12g088220.2.1 pep chromosome:SL3.0:12:64644048:64650337:1 gene:Solyc12g088220.2 transcript:Solyc12g088220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Branched-chain-amino-acid aminotransferase [Source:UniProtKB/TrEMBL;Acc:K4DGP3] MIIQRASSFQRALFTSFEKKVGPRYFTAPALTSAQPSTYRDYESRDIFDWDNLGFKLIQTDYMFMTKSSQNGNFEKGKLNPYGNIELSPSAGVLNYGQGLIEGTKAYRVDDGRIFLFRPQESGIRMQIGAKRMCMPSPSIQQFVDAVKLTTIANKRWIPPAGKGSLYIRPLLIGNGPILGIAPAPEYTFIVYACPVGNYLRNGTQPLTLYVEEEHHRASQGGAGGVKSITNYAPVIKAIQEAKDRGYSDVLYLDSVNKKYIEEVSAANIFLVKGKNISTPIASGTILEGVTRKSIIDIAHDLGYKVEERLIEADELFSADEVFCTGTALGVAPVGSITYKNKRINYKVSSDLISEQLNSRLVAIQKGIIEDKRGWIIEIK >Solyc04g079845.1.1.1 pep chromosome:SL3.0:4:64250261:64250605:1 gene:Solyc04g079845.1 transcript:Solyc04g079845.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWSICPSSMIGYRTLSQDVEEGICQVLAHMWLETQIASISSSNGGASTSSGMSSSKQGIRSPFERKLGDFFKHQIESDTSPIYGNGFRAGNQAVLKYGLERTLDHIRMTGTFPY >Solyc04g049965.1.1 pep chromosome:SL3.0:4:44895171:44899965:-1 gene:Solyc04g049965.1 transcript:Solyc04g049965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQQCNTQDFRQKSLTFTMYGGGRTSGVPSNLKNMYPCSSLALSICWVRSSIYQYLRLEIILLTVHPGVESTFMTSKVVSDNSRRLKTEISPGSLDNKHGVGSSLPFGAEKHAKSK >Solyc02g094640.3.1 pep chromosome:SL3.0:2:55866386:55881323:1 gene:Solyc02g094640.3 transcript:Solyc02g094640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGRMTHHSNNTTIINSTSCIYTSKSLFPISPTAKSPLSRPSISFKRPSFTFLTRLPSEFGGSPLVRMEDPFTASLSSVSPRKNLTTCNHLRHVESMAHLPSGADRITRLNALILGDALASEEDDLIFPSEVFSSQAHVSSSQKYLEMYQRSVHDPSGFWSDIASEFYWREKWGQQVYCENFDVRKGKIKIEWFKGGMTNICYNCLDRNIESGKGDKTAIYWEGNEPGLDSSMTYNQLLARVCQLANYLKDVGVHKGDAVVIYLPMLMELPIAMLACARIGAIHSVVFAGFSAESLAQRIIDCRPKVVITCNAVRRGSKIIYLKEIVDSALLESAQKGIVTDVCLTYENESAMKKEMTKWTKGRDIWWQDVILKYPVTCDVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYTATTFKYAFDYKPTDIYWCTADCGWITGHSYVTYGPLLNGATVVVFEGAPNYPDVGRCWDIVDKYKVSIFYTAPTLSWSSGSANNKHSLALYKSLSPIEHVSRYSRKSLRVLGSVGEPINPSAWRWFFNVIGDARCPISDTWWQTETGGFMITPLPGAWPQKPGSATLPFFGVQLIEPFTEDDVKQVMFKIDNTKSPGPDGYGSGFFKEAWGIVGGDITTAVLEFFQTGHLLKQPVIVDEKGVEIEGECSGYLCVKSSWPGAFRTLHGDHERYETTYFSTFPGYYFSGDGCSRDKDGYYWLTGRVDDVINVSGHRIGTAEVESALVSHPQCAEAAVVGVEHEVKGQGIYAFVTIVEGVPYSDDLRKSLVMVVRNQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASRQLDELGDTSTLADPTVVDQLIALADC >Solyc01g067402.1.1 pep chromosome:SL3.0:1:75707669:75710959:1 gene:Solyc01g067402.1 transcript:Solyc01g067402.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLINRRQGFRGKKLLDIACEHCGYKNHLSKDCYRLIGYPADFKSKRKQGLHPSPGGNNNGYRSNNLTQNSAQNSNTQNAGTSFRPYANYAANERQSDFQLTEEEYNHVQNLRINSTLSAARDEGEYKANLAGNVSITSTPASKFSAHTWIIDSGATHHVAFSKDVLADDGSYNQRSSTVQLPTGNKAHITGTGNSIVDITFEEKCFPFKSDKVAETSIPSAPDSYNCNEDHPLTIQAIPADYDPSNVSSPSEVENNIIMPDSEDIFLGDEECTNADISPPIPPIVVTSNNPIARPNRSVKPPIWHKDYLMSTTSKQAHNTCLYPISDYVDYSNLNGSYKVFLTSLSNVDEPHTFKEASTNDLLLTGNSHQLIQELKDSLHSRFKMKNLGDLKYFLGIEILRSKTGILLNQRKYALELISDTGLSGAKPANTPLEANIKLTTVEHDELLGVTDDSVLKDVTSYQRLVGRLLYLTITRPDISFAVQVLSQFMQQPKVSHWEEALRLVRYIKRSPGQGILLSSKRCLQLEAFCDADWVACSKTRRSVTGYMVKLGDSLIS >Solyc11g011504.1.1 pep chromosome:SL3.0:11:4563157:4566079:-1 gene:Solyc11g011504.1 transcript:Solyc11g011504.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLSLGEWGYAVLVDWGGVVEMCLSSDYYWCWTMPPEISDLPENVINAILMPLPLRDAVRTSILSKKWRYKWCKLPQLTLDDELWKTTDSLLSPSIKFTTIMYNILTLHEVPITHFTLSMSKLKKYPKICSLLHFLSRKGIQHLVLQFSEWNRLKLPSSFFTCLQLSHLTLQNCQICPPPAFEGFNMLISVELCHVSVSSRSLESLISSSPLLEKLVLKFFDSMNHFEITAPKLRSFDFLGCIKYVSLKNSPHLAKLSLFYGESFEESEKCDLDNFFQPLLALENLHLEYGSFQFLTASLPRRLSSALNNLKRLYVSLDELADLSCALCLIRSSPCLQHLEVQVWGRTPSLRRFVSLQNASNASSNASFRPYKWR >Solyc06g068790.3.1 pep chromosome:SL3.0:6:42746508:42751748:-1 gene:Solyc06g068790.3 transcript:Solyc06g068790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPATKNYSNRTTLFVYTLHEHLVLCNLVWYLTHQNGPFWYKTLSPLKISLCLDHELEETFSRHSRLFPANKGEKCCKAAENRIIPWKKDLVFQQEPSLVQFYSSLDLLEIASDMRTNKTKRKANLAKKKVSWPEDKPINAWHKNGHRF >Solyc01g057030.1.1.1 pep chromosome:SL3.0:1:57950005:57950214:-1 gene:Solyc01g057030.1 transcript:Solyc01g057030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGGAGQNGLHIRGQEAAFAEVKQPSGCVCEGRAAFRKARIRRIPYIDRWCPVPSWSRLQGPHRVCWGP >Solyc05g009100.3.1 pep chromosome:SL3.0:5:3238513:3244070:1 gene:Solyc05g009100.3 transcript:Solyc05g009100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLHYTFLSFFFLFTLLESTPNPDIAPLLAFKSASDETNSLYNWNSSTDPCSWTGVSCLNNRVSRLVLEGLNLKGSFQNLVFLKELRVLSLKYNNFSGSVPNLSNLTALKLLFLSHNELSGEFSESLTSLFKLYRLDLSYNKFSGEIPAKVNHLTHLLTLRLEGNGFSGEISGVNLPNLQEFNVSGNKLVGEIPLSLSRFPVSAFSKNRVLCGSPLPNCTAEVPREPSPSTGAIASPVSPKTTVASSPSSLPVTSATLSPKNTHHSSGKMSSLAIIAIILGDVLVLCVVCIFLYCFFCIRKVSSQKNGSHILEGEKIVYSSSPYPNTGQTSGFERGKMVFFEGAKRFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKELNVCGKREFEQQMEVLGRLRHPNLVSLKAYYFARDEKLLVYEFMTNGNLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFIHNSCKSLKLTHGNIKSTNILIDKSGNARVSDFGLAIFATPSSVPKTNGYRAPEVALDGRKITQKSDIYSFGVLLLELLTGKCPSVVDNGSGLATSYGGVLDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIAMACTSTSPDQRPKINYVVKMIEELRGVEVSPSHDTATDSVSDSPAVSEDNTCGAASQ >Solyc01g081115.1.1.1 pep chromosome:SL3.0:1:80301467:80304162:-1 gene:Solyc01g081115.1 transcript:Solyc01g081115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGLIWATADDLAKNRGRVLSLYRQILRSLNSPALPFSLAVRLQKKAEVRAMFMLGSEEQSLHNIQDLIDAAEYSLSVLRKGEIP >Solyc11g042925.1.1 pep chromosome:SL3.0:11:34267393:34273064:-1 gene:Solyc11g042925.1 transcript:Solyc11g042925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCYWILLFLYFGKLCFDVSYKNVATGTSDASVGTSNVATETSVATAGNAATLDFTYVDAVIGSQSSPRVVPRAGLSSGCTPSASCTPCAGPRAGPKEIPRAGPRAGQSAGPSARCTPSAGSSPIRNQDQNRQRQLVMVSYLDQVAEKLRGLGNIDRVLHSATLTSSTPTNINLDYKSNGPRWKGRVTIIQRRL >Solyc08g005460.3.1 pep chromosome:SL3.0:8:336741:347528:1 gene:Solyc08g005460.3 transcript:Solyc08g005460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTCITCKQKIDDDGGEEGPRGTPNTKESVKSLTAQIKDIALKVSGKSSKSSTPTSGYRRGGGRAYPDFETISEEVPYQPGSSSSTPAWDFTRNHRTPRADPRFFGGYGGGGGGDDEKRESVYSQTGDMVLPDEEGPKEWIAQVEPGVQITFVSLPTGGNDLKRIRFSRDMFDKWQAQRWWGENFDRIMELYNVQKFNQQAMDTPGRSELGRDSNYSRLGSPRESKEWTQRNYRPSSSSQYNYGGPSSYASGFPKGEMSSMDPSRMTTDSRDDASVSMSIAGDGESEWIAEDEPGVYITIRQLADGTRELRRVRFSREKFGEVNAKQWWEQNRDRIQDQYL >Solyc09g014470.2.1 pep chromosome:SL3.0:9:6071129:6075349:-1 gene:Solyc09g014470.2 transcript:Solyc09g014470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWNCFGVVQSYKNNRKTQVQEFTTNNVRLFSYNSLRSATGHFHPSNKIGGGGFGVVYKGVLRDGTCIAIKCLSAESKQGTKEFLTEINMISNTQHPNLVQLIGCCVESGNRMLIYEYLKNNSLASALLGSNGKRVALNWPQRVAICLGTASGLAFLHEEASPTIVHRDIKASNILIDENLHPKIGDFGLAKLFPENVTHLSTRVAGTIGYLAPEYVLFGQLTKKADVYSFGVVLEIISGRRSSKSAFGVDLLVLVEWVGLYFQIYDIEDSLVISILKVWKLREEGRVLEIIDPELTEYPETELLRFIKVALFCIQSAPNQRPNMKQVIEMLSKEVNLNEKLLTEPGVYRPHSGSLQKSSSAGKNGVQSVNPFVTSTKFESFQNVTQMLPR >Solyc08g029347.1.1 pep chromosome:SL3.0:8:37179617:37179959:-1 gene:Solyc08g029347.1 transcript:Solyc08g029347.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRLSKVCWFTKLDLRADSDEPKTTCVTRYGSYKFLVMPFGQTNALGTFCNLMNNVLFDYLDDFAFVYLDDIVIYSRTLEEHVNNLSLVLSQLRKYTLYVKMEKCEFAQ >Solyc06g074640.2.1 pep chromosome:SL3.0:6:46324055:46331104:1 gene:Solyc06g074640.2 transcript:Solyc06g074640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRASVDRSVRRGRKRRQNYVQNDQVDPNGKKRAVVLRPKAFLGTYVRKKFKGCRKYMGKIISYDTGLYKIVYENGDLEDMDSSEVRVVSLEDDELNGQWLKRKKKLDEVVAKMEATSTKSCVENPAEPINGVIDKFEPKDRGIGCSDKLEVNHQGRDSDLVNDLSEYYMEQDLSSGVEVPLIPAPQLPPSSNSIGIPEEYVSCLLSVYSFLRSFSIRLFLYPFGLEDFVGALNCSAPNTLFDSVHVALMCALRRQLNKLSSDDSLLASKCLRGIDWGLLDTITWPVYLVHYLTVMGYIEQPGWKGFYPHTLQREYYLLSAGRKIMVLQILCDDVLESEEIRTEINMREESKLAIESDLLTDVACVSAPRIVHPRYAKTSACKGQEATELYKENHERKQGCSTDNLGPKVSNQDYVSGGVQNSNSDECCLCAMEGTLLCCDGCPSSYHARCIGVSKTHIPEGEWYCPECTINKIEPKITRGTTLKEAELFGVDSYGQIFMGTCSHLLVLKTLAGADSIFRYYNVKDIPRVLLALNANAQHSTLYQEICKGIMQYWKIPGNVFFPDGELSLIGSDRPNGTKFTCFSSLSLSSLIKGSAEQVGVEYIAPGGCFYMGSSFRPQQYINSYLHGDFAASAAANLAELSLGENQGSESHASDNRQKHSANALLQAKAFSSATTRFFWPSTEKKVVEVPRERCSWCLNCKAAVTSKKGCLLNAAASNAIKGAVKILAGLRSASVGEGNLRGIASYIILMEEGLCGLTVGPFLSTAFRKEWRKQAEEATSCTLIKSLLLQFEENIRSVAFSGDWFKLVDCGASESSVTHSTAGVVESSHKHKSGRRGRKPLPMVKVTADDCKNKPKDFTWWRGGILSKLLFQKAALPRSMLKKAARHGGLRNISGICDAEGSKTAKISRQLAWRAAVDVCKTISKLALQVRYLDVHVRWSDLVSPEQSLLDGKGPESEASSFRNASICHKRIVENEVRYSVAFVNQKHLPSRVKKSIIEVEQSQEKGKEKYWFSESRIPLYIIKDYEENLEKDLRSANKFADALPKLQRRCLVASCKDIFSYLAQKRDGNAKYCCASCEVVVSLRDVVKCNTCQGLCHKQCTFSSTIIGNEETEFLTCKQCYQNRALTQAESNHESPTSPLLTQGKDIPIPMSARKGGKVGSSSNPSASTATLKHSSKAKLASSNLATRWKHHWGIIWRKNNEDTGDFRSKHILLRGNPDCDSIRPSCRLCCKPYDPYLMYVRCETCTCWYHAEAVELEESKIFEVVGFKCCKCRRIKMPICPYLDPRSKRQAVERRMCARALKMDRQGIDSGFISELQKDENMATPALEDSKPLVSAVEELTEHFQVGDCERNVETISVQGQREQSVRSHIENETDLKSSELSTPHGGNIVFPKDEMPTHVEPGAKQLVRRHVRLEKDSDTPFASDPVNVLRNTSRESSEEYGHECKYMEFEPQTCFSFNDLLASDDLGLLDDGVDSSATINKDVEISSGFLPNKNADTSYVKHEPAVSTIPTASFTAPCKLCSRKEPSPDLCCETCEIWIHRHCSPWNDEDSGQDDWKCGNCREWR >Solyc03g033835.1.1 pep chromosome:SL3.0:3:5467398:5472502:1 gene:Solyc03g033835.1 transcript:Solyc03g033835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSAESKMKLAKIVLSASRFYYCDNHLTMTIDEFNGLVNNEIHEAAEIYLSNKLSPNIHRLKTSKPEKEKNINIVMERNEEDQNLFSFPWPCSDYKVATRFASLMMYGKFTDFKSLDVRGQLVAQIDCNSIINFVVNEAIKFVNHHRSDLQEGEGKQGAIAIEKNVKFIGESCEEKNKME >Solyc08g007420.3.1 pep chromosome:SL3.0:8:1979856:1982985:1 gene:Solyc08g007420.3 transcript:Solyc08g007420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:L-lactate dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4CIM9] MSSSSSLSLDGLELNQVFFKSIANSNPPSQTNHHTKISVIGVGNVGMAIAQTILTQDLVDELALVDANSDKLRGEMLDLQHAAAFLPRTKIVASADYTVTAGSDLCIVTAGARQNPGESRLNLLQRNLAMYKSIVPELVKYSPECILLIVSNPVDVLTYVAWKLSGFPVNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGIPVLSFLENQQIAFEKDTLEKIHKQVVQSAYEVINLKGYTSWAIGYSVANLAFSIIRDQRRIHPVSILAKGFYGIDGGDVFLSLPAQLGRNGVLGVTNVHLTDEEIQQLRNSAETILEVQNQLGI >Solyc03g078243.1.1 pep chromosome:SL3.0:3:51663156:51666398:1 gene:Solyc03g078243.1 transcript:Solyc03g078243.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRYSKKELCINKKLVLKIGDDTNLTVDTLNILYHLERYHVDVEVASDNEHVPEDSDCEVDIPSDVEHDEERLRLFEKQINSTVSDNLEHYKNLEKGMSFATVEKARKTMNYYAIASKRGLKIEKTYPNRARIKKGLLDLV >Solyc08g080170.3.1 pep chromosome:SL3.0:8:63611607:63615641:-1 gene:Solyc08g080170.3 transcript:Solyc08g080170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A synthase [Source:UniProtKB/TrEMBL;Acc:K4CP17] MASQPKNVGILAMEIYFPPTCLQQEVLEAHDGASKGKYTIGLGQDCMGFCTEVEDVISMSLTAVNSLLEKYSVDPKQIGRLEVGSETVIDKSKSIKTFLMEIFEKHGNTDIEGVDSTNACYGGTAALFNCVNWVESASWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPIVFESKIRASHMSHVYDFYKPILDSEYPVVDGKLSQTCYLMALDACYKSLCNKYEKLEGKQFSMSDAAYFVFHSPYNKLVQKSFSRLLFNDFLRNASSIDESAKKILAPFESLTGDESYQSRDLEKASQQLAKPLYDEKVQPTTLIPKQVGNMYTASLYAAFASLLHNKHNTLAGQRVIMFSYGSGLTATMFSLKFNEGQHPFSLSNIASVMNVTEKLESRHEFTPEKFIEILKLMEHRYGAKDFVTSKDCSLLAPGTYYLTEVDSKYRRFYAKKAQENGLVNGH >Solyc04g008610.3.1 pep chromosome:SL3.0:4:2210517:2228911:1 gene:Solyc04g008610.3 transcript:Solyc04g008610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQQMSGQISAQVPNQSGTSLPGLPQQNGNPSPMQMQSPSVHRTIPNMEFELVKVRRTISRRIYEYLIRRHQQQQQIQEAQHQRIVDLVKRLEESLFKSASTKEEYMDLSTLENRLLSVIKRLPRNNHSQQFSHINSSASIGTMIPTPGMPRSLNASLVGTSSVDSSVTAGSTLTSSAVNSGNFVRTTNFPSGSMHGPLANGYQQSTSNFSINSGGNNLVPSMGGQRITSQMIPTPGFSNSDKSNNNTSAQSHINLESSNGGAAFSGVDSVTVSQTPQQKQNVSGQNSCILHTLGSHMGGGIRSELQNRSYGQSTAPLNEGLGMTGNSLQHLNGPGTSEGYTSATMHVDSPKSLPQHFDEHQRPEMQGTVISSQSLSAVALQSMSKTNSPLMSNTSNLAASQQMPNAKVQPVVQLEKMNLQSQYYLGDAHLSSHQPQQFQHQHKFAQHLSQQKLQSQQQQLVLSSSAVGGQLPSNPDTQVKLEPENHDEALQNQFQQKTVGEQSKGAVLQGERYPKSQDGSQIPGSFFEPNAQEELRQRTSTQEEAQPNNLSTGGSLASQSVANRIVETNNSSSAMRRSGNVPRERQYVNQQRWLLFLIHARRCSAPEGKCPETNCIHAQKLLRHMERCSKFDCRYLRCPETKVLINHYRQCKNVNCPVCIPVKKFMQTQHKVFGRPGYISDMTNSLNGICRTYDAVETASKLAGNLSPMAVKTPEDLQPSLKRMKIEPSSQPHILEIENFVPVSACESNVLQDTQFVEQNDAVVMKSEVTEVKMEAFANAVQVGPGSTDIAKNNLDDKYTQRPASDSLASSTPGCLVKEENVNTEKDIDLPKQENTSVPSESTSGSKSGKPKIKGVSMMELFTPEQVREHIKGLRQWIGQSKAKAEKNQAMEHSMSENSCQLCAVEKLNFEPPPIYCTPCGARIKRNAMYYTIGAGDTRHYFCIPCYNEARGDTIVVDGTSVPKARMEKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEVERGERKPLPQSAVLVAKDLPQTTLSDHIEKRLANSLREEREKRAKREGKGYDEVPGAEGLVVRIVSSVDKKLEVKPRFLEIFQEENYPLEFPYKSKVLLLFQRIEGVEVCLFGMYVQEFGSECAQPNHRRVYLSYLDSVKYFRPEIKTVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAKEQNIVVELTNLYNHFFTSTGECKAKVTAARLPYFDGDYWPGAAEDMIYQLQQEEDGRKQPKKGTTRKTITKRALKASGQFDLSGNTSKDLLLMQKLGETISPMKEDFIMVHLQHACTHCCGLMVSGNRWECKQCENFQLCDKCYEIEQKLEDRERHPINQKDKHTLYQCKIKEVPHDTKDEDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICYLDIEAGQGWRCEVCADYDVCNACYQKDGGIDHPHKLTKHPSLADRDAQNKEARQLRVSQLKKMLELLVHASQCRFPHCPYVNCRKVKGLFRHGIQCKIRVSGGCVLCKKMWYLLQLHARACKVSECHVPRCRDLKEHLRRMQQQADSRRRAAVMEMMRQRTAEVAGGSG >Solyc07g021405.1.1 pep chromosome:SL3.0:7:17960386:17962329:-1 gene:Solyc07g021405.1 transcript:Solyc07g021405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIKIDTVVGESGGKERMEIRYHDWDHFTRKIDFDGTSNGACNYCKKEYCVDSKRHGTIGMLTHLSKCQKMFCNIDIRVILDELPPRFVEKEGFKQFMEVEEKNKMITPFKETQQNSVDITTHLIDKRWTLHTRIINFCPISSHRGEDLAKSIGKYLMRSNNVTVIEFSKQLTKCGTNILGTVRYIRQSPTRWKKFQECCEDKNLVEKSLCLDVPTRWNSTYIMLSRVIKYECAILDYADGDIGLEHHLKYIDNEDGIPTMISIEDKVLKEKFDKYWGDTDKMNKMLFILRVLDPRHKFSTHSFALKKLKSLYYEYEDPISNDKSGQVFEESGSWSIPIGDFGIFFAKLDRHTSGSGCANSKTELNKYIQEYIEIGKPHFDVLAQEVLATPISSECPFRTVGGIVDSFRSSLTPKFVQALVCLQNWLKSEPQPIILRKIYIFVSNLNKKYQRNFHLCKI >Solyc07g016040.2.1.1 pep chromosome:SL3.0:7:6202547:6202915:1 gene:Solyc07g016040.2 transcript:Solyc07g016040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTAPSSLVDVIGIGPFLHDATELPELDRYGYPTGPLLEAQKMAADLFGASQTWFIVCGTTCGILAAIMSTCSPGDTLILARNSHVSATSAMVLCGALPKYILPEYNLQWDTAGGVTPSQVITC >Solyc08g008650.1.1.1 pep chromosome:SL3.0:8:3019618:3020181:1 gene:Solyc08g008650.1 transcript:Solyc08g008650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVIANIAQVVIDEDGPYGDEWVTWKQVFLLVDVICCCAVLFPIVWSIKNLREAAKSDGKAAVNLMKLTLFRQYYVVVICYIYFTRVVVYALETITSYRYLWTSVVAGEVATLAFYLFTGFKFRPEVHNPYFMIDDEEEEAASEALKFGDEFEL >Solyc01g099865.1.1 pep chromosome:SL3.0:1:89879000:89879837:-1 gene:Solyc01g099865.1 transcript:Solyc01g099865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSELETHPYILLYLFFQIKTASLAGVLNVVIFATILLLGQLLLHGQMRINVIGFVTAVVTMKSIEYMPFFLSFFLFLNGSVWIFYALLVGKFSIIKYSILIIY >Solyc01g016890.1.1 pep chromosome:SL3.0:1:22667081:22667771:1 gene:Solyc01g016890.1 transcript:Solyc01g016890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPIGASSWSEIKQQRLGHTWQLSRHIVSDTLAPTRINDKKKIAIPIYFPCNNNIMLMTS >Solyc01g079235.1.1 pep chromosome:SL3.0:1:78182881:78188961:1 gene:Solyc01g079235.1 transcript:Solyc01g079235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNCRKRTDSLMFSVGKNVEVLFDRDDRRDAWFPSKVLEQLENGSFLVERYRTIDKKASIDKVTVDSFHIRPLPPHIKRKKFNLLEKVDAFYDLAWWSGVITRELADSRYIVFFKHTNMQKELNDFDLRPHMDWKDGQWFTTSRDIPIPPDCQTNGSNNCTDTSILKKDTPLGRSSIMNEISEEKTPQSIKLMEDLNEPHSTDEISPEETLQNALPNCDAASPQTPEPPKDMSLEACTLRSKPSKKPRTKSPFSQSSPKSEYAEMKISAPLAGDEQTHNRSWQNRTRKRCQELGVKKSGALEKLRGLKSPSRGNKGIAIENAAEVIQKRSTRKETDVPVVIGLECTKVRSSKAKRSRLINNESLESIGDLKQIDAAIDDVQDTKHSGDGGGSSQKRRRGRPARKLPSIIPAVMEPIGDHRNDENSGHVELAIMENEVGKEQLEVQMGHSRKRGRTKKISQTKMSNEKAVRSLSQQHEKHYVKREKRQQKSVNIESQVQASVDSSGVKPAESNRATDGEEVLAEIPFNGFDDQPLAKWFEEIQAPTSIDGLRVSPACSPKRCAEMREKQDMPMQTPANRTPATQIETQSLPFVKNTLLWSTIEAMDIFRRIPQKPHFTPLEQSKESSREGQAIGYMVTFLSIVERTSRLQFDDPRSTFEEIMETLTDLETHGFNVQAVRDRLSELLLMKDKQEKLESQVAGIDNQIITHNMDKERIDGEIKEINKQIAELQDKLSLATSRKEAKDREIDGLRSRLMGIQAGTMKAHTEFDSLASKPL >Solyc05g014580.3.1 pep chromosome:SL3.0:5:8446291:8449873:1 gene:Solyc05g014580.3 transcript:Solyc05g014580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding CTHHSLPSSQKTFPFSNIPSSLQILFVRVICDKNVSLHK >Solyc09g018625.1.1 pep chromosome:SL3.0:9:16024468:16024682:1 gene:Solyc09g018625.1 transcript:Solyc09g018625.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIKNKYPLPIIDNSYNQLQGDRYLSKVDLRSDNNITKIHFPNRYSHYKFLIMSLGLTNSLAAFM >Solyc01g011390.2.1.1 pep chromosome:SL3.0:1:8705304:8706149:-1 gene:Solyc01g011390.2 transcript:Solyc01g011390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMENEKEKENAISSLKRTTRHRRSSSVSCFVSSTSDQISNDSPSSSSPNDTSKCKKSHNHFPEIKEKCKNIMNRFGRHRRHASADFTYDQISYAKNFEDNDETNFDNTEDFPKRNFISRLPPSPPLHSNVIIPTNIQKDE >Solyc01g006195.1.1 pep chromosome:SL3.0:1:822498:823637:-1 gene:Solyc01g006195.1 transcript:Solyc01g006195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYPYRETQLQMRCQFGQNVARFPIIKAVLDRLSIHTMKLAADEVSFWIRFVLRIIVLYLPKDQLIRAVKRARRSDLWRIYIVVNT >Solyc01g010087.1.1 pep chromosome:SL3.0:1:4773476:4775241:1 gene:Solyc01g010087.1 transcript:Solyc01g010087.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDQAEFNNPSFELLNVIYDQTEKMEQEELGDTNKPGSSNADELVVEEDFIFPKPLQIVDDNQTKINTERSIVLHTLLVVDEHTPLPISRERRPGPFNTSPYVTTFSFESGSSSRFHYVFELKHPFVAMSDVDLTTLYLHFLKWLNEGLLVRHSAKIGKEERYKKNKSRLQMWFYFGIVTVQNKNWFYRLAYKDQLLDDSHIDVILYYIRKRAKYFDSDNNEVSFITVDCHFNKLIANVWDAYYNLDSIVNKESTEESIIEYINGYRFHVAAPWHTVDNILIPVNIEQIFHWVLIVVSFNERCIHVYDSLRGGSLHNSSVSNEIKKYAQLIPMYLSKSGFYRKKSINISSYPKYKSHSEVDSFEIIHVNDITQQHEGSLDCGLYVAAYADHISKGNLVPIFDP >Solyc04g082160.3.1 pep chromosome:SL3.0:4:66021028:66031659:1 gene:Solyc04g082160.3 transcript:Solyc04g082160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDLHIDGSRPSFKICGWMVYLPAKEFWFCSCSLVIVCLNYFAQCSINAYHPSEFSLQVVVVSAEFSLQVVVVSEVFMADQQAVEGSSTSNDSGGSSESTVVLNIKTLDSQTYTFNVDKNLQVSALKDKLASQIGVPVEQQRLIFRGKSQLQAPSGGSSGEATTNNVNRGQEPQPTAGGSRNRIGQISHSVVLGTFNVGDPGEGLVPDLNRVIGAVLNTVGIGNMTGGQLPGVQASTPAPRPQGNESLSQSGSQQSGQAFSGQSLPQVVQIPLGAAIAVPSINLPLLDSLITLSEFMDGMEHVFSQNGYPPNQSPNNAGDPPAVQLPTHDRGLSSPEVLSLALRQAERLFGGQVIAALSHFAGRLEQGRVSSDPALRGQVQTESVLIGQVMQHLGALLLELGRTILTLRMGQSPAESSVNAGPAVYISSTGPNPIMVQPFPLQTSSLFGNSAAVPPNPGTFGALGIGNAPRHVNIHIHAAPITSAVGARAANGDGAHGERGNGTDSGQTRVLPVGNIMATAVAPRPAVISVSSMPQPGQSDGPSGQERSTASGAENDTVSTQLDETSASGMTVPEPLPAHSVPGREDKMSVGQSSEMSHSKPEASASVGRAQRSSQELGSPDESSSVPLGLGLGGLQPKKRTKQSVAHGINADGSSSSNPNELPQRDERQFLQSLAALAARGNEPAMPSMTHLDRGVMGTIGSGNRNVDGQSEIADAMSSVLQSPALNGLLSGVSQQTGAGSPDLLRNMMQQFTQSPAMMNTVSQIAQQIDTQDLGSMFSGQGGGMDLSRMFQQMMPIVSQALGGISGVPQRIPNIAQRLGENTAVRGATPTTENVQIDLHEVAQEIENNSPPVEILRSLVQSTESLHHHGSSDLSLADELSSEENLADEFMQMLRNDVSQRFKDKEGK >Solyc09g056310.1.1.1 pep chromosome:SL3.0:9:48841135:48841392:1 gene:Solyc09g056310.1 transcript:Solyc09g056310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEAIKMVLKSIYDLEFKDTSHLRPYRGFHSVLRQFKEEWGTSLRFLEFDIWKCFHTPTSVIPIFKNVIDDPKVFYPIHKVFSIE >Solyc06g010030.3.1 pep chromosome:SL3.0:6:4785228:4786049:1 gene:Solyc06g010030.3 transcript:Solyc06g010030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGGGGGRSLEQTPTWAVAVVCFVLVAISIVIEHIIHLIGKWLKSKNKSALYEALEKIKAELMLLGFISLLLTVGQSPISNICVSEKLGNSWHPCSKKEEDSSIISEDSLSEQHRRRLLMDAAGGGVRRILAGGGGDDKCAAKA >Solyc03g083200.3.1 pep chromosome:SL3.0:3:54471795:54473200:1 gene:Solyc03g083200.3 transcript:Solyc03g083200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKIEGKADSAAVVVHKLLRSPKPEQLYLKYAHDILGGVALLGVFQNHKLSRGWSLGDIILLSAQYDSSLESREQLYMSSVWKDVASRTRDSRKIEDNRRLEAGT >Solyc08g059770.1.1.1 pep chromosome:SL3.0:8:45437399:45437860:1 gene:Solyc08g059770.1 transcript:Solyc08g059770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQGLTMNPAGIWYFQCRYCDEVFTSSQGLGGHQRKHMPQGTWKKGESHEKKFCPSDEISDLYHRLGKRKSTPTVLPSEGRFYRSRSKRNLVHPRSTFDKPQQATVLEKPASTPTPNPFLIEANYFIHFVKQMGINDDMNVGLATKDDISIP >Solyc01g096170.3.1 pep chromosome:SL3.0:1:87140764:87147210:1 gene:Solyc01g096170.3 transcript:Solyc01g096170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGVGSGSSYHSGNGVGVGVGSPLQRSSSNKGGFLGSSANERPAHQVDYVEKDPKGRYVRPDNKLPKLPAQDHATQTKIYWTFQIANSLVILLPKFGFGSYKAFDLLDGIEVAWSRVKVEDVLQSPDDLGKLYAEIHILKQLKHDNIMKFCDSWVDDKKRTVNMITELFTSGNLRQYRKKYRSVDMKAIKTWARQILQGLDYLHSQNPPIIHRDLKCDNIFVNGNHGEIKIGDLGLATIMEQPTVKSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLELVTFEYPYNECKNPAQIFKKVSSGVKPASLGKVTDPQIKGFIEKCLVPASQRLPAKDLLKDPFLQFENSNGPIHSLLQSPYQSPRSLSSLKSAPHSMDVDSDCNQSVCTDSHCGSPCAPTLEFQRFHQNNEFKLTGKKNDENSVSLTLRIKSPLGRVRNIHFNFYLYTDTALLVAAEMVDQLKLDDHDVDFIADFIDYLIMKIVPSWKPSDYHSSGGRSQREEALQNYLTLSPLPTTSNARQDHIPVLDMNNQISSTSHQVDEDKLYANSNGTSCRVTFASPSHLASVMDGESVTSEVMGKISSLKNSFGFGDYFTCAEVISKGSSGNLSEVDFMGLFHDECKSQGNGGDYLECTLTNGFGKNLEVTLTDTNRASKCMSLSSNCSFLSLVSKDEESELKLELDSIESVYRQCYQELSRMKLEALEACRKRWITKKKLACN >Solyc05g025870.3.1 pep chromosome:SL3.0:5:37121771:37123249:1 gene:Solyc05g025870.3 transcript:Solyc05g025870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMEAFSTQNKGYYAETTVVTYRRNKKDCDDASQSLRALLLFYLSNQVVPTEKESATTNNYKVIRTALYLYHVQ >Solyc12g013820.2.1 pep chromosome:SL3.0:12:4603338:4611805:-1 gene:Solyc12g013820.2 transcript:Solyc12g013820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPPSRYFSQNSKKRVFPGGSSTNVQVPEVSSTIARISKSENAKQKEPIHHGDVDMKGGDNDVMFIDGNTGSRGKGKDFLELSLGYGDSAYSVGPSQVQSSKKHCPSESGEVPANLFYEDNPSIDMYFSELANYDYAILQSHFDHMDTPPGVEVPIPWMSGFAKAKMASATTSTSSTSKALSSPFGRETPEVLKYPSTSIYDLNHIFKPTPVELSSSSLGPAVVEGKFSAKANSKGICFKEQNGSTNVSPGVEKSLNVQGSHLRRKIHLSPGTVSQSWHTPSIPGGVPFPTAHFVPPPMPSWMNLPPNMPTAQASSGFMLAPGAMNPLPLHQVHPGFMLAPGDMNLLPLHQVHPGFMLATGDMISLPLEQVHPGYIIPPDTMNYFLPELLSTGPVLAPGAMHYFHQEMDHELWTHGPHNSASLSLQCESAPSKEQHGNLGESLKNFRLFKKFDTVQDHSGHYFSGLASHDNLASKSCAKRILEEWKILEKDLPDTIFVRVYETRMDLLRAVIIGADGTPYHDGLFFFDVYFPSNYPNVPPVCVHYHSFGLRINPNLYECGKVCLSLLNTWDGRGKEKWIPGESTMLQVLVSIQGLILNAKPYFNEPGYARMNGSASGERNSLRYNENTCIFNLKTMVYCMRRPPQHFEDFVIGHYFQSCQDILVACKAYMEGARVGSLVRGCVLGDGGKGGDKGGSRSFKAMLAGFIGVLVEAFKKIGCEDCDKFLPLAEKASTRATPVKID >Solyc01g020200.1.1 pep chromosome:SL3.0:1:27789944:27790535:1 gene:Solyc01g020200.1 transcript:Solyc01g020200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDNPHINNYLRTGNILDRERNLDRKYFDWKIIHFSLRQRGDIEKKLKKFEKFFFDWMGMNEKIFNRSILNLEFWLFPEFVLLYNVYKIKPWIILSKFLLFNLTTNKNISQNKNQNQNFFLPSNKTIKIKNRSQNIYILTSILYFYFLFDGRKKF >Solyc03g116290.2.1 pep chromosome:SL3.0:3:67253086:67256019:1 gene:Solyc03g116290.2 transcript:Solyc03g116290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFPIQNNEINVNHQNMSNSVMPIVDLSPFFLRDEDGQRKAREVINEACSRYGFFQIINHGVPLDLMSRALNLSKSFFECPVEEKMNCSPLPGAPFPAGYNRKPNPSYEFAEYLVMLHPGSTFNVFPPNHPQLQEVMGDLFNQFAKIGAILESILSESLGLPPSILQEFNNERNSDVLTALYYLPATENEKMGINSHRDVGCITFVLQDEVGGLEVQKDGNWIPVTPNKGALVVNIGIVLQVLTNDKYKSPNHRAMRPNGRSRNSFSFFYNVSGEKWLEPLPHFTKQIDEKPNYKGFIYSDYLQKRNENKLKRAAGLEEDLGLSHFSLTRSIENH >Solyc06g084370.1.1.1 pep chromosome:SL3.0:6:49553424:49553819:1 gene:Solyc06g084370.1 transcript:Solyc06g084370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNTKSETQSRGTFRQFFRAFKRRVSPARPQTPISNKIPIYYNHSNNETMKPGPTNINKASDDSKFTNFIDETKKKIKANSSFVGDENGPVTKRATTRRDSFNDRISHFINRAKLKMRTTTTVDHAHADG >Solyc11g006630.1.1 pep chromosome:SL3.0:11:1237332:1240207:1 gene:Solyc11g006630.1 transcript:Solyc11g006630.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAAISSLMHTLNQLLKPDQSLVCRCCTQQHLESLCQNLSALQDFLDNNMTKDIGTLKVIEKRIRNVVYKAEDGVDSSLRSIILADRAKRREKACRSFYQELLKVEEQVYFLNKEVMLIEFNNHEKSKSAELAAISSSLEKSTIKENSIVGMEDDFNTILDRLTSQTHELTVVPIFGMGGIGKTTLARKVYDNSSIRSRFDKQAWVTISEEYNERQMLLELVSSIMGSKQERSNDELMEIVYRGLKSRRFLIVIDDIWSTKAWDQMQRIFPNDDNRSRILLTTRLKYVADYVSCPGFPPHSKSFLSLEDSWNLFTKKLFKNNPCPPLLEKTGKHIVKQCRGLPLSVVVVAGLVGKMDPTHDNWKKVEENLSSFFGTVSERCQSILSLSYNYLPQYLKACFLYVGGFPEDMEIDVSKLVWLWIAEQFVKARSNKRLEVVAEEYVEELIDRSLILAGEQRANGRMKSCKIHDLLRQMCLREAQTENVVHLMNGDALKAIDDQRRVILVFNVEEKHDYRLQYSSGTIIRTFISMDKTFPKGMYSKLKLLKVLDVLSVQYDFFWIIPQLVHLRYVAARIGEGLSLAKLRNLQTLILREYDFSRRKTMQQPVDISRMSEIRCLDLRSPLYMCNPVKAEYPLCLLNNLHTLCLYNSPFIAEIIRRSPNLKKLTILDISKHPEWAVILNYQSILQDLETLTIDTQQNIDPMIISGDISLPNIKKLTLIGTRIPWEDVKFLANLPNLEVFKGFRAFAGTDWKVDEDVVFHKLKYLLLSNCYNLERWELAAGSDNFPMLEQLKLIDFHELEEIPESIGDIMTLKLIQVRCCSSGVDNSAKRIQQEQESLENYELQLQIIQPKSRRN >Solyc08g077740.1.1.1 pep chromosome:SL3.0:8:61760750:61763632:-1 gene:Solyc08g077740.1 transcript:Solyc08g077740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYQQLLIAFCFYSLFVHQSQLTYARKHLCTRDEAFYLLQLKQGLTVDKNAYYYGCDSEAEAKTLSWNATRDCCEWGGVTCNGLTGHVIGLDLSCSFLIGTINANNSLTKLGHLQRLNLALNVFNDFPLGNSISELSSLTHLNLSDSGIFNERKMIPPGLCKLSKLISLDLSGSYIQVGRTTFTSLLHNLTNLEVLLFDDVYASFELPKKFPSSLRKLSLQGTNMFGNITDSQLFRLPNLQVLGLGDNPSLTGTLPNFHWNFSKSVLELDFSYTGIFGKVPDSIGILRSLWRLNLFNCHLSGSIPESFGNLTTIRELILSGNNFTGNILSTISKLNKLVNLHLSSNHFRGSIPESIGNLTAIRELILSDNSFTGNVPSSIGKLNKLDSLSLSSNNFEGSIPDIFANFSELNSLDFHSNNFVGPFPYSIATLTHLDGLELQNNSLTGPLPSNISGFQNLFNLDLSFNYLTGATPPWLFQLPSLMSLSVQANKFTGELPNELNMSSSVPFIDISYNNLHGEIPYWMLFMSIDSLDLSHNFLTGFEKQVWHSEYLSYLNLENNLLQGPLHQSICDLINLAFLILAQNNFNGSIPDCLGNSNRLISILDLRMNNFHGEIPTFLSTGLQYLGLYGNQLRGQVPRSLVNCTSLVALDLGNNKFNDTFPIWLEKLPNLQVLILKSNLFHGPIGDLESEFPFPELRIFDLSFNGFTGTLSSNLFKSFRGMMDVDEGKAGISRSWNGTRRDYFYHVSLVIKGNEFDMRITSIMTSVDLSSNRFEGDIPISVGNLRSLVLLNLSHNSFRGHIPAEFTKLQQLEALDLSWNRLIGEIPGQLSSLTFLEVLNLSYNHLAGRIPIGKQFNTFPNDSYCGNPDLCGFPLSKECGNRNEHDDDDDSYFMSGFTWEAVAIGYGCGMIFGLLIGGLMFLLQKPKWYVKFAEDIAQQIAAKKRKRQKKRRQKRGLSMN >Solyc11g065595.1.1 pep chromosome:SL3.0:11:51410059:51411079:-1 gene:Solyc11g065595.1 transcript:Solyc11g065595.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENFKKSMIVEFDMSNLDMMHYFLGLEVNQYVDGVFVSQKKYVEDVLDRFQMKNRNTVSTSMEKGLKLVKEPEGRKVDNTLYKQIVGSLMYLTTTRLDVTHVIHGESKKMHLQAAKRILRYLNGTSDLGILYQMRAAGDLVGYTYSDYAGNLEDRKSTSGYVFMLSSGVISWSSKKQPIVFLSTTEAEYVAATSLY >Solyc03g082780.2.1 pep chromosome:SL3.0:3:54072098:54074124:1 gene:Solyc03g082780.2 transcript:Solyc03g082780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITGREIQSYPRTLFWKKSTDCCSWDGVHCEKTTGQVIELDLHCSQLQGNFHSNGSLFQLFNLKRLDLSFNDFTGSLISPKFGEFSSLMHLDLSRSGFTAICNLKTLVLLNLRSNNLEGTIPQCLGEMSELEVFDLSNNSLSGTIDTTFNIGNQLIIIKLDRNKLQGKVPQFLIYCKKLELLDLSYNELNDTFPKWLGDLPHLQILSLRSNKFYGPIRTNYLFAQIRVINLSSNGFCGDLPVSLFQNFLAMKKIGENSGNREYVADIFSYYYNYSLIVTTKGLDRELPQVLTIQIIIDLSKNRFEGHIPNIIGDLVGFRTLNFSHNVLEGHIPAPLHRLSVLESLDLSSNKLDGEIPQ >Solyc08g014380.2.1 pep chromosome:SL3.0:8:4288809:4294521:-1 gene:Solyc08g014380.2 transcript:Solyc08g014380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVATRSFKLVLLLLCSLLTFLLAKSAPNQQKDTYNYDEYFVGKTIVKNAVSKGAVCLDGSPPAYYMDRGFGEGAQSWMIHLSGGGWCRDVRDCQNRSTTSFGSSKYMVPFKFRGHFSNNKIANPDLFNWNKVMVAYCDGGAFTGDVETVDPGTNLHFRGARIFSAVMEDLLSRGLNDAKNALLIGSSAGAYPAMLYCDRFSKLLPNTPRLKCLTDSGYFIDVNKNLQKGKGFESIYKELVTLHGSAKALPKSCTSRMKPELCFFPQNVQQYIKTPLYTIMSPFDIVQVGTSLGDYYNAIKQNNCSANQKKNLRELRLELLSKLPNASDTKSRGAFIDSQFHHTRLQSYWNPQNVSVVNNVTMIKAFGDWYFDRQYYYLIDKHDLPIP >Solyc02g071685.1.1 pep chromosome:SL3.0:2:41617604:41623321:1 gene:Solyc02g071685.1 transcript:Solyc02g071685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATIPGFTFHLLMPISGKNSLQNQPPSCSLPLPETHHGIGGGSPPGRGGQPLLAETRIAAGETRQHRVRLPERIFVKIKAELTLYEDGARKVALFGLGPNRLHSSRATKSISVLNRPCCNVLTTMSEGQCVPGETPCLPRRIYPMDIHHLGSLLMGCNLQGVGGV >Solyc04g081540.3.1 pep chromosome:SL3.0:4:65566184:65571868:1 gene:Solyc04g081540.3 transcript:Solyc04g081540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVMKPLMNWPKWHHYLYVRASFIHFVQSQSQSPLFSTCNVRRLPSFTASPSGYRQAHFRSGAALKSRESPLPLDQSEGDSDSDEKTRKSRNEKKREARRAVRWAMDLAKFSAPQIKRILRVASTEQEVYEAVMLAKRLGPDVREGKRRQFSYIGRLLREVKPELMDGLIQATKDGDQTKFQALSGSELSATEDVDEEVEETEYEDDEESSEDNIALADRWFDGLVNKDVDISKEIYSLSEVDFDRQELRGLVRNVQSIREKRSKSDEGEGKVNTDVVRAERSLTRFLRDLAKQLHS >Solyc03g115420.2.1 pep chromosome:SL3.0:3:66623728:66625778:-1 gene:Solyc03g115420.2 transcript:Solyc03g115420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPKMPDWSEFPSELLVSIGRCLNLIEDYLNFGSVCKSWHSVATKNNFNNDLTRAPWLMLAEEEDNEVRKFFSLYNGMILNKRMPKASRKRCLESMGWLITVGEEGEIRLLHPFSGVHIELPHVNTTEDYYNHEIEPVTCFIGKAVLSASPSNTSDYILMVIDGNFWDLRFWRPGDIRWTKIKFEGSNYFSFTDIILFNDQIYSVDQSSCLLVCDVAEVVGPQLTKCHTLAQIPTEPQDTPDHLYILESLGSLFVIARYGVQLRLVQDDSDRIPLTLLPEGDTSEDGLWENITYGTTNFRVFQFDSAAVKLIETRELGDAAFFVGANASISVQASQCTGIKPNHIYFTDDFYESYLSYDEGGGLDMGVFNLADGSIQPHYRASESSWFVTVGYGLSSLFAFSRLAMEAHTSILESSSIKVMKGKLTILG >Solyc07g055080.3.1 pep chromosome:SL3.0:7:63355928:63361757:1 gene:Solyc07g055080.3 transcript:Solyc07g055080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proteasome subunit alpha type [Source:UniProtKB/TrEMBL;Acc:K4CG47] MGDSQYSFSLTTFSPSGKLVQIEHALTAVGSGQTSLGIKASNGVVIATEKKLPSILVDEASVQKIQTLTSNIGVVYSGMGPDSRVLVRKSRKSAEQYYRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTEEMELDDAVHTAILTLKEGFEGQISSKNIEIGIIGTDKVFRILTPTEIDDYLQEVE >Solyc05g010320.3.1 pep chromosome:SL3.0:5:4466476:4468138:1 gene:Solyc05g010320.3 transcript:Solyc05g010320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNEFNFDMCLGNRGLEIEGKFVKFTAIGVYLEESAIPFLADKWKGKSSEELEHSVEFFRDIVTGPFEKFTRVTMILPLTGKQYSEKVAENCVAYWKAIGTYSDAEMQAIEKFLDVFQSETFPPGASILFTQSPLGSLTISFSEDDSVPCVGNAVIENKQLSEAVLDSIIGEHGVSPAAKCSIAKRVSELLVCEKPGSELSSVQ >Solyc01g049720.1.1 pep chromosome:SL3.0:1:46033618:46034061:-1 gene:Solyc01g049720.1 transcript:Solyc01g049720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEINFLCNFQACLSAPLIKHCGPPVPLYATNGKIIQSWIPLVEIFYSNYTLIVLDLEACNDQLTNPSPFQGPILYKLSKKIIP >Solyc05g052630.3.1 pep chromosome:SL3.0:5:63695445:63701068:-1 gene:Solyc05g052630.3 transcript:Solyc05g052630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKQQSMNNLEIDDEVENSNLPEELDDSSSEDALYDDVLSSGMFASGETNVLQWLLALDLQVMGACRVDERLKPLLKLNVSAGAAEDRLLAHLSQNFEPSEVGMLARCLCIPLVTMRVGKIRKQGTLLCPTTTSCKMLGNVLLLIHPLLLVTAAFFVLTHHIISRSILLSGYYHKSLYLDMRLLIARRCMLTCGDTVKGNKSHQTGRSVFALINQGNLNLAILPTSDLRISFIGDDGSTQRVGTFCSESDCSAVEIKDIVADDSGRSFLISIPDGETFYFWCSEKSKLLGDELRRKMRDLIKMKPSLAELTGINESRIDCFAIHLRAYLHGSAAINPQASSMMSRDPPVAGFVDSSELGLDAQISVASQKPLRPEHVSSMGSNTSLMSSLSPMSNAFKDSMLRNSSSVCVSGDGLRQHGDSYISCVDSQNAGVSSTDALRSTNVEDDKIGRETRPSNVLEPFVAALPFRGSAATVHSLDSTVFSPYYCWCPPAVSTLQYSVGTPHLPVLSTDSSIPLLSSIAPSACSSSILTPNPSLVTDVSALDFPPLLPEPLIRLPFSLGPSQQIPTFTPLVGDSIVHIPVIDVCSSGQGYFVSAGPAISGSIPQLHPNLVNPLIPPETESMAEKSARETLRLLINNSNQPSPQLIDLLPPVLSRSGEETRNMVVTGSRGLYSRTIGVDTTIANDFAFKGLASLSEKPVGGWLDKKKLRIQEMFVPSEKPGISGESSMDDDCGFIDFEKETKN >Solyc08g006830.3.1 pep chromosome:SL3.0:8:1364963:1372983:-1 gene:Solyc08g006830.3 transcript:Solyc08g006830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNRMVLSYTNSFALFRPKFNGHFIPSIYHSDVLSLTRHVSSTTALSFCQLQASKHHDSAVTVASDVRYGSKQIISVDSTLYDYILTNVREPKILRELREETATMRGSQMQVSPDQAQLLAMLVQILGAKRCIEVGTYTGYSSLAVALVLPEGGHLVACDRDGKAIEVAKRYYDRAGVSHKVDVRHGLAADTLKSLIQNGESCRYDFAFVDAEKKMYQEYFELLLQLVRVGGLIVVDNVLWHGRVSDPLVNDSKTLSIRNFNENLMKDNRVDISMVPIGDGMTICRKR >Solyc01g096710.3.1 pep chromosome:SL3.0:1:87597613:87608692:-1 gene:Solyc01g096710.3 transcript:Solyc01g096710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKKLDTRFPAARIKKIMQADEDVGKIAMAVPVLVSKALELFLQDLCDRTYDITLRRGAKTVNSLHFPTQNLKPVSGNPYATYERKHCVQSYNVFDFLREVVSKVPDYGHSDAAGEMPKRRKVAIEEHHDSEDEYKKSRTELSRPDMQLESCTSAQQSVQQNPNPGTQTENCSEPKESPTQDSTVCDKENSVVTTPNLKVNVDDNTDKPAAPEIAPCNPSPRPVNEKAEEGPQWSLEMDRMVIDPAHMLGECRRLLLLALAPSVAVGGCVLIHLL >Solyc07g005330.3.1 pep chromosome:SL3.0:7:261295:264497:-1 gene:Solyc07g005330.3 transcript:Solyc07g005330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-glucosidase 08 [Source:UniProtKB/TrEMBL;Acc:B5M9E5] MISKTSFVLFLIAIALFLHDVTSIGVNYGTLGNNLPPPAQVAQFIKDKTVIDRVKIFDINPDILRAFANTGISLTVTVPNGEIPNLLDLAYARRYVEQNIKPHYPQTKMDVILVGNEVLHWDTPEVQNKLVPAMKVFYQALGLSGLKGIKVSSAHSLGILLRSNPPSAARFRPGWDVGILAPMLQFLRETKGPFMVNPYPYFGYNKKQEDFLLFRKNKGVYDRFSKKWYTNSFDMLLDAVYMSMVRLKHSDVEIVAAETGWPSQGEAYEPQCTVENAASYNGGLMKKYNSGIGTPLMPHRKIETYIFSLFNENTKPGSIAERNFGLFRPDFTPVYDVGVLKSNQAHPTPSLPPPKTGGGGNKGQPKTPAENKKFCMPKVEATDAQLQSNINYVCSQGVDCTPIQVGGSCFKPNTIRSHAAFAMNSYYQKEGRNNFNCDFAGTGVVAFSDPSYGTCKFES >Solyc01g080300.3.1 pep chromosome:SL3.0:1:79391687:79398678:1 gene:Solyc01g080300.3 transcript:Solyc01g080300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYANFLHNYPTLTPTLSSIHVFTPKNHFTPNLRTEPLFWHLQPKKSRIIINCAANSDGVKGAVNWAEILEKWSPKKILGADKLFRAISGATSSPIAQYIPSPFTLVHSVDPRIKLAWLFTLVILPAKSNVVMRLSLVAYLAILSILVQPAQVWKDQLGRVTLLSGILFIMLGLSTDSAPSLISSRAPPPSMMGLPSFPASLEGYKYVILKLGPLQLTRKGLSTATTSACLTFTIFQSASVFLSTTTPEQIAFALRWFISPLANLGVPVAEVILTLLLSLRFINLVFDEVRNVALGIVSRRINWQQMTMLETIDEQISQAMIVRGFRGDSTTHKIFFLRDLSCLVNTLGPRRSEWVLKVQETRFRAYKAKKI >Solyc03g081220.1.1.1 pep chromosome:SL3.0:3:53551118:53551987:1 gene:Solyc03g081220.1 transcript:Solyc03g081220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSQPPTPPQPPHLHKKPTSFPDVIFTAFSLFVIFSSSNPTTSLLRKFTPLVSFPLNPRRFLRIPTMSNPSPSNLRNPIHHFPNPQSLSDWLRPRMPSDSFASWGVKPGTKNVSNLWLELSEGETLLADSTPPVRTVEVMVVKVIGKDNKVLVESHQELSDGAVRHRCRPLSEKMKPGETVEDAVFRAVKEELGSVLGGSFGQLRENGIVKILPNSYSKKVEERVSASYPGLPACYVLHTVEAAVDGLPEEEFCTEETDEYGDSSERMVINGAVSCKKHYWKWVDADSL >Solyc10g047005.1.1 pep chromosome:SL3.0:10:39103183:39103425:-1 gene:Solyc10g047005.1 transcript:Solyc10g047005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRPVTGWRVCMYYRKLNSWTENDHFPMPFMDQMLDRLERKGLQPNLYCTRRSRQTHFYLSLWNFRVQEDALR >Solyc04g017685.1.1 pep chromosome:SL3.0:4:7761814:7762347:-1 gene:Solyc04g017685.1 transcript:Solyc04g017685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVLDLQLLIFQKQQLRKFQESLVLVYLLHRASVVLNSNPEAISLNQVLLIDRYALSILSQPCV >Solyc08g078070.3.1 pep chromosome:SL3.0:8:62039190:62042139:1 gene:Solyc08g078070.3 transcript:Solyc08g078070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVDQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASDNVNKLLVGNKCDLTAQKVVSTETAQAFADEIGIPFMETSAKNATNVEQAFMAMAASIKNRMASQPASNNARPPTVQIRGQPVNQKSGCCSS >Solyc02g090395.1.1 pep chromosome:SL3.0:2:52586846:52589648:1 gene:Solyc02g090395.1 transcript:Solyc02g090395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMREISKTLLNLDELLGAKRKKRETCPIRNDLQNNRSAKEKKVVARGSRRRIKFERSISLNPPARPIKELKISTFSSLNGIDDIGLCYLRKGDPFDKEDDNMR >Solyc05g053230.3.1 pep chromosome:SL3.0:5:64204932:64212773:-1 gene:Solyc05g053230.3 transcript:Solyc05g053230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCFGCCDDDDMHKPADHGPFMTNNAAGYNAGQRVTESAQRETQNVNILPIAVPSITVDELKDITDNFGTKALIGEGSYGRVYHGVLKSGRAAAIKKLDSSKQPDREFSAQVSMVSRLKHENVVELLGYSVDGGLRVLAYEYAPNGSLHDILHGRKGVKGAQPGPVLSWAQRVKIAVGAAKGLEYLHEKAQPHIIHRDIKSSNVLLFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLSSKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPRLSEDKVKQCVDARLGTDYPPKAIAKMAAVAALCVQYEADFRPNMSIVVKALQPLLHARPAPSETSNL >Solyc08g061255.1.1.1 pep chromosome:SL3.0:8:48391756:48392136:1 gene:Solyc08g061255.1 transcript:Solyc08g061255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLYHYYTSIKGEDYKQVGFWPKSIFTTLQAHALGAQYGGITYSPQGLQFPPMGSGLFQKKILSKNAYFRKCTFMYLVNNEVVTYSLDHIGTYPFESNTNLYKVQDFIEQGDVLGHLIVYEGPGDKH >Solyc04g008320.2.1 pep chromosome:SL3.0:4:1988570:1988861:1 gene:Solyc04g008320.2 transcript:Solyc04g008320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPIAAWTMHSDRPRNGFLVTEMLKIGRIVREWEKREEVVSASTIENVKKAIAIRKRAEELGEDVRQSIEKGVTSRIELDSFIAHITR >Solyc06g083660.3.1 pep chromosome:SL3.0:6:49043290:49049748:-1 gene:Solyc06g083660.3 transcript:Solyc06g083660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHDRRIDAALWIYMVNFVCVSGGEMNGFQNGKNCNLDKPFPGCLGRMVNLFDLNSGVTGNKLLTDKPHGSLSRSQSDVVRMYPSGNQIEEKMIVSDLKRNSSNRKSNGTPMKMLIAQEMSKEIDSSQNPPSLVAKLMGLDAFPTRKSVSATQSHFGGHSRSHTDSSFSYCPHENGSLMEEMHQEFHQCPEENEYKDVYEVWQQPTKINCVRSKSPQKARHDETSIDKKVAFVRQKFIEAKCLSIDGNLRQSKEFQEALDVLSSNTDLFLKFLQEPNPMFSQQLQKLKSVPPPPETKRITVLRPTKMVDNSRFGESGNKNEKEMKRATQVGQGNRVDESHCPVSPPAPGWNDENPAQPTRIVVLKPSLTKTRNCMAASSPPSASPRVSEAEMKYVNIEDNEAQDSGEVALSQKMHENLGGHRRDETLFSSMSSNGYIGDESSFNKSENEYVAGNLSDSEVISPVSRHSWDYINRFVEPYSCSSLSRASYSPESSVSREAKKRLSERWAMVSSNGSFPEQRHLRRRSSTLGEMLALSDTKHAGGMEQEISKEEPGTSYSNLMNNSNCDEGIDESPRNLLRSKSVPVSSSEFGTLLNADVPGHETGKPNLPEETTKPRSTKLSLKNLLFSRNRKPSKDNGRHLQSNNEVQSGVKSSYCPAKVDLGREFSSADLHKSPGKLVSQNSFGEQGIISPEVGLFVSKSLPLENQCESQDEPSPISALDTTFEEDEHSACISFGRTKPDHGGELSVDPIRCNLIDKSPPIGSIARTLSWNDSCIDTASSVPLRPFLSTWRTEEEEKEWFSFVQTLLTVAGLDEVQSDAFLLMWHSTESPLDPSLREKYVDLHEKNTLHEARRRQRRSTRKLVFDCVNAALMEIAGYGPDTCQRAIPHNGVSNNLPEGAKLILVDQVWTRMKEWFSSEVKCLSGDDDEDGNSLVVDGLVMKEVVGKGWLQHLRLEIDNVGTEIERELLAELVHESVIELTGRA >Solyc07g065720.3.1 pep chromosome:SL3.0:7:67520614:67523818:-1 gene:Solyc07g065720.3 transcript:Solyc07g065720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSEGRRATLMVYRNLLKAVKKHIGKEEHKSHFTDFSREEFKKNINLEYSKDSSFIQQRINLAQNYTYLLNSIHHHKDLLFSYNIAVDRSNEMTKVLGKSAASVGLRLPDVYQH >Solyc01g014980.3.1 pep chromosome:SL3.0:1:16482827:16483495:-1 gene:Solyc01g014980.3 transcript:Solyc01g014980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVEEMFKVIHVNKSTNLEEEKRWIEPRTKETYDSFIRMSQDTVVLYLLRAETNHLHKKKLRIFGNKVQVNRLKPTEREREVEIVASKEAEYKRYATLQAQLTFIFVSENILPPCPASSDDGADQEGDENDKGDKESDGDNK >Solyc11g068360.1.1 pep chromosome:SL3.0:11:53402331:53405813:1 gene:Solyc11g068360.1 transcript:Solyc11g068360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVVLAVGGVFISSALDVLIDRLAPEGDLLNMFRKRNNDVDLLKKLKLTLLGLKAVLSDAENKQASNEFVREWLNELRVAVDSAENLIEQFNYEAMKLKVEGKHQNLAEKILKHCRFCNMCLGDHSFININEKLEKTVEALQNLQNQISDLGLQRHFGLTKQEARTPSTCLVDESDIFGKHEEIKDLINRLLSENASGGNLPVVPIVGMGGAGETTLAKAVYNDVKVKNHFGLTAWNCVSEPYDALRITKGLLQEIGSFDSKDDGNLNQLQVKLKESLKEKKFLVVLDDVWNDDYSEWDNLRNVFVQGDVGSKIIVTTHSWALFKRHSLENRDHPELEEVGRKIADKCKGLPLALKALAGVLRGKSEVDEWRNILRSEIWDQKSCWKSILPALMLSYNDLSPHLKRCFAFCAIYPKDYEFCKDQAIYLWIANGLVKEFCSETEASHDTLEQSRHMSYSIGQEGVLEKLKPLSKSEQLRTLVPANISYICQLSKRVLHNILPRLTSLRALSLSGYDINELPNDLFIKLNLLRFLDLSRARIEKLPDSICVLYNLETLLLSSCRCLVELPLQMDKLINLRHLDITNTDSLKMPLYLNKWKSLQMLVGAKFVIGGLRMYDLGEVHNLYGCLSVLELQNVVERREAVRATMKEKEHVDKLCLEWSEGSCAYDSQTEREILDELHPHTNIKQLQIRGYRGAKFSNWLADPLFVELVELSLSSCKDCDSMPALGQLPCLKFLSIRGMHGIREVTEEFYGSSVSSKKPFNSLKNHFFEDMPEWKQWHVLGSGEFPILEKLSIEKCPELIGKLPENLCCLTELRISTTPLMDEAQLQLEGMKQIVALVIRDCNSVTSLPFSILPTSLKRIEISGCQKLKLKLEEPGVDHCNMFLEELRLHRCDCIDDTSPELLPKARKLSVTNCHNLTRFLIPSATERLEIWRCENLEILSVARESAMTFLHIRNCENLKCLPQIDLQVLVIYDCNKLVNGRKEWCLRRLTELEIYHDGSDEEIQRWELPCSIQRLTINNLKTLSSQVLKSLTSLQYLRVEGTIPRIQSQGGLPSSLSQLHLGYHDELHSLHLSHLTSLIRLSISHCDNLQ >Solyc08g014420.3.1 pep chromosome:SL3.0:8:4449994:4461588:1 gene:Solyc08g014420.3 transcript:Solyc08g014420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGSAPQTDTVMSDAAAGQQPAMPPLPMAGMENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENIVAIRDIIPPPQREAFNDVYIAYELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRPPELLLNSSDYTAAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAEMEFLNENAKRYIRQLPLYRRQSFVEKFPHVNPAAIDLVEKMLTFDPRRRLTGE >Solyc03g096080.3.1 pep chromosome:SL3.0:3:59357035:59364080:1 gene:Solyc03g096080.3 transcript:Solyc03g096080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVSFDRVNVTSGEVENRMMMTGMHTVADIFCVCCGSIVGWKYETAHEKSQKYKEGKSVLERFKISGPDGSHYWASHETHVAGSDADDA >Solyc12g099820.1.1 pep chromosome:SL3.0:12:67790240:67794318:-1 gene:Solyc12g099820.1 transcript:Solyc12g099820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Signal recognition particle 9 kDa protein [Source:UniProtKB/TrEMBL;Acc:K4DI03] MVYITSWDDFVERSVQLFRADPEKTRYVMKYRHSDGKLVLKVTDDKECIKFKTDQAQDAKKMEKLNNIFFTLMSRGPDADISEVGGKEQMEAQAPKKGRGRKQ >Solyc05g025740.3.1 pep chromosome:SL3.0:5:36212997:36279472:-1 gene:Solyc05g025740.3 transcript:Solyc05g025740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDSSGTTLMDLITSDPSSTSTSSQSTTAPPLIMPQQSAPPHSASTDRKKKGTLMQIQSDTISAAKAVRANIMPQKQKKKPVSYAQLARSIHELAATSDQKSSQRQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTVLRYVYYYLARILSDSGSQGVSSGGGIPTPNWDALADIDAVGGVTRADVVPRIVDRLTSEALNEDVEFHARRLQALKALTYAPSSSPEITQKLYEIVFGILDKVADTPQKRKKGILGTKGVDKESTIRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVSFADPVAVRHSLEILSDLATSDPYAVAMALGKLVQPGGALQDVLHMHDVLARVALARLCHSISRARSLEERPDIKTQFNSVLYQLLLDPSERVCFEAILCVLGKVDNAERTEERAAGWYRLTREILKLPEAPSAKDSNSESKDGAPSKSSKDKSSKTRRPQPLIKLVMRRLESSFRSFSRPVLHSAARVVQEMGKSRAAAFALGLQDIDEGAYVKTVPENNDSYDQDHNETSHPEGIRRVSSLSNTNAAKDTIASLLASLMEVVRTTVACECVYVRAMVIKALIWMQSPHESFDELESIIASELTDPAWPAPLVNDILLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMSGLTSVDSVSASDPKSALALQRMVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFNGSWEVRIIASQALTTIAIRSGEPYRLQIYEFLHALVQGGVQSQFSDMHISNGEDQGSSGTGLGSLIGPMLKVLDGMYSAQDELIKDMRNHDNAKKEWTDEELKKLYETHERLLDLVSLFCYVPRSKYLPLGPTSAKLIDVYRTRHNISASTGLSDPAVATGISDLMYESTNTKAAEPESIDDDLVNFWAANLGDDSLNNAPAINRVNEFLAGAGTDAPDVEEENIISRPSMSYDDMWAKTLLESSEMEEDDGRSSGSSSPDSVGSVETSISSHFGGMNYPSLFSSKPSTQSKGKSGGSRYNNNSYSGSSYDGLGSLIREEPPPYSSPIRERYESFENPLAGSDSHSFGSHEEERVSSSNPQSGTALYDFTAGGDDELNLTAGEELEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVSQS >Solyc07g055970.1.1 pep chromosome:SL3.0:7:64007966:64009637:1 gene:Solyc07g055970.1 transcript:Solyc07g055970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIDMNFPLSNFTLLLSSIFFIIFFSLLLKQYYKNVHKNHITKKKLPPGEMGLPWLGETIEFYKSQKKNKLFEEFVEPRIEKYGKTFKTRLMGEPTIVVCGAKANMFFMSNEFKLVISSWPTSSVELMGKNSIMEKKGDIHRFLRGIISSSLTSTSLDNMVPKICNTIQSHLYINCTSHGQVDRTIKLYHLTKSLTFKIVFECFLGIVVKPGLLETFEGVLEGAFSPPFKFPGSKFSRATSARTKVQKFLVEVIREKRNEIEFGRVQNEERKLDESLLSRLVKAMIRGEVSEDEVVDNVVLLVFAAHDTTSFAIAMTFRMLAQHPTCYSLLLQEHANIMSNKRLDEGLSLEDTKKMKYTWQVARESMRLFPPIFGSFRKAIADIEFDGFTIPKGWKVLWTTYGTHNSPEYFKEPQNFDPSRFEEPVQPYAFIPFGGGPRLCAGYQLAKLNILIFVHYVVTKYNWSLVDHDEPIVMDPLPFPSKGMPIKISPKF >Solyc03g078730.2.1.1 pep chromosome:SL3.0:3:52855651:52856127:1 gene:Solyc03g078730.2 transcript:Solyc03g078730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSDLIIGQERKDEIPVELEQGTKARGYMADWVPQEKVLAHKAIGGFLTHSGWNSTLESIVEGVPMICWPRFADQQVNSRFIGEVWKMGLDIKDTCDRDIIAKSVRELMEKRNGEFSQRTEQMASLAKKAINEGGSSCINLDRLIQDIRSVIPPHKQT >Solyc06g050530.3.1 pep chromosome:SL3.0:6:33312949:33315516:-1 gene:Solyc06g050530.3 transcript:Solyc06g050530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Laccase [Source:UniProtKB/TrEMBL;Acc:K4C5J7] MSSLLFYAILLLFANVASLAKAKVHYHDFVIQATPVKRLCNTHSTITVNGQFPGPTLEVNNGDTLVVKVVNRAQYNVTIHWHGVRQMRTAWADGPEFITQCPIRPGGSYTYRFTIQGQEGTLWWHAHSSWLRATAYGALVIHPKEGTTYPFPKPKRQTPILLGEWWDANPIDVIRQATRTGAAPNSSDAYTINGQPGDLYKCSNQDTTIVHVDSGETNLLRVINSGLNQQLFFTVANHKLTVVGADASYVKPFTTSVLMLGPGETTDVLITANQPPARYYMAARAYASAQGAPFDNTTTTAILEYKAAPCPAKGVKINPAFPSLPAFNDTATATAFTSSFRSASKVEVPTEIDENLFFTVGLGLNNCPAGASSSSCQGPNGMRFTASMNNVSFVLPSNFSLLQAHHQGIPGVFSTDFPSSPPVKFDYTGNVSRSLWQPISATKVYKLKYGARVQIVLQGTSIVTAENHPIHLHGYDFYILAEGFGNFNPQTDTSKFNLVDPPLRNTASVPVNGWSVIRFVADNPGIWLMHCHLDVHITWGLAMAFLVENGVTELEAIEEPPVDLPVC >Solyc06g033910.2.1.1 pep chromosome:SL3.0:6:23501821:23502840:1 gene:Solyc06g033910.2 transcript:Solyc06g033910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLKSELSWDVIIHAEKLDVEGVMLQKAILIRLMDDFAAKKASKDLGYFMAVTTLDKIGEGKVQKHTGHVLFPVEFSCITFKIFRGEILEGVV >Solyc10g083200.2.1 pep chromosome:SL3.0:10:63181125:63186147:-1 gene:Solyc10g083200.2 transcript:Solyc10g083200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRQAPDYAAAMAFAQQQHQAANTQQQQQFGFHPQHQQFPPSIHGPPFPGPHSSLQQFPYPRPMQQPQLHPHTPPPHLLHLQQQQQPPPAFPPHMPPHLVPSLFFNPYDSPPPPSPPPSDPELQKRIDKLIEYAVKNGPEFEAMIREKQQDNPAYSFLFGGEGHYYYRYKLWMSTRPPGGAFNPPFPSSSLPMMHPPNPMMSPSPLTPYNASNASASMLGPSHLHRPPFPPFYDQHHSQPFSRADYEHSYGPFKGLSRPLPSDVEMELSNVINNLTGTKESIKGAKSWFMQRSPFVPALAEALRDRVFSVDDSERQLHIVYLANDILFDSLQRRINPPELDNEALAFKPILGPMLARIYHNPQNKEENQSRLQKILQFWGTKEVYDQDTIRALENEMIGGIPANFSVPPKELIMPDTSAAAGLMHQAANQSTFQWKPDQQSLANLADQGKQIPLIPSVAPQQFHAGAVPPTGFPGLMPIPSSVPPANLQPAAHLTPASIANVGEKVPPYPLFPPGLIPGMVRKMQIGSGVPYSPMSPLDIPTVIPPSTVSESEILERVSKFFRDIGEVNPSEGPIKQSESANDYDDYERDSPVRKGGACIPPPLNLQVDPETGTYPDGSIPQKPGSNSSGRLGLGAAANPNEPNQYDDVYTSYRKDRSTNYHTSMSARTATR >Solyc02g073576.1.1.1 pep chromosome:SL3.0:2:42329446:42330159:-1 gene:Solyc02g073576.1 transcript:Solyc02g073576.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKPQGKTSNSQENDIQPINTEISGEIYSPKNTPDNKQSSLDDQEPAKSEETESSLVANTTKINQDTANSNARDIEMSSSRLIFQIIAYSGLLIKTRRRSRKHVPYNWRIVVEALNNYNLKAKVTKVIWKAPDTGQIKIKTDPRRSSWVFCVRDEQGDILQAQAQEIGELACTNTQAEAMAILQALKFIEATQMDRVVIKTDSLLTNNIVDKSWKVSLESCYYFRRNMETNARETSGY >Solyc07g042820.3.1 pep chromosome:SL3.0:7:56451503:56461766:1 gene:Solyc07g042820.3 transcript:Solyc07g042820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELLCPICRIPKIFSLILLLLVIEAGARSKDREQVEMFDKVLELRDEWRLENRKAFAYIFSDFVSGVSLFILLCFNESKVKLLKFTGYKILNNISDAGKAFLIILISDTLLGYHSEYGWNTALEMLVEHYGIEVDRSAITIFVCIVPVITDTFVKLWIFKYLPRLSSEVPKYIQKMKRH >Solyc08g077180.3.1 pep chromosome:SL3.0:8:61208597:61215801:-1 gene:Solyc08g077180.3 transcript:Solyc08g077180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pyruvate kinase [Source:UniProtKB/TrEMBL;Acc:K4CN78] MAALNLHTGGLHAALKSDVAVKSAASLDRLSSAKNIGDLFFSDLSFHRKRVNGLCQIVAVKSPDHIRGANNNVHASYDDDDNSNFNLPLTSSSSPYSLGKESVYLNSPRKTKIVCTIGPSTSSKEMIWKLAEAGMNVARMNMSHGDHASHQKTIDLVKEYNAQFENKVIAIMLDTKGPEVRSGDVPKPILLKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVDSGDTLLVDGGMMSLVVKSKTSDVVKCEVIDGGELKSRRHLNVRGKSATLPSITEKDWDDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLKSCNADIHVIVKIESADSIPNLHSILTASDGAMVARGDLGAELPIEEVPLLQEDIIRRCRSMQKPVIVATNMLESMIDHPTPTRAEVSDISIAVREGADAIMLSGETAHGKYPLKAVHVMHVVALRTESSLQNSTTSPSQSVAHKGHMGEMFAFHSSTMADTLSTPIIVFTRTGSMAIILSHKRPSSTIFAFTNNERVKQRLALFHGVVPIYMEFSEDAEETFSRAIKLLVNKSSVKDGQYVTLVQSGAQPIWRRHSTHHIQVRKVQS >Solyc04g009830.3.1 pep chromosome:SL3.0:4:3154091:3161182:-1 gene:Solyc04g009830.3 transcript:Solyc04g009830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSKEGNAVFLDRTSRATRGKRMTKLLDDEVEEDELFWNQEALKDEENDIEYEEEGEAVDVFDSDFDEDEPEPDEEGENEPDDRTQTKKRLTYPGRPPAKKKKKMKALTKTEKAHQENEEAPDPSTPSEHHGAHDDTEAERTIRKSTRTAVVVKQAEREAIRAALQATTKPIKRKKEGEEKKMTQEEMLLEAAQTEVMNLRNLERVLAREEEVKKKAIVHKAVYNGPQIRYISKNGSSYLEFVNGASFGSQITTTSTPYPQKAVCVVTGLPARYRDPKTGLPYATKEAFKIIRERFAEERSRAREEKHMDELSQAISGLGFTSKRKRSIPNNRKTSYTPRVFDRFRKFPADDSMSEDSE >Solyc11g065550.1.1.1 pep chromosome:SL3.0:11:51355767:51355934:-1 gene:Solyc11g065550.1 transcript:Solyc11g065550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPSLLYKQTHLSFFFSSMLTLTLLNAYSLTLYRPLPSKLYYTLLKDSTFAHSSK >Solyc03g033540.3.1 pep chromosome:SL3.0:3:5101615:5109244:-1 gene:Solyc03g033540.3 transcript:Solyc03g033540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Calcium-dependent protein kinase [Source:UniProtKB/TrEMBL;Acc:C6KGT3] MGSCFSSSKVSGSNSNTPSTNNTTTNTNTAVNAHQNRRETSKAPSTTVVNPRNQEGCRDKGNINQKNQQKQPRNSQQNVKPSSRRQGGVIPCGKRTDFGYHKDFEKRYTIGKLLGHGQFGYTYVATDKSSGDRVAVKRIEKNKMVLPIAVEDVKREVKILKALGGHENVVQFYNSFEDDNYVYIVMELCEGGELLDRILSKKDSRYTEKDAAIVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIRPGKKFQDIVGSAYYVAPEVLKRRSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWSNISNSAKDFVKKILVKDPRARLTAAQALSHPWVREGGDASEIPLDISVLSNMRQFVKYSRLKQFALRALASTLDEEEIADLRDQFSAIDVDKNGVISLEEMRQALAKDLPWKMKESRVLEILQAIDSNTDGLVDFPEFVAATLHVHQLEEHNSTKWQQRSQAAFEKFDVDKDGFITPEELKMHTGLRGSIDPLLEEADIDKDGKISISEFRRLLRTASMSSPTVRDSRGM >Solyc10g008620.3.1 pep chromosome:SL3.0:10:2686014:2686299:-1 gene:Solyc10g008620.3 transcript:Solyc10g008620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LKMVIIHYVQQLIRIITIGARLNKDKSAIGARLIQIHAT >Solyc10g049215.1.1 pep chromosome:SL3.0:10:44570436:44570896:-1 gene:Solyc10g049215.1 transcript:Solyc10g049215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDEVRVLHRAEVTSNWNKRASNVCSPISIFPNSNNEEERAFFKEGKELRADLNKGIQIHKDANNPNQANKQGIGHSSYCSKQVTQKNKS >Solyc11g040420.2.1.1 pep chromosome:SL3.0:11:37696364:37696531:-1 gene:Solyc11g040420.2 transcript:Solyc11g040420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTITPKLHIGHNNPYDFYVFTGHNNPWLHIGHNNPYPIFHVFIEHNNPWIAYRAQ >Solyc06g072920.2.1 pep chromosome:SL3.0:6:45089374:45095485:1 gene:Solyc06g072920.2 transcript:Solyc06g072920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aluminum-activated malate transporter 9 [Source:UniProtKB/TrEMBL;Acc:A0A2D1A7Z5] MAAPLSQNFNETSKERLIPKYSEYGLDPSFYVEPEGFWRRLCNRIKKSGSNVKQGYIKAIDMGRKDPRKVIFAVKMGLTLSLVSVVIFFKEPLSYIGTYSIWAILTVVVVFEFSIGATLNKGFNRALGTLSAAGLAVGIAELSVMAGKWQEVVIVVSIFVAGFLATYLKLHPAMKQYEYGFRVFLLTYCIVLVSGTSHFFHAAVSRLLLIGVGAGVCLLINVGLYPIWAGEDLHKLVVKNFKRVSTSLEGCVNGYLQCLEYDRIPSKILLYQASDDPVYSGYRAALESTSQEDSLLAFAEWEPPHGHYKMFNYPWADYVKVSGALRHCAFMVMAMHSCILSEIQAASDLRQIFCKEIQRVGIEGAKVLQHLGDKVEKMEKLSPRDLLEEVHGAAEDLQLLIDQKSYLLVQVENWENAKQANQLGDPEHIQELKDNETKEMGIHSFSEAGFNLRSAHTLKHMDTYSRNSSMNISGAQMCSTGNVFNQMVWPSRLSILGDVILNEREVRTFESACPLSLATFTSLLIEFVARLQNLVNAFQQLSEKAKFKEPVDAAEAANF >Solyc08g079750.3.1 pep chromosome:SL3.0:8:63352404:63361273:-1 gene:Solyc08g079750.3 transcript:Solyc08g079750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRSRNRSPTRTTTISTGGAGGRDGGGATTAMRVIVPLQGVVQGRGGLFLGSVIPCALFYFWQLYLKRNRSSGGDNNGESTAPARSPSSTHLPEVSSGSGLQRVHSRLLLSPKGTTGQSQVSARANSIISKQIDSSPYYVGLKRASEDPYDESSNPDGVIQLGLAENKLSLDLVQEWLAENVSRWMMTQDSSITGIATYQPFDGLLELKVAVGEFMSQALERSVSFSPSQMVLTGGATPALEILSFCLADPGNAFLVPSPYYPDLDRDVKWRTGVEIIPVPCRSADNFNLSIDALDRAFNQAKKRGLKVRGIIISNPSNPVGNIFSRETLYNLLDFTTEKNIHVISNEILAGSTYGNEEFVSMAEIIDSEDFDRSRVHIVYGLSKDLSLPGFRVGVIYSCNENVLAAAKKLTRFSSISAPTQHLIIQMLSDAKFVQQFIKKNRERLRRMSSLFVSGLKQLGIECTRSSGGFYCWADMSRLIRSYNEKGEIELWDNLLNVAKINATPGSSCHCVEPGWFRLCFSTLSEKDISAVMQRIQKVLELRKSLS >Solyc03g062720.3.1 pep chromosome:SL3.0:3:34193393:34194827:-1 gene:Solyc03g062720.3 transcript:Solyc03g062720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAVLSLSLPKLNNAIKASSGSSSSTTTFAPESLEEKFGRKGIKFSDGGTVELTVRNGSSVKLQIPNAHITSYKPKVYWKDDGFEEVLYTLPNSRGGIALVINEILEPNPKLPVPKTTTPSDQWTLTDVDSDSIDALQVELSCSRGSLDINYVVSLYPLSIATAVILKNNGRKPVKLTTAILSHLMSKTRKGTGIQGLRSCTYCTHPPLSSSFEILSPGEAMKTEEPGMFSFGWEPENKPGIWSTQDVPITVLKHKLSRLYSVPPQEKAKDFYNSIPSKYETIDQGRELFFRIIRLGFEDIYVSSPGSFSEKYGKDYFICTGPASMLVPLVINPGEEWRGAQVIEHDNL >Solyc01g105780.3.1 pep chromosome:SL3.0:1:93720493:93722774:-1 gene:Solyc01g105780.3 transcript:Solyc01g105780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHPFFMNGAGNTSRAEAIRWLSIAEKLLTNRDLVGSKSFATRAHESDPTLLPADQILAIVDTLIAGDKRINNQHLDYYSILQIPSNQTHDSELIANQYRRLALLLNPQKNNFPFSDHAFHLVVDAWSVLSNAFRRSVYDKEIGFFLNLNPVSSPPPPTNNPVGFMQQSSMIFQSQPQSHPVSSVPSSSSRERQTVTFLQDPQPQPMTSVTSLTREQQPVTFLSRNQTQPVSSTMLSPDREQHPFTFGSSTTRGQQQVAFAESTRGQQQVAFAESTRGPQQTAFAESTRGPQQVAFVESTRGQQQVASVDSTRGQHRVASMESRREQQEVVSVHQQGNKQAPQRNEGLLGNNQNFSASTSRNVNNEGLFGNNQNHSGKNVNNAGLFGNNQNHSASISKNANNAGLFGNNQNHSASISKNVNDEGLFGNNQNQSASTNRNVNNEGLFGSNQNQSANTSHNNVNNEVFFGNNQNHSVSSSNNNVRGKERGADASSPAVPSFWTACPYCYLMYEYPLEYVDCTLRCQKCKRAFQAVKIASPPPIIEGQEAYFCCWGFMPLGFSLEIFKKYKGNISSWTPFAPMFNKHGGVRKPSAPRVYIDDYEDVFLGLSESSEESDEDWKGDNKMKKAKSGKRKSKRFRRKKGKMQKSDKGKNVVGNAGDDVQDVSVTQGGVEVPNVTTAQSSKRAGNARRQAGRVTKDVGKLDLNVEFSNEVEEPPAPGVGQVSFLKVLMNS >Solyc06g050230.3.1 pep chromosome:SL3.0:6:32849901:32854221:-1 gene:Solyc06g050230.3 transcript:Solyc06g050230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGALWNSTCFSPVQNNPFLFSRSSKKYANSLWNFTNKSFQISCSEVKENHGRYSSNKKMEEYNLAMKRMMRNPYEYHHELGMNYTLITEDLIVGSQPQKIEDIDHLKEEENVSFILNLQQDKDIEFWGIDLQSIVTRCSELGINHMRRPARDFDPDSLRSVLPKAVSSLEWAISEGKGRVYVHCTAGLGRAPAVSIAYMFWFCGMDLNTAYDTLVSKRPCGPNKRSIRGATYDLAKNDQWKEPFENLPDYAFVDVADWERKLIQDRVRALRDT >Solyc01g094800.3.1 pep chromosome:SL3.0:1:86082930:86095277:1 gene:Solyc01g094800.3 transcript:Solyc01g094800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPQQSRRYIEQHDSPTIREDGQNRSQGFEQPMLSPVQQAYLQYAFQAAQQKSALGMQHQQQMKMGMFGPSAKDQDPRLANMKIQELVSMQAPNQAQASSSKISSEQLFSRSEKQSDQGQQLMTDQRPDPKLPSQPTLLGQTVATKPMQAPPSQQSMANMASNSLAMAAQMQAMQALAYERNVDLSLPANANIMQQLIPLMQSRMIAQQKVPENNVPVQSSSGHMPKQQVSSPQVANDSSPHAHSSSDLSGSSSAKTRQAVTTGPLTASHSVASVNNPNNIPQQQFSAHGRENNLPPRQPIMASSGLPPMHYPQSSVNPNQGADNTSLPKPASNAQEILQTQYARQLSRPSSHSAASSPDGNSGNPLMSQGGNVRQVQPQLGFSKQQLHVLKAQILAFRRIKKGDGTLPRELLQAIIPPPLDVQMQQTFPPGGIVNQERTPGKGSEDNRRPSEPSEKGPQLVVPSNGPNGSKEEVTREESTAAATATAPVPGSTTETKENASVVLPGKEEQRIMGHTSKSDQDADHAIKNTTGRGDIAPDRGKAVASQVTGSDTTQVKKAMQSSATQQKDTGPARKYHGPLFDFPFFTRKHDGFGPSMMMNNNNNLTLGYDIKDLLMEEGSEFHKRKREESIKKIGDILAINLERKRIRPDLVLRLQIEEKKLRLAGIQARMRDEIDQQQQEIMAMPDRHYRKFVRLCERQRQDLSRQVQASQKASREKQLKLIFQWRKKLLEAHWAIRDARTARNRGVAKYHERMLREFSKKKDDNRNERMEALKNNDVERYREMLLEQQTNVPGDGAERYAVLSSFLSQTEEYLHKLGGKITATKKQQEVDEAANAAAVAARAQGLSEEEVRAAAACAREEVMIRNRFSEMNAPRDGSSVNKYYHLAHAVNERVIKQPSMLRAGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKQNYGPHLIIVPNAVLVNWKSEFLNWLPSASCIFYVGGKDQRSKLFSQEVCAMKFNVLVTTYEFIMYDRAKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEGPTHNAEDDWLETEKKVIVIHRLHQILEPFMLRRRVEDVEGSLPPKVSVVLRCRMSGFQSAVYDWIKSTGTLRVDPEDEKRRAEKNPNYQPKTYKVLNNRCMELRKTCNHPLLNYPYLNVTKDFLVKSCGKLWILDRILIKLQRAGHRVLLFSTMTKLLDILEEHLQWRRLVYRRIDGTTSLEDRESAIVDFNSPDTDCFIFLLSIRAAGRGLNLQTADTVIIYDPDPNPKNEEQAVARAHRIGQKREVKVIYLEAVVDKIASHQKEDEYRGGVVDSDDDLAGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRLTLETLLHDEERYQETLHDVPSLQEVNRMIARSEEEVEQFDQMDEEYDWEEEMTRYDQVPKWLRASSKDVNMAIANLAKKPSKNVLFSSGVGVDSSGLAPESEKKRGRPKGKKVPIYTELDDDNGEFSEASSGERNGYSAHEDGEIGEFEDDEFSGAVGVTPVNKDQSEEDGPSFADRYEYHQGPQGAIKTRVPDQLGSSGSSSDNQRPTQIVSSSVSSQQKFGSLSALDARPSSRAKRMADELEEGEIAVSGDSHVDLQQSGSWIQDRDEGEDEQVLQPKIKRKRSLRVRPRQATERPEEALIEKPAVQRGDSSQMAFQGDRRYDLQMRNDRGHKTHAGPSGPKNNQNDASFKSKRSIPSRKSSSNSVKVYGLGKPGKVSRLSPDDAFEPTRESWDNKLMNASGTYSGGTKMSEVIQRKVKFKNTWNLSLSFVADIIPDLVHVFFLSIGYLQCKTVVTKLQKKIEKGGHQIIPLLHGLWKRIGSSGCMGGSEDSPFGLQTIDLRVDESEYSGVLEFVSDVQLMLKRAVQYFGFSHEVRSEARKVHDLFFDILKIEFPETDFREARNSISFAGPAASTTPASSRLMPVGQNKRHKLINEMEPDSSPLLKPQTRGTLHAGEDAKAKSHMAQRETRFGGSSSRELSQQDDSRPFTHPGELVICKKKRKDREKLGLKPGSSSAGPVSPPGVSRSIRSPGSLPTVKEGGRLNQQTPQQLNGSGSSSSVGWANPVKRLRSDSARRRQSHL >Solyc09g010890.1.1.1 pep chromosome:SL3.0:9:4221790:4221975:1 gene:Solyc09g010890.1 transcript:Solyc09g010890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFIGVHLCFCFTNMNFFWAFLIISILVPILAFFISGALAPISKGSEKLSTYESGIEPMGDT >Solyc06g005570.3.1 pep chromosome:SL3.0:6:608213:615667:-1 gene:Solyc06g005570.3 transcript:Solyc06g005570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKGKTDASTNRSGGAPVASESVLTKDSSEMENDVETGVFVETAVTEQTAVTVVAEEENNVAEAENLEEENDGAEEVVEEKNDGSEEEHDGAELESLEGELAKNEDYIENEVAGGDEACTADKKAEDASGNDEGTKQENTIQQEGVKQSVGMEKNENEINANNKEKVEGSSKEKTKRSRKRNNNRKRKANGTPQEKGEDKQVQKKVASIGKGEKDLGKLVSKAVEPSSKKVAYEDKSRVARKDIDLEGKDKPESSRKKSSAKMKANSMGMIFICNSETKKDCYRYKVLGLPANKKETVEKIYKGMRLFLYDIDLKLMYGIYKAAGRGGCNIQPKAFNSQFPSQVRFTVLEDCLPLAEETFRQAIKKNYYTRSKFRCQLSSEQVKDLCKLFKTAVKGSRSNETQLRLETNVVPKRDRAKRHGLDESRRPDRARQFEQVEDRRYREQAEDRRYREQAEDRWYREQAEDRLYREQVEDRGYREHPHLHGRGLNTSTLIPLAPLRPLPPLVQSYAYDRTLGRDPYGRDTVIPHNDSYRQRRLVELADPYRRDTVIGNPDVHRGRALLESHDHYRREGISEPREYRQPLNLETRLQVAGGINDSYVPYRERLSYHDLPVNSVRSQPEFNPPPAGLRSEYLHGGTSTVFSSARSMLPEYPSSSAGPLYRHPHRREHRF >Solyc12g040810.2.1 pep chromosome:SL3.0:12:56267468:56277185:-1 gene:Solyc12g040810.2 transcript:Solyc12g040810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELQVEKHVKYILAVEKRKDNFESVVMEHLRLNGAYWGLTTLDILGKLDAVDQDEVISWVMQCQHEDGGFGGNIGHDPHMLYTLSAIQVLALFDKLHVLDVDKVSSYIAGLQNEDGSFPGDMWGEVDTRFSYIAICSLALLRQLNKIDVGKAVKYIVSCKNVDGGFGCTPGAESHAGQIFCCVGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWIDKEKLAKFILDCQDTEKGGISDRPDDAVDVFHTYFGVAGLSLLEYSGLKPIDPAYALPVSVVNKVILPCRP >Solyc10g085075.1.1 pep chromosome:SL3.0:10:64518755:64519559:1 gene:Solyc10g085075.1 transcript:Solyc10g085075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLLDVVVYDAYSMVVEIRLERFKCFKIAAVDGLCENGCLNKELHDILNLQYLFNGFVKAFEIEDANRFLDCHLILTMKENLFHNTMLPALNRKIKLFSPQSILDISLCLTLPLKDGFKDVKWT >Solyc05g055730.3.1 pep chromosome:SL3.0:5:66102411:66108639:-1 gene:Solyc05g055730.3 transcript:Solyc05g055730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVIEKIQESVKDDRVVFSFEFFPPKTEDGVDNLFERMERMVSHNPSFCDITWGAGGSTADLTLEISNRMQNMVCVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQVEGGFACALDLVKHMRAKYGDYFGITVAGYPEAHPDVIPANGLATLETYENELAYLKQKVVDAGADLVVTQLFYDTDNFLKFVSDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTRIPAEITAALEPIKDNEEAVKAYGIHLGTEMCKKIMASGIKTLHLYTLNMEKSALAILMNLGLIEESKISRLLPWRRPTNVFRIKEDVRPIFWANRPKSYISRTIGWDEFPHGRWGNAKNPSYGALSDYQFMRARSRDKKLQEEWAIALNSVEDIYERFMYYCLGKLRSCPWSELDGLQAETKIIDDHLGNINSKGFLTINSQPSVNGAKSDAPLVGWGGPGGYVYQKAYLEFFCSREKLNAVIEKCTAYPFLTYMAVNKKGNWMSNFNQIDVNAVTWGVFPSKEIVQPTVVDPASFMVWKDEAFEIWSRGWAQLYPENDPSRTLLEQVQNSYFLVSLVDNDYINGDLFAIFKDIWN >Solyc07g064000.1.1.1 pep chromosome:SL3.0:7:66439389:66440051:-1 gene:Solyc07g064000.1 transcript:Solyc07g064000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPAIGRTDEEEEGQNGNRNSEQRETEEAGGNQLGETDEDRNDDYEEEEDMENLGSGNNVNHQNSEENGSGNQESYDENHTSWLVVVEDDEDEEEERRRAAEKGKQPMSDGGGISITELHDEDEDHHLDLAILKAMCDYECIIRNSPQLSSFNNRLVYVKDSFPDLKMSREALEEKIIALCKNFNDVREQLGDDPRMDRPIDREIFNLSMIIWGTKVIPR >Solyc09g065105.1.1 pep chromosome:SL3.0:9:63189795:63197058:-1 gene:Solyc09g065105.1 transcript:Solyc09g065105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKELTTGGGDSTSFSMQEQTIKIGHMTAQEHMYNDQGTQEQVLLQLLHKTSPEQIHNMIDVLKKTTSSMDSHHSVNMAGIDNSNPSYYLKWIIDTGAIDHMISNSNHLHVGKVMKHAGNVQLPTGESATVTHIGSIQLNETEMINDDCELTPCIVINNAPPLSNHTGHDGSQSDPNNTTTTSEDADPIFDTSPRNQLPQPAGHCLYSITDVVDYDSNDHNLILETKKNLKENFKIKDLGNLRYFLGIEFARNETGILMHQRKYSLEMISEMGLSSSKPVRTPFELNQKLTTTEFDLHFPPTDENYRLLSDPSVYQKLVGKLLYLTITRPDIAFATQLLSQFMHNPKTSHINAATRVVKYVKHAPGLGIFMSADLGNQLTAFCDVDWTSCPNNRKSVTGYMITYGNSLISWKSKKHNTISRSSAEAEYRSLASTVAEIIWLTGLFKELGVQVKLPKLSGSFYSLM >Solyc12g040210.2.1 pep chromosome:SL3.0:12:53900807:53902008:-1 gene:Solyc12g040210.2 transcript:Solyc12g040210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGGEDSIHPNSHLGYNSKSPAFPSSITGYSWKNDLSQDTSNSIYQSDVGDGSFPILIKHMQANADPASAGSNKVQISGHSDMLPEQDLTRHGTAVAHQENMNTSSKEDKHLEYEIDVYNKSLNTKSAILKNFHVALVEFVKELLRPTWNSGLLSKVAYKKIVKKTVNKVENTLHPNQIPNTAESTEEFFDLSLTKLSDTIEVRHKKIVCPCEFHCP >Solyc02g089790.3.1 pep chromosome:SL3.0:2:52118843:52134687:1 gene:Solyc02g089790.3 transcript:Solyc02g089790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKEAEDLQKLKKIAAAAYDYENDPRWADYWSNILIPPHMGSRSDVADHFKRKFYHRYIFDELLYSPTAPGAYTRYSYCSSHFVLIAFHILQNAGSSLLKLMYHAPATANYHQSIWATIGRHEECLESQMNLNRVNVGVPFCSGGTYLFQEASDLLQLNRTGSQQREKQDDNQIESTNDQTRVPVDGMIGNRIKSFMWKRNLDMGKQVFNNLADLSSQSSADAVCKQDHVQGTPSREYQNLFQQEACYPQAWQDEALCCSSNLQSVHHDHLTASCMTDSLLQTELSANKPGELGEVLSSVGVSYVSKEIADSPFSSVTVCSEGEWNANKEPYEDGLTYSDNGFLSISESVSKSPIKSQYSDEVEFNLRNCGRYLETNQNIHHDNVPALHGLSVDSSHLNRRPSESEVNWFSKDYTLPTAVSYQLPNSRSYGYLVKQDATMNNVSRQRSTLSSVLQPFPEQLPSIQQCDFHQLHTGSSNGSDCDVHPIGSANLSNSDNLSLHDILALYINYDSVVVDAGRIRIPFLNYLHLTVCNVKRCWCDWSSALISHFKNCQYAGCGMCKPVRELHPKDVKESVKNMVAILHDEECSGFRSSINEAVLPPSKRKRMENLPVLECWSSNADSGNLQSPAAGHLSLRQFVESPICSKKNKTEISNEIASCVEDQNTAGESCNIANIDILHVANGSFSSTELTNDCGLQKTVHTCTSGTDYNEIDSSSHMSLDRSSFLPIEPTDDQQQELQSASKYDQTTSSARINLTEPKADYQMEMRSEDPKRLGISLTDYFTIEQLKDHIHNLSQYNQGSTGNMTVLPISENVCQLCGTDRLVFVPTPVYCSSCCKCIKRNLVYYWAVDEAGGRHCFCTKCFRKSCGDDVSSQGLSINKNKFQKAKNNDQNEESWVQCDKCEGWQHQVCALYNAKKDFEGQAKYICPFCCLKEIEAGEHVPLPVSIGAQDLPRTMLSDHIEQRLFRRLKLERNERAKLSGQDADEVPGAADLIVRVVLSVNRNLKVKQQFLDLCHNEGYPPEFQYKSKKIGGVDICLFGMYVQEFGSECAPPNRRCVYISYLDSVKYFKPDIETVKGEALRTFVYHEILIGYMDYCRKRGFTTCYLWACPPIKGEDYILYCHPESQKTPKPEKLRSWYWSMLRKASEEDIVVNYTNLYDHFFVPSTRNSARISAAHLPYFDGDYWSGAAEDIVRNIEKESRGDSQNKVKKLMTKSTLKAIGHDNLSADATKDILVMQKLGQTILPVKEDFIIVNLHVVCANCQQAILSEGQWCLALKDNLSEHKTHTSSSGEEHLLSEVVVNDIPASTEDQDAIIENDFFENRHSFLSFCEKNHYQFDSLRRAKHSSMMILYHLNKNIHLSKTDSGFGKVQFEGQRPLKVKLMDILVHASQCRATSSNPCSYSGCLKMRKLFQHASRCSVRVPGGCALCRKIWSLLHWHSQTCQDISCLVPRCKDIKKHVARRNPLLQRGERG >Solyc12g009880.1.1.1 pep chromosome:SL3.0:12:3055601:3056095:-1 gene:Solyc12g009880.1 transcript:Solyc12g009880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASARRSTGPVLRSLSPAGRFYSSSSRTSSSSASSIRFTLDRETSPSRSISVMNRQKTLASSQKKRCMCSPTNHPGSFRCSMHKKMDIRRSSSTSQTASNSIRLHMRRSAMTNSLVRIGTVEGELVKRALAALIRPSSHQQRRRSDFQRRPSRLSVISSSGDS >Solyc01g096850.3.1 pep chromosome:SL3.0:1:87719343:87727018:-1 gene:Solyc01g096850.3 transcript:Solyc01g096850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNKVIDIEGQKRKKSGERAVEPNQNPKQGLPDNFTERDTIIEVDGEEKPYVGMEFQTEEAAKNFFDAYARRVGFSIHVGQYSRAKPDGPIISWDFSCSKEILRRKNTESCNAMLRIERKSSDGWVVTKFVEDHNHSIVNPSKVHYLRPRKHFAGASKTVGEIPGAPTDIMVPPVVVPVEGNHAFVSSNEGVKDAPPMESNRVTKNFSPVIPIMFIQPCSRKRTLGRDAHNLLDYFKKMQAENPGFYYAIQLDDENRMTNAFWADARSRIAYSHFGDAVIFDTMYRPNQFQVPFAPFTGVNHHGQMVLFGCGLLLDESESSFTWLFRTWLSSMNNRPPVSITTDQDRAIKAAVNLVLPGTRHCICKWHILREGQERLAHIYMAHPSFYGELYSCINYSETIEDFESCWTSVLDKYDLGKNEWLQAVYNARDQWAPVYFRDTFFAALPSNQGVTSFFDGYVNQQTTLPMFFKQYERALESSLEREIASDFDTNCTAPMLRTPSPMEQQAANLFTKKVFAKFQEELVETFAHTANKIDGDETLSKFRVAKYEQDDKAYIVMLNLAQMKASCSCQMFEYSGILCRHILTVFTVTNVLTVPSLYILKRWTRNAKVGQGSDEDIVKQGINSLTSRFNYLCLEALRYAEEGAVSAETFDAAVSALKDGLRKISVVAKSVGKPLSSQGSESTQDGSIKKTPATSDTLPSLWAWQDTMPRQFNLNDGGLTAGDLNQPTMTPVAINHDGGLADNVVVYTCFKSMTWVIENKSPASKVAVINLKLQDYGKNPAGETEVQFRLTRVALEPMLNSMVCISQQLSLPANRVAVINLKLQDTKTPSGETEVKFQVSRDTLGSMLRSMAYIREQL >Solyc10g076650.2.1 pep chromosome:SL3.0:10:59702113:59705071:-1 gene:Solyc10g076650.2 transcript:Solyc10g076650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCFLAFLYKSFPFVFSILVSVSPILVCTTALLGTLLSYAQPNVPEFEWKENTIDHVVPLETRDASGVESDNSYFTERCNDQERDKVDHSTRSEVHRGKRFGGVLVGGVSGQMAREFYENKNEDKFSDAELVENQYSPTSKVDDETLEFDNDKSVDSFDSRRVNLDSPPGSWKRREEALYSGSDGAESSSPDASIADIMPMLDELHPLLQEDNPQPIDTEGPKSVITWTEEDQRNLMDLGTSELEMNQRLESVIARRKSQKNMSFKDEKSLIDLETTDFIPPISTARQNPFDQPNDNYGPELPQIPGSAPSILLPRYKRNPFDLLYEPSEEKPDLTRDTFEQEIITSQPKETFFRRHESFNVGPSIFGLNKKDTRFRPYFVPDVMADEETSYSPFQRHPSDLSDSKASSVLETESQGSIEDLEYKNLNEEDVEDKNITDEPMPELISKIEHATDDIGHGSQSSEEVECLVLGASDKRDIELDDTDVKQLNTKNHHEVVPIVFQEETTIISTKLDPSKICSNSATSEQKCSSQSSSSSSSEEEGERIFPDKVEVKLTSEDTMFHIETISEHPNVSNLNITKSSVEESSHLEPIYDASPPSIKKNTSSSSIASDMVLASETGHAPETEPMENSQDTEKSITKKERIFSSVDSKELRLTEAITNTNDLHVAKLEISKHDEVAPPVLVADIQLPTKEATPVSMEKAGTTNVSKVDPESVLKPSNTKMETEESESSNNGSVHTVSSSNNASKIDNKVVKQEDKVTITEKSNHEVDLTQETSVASGDVVNNKDNDTIQVPVNSKENVDN >Solyc08g029010.1.1 pep chromosome:SL3.0:8:38740808:38741480:1 gene:Solyc08g029010.1 transcript:Solyc08g029010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACPRCEQLTSLSVIVGKTTVALRITRNKYFYSIFLTSVILLSSRKFSNFKVYYSLLLACFISCSFADIFF >Solyc08g077650.1.1.1 pep chromosome:SL3.0:8:61699123:61699281:1 gene:Solyc08g077650.1 transcript:Solyc08g077650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGKLDVNQRQILINSLNDPKSDVKVLLASTKACSEGISLIGASRVVLLDVL >Solyc12g016217.1.1 pep chromosome:SL3.0:12:6400580:6401586:1 gene:Solyc12g016217.1 transcript:Solyc12g016217.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVELEPLTFEVKGMSQNHLYRRLFMYLTPTSESTGPLVPIQKSRFYSTYIGNRVGIGFMSVWVKKNDQMGSLLGLKHTHKMRGP >Solyc11g028060.1.1.1 pep chromosome:SL3.0:11:20140902:20141159:-1 gene:Solyc11g028060.1 transcript:Solyc11g028060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLYKLSTCTNQQAKPSKDYALPIHYVDRLVTEECTGGHCSKLQRKCLCTKVCVFEKDSNEVKTTLGGEAKTLSEAVLEEESTIE >Solyc09g009110.3.1 pep chromosome:SL3.0:9:2449446:2451182:-1 gene:Solyc09g009110.3 transcript:Solyc09g009110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGEEKPLIFDSSHMKNEFNIPTQYIWPDDEKPCVVAQELHVPLIDLMSFFSGDPVATQQASRLVDEACRSHGFFLVVNHGVESNLISNAHRYMDTFFDMPLYEKQKARRKIGEHCGYASSFTGRFSSKLPWKETLSLRYTAKENSSHIIKEYFQRTLGESFNNLGNVYQEYCNSMNTLSLRIMELLGISLGVQKSHFKEFFEDNDSIMRLNYYPPCLKPELTLGTGPHYDPTSLTVLHQDCVSGFQVFVDNEWYSISPNFNAFVVNIGDTFMALSNGIYKSCLHRAVVNNTTPRKSLAFFLCPHKDKVVTPPTELVDYNNPRLYPDFTWPALLEFTQKHYRADKNTLQAFSMWLQENNAEAKYE >Solyc02g088740.1.1.1 pep chromosome:SL3.0:2:51361137:51361430:1 gene:Solyc02g088740.1 transcript:Solyc02g088740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRSKLSPSSLSMRRTRRSTPHKKTCKSQNLVDVDGGSVSEKLEALKQLIPANYGEIKADQLFKETADYIVLLRTQVFVLQKLVDFYGSNTDQNPV >Solyc07g051980.2.1 pep chromosome:SL3.0:7:60665620:60670748:1 gene:Solyc07g051980.2 transcript:Solyc07g051980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIFWYERGEGTDADQRRRVKFWKDNREKVQKQRGSVLKWETVEEEMDEVILKNYSNNSDNISLAETAKPPSDLILPLLRYQKEWLAWSIKQEESTFKGGILADEMGMGKTVQAIALVLAQRELKKAASASSTLSSSPTTSQELPTVKGTLVVCPVIGALQWFREIENCTTKDSNKILLYHGTNRGKFTSNLEEFDFVITTYSTIQADYRPKKSKQKSKNSKLCDDGSSDNSVSVAEDMSRRKSILHSLKWDRIILDEASHAFTDSWFICCFSSHAHQIKSVSNATTKAVLALESSYKWALTGTPLQNCIGELYSLVRFLQVTPYAYYFCENCNCSGLDLSFSDKCPQCRPWPCRRACHFLWWKKYIEKPSANKRFMSERMHGDAMVFIRKNSLDVDEFNYYKSLHNKSREQLDRYVEDGILMNNYAHVFAMITRLRQAADHRYLVMYSRKELASGNKKAGDVEQSCHLCHYAVEDPVVTCCRHVFCRACMIDLDEGVMEATCPSCTKPLAFDYTGNKDKGDSSSKPTVKRFRSSSILNKIQLDKFKTSTKIEALKEEISDMFQRDCSAKGIVFSQFTAFLDLIQYSLELCGINCIQLVGSMSIAARDAVLKRFTEDANCKILLMSLKTGGVALNLTVASHVFIMDPWWNPAVEQQAQDRVHRIGQYKPVMIVRFVIENTIEERILELQEKKKLLFEGQDNWWFFRDLRKANKRGLDVPVVLGLLICSISSVWQTN >Solyc02g077550.3.1 pep chromosome:SL3.0:2:43004024:43004765:1 gene:Solyc02g077550.3 transcript:Solyc02g077550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTENRDVMTALYVLSANNSGATFLATVCLTLSSLIGAWMANTSTIFSSELIYGDTKASTLSIKYISLLVCFLVAFSCFVQSSRCLIHANYLISIPNSEVPSSYVELAVIRGGDFWSIGLRALYFAITLLLWFFGPIPMFVTSIGMVFLLHYLDTNKSPLVQHHSSSRFRENHVSCQVN >Solyc06g071040.3.1 pep chromosome:SL3.0:6:43777421:43794253:-1 gene:Solyc06g071040.3 transcript:Solyc06g071040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHSWRPHLPPPPIQGRICPSCSISHFPFCPPPIPFPSNPSFRYPPPHPNQPLSRPPLPPHEAAYDPFIDHRGGPPMPPPHRPTVDGYEHHPRPSDVYGNMKPTYDSPGAFNVGVKRMRVDDSSGTFTNEKLTSLARFSTDNERRLQLINEFGGAAYELDKGRGSFDGEEYGKFDGFLGGKNSNSSYVDQGYGNMDRNQTFCDQERFERQQYPPREGNVQFGQLGYDKNLPERNGYKYGSPRADTHHNVEHNQQNCEGSRYSSKLGGGYLPRHGVSGMNKLYNEQTSHLPVDGHSYNHHYSQAYAMSNHVDSKSNHYGSPHDQRINSADRSGTSLYSAQGCKTYASQPPLPASPPPPLPLEPPLHERLVSSSPPRTSASLFPGSVGSSAPLSSYYPPLPEEKSMSRYQPNLHLSSCTTIEQVHMHRYTSSSIRSRGSEHPLLEVPSDKSKAIDAIHILKHPYRATRPDHLVVILRGLPGSGKSYLAKMLRDLEVENGGTAPRIHSMDEYFMTEVDKVEESEVLRSSGSVRGKKMVTKKVIEYCYEPEMEEAYRSSMLKAFKKTLDEGAFSFVIVDDRNLRVADFAQFWAFAKRSGYEVYLLEAAYKDPAGCAARNVHGFMQDDVQKMAGQWEEAPSMYLKLDVKSLLHGDALEEGGIQEVDMDMEDDDSFGVPSTLEEENIEKFTVPPQEDIPACGDVKDDQVLDHEEDHRITEVKELAKSKWSSDLDEDNTRRNKDATRNINALSGLIQSYSKEGKFVRWGDQVTKRGFSIGAAKATNVSLIIGPGAGYNLKSNPLPDEEKLTSTRHSGEPKRQNIFQERLRAEHESFRAVFESFRTGSDKRRPRISGLNAEDE >Solyc08g008310.3.1 pep chromosome:SL3.0:8:2728415:2751986:-1 gene:Solyc08g008310.3 transcript:Solyc08g008310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYRRSLTTRAKFLYQQKGATPAISYTHDDDRKTHDLPSCENPRVSSFFQPRYARSEINSFNGSKNLFQNRRFFPSRLMIPMNSGSMFTRSMSSSVGGGAEKIEYISEVAELADKAVEAVASQVPAVNEVANAAADSYLPVKALQYLIDYVHIFTGFDWWASIIATTIVIRCITLPLMINQLKATTKFAGMAPAAVAEGQQRMQAAMKEHGVTPFTPLKGILIQGPVFVSFFMAISNMVEKVPSFKQGGVLWFTDLTVTDSMYIFPVLTALTFWITVECNAQEGLEGNPSAKTIKNVSRAFAALTIPFTAGFPKAVFCYWMTSNLFSLSYGLLVKRPAVKKFLGVPIIPVTPKSEQKPALPFFETLKKYAAAHKQIAEQQHMQSSSAVASEPTKESEMAEKFIIEVEPAKPAKDGKPSVGPVYRSKFAKDGFPPPIEGLNSCWDIFRLSVEKYPNNRMLGHRKIVDGKPGKYVWKTYKEVYDIVIKVGNSIRNCGVEKGGKCGIFGANCAEWIISMEACNAHGLYCVPLYDTLGAGAVEFIISHAEVAIAFVEEKKVPELLKTFPNAAKYLKIFMHDGFPNLGLSEYQHIKSFCSVLKMCSFGNRLDSKGSKNQYDLPLKEKTDICTIMYTSGTTGEPKGVMISNNSIVTLIAGVKRFLESLTMSDVYLSYLPLAHIFDRAIEECFIRHGASIGFWRGDVKLLTEDLGELKPTVFCAVPRVRDGLGGKVRLILSGAAPLSSHVEAFLRVVACAHVLQGYGLTETCAGTFVSLPNQFDMLGTVGPPVPNVDVCLVSVPEMEYDALSSTPRGEICVRGDTLFSGYYKREDLTKEVLIDGWFHTGDIGEWQPNGSLKIIDRMKNIFKLSQGEYVAVENLENVFGNNPVIESVWVYGSSFESFLVAVVNPSKQQVEKWAKQNSLSGDFNSLCENSKVKEHILGELTKVGKEKKLKGFEFIKALHLDPVPFDMERDLLTPTFKKKRPQLLKYYKDVIDTMYKDAK >Solyc08g060940.1.1.1 pep chromosome:SL3.0:8:45864564:45865619:-1 gene:Solyc08g060940.1 transcript:Solyc08g060940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKGAALSNGVVKKIILSYTYVAIWIFLSFTVIVYNKYILDRKLYGWPFPISLTMIHMTFCSSLAFLLVRVFKVVEPVSLSRNVYLTCILPIGALYSVSLWLSNSAYIYLSVSFIQMLKALMPVAVYTIGILFKKDTFNNSTMGNMMAISVGVAIAAYGEAKYDSWGVFLQLLAVLFEATRLVMIQILLTSKGISLNPITSLYYVAPSCLVFLSIPWIFVELPILRQSSSFQFDFAIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPVNLIGYGLAFLGVAYYNHKKLQALKAKEAQKKSQQADEEAGRLLTERDSNGSSDGKKGDTQA >Solyc05g048745.1.1 pep chromosome:SL3.0:5:60166934:60171003:1 gene:Solyc05g048745.1 transcript:Solyc05g048745.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNQSLSNPESTPTVLVGGSSSRNKIDINHAFYLHSSDSPGMGLVSNIFDGKGYQNWKRSVLIALSAKNKLGFITGTHSAPGSVSGFKPNMGYPRVNNQQPPPPRYPKQNQRFKEKKKYNPNVSCTYYGKIGHIIDECYRLFGFPEDFNFTNEKNHSAPVRGNAAASMEEDDPNYYMDQVNQHMSREQFGHFIQVMKQMKIPESITKSAAADINANAIAVSFPVSSNVSSNVRLWHVRLGHLPYTSMKNDLLDSKDSTITTGIDFFPIINVPVNSPVIDTSPVQSTVPSEHVASPSPIPVRRSHRTNIGTLPSHLKDYICNTIYLSDVTDSCLAVPSNPSNFSYANLSQPSQMILNNMRKLGLTLFPSNLRGDVEISAPSSSSAPSSKKYSKGNVDKQAKRKTFGLP >Solyc09g066340.1.1.1 pep chromosome:SL3.0:9:65003963:65004358:1 gene:Solyc09g066340.1 transcript:Solyc09g066340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEDNSTIKKKESCVGEVKYRGVRKRPWGKYAAEIRDTNNNGSRVWLGTYATAEDAARAYDKAAFQMRGRFAVLNFPHEYPSDHHSSSSYSMSSSSTSCSSVKQVIEIEYLDDKLLEELLGLESDKSQYNK >Solyc06g050470.1.1.1 pep chromosome:SL3.0:6:33211106:33211429:-1 gene:Solyc06g050470.1 transcript:Solyc06g050470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHVVGPPPRPPSPFSPVPFPHRAHEKATPTDDTEILGVYGINSPIQSVNAPPRKKAIMSNIGEGTFEVRSKRLNVELVTRTFCVCARTSTRIARVVKEHENLLCM >Solyc11g061950.1.1 pep chromosome:SL3.0:11:48957657:48958999:-1 gene:Solyc11g061950.1 transcript:Solyc11g061950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKDMKEDEFLKGDDTSGKGLFEVVLDEIKCIGLGIDNLRGQAYDNGSNMKGKHLRVQKGLLDINPRSFYTPCGCHNLNLVLCDMAKSCTKAISFFGVLQHSVPSLTLKSLSQTRWKSHIESVKAIRFQTPQIRDALFKLEKVSDDPKIKSEANCLAIFELENFEFLLGMTIWHDVLFAVNSINKSLQSKDMHIDVVIDQLRGLVSFL >Solyc03g117940.3.1 pep chromosome:SL3.0:3:68404074:68408100:1 gene:Solyc03g117940.3 transcript:Solyc03g117940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRLTADLIWKSPHFFNAIRERELDLRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENFPYLNRLGTLLMNNNRITRINPNIGEFLPKLHTLIITSNRLTNLVEIDPLASLPKLKFLSLLENNITKRPNYRLYVIHKLKSLRLLDFRKVKQKERLEASKLFASQEAVEQVKKESVKTVPVEVAAPAEEPKEAQASKPVAPTPEQIIAIKAAIVNSQTLEEVARLEQALKSGQLPADLNIGDHDVTAKKEDAKEDKMVTDSDDKANKVEENVPEQTPDGPTDMEQE >Solyc01g105670.3.1 pep chromosome:SL3.0:1:93654012:93658687:1 gene:Solyc01g105670.3 transcript:Solyc01g105670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLGKKRKGVEISMVCQKDESLLTVVRSHLSLEDYSRRKKKSREVVVEADNFCRKNVINGVATAPSCGSSRSRSPGRGLKRKIGCLDSATRLGRKKKIEQDYEMGDVIGRGKFGSVLLCQRKLSGEKHACKTLLKGEEIIHREVEIMQHLSGHPGVVTLKAVYEDAESFYLVMELCSGGRLLDQMARVGRYPEQQAANVIKELMLVIRYCHEMGVVHRDIKPENVLLTTSGQVKVSDFGLAVRISHGQSLTGVVGSPAYVAPEVLLGDYTEKVDIWSVGVLLHALLVGLLPFQGDSLESIFSAIKEENLDFSGGAWASVSQPARDLLSCMMTRDVSARYSADEVLRHPWILFYTAPTLKNHAKPIRSQLTATTRIERERRNLAFSSLSDDFGSTFTSGSSSKMPEGEDSGFIDALTVAVSHMKISEPKRSRICSPARTIDQECSPNIQANHLCTAF >Solyc12g017800.2.1 pep chromosome:SL3.0:12:7412427:7414521:1 gene:Solyc12g017800.2 transcript:Solyc12g017800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYAALSSLIYTLKQLFKPNESLVCQCCTQQHVESLCKSLSALQDFLDDTTKDIETLKVVEKRIRNVIYKAEDRIDSSLRNIPLADHEDKRKKACRSFYEELLKVEEKVYFLNKEVMVINVNKHGRKSVELATTSSSPDKSTTEENTIVGMEDDFNTILDRLISQTNELTVIPIFGMGGIGKTTLARKLYDDYSIRCRFDKHAWVTISEEYNERQMLLKIISSITGNDREMSNDQLMETVYRGLKGRRFLIVIDDIWSAKAWDQMQRIFPNDDNRSRILLTTRLKYVADYVSYLIFRLIVSLF >Solyc05g013810.3.1 pep chromosome:SL3.0:5:7120614:7127512:1 gene:Solyc05g013810.3 transcript:Solyc05g013810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWKSPSSSSYPLNISFFIIHLFLLLLIINQHVVVVIVSSQPLYTNSRWIVNSKGQRVKLTCVNWVSHMDVMLAEGLNHQPIDAISKSIINMGFNCVRLTWPLYLFTNDSLSSISVRQSFKNLGLFSSILGLQANNPSIVDLSVLDAYKAVVASLAKNNVMIILDNHISKPGWCCSRFDGNGFFGDEYFDPHLWIQGLTKVATTFKATTNVVGMSLRNELRGPLQNVDDWYRYMQKGAEAVHAANSDVLIILSGLSFDKDLSFLKQRPVNLTFSGKLVFEIHRYSFTDGDTWSADNANQACGEVLNDMVSRGAFVLEQGYPLFVSEFGVDQRGTNVNDNMYFNCFLGLAAELDFDWALWTLVGSYYLRDGIVGLNEYYGILDWNWFDIRNSSFLQRISVIRTPFQGPGYAETRPHKVIFHPMTGLCVQRTSLLQPLELGPCSEAEAWSYAPAKALIVLGTYFCLQADDKLGQPAKLGMICSDDSSKWDIISDSKMHLSSKLQDATSVCLDVDPNNVIVTQTCKCLNTNDTTCDPGSQWFKIIDSTRTTKITKSFLQIKPIIQFLARNFFGSYI >Solyc02g084090.2.1 pep chromosome:SL3.0:2:47831920:47844921:-1 gene:Solyc02g084090.2 transcript:Solyc02g084090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPVLKNHEIESIKRMPQAIWSLTQFPTGSSEVVLSCRATSRVPTKDKGRQVFVPWNLMIVQNMLAHQLRGEIRFKRRFQFMVHLLCLVRLSDHLNRVLHWSALKMPNYSLLFRKRFRTWYQEKELQAQSCKAKLNFLPRRQRNRLDSALSGERFDLHRILCGEVLLKSMIPSPNSPCQIKSSSRSIDGSLAHCRVSVNWISRSFVRPLSSIQRMISWIRNSLLQIDPNSYSLQIKLHLCDQGEVAALEKETIYAMSPELSETSPTQIKAADLNVEATQDVSQEDVQQALLKMFEGTIVSVPDNRAWKLPRGDTTQIAKAIIFTCAGVESDDFIVYGLIPEFVARLPDLVSCIPYIRISLYRIWTKFLAKVNGKICALDKDYEK >Solyc08g078440.3.1 pep chromosome:SL3.0:8:62366482:62374019:1 gene:Solyc08g078440.3 transcript:Solyc08g078440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRGYATDTQSKSSDIAATILAASSPLQILAACDAVESFLHKLTADQTRWFFSITFPTLICKIFGFDESSSASAAVKSMSPSGWIDIATLSNDTQLAGRIFSLLSPTGVLLSSIVAADGLSLVKYVFPVERLPEWVRHMLQNERDSLVLSDLCPLFKNRLKEDSVKGSSFQVQLNVFEYYMFWFVYYPVCRGNSEGPQTVSVRRSRRFRLENWAYSIPGLSSTKRGMEQKNEGDLYMRLLYAYLRAYVPVADMKAHQPYRSSLLHYSFSYETPIVEKAEFMVNTLIYFWLVDNDFSPLPVNLCKSFGVTFPFRSVLGEIPPTSGLGEVVNVCVKYLNLSSIASSDKTDQVDYTESPKWKVGGTFGASQSRNAVPVMDSGNSWNSWIQRPLYRFILRTFLYCPMESSIKNASQVFTLWVSYLEPWSISMEEFVELDADLGKSNRGTLKEVTPSTPQGYTSSWQVFVLANYLYYSALVMHFIGFAHKFLHTDPEVIVKMVSKVITILTSSAELMDLIKNVDIVFHSKPAGSSKSMLNALHRYVPAIREQLQDWEDGLSETDADGSFLHENWNKDLRLFSDGEDGGQKLLQLFVLRAESELQSIGGENLSPNLQRLDRMKSELCQLFGGPIMKSMTTPETVQFEYLRDEIFKPRSFTNRAMIDIKYKGDWMKRPISDDEIGWLAKVLVKLSGWLNESLGLNQVESSQESPVWSYVDVSSDARSVCGPMEMIKVVLCSFISWLLMLRGAGVRFMREHGIRVNLRILASKKVVVVLLVIAAFSLLRRAFLGVG >Solyc01g016682.1.1 pep chromosome:SL3.0:1:21199217:21199748:-1 gene:Solyc01g016682.1 transcript:Solyc01g016682.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLTSTRPGFMFVVSLISHFMACPTQLYFAEAKRVLGYLKGDNKSTSGNVFMMSGGAISWSFRKQPIITLSTTEVEFFATAACACQAIWMRRILKEIGHVKAAGTKLICVNSSTTKLSKNPVLYGCSKNIRIRFHFLRDLAIEGVVNLL >Solyc05g051610.2.1 pep chromosome:SL3.0:5:62829901:62833473:-1 gene:Solyc05g051610.2 transcript:Solyc05g051610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHRGRNLSASISETAPTFCTVYAVSKGKLSSVRASSTENNRFLIDDNSDTSSSSNNSTSHSFSSQGERTDHSSTSPASYSHMYSPSHQLQRYQSKSPVHQALQTLLHKRTNFSENIQSRSSSVDIGEAFQALSIKTNTPFHKRAILDEVIHPRALSVAIGEADDEKSCYFSSSGITDLYNRASSFKKAKVDNQSWSTNFVYVQVNINYDLEKLRIELRHIQGMYAIAQTEAIDASRKLNEFQKLRVEEANKLKQINLKEEEAKELAEQEKLKCEAAKKEADYAMECVEREAEQRRAAESIANREARMKEKLEKSLALPLHHYQEFTWEEIVTASSSFSEDLKIGMGSYGMVYKCYLHHTPAAVKVLHSAEAHITKQFQQELEVLSKIHHPHLLFLLGACPERGCLVYEYMENGSLEDRLTRKNNTPPLTWFDRVRIAWEVASALVFLHNTKPKPIIHRDLKPANILLDHNLVSKIGDVGLSTMVQSDSSSAMTAYKDTSPVGTLCYIDPEYQRTGLVSTKSDVYAFGMVILQLLTSKRAIALAHMVEMATEEDKLVELLDQEAGEWPLEETKELAVLALKCTELRRRDRPDLKDEVLPILERFKEVADRARHLKCNLPPPPSHFKCPLLKEVIQDPCVAADGYTYDRKAIESWLADNDHSPVTNLPLPHKHLLPNYALLSAIKEWKSGKH >Solyc11g068830.2.1 pep chromosome:SL3.0:11:53730463:53735544:1 gene:Solyc11g068830.2 transcript:Solyc11g068830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSETMESIILQLHDIAAVKFGEFKLKSGIFSPIYIDLRLIVSYPSILRQISQILVGSLPESTKYDVVCGVPYTALPIATCISTAHDLPMVMRRKEVKDYGTAKAIEGAFEPGQACLIVEDLVTSGASVLETAAPLRAVGLKVTDAVVMIDREQGGRENLADNGITLHSMVKLTEMVRILKEKGRVSEETEKMVKKFLEENRKVAVPAPVKETKVKTRLPYDERAKTAKNPTGKKLFEIMVQKNTNLCLAADVATAAELLDIADKVGPEICMLKTHVDILPDFTPDFGSKLRSIADKHNFLIFEDRKFADIGNTVTMQAEGGIFRILDWADVINAHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNLATGDYTAAAVKIAEDHSDFVIGFISVNPASWPNGPGNPSFIHATPGVQLVKGGDALGQQYNTPNSVIGDRGSDIIIVGRGIIKAANPAEAAREYRLQGWDAYLVNTK >Solyc07g018027.1.1 pep chromosome:SL3.0:7:8907504:8910118:-1 gene:Solyc07g018027.1 transcript:Solyc07g018027.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIARFKFQQVISPESVVKERHKLWALSNGKVMGIGKERECLYILKDCLPSTFNMIQVPDTATEEPAIIVPGYMEFADENDNEDHSELPVGNNEAVHEAGYNEQQIDPSFNISGRPARNSSHDHSLFTKHCGDDIVVLLIYVDDILLTGSSHRLIDDAKQDLHSQFKVKDLGELKYFLRIEILRSQHGILMNQRKYALELISDVGLAGSKPVHTPLEPNVKLTSVEHDKCTGAKDDPLFEDMSRYQKLIGKLIYLTITRPNICFAVQLLSQLMQHPK >Solyc03g113650.2.1 pep chromosome:SL3.0:3:65215602:65216102:-1 gene:Solyc03g113650.2 transcript:Solyc03g113650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCARRKRYGSHSPMVLDDVAVLGCSSEVARDTRPNTDTL >Solyc04g048960.1.1 pep chromosome:SL3.0:4:38680316:38681042:-1 gene:Solyc04g048960.1 transcript:Solyc04g048960.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVASLLCVGITIYSPLKYFGLDKPGMHIGVVGLGGLVHMALKFSKAFGTRVTVISTSLSKKDAAIERLGGAEGSLDGIIGTVCMIHPLLPLINLLKTHGKLAMTGAPEKPLDLPVFPQLLASARSFIGEMKETQEMMAKHNITPDIEVIPMDYVNTTLDTF >Solyc04g016015.1.1 pep chromosome:SL3.0:4:6619928:6625142:1 gene:Solyc04g016015.1 transcript:Solyc04g016015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDSSNITVHFNTETVDVVSNSKGQISGILIRTVDTGEESVLEAKGLFYGIGHSPNSKLLEGQVELDSAGYILVKESTAKTSVEGVFAAGDVQDHEWRQAVTAAGSGCLAALSVERYLTSKNLLVEFHQPQAEDDKKELMEKDVQESFDITYVKHKGQYALRKLYHESPRLLCVLYTSPSCGPCRTLKPILSKDNRPTLDSLAIILTAQSVVVQQVIDEFDQHVHFVEIDITEDPEIAEAAEIMGTPCVQFFKNKEMLRTISGVKMKREYRELIGANK >Solyc08g061742.1.1 pep chromosome:SL3.0:8:49526923:49527390:1 gene:Solyc08g061742.1 transcript:Solyc08g061742.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding EIEPDSDFTVEDFCLQAIVYIEKILKTQRVPIIVGGSNSYIEKLVEDPVFMFKYKYDCCFIWIDVEQSVLNRRVDMRVDQMVKAGLVDEVRQIFIPDADYTKGI >Solyc01g080415.1.1 pep chromosome:SL3.0:1:79510581:79511868:-1 gene:Solyc01g080415.1 transcript:Solyc01g080415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHQQTPNAPLLPPPMRPTVHQDDADEEDETVKQLNECSSVYLSLQKFRLSRHVTIEDRKTRGVEKFFEFGGLQLTFSADFS >Solyc09g009550.3.1 pep chromosome:SL3.0:9:2961177:2963141:-1 gene:Solyc09g009550.3 transcript:Solyc09g009550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGKILVILLIGFLAFAYQAIKPPPPKICGFPGGPLITAPRIKLSDGRHLAYKEQGVPKDEAKYKIVFIHGFDCCRHDVVIASTLSHDVIESLGIYIVSFDRPGYGESDPHPQRTPKSLALDVEELADQLKLGSKFYVTGFSMGGQAVWGCLKYIPHRLAGAGLVAPVAGIWWPGFPANLTGKPYYDMPAPDLWTVRVAHYLPWLTYWWNTQKFFPSSSVAAHSPDIFSTQDKRLAPRFDASQEPYRAQIRQQGEFESIHRDMIIGIKTWEFDPMDLEDPFPNNEGSVHIWQGDEDGLVPVVLQRYVAERLPWVRYHELKGSGHMFPYVDGMGDKIMKTFLLGETFVL >Solyc12g099700.2.1 pep chromosome:SL3.0:12:67716831:67725784:1 gene:Solyc12g099700.2 transcript:Solyc12g099700.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRKFSNIANLTTSGGVYNGESQNLIRHSPKASFNVLHPTFLWSIRIPLIPEKNPISPMSCSSSSTVYFPLHKPLNKSTPFSPNSQVFSSKGRILGRPIRCESLELKPKSPPSNGSVYPGGMGPYTGRDPSVKKPGWLRQKAPQGEKYEEVKETLSGLKLNTVCEEAQCPNIGECWNGGGDGIATATIMLLGDTCTRGCRFCAVKTSRNPAPPDPMEPVNTAKAIASWGVDYIVLTSVDRDDIPDGGSGHFAETVKAMKLLKPDIMVECLTSDFRGDLSAVSTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKLDKKGMITKTSIMLGLGETDDELKEAMADLRAIDVDILTLGQYLQPTPLHLTVKEYVTPEKFAFWKDYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESAVYGTYEAVRYKVPGLMKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >Solyc09g090000.1.1 pep chromosome:SL3.0:9:70054824:70056998:-1 gene:Solyc09g090000.1 transcript:Solyc09g090000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRWLITILVIATFFSSQLAYAYDDNPLQNICVAVQDSNTSVFVNGKICKDPKLANADDFFTWGFNISGETIYKKYGYATKIVDINNMPGLNTLGISIVRADLEPKGFIQFHIHPRATEIINILSRLFAKVLNRGDVFVIPRGLIHFIYNVGSTNATVFASFNSQSPGLIFVPDIIFASDPPIMDDALCFRWLFSFRKHEHIVTISVPVKAIVSSLHS >Solyc04g008880.3.1 pep chromosome:SL3.0:4:2495102:2502288:1 gene:Solyc04g008880.3 transcript:Solyc04g008880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPLTIQLQPSPFPLTNPAKPQITIFTLPNRSIILIPQATFSSPSNSPLWTISEIARAVNGRIIRWGPPGTICTDTRTLEPGQWFLPLVGQNFDAHNFITPKLATKGCVGVIGNWVCEGWNNGFVQVEGDTMSSLKRLGFYARNRFTGCLIGLTGSVGKTTTKTMVALALESVGTVYYSPGNWNNEIGVALLLIGMSRDVGFGVLEMGMSKKGEILELSRMCRPDVRVILNVNAAHLENFANLEEVSMAKGEILREAMPGNVCVLNGDDPLVMSLPVPVGVKKVVFGRQFGCDVRLVSSQIIDGGRRVEIVLEGFNEISELEVTKNGITIINDVYNASPASTQAAIDLLRNIDCKGKRVAILGDMLELGSTEFKFHELMLQSCCDAQFDVVALVGIRFVRAADSIDFGPDTKLVYTTDAHQMASKIIDYLNSGDVVLVKGSRQIRMEKIPQCDRARRKNSKLVQLCSSPFSRPPHSSGPAAGAAGRSPCKDAFFKSRWMKCLLTDTFCNVTTKGFNKTIFLLEERHSRNQFVVQEVTYGNEASLTSLRDLASDRFNINSQLEHLQAKYVGTGHADLTRFEWAVNIQRDSYASYVGHYPMLAYFAIAENESIGRERYNFMQKMLLPCGLPPDREDD >Solyc02g093410.3.1 pep chromosome:SL3.0:2:54923707:54935543:1 gene:Solyc02g093410.3 transcript:Solyc02g093410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSANGFYSGEEEFNLEAKWLIDPKLLFVGPKIGEGAHAKVYEGKYRNQNVAIKIVHKGETPEEIAKRESRFGREVAMLSRVQHKNLVKVVFKSQTCVWFIGACKEPVMVIVTELLLGGTLRKYLLNLRPRCLDTGVAIRFALDIARAMECLHSHGIIHRDLKPENLLLTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELVHNKLPFEGMSNLQAAYAAAFKNVRPSADDLPEDLAVIVTSCWKEDPNTRPNFTQIIQMLLHFLSSVSPPEPVIPARIFTSENHVLPPESPGSKFACNESYQNLMLKFVYLPVQYVSVIERFGKVGLRSWLINGRGLASKVKNASAPAAHQIKDCGAKRQCPNCNYSIDNKDVSHEWPGLPVGVKFDPSDAELVEHLEAKCGVGNSEQHKFIDEFIPTLEVHEGICYTHPENLPGSKKDGSSIHFFYRITNAYATGKRKRRKIHDENNLMKEHVRWHKTGKTKVVMENGFQKGCKKVMVLYQTLKKGSKQEKTNWVMHQYHLGPDEDEKEGEYVVSKIFYQQQKQSVKANDCCDNEEASVGANQTGPTTPKTVTPNPPRDGETPSYDDILDESLPFSPDQEVEVAKEPGQPSDAKIKCEMEYSTCLAGESQAADANDVHNSLLCDEHNDYSLLDSFGPNLGPSVDYTHSTCHLPEVNGNTTCGISELDNLELDSPPDFQLADLPFGSQENIFSWLDRL >Solyc06g051500.3.1 pep chromosome:SL3.0:6:35068895:35074106:1 gene:Solyc06g051500.3 transcript:Solyc06g051500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVSYSLCFLLLTSFLITTTLFLLLKTTTKNLLACLLLLLISAPLVYRVVSGAEFTNPYGISTTAAPTSQSSSQFGTARDYHDFDMQNDLFWYREKDEDFPMPPYFGNSDGVGDPSEDKFVLSERHGASNWDCKSPVDEELKDYYHLDNKQKHLQGKGEFSCSSPLCACCKGERGIYGEDIDINLTGTNYFQYQPTGETKTNYFIETSCNTDWVGDIKGTRDLQSKAIEKDYHSYVHRDYEAKDDRLEALDGNEPDEAPDDEGGATSDELLIFEGDNEFEVFNLRIIHRKNRTGFEESKDLPIVLNSIIAGRYYVTEYLGSAAFSKVIQAHDMHTGVDVCLKIIKNDKDFFDQSLDEIKLLKFVNKNDPCDEHHVLRLYDYFYHQEHLFIVCELLRANLYEFQKYNRESDIEPYFTMSRLQTITRQCLEALVFLHNLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFQSDSLSLYVQSRSYRAPEVILGLPYDAKIDLWSLGCILGELCSGEVLFPNEAVVMLLARVIGMLGPVDMDMLERGQETQKYFTKDYDLYHINEDTSLLEYIIPEETSLEHQLSVSDPLFLDFVRKLLEINPQKRLTAKEALDHPWLSHWYE >Solyc01g009070.3.1 pep chromosome:SL3.0:1:3009220:3014833:-1 gene:Solyc01g009070.3 transcript:Solyc01g009070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSETEERMTSKVDMDSPDEASGGDLGESVPLKKGPWTSAEDVILVDYVMTHGEGNWNAVQRHSGLARCGKSCRLRWANHLRPDLKKGAFTPEEEQRIVELHAKMGNKWARMAVELPGRTDNEIKNYWNTRIKRRQRAGLPIYPADISFMASQNKQNEELGAFSSADAQNPDVLGINNFEIPAVEFKKLELTHLLYPPQLADIPARSLLNDPVSNFLSQGHRAPYSSTYFLSTTYPAKRIRGSESVFSGSNGDLLNSLQYQNDGSLLAQAQAQPLDFSSYNHNLTYDDQRAISNIVPGGHAYLNGNSSSEPTWAMKLELPSLQNQTENWGSPHSALPSLDSVDILIQSPPAGHSESGSLSPSNSGLLDAVLHESQTMKASNDNSYQGNETSGNAVNNSCPDLKGCDIYGHPVSPLSQFSASVFSDYAPINESSLHEFPSMATMPGGEIKQEIGDLSPLDDEDNTSNQTIFSSPKTQHANNHLASKDPFGSCFFDDCDWDCKQIHAVTTSSGQANGHNSCSWDAISAMEATGRMRL >Solyc06g051010.1.1.1 pep chromosome:SL3.0:6:34187124:34188896:-1 gene:Solyc06g051010.1 transcript:Solyc06g051010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILIFFFITISFIAPSSSSLPEHHFHFTKTGLRHNTSSQPQQYIEISRPLSFTNLPPSCTFHILTHDFAYTMGLPPVSASYSPPANCSWTHVALQFNVSSKGEQYDRIAAIWLDGAELLRTSTAEPTDDGIFWTVTKDVTRYSSILVNENISLSVMMENLVNDVYTGVYQVNISFLYYNSKKMDVSLSNSYNRKMKPDNEIEKPADMIIPISGNGSEGFWFRIMNESDLHGQSVIIPKNTYKAVIEIYVSSHGYDEFWYTNPPDSYIQMNNLTTKRGHGSYREVLVNIDRMLVGSVIPFPVIFTGGINPMYWGPLVSIGAFDLPSYDIDLTPYLGLLLDRQAHFLELGVNDSIPFWLVGANLHLWVDNNCVLPCEVQAKVIDFGTPKFNIERSSSFLGLDGSFEVEIKRKSEISYWVNSTTGKLTTIIKRELKFKNEMNFYLSGTEKKIKQKVEEEIEVSVLSNSGGTISKTKVKRKFPLTITSKTISSMENDTTLMLSDLDHEWKEKKKLDGGPSTSLKNRQQCNGWVVVQGRNVLHGGATTQQTYSYDNEADCYSRTVSAANGKLMNDTANILCAAAPLKFGSFSAL >Solyc05g050040.2.1.1 pep chromosome:SL3.0:5:60830942:60831361:-1 gene:Solyc05g050040.2 transcript:Solyc05g050040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDDHRDIGAIARSCNMNKPNNVVAPKLGLKASHVWNYFDRVLAPGMNSFNGSSKSVSLDFPIARQERSYDQVSNQQFYLHSIEPVQFFQQIIVPQSRTAVACVPPTTTQPERIDLQQQLDIGSKIHPNFASSMKSPLT >Solyc10g054274.1.1 pep chromosome:SL3.0:10:55137462:55139829:1 gene:Solyc10g054274.1 transcript:Solyc10g054274.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDAYTATIAAVVRAPPKDFKAVREEWAAIRIQTTFRGFFVFPSDFLFFSFILGNLEERHFNSLLVLILISSKLIRRCYKPWQIFLLCPFLCYIINAITLYWSLEQMDMTNKDEDDAIVGAVATSVLAFGVAINVALADIDFFALLFASVVVKESFVLPVMGLDWDKQWAKKERSSLGSQPMEYLMLEILADEVKQGNKSTNQFKVISFNCVSNAINEQLGMECSPKHVENHLKTLRSTWNIVQTLLNNSGLGWDDNLKMITASPRVYAMHIVGDCAKSFEDIGLDYSSEKGNEDEIEGPSKENREQDVSETSQVKSNRKRNRPSDVQDVVGDISTKLGEVAAAISKIAEAD >Solyc08g061580.3.1 pep chromosome:SL3.0:8:49216970:49218717:-1 gene:Solyc08g061580.3 transcript:Solyc08g061580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSVEEEVIWVRGIDAKVKWKETVKKKVTLLVKSRNPVASTWTLVALCCGTHATHILYSLGIHIHGSMLDILHNSYDKAGLAVGALLGLRRELLFDGLLAFTKGSPNMNSLVGFGAAFAISSVSLLNPELQWEA >Solyc06g009385.1.1 pep chromosome:SL3.0:6:3308240:3310731:-1 gene:Solyc06g009385.1 transcript:Solyc06g009385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPTVLNFSIEITYGGCRAHIKITRIGFTHHDDNGISTFTCSAARNVTKHLIMATGTKEQSAFGVTSCCKFHASYNMYK >Solyc07g047710.3.1 pep chromosome:SL3.0:7:59058273:59063314:-1 gene:Solyc07g047710.3 transcript:Solyc07g047710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTSFLVLLLFMFVTKISVNGQLEEWCIADEQTPDTELQVALDWACGKGGADCSKIQKDQSCYYPNTVRDHASYAFNNYFQKYKRKGGTCFFNNAAMVIQVDPSHNSCHYEYMP >Solyc10g084900.1.1.1 pep chromosome:SL3.0:10:64390149:64391609:-1 gene:Solyc10g084900.1 transcript:Solyc10g084900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAITTFPMIEKCTSIDRENDIVVTDLDGTLLRGRNSFAYFALVAFDVDGVLRLLFLLLASPIAGILYYFISESAGIQVIVFATFVGMKVFNIESAARNVLPKFYSEDLHPESWRVFSSCGKRCVLTATPRIMVEPFLKDYLGTDIVLGTEIETYKGRATGFVRPPGVLVGKNKADTLTSTFGDTQPEIGLGDRDTDIPFMTLCKEGYFVPSNLKVNAVSTKVLPKPVIFYDGRFIKKLTPLISLLVILWIPLGFLLACVRLSVMSFLPVPLQYYAFLATGIRLIINGIPPLHIKKSKGLLFVCCHKTILDNIFLSVALDRSVPVVTYSTSRFLNIFSPIKTITLTRDRAFDASMIKKLLKQRDLTIFPEGTTSRGQFLLRFSSLFAEITNEIVPVAIEAKMSMFHGTTTRGWKWMDPFFFLMNPRPTYEITFLNKLSYEFTCKSGKSSHEVASYVQKVIGETLSYECTTFTRKDKYMALDTIVKNP >Solyc02g065500.3.1 pep chromosome:SL3.0:2:37232197:37248027:-1 gene:Solyc02g065500.3 transcript:Solyc02g065500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRMEEATIPKFGNNLVYEAKLKELLRNLTSTDFQLCSDASKEFIKLLKSDSGHEFLSLYIQNSSKCMELEQAWELRKSKTGLYVVLNLISGIFNHSYGKNRVDKDPKVVVIVNALDKFAKLIVEKKMNDLYKELNSKEAKRQRAALSLLASIARRSSWMAWEVAKSFDFKIPIFGRLAEWKAKKIEGKKKHYSTRKAFVGFAVSFLEVGNARLLRGVLQQKDMYSGVLRGLGNDDDDTVVYVLSTLRDRVLVPDSLVPTGLRSVLFGSVTLEQLASISGRDGGGLAAELAHEVLHMVCTDPSNGLMPDLKRVSKPLRGNPKRLLGLMKKLKAGEIENHRNLLLAIAKGKPSFGSAYLDEFPYSLEDPSSRNWFASVSLAANVLSSVGDGLVFGFLDSQNQEPPTLNSPEVQNIMKCIGPRSFSRLVINKGLLHLDPLVKHGTLKFVLEVLKLLELLISALNSVMSSQGQMIHKWESLKQDIWNAVRILLPDPQVLFSLLSSLNEFYKGHEQRSKRPADSEIGDKLSIRKKLKIDAANEDTDIVVGGVSYSPDAALSLDGESIINVDDMDDLKDDTYFVKLITELWSLHSSPLPDSTIEDTEVLFYAKLLNVLTIYYKTMPKMLEGLFDFFKILPNNLLALPTMLQQTLLSLLQAHVGWSSKCEIATRVHSQMYKHLLPFLDLLMFSPNRDIKDQAYILAKTSMYSTGAFDKNPKEICSWFFFIPGYSKDNMLGGAVGCDIYRKLSSPVLLFLRDAVIESGDKLFYYSDLLRSALSSLPGIKDISPDFSPFTICILDRCLTLATAETGAFSASEKSMVSSYVCNTLKYLLETQGDPLLLSSIIDVKLSEKLDAPYDLDDSQCPCEWRPFKRLLHLSRKILQGTYRISSNIKGIVYSESSFTCTVGEVQRLLKSESDGSLVGLTIGFCFSIACTTSAEIIQNFPSIVSLSNKLLGVPLSLLMQLFFSEPSLLSDASKRWPEIFFTGMERALARLSGGRTMDYESDAFSVFLERAPFYVLFPAVLYIDGLDFSDQSGLQSLLLAKLSKKTSDHLLSCFRYLLFWLNQTQLSYRHEQFEGLEKLSAACFLLLSGMLKKLLVEKSNSRGVDTCSPFSTYFIEELVVTILDHPAVVSVLEYPSPVNSDFACGTIQDSVDQFVESVKLKICKTDHHVLNLVKATFEFWLSFCFGQSSSSEVYHANKHVVTSFKNVVKKLVLTFRLKMNECMKSKNLIPLVPVLYALHSLIHFISPFEVLELAHWILSLIDLEDRSVWLTSALCVGLHIAGSAFDHLAAYMWQPQEKIPICLFWGIQQEQNDVILYEKVLLQVYDIATRFELDVADACLLKAVKVVKVHKSMQKESHLFLKDSCRTVANTHVNVLSHCMLKITKRKAEILFLVADISPLHLSVFGKLFSDRMNKYVVVKPRTVPPICDFSDEDALMLLPTVILYLNSIPAKFGGQLCILHEHIASFYWEILKQGFSIWTSYVSREIFKVEYFENLSMEDFPNLVSGSLLANTVIVVQLFFEIRGDLVKVKKRLSIFNSVCSSDCSDLLEFDLTQDGSYSVEESLNVVNRTVAKIRLCRALLFPEKGKFPSLLKKNAEVVASEDCPILDLARIRFLNLLVQSWQLIVKRCSLNVVGFRQMEVGSCSIFRYLEVYILKNVTEITREMQGCLLNLESLPFVEQLGNSSLLHRFYDPLTLGMLRAIISSVSEGKFSCISIIQRLLAHSQFAATIHSSHISAGHSHFGMIFTPLPSIMRSYVQFADLDAYDLKDSCKLSEECARQLELVKLLRLLFQISARQCDINNVKDIGINLRELLFLLLSSYGASMSVIDLEIYSLMDEISSANNLGEVSMAKLDYLWGSALLKVRKENEQEQTISCNLSEAEAVDDYRRIRFRENIPIDPKVCATTVLYFPYERTVGPRILKEPKKDYPDFGYEVHYADAEKLHVYDPIFILHFSVHCLSMGFVEPLEFASLGLLAIAVVSISSPDDDMRKLGYEVLGRFKSVLERCQKRKDVVRLRLLMSYLQNGIEEPWQKISSVTAIFVAEASYVLLDPSHDHYSAISKYLIRSPSANMKGIPLFQTFFWSISTNYITERLWMLRLLCSGLNLDDDAQIYIRNAIFETLFSFYVSPISDHESKELIVQIVRKSVRIPKMARYLVEQCGLISWSSCAVSSLSWSQCRRNSFVELTVILEALNEVVLSRHTVEWMQKYALEQLVELSCNLYKMLIEGVERLKVNSQLVKLILQILRSALRISQKRKVYQPHFTLSVESLLQLCEVVDECCGGRQSLVAQIGLEAVLMSTPPVAILQMDKEKVSKFVRWATLTALQSNIEKVHAPESIDCIMRLQANEESDDSLISKLVRWLTASVIVGKHSLKFSNMDISHSFDRSKLNNLLSLMEGNDQRCSSTSRTFACEDTLASSIFFLQQLQRKNYTVLPSVVSALCLLLSSSLSSRETDILGDDAIQLAILFSKINCPAEAYPIWRWSFYQPWKDQSSELSDAAKLEENQACEMLLVVISKLLGRNSLYSNFLSFQDVDKLGVFDWERHILKPQ >Solyc11g006720.2.1 pep chromosome:SL3.0:11:1335498:1337295:1 gene:Solyc11g006720.2 transcript:Solyc11g006720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMYPANNRWLIGESPAMIHPNSTWTRFEDKLFEQALVMYSENDIERWQKIANHVPGRTPEDVMAHYDALVHDVFEIDSGRVEPPSYPDDLFDWESDCKTNQISFGTNKKHEVERKKGTPWTEEEHRLFLIGLDKYGKGDWRSISRNVVVTRTPTQVASHAQKYYLRQQSMKKERKRSSIHDITTAVDTKMVPPQNCLQNQGGYQNFNFPM >Solyc01g068567.1.1 pep chromosome:SL3.0:1:77592334:77594038:-1 gene:Solyc01g068567.1 transcript:Solyc01g068567.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLYKKKESTSKYQGLICPKIEKKLNDIRLEAAVFRPNFSGGPKVSIEGPGRSFIMDMQKGSCTCRKWDLTGLPCPHALVSIHENGDRVEDNVSVYYKVETFKNVYSHFINSTNHEDRWPDVMNGGEESRQESTGVECIQDGVVVQVTDVDVAYLVTIDS >Solyc07g018148.1.1 pep chromosome:SL3.0:7:9589663:9591245:-1 gene:Solyc07g018148.1 transcript:Solyc07g018148.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKMNISIFSFLLVMLIASTGLFQVDGLNCCADSHIGRCIPGSEDDTKCDNICKQNCKGGHCKIIGKTPPNHFCHCLC >Solyc12g042800.2.1 pep chromosome:SL3.0:12:59154517:59160226:-1 gene:Solyc12g042800.2 transcript:Solyc12g042800.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPFSPSVVYTTILQYGWQHHFVYSTTTIVDGVQFCC >Solyc01g016540.2.1 pep chromosome:SL3.0:1:20367493:20374812:1 gene:Solyc01g016540.2 transcript:Solyc01g016540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFSLDFLSTKRSNVQSFLQSVTPVITSKPLLPKSNMDDQNSMWNSNGDKYFTLRDLWDCYEEWSAYGVGAPIYLKDDNESVIQYYAPYLSAIQIYTVKSASSLIRNSSSGDHEVDFETESWSDASENSEKLSRTISNNSISADSCFELDASRDRLGYLYFQYSETCSPFWRIPFSDKILEFTQHYPGLAMLKSTELSAASWMAVAWYPIYHVPMKGITKNVSASFLTYHTLSSTFQDVAEENPDGKSEIRLSPFGLAAYKMQNDVWLNTHTQKDYEKLCDLQNAADSWLKQLSYSHHDFNFFTAHSNLEHGGYSL >Solyc12g088490.1.1.1 pep chromosome:SL3.0:12:64817489:64817977:-1 gene:Solyc12g088490.1 transcript:Solyc12g088490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTTIHTRLSKEMTTFVQADLSCFREVVQRLTGTSECIDGNLEIAAATNISKAQKQHNTSKLHHRRQCRRPDIEITNSQFQPIIIPSPSTRSNKRASPSIETINKVEEEKAIKERRFYLHTSPRSKHGNAEEPELLTLLPLISPRETMDREQSVEGFCFFS >Solyc02g090870.1.1.1 pep chromosome:SL3.0:2:52995223:52996431:1 gene:Solyc02g090870.1 transcript:Solyc02g090870.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDVDRRMTGLNPAHLAGLRRLSARAAASSPSTPMPPRNSLLSFSFLADKVISHLKSSGIQVQAGLSDVEFARAEAEFGFAFPPDLKAVLSAGLPIGPGFPDWRSTGPARFQLRASIDLPIAAISFHIARNALWSKSWGPRPCDPEKAIKIARNALKRAPLLIPIFNHCYIPCNPCLAGNPIFYVDENRIFCCGFDLSDFFDRESSLFQSSDPQILSKQRSLSEKSAGSSSTFSRRSLDTLSGGRTPRWVEFWSDAAVDRRRRNSNSSSSCSTSPERYFEMPKSKMPNWVDEYVDNIGSVLKEGGWAESDVKEIVQVTASGFFEGEMILLDNQAVMDALLVKADRFSDSLRKAGWSSEEVSYALGFDYRPEKEKKPAKKLSPELAERIGKLAESVTRSRSSS >Solyc07g040680.3.1 pep chromosome:SL3.0:7:49500156:49501678:1 gene:Solyc07g040680.3 transcript:Solyc07g040680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKTMLIDVNEKEMNVNGGVVVEVKEEPVIFINDEDDIIGDSVPKPLDGLRDVGPPPFLKKTFEMVDDPNTDSIISWSNSQNSFVVWDPHKFSIHLLPKHFKHNNFSSFIRQLNTYRFRKIDSDRWEFANEGFQKGKKHLLINIKRRKQYPQLGGGGGGGAKSWVGGCCKDGTEAAEIEKLKKDHNTLKMEILKLKQQQESTDNYLATMKERLQNSEIKQKYMVIFLAKTFHNPMFVQHLIEKVKQGKTTTVENGTKKRRLCSDENQEEYTTIKSEIQTLFSCDESSNSPVEEQKGKGNNSSPEMVSENYILWEKLMEDDMICENGAETDKYQSEIVLELEDLISNPSECKCMP >Solyc01g081620.3.1 pep chromosome:SL3.0:1:80668487:80671212:1 gene:Solyc01g081620.3 transcript:Solyc01g081620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNINKKISNQDVLLPIDTPFKFPSPLPTWPSGEGFASGIIDLGGLQVSQISSFTKIWASQEGGPDNLGATIFEPSNLPNDFFMLGSYSQPNNLPLFGWVLVGKDSSGDALKLPNDYTLVWSSENLKIKQDGVCYMWLPIPPEGYKSVGHVVTTSPQKPSLDKIRCVRADLTDVSETDDWIWGNDGFNVYSSRPKDRGIKGLGVSTGAFMASNNGAAADSLACLKNVVEKFTCMPNLNQIQALFQAYSPLYYFHPDEEYYPSSVTWFFQNGALLYAKGQESTPVVVQPDGSNLPRDGSNDGAYWLDLPTDDSAKNRVKSGDFHAATSYLHVKPMFGATHTDIALWLFYPFNGPARAKIEFITIPLGKIGQHVGDWEHITLRISNFNGELQSVYFSQHSGGNWVSTPQLEFENGNKPVAYSSLHGHACYSKTGQNMQGNGNIGIRNDTAKGQVMDTGANYEVVAGDYLEIVEPIWLNYAREWGPKISYDIANELKKIERFLPGKLKRAVEKLVKSLPNEVLGEEGPTGPKFKDMWNGDERG >Solyc06g061130.3.1 pep chromosome:SL3.0:6:39253086:39257511:1 gene:Solyc06g061130.3 transcript:Solyc06g061130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPLIVTLSSSLRSSLPLHRHASISNVLCSRHLYLRQKSRHLLRLCSVNSDHNVYESPEFQNSPLDQKGTAEKDEISQTESPTSNTVLTRLRRYGVAGVLSYGLLNTAYYLTTFLIVWFYVAPSPGRMGYLAAVERFLKVMAMVWAGSQVTKIVRAGGALALAPFVDTGLSWFTTKMKFKSQGKVSTTLITMFSVLPSSLFIIALRRCNRNSLAVRVNQLVNEKTFPV >Solyc10g052640.2.1 pep chromosome:SL3.0:10:52990209:52992104:-1 gene:Solyc10g052640.2 transcript:Solyc10g052640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHSQTTEKDSSNPTKIKEVRGSNKCKEVSLLEIGQMLNVTFYNNRTVGKNSNLFSRHLEKTVCDCNIFPLGVSSWNDIKHEKLNHMWAAIEMNIHRDHILGWMNELWKKCRGHLHSKYVKDEPIQQSLRNVPTGVDKKEWKWLVKEHFSFECFQGRKNAHPPDLANILFETRKKDNKLVEHETIQKHVQLEKNVQADPSLPIIEIVEKCRGPQTRNYVFGLEGGVKAKDLKGGTSSKAELLVALRSTREDAKSLNEENESMNEENKSSNDRCLP >Solyc05g042181.1.1.1 pep chromosome:SL3.0:5:56118916:56119836:1 gene:Solyc05g042181.1 transcript:Solyc05g042181.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDCTNSRYFSYYSASSPFHKEFVGQEANSQRFQKFISQDLYESDNFRMYIYKVQKCSKLYSHDWTSCPFTHEGEKARRRDPRKYNYLPIPCPGYKFASCIKGDNCELCHGVFEYWLHPAKYRTSPCQAGTSCNRPVCFFAHTLNELRPEMKYNWSFVYQYPLYIQSYPDIIIENGPYGNWMIVPCNPQLQPPPHNHYYSTTTFGHGNSPNPQQIPSKNTSIFGLFAQPSPSSSPTQPKFVHNVQNESDFSLFSANHAKLIEEMKNLELGSNSHAKMKKIYEIYDDTDKRSVENELQDQKFPNINCL >Solyc03g034285.1.1.1 pep chromosome:SL3.0:3:6058759:6059317:-1 gene:Solyc03g034285.1 transcript:Solyc03g034285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMP >Solyc08g075120.3.1 pep chromosome:SL3.0:8:59390517:59397696:-1 gene:Solyc08g075120.3 transcript:Solyc08g075120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIMRSRLACCTRDREISIDFDENQRITTYDGLESILNSQPYDNQSVTSRGDGGVTDSLDDDDSSSSSSNNVFGSFSSHWTTMKRDDQGSEEWDFSASPKHYYVKEKPIYTTQFSDLETMKEKFAKLLLGEDVTGGSKGVSTALALSNAITNLAASVFGELWKLEPLAEDGRRKWKREMEWLLSPTNHMIELVPAKQTGSNGQKLEIMVPKARADIHMNLPALQKLDSMLLEALDSMVNTEFWYTEVGSRAEGRSRSAGESKRWWLPSPQVPIAGLSDPERNKLLNQGKLVNQIYKAAKAINENVLSEMPVPTIIKDALPKSGRTSLGEDLYRILNAESTSMEDMLNFLNVRSEHNALEAVNRLEAAILAWKEKVADHVCGKSPARTSWSFKKDPISELDKIEVLSSRAEALLQQLKNKYPNLPQTFLNVTKIQYGKDIGCSILEAYSRVLLNLAFSILTRIGEILQEDILSNPNSPAASCHLPGIRIAGLTDSPISSRVRHSLIDQMNKTDGRCCDSRRTNASDIDIEFADSRLSSVTATPSRRVWCIGRKACSSMSAANSP >Solyc01g008730.3.1 pep chromosome:SL3.0:1:2699408:2709114:-1 gene:Solyc01g008730.3 transcript:Solyc01g008730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVSAMTDTSTDVDTDDKNLRYLNSLTLGATSDASDKTRDQKTLRRLSQNREAARKSRLRKKAYVQQLESSRMKLTQLEQELQRARQQGIYVSSSGDQSQAMSGNGALAFDVEYARWLEEHNRRTNELRGAVSSHAGDGELRIIVDGILAHYDELFKIKADAAKADVFHILSGMWKTPAERCFLWLGGFRSSELLKLLINQLEPLTDQQSLAINNLQQSSQLAEDALSQGIESLQQSLAETLAGSLGPSGSSGNVANYMGQMAMAMGKLGTLEGFILQADNLRQRMLQQMHRILTTRQSARALLAIGDYFARLRALSSLWLARPRE >Solyc01g087040.2.1.1 pep chromosome:SL3.0:1:81840926:81841894:1 gene:Solyc01g087040.2 transcript:Solyc01g087040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASILHPSSLLSSSSSTTTTTTKPPVSPPFSRPQSTLSPPSKPLLTTLTATAIATTILTTSLPSLADSPSSFNIYYGTAASAANYGGYGGNSDKKASAEYIYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKKSEKEYLTYLAGFRQLAPKDVILNNLALSDVNLQDLIANADGVTSEERKDENGQLYYDYEIDGVLGHSLISVTCANNKLYAHFVNAPAPEWKKDEGILRHVHQSFKTVG >Solyc06g083310.3.1 pep chromosome:SL3.0:6:48814053:48818335:-1 gene:Solyc06g083310.3 transcript:Solyc06g083310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CAI6] MALRSSRTVTGTGIRFTFKLFASFITVAVLLSLALSSLYTSSADPSDLGVGISRDFRVVGSLRRSVLALKSDPLKPRLDQIKKQADDHRSLVLAYASYARKLKLENSKLVRVFAELSRNFSDLTSKPSYRQLFDSDGNSMNESVLRQFEKEVKERIKVTRQVVAEAKESFDNQLKIQKLKDTIFAVNEQLMKAKKQGAFSSLIAAKSIPKSVHCLAMRLMDERITHPDKYTDDGKPTPPEFEDPSLYHYAIFSDNVLAASVVVNSAVKNSNDPSKHVFHVVTDKMNLGAMQVMFKMKEYNGAFIEVKAVEDYKFLNSSYVPVLKQLESAKLQQFYFENKLENATKDTTNMKFRNPKYLSILNHIRFYLPELYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLINSKFNPKACAWAYGMNFFDLDAWRREKLTEDYHYWQTLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMEEINNAAVVHFNGNMKPWLDIAMAQYRPLWSKYVDYENEYVQGCNFGF >Solyc04g025690.1.1.1 pep chromosome:SL3.0:4:21263605:21263775:-1 gene:Solyc04g025690.1 transcript:Solyc04g025690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSRRVFLEQFIECRRRRSGTRHGNRPSLINIEVVDVGKGKIRYYTPICTKNCQML >Solyc10g086590.2.1 pep chromosome:SL3.0:10:65491595:65492985:-1 gene:Solyc10g086590.2 transcript:Solyc10g086590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGPSMTVTRVVTDIGKDNSTYILAVRSPPGYVDIVPKTLCFSKLIEKHNFNITVTAQSSIVSRNEFSFGWYTWSDGVHMVRSPIVVSSSRGNLRSKPGKLRDELGGKRYLLVLYGLWGVELPIWDEFMDSLRGVNTSRGNCILVTARMKQVASTVAVDVHVLGKLAEDHCWSVFKQRAFVDGEVPEEMVSMENRIVEICQGLPLAASVLGDLLRNKKIQRCSIY >Solyc01g010830.3.1 pep chromosome:SL3.0:1:6171975:6175361:-1 gene:Solyc01g010830.3 transcript:Solyc01g010830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNSQDDGRPFWKLVVSEATGCSDDTYFEEVYQHYASGEAWHLPAGAYDTILILKRSGVKLAVVSNFDTRLRKILKDLNMLDLFDAVIISSEVGHEKPDTKIFRAALDQISVEAMKTVHVGDDEKADKGGANAAGIDCWLWGVDVKSFGDIQNRILTEP >Solyc07g049390.2.1 pep chromosome:SL3.0:7:59790649:59792404:-1 gene:Solyc07g049390.2 transcript:Solyc07g049390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHTAEPPPQQPSTAQTLDQINKLLSHLLPFSLSIKSFTSRWQVLRSKLATVKSLLTEISDSPHWSENELLPTLLPNLLSTLVRVQTLCEECSDPEKTPGKLLMQSDLDMASGWLSKQIHHLDLLCRSGVLRQSTAIVLSHPSSNSTKDDLVLYIRDVFTRIQIGGVEFKRKALESLIQLLSEDEKSAGLVAKEGQVGYLINLLDLNTDPSIREQAVLAVSMLVSMSEQARKCVFEEGALGPLLRIIESGSVTMKERAVLAVECITNDPENAWAISAYGGVSVLLDLCKSGSIAAQLHGVGAIKNVSTNEDVRIALAEEGAIPVLLQLMVSGKPSAQEKAANCIAILASSGEYYRDLLIQEKGLQRLVHLLHESSSSDTLEYVLRPTFTIQLAELIKGSLVKLMESAKPDGLQEVAANALVSLLAVKSNRKELVKDEKSVMKLVQMLDSKNDAVSKKFPVAVVAAIMAGGSQGCRKRLVEAGAYGHLQKLAEAEVVGAKKALQRLSGNRLKSIFTRTWSN >Solyc05g007585.1.1 pep chromosome:SL3.0:5:2129335:2130418:1 gene:Solyc05g007585.1 transcript:Solyc05g007585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQITGIEISSNVYHIYIHIANSMSTIDENEIYAFISTHLNKLFYSNNFFIRIQRKSVVEHNGGVAGTEIGGEERPGYVLENDCGGGCGVIDDGDFLDVGGVD >Solyc04g011800.1.1.1 pep chromosome:SL3.0:4:4233363:4233671:-1 gene:Solyc04g011800.1 transcript:Solyc04g011800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGTSSSVVIFTKNSCCISHSIETLIRSFGANPIIYELDTHPNGKQMEKALMELGCQPSVPAIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWV >Solyc07g066390.1.1.1 pep chromosome:SL3.0:7:67966397:67968130:-1 gene:Solyc07g066390.1 transcript:Solyc07g066390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVPLNSVSIITNPSPENARNPRGFSTHIPKFLPFFQSRSISRNLASSHLSPALKEQLPVASKGSIFTLPNWRSGKNDPRNKELRLYDAFLYLEYMVGKGQKPDKTHATQLLYDLCNCNKLRKAARVMEMMVSSGTIPDAASYTFLVNNLCKRGNVGHAMQLVDKMEEYGYPTNTVTYNSLIRGLCMRGNLNKSLQFVEKLIQKGLVPNAYTYSILLEASYKEKGVNEAMLLLDNIIAKGGKPNLVSYNVLLTGLCKEGRIDEAKQFFRNLPSKGFNPNVVSYNILLRSLCFEGRWEEANEFLAEMVGEDRSPSIVTYNILISSLALHGQTDHAIKILDEMYFGEQFKPIAASYNPIIARLCKDRKVDAVIKCLDQMLERHCSPNEGTYNSIAVLCDEGLVHEAFSILQALRIKQSSSSHDFYRAVISGLCRKGNTFAAFQLLYDMTAHGFTPDSYTYSSLIRGLCLEKMLVAAVDVFYMMEENGYRPDVDNFNALVLGLCKSKRTDLSLKVFEDMISKGYMPNEITYTIIVEGIIHEDHKELASVVLRELHRKEVISRNTVERLAMQYELEDMAVC >Solyc07g045000.3.1 pep chromosome:SL3.0:7:58184221:58190509:-1 gene:Solyc07g045000.3 transcript:Solyc07g045000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYFSKMKQKCCKRIGVRQYKKSSIPRLRWTPQLHELFIEAVQLLGGGHKATPKRIQQMMAVKGLKISHVKSHLQMYRNLKERGNLNMVRSVNNFHEETPQFSWSSPQHVCEALLEHGPSKSSKQIDPGSDKLPNYKAIINAYIAENNIYCYDYQEAQGSLSSGMTKEEEDDDGGGGGGPSSEIYEFSHEREINFWPLNDYNHQNSQYDSSKKQVLNSTKDNSHINLDLSISSYF >Solyc01g006320.3.1 pep chromosome:SL3.0:1:903446:904121:1 gene:Solyc01g006320.3 transcript:Solyc01g006320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSNASTCYTMILTLGVTALVLWLSLRTTKPKCSIGDVYVQGLDKSINSNNNKTKRDNHISFQLNLKNEMKDKAVRYDNITLNFYYGKNTSYPIGNYTFVKFKQGKDKEASKVGMFEAQNMPWDNAIKDVSNNSKAIIRVDVRTKVRVHEKGEASFN >Solyc04g016030.1.1.1 pep chromosome:SL3.0:4:6686487:6687035:1 gene:Solyc04g016030.1 transcript:Solyc04g016030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKSNPEAYTPILISIGKNPQLGSMEKYKLLYLQRFLKRKNGLDVESCITEIDKVKYEALKCYDDNLDGDIVDNFSEMLLVDGCFVVEFIREDYEKDDDIIKLERMKNQVSRDMVLLENQLPFFVLVILYGMTVHPTEENFLYMVRENLYLNQKLKVYQNNSTIYLMWYTCFVAHQLNKT >Solyc08g074835.1.1 pep chromosome:SL3.0:8:59089283:59089955:1 gene:Solyc08g074835.1 transcript:Solyc08g074835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSSSLHAFPAGTRTIWFNIRVLAHIPESPPTALNNVKSLCILDMCLRNVKELSSHPPNCLAKIFKEIVGDDVEPDIQLLQAQSISCGVIKMLQRVEMLGFTGFEMEMEFMKIILASAPVLVEIFVWNMAHYIHRDTKMMVETIYASTIQC >Solyc04g014220.1.1.1 pep chromosome:SL3.0:4:4521771:4522895:1 gene:Solyc04g014220.1 transcript:Solyc04g014220.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWYNRKMVVVIKDEMAICLNCSPERCPKECRIGEFFPPPSSPIRSENHPMPYFFVILLCVLGAMFVFICYMITLKKYNMNMRRSDANLSGNDDGFVDESDMDHPIWYVHTIGLPRSVIESIPEFKYIKIDYLIDGIDCSVCLSEFEENESLRLLPKCSHAFHVTCIDTWLSSHMNCPVCRAPIVSDLNAALGINNVEVFSTDVDGSSGDEVNHVESQELDEGGNGEMSVRDENIVALSNEEGEIVGFLEKIHHVVDEKPKGKGLRICSDLAEHRGKANNVMHTEMRSVSMDPSAVIVVRRAMSQMTLDYSGEGCSNSKDQLAEGKAENSDAAAKRGNRNSRLYEAMKCSSFGRSLQKVPICLKRSFSSSGIHK >Solyc03g114235.1.1 pep chromosome:SL3.0:3:65740440:65741574:-1 gene:Solyc03g114235.1 transcript:Solyc03g114235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYLTSKLFSLIPPHHHQSTKEVLTKQDKIDAAITYIKQLKERIEVLERRKEEVVAQETCDDSKKSMPTTTCSIKSPMVEVKELDSTLEVILVSGLQKNFILQEVIKIIEQEGAQVVSANYSTIDDTIYYMIHAQVSSKGFIG >Solyc08g007450.1.1.1 pep chromosome:SL3.0:8:2025994:2026602:-1 gene:Solyc08g007450.1 transcript:Solyc08g007450.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTLHFLLIFLSFHCIFAIITESVTSQTQNATNFIVTSCKTTLYPTICVQSLSTYANTIQLNEQELAHAALTVSLSKAKIASTFISKITRMKGLKPRELQASKDCSFNMNDCVYQLTRSIPELGQSGKLASRRDEFTWHVSNVQTWISAALTDQNTCLDMINNPSMDGKIKDSIRVRVFIASQVTSNALALVYKFAEKHS >Solyc04g010010.2.1 pep chromosome:SL3.0:4:3324366:3342872:1 gene:Solyc04g010010.2 transcript:Solyc04g010010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDKDNADLDSQSGLEFDNNSDSDDVFDYFRMTKEDFDDASGRRFIHGDIVSSMSNPDNKLGQVVQINRFFGMFDSDDLYSFRDIPSNQLKRITKFAKGDLVVLTHWLGRVVYVSCDTTVKFENDDSLRTFRGGTGLYPRFPSWNISCDRPCPLHPGQQVMVAGKPCTVIDLVDRFVYVEWIANSECSGSPPPPDKQDPNSLTQVFSYLSTWKIGDVCKHVSYPEIVVLITDIRTFVDVSWQDGSTERNIPSTLLKPISDIGVHDFLVDQYVVWDNSEHLGVVKSVDHREKTTTVKWINRLNEDIISSSRLNRHHLFNYHLGNLVFRRITKEAENSDLSSFGSIIGFKDGNIEVAWDDGTTSMVQPQELHGVDRDDYGYKSDEYSSSSDEEVGDSLVVEIPDSNVDLLDFVDLQSVKCLNECHIHCISNALEKDYREFKGLNVESTADEQLLIYIPFKQPINLHSLIIGGLVEEGPKTVKLLANKTHFDVSDAIEATPRDAAILSEDNLRAQQLFQRDAQTITPEALENVKAALASSEIEHKAEAKKKAVPRKAAGHSWEDPTLAEWPENDYRLFCGDLGNEVNDDVLSKAFSRFPTFNMAKVVRDKRTGKTRGYGFVSFSNPLDLAAALKEMNGKYVGNRPIKLRKSKWQERIDYEAVESHKNRSHKKPKQAKKGIFHK >Solyc10g074990.2.1 pep chromosome:SL3.0:10:58753169:58756603:-1 gene:Solyc10g074990.2 transcript:Solyc10g074990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYLKPSNLFHELLKSNALASSRILGLDVGDKYVGLAVSDTTNKVASPLAVLLRKKTNIDLMAKDLQGLVSELNLGAFVFGYPFDRQKTSRDAVHVKLFIDDLCRTGELRGVNYTFRDECFTSKNVEFLLQDLKLHPTQSKTMSDKFAAVGILQGFLDFVNRKHA >Solyc01g108340.3.1 pep chromosome:SL3.0:1:95581585:95586349:1 gene:Solyc01g108340.3 transcript:Solyc01g108340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPRCYLDISIGGELEGRIVVELYNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGNCFHRVIKSFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFARVIKGMGVVRSMEHVTTGDNDCPTVDVSIADCGEIPEGADDGITNFFKDGDLYPDWPADLDNNTDDLPWWVTALESIKAFGNENFKKQDYKMALRKYRKALRYLDICWEKEGIDEDRSAYLRRMKSQIFTNSSASKLKLGDLKGALLDADFAMRDGENNAKALFRQGQAHMALNDIDAAVESFKKALELEPNDGGIKNQLAAAKKKIADRRDKERKAFSRMFQN >Solyc12g035650.2.1 pep chromosome:SL3.0:12:43826443:43840441:-1 gene:Solyc12g035650.2 transcript:Solyc12g035650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGAQPSSAFGTPSFGTPSSTPAFGTPASTPAFGTPSSTPAFGTPSSTPAFGTPSTSSFGTGFGSTLFSTPFSQQSQPHQQQQTSLFQTPQSSSPFSFSTPLNTATLAQSSPFGTSFPFANAQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNRKYAFKHLLSSVTEPQYRTKPAGVSDIMWAEAMGKLEGMESTDRERLWPQLVHGFKDLSQRLKLQDEVIVSDAERLQMTQNNVKMLQRHFQADTLPWIERMRHKEQGLQRRLLRVMRILEALEGKGCRLPLMKGEAELAEKLAAITRQLKGSGAELSRRVQNLLTICRVQNGLGSGSVYLPGSTKIHEQSLADMQEVLQQQTEAIARLGNVLKRDIRDVEIIMAEETEMMEG >Solyc09g055543.1.1 pep chromosome:SL3.0:9:41833083:41834176:-1 gene:Solyc09g055543.1 transcript:Solyc09g055543.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNEEYNALIENKTWELVPYPSNVNVIQFMWFAHKERSYDSFLRHKARLVDDDCGETFNTVVKLVTIHTILSLALFKACQIHQLDVINAFLHGGLKETFYIYKPLGHRDHDRPNRTPRAWYERFADYGLSHLSYSLGISITHHAGKSLSKASPTPNVTKPKLNTVMSKPFENPSLYRNFAGAFQYLTFTIPDITYVVKHVCLFMHDPREEHVHALNCNLRYIESTLDFGLHLLPTSTSTLILCTNDDCGGYLEKRRSTSIYSFLGAIT >Solyc07g047680.2.1 pep chromosome:SL3.0:7:59040901:59041528:1 gene:Solyc07g047680.2 transcript:Solyc07g047680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTNFFINDNEDVLIEILKRLDGRSLGVAACVCKLWCGIARNDSLWEHLCFRHVSPPLEGVRTVVLALGGYRRLYMVCVRPVLNRLRKWRPVGIESDSEIVRRVYWTRHEVELSLSLFCVDYYEFLCKE >Solyc03g112390.3.1 pep chromosome:SL3.0:3:64276598:64279415:-1 gene:Solyc03g112390.3 transcript:Solyc03g112390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRHSCSVKQKLRKGLWSPEEDEKLSNYITNFGIGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQDEEDKIISLHQVLGNRWAQIAAQLPGRTDNEIKNFWNSSLKKKLMKQGIDPNTHKPLKENQIIKDEENCTNKTSMLQIPPHLNEMGNGQFTDSKQVFDLLFVHDFQSNTNPREYNSQVLAQYHDHQGEFENHQNYVFCSGSSVTKLEHVQMTETDFGSSSTSRMSSSNSSNMCSNQNTAGIQINGMSENSEALSWDIENKMESLFQYPYIGIKNEESKSSPSQERDQLYGNTTSGDFMSNYPLSSLTEEFKWG >Solyc03g111620.1.1.1 pep chromosome:SL3.0:3:63739956:63740690:-1 gene:Solyc03g111620.1 transcript:Solyc03g111620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHAGMKIDLQKLPFALKPFLLSLLLSLSFLAFLSFQTTPHSLSLPPDLRIRPGYSSYDAYINRQLNKTLNPKLRKIWTTRDWDRKVRVFSGFFDDLKLRGFLSNDSKALCVGARVGQEVAALKRVGVNDSIGIDLVPYPPLVIRGDFHRQSFNDRSFDLEFSNVFDHALYPWKFVGEIERTLKPGGICVLHVSLSRRADKYSANDLYSVGPLKELFKVSELVEVRGIDGFGLDTEAVFRKKTK >Solyc03g121200.3.1 pep chromosome:SL3.0:3:70855253:70855736:-1 gene:Solyc03g121200.3 transcript:Solyc03g121200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMNSEKTLVATIIMFMLVLSPAVPSNADRLTRRGNLLSNNINLSYYNLGLKNQNVRIASVANQIRRRVAPALVSFRLRPPWTGRDRGIKQINDEKIRDILCDDFYITRK >Solyc07g054670.3.1 pep chromosome:SL3.0:7:63032953:63053442:1 gene:Solyc07g054670.3 transcript:Solyc07g054670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGFSYLKKALHIRSCSRLLVASCISSGGLLVYAENVDNNEKIVERSRLDSKKRKKVVVLGTGWAGTSFLKDMDISSYDIEVVSPRNYFAFTPLLPSVTCGTVEARSVVEPVRNIIKKRNGEIQFWEAECLKIDPENHQVICRSTVENLVGENNFSLDYDHLVVAVGAQVNTFDTPGVVEHCHFLKEVEDAQKIRRTVIDCFEKAVLPGLSDEERRTNLHFVIVGGGPTGVEFAAELHDFVHDDLVKLYPSVKDLVKITVIQSGDHILNTFDERISCFAETKFQRDGIEVLTGCRVVSVSEHSVNMKVKSTGEYVVVPHGMVVWSTGVGTRPFVRNFMEEIGQGKRWILATDEWLRVKDCPDVYAIGDCTTVDQRKIMEDISTIFEAADTDRSGTLTIKEFQDVLEDIIIRYPQVELYLKSNHLFQVTELFKDSEGNEREEVDIEGFKLALSHVDSQMKSLPATAQVAAQQGSYLAGCFNRWEQCNANPEGPRLFGSAGRHAFRPFTYRHLGQFAPLGGSKAAAELPGDWVSMGRSTQWLWYSVYASGGGLATVCHTAFADAGQDDIIKKKKKIVVLGTGWAGTSFLKNLKDPSYSVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPIRNIVKKKNFDAEFKEAECYKIDTVNKKVHCKTTQPIHLGGGIEDFTVDYDYLVIAMGARANTFNTPGVVENAHFLKEVEDALRIRRTVIDCFEKASLPSISEAEKKRILHFVIVGGGPAGVEFAAELHDFVKEDLAKLYPALKEYVSITLLEAGDNILNMFDKRITAFAEEKFARDGIELKTGSMVVKVSDREISTKERSTGQTVIIPYGMCVWATGIGSRPVVMDFMKQIGQTNRRILATDEWLRVEGCKDIYALGDCATVNQRSVMEDISIIFSKADKNNSGNLRVEDFKEVIQDICERYPQVELYLKKKQLKNFNALLKGSEEVAEINIEKFKKLLAEVDSQMKNLPATAQVAAQQGSYLADCFNRLQICEANPEGPLRFRGTGRHRFHPFRYRHLGQFAPLGGEQTAAQLPGDWVSIGHSTQWLWYSVYASKLVSWRTRVLVVSDWIRRSTFGRDSSRI >Solyc01g095430.3.1 pep chromosome:SL3.0:1:86555244:86558588:-1 gene:Solyc01g095430.3 transcript:Solyc01g095430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAAAASTSMAATAVFASPFPLSSTTKAAPARCSALPYLPSRLSAIAFPSSLKIAEPKRSSLLQVKASSSEESGAVDTSELLTDLKEKWDALDNKSTVIVYGGGAIVAVWLSSIVVSAINSVPLLPKIMELVGLGYSGWFVYRYLLFKSSRKELAEDIEQLKKKIAGTE >Solyc01g016893.1.1 pep chromosome:SL3.0:1:22692682:22695962:-1 gene:Solyc01g016893.1 transcript:Solyc01g016893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding SLESRHEEITFDALYGLLLNEERQFKRDDTLTVIAPMAHYTQSSFSTTRGRGRRRAAEVVGVPPVTSPIHLKIVGLITMHIPILQHPKLYICVQLFVITVKVKVILHVGTTHHLKADLEILGIHFAYQGLEEVTICNGSKIPISHIVPTPTSNQPAPSPSIESLANTKALSYVDPIPGNIHATDHPSLEDISHGVALISPCIVAENPHFSNTSHSADFGNTSVDALINNLKTDFVVIDLRKLSYFLGIQVNLKPKGLHLSQGKYVTSCANGSCGPVSTLSSYSSKLSNIVGCPFNDQTLYRSTVGSLQYLTFTRPGIAYAVNKVSQYMHCSMDSHWVVVKRILCYVRATKIHGLFFFMGNPPYFMVIVIHIGDVMLIIASLQIDSLFLLVLI >Solyc01g099675.1.1 pep chromosome:SL3.0:1:89721390:89721770:1 gene:Solyc01g099675.1 transcript:Solyc01g099675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQDLKEKSNYPESRLVVSWSATFLTSKLTRWTSRSSTRKLITTRKKLNFKITNETEKDNYRGRSPGGPPMGRPRGLADFFSVAGTISDGRL >Solyc04g076310.3.1 pep chromosome:SL3.0:4:61314111:61328809:1 gene:Solyc04g076310.3 transcript:Solyc04g076310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEAKDTSQCVRVAVNIRPLVTSELLIGCTDCVTVVPGEPQMYDLYTDLAFVVVLDHKQVQIGSHVFTFDYVFGSGGYASSRIFDECVAPLVDALFQGYNGTVLAYGQTGSGKTYTMGTNYNGEEQTGGVIPMVMNTIFSRAEAMKESTELLIRVSFIEIFKEEVFDLLDQNAIAFSKTDGAAKPTGGPARVPIQIRETVHGGITLAGVTEAEVRTKEEMACFLLRGSVARATGSTKMNSQSSNLFSIYVNSRSHAIFTISLEQKKLSNCSTGSTNDDGDDILCAKLHLVDLAGSERAKRTGADEMRLREGNDNYIFGNMTYSSLEHSGVGIHINKGLLALGNVISALGDDKKRKEGAHIPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAIVNRDPVAAQMQRMRSQIEQLQAELLYVRGDSGAPFEELQILKSKISLLEASNAELQKEVKEHRIRCEQLTQSAIDAQVERDRLILKIESSKNGRPWNEIDNSDQDLDLVKKYVSKIQELEAELLHSQSSSNSKHGEPVDYLGLDYSEDSDIKSVDTNGEAEVEEKELEHSSLQEKLDMELKELDKKLEQKEAEMKRFATVDTSVLKQHYEKKVHELELEKKALQKEIESLHRNLSNISSNSDESAQKLKEDYLQKLNLLESQVAVLKKKQDAQSQLLRQKQKSDDAAKRLQDEIHRIKTQKVQLQQKMKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEATMATKRLKELLESRKTSRDNAGSGSTSAAGFQALMQAIEHELEVTVRVHEVRSEYERQMQERAKMANEVAELKLKTLSDFPQKMSPGARNSRIFALENMLATSSSTLVSMASQLSEAEERERAFSGRGRWNQVRSLADAKNIMNFLLNLASSSRCQLRDREVECREKDAEIRELKEKLVNFVRQIELQKSELRQLESQNSELIQQEKLMGNRSSLIYGGRLNKLELEEDMDISDSDHSDHSDTDYGSSGCSCGKRSSCLTNKCLCRYTNGSCGPSCGCNPKKCSNRESSTKNQLSSLDVVGDEGTDEAESSQTLVFQGAMLLQNALSEKPIQSKDEGETKRKPLSDIGNTRAKSNAPKPNQRKQWRKSTIQLVPTTPMTQASNAEAPTKTSQSVNADASVKTENKVGEIDTPALKLPRAMRSASTNGNNTLRERNSETYDSVVDLAIHPAPKSPLRKTKVKEEKEN >Solyc08g079450.3.1 pep chromosome:SL3.0:8:63088327:63096621:1 gene:Solyc08g079450.3 transcript:Solyc08g079450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGGVLSAPGDYIYFKSQVPLHKIPIGSKQWRYYDFGPKVVPPLICLPGIAGTADVYYKQIMSLSMKGYRVISVDIPRAWNNHEWIQAFEKFLDAIDVHHIHLYGTALGGFLAQLFAQHRPRRVRSLVLSNTFVETTSFSASMPWARIVGWAPAFILKRHVLSGIRDSPQEPFIADSVDFVVSQVETLEREDLASRLTLTADAASVGPLLLPDSSITLMDTNDYCATPLSLKDQVCERYPGARRAYLKSGGDFPFLSRPDEVNLHLQLHLRRVGVEARPDLIPGVPKDDSGGSSKEPNNGRKDADDSSENDKRDSETPPTESAEPPLAPEATGSHDLDNQLLKMAKLSNDTGESVTPPSENSFFRDKQNLLVSRAILNITCEIFILNMLSLYLGNCTLTENVAAM >Solyc10g079345.1.1.1 pep chromosome:SL3.0:10:61028401:61028655:-1 gene:Solyc10g079345.1 transcript:Solyc10g079345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSPTYDSRHSQKLQIFIKLQISKHFPMAENYPMKSNGKKGIQDAHVAVVMVPLPAQGHLNQLLHLSRLISLYNIPVHYVGATT >Solyc06g084590.3.1 pep chromosome:SL3.0:6:49703316:49712383:1 gene:Solyc06g084590.3 transcript:Solyc06g084590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLTVVTDSGERAPLLCISSPELSHSHPRDGEIVSSSSDEIAVSKPTLSDPKQRLVSLDVFRGLTIAFNLLPSHLSFLYVLMILVDDAGKAFPSINHSPWFGVTLADFVMPFFLFIVGVSASLVFKKVSCKPQATKKVLLRTVKLFILGVVLQGGYFHGRNNLSYGVDIAKIRWMGVLQRISIGYLFASILEIWFANDYPVDSSKAFIRRYFFQALAGMLIGLSYLILVYGLYVPDWFFEISSLNMESRSPVSGYRLSTQTVNCGVRGSLEPPCNVVGLIDRLLLGEKHLYQRPVYRRTKECSVNSPDYGPPPSNAPGWCLAPFDPEGILRITGRGICIGACRMNNEQDAQEKPPEMSIDDINILYLILLNEKVTARVYKRSVRANTRIVNIIFIVDSFVEEKDDITDLRHGIDLRSLSGRCRLMSNWMGMNALILYAMAACDLFSGAVQGFYWYSPENNLVDITERFIQAAFNSKKWGTLVFVMLEILIWGLVAGFLHAKKVYIKL >Solyc02g078440.3.1 pep chromosome:SL3.0:2:43680725:43687559:1 gene:Solyc02g078440.3 transcript:Solyc02g078440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RNA polymerase II transcription factor B subunit 2 [Source:UniProtKB/TrEMBL;Acc:K4B968] MPQVKIVARNFMDMVASLPVMKLDMLYDNSFICEAILRSLPPLAKKYVLQMLYIDIPITAKSMEEWVLPDGFSKHKVAIDRLIQLRVMTETFDRKKEAMYQLNPKFQFNLQKHIVYGGVLPREPMPSNITVRLPSLEELEAYAVEQWECFLLNLISSSEAGKTTNISSSMMKIFQRGLLSQRDDREPPRLTESGFQFLLMDTNAQLWYIIREYITNAEERGVDSADLIAFLLELSFHVTGKAYNTNTITDLQRSIIKDLSDLGLVKLQQGRKESWFIPTKLATNLSISLADTTTRKQGFIVIETNFRLYAYSTSKLHCEILRLFARVEYQLPNLIVGAINKESLYKAFQNGITSEQIVSFLQQNAHPRVAERIPSVPENVTDQIRLWESDLNRVEMTPAHFYDEFPSKDVFEAACDFAREYGGLLWEDSKRMRLVVKADILAEMKEFLRRQKQ >Solyc01g050050.1.1.1 pep chromosome:SL3.0:1:48283491:48283703:1 gene:Solyc01g050050.1 transcript:Solyc01g050050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFQRKVDLAVKERKFDISIMSDSDVVAGKVKHDVFTSRTPAAIPIAAPVFPNPREQHVNNNMVNRNRTF >Solyc10g052505.1.1 pep chromosome:SL3.0:10:52799922:52802745:1 gene:Solyc10g052505.1 transcript:Solyc10g052505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQEKLEKAKNANVEKVISAKFELGASSTSNASLMTDSQNTDSSNKYDDRLKKAICLLGRIQKAGLEKCTSQPTPMAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAHRMHQPSEHDYHCLKRILKYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFWGRT >Solyc01g110960.3.1 pep chromosome:SL3.0:1:97321317:97323939:1 gene:Solyc01g110960.3 transcript:Solyc01g110960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQTHRKKKIYLFPNFFLLLKSFHILKIIRYDYLNFTLILSGCLEVDKIVCVEIFKKIKGAVLLFAAQSSYLIFNPLHCTQKQNSPQKSFSQTPYTMAKKKTTPQDNQPQNPTEVVKENQVKENNHSIAMEEASEKLENLKNLNSMLLKETIEKRQQVDSLVQAKGCLESELKRSNSEKSELQTELTQLSEQVVRLEIEKKLVSVFVAVQIGYHAEVIESERNGFREQNDVVEKKLKSVEVEMRDVLREKGEIEKLLTEKESEIENLRKQLNAVADEVAHERNVLEGIRKEKDEIKMKLDAQIEEADGLRVRLVETEKREKEIEGEVGKLRVEYDALTEKIKDRESKIQSMVREKELVANSLLGSNKVIEELRGQIDGIVREKEGIEVERNAEMKKNGELQNTVAGLDDMVLSLQKEEAKLRENLAGLEKKCLEGLRKEEEMEKRINELVKGNNEKDIRVENLIEEKALVEKELDKALKQLDVEKKKVEQTVTAKNEMEEAKVGRETEIVELQKQLAEFKNSISELEVSCNGQNEKVKNLESEVGKYKAAFGRVTLEKDERQKRFVDEEQNGINMKKQIEEMEDHIQKIVKEVEQTKADYLNAVREKKELETQCQVLNKEIAFAQTSLGETEKKISDMQCKVELANSNSEEILNALRTAAGSIRSDGEGESGSVVGEKQMNGEDVKPYEAELEAITNAIKSKENKVEEMQRQVEFLQFSVAQAQNKKNFWTMLSSATTLFAAISLAYVARVRRNDLSFVLILVSTSYVTIMTLLFIIPYEIISSPFSFQKRY >Solyc07g005660.3.1 pep chromosome:SL3.0:7:536166:539059:1 gene:Solyc07g005660.3 transcript:Solyc07g005660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQSLLISVPEEIMDEAPPSKSLWQYISAYWTMLCEMYYNKPISHWILLFLSSMGMLVPFPASSLLSRLYFANGGKSKWIISWVSVAGWPMIAIVLIPSYFFLKVFPTPLDLKLTLSYIVLGFLSAADNLMYAYAYAYLPASTAALLASTSLVFSALFGYVLVKNTMNLSIINSIVIITAAMTIIALDSSSDRYGYITDRQYIIGFVWDILGSALHGLIFALSELIFVKLLGKRSFLVVLEQQLMVSLFAFIFTTIGLVMSNGFHGMKSEASTFKGGENAYYSVIVWGTITFQLGVLGATAVVFLSSTVLAGVLNAVRVPITSIAAVILLHDPMSGFKILSLIITFWGFGSYIYGSYTPAKKEASRTLS >Solyc03g119200.3.1 pep chromosome:SL3.0:3:69347461:69352530:1 gene:Solyc03g119200.3 transcript:Solyc03g119200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIGLTQNFQTHCFFHFTASLRTQLSKVSNFTPNFKNPKVVTACMAAATPAVAPTATTFGFKNLMETVTIDVHKAEGRPLNVPLIAPFTIASSRLDKVENVAIRVELSNGCVGWGEAPILPFVTAEDQSIALAKAAEACEFLKQSREMTLNVALTKIGDVLPGHDFASVRAGVEMALIDAAANSIGIPLWRLFGGVSNAISTDITIPIVSPMEASELASKYRKQGFKTLKLKVGKNLNGDIEVLQSIRAAHPDCLFILDANEGYTANEAIKVLEKLHEMDVTPVLFEQPVHRDDWKGLGHVSQIAKDKYGVSVAADESCRSLVDAKKIVQESLADVINIKLAKVGVLGALEIIDLVRSSGLNLMIGGMVETRLAMGFAGHLAAGLGCFKFIDLDTPLLLAEDPVFGGYEASGPDYSFSNARGHGGFLHWENIA >Solyc02g083400.3.1 pep chromosome:SL3.0:2:47379058:47381061:1 gene:Solyc02g083400.3 transcript:Solyc02g083400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFYAYPSRALPSSSSVSNFSIGDFVERVKYCFSFAVSTIVGNVFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSLEVFESSLLLWQSDESGIGCLLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAIESAYDEFPNIFDVGGAKGLPGDSVEKIPKIVITNDNDVDDSGERVSCSVCLQDFQRGETARCLPQCHHMFHLPCIDTWLLRHGSCPLCRRDL >Solyc01g058707.1.1 pep chromosome:SL3.0:1:67765277:67766338:-1 gene:Solyc01g058707.1 transcript:Solyc01g058707.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSNLDLISDTTIFGTEFVEKIMISLSVWFESKIKAIQESFDLKTLFVAELISKLQAQEQISSIRHEDVAQVAF >Solyc10g055425.1.1 pep chromosome:SL3.0:10:56714387:56718382:1 gene:Solyc10g055425.1 transcript:Solyc10g055425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIIFTKIYHGGILSELSAVPTYVGNCVSALRYLTNGEDGETVNLSEEGEACNLSGEGGEGEAANLTGEGGEGEHVRKRSSVCPDTSAVPRTTQTTSTMGGPSTMGGPSHSISRTTYATTQSSQTTSICADTTSVPRPAQNMVQVGTGRGLGRKKANARGTPFVTERDSSSSELPPLSGHKRPYSSASFAAATGENRRPATGFGVYSNPTTGAQPYYNLTLC >Solyc12g019830.1.1 pep chromosome:SL3.0:12:11942207:11943112:-1 gene:Solyc12g019830.1 transcript:Solyc12g019830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIASLLLGRTNDFIKNLWNSTLKRKYSSMFPELCHYPPTIPPSQDLPLSTYSPVIPDPLKSLSSYGYDLSNLGISRFPSLSLYPHISPLVEIFPHSSVSPVITNPLTIVSPYGYDLRNSNLAKLPHLSLNSSITQLGEIMPLSVVSPVLHAPSTTLSLSLPGSKSGENLNPINRIEKVAELTPVPTSEPNLSNFMSQTLTTQNDNSGLTSMGIQLLRPNIWKVLQDMIRKEVKNYVSQLENEKDSMNTKAIVDATVNNICVSKD >Solyc01g006480.3.1 pep chromosome:SL3.0:1:1073746:1078046:1 gene:Solyc01g006480.3 transcript:Solyc01g006480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTTPTIDSCIVETSDGVKLHTTIFKPNPYENEEEEGLGEEISKNSSWVVVLVHPYSILGGCQSLLRGIASGLSRKGYKVLTFDMRGVGRSTGKPSVTGFKEIKDVVAVCKWSCENLSVNKILLVGSSAGAPIAGSAVDQVEQIVGYVSIGYPFGLLASVLFGRHHQNVLKSPKPKLFIMGTQDGFTTVKQLNNKLKSAAGRVETHLIEGIGHFELESHAYDSQMVIFIAEFINSL >Solyc03g115020.3.1 pep chromosome:SL3.0:3:66357632:66363575:-1 gene:Solyc03g115020.3 transcript:Solyc03g115020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMMDGAVAISRFSASNAPLLIRSMATQKPTPSTSKKTTTVFPVGEKPRPGNSSSTPPVKLLTRVEQLRLLTKAEKAGLLSAAEKSGLSLSTIERLGLLSKAEELGILSAATDPSTPSALFSLSLGLLAVGPVCVYLVPEDYPWQIGLQVVVALLSVVGGSAAFGASNLVSTLQKQET >Solyc04g007230.3.1 pep chromosome:SL3.0:4:924161:931569:-1 gene:Solyc04g007230.3 transcript:Solyc04g007230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMLNQLFNRGLQGSKCKTCLTLAISRIKLLQNKRDAQLRLMRKEIAQFLQTGQEAIARIRVEHIIREQNVWAAYEILELFCEFVFARVPILESQKECPSELREAVASIIFAAPRCSDLPDLLHVRNLFAAKYGKEFIAAASELRPDTSVNRTIVEKLSVGAPSAELKLNVLKEIAKEYNVEWDSSYTEAELSKNPEDLLNGPKQIAAPVRASLEPNTRGHPPTSENPVTSPNGNRGAKSLDSPTSVTKAPLWPANIDKPSHSDSTLADAVDIKKDTRPETSDVLERARSAISAAERASAAARLAADLVNVKFSSSKIEEDKS >Solyc06g062795.1.1 pep chromosome:SL3.0:6:39737170:39737969:-1 gene:Solyc06g062795.1 transcript:Solyc06g062795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSKSKVISSSSLKNWSFNDPEMQRKKRVVGYKAYGVEDIKINFQNIGSIGVYIGYKN >Solyc02g080810.3.1 pep chromosome:SL3.0:2:45493187:45496859:-1 gene:Solyc02g080810.3 transcript:Solyc02g080810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Aminomethyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B9V1] MRGGLWQLGQSITRRLAQADKKTIGRRCFASDADLKKTVLYDFHVVNGGKMVPFAGWSMPIQYKDSIMDSTVNCRENGSLFDVSHMCGLSLKGKDTIPFLEKLVVADVAGLAPGTGSLTVFTNEKGGAIDDSVVTKVTDDHIYLVVNAGCRDKDLAHIEEHMKSFKSKGGDVSWHIHDERSLLALQGPLAAPVLQYLTKDDLSKMYFGEFRVLDINGAPCFLTRTGYTGEDGFEISVPSENALDLAKALLEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHTTPVEAGLTWAIGKRRRAEGGFLGAEVILKQIEEGPKIRRVGFFSSGPPPRSHSEIQDSNGQNIGEITSGGFSPCLKKNIAMGYVKTGNHKTGTNVKIVIRGKSYDGVVTKMPFVPTKYYKP >Solyc08g065570.2.1 pep chromosome:SL3.0:8:53765480:53769706:1 gene:Solyc08g065570.2 transcript:Solyc08g065570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRYNIVGIFFLVLVSIVVTIEGRSITQFLTQKTKGTKWVVLVAGSNGWTNYRHQANVCHAYQIMKAGGLKDENIIVFMYDDIANNTENPRPGVIINNPHGHDVYKGVPKDYVGDDVNANNFFNVILANKSGVVGGSGKVLKSGPNDHIFIYFTDHGAPGVISMPYNEVIYAHELVNMLKKKHASGTYDRLVFYLEACESGSMFDGILPKGLNIYAMTASKPDEDSYGTYCGESTPVDSCWGQCPPPEFKGVCLGDLFNVSWMEDRYKQFSDVQDRKTNSLHQQYSRVAKRTAANLTYHNYGSHVQEYGDKVMSFDPLAAYLGETSKNHSHNSVDAKSFSTSSSRNVDQRSTELFYLFTKHQNAPEGSDEKYEAKVKLNELMSQRSQVDSNVKHLGDLLFGVEKGNEVLHSVRPAGKPLVDNWDCLKSYVKIFETHCGRLTVYGRKHVRGIANICNAGITSEKMAAMSAQACSS >Solyc01g068040.1.1.1 pep chromosome:SL3.0:1:76881800:76882636:1 gene:Solyc01g068040.1 transcript:Solyc01g068040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLQISIVNLKKENRRREKDFKYNESRWIYDPYNVYVDEHRILTVVTGNYKTVAKWIKEVQNTAPNSKHGFIFVTICVERDPDMSGQHWEIKDSEDYPYDLLQVCTGSHCLLYRLPFPKYDDDPIPKAMKDFFADPKVMVIGMKMRRIMHRLDADFDIKFTNPVDINILAELGLQRHDLDLRHYDLNRLSMTVLGKYWDVIRPEKPIAWFPREARWWRDPMNPEKIKYATVDPYLCFMVSSKILDGMDDTWYPEDFDDFLDKKKKKKSKSKNKRKKKF >Solyc05g023710.3.1 pep chromosome:SL3.0:5:29025145:29041037:1 gene:Solyc05g023710.3 transcript:Solyc05g023710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLGKKARKFAKKNLPSVLRDRRKKKALFKKRYSSKNVQSNVEDQAKVIDHSNERNTEVEAFEDLPLEAPFMENDCDGVVDSSDSDGYLSEDINYENGTESEPEKLLEGDKCTSELMMQNIKIQENLAIQKRKLERLKRKDPSFSKFLEKHKDIEAMQNGVVFSDEDEMSNHGRDSATEDNQGKDEGRVLTVSAISSWCRLIKEEHKEEVFVCLLNAYRAACHYGAESIGLRFQNAETFCSLVMSVLSEADNILRGLLGLSSFSYKKEAVLELKDTPQWVNVKPLIKSYLRSTLSLLDQVTDSEILAFALTRLRDSLPFFDAFPYLLQRLIKTTIHLWATGGGMLSSSSFSILLDVASLFTTDWFDNCLAKAFVAYLAQSRAMDIVNNKHLQFLRNSLVDLCSLDVQKSLSKATVSVRQLAKVLQWGLHTKKKEALQRICSWEYANCINLWVGFIARNVRDYDLQAFFFTMVQLINGVVRLFPGPRYFPLRLNCIQWLNDLSNSTGVFIPIASFVLDVLEYKTVGERGKPGPALFFQSVLKLPKSCLKSQTFQDECITSAIEQLSSHFLQWSYHISFPDLATVPLIRLKKFNESKTKESQCRVVKHLIEQVEKNVDSVQKKRNEVAFSPNDHQSVETFLQFEKSSLSSPFTQYCRSVLDKAALRGSHKNEKISLPTRDKSKRKRDDSSINVFGHKDVDTVNGSKKRVKR >Solyc09g065760.3.1 pep chromosome:SL3.0:9:64274781:64277721:1 gene:Solyc09g065760.3 transcript:Solyc09g065760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFPMKIQPVDFSTVEESSRCDSFKPVPKSRFKRLFERQFSGLLRSSAPEKLVTGEDLIGNKKDASEELEPSSVCLAKMVQTFIEEGEDKHRCNRSRCSCFNCNGTESSEEENDSVNCFGESNQNCSSDACEILKSVVSCPSVLERNVLAEITKIIEKNRMVKRKDNFIRKMVVDGLLAMEYDASICESRWEKTPSTPAGAYEYIDVVTEGERLIIDIDFRSEFEIARSTRSYKCLLQVLPNIFVGKADRLQKIVHLLTEAAKLSLKKKGMPCPPWRKVEYVKAKWLSTYTRMTPVLMPIASNSTSEPGTACKTTKEAIKEETSEVFRGEMNLVFGGKSSPLADNNPKSAGTSPLFACDDEKNLAVKLGDIFEGKSSPLAENNTKRASTSSLFACDIEKNAMAAQLGEPLEIKPKDSSNCARKMTGLTSLIEDHT >Solyc01g080640.3.1 pep chromosome:SL3.0:1:79742304:79748846:1 gene:Solyc01g080640.3 transcript:Solyc01g080640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVANSWLTSLDCSVSSIQSLDNSSFFSLVLTWLKFIFLSPCPQRILLSSVDLFFLFVVLLLGVKKLYSRFIKNENSLDKPLLGDERPKYRVSFWFYGSLFVVAVLAISYSVLSILAFTKGVQSGWEMAEACFRLIHAVTYLAILILIVHEKRFVAVSHPIALRVYWGMSCVIVFLFAVTAIVRLFFTGNDLVVLRMDDIVVLVSIPLYVYLVVVSIRGSSGICEVGNDDELISMDSNVSGYGTASLFSKAVWNWMNPILSKGYKSPLKLDEVPSLPPNFRAEKMEEFFEKNWPKSGENVKYPVLTTLIRCFWKDLVIISLLAIVQLVVMYVGPVLIQSFIKFTSGDRSNPYEGYYLVLILLISKVLEVLSSHHFSFLSELLGMKIRSSIITTVYKKGLRLTCSSRQAHGVGQIVNYMAVDSQQLSDMMLQLHSLWMMPLQIAASLLLMYYYLGVSMFAALILIIATLIGTLWMSSKSNQYQYHLTIKRDLRMKAINELLGNMRVIKFQAWEEHFKEKILSLRNQEFKWLSKFIYLLSCNLSLLWSMSQVISAFTFGAAIFFKNPLDAATVFTATTVFRILQDPIRTFPQSLMTISQAMVSLGRLDGYMTSRELDSDVVERQQGCNGSIAVEVKDGIFSWEDDGDQIVLKDINLQVRKGELAAIVGMVGSGKSSLLASMLGELHKISGEVRVCGSTAYVAQTSWIQNSTIQENILFGSPMNNKRYKDVLRVCSLEKDLEILEHGDQTEIGERGINLSGGQKQRIQLARAVYQDRDIYLLDDIFSAVDAQTGSEIFKECVRGALKDKTVVLVTHQVDFLHNADLILVMRDGQIVQSGKYDELLKSGMDFGDLVAAHENSMELVESSTEASGECLPQSSPKSPHPLTPKSPQKSQLVANGGSSSLDQQPKGSSKLIKDEERETGHVNFDVYKQYFTEAFGWWGVVAVVIISLFWQAATMASDYWLAYETSKNHAWNPTLFIDVYSIIAGICCIFVIGRSYLVAYLGLRTAQSLFDQIINSILHAPMSFFDTTPSGRILSRVSTDQAYVDFMIPLFLSIVLLMYFTVIGMLFITFQSAWPTIFLIVPLIWLNFWYRRYYIASSRELTRLGSITKAPILHHFSETVSGIMTVRCFGKEDNFFQGNVDRVNANLRMDFHSNASNEWLGLRLEFIGSILICVATVFMVLLPSFVIPPEYVGLALSYGLPLNGVLFWAVYMSCMVENRMVSVERIKQFIRIPSEASWRIANCLPSADWPYRGDIEINNLQVRYRFNTPLVLKGISLKINGGDKIGIVGRTGSGKSTLIQVFFRLVEPSAGTIIIDGVDICKLGLHDLRSRFGIIPQEPILFQGTVRSNIDPLEQYSDDEIWRSLERCQLKDVVAAKPEKLDSPVVESGDNWSVGQRQLLCLGRVMLKNSKILFMDEATASVDSQTDAVIQGIIREDFANCTIITIAHRIPTVIDCDRVLVVDDGWAKEYERPSTLLERPSLFASLVQEYSNRSTGV >Solyc08g048455.1.1 pep chromosome:SL3.0:8:12901348:12905707:1 gene:Solyc08g048455.1 transcript:Solyc08g048455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWERIPKIDELKKELYKSFSMKDLGHAKQILGMRITRLRDKRKIYLSQKKEEKENMTKVPYSSVVGSLMYAMVCTRPDIAHAVGVVSRFLKNPGKEYREAVKWILRYLRGSLDECLCFGASNPILKGYTYSDMAGDLDNNKFTTGYLGAISWQSKLQKCVALSTTEADQPTPMAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHQPSEHDYHFLKRILRYIFVTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFFGAEPDLLVYKKTTQGLSVLD >Solyc04g014480.3.1 pep chromosome:SL3.0:4:4722962:4724505:1 gene:Solyc04g014480.3 transcript:Solyc04g014480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFGDPFRRFLLSPTIHRSFSGSPALLDWIESPNSHIFKINVPGYSKEDIKVQVEDGNVLVVKAEGHGGKKDEFHGKEKDIVWHVAERGGGRGGDFSREIELPEDVKVDQIKAQCENGVLTIVVPKDATPKTSKVRNINITSKL >Solyc01g067660.3.1 pep chromosome:SL3.0:1:76364203:76367500:1 gene:Solyc01g067660.3 transcript:Solyc01g067660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-amylase [Source:UniProtKB/TrEMBL;Acc:Q5NE19] MEVSVMGSSQVNLGRNDLGCREVGNCSFTKSFNSNISSSSSSSSLKSSKLCIKLRSKSLNRFSLKASACSQPEPLILKNNRETKTSDGVKLFVGLPLDAVSSSNTINHARAIAAGLKALKLLGVDGIELPVWWGVVEKETRGKYDWTGYLALAEMIQKLGLKLHVSLSFHASKEAKIQLPEWVSQIGESDPSIFFKDQSGQHYKDSLSFAVTDVPVLDGKTPVQVYKEFCESFKTAFSPFMGSTITGVSLGLGPEGELRYPSHHNPSKMNNHQGAGEFQCYDKYMLSSLKQYAESNGNPLWGLGGPHDAPGSDQPPMTSTFFKDNEGSWETTYGNFFLSWYSEQLISHGSRLLSLATETFHDVPISICGKLPLVHSWYKTRSHPSELTAGFYNTANRDGYVEVVEMFAKHSCQLILPGMDLSDNHQPNESLSSPELLVAQITSSCRKHGVEILGQNSMVANAPNGFEQIKKLLSSEKEMSLFTYQRMGADFFSPEHFPAFTQFVRNLNQPELDSDDQPTKQEERVASNHLQMQTA >Solyc10g083795.1.1 pep chromosome:SL3.0:10:63664606:63669304:1 gene:Solyc10g083795.1 transcript:Solyc10g083795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVSVTISSFSLLTDTHHRTTVIRPKNPLQNRSQLTIKSSIDNKKPPSTKPTSWVSPDWLTKLTRSLTLGQNDDSNIPIASAELDDVSELLGGALFLPLYRWMNLYGPIYRLAAGPRNFVIVSDPAIAKHVLKNYGKYGKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHKKYLSVIVDRVFCRCAERMVEKLLPDAISGSAVNMEAKFSQLTLDVIGLALFNYNFDSLTTDSPVIDAVYTALKEAELRSTDLLPYWQAALCKFIPRQIKAENAVSLIRQTVEELIAKCREIVETEGERINEDEYVNDRDPSILRFLLASREEVSSLQLRDDLLSMLVAGHETTGSVLTWTAYLLSKDPSSLEKAHEEVDRVLGGRSPTYEDMKNLKFLTRCITESLRLYPHPPVLIRRAQVADVLPGNYKVNVGQDIMISVYNIHHSSEVWDRAEEFDPERFDLEGPVPNETNTDFRFIPFSGGPRKCVGDQFALLEATIALAIFVQNFSFELIPDQTISMTTGATIHTTNGLYMKVKQREKVSVLAAAP >Solyc09g007480.3.1 pep chromosome:SL3.0:9:1040354:1042720:1 gene:Solyc09g007480.3 transcript:Solyc09g007480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKCNFIVIFFLLLLASPSSGKMDLSKVNASEIYEIDYRGPETHTKMPPQRVGRHNNHHRGTLFHPNATKPGKNGKKNHG >Solyc03g026300.3.1 pep chromosome:SL3.0:3:3756817:3762857:-1 gene:Solyc03g026300.3 transcript:Solyc03g026300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARCRFLLFFAVLSSIFGLLHAATAGDADPIYSACVDQCEKTGCVGGECSQHCNFTSGGVPIDGPWYLQEPLYLRWKQWDCLSDCRYHCMLAREDERKKVGLKPVKYHRKWPFQRVNGIQEPVSAALSALNLAVQFHGWVSFFFFVNYKLSFRPNKKPFYEYTGLWHIYAIFAMNSCFWSVVSHSRYVDLTEKLDFSSAVALLGFSLILAVLRVFNVTDEAARVMVSAPLVAFVTTHILYLNCYQLDYGLNMKVCLGMGTLQLILWAVWAGVTRHPSRWKLWVVVIGGALAALLEIYDFPPYRGFVDAHALWHATTIPLTYLWWNFVRDDSEFRTTTLIKKAK >Solyc01g006580.3.1 pep chromosome:SL3.0:1:1182697:1185045:-1 gene:Solyc01g006580.3 transcript:Solyc01g006580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTKVKIPTIDFSNEELKPNTPLWESTKVQLFEAFQEYGCIEAIYGENPNEIREGIFDIEKKIFEFPLETKMKNHSEIPLHIGYIGQIPHLPSYESLCIPNFLAPQSVENFANIFWPHGNPEFWYVYFNLRIDKSLEATIKLILCILLIIYFNNNKVRFKINLVKSYANSLLKLDEMIKRMILENLGLEKHINELLDNFVLFRFTHYKGTLSINKDENDKYDGLGAHTDNNFLTFIAQNQVNGLQINKNGEWINATISPNSFVVLSGDSFKAWTNGRLHSPLHRVAMPRENDRLSLQFNTLSKPGHFIEAPKELVDEKHPLLFKPYEMHGLFNYVASNPGTPNAFQAYCGV >Solyc10g081660.2.1 pep chromosome:SL3.0:10:62810735:62819709:1 gene:Solyc10g081660.2 transcript:Solyc10g081660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphatase [Source:UniProtKB/TrEMBL;Acc:Q84ZX6] MDRLTSAARLMIVSDLDHTMVDHHDSENLSLLRFNALWEANYRDNSLLVFSTGRSPTLYKELRKEKPMLTPDITIMSVGTEITYGNAMVPDDGWETFLNNKWDRKIVTEETSKFPELSLQSETEQRPHKVSFYVQKEKAQDIMKTLSKRLKERGLDVKIIYSGGMDLDILPQGAGKGQALAYLLKKLKSEGKLPSNTLACGDSGNDAELFSIPDVYGVMVANAQEELLQWHAANAKNNPKVIHASERCAAGIIQAIGHFNLGPSTSPRDVTDLSDCKMDNFVPAYEVVKFYLFFEKWRRGEIEHSEHYLSNLKAVCRPSGTFVHPSGVEKSLQECVTTFGTCHADKHGKQYRVWVDQVLPSQVGSDSWLVSFKKWELSGENRRCCITTVLLSSKNKTVADGLTWTHVHQTWLHDDASSDSASWFF >Solyc01g065760.1.1.1 pep chromosome:SL3.0:1:72184559:72184738:1 gene:Solyc01g065760.1 transcript:Solyc01g065760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAFHLLLFDGSLIFSECILIFGLILLLMIDSTSDIKYIPWLYFISSTSLVIYFVTFVQ >Solyc05g018605.1.1 pep chromosome:SL3.0:5:22431012:22431848:-1 gene:Solyc05g018605.1 transcript:Solyc05g018605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFQPPSFSSKGESTKVCKLYKSLYGLEQASRQWNLKVIEVLLSSRFSQSHPDYSLFAKIDLLLTGSSSTLILETKAMLNHHFKIKDLGEMKYFLGLENLISDLGLIGSKPSRTPLQANHRLTSIYDKGLYSTSYQKLVGKLLYLTMTRPDIAFNVQNLSQFMHSHKMSHMEVALRVVRYLRNSPGLGIFLSSETSQKLSIHCDVDWETCPMTRHSVSGSVVKIGDSLISWQSKK >Solyc02g061780.3.1 pep chromosome:SL3.0:2:33840264:33842854:-1 gene:Solyc02g061780.3 transcript:Solyc02g061780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLAELELPGFRFHPTEEELINFYLKRIINDDKIDSNTIGFLNIYLYDPWELPGMARIGEREWYFFVPINRKHGPKGRPNRTTRNGFWKATGSDRQIRSSIEPKRVIGLKKTLVFYGGRAPKGTRTDWVMNEYRLPHGHKDHDIVLCKVYRKATSFKVLEERAMIEEDEAKKTYLASAPHDESQDMLASLNSSSSKNDMYFKSCEEKNDELLGSNAFVEPSKFSIDSLSSPLWSTGQDLWTLFS >Solyc04g079900.3.1 pep chromosome:SL3.0:4:64291197:64314074:-1 gene:Solyc04g079900.3 transcript:Solyc04g079900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRRILAKRLSSTLKIDPLPPLTVLERSHIPATPPDSVNGDFFRRFLQRREINHVARLPEFLSIPVGEKLREKLKSINVTGERIKFERLAPPAPSTATALLPAETMGKITVNDAKKILKISQLEKIKLRLRELPMNSISYSEFVQICDEFCCNREQSLDFAKMLDESGSVIVLGDVVFLRPYQVAKSMNKIISESIASPNDPRRREFEQMEEQKALIDQKAQSLVRGELYFGLGFLILQTLGFMRLTFWELTWDVMEPICFFVTSFHVVLAYGFFLRTSTEPTFEGSSTKLRFAILFSQVLFILLCLETSLATFSDHQLLRQDFENEDKSTISHSCIHDQIIEQRKRPGLQVYSVTPQVYEESVASDPPHRRGRALLEISKEQNDVMQPIRIFLNYDAVGHSSERDCQKVGDIVKLGEPPGASFSGTSSCNPHGDPPVYGDCWYNCTLDDIAGEDKRHRLRKALEQTADWFKRALSVEPVKGNLRLSGYSACGQDGGVQLPRKYVEEGVAHADLVLLVTTRPTTGNTLAWAVACERDQWGRAVAGHVNVAPRHLTAEAETLLQATLIHEVMHVLGFDPHAFAHFRDERKRRRSQVTELVMDEKLGRMVTRVVLPRVIMHARHHYGAFSENFTGLELEDGGGRGTSDRLFKEQIAAGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYRANYSMADRLDWGRNQGPDFVTFPCNHWKGAYHCNTTQLSGCTFNREAEGYCPIMNYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNGARAPDRMLGEVRGSSSRCMSSSLVRSGFVRGSMAQGNGCYQHRCSNNSLEVAVDGIWRVCPKAGGPIQFPGFNGELVCPAYHELCDVNPALLSSQCPNSCNFNGDCLGGKCRCFIGFGGHDCSKRSCPGSCGGHGKCLGNGVCECDNGYTGVDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLPSLSVCKDVLQNDVSGQHCAPSELSILQQLEEVVVMPNYNRLFPAGPRKILNIFRGRDCDGAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNVACGASLDCSDQTLFSNEHEGQGLCTGWGELDAWF >Solyc04g006950.3.1 pep chromosome:SL3.0:4:644245:645699:-1 gene:Solyc04g006950.3 transcript:Solyc04g006950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASMKAEKPVGTQPSTSTIKKPSTKAPPTSKSAPKKAREPKKKASGSKAGGKK >Solyc10g055260.2.1 pep chromosome:SL3.0:10:56472232:56477476:-1 gene:Solyc10g055260.2 transcript:Solyc10g055260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:LAX5 protein [Source:UniProtKB/TrEMBL;Acc:F8SS66] MSLSSNDKVVETVIVGNYVEMETEGKPINIKSKISNFFWHGGSTYDAWFSCASNQVAQVLLTLPYSFSQLGMISGISFQLFYGLLGSWTAYLISILYIEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTFTAWYLTVASLLHGQVEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLWATVYVLTLTLPSAATVYWAFGDLLLDHSNAFSLLPRTPLRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHDCNSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHMFTFKSAAARENAVEQPPRFVGRWAGTFTINIFVVVWVFIIGFGFGGWASMLNFIHQIDTFGLFTKCYQCPSPKQFPIPPPPYAAAPQPAPANFTHH >Solyc10g007070.3.1 pep chromosome:SL3.0:10:1448819:1450214:-1 gene:Solyc10g007070.3 transcript:Solyc10g007070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHKHVIVILLACLINSCYAYQFYVGGRAGWVPNPSENYNNWAERMRFQVNDTLVFKYKKGSNSVLVVNKDDYDKCNTNNPIMKMDDGNSIFKFDHSGPFFFISGNKNDCQNGSQKLITVVLAIRPPPPSTPATSPATSPSVSPATSPFTEHTRIAGGITAGRQREQSAGGYSGTYRLKELGMENR >Solyc09g072650.3.1 pep chromosome:SL3.0:9:65690511:65696773:1 gene:Solyc09g072650.3 transcript:Solyc09g072650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCLSLSEYILMDEMVTSPECLATKDHTSSVHSLRAGESGQKPDTGNIEEAESSLRESGCLNYEEARALLGRYEYQKGNIESALHVFEGIDIASVTPKLKLALAERVQTQKRRSRSFSTPPLSINAVSLLLEAVFLKAKSLQALQRYKEAAQSCTVVLDILESSLPAGLPETFATDCKLQDTLNKTVELLPELCKLADAPREAIMSYRRALLHQWNLDIQTTAKIQKEFAIFLLYSGGESCPPNLQSQMDGSFVPRNNIEEAILLLMILLRKISLQRIEWDPSILDHLSYAFSISGGLKTLASKVEELLPRTIDRQEKYLILALCYYGGGDDFTALNLLRKLWRSTEDHICVPGLLLASKVCAESPDCASEGIIYAHRAIERLQERCSHLLGVANCVLGLSLTAHSRTVLTDSERVKIHSDALKSFESAGKLTKMSDTNVIYHLCLENAEQRKLDVAVHYANWFLKLEGGSTLKGSMLLARVLSAQKRFLDAETIINVALEQSGKWDHGELLRTKAKLQIAQGQVKNAIETYTQILAILQVQRRSFGLGEDLEESGDHCRTLELETWLDLASIYIKLSQWRDAEKCLSKTETISSYSACRLYTAGLLNQSKGLYKAALGDYSNALAVNPSHVPSLVSSAVVFMKIGKQSPAIIRSLLTEALRLDRMNASAWYNLGLLYKEGGLGSAAEAADCFEAAIILEETEPVEPFR >Solyc07g019493.1.1 pep chromosome:SL3.0:7:11803900:11807109:-1 gene:Solyc07g019493.1 transcript:Solyc07g019493.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNTAAEVVVSNSSFSGMKITDHNHPFHLSSGDVPGADEITSDQEDRPEIVAVEVPVIVEASVFADCNFELVECSHTSPTNTPTRSEPENTNFSLPCPIEPSRKSRRSAKPPLYEQLSKRYKSYLSQFLAEIEPQTYHDKAENNMVIVLVYVDDLLITGTNIQLIQDTKFMLHSRFKIKDLGELRFSLELSSLETNRVLMHQRKYSLELISNLGLPGSQPCKSPVELNHKLTSVEFDEHVGSSIDVLLIDPGIYQRLVGILLCLTVTRPDISFVVQNLSQFMHQEKQSHMQATIRVVKYIKHSPSLGIFLSAKASSNLQAFCDADWASCPTTRRSVTGYLVKFGDSLISWKAKKQPTISRSSAEAEYRSITFIVVEIVWIV >Solyc10g076770.1.1.1 pep chromosome:SL3.0:10:59824479:59824892:1 gene:Solyc10g076770.1 transcript:Solyc10g076770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHHAPRPSIPLPPVPRGAVPRGLLPPDAPCPEAPYLLDLEVPCPEAHYIRTRRALRTPNPFYLGPRGVVPRAPLPPTSWTSRRSASRPLTPYIPDSEAPYPLLPSLELPYPLPPGPRGTVPRGPLPPGRRAPRPYTP >Solyc09g009170.3.1 pep chromosome:SL3.0:9:2498871:2502145:1 gene:Solyc09g009170.3 transcript:Solyc09g009170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNNPPPTKPRVVCCIGDIHGYITKLQNLWSNLESCVNPSDFETALIIFLGDYCDRGPETSKVVDFLISLPSKYPKQSHVFLCGNHDFAFGAFLGVLPSPPDGSEFCETWKEYEMNEEREGWYKGESFENMHLQGRRWAGNHTVKFNAVKGIDYKGSIYDAAPTFQSYGVPHGSADLMKAVPDEHKKFLANLVWIHEEDDVTIKTEEGIKRCKLIAVHAGLEKNKSVEQQINTLKAKDTRIPKVAALSGRKDVWEIPQELTKTPTIIVSGHHAKLHIEGLRLVIDQGGGFEDQPVAAIVLPSLEIVRDTDHLVK >Solyc01g073755.1.1 pep chromosome:SL3.0:1:81029841:81032266:1 gene:Solyc01g073755.1 transcript:Solyc01g073755.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLLWQITVIIVYVFLYGRLYLVVSGLEEGLSSHPAIRNNKPLQVALASQSFVQIGLLMALPMMMEIGLERGFRNALTDFVLMQLQLAPVFFTFSLGTRTHYYGRTLLHGGAQYRGTGRGFVVFHAKFAENYRLYSRSHFVKGIELMILLLVYHIFGRSYRDMVAYVLITASIWFLVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNRGGIGVSPEKSWESWWEKEHEHLYHSGLRGTVVEILLSLRFFIYQYGLVYHLTILNNETSFLVYGVSWIVIFVILAVMKWISELLDLCPAKSWEGVVVSVGRKKFSADFQLVFRLIEGFIFLSFVSLLISLIVILHLKFRDIIVCILAFMPTGWGMLMIAQALKPWIRRGGFWGSVRTLARGYEIIMGLLLFTPIAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPKKDRSSSNKE >Solyc02g090750.3.1 pep chromosome:SL3.0:2:52897653:52902288:-1 gene:Solyc02g090750.3 transcript:Solyc02g090750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEANEPSLSTSPSSFNSSQQRHFYLAVDRLHFKMETLVDLLGMAGRLWSIPIVVSCSTRDELDAVFSALSNISHITIAALYSDLPEAERARVLASFRQATMRWIKQDTGETEKKEEKCHLIVVTDVCLPLVNSGELPINARILINYELPTKKETYMRRMATCLAADGIVINMVVGGEVVTLKSIEESSGLLIAEMPINIFEIL >Solyc08g062675.1.1 pep chromosome:SL3.0:8:51817409:51818018:1 gene:Solyc08g062675.1 transcript:Solyc08g062675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNSGPAKQILGMKISRDRSAGILNLSHELYIEKVLRRFRVNDAKPRTTPLANNFKLSKEQSPKTAEERNVTLQGFVDADLGGDVDSSKSTSGYIYSIGGTEVSWMSRLQKCVSLSFTEVEYVAIAQAGKEMIWL >Solyc09g008070.3.1 pep chromosome:SL3.0:9:1525937:1531119:1 gene:Solyc09g008070.3 transcript:Solyc09g008070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKAESSDSKGTKKDFSTAILERKKSPNRLVIDEAINDDNSVVSLHPDTMQKLQFFRGDTILIKGKKRKDTICIAIVDDKCDESKIRMNKVVRNNLSVRLGDVVSVHQCPDVKYGKRVHILPIDDSIEGVTGNLFDAYLKPYFVEAYRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVAPDTEIFCEGEPVNREDENRLDEVGYDDIGGVRKQMAQIRELVELPLRHPQMFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLRSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERICKETHGYVGADLAALCTEAALQCIREKMDVIDLEDESIDAEILNSMAVTNEHFSTALGTSNPSALRETVVEVPNISWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARGSAPCVLFFDELDSIATQRGSSRGDAGGAADRVLNQLLTEMDGMNAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEDSRYQIFKACLRKSPLSKDIDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRRDNPEAMEEDVNDEVSEIKPAHFEESMKYARRSVSDGDIRKYQAFAQTLQQSRGFGSEFRFAGPTIGPTTGTTDPFATSAGGAADEDELYN >Solyc03g118130.3.1 pep chromosome:SL3.0:3:68565673:68567507:-1 gene:Solyc03g118130.3 transcript:Solyc03g118130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALQVPTRIPANLAPNGGLRQAPNGLALKSSFFSPSIHLLLPPPSFSRAAPATAPKISMRVTSKQAYICRDCGYIYNDKTPFEKLPDKYFCPVCGAPKRRFRAYEPKVTKDANSTDVRKARKEQLKRDEAVGNILPIAIGVGVVALAALYFYVNNTS >Solyc12g006620.2.1 pep chromosome:SL3.0:12:1091155:1095274:1 gene:Solyc12g006620.2 transcript:Solyc12g006620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEFKKRFTDCVYFLASPLTCKKGMECEYRHSEMARLNPRDCWYWVAGSCLNPTCAFRHPPLESHAEKSSELAPQPNKSVVPVNKTNMPCYFYFSGYCNKGERCAYLHGPDDGATAWKSSKVASEVPDEPTAEMKKTFAGSETDLSAVERHPNSSEMGPKEAAHEYVNSKVDVPLITNNVGEQSASHETSESPSEEAAAVGLVPFVHAEGLTQGGSYLSPNQSSDEEVEDNVEREEWLESSPGFDVLVDDRLVGLSHEDEHNYLMHHDMEDRELDERFTGYDFENHLEYDPAYPDIGIVSDVEQKTSYYNFENHEMNERVGEFLIPAHGRERVSRKRELPRELAFRGRDNVDLRDLLKKRRAHESDSPDHLSRRASKVESNVSFSPRFSNRTRLEGANRLKRLRQSQGSSYRQQHFKDRRQGESRPFANEPPRRRMDSRKRLTDIPETETFSAPKTLAQIREEKRRGREYANSLEGTGPSGGSEKEDFSGPKPLSEILKDKRRLSSVVSH >Solyc02g092010.3.1 pep chromosome:SL3.0:2:53863190:53870179:-1 gene:Solyc02g092010.3 transcript:Solyc02g092010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRTISNLRSSLTSGICLPQALRHFSQFSISLLPTQTQSIHLLVNPSPSTQSSLFHSLSSPCSSLLLQKHQDGSGDDFNTDPKSPPRLFVVQPRFRPDSVLKPKLNEALNLANSLEEQRHGFYDTEFLDKQMPHHLVVQNPASRSIRADLSLCNGVLPYRIWSSKCFPYIRADLLFKGESVNLPEVIDTFFGPGTVDTVKCHVNSLDTQEGIDAIFVNAILTGIQQRNLERAWGKPVLDRVGLIIEIFNAHAQTKEAKLQAELAALMYKKSRLVRVRGPGGRYGFGVGGEAEVVSARGRGSGGRGFISGAGETELQLQRRRILERRNQLLSEIKEVRRTRALQRAARKRHGGSDGQEIPTVAVVGYTNAGKSTLVSAVSESFLYCDDRLFATVDPKLRSVVLPSGRKVLLSDTVGFISELPIQLVEAFHATLEEVVEADLLVHVLDSSAPNLNEQREAVLQVLGQIGVSEQKLQNMIEVWNKIDLREDFVGDGYCNEDEVPSGLEENNDVASNKLCEEDLLEYDDNEDEDFEQSGKLGEVIDDQQGNYTDELVSGDEQESWVDYNGSLVGCGSAEVQQNDPSNKWEVVGSENQFGSESAPHVKTSALTGVGLQELLELLDEKLKPQKTIEKDIFDRKWRPPRTEDARIAVEQ >Solyc08g065910.1.1 pep chromosome:SL3.0:8:54311988:54313441:-1 gene:Solyc08g065910.1 transcript:Solyc08g065910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAPCCDKSKVKRGQWSPEEDEILKNHIFNHGNPGSWIALPKRAGLNRCGKSCRLRWLNYLRPNIKLGNFTQDEDNTICSLYNQLGSRWSVIASKLPGRTDNEIKNHWNTKLKKKVSATKEAMKLPIPSSDSADKNMVETGEKNPRFTQEEDHSKISPSIEGSTSFETCSSPLDDLAWFESFFPMDSNTSDGIWSTQQDGIDDFPSDDLLGD >Solyc06g073390.3.1 pep chromosome:SL3.0:6:45368072:45374066:1 gene:Solyc06g073390.3 transcript:Solyc06g073390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSCEVTKTRIPFKERPDWADVKPVPQDDGPCPVVPIAYTEDFSETMDYFRAIYVADERSTRALQLTGEAIQLNPGNYTVWQFRRVVLEALGVDLREELKFVDRIAGENTKNYQIWHHRRWLAEKLGADAVTNELEFTKKIFSQDAKNYHAWSHRQWVLQALGGWEDELAYCQQLLEDDIYNNSAWNQRYFVVTRSPLLGGLVAMRELEVNYTVQAIRASPENESPWRYLRGLYKNDTQSLVQDSQVASVLLDVLTSQNSHVHALRFLLDLLCHDFEPSQELKSAVDVLTPQSCSPDLALTKKICSILEHADPMRVKYWNWRKSMVRVQLLQSQNAERLANLSVQE >Solyc03g093620.1.1.1 pep chromosome:SL3.0:3:56580211:56581194:-1 gene:Solyc03g093620.1 transcript:Solyc03g093620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BIN8] MADEESNSNISQSSEEKDDALINELPSALFWDAMEIRKWQGFWFEPGLIKCAMKFSSSFQAGNDDVLLASAPKTGTTWLKALCLCILHQNHNIPENEDLLTKDNPQFHVQTVESSIYSTKPTPDLYSTPSPRLIHSHLPFHFLPNSIINSNCKIVCVARNPKDTLVSLWHFFNSIFRGNQEPYPLEKAVDEFCTGVHQYGPYFENVLGYWLESQKRPEKILFLKYEEMIKDPKEQVRKLGLFLGKPFEKEEDLEKVVWRCSLERLRNLEVNKNGSVIYGVPNASYFRKGIVGDWKNYLNPEMENRINQTTHLKFKDSRLEFEELLES >Solyc01g104870.3.1 pep chromosome:SL3.0:1:93083800:93087819:1 gene:Solyc01g104870.3 transcript:Solyc01g104870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSKLRQAIGAVKDQTSISLAKVGSSASLSDLEVAIVKATRHDEYPPEERHIREILSLTSYSRAYVGACVNFLSRRLSKTKNWVVALKALMLIHRLLCDGDPSYEEEIFFATRRGTRLLNMSDFRDSRSNSWDCSAFVRSYALYLDEHLEFRMQNRRGKRSAFAYNDDEEEVRHNARGIKATPLREMKNDRIFSRIHHLMQLLERFLACRPAGSAKNSRVVIAALYALVKESFQLYYDLTEITTLLFDKFIELSIPDSVKVLEIFFRINKQFEEIEQFYDWSKTVGVTRTTEYPDIEIIPPKKLERMDDLIREKSFKEQTRKAMRNEPTAEHVRETKTPEPKTEPEEDINAIKALPAPEVSPEEKTEEQEEKAVKTQDEGDLLNLSEDVPTSEEHGDQLALALFDGGQATTNPATSISPWQAFNDSGDWETALVQSASHLSNQKTSLPGGFDTLMLNGMYQQGTVSQAVACSGVVATGSASSVALGSAGRPAMLALPAPPTANSGANTPAPGTDPFAASLAIAPPAYVQMSEMEKKQRLLMEEQFMWQEYQKNGMQGQVGFANVQTNPYPYNVGGYRQTF >Solyc09g007410.3.1 pep chromosome:SL3.0:9:984922:989011:-1 gene:Solyc09g007410.3 transcript:Solyc09g007410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKGSWFSSVKKALSPDPKEKVDKKASKSKKKWFGKEKHTLVDSSTAVTATASPPHPVPVLPVEEVKLEEVEEEQTKHAYSVAVATAAAAEAAVAAAHAAAEVVRLTTVNQFSGKSQEEVAAIRVQTAFRGYLARRALRALRGLVRLKSLVDGPTAKRQTTNALKCMQTLSRMQSQISSRRIRMLEENRTLQRQLMQKHVKELESLRRGEEWDDSLQSKERVEASLLSKYEAAIRRERALAYSYSHQQTWKKSSRSTNLLFMDPTNPQWGWSWLERWTGARPWESQSMSEKQLKTDQMSVRSVSIAGGEIAKSFARHQLNSELPSSPSRQKPSHPSRYHSPTTPSKPTTSVAAARKLKPASPRISAMNQDDDNRSMLSVQSERNRRHSIAGSSIRDDESLASSPSVPSYMASTQSAKAKTRLQNPLGMENGKPEKGSAGSVKKRLSYPPSPARTRRHSGPPKFDNTSLNTSIAEDHVNGVVN >Solyc06g005475.1.1 pep chromosome:SL3.0:6:485891:486174:-1 gene:Solyc06g005475.1 transcript:Solyc06g005475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARSRFSGSLISPKVDLRIDLGNPFLNHTVDAFLKIGTVAATKTVAEETYGIVTRGLLLIPFLEYPISN >Solyc12g005640.2.1 pep chromosome:SL3.0:12:338256:339796:-1 gene:Solyc12g005640.2 transcript:Solyc12g005640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARIRCYDKKSGLKKGTWTLEEDKKLAAYVNKYGCWNWRQLPKFAGLSRCGKSCRLRWLNYLRPNIKRGNYTREEDQIIMKLHAEIGNKWSAIAIHLPGRSDNEIKNHWHTSLKKRSKHELTISNGKKREASNVNQKSDEDQNITPNESYSEVSPCVTIDQNMEDIMDGKCEMIQEKSFEECIGNFWTEPFLIDSFNSTTNNNTEFCVPLEVEYGLFISPFSPIMCYDEFLCS >Solyc10g008350.3.1 pep chromosome:SL3.0:10:2473251:2474103:1 gene:Solyc10g008350.3 transcript:Solyc10g008350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TFFFYFCSKKMKKSNIFAASITAASSAVAISSSNSSSRVRISHHQDESSMKKKKNEENSLKKNGESKSSSDKFAPKFDGLRFIETLITAHR >Solyc03g094160.3.1 pep chromosome:SL3.0:3:57489368:57493463:1 gene:Solyc03g094160.3 transcript:Solyc03g094160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMMLVNCSKCHTPLQLPPGAKSIRCAICRAITLIADPHAAPPTPPSAYNNHYYPPSSPSPALAPSTYSSGQNSSSRKRAVIVGISYTNTRNELKGCINDAKCMKFLLTNRFNFPQDSILMLTEEERDPYRIPTKHNIRMAMYWLMQGCQAGDSLVFHYSGHGSQQRNYTGDEVDGFDETLCPLDFETQGMIVDDEINATLVRPLPRGAKLHAIIDACHSGTMLDLPFLCRMDRTGRYVWEDHRPRSGAWKGTSGGEVISFSGCDDDQISADTDNLSKVTSTGAMTFSFIQAIEQGKGTTYGGILNAMRSAIRSSDNGVGAGIVTSLLTMLITGGSAGIGMRQEPQLTANEPFDVYTKPFSL >Solyc11g039780.1.1 pep chromosome:SL3.0:11:42569025:42570197:1 gene:Solyc11g039780.1 transcript:Solyc11g039780.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWYKVFPKILVIKVKIRLHIDRSMQYLSLFHFIVVIFFSHVFFTMISLIFVMFPLLLFSHFIFVLICVLELRILLN >Solyc10g045030.1.1 pep chromosome:SL3.0:10:30033216:30034107:-1 gene:Solyc10g045030.1 transcript:Solyc10g045030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERKEAICEGDRLKNQAEAFEEMISEVPIWYRAGKKKFNIESKSRGEEFKRIMTTCKEKKHKCKEATFREQKKDNKNLSIGLFPKNSGFIQLVERHCDDMILGKKSEEGSSSPNNNHPPYLLIDDNADDMRKNVDHEKKT >Solyc07g006140.3.1 pep chromosome:SL3.0:7:982353:984796:-1 gene:Solyc07g006140.3 transcript:Solyc07g006140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDPSRIPSLVISILCCNRYRGNRSITRHTNHINPATLHSHFITQYWFEQNHTDNMILIVLRVIIHLVSHEKEKNIRKEDVRVFIIFEKIIKVFFTVKLHIQGQNNVSAHRPEDLREQLSKARALAHQYRLPAPLLHWYLIFVNFTIIRKFIKSSNIKLVTENSSNATKTTAKLRSFLRGSNISDWLSSVLKSADPANIKPETLGWLINTKLVKDLPGIATQCPKKCPIPIHHNKPELRSVNGPEGLKINCNLLLFPIFSYDCSGIKHKPVWWNLIVEFKSLLSRCDCTQHRLTIHSTFDV >Solyc05g018370.1.1.1 pep chromosome:SL3.0:5:20635977:20636825:-1 gene:Solyc05g018370.1 transcript:Solyc05g018370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRFSNNSLERNMAKKGILILVLSIFLVGSILGRKLAESDALTNGGHSPLKPLFTQKNGDLPGIPLLGGVPGSIPGIPSIGGLPSNIPGIPSIGGLPGTISGIPLIGGLPGIIPGIPSIGGLPGTIPGVPSIGGVPGTIPGVPSTGGGPGNIPGVPSIGGGPGNIPGVPSIGGVPGQGPGDVPVVPSVGGNTGPAYGGIPYIPSTGIPGLGYGGLPGIPWLGGGPGNIPGIPWLGGVPGGYGIGPGIVPFVGGGGGGGGGGGIGGGLGGGVGGGVGGGIGGGV >Solyc04g079710.3.1 pep chromosome:SL3.0:4:64170968:64176984:1 gene:Solyc04g079710.3 transcript:Solyc04g079710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFPLHFTTILCLFILKFSSNTVLGSNCTHSCGNRFLPFPFGFSHGCPIQLNCTSNEEIFMKDFPVQSVTSDTILINLLAKCSRPFSAIHNLFSPNYAPTSKNGILFQNCSSSQSSCLIPSTMIQTHFDILDCGKENMSCYSEPNSENNFINLKNLSKTGCGSLFSAISVESTVNSSAVSLDVQMVQLGWWVHGHCRCSSNANCTKISSPINGKQGYRCQCFEGFIGDGFLAGSGCRKDETTCNPSKYLSGKCGGTTRVGVLVGGIVAGASLMVSVGLICCFIRRRLNFQNRTRRSRELCETTGISIPVYQYKEMEKATDFFSEKRRLGNGAYGTVYSGKLQTDNWVAIKRIRHRDTDSIEQFINEIKLLSSVNHPNLVRLLGCSIENGEQILVYEYMPNGTLSQHIQREKGNGLPWPVRLTIGAETAQAIAYLHNAMHPPIYHRDVKSSNILLDYNYKSKVADFGLSRLGLVESSHISTAPQGTPGYLDPQYHQNFQLSDKSDVYSFGVVLAEIITGLKAVDFARPQDEINLAALAIDRIGKGNLDEIIDPFIELHMDAWTLSSIHRVAELAFRCLAFHRDMRPSMMEVAIELEQLRLSRWTNSEDNITGSSEGSSISSTSDASEKPLNLSINKKQEIDIFSTECKIIKDYSPVSVQDPWSSEQSSPSCSSLLNHIVK >Solyc04g058120.2.1 pep chromosome:SL3.0:4:55175246:55175774:-1 gene:Solyc04g058120.2 transcript:Solyc04g058120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTVKKAYELLESSPNVFMLQHFYNPETLSLLEPTERNKLNGGKSGPHQITGNGARFKPYILDMDLMEEHRHWKSSEGFPC >Solyc03g083040.1.1 pep chromosome:SL3.0:3:54300614:54302721:1 gene:Solyc03g083040.1 transcript:Solyc03g083040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKSQVLDVLKMENQNDHFDWLYSEQEYPPSTFGTLQQDSRLSNGGLLNFEFDPFELPNDLQNNNLPYFGLEEFEEICQDLGSFDLKSLPSQFQEDNNTLIIHDANVNVMPQNVTHDTLGESQVTTPAFYGDSMEIIQNRNNNRRHKKSDTLELEEIQRYFHVPITKAAKELRVGLTVLKKRCRELNIMRWPHRKLKSLQTLIHNVKEMGLTSEVEMLEEHQRMVERVPEMELTDRTKKLRQTCFKANYKKRRASMAMTANFY >Solyc01g086770.1.1 pep chromosome:SL3.0:1:81606352:81606604:1 gene:Solyc01g086770.1 transcript:Solyc01g086770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMGGLIFGYDIGISGRKHDRKVTMFFGGLFFLLGALLNAVVVHISMLIFSRILRGVGVGCAN >Solyc05g008670.3.1 pep chromosome:SL3.0:5:2957955:2962010:-1 gene:Solyc05g008670.3 transcript:Solyc05g008670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQREISTAGRGGTFSYDNGLPSPSVLSQPTAFDLTSLYSSMLFPPAGLLLDDSQPSTETTVTPVLTPRFRQNHSSQLLIDQHYQQDLMDRHNRVLSQLLETEKKTLALRQENINLKMVNFNLNNRLSLLLKASSDYGPSSSLGLDNGLRRMHVGVGHEEETSSDGHSWEDVVADRHLSPTSVMDSGRADGVDRVLLPKSISVRSNGYLKTNHAAGGSRPHNRTKSSSVNTAQRVYVKGGSKKEEEPLELDVYNQGMFKTELCNKWQETGACPYGENCQFAHGIEELRPVLRHPRYKTEVCRMVLNGDPCPYGHRCHFRHSLTDKEKLMRSLNSKMRSN >Solyc11g027830.2.1 pep chromosome:SL3.0:11:19132061:19136532:1 gene:Solyc11g027830.2 transcript:Solyc11g027830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVSLSFCQPSTLCTSKTQLLPFTFTSTPILFSFSHSRKKRRRFVSYASSSELPLLPFPIDQVLVPSEAKTLHLYEARYLALLEESLFKKKKFFVHFVLDPIAINDTSGEASFAARYGCLVAIEKVEQLEIGALVSIRGIGRVKILKFQQAEPYLTGAVMPLLDNTPYSDTELSSKVLKIKEALHSLNSLEIKLKAPQDAALQTLTANSLRWSENTPALDCDNGFIPPLAELVSFAALQPVSGSSESELLKLQKMKLRAMDIKDTLDRLEDSIGYVQDNISLVAAKLAIQSLDSRL >Solyc10g081950.2.1 pep chromosome:SL3.0:10:63003462:63007171:1 gene:Solyc10g081950.2 transcript:Solyc10g081950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNSSGDDKKYETKPVLGYPEQFSQSDHNLASPYPNPAYHYPPPYDQHLYQYPYYYYPNYQSNYYNDDNDDDNNNNNILQPQLSNVPPPRRKIITFCRVFFCLIVFLVLSFSIFFAVRIWKNYAYYPSFEVVSFIVHSFNISNPTNKLTVDWEVDVSVRNSNRKVEISFEYISTSLMYKLQLLESSFSAPFKVSTKSNTKFHVDSNIPNPNQVKIGGLVVDEMARDLRRGDNLLIDLRIEAKILNQGPGIRFERDLYLSCDDLILNFKNSTSLPEWDGRINNYKSKCVYDESWWDVIWEPW >Solyc01g017020.1.1 pep chromosome:SL3.0:1:23423536:23424085:-1 gene:Solyc01g017020.1 transcript:Solyc01g017020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHKDEVLYSSDYIGLESYNPYKNKFLSLQTLSPTPPYYPHVQQSKYANYYQGNKTSSYYHLIEVAECQRNNSACPEKEVDYHSNKFFLFS >Solyc01g068270.3.1 pep chromosome:SL3.0:1:77219326:77223387:1 gene:Solyc01g068270.3 transcript:Solyc01g068270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVCNGNCSCTVERDDCNTTTTSSVDDSNTMTGSVSISDGDDGAGDVGGRVVAVVGVKLDSRSKELLTWALVKVAQTGDHVVAVHVIDPNSDKSEPLSLVKSFDSMLSAYEGFCNLKQVHLKLKVCRGSPVRKVLAREAKLESAINLIIGTSGSHHAIRSSVSLAKYCARKLTKNISVIAVDNGKIVYQREASALDGDESSDSDMPRSRFKRRKTLNKSPLSSMPRKVVEENSCTTENNYMALVPVKPIEVRESKSRWTLLRRVFLQNLVAPDKFPAKRSSVIHWVWKRPSRQSFAAIYPDHKQSVSDKDEPHHTNLDAEKGAIIPVGSDANPISNEFIILPEELEGLSERYSSMCRLFNYQELCSATSDFLPENLIGKGGSSQVYKGCLPDGKQLAVKILKPSEAVAQQFRSEIEILTTLHHKHIISLFGFCLEENNLLLVYDLLSRGSLEENLHGSKKDENTFSWVDRYKVALGVAEALDHLHNAADGPIIHRDVKSSNILLSDDSEPQLSDFGLATPASSCSNHLDSIDVAGTFGYLAPEYFMHGKITEKIDVYAFGVVLLELLSGKKPIDNGNGKGQESLVMWAKQVLKGGNTKELLDPSLIDTCDHDQFERMVSAASLCIRRAPGIRPQIDIVLKLLQGEAETIKWAMEEGKTSEEVDAVDGEQPPSNIQSFINLALLNLEDESSLSCTSTGPTISVEDYLQGRFSRSSSFD >Solyc08g075890.3.1 pep chromosome:SL3.0:8:60097824:60104120:1 gene:Solyc08g075890.3 transcript:Solyc08g075890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERYQIIKEVGNGTFGNVWRALNKQTGEVVAIKKMKRNYYSWEECINLREVKSLRKMNHSNIVKLKEVVRENDILYFVFEYMECNLYQLMKDRAKLFSESEVRNWCFQVFQGLAYMHRQGYFHRDLKPENLLVSKEIIKVADFGLAREINSQPPYTEYVSTRWYRAPEILLQSPIYGPAVDMWAMGAIMAELFSLRPLFPGSSEADEIYKICSVIGTPTKRNWAQGLELASAINYQFPQVAGVDISLLVPSASENAISLITSLCSWDPRKRPTAIDALQHPFFQSCFYVPPSLRTKAAVAKTPPSAVVRGAVEQKYKWSSGLSHNPKPSSNFSTVKSQLPFNAGVQRKLDMNYQDPTRNDKFLQGSVNQQPKYRPPGRNIPSDPMVGSRVQNFAVSDAADKLGNMNIGSGRVPTMQPVVKPMKAGGWHGQHDLFHGRSKEFLPGRSFSRKVAG >Solyc01g098560.3.1 pep chromosome:SL3.0:1:88924660:88928553:1 gene:Solyc01g098560.3 transcript:Solyc01g098560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERPERIEDGFTTTDPLLSTGGDTPAPPTLAVVFSTLVAVSGSFVFGSAVGFSSPAQNGIIKDLGLSVAEYSVFGSIWTIGAMIGAVMSGKLADLFARRGAMGFSELFCLLGWLAIIFGKDALWLDIGRFLMGYGVGIISYVVPVYIAEITPKNLRGAFTTVNQLMICCGVSLMYVVGVIINWRLLAVIGAIPCIIQLLGLFFIPESPRWLAKAGQWKECEASLQRLRGKDANISEEAAEIKEYTETLQKLSEAKLIDLFHKKYAHSLIVGVGLMVLQQFGGVNAIAYYASSIFESAGFSGRVGSIAMVVVQIPMQVLGVLLMDKSGRRPLLMVSAAGTCLGCFLVGSSFLLQDLQLWKSSPFLALVGILVFTGSFSLGMGGIPWVIMSEIFPINVKGLAGSLVTVINWFGSWIVSYSFNFLMLWSSEGTFFIFSAVCGVTVMFVAKLVPETKGRTLEEIQSSMT >Solyc06g060990.3.1 pep chromosome:SL3.0:6:39079928:39085156:1 gene:Solyc06g060990.3 transcript:Solyc06g060990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNHEGKLVKLRLKSERTDFTSVVTRRRWVFIQLGACKRKSTSSMAKTLSNQSMEKISDRLSGLDNLYFPRAQQSSASTAFQRKSLLIDLLSRDTPLFLERYGSQLTSDELNEFGTMENDYEINWHLNHLRSVISPTQEDLKSRSVKIKNRRRAYLDKLVNDGQYFSEDAMREREPYLHHEYIGRFQDPSGRSMARPGERWSETLMRRSEEAVLVKKIRDEQQRRGVAQSDWVGFDNQELEKMEEEEEEETEEEEEEEEEEEDEQEEEVQEKDKGERDISSNRQEVHPIILDASIDVPSEIRRAAVMETLSTEEMQERLDQFSYIMQEKFLLGEDNLDYSKIDEDETLDDHWIKEANYDAEEKYFDDD >Solyc04g015540.1.1.1 pep chromosome:SL3.0:4:5775204:5775566:-1 gene:Solyc04g015540.1 transcript:Solyc04g015540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENEKGLPPLVVDQQNTNYYSSSSSSSNTHKSIETLLVVLAIITILGVIASIIARLCGGRHFSGNGEDDIEGWVERKCRSCIDGGIPNTSQLSPPSPSPAKEEVKTTTTTTTTTQEEGKK >Solyc05g014930.1.1 pep chromosome:SL3.0:5:9116216:9116618:-1 gene:Solyc05g014930.1 transcript:Solyc05g014930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETHIDKGSSLQEDSNVNDNEYSTKANNVYSINKNFSFEIDMKFNFEEESYNAYNSYALAKGFGVRKSAKTYNINKEVTRRLFLCSCEGKSD >Solyc07g020793.1.1 pep chromosome:SL3.0:7:13496114:13500924:-1 gene:Solyc07g020793.1 transcript:Solyc07g020793.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSSPLGSTHDRTTWGVACYHRFWITHTAERRWAWHDITAFGQHTWSNDVERDMPSPPLDSTHVQTTSAVVERHQAWHAIIALGRQTRSKDVGHGMTSPPLDSTHGRQHQAWLDITALGLHTRLDDVGHGMTSPPLDSTHGRQRRA >Solyc06g016765.1.1 pep chromosome:SL3.0:6:13396433:13408300:1 gene:Solyc06g016765.1 transcript:Solyc06g016765.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFETNYGHHRFLVMSFGLTNALATFMSLMNFMVKPFMVSGLSPKIDSLCPNNTQQDALSMKR >Solyc06g053480.3.1 pep chromosome:SL3.0:6:36371157:36375601:1 gene:Solyc06g053480.3 transcript:Solyc06g053480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Acyl-[acyl-carrier-protein] desaturase [Source:UniProtKB/TrEMBL;Acc:K4C635] MALKLNAFNFQSHKYSSSFVHPPMLSLRSPKFFMAASLTSGTKEVENLKKPFSPPREVHVQVTHSMPPQKIEIFKSLEGWAEQNLLTLLKPVEKSWQPQDFLPDPASDGFHDQVKELRERAKELPDDYLVVLVGDMITEEALPTYQSMINTLDGVRDETGASPTSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRAIEKTIQYLIGSGMDPRTENSPYLGYIYTSFQERATFISHGNTARFAKEHGDIKLAQICGTIAADEKRHETAYTKIVEKLFEIDPDGTVLAFADMMRKKIAMPAHLMYDGRDDNLFDHFSAVAQRLGVYTASDYADILEFLVGRWKVSDLTGLSGEGRKAQEYLCGLTARIRRLEERAQVRAKQGPIMPFSWIYDREVQL >Solyc04g080685.1.1 pep chromosome:SL3.0:4:64871152:64874453:-1 gene:Solyc04g080685.1 transcript:Solyc04g080685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVEKLLEVTTLDCFVKYHVQEFEKSIAFRFPACSVAAKRHINRGVTILDVEGVSLRNFTKPVREVILQLPKIDNDYYPETLGEMFVINAGPGFRLLWHILKPFLDPETTSKIHVLGNNYQGKLLEIIDEWELPDFLGGRCTSENDGGCLRSDKGPWRTLKEISMEAECLEKTMATPNITRQLKPATDCN >Solyc01g095980.3.1 pep chromosome:SL3.0:1:86970539:86974441:1 gene:Solyc01g095980.3 transcript:Solyc01g095980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLLINSPYTALASSKILNSNITSFNLSSFCFFRFKRPNKLKTSSVTVSYLNPQNPNSEWQNHSSYLKPFSLLLPIFKKVKNFAENNRWGSVFKGCSGTENVPEELRGDLLQNGSFGMALLSITATAKVKISPIVATLAANPTFVSGFIAWFMAQSMKVFLNFCVERKWDFRIMFASGGMPSSHSALCTALTTSVAICHGVADSLFPVCLGFTLIVMYDAIGVRRHAGMQAEVLNLIVEDLFQGHPISQRKLKELLGHTPLQVFAGALLGIIVAWMCSQGYLIAI >Solyc10g054720.1.1.1 pep chromosome:SL3.0:10:55737100:55737429:-1 gene:Solyc10g054720.1 transcript:Solyc10g054720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLSQSTMLKQILKRCSSLGRKNNSYDDAENSLPIDVPKGHFAVYVGENRTRYIVPISFLSYPEFQCLLHRAEEEFGFDHDMGITIPCEEVVFQSLTSSMLGY >Solyc07g062730.1.1.1 pep chromosome:SL3.0:7:65556015:65558156:1 gene:Solyc07g062730.1 transcript:Solyc07g062730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDTKTHQRTAAVTSSSTKTELFICFTSRLSSSSSLSSSMKFSKSILSPGRARDGPLSLPISLSRRLRANGSLKGGQASPMFPSTGKKRGSGFENPEPTSPKVTCIGQVRVKTKKKVKQTRSLSKRRSGSGEVSFRKIEQAQVSEAFNQTDDRLLLRNQRYSQGNSSVHYQQQECVSHRNQRWVHLPLTICEALRAFGAEFSCLFPCRSSCFSTNQRVKEEKGGENNEHTSCGAVFARWLVAVQDGEGGKRRDIELVVASGEEERTEEARCSSTMRSSRRHVFEDIEFKDEIVEMESGGGRVSVCIPPKNALLLMRCRSDPLKMADLTNRFRESPVLKHYDEEEDEQEDVGELEKFGEIKRMEILDYQIREPSNDVESTGKLCKMPGETSLSVDLNEVEENPEEIEEERKHETCELAAEKMEEEHDRIECFVGEVEEYLEQPVQMVNHTEDNEEIGSNHAEEIAEGGEKLTISPRLSLSESSNRRSMKIDEEELELVTEEAALEEEEERFKLTVMKEIEEILMLGKVEMNSTTPQQEDEQIEAEKQIEQPKEPENEEKESVLPECLLLMMCEPKLSMEVSKETWVCRRDFLRWLPERKQHTKPPKKEIPEELPKRRRSTDTKPTEHRNKHLLQPPRSSCSLPAATGMSMATMIEQKLVNAAAYEPFVLTRCKSEPMRTAAAKLTPENCCWKNRKIEPHRPATFGVGAAGVGF >Solyc02g078460.3.1 pep chromosome:SL3.0:2:43689192:43694396:-1 gene:Solyc02g078460.3 transcript:Solyc02g078460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRGGIGIGGPMRKSFKDSLKVLEADIQHANTLASDFPREYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMSVHERKASIREFYAVIYPSLLQLERGVTDSEDKKQKAVCQERYRRRDDEDYRQSSDSDIEREEECGICMEMNSKIVLPKCNHALCLKCYREWRSRSQSCPFCRDSLKRVNSSDLWVYMDNKDIVDMSMITRENLRRLFMFIDKLPLIVPDNVFDHYDTHIR >Solyc06g083630.3.1 pep chromosome:SL3.0:6:49018611:49023921:-1 gene:Solyc06g083630.3 transcript:Solyc06g083630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQASADSLPSVLQSEFQSKNPPAEMYDNLGAQSGVPRPPVNTQANPFGNAFSGGSSGFIRSGLGAYGEKILGSSSEYVQSNENHFIFSGKSRGFCWKIESDGQNIVEKHRMANAKLHLLQITWKGRAKGHWTRITEPVGGRLSYKPPIFDINAPDLYIPFMAFGTYVVLAGLSLGLQGRFTPEALNWLFIKGLVGWFLEVSLLKMTLFSLGSGEAPLLDIVSYAGYAFAGLSIALLGTIIWSHSYYFLMPWTSLCMGIFLVKTMKRVLFAEVRTYDSSRHHYLLLFIALAQFPLLFWLGKISLNWFF >Solyc09g064200.3.1 pep chromosome:SL3.0:9:61545064:61565773:-1 gene:Solyc09g064200.3 transcript:Solyc09g064200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASISLPVGSLVWVEDPDVAWIDGEVLEVNGSDVKVLCTSGKTVVVKSSNVYAKDAEAPPSGVDDMTKLAYLHEPGVLHNLKARYDINEIYTYTGNILIAVNPFRRLPHLYDTHMMAQYKGAAFGELSPHPYAVADAAYRLMINDGVSQSILVSGESGAGKTESTKQLMRYLAYMGGRAAAEGSRSVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQKGRISGAAVRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEDIQRFKLDNPRTFHYLNQTNCYELDGLDDAKEYLATRRAMDVVGISSEEQDAIFRVVAAILHLGNIEFAKGKEIDSSVPKDEKSWFHLRTAAELFMCDVKSLEDSLCKRVIVTRDETITKWLDPEAALTSRDALAKIVYSRLFDWLVDKINSSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFIDNQDILDLVEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFCKPKLARSDFTICHYAGDVTYQTELFLEKNKDYVIAEHQALLNASTCSFVSGLFPTSNEESSKQSKFSSIGSRFKARRIVFLQQQLQSLLETLNATEPHYIRCVKPNNLLKPAIFENHNVLQQLRCGGVMEAIRISMAGYPTRRPFYEFLDRFGILSPEVLDGSTDEVAACKRLLEKVGLQGYQIGKTKVFLRAGQMAELDARRTEVLGRSASIIQRKVRSYMARRSFTVLRRSTIQIQSLCRGELARRVYESLRREAASLRIQTNVRMHIARKAYKELWSSAVSIQTGMRGMAARNELRFRSQTKAAIIIQSHCRKFLAYSKFKKLKKAAITTQCAWRGKIARKELKKLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQDIQVQFKETKEMLVKERENAIRAAEQIPIVQEVPVIDHELMNKLSIENENLKTMVSSLEKKIGETEKKYEETNKLSEERLKQAMEAESKIIQLKTSMQRLEEKIVDMESENKILRQQGLLTPAKRVSDHSPSLASKIVENGHHLDDENYTNDALSSSTPSRNFETPDSKMRRPPVDRQQHEDVDALIDCVMKDVGFSQGKPVAAFTIYKCLLHWKSFEAERTSVFDRLIQMIGSAIENQESNDHMAYWLSNTSTLLFLIQKSLKPGGSVGATPTRKPQPPTSLFGRMTMGFRSSPSAVNLAAAAAALVVRQVEAKYPALLFKQQLTAYVEKIYGIIRDNLKKELGSLISLCIQAPRTAKGSLRTGRSFGKDSSTNHWQRIIECLNSLLCTLKENFVPPILVQKIFTQTFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCCQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPDVISSMRVLMTEDSNNAESNSFLLDDNSSIPFSIDEVSESLQVKDFADVKPATELIEHPAFPFLHE >Solyc03g063162.1.1 pep chromosome:SL3.0:3:35683013:35683593:-1 gene:Solyc03g063162.1 transcript:Solyc03g063162.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENIIIDKVIGESGASNSNAIDQIQTIESKLSKGKKKRSHAWDYFTRKTNFDGTEKVVCNYCKKEYFADTKEQIWGKNGDVVVVPWKFDQEECTKALCRMVIINELPFRFVEKEGFKQFMKVEQSCFHIPSRTTVTQDCFDLFDDEKRKLMVVFKHNKGCL >Solyc10g018140.2.1.1 pep chromosome:SL3.0:10:6735500:6739791:-1 gene:Solyc10g018140.2 transcript:Solyc10g018140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIYTNHKVSMHQIEGCIGVFDVAHPIDFEDKETEERITQRSINGTIGILQAYLDSKTVKHVVYTCSASTVVGTGSSNVIIDECSWIDVDVMRTLKPFASCYAISKTLTEKASLGFAEKNGIDLVTVIPTWIHGTFCYSSNSWLCSFIDENDSCYVLKYHNFSFSQRIYIIYMFYLIVIKNFCAGHQNFSMSYPPIVPFVHVDDVTNAHIFLFENPNAKGRYTCSAVEITGEKLTEFLLTRYSEFQKQITE >Solyc05g007970.3.1 pep chromosome:SL3.0:5:2390030:2393815:1 gene:Solyc05g007970.3 transcript:Solyc05g007970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIEGNLSSEQLQFFDSNGYLVLESFASQEEIQSMRKRMEELLDEFDCSSDTSVFSTKNQQQTTNDHFFESAEKISFFWEEKAFDEDRNLKQPKQLSINKVGHALHEKDAVFNKFSSSGKVSSMLRSLGYQRPVVIQSMYIFKQPGIGGEVVPHQDNSFLYTEPTTCTGLWLALEDATIVNGCLWAIPGSHKNGLVRRFLRDESGVHFDKPSPCYNQEDFISLEVKAGSLVVIHGDLIHQSFENQSSKSRHAYSLHVVDTNGCKWAEDNWIRRNVDPEPLFSS >Solyc01g106370.3.1 pep chromosome:SL3.0:1:94193882:94197396:1 gene:Solyc01g106370.3 transcript:Solyc01g106370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKLTPKLFSWLILPTLLLIFLYSLSLPLYAPTPPKPKIPISPSCNLFKGKWVSDPNRRPIYDESCPFHRNAWNCLRNQRENMGRINSWKWKPDKCDLTRIDPVGFLGSMRNKNIGFVGDSLNENFLVSFLCTLRVADSSAKKWKRKGAWRGAYFPKFNVTVGYHRAVLLAKYEWLPKQLDDSNQDGLKGRYRVDVDIPADDWAHIGAFYNVLVFNTGHWWGFDKFPKETPLVFYKAGQLIQPPLEMFDGFKVVLENMIAYIDKELPEKTLKFWRLQSPRHFHGGDWNQNGSCTMDEPLDELQLDLWFDPRYNGVNKEARRLNHLIEEVLKDTTIRSLDLTHLSEFRADAHPAIWLGKKDAVSVWGQDCMHWCLPGVPDTWVDILAQLITPHILETG >Solyc12g007320.1.1.1 pep chromosome:SL3.0:12:1709304:1710449:-1 gene:Solyc12g007320.1 transcript:Solyc12g007320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNSDRRSNHIAIILVITILQFLLLNIVKAHDYNTFQELPSTQDEANFRPSIAVVIGILSIMFSLTFLLLLYAKFCRRSSLPLHNAMQMDQDRLTTLPMARVSSGIDKTIIESLPFFRFSLLKGSRQGLECAVCLSKFEDVEVLRLLPKCKHAFHINCIDQWLEKHSSCPLCRHKVRVEDNSLLTYSNSFRFLREDSNFELYVQRESNSQNGVNRSSRFSIGNMSLKINEDSFLDQTEENQNIIHHDHDDMLHKFNHKIVVSDVVLKHRWSNVSSSDFMFLNTQMINDMTNNRFNSSLESRNCNKNIVSSSNVLRQNEKRSMSEILICPRFDDYNTRNCNRDNSITCVEEKRMKLWLPIAKRTVQWFENREKRYARQSFNV >Solyc09g090400.3.1 pep chromosome:SL3.0:9:70403498:70407870:1 gene:Solyc09g090400.3 transcript:Solyc09g090400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDIERLVNDFVAKLSKRKVEGSQATSRLTAELLRSVVSLQRLPPTNSAAVLIEAVRGIGVKLVAANPVELAVGNIVRRVLHIIREEDLSLLTSKTSDLDLSAASDDERTIDQDYDPTQSAAAAAAKSFLRPPSLHALLENMLDKAVPTNNYTSSSGGDTEEKSKADKLARTRKLKHDVIEAINILIEDIDTCHELIAEQAVEHIHQNEVILTLGSSRTAFEFLCAAKEKKRSFHVVVAEGAPRYQGHALAKELVSRGLQTIVVTDSAVFAMISRVNMVIVGVHAVMANGGVIAPVGMNMVALAAQKHAVPFVVVAGIHKLCPSYPHNPEVCLNDMRSPAELLEFGLFSNCMEFGMDSGAPLQVVNPGFDYVAPELVSLLITDM >Solyc11g006620.2.1 pep chromosome:SL3.0:11:1222758:1234552:1 gene:Solyc11g006620.2 transcript:Solyc11g006620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPRNRGGEGGMVVVDMLSERATMMRESVQKSQSITDNMVSILGSFDHRLSALETAMRPTQIRTHAIRKAHENIDRTLKAADVILSQFDLSRQAEAKILKGPHEDLESYLEAIEQLRNNIRFFNNNKSFKSSDGVLNNANSLLAKAISKLEDEFKQLLSSYSSDYYCFFSKPVEPERLFECLPTSMRPSTGSPGDQDSSSKNHLPSSHGEHNHGTDDTVYTPPALIPPRILPLLHDLSQQMVQAGHQQQLVKIYREVRFPVLEESLRKLGVEKLSKDDVQKMQWEVLEFKIGNWIHYMRIAVKLLFAAERKVCDQMFEGFEQIKDQCFADVTTGSVAMLLSFGDAIAKSKRSPEKLFVLLDMYEIMRELHTEIELLFRGKSCNEIRESAFGLSKRLAQTAQETFRDFEEAVEKDATKTAVSDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFENGDSNSQLAAVTMRIMQALQTNLDGKSKQYKDPSLTNLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRIAWAKILQCLSIQGLTSSGGSNSMGVDGQNSSGVSRALVKERLKTFNIQFEDLHQRQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGLMVESGKNPQKYIRYSAEDLERMLGEFFEGKTLNEPKR >Solyc02g064970.3.1 pep chromosome:SL3.0:2:36663163:36665161:1 gene:Solyc02g064970.3 transcript:Solyc02g064970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLYKNSSIVSHQFCNTLRKMENLCLVFLLIVSIVFPVLGQGGLKTGFYSSSCPNAESIVKSTVQAEFDKDPTIAAGLLRLHFHDCFVRGCDGSVLISGSSAERNAVTNTGLRGFEVIDDAKSKLEASCLGVVSCADILALAARDAVDLVGGPSWGVPTGRRDGRNSSSSEAMNLPSPFDTVEVQRSKFAAKGLDDHDLVTLVGAHTIGQTDCRFVSYRLYNFTKTGNADPSIDQQFLTQLKTMCPKDGDGSKKVDLDKDSQLNFDVSFFKNIRNGNGILESDQRLFGDSSTKDVVDKYAGSIRGLLGLRFNYNFKQAMIKMSSIEVKTGTDGEIRKVCSRFNHYY >Solyc06g068215.1.1 pep chromosome:SL3.0:6:42375102:42376746:-1 gene:Solyc06g068215.1 transcript:Solyc06g068215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GKPKSILWFFGFPAFERLHQSIAAAVAAIWVLHRRNWSFSPASSPSLSLGERKNQSQDSLKCKVQDFKSKE >Solyc10g012320.2.1 pep chromosome:SL3.0:10:4954642:4955279:1 gene:Solyc10g012320.2 transcript:Solyc10g012320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FLLLVTLVFAGPYHGGVWKIKVEIPDAYLHKSTSIGFINKMYHPNVDEISRSVCLDVINQTWSPMFDLTNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDRTSYEQRVQRYNDPYQLLICYKNLCLL >Solyc04g008270.3.1 pep chromosome:SL3.0:4:1950062:1954078:-1 gene:Solyc04g008270.3 transcript:Solyc04g008270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLIIPPVLTSPRDDAAQLYKAFKGFGCDTASIINILAHRDATQRGLIQQEYRLMYSEELSNRLSRELSGDNKKAFLLWMHDPAVRDATIVRQALSGVVVDLRAATEVICSRTPSQIQYFKQIYYTMNGVYLEHDIESRTSDDHKKLLLSYVRTIRYEGPEVDSALAERDAKALYKAGEKRWGTDEKTFIRIFTESSRAHLAAVSYAYKSKYKNKLRSAVKSETSGLFRFGLLSILRCAKNPASFFAKEQYVALGGGGGNVGNGEQLHKAMKGLGTNDAALIRIIVTRAEIDMQYIKAEYRKKYKKCLNDAVYSETSGNYRTFLLSLLGPNY >Solyc06g049000.1.1.1 pep chromosome:SL3.0:6:32290161:32290346:-1 gene:Solyc06g049000.1 transcript:Solyc06g049000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILFDAKPAFSVLISPCSCLLVQLLIFESSNILKGYLSYGLLYVEYLCLLVTDMIEGVKRA >Solyc10g017590.2.1 pep chromosome:SL3.0:10:5537915:5543027:1 gene:Solyc10g017590.2 transcript:Solyc10g017590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGWQHMMRYRSRKRVAKAVDVKLMASLNRDDLKKICGDKFPEWISFPVFEQVKWLNKQLSKLWPFVADAGEAIIKDSVEPILEDYRPPGISSMKFSKLSLGTVAPKIEGIRVQNLKKGQIIMDIDLRWGGDPNIVIEVDAVVASIPIQLKDLQVFTVIRVIFQLAEEIPCISAVVVALLSEPKPRIDYTLKAVGGSLTALPGLSDMIEDTVNSIVTDMLEWPHRIVVPIGGVPVDTSDLELKPQGKLTVTVMRATNLKNQEMIGKSDPYVVVYIRPLFKFKTKTIDNNLNPVWDETFELIAEDKETQSLLLEVFDEDIGEDDRMGVTKLSLNELESDTPKEIELRLLPKYDMLKIKDKKDRGTITIKILYHEFNKKDQLAALEEEKRIIEERKKLKAEGVIGSSMEALDGAAALAASGVGAAGSGIGAGLGAGVRVVRSGLSKTKKFMGRTFTGQSTNHKKSDSHSPPSVVNQD >Solyc03g097180.3.1 pep chromosome:SL3.0:3:60931238:60938480:-1 gene:Solyc03g097180.3 transcript:Solyc03g097180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVELFCFIAVIVVLKYFFYDDDVIDVGSSDFNALFTVAERLEKLYGGKAHVGLQIPDQDSGSRQSVDLVLVTRREAVIVCVKNVAGFVSVDKDGRWVCTGSHKTERLPNPVAEAKQLVPILESYLEQRGVILPEGYLSCKVICSNPNFRTIDSDSFPSEVITYDQWIQLRPESNMLSGWIKGAFHGGKKDVQESIREKLNLVLSTAPMWDRLELKGNKYVLGEFLEFKGDNEDIRALGNIRRSKVSTVTIQKTSMFGVAHSKLQVLYCLRDYRGEGASASDWKEVTVHSRTEIKIQPKGSTKVRKYKLSSVISMSLSA >Solyc01g008120.3.1 pep chromosome:SL3.0:1:2180145:2198645:-1 gene:Solyc01g008120.3 transcript:Solyc01g008120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLQHMSGQISGQVPNQSGTSLPGLPQQSGNPLTMQMQNPVVHSNVLNMEPDFSRARIFISNKIYDYLMQRQQSHEKPPKKVMDIVKRLEEGLFKSASSKEEYLNQATLENRLHVLIKSLRMNNQNQRFPRVNSSGSIGTMIPTPGMTQSANSALIGTSSVDSSMAAGSTIASSAGSFLPMANVSSSGCLTNGYQQPTSNFLVSSGGNNLVPSMSGQRMTSQMIPTPGFNASGGANLNSNTNTQSSLNLDSTNSIAALPSVDSMNVSQPLQQKQHVAAQNSRILHTVGSHVGGGIRSGFQNRSYGQSTGPLNGGGLGMIGNNLHLVNGSAPEGYISATTYGNSPKSLPQHFDQQHQPLMQGDRYGISHADTSGSGNLCLPVSSVGMVMNNQKPGAVALQSISRTNSPLITNQSNLTASGQMPNVKVQPADQSTKMNYQSQHSLGDNHLSSYQHQHSQQPPQQFQEQHQLVQPQLQQKLQNQQHQTLSRSNAFAQAQAPSDIGIQVKSEHGNHDEAQHSRVNAEQFQFSDMDQFQPNSIEDHSKGTQLLPPSSSHQDICFSVSQPSEQMFQLLNLQQFVTDSESRFSFFSNGVHSDAVFQGQWYSKSQDGSQIPGSFSDKQNVQEELYLRTSRKEEAYPNNLCTERSPIGQPVGNGAVATNNASSSICRFNHLPRERQYFNQQKWLLFLTHARGCSAPEGKCAEKNCIKAQKLVKHMERCSTFECQYPRCPATRDLINHYRRCRDLNCPVCIPVRKFVRAQQKVARPGCNSDMPSSANGTCRSYGTGEIASRLTAKQGSVPVQTEDLQYSVKRPKIEQPSQSLIVETENCFMSVTASESHVTQNAQPIEQHGNAVAMKSEITDAMMEIPAKAVLVSPRSIDIRNDNLDGSCIRKSDGDSVVSSNAACLVKQENVKTEKDIVQPKQENMSAPSESTSGSKSGKPTIKGVSMTELFTPEQVREHIIGLRRWVGQTKAKAEKNQAMEHSMSENSCQLCAVEKLNFEPPPIYCTPCGARIKRNAMYYTIGTGDTRHYFCIPCYNEARGDTINVDGTTIPKARMEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIIEVERGERKPLPQSAVLGAKDLPRTCLSDHIEVRLDRVLKDDRQKRAEREGKSYDEVPGAEGLVVRVVSSVDKKLEVKSRFLEVFQEENYPLEFPYKSKVLLLFQKIEGVEVCLFGMYVQEFGSECAQPNHRRVYLSYLDSVKYFRPEIKAASGEALRTYVYHEILIGYLEYCKKRGFSSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLSMLRKAVKEKIVVDLTNLFDHFFTTTGECKAKITAARLPYFDGDYWPGAAEDMIFQLQQEEDGRKHHKKGAMKKTISKRALKASGQSDLSGNATKDILLMHKLGETISPMKEDFIMVHLQHACTHCCILMVSGNRWVCKQCKNFQLCDKCYEVEQKLEARERHPLYHKDIHMLYPTEIDDVPADTKDPDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCETCPDYDVCNACYQKDGGVDHPHKLTHHPSIAERDAQNKEARQQRVLQLRKMLDLLVHASQCRSSHCQYPNCRKVKGLFRHGIQCKVRASGGCVLCKKMWYLLQLHARACKVSECHVPRCRDLKEHLRRLQQQADSRRRAAVMEMMRQRAAEVANSAG >Solyc07g008200.3.1 pep chromosome:SL3.0:7:2944459:2946809:1 gene:Solyc07g008200.3 transcript:Solyc07g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQNHKGIELTKVDQNEARDTYKISYIIHFLLGSGNLLPWNALITAIDYFGYLYPTKHVEKVFSVAYMTSSLLILVLMLSWSKWNQTMSLRLRLNIGFSMFVLCLMVTPIVDWINWDQNGTKVKSNATYCVVVVSVVICGLADGLIGGSLIGSAGKLPKQYMQAIFAGTASSGVLISILRIITKVSLPHTPQGLKTSAHFYFIISTTILIVCIICCNLLYKLPIMQQHYTHLVQDLLPCSSHKLRDVARAIKRPAFGIFAIYTVTLSIFPGFLAENLESSLLKDWYPIILITIYNVSDFVGKSFTALYVVKSSSKATWGCVARVLFYPLFTACLHGPKWLKCEVSIAFLTTMLGLTNGYLTSVIMILVPKSVPSSEAEIAAIVLAVSLGLGLVAGSVLGWFWII >Solyc08g048240.3.1 pep chromosome:SL3.0:8:14616196:14624789:-1 gene:Solyc08g048240.3 transcript:Solyc08g048240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIEPKKKQRKVLLLVNFFSAANNDTARIIDGRSAAEEIKSSIAIEVSRMKESIGKVPGLAVILVGQRRDSLAYVRNKIMACHEVGFRFSIAELPESCTEDAVCDALSNYNKDASIHGILVQLPLPQKSLEQHFDEGKILNVLSLEKDVDGFHPLNIGNLAIQGREPLFIPCTAKGCIELLLSSDVEIVGKRAVVIGRSNIVGLPTFLLLQRHHATVSIVHAYSENPEIITREADILVAAAGVPNLVRGSWLKPGAVVLDVGINPIVDPESEHGYRLIGDVCFEEAVRIASAVTPVPGGVGPMTVAMLLLNTLEAAKRALCFT >Solyc05g015350.3.1 pep chromosome:SL3.0:5:10425876:10431030:1 gene:Solyc05g015350.3 transcript:Solyc05g015350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLFMLALFILVISYYAYPWPFTLATLLFSLLLNFLLNYWLVPGGFAWRNYTYYHRNPNKLHGPFSWPFLGFLPQMGSCAHRKLAKIASSLGSTRLMTISLGATQVIISSHPDTAKEILWSASFSSRPLKESTKLLMFERAIGFAPYGSYWRNLRRIATNHMFSPRRISCFESLRQLIADKMIGEVVKEMNESGFIEIRGLLRQGSLSNILESVFGSSLGLEGERLGLMVKEGYELIGEFNWSDYFPLDFWGTKRRCYKLGAQVNEVVGEIMKKRRREGELVNMKNDFLSVLLSLAKEDQLKDEDMVAILWEMVFRGTDTIAILVEWILARTILHQDVQEKAQEEIDTCVGQNRNVQDSDIQNLPYLQAIVKEVLRLHPPGPLLSWARLAMHDTYIDKCFIPAGTTAMVNMWAITHDPTIWEDSWAFKPERFINKEDFSIMGSDLRLAPFGSGRRVCPGRVLGLATVHLWLARLLQRFKWLPNKPVDLSECLKLSLEMKKPLACRALNRSSI >Solyc12g035240.2.1 pep chromosome:SL3.0:12:39491429:39497726:1 gene:Solyc12g035240.2 transcript:Solyc12g035240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTCKCIDKQIMDLSTNNDFINLHDDQHHITAGVNHPVRPIESFPNCSIHWAPDTKTNTNYSSPDSIEPAKLIVEKDLSTIDASLLSEIDHTVKKYADNLLHAIESVSARLSQLETRSRQIEDFVVKLKLSVDNNHGNTDGKLRLVENILREVQDGVQVIKNKQDIMETQLQLGKLQVPKEIDSSIVDSAHHRASAPLQSHQQFPPVVLAQPPSPLPPPNAPPPPLQQKIPSQVELQDQFPQNLIPSGTQRETYFPLTGQAPENSSQQNQQSAPHQRLQTSIPPPPHQQYLPFPSSLYTQPPVPSQAHSPLPSVNPSQSQPPLIHHPEERHFIASQTYPQANTSQFPSHPSSGAPVSHHFYAAPANLFEPPSSRQGSGFSSAYGPSTGPGESYPYSGSTVQYGSGSPFKSQQLASPLMGQSGGNGYPQLPTTRILPQALPTAFAVSSGSSSPRTGNRVPIDDVVDKVTNMGFPRDQVRATVQRLTENGQSVDLNVVLDKLMNGG >Solyc11g042760.1.1.1 pep chromosome:SL3.0:11:34965310:34965705:-1 gene:Solyc11g042760.1 transcript:Solyc11g042760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIVGGGPTGVELATEIAVDFPLKKVTSLHKRSKLREFIGPKVPNKTLEWLKKKNVEVKLMQSFDLSNNMKTQVETECISVRLGKLSERVAILFARRRHTEFKVVKGDVLKDRIDNFGRLKVDENLRINGL >Solyc11g006240.1.1.1 pep chromosome:SL3.0:11:992168:992536:-1 gene:Solyc11g006240.1 transcript:Solyc11g006240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHIKKCMILFLIILLIASYFHREVLGLRILQQEEWLKQTTDLIVQSLPRGPSPSSGASPCTNIPGGKRHGRCALANIKEEKIIASQVDNIHRASSLAYPNNNMAQFGIASSENNDTQKQG >Solyc06g036540.2.1 pep chromosome:SL3.0:6:26518064:26538355:1 gene:Solyc06g036540.2 transcript:Solyc06g036540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANVSTSKGRWSNLSKYASRIFSVLIFLQVPLFREAIELTLFSESSRDIVIFSSFCLVMRGLALSTRVPCRSGVCSTPMEVTSSQLISSEIFPLPLVKAMLYPGAVANCLITSRTVPSWDNLLNIYNLTNVKEASAVTDLQRLEVLAGSYFCVAGALIGILKPGRMSMFGTLLIVWGLIKEGILNKPTKVDVYVYVYPTMLLALVCSFMSVKYNMKKVTRGAPARPVAKPLKSSSKAKLK >Solyc10g045080.1.1.1 pep chromosome:SL3.0:10:30303116:30303511:1 gene:Solyc10g045080.1 transcript:Solyc10g045080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSCLDVARSCAKEYETAVGNAGKASPNRTSLEGDKSHGAYSLFTRRLGFARLRVREMTLQALGWFAADIHMLYASGFLLVKKRTSVGGVTIVFGSVQRKWGGWGGNYRWTKYWAAGRLKRPKHCPYNLIN >Solyc04g058160.2.1.1 pep chromosome:SL3.0:4:55193944:55195086:1 gene:Solyc04g058160.2 transcript:Solyc04g058160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTLNESHIQRIFDKLDEDGDGLVSLDELKELLDKIGACTGLDELQSLVGKTSLNFIDFLFFYEAMVKKNNEEKIKEDNMNINDNSLEDDLVEAFKVFDLNGDGFISCEELQKVLSRLGLWDEKEGSDCKNMIHMYDTNLDGVLDFEEFKNMMLVSKS >Solyc05g025590.3.1 pep chromosome:SL3.0:5:34413593:34418330:1 gene:Solyc05g025590.3 transcript:Solyc05g025590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Replication protein A subunit [Source:UniProtKB/TrEMBL;Acc:K4BZU7] MPVNLTANAISAINAGDVNSKPVVQVLDIKLIGTTQERYRLTLSDSESTQQAMLATQLNDRVKTGRVRKTSVVQLIEYICSTVQNRKIIVVLNMETIIPECEIIGNPKVIVESDLGAQKTISNNGNLSAQRSALASFANIKNLSAQNSNNSMKNYPPAIQPAYQPPPNYKSHGAIMKNEAPARIIPIVALNPYQGRWAIKARVTAKGDLRRYNNSRGDGKVFFFDLLDSDGGEIRVTCFNAVVDRFYDKIEAGKVYMISKGSLKPAQKNFNHLKNEWEIFLETTSTVDLCPDEDASIPRQQFSFRPICEIESAENNSIIDVIGIVTAVNPSVPILRKNGMETQRRILNLKDQSGRSVELTLWGDFCNREGQNLQELAEAGVSPILAVKAAKVSDFIGKSIVTISSTHLFINPDSAEAQTLREWFDQGGKDIASQSISRDNMPVGSKNEIRKTVFQIKDEGLGRSDKPDWLTVKATITFIKTDTFCYTACPLMIGDRQCNKKVTRSENSKWQCDRCNQEFEECDYRYLLQAQIQDHTGLTWVTTFQESGEEILGCPAKELYMMKYEEADDTRFSEIIRNRLFTQFLFRLKIKEEFYGDEQRVKITVVKAEKVNHSAESRYLIDLISKNSVFFEQRKP >Solyc11g006525.1.1 pep chromosome:SL3.0:11:1181287:1184432:1 gene:Solyc11g006525.1 transcript:Solyc11g006525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMRGKISPEKMLMSMLSSFMSKVSSSFRRIRESRIADQNKRFSASRGLQIYSGADLISKCLSSDILQISSGCSNSFLPRPPRIMQHISNVQAQLRDSIDWHRSDTVPKNELRFSSTFFQLSCVKSIFPRSPATTTIERGDLAEQKDFDREIEHVAYSFDKRKVD >Solyc03g098200.3.1 pep chromosome:SL3.0:3:61965052:61981616:-1 gene:Solyc03g098200.3 transcript:Solyc03g098200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYVGTGGGGGTSSGGGADPHDGSDSHRRKKRYHRHTANQIQKLEAIFKECPHPDEKTRLQLSRDLALAPRQIKFWFQNRRTQMKAQHERADNCALRAENDKIRCENIAIREALKNVICPSCGGPPVTEDSYFDEQKLRIENMQLKEELDKVSSIAAKYIGRPISQLPPVQPIHLSSLDLSMSSFIGHGPNSLDLDLDLLPGSSSNMPSLAYATLNLSDMDKSLIADIAGNAMEELIRLVQTSEPLWMKSTIDGRDVLNFDSYDRVFPRANSHLKNPNVRIEASRDSGVVTMNGLALVEVFMDAAENDYMMQMYEELQVLSPLVPTRQLYFLRFCQQIEQGSWAIVDVSYDITQENQFSSTACKVHRLPSGCLIQDMPNGYSKVTWVEHVEVEEKGLIHRLYRDLIHSGLAFGAERWVGTLQRVCERHACLMVNSNPSHDHGGAIPSPDGKRSMMKLARRMVSNFCASVNPSNGHQWNTISGLNEFEVRATLQKCTDPGQPNGVVISAAATIWLPIPPQQVFNFFRDERTRPQWDVLSNQNPVQEVAHIANGSHSGNCISVLRAYNTSQNNMLILQESCIDSSGALVVYSPVDIPAINIAMSGEDPTYIPLLPSGFTISPDGRQLDTDAASCSSSSNASTIGGGRFHPTDEELVNYYLKRKIHGQEIELDIIPEVDLYKCEPWELAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVTSQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEEISSIQDSYALCRVFKKNGVCSDIEELGQPSINVPSFEYPLTQGINNNNNIHHEQYQTPSPDVPLASSCCIEEEEKDDSWMQFITEDVWCSSNSSFQQGEDIPPLAFTNL >Solyc01g087260.3.1 pep chromosome:SL3.0:1:82073029:82083087:-1 gene:Solyc01g087260.3 transcript:Solyc01g087260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNEEDGVARIEGVVVVDPKPQNGVAAKAIDWVEWAIIKLMNDSTKPLPFLQGNFAPTDETPPLKNLPVIGHLPECLNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGLQIKDGKATYVSRFVRTSRLKQEEFFGGAKFMKIGDLKGLFGLFSVYIYMLREKLKVLDTSYGNGTANTAMIYHHGKLLALHEGDKPCS >Solyc12g099850.1.1.1 pep chromosome:SL3.0:12:67805427:67807817:-1 gene:Solyc12g099850.1 transcript:Solyc12g099850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHHVRIFRIASSPLLLFTKSLQTLTESSQSYQKDLLNFCSTTKSLLQTQQAHAFSIINGFLPFSISISAALILRYAAFSSDPRIVRTMFYQSLPFSRSAFLYNTLIRAQTILGVVGVLEVYNGMLRSGVVPDDHTFPFVIKLCTDFGEVRKGLEVHGLLMKLGFDYDVFVNNTLMLFYGSFGDLVSAGKIFDEMSERDLVSWNSMIRVFTDNRCYFEGIGVFREMVMWSEFKPNVVSVVSVLPICAVLEDGIMVSEIHCYVIKVGLDCQVAIGNAFVDAYGKCLNVESSRQVFDEMVERNEVSWNAMIGTFAHNGFNNHALESFRFMIDGGWNVNSTTVSSMLPVLVELGKFNKGREVHGFCLRTGLECDVFVANALIDMYAKSERSAEASAVFHKMDSRNVVSWNTMVANFAQNGLEFEAIGLVRKMQSSDETPTSVTLTNVLPACARIGCLRSGKEIHARSIRNGSVIDLFVSNAITDMYAKCGCLNLAQNVFDMSLRDEVSYNILIVGYSQTSHCSKSLVLFSEMVPTGMKHDTVSFVGVLSACATISAIKQGKEIHAFAVRRLFHEHLFVSNSFLDLYTKCGRIDLSQKVFDRIENRDVASWNTMILGYGMLGDLHTAIDMFEATREDGVEHDSISYIAVLSACSHGGLVDKGKKYFNDMLARNIEPSQMHYACMVDLLGRSGLMDEAINVITGLPFEPDFNVWAALLGACRLNGNVELGSWAAEHLFKMQPHHPGYYALLSNMYAEAGRWGEADSIREMMKLRGVKKNPGCSWIQIQDKVHAFIVGQKTG >Solyc05g016626.1.1 pep chromosome:SL3.0:5:17786071:17789654:-1 gene:Solyc05g016626.1 transcript:Solyc05g016626.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNQKNGGKMDATASNACADDNTDGSDIHIPASNPIFPYSTSSYLSSHVSDSPPTSAEVSSIPLTNPIFYDLPRKSSRDTRSLAHLKDYICNALQLTNVSSTCFHNPVTPVSFPFNKLSSIHQSIYGLKQASRHWYSKLTKALNFKGNDAAELQDLKSFFDAEFKIEDLGHLYFLLGWEFIRKPNGYLPEFMKSYSRFYISLGSSPISLKSKNHASISLTSAEDEYKSMTRVVAKISSVSIHIAKSLVFHERTKHAEIDCHFIRQQYLPGLISLSHLADLFTKPSQDLFTMTLVISTRFPFWIFGLKHGLIGPLGPPDAFGLNRFIFGFCNAYTQIK >Solyc03g112510.2.1 pep chromosome:SL3.0:3:64385462:64390554:1 gene:Solyc03g112510.2 transcript:Solyc03g112510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGTEKEKLELHESDNQFVWDETSQLYYHARTGFYHDPKAGWYYSCNDGLYYKFDNGTYVPMDSSQDGGCREMNSCESVAPVESNKDEVDADISMSGENVAQAVEPLCTEFSEEHLEDTSCKLPENPPPPSEWLEDTLIELYLANYTTQAANTTSDITVAPEINDTDHTHLSAVGNDNTYELEEGEWIPDDWTDSADPIVDVMDDGICLEEENWRAQYGQVERPVEDSLSHIQAVDLWDWSMVKKIRKGRKRRVARLVGRLVKPTAKLHPSMPSSGHLLKTAPVCEVHLDLVRVTSGQVYRLKNPSTQYLASLSNYDSSNPTKNWRFPQMSINREIQTCSPVTERYKPISTTLPGEEDVSLQSEISAPEKDRDHLYRDRAAERRALHGGFGVGPGQKISSNSDDSVSLEASAGPEEALSESLSNSFGAGSYARRMLENMGWKEGEALGCSNKGLIEPLQATGNKGSAGLGWNDERRKQSMYSYKKREM >Solyc02g084880.3.1 pep chromosome:SL3.0:2:48582062:48587621:1 gene:Solyc02g084880.3 transcript:Solyc02g084880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTNLYNHHQLQQLAGYPFFSTGVSTLHDWNSGITSEEEYYYKLGHMKRISSEELMWKRGIDTFPLMNTSMFHDGHHESSNDPLDDKNNKAGYIISNDYFLKMKDMNSLSNNMFKESYFENEQQHAFDLNENLLSEDSYMNNANNSSYVSSHDMEYSDFQGLKLAFNGLTFKNSHDSNSNCFGHFTTERMSSGFADGLQELTHSPSSKKITSNVRKNIGVSSKAKRSAEDEANPCQEASKKSRVTSQSPSTLMLKVRKEKLGDRISALHRLVAPFGKTDTASVLTEAIGYIQFLQDQILTLSMPYSKSTERKLHHINLKDSSIEAVLDLESRGLCLVPTSFSSYISQSCD >Solyc06g076250.3.1 pep chromosome:SL3.0:6:47507531:47524022:1 gene:Solyc06g076250.3 transcript:Solyc06g076250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKISATNNQIFTIANQITTTGGHFRHSIPLYKHQKLVAITNQTQNYPSIAHILTSPFFKISLLYHVTTISFRFMDGAWSPIARRVLGSTVIELTRSNSRAGASFPVRISARSHGVSTCEEREAPLGPSCIFVGPIETASKETLEALYRQARDAYYSGMPLIVDDMFDKVELRLRWYGSKHVVKYPRCSLRRHSTYADAEEDPSQVFALASVWLLILGFGSSFLIVPLICTIVQAYQDTFESGMPYTDQSFELFTILNGILFMVLGSIIGFPIASASVGALQGLWKNDLVALKGACPNCGEEVFAFLKAEKSNHSPHRADCHVCGSRLEFQTKVEQSISRPGRRWVYGRVYLIRQRQRWA >Solyc03g115235.1.1 pep chromosome:SL3.0:3:66501852:66503804:-1 gene:Solyc03g115235.1 transcript:Solyc03g115235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWNLDNLISEKSSRRDHVELILYNRGNLLPGKSLPAPKVQQSWHHLRERLNEIDISTKMQITYVQIDITYELHYSIKGFSVQSFQSQTFRHLKLKLRIAYVKFPNF >Solyc09g082050.3.1.1 pep chromosome:SL3.0:9:68313134:68318947:-1 gene:Solyc09g082050.3 transcript:Solyc09g082050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQGFGSDPSGSTIDKTRVLDVKPLRCLSPVFPSASEMSSITTPQPSPFLCITPTGPFPSGVTPIFPFLSPDEPVRMGESSQQTPNQVPNQGTFGFGQPISPIPVNSFGNQTANGSSGHVNNVGDSGSGKKKGGPKKPRKVPPENVVEVDVEPLLNQLLMSFKLVDLDQAKKADGDKEVVRRILLVFDLFRRRMTQIDEPRYGAGSGRRPDLKASKMMMLKGMRTNQTKRIGNVPGIEVGDIFFFRMELCVVGLHAPTMSGIDYMSLKLTKDEEPLAVSIVSAGGYDDDGGDGDLLIYTGQGGVQRKDGQMFDQKLEKGNLALEKSVHRANDVRVIRGVKDVANPTGKIYIFDGLYKIQGSWEEKIKTGCNVFKYKLLRVPGQPEAFKVWKSIQQWRDGVVSRVGVILPDLTSGAESQAVCLVNDVDDEKGPAYFTYIPSLKYSKPFLTPRPSLGCQCIGGCQPDDTNCPCIQRNQGLLPYNSLGVLMTYKNLIHECGSACSCPANCRNRMSQGGPKVRMEVFKTKNKGWGLRSWDPIRGGCFICEYAGEVRDIGYDRDDNYIFDATRIYEPLEAVHDYNDESRKVPFPLVISSKNGGNIARFMNHSCSPNVYWQLVVRESNNEAYYHIAFFAIRHIPPLQELTFDYGMDKADHRRKKCLCGSFKCRGYFY >Solyc02g065040.1.1.1 pep chromosome:SL3.0:2:36723123:36723359:-1 gene:Solyc02g065040.1 transcript:Solyc02g065040.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQTKNNILAIFVLSMIFSNIVESIEGRNIKFEDKKYLMKPNALESRKLAEFTVQNNEYPLASPSPGHVDGHSPGIGH >Solyc01g010910.2.1 pep chromosome:SL3.0:1:6376751:6381062:1 gene:Solyc01g010910.2 transcript:Solyc01g010910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSPCCEKVGLKKGPWTPEEDQKLMDYIEKNGCGSWRALPTKAGLKRCGKSCRLRWINYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLANRTDNEIKNYWNTHLKKRLTKMGIDPNTHKPKSNIFGSANLSHMAQWEKARLEAEARLVRESKKQHQQIISNNNNNINNYNNIHFSPNNLTTTTTTNVLPPLQTKLPSPPCLDVLKAWQGGANWSIMPKITKDNFFDNPPISTSNLSLIMVPNNNSITGAGLIDNSCLIGTENFMENNINGISYSNYPNLNTIQGFTHLDHVLGSREEEDDNDNNDNTYWNTILKSCTSFVDGSSVF >Solyc06g007760.3.1 pep chromosome:SL3.0:6:1714823:1717934:1 gene:Solyc06g007760.3 transcript:Solyc06g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASTALNLASFCTSVVNSHTHFTHKPSLLLHGTQSSQSHNNTLFKNCSWKLNRTTKRAVAAVDSSDPAEKWYEVVEVTILMNSLKLQQPSIRGSGNVDADILLHKQQETERKKYHFLVANAKFMLDEEEHFQEQMFERRRLYEERNMEPDFWLVVEPKFLDKFPNITKRLNRPAVALVSTNGPWITLESFVSSTSSNHLVLALALALTLTKLQPEKGEAAQFMKLRLDRVLQESYEADSVEEALACTPVSIEFEKPEKWTAPYPKYESGWWDSFLPPGSQTSKV >Solyc02g085210.1.1.1 pep chromosome:SL3.0:2:48818158:48818943:1 gene:Solyc02g085210.1 transcript:Solyc02g085210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSPIVEIKGDIDTDDLNPFTMILPQDGHDNDASPQQQKQQLHFLQSINSNVVIRQLPSEGLSFQLWPAATILVTLLDNTRNGESTPFSDLFKRQEKGVSLPLRILELGSGTGVVGIAAAAILGAKVTVTDLPHVLPNIQFNVDANSQVLEQQSGGVDVAALSWGDNQHMEAVGRDYDLILGSDVVYHDHLYDPLINTLRFFLLGGGKKIAFVMAHLRRWKKESAFFKRAKKLFDVQIIHTEPPPDGSRIGVVVYLFTGKR >Solyc12g015640.2.1 pep chromosome:SL3.0:12:5642601:5646252:1 gene:Solyc12g015640.2 transcript:Solyc12g015640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAALSIICNFTLHYHTSIFFNINFPPSNRISNRKSCFFKFSRICVVSSHTNPKILKPNRRSRFGQPLSTYDSDSDDDDCFEDEDDISTSDDESLDVRVSAQDRQRLKFQNATHIRRDSHHHSEGGSNAKLGHRRQTPEITQDCREKEARVSLAKDRFSHLAEELDLDERWYPLLDYLSTFGFKDSHFIQMYERHMPSLQINKSSAQERLEFLLSVGVKHKDIRKIILRQPQLLEYTVENNLKSHVTFLTSLGIPDSRIGQIITATPSLFSYSVENSLKPTVTYLLEEVGIEKNDLAKVVQLSPQILVQRINTSWTARFNFLTRELDAPRDSIVKMVRKHPQLLHYSIEDGLLPRINFFRSIGMRNSEIVKVLTSITQVFSLSLEGNLKPKYSYLVNELGNEVRSLTKYPMYLSLSLDQRIRPRHMFLVSLKRAPKGPFPLSSLVPTDESFCQQWARTSVDKYLDFRQRLLLKELARKYERR >Solyc08g006875.1.1 pep chromosome:SL3.0:8:1417943:1422592:-1 gene:Solyc08g006875.1 transcript:Solyc08g006875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFCYKRFLGSMMHCLNNFFMFFCGSSPFIFISLDDFDTFCFSGNTWATTFKLRTCKTYDIKGKIAWGDLAGIKRSLTEASSSFKLILFTSSSLDESSAPTRIATFSNLSKKQHEQDHVILEGSIDVLDAIESIHLPTTFRSSDHGRRDLVVCRTIIESFQLRKTLELRNTSILKIRFPRSTSSAISRYSAAQRAATTLYGLRNSLHLLLKQAFAACCSWTTEETGKPNQYKLVSPSQGTRISININS >Solyc12g007020.2.1 pep chromosome:SL3.0:12:1459015:1462343:1 gene:Solyc12g007020.2 transcript:Solyc12g007020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATLTPGILLKLLQSMNTGARVTGDHRTPLLQVIGIVPALSTSDSLWPHNGFFVQLSDSLNSTYVSLSERDTDLILTNRLQLGQFVHVDRFCFDSPPVPRAVNIRSIAGRHGFIGSPEPLIARISGGGFLIQPVTDSDPIAAYLSKNGRTETGSGPGLKDGKEKLRVREVLAPKENVEMKEDLSKNCSAPKRFSSPASVKQRSVSAGKKNLVAERDPSPAGKVKRSASPVPSKSVVPSLVAAKEENRRTSKEPAIIVPSRYRQPSPTSGRRQASPLVARRMSLSPGRRLSGGLKVSPAADSSGKKKMTTIASGISKVSEAIAGSGKSSRKSWDEGPANSGDSSEQAEKVFSKKKPDIQAILRTQAAISRRLSDVSCHAEDFGSEGKLKSGAAENSPDTEKSNNAAPVIPVHEKKWTDGSVPLHSVTSELAKLGKEAMQRRITASTAAAEALEEALAIETIVRNLSMFADLRSTSNPKNPLPTIDHFMSIYEDVVKSTCVAESITSNRGVQKSNENMTTMEQPKSSLLWVEAALATDLKIVSLLTNQNSGTQPASVKSSPTYESTKPSNKNPLMVTGFWTRGNGMNETVELAKKLQSEMQMWFITFVEESLDAGFRVFKNCSLASVGASSNCDSIQAILSQLKRVNNWLDRVVSKKDEQLIQKIECLRRKIYGFVIQHVGTTAENS >Solyc01g087580.3.1.1 pep chromosome:SL3.0:1:82404066:82404440:-1 gene:Solyc01g087580.3 transcript:Solyc01g087580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKKNFSNQYEMGKYVELLDAGIRIAARFNSHCPQTSRMYYHPPTPNKLDEQQYSDDDRDLRRHNYGGSTGGATGGGGTSVMMGLFLSKRIY >Solyc11g066780.2.1 pep chromosome:SL3.0:11:52858276:52873294:-1 gene:Solyc11g066780.2 transcript:Solyc11g066780.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGGVVRSERRGRKRRRKDVTNVEVDQDGKKRAVGLKPKSLVGRYVRKEFEGNGLFLGKIMLYDSGLYRVEYDDGDSEDLDTGELDEVLVDEDELVGEWLDRKKKLNEMLTGSEVKDVASQVEIKAEPVSAVVDRIVEVPVSSDLRNDCPVKLEKMQVYTDADSLSDFSEDDEEQDLSSEVEKPLVPAPELPPSSGNIGIPEEYVSHLLSIYSFLRTFSTTLFLSPFGLDDFVGALSCSVPNSLLDSVHVALMRVLRRHLEKLSSDGSEFASKCLRNIDWSLLDTMTWATYLVHYLTGMGYTDEHGWKGFYPHTLEKEYYSLSAGKKLIVLQILCDSVLDSEELREEIDMREESEVGIDSDGGTVFAPVVGPRRVHPRYSKTSACKDQEAIKLSKENSETNISSNTISLGLKVSGQDSIRDVDQDGNGDECRLCGMDGTLLCCDGCPSSYHGRCIGVCKMYIPEGAWYCPECTVNELEPKITRGTTLKGSEVFGVDSYGQVFMGTCNHLLVLKTLAGSDCSVRYYYDKDIPKVLHALNANVQHYSLYLEICKGIIQYWKLPANIIFPNDGLSEIRRQGEGTTGGCLTSSQSPGVENTASCVTGYGPGNALLGNFPMEPMQNENLGAVSRPDGLCLANIDSIAKQSNTPMDSFPSEQIQVKSIACTGSADHQLIPSEWTEQDGPNLVKTAIHSSSHSNYLELINGTYAGVMVSHGRGCLYMGSSFKPQGYINSYLHGEFAASAAASLAILSSEENQGSETRVSDNRRKQISASFLLQAKAFSAVAVRFFWPNTEKKLVEVPRERCSWCLSCKAIVASKRGCLLNAAASNAIKGAVKILSGLRPAKGGEGSLFGIATYIILMEESLTGLTGGPFQSAAFRKQWRKQAEQASSCSLIKSLLLEFEENIRLVAFSMDWTKLVDGGPFESSITHSAAGAAGSTQKRKPGRRGRKPMAAIVEATADESQDVPTDFTWWRGGLISKFIFQKGTLPRRMVKKAALEGGVRKIPGIYYAEGSETAKRNRQLVWRAAVDMCKTTSQLALQVRYLDMHVRWSDLVRPEQSIQDGKGPETEASAFRNAYICDKRVVENEIRYGVAFGNQKHLPSRVMKSVVEVEQTQDGKQKYWFSELRIPLYLIKEYEEKVGKDLPSANKPTSAFMQKKPLRAPWAPCKDIFSYLVQKRDGNDKYCCVSCQTDVLFRNAFKCNTCKGLCHEHCTVSSTVDATNTCKQCNQNRALSQGKCIDESPKSPLLLQGKYLPKPVSANKGLNVSNFNRPSASVATLKHSSAMKHGNSSNSTAKTKRNSRNLGVIWKKKSEDAGTDFRFRNILLKGNPDGESLIPTCHLCRNPYDPYLMYIRCETCSNWFHADAVGLQESKVNDVMGFKCSRCRRTRIPICPYLNPESKKQLEEKRMRTKALKIDNSDMEFGSGMISELHMDDEMSTQVVPSTEDNVYQEDDYSHFVSTSEEFSEQFPEADCEWNAAAMSVLGPKKLPVRRHVKNENDLDSSLASNPPNADFFGGNIIISAEEIPANVERGAKLPVRRNGGMDKDSDTPFANNPTNVELSTPVEVEWDTSRNGFEEGIMFEYDDFQYDDMEFEPQTYFSFNELLASDDCGPPDGSANLTDNVDTSLGFPSDGLSDMSYFQHEHALSIDSAAVTVPCKMCSHSEPCPDLCCQMCGIWIHSHCSPWVEEVFGETGWRCGHCRDWR >Solyc09g059410.1.1.1 pep chromosome:SL3.0:9:54626710:54627000:1 gene:Solyc09g059410.1 transcript:Solyc09g059410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEADDNGEMDENTEKDTVFCRCLRKTDVVDSISLSIDKKVAEDHLPVLGRGKSQILRKTSSVWNLCTLALEITISVGEIQGSSQVEIYGSLNCL >Solyc09g061230.3.1 pep chromosome:SL3.0:9:58615910:58619076:1 gene:Solyc09g061230.3 transcript:Solyc09g061230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKVYTLAEVSQHNSAKDCWLVINGKVYNVTKFLDDHPGGDEVLISSTGKDATDDFEDVGHSSSARAMLDEYYVGDIDSATIPTKTKYTPPKQPQYNQDKTSEFVVKLLQFLVPLIILGVAFGIRFYTKQSAA >Solyc10g085370.2.1 pep chromosome:SL3.0:10:64695453:64698567:-1 gene:Solyc10g085370.2 transcript:Solyc10g085370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding DFGANIRLHGYSKFVSMYSQQGKKGINQDAMTVWENFGGEKGAFFCGVFDGHGPLGHKVARYVRDMLPSKISISLKECDINKNKIIENIENDEEFDQNFPLFDAWKSAYLKSFKEMDEQLGSEPSIESYSSGTTAVTLFKQREHLFIGNLGDSRAIICTRDEKNQLVSKQLTVDLKPHLPNEYERIKSCKGRVMAMEQEPNVYRVWMPDEDCPGLAMARAFGDFCLKDYGLISVPEVYYRKLTENDEFVVLATDGIWDVLTNDEVIRIVSTTRKRSMAAKTLVECAVRAWKYKYPRAKIDDCGVVCLFFKRQKPLLTKSMSEVTQLSFNYAELANNQNYPDNTKTDDGLDTLLNYQVKEGDDQETDRGVKDGSDNDIKNSSIDHLHYRGQRRKSAMKFVYPEDRQ >Solyc03g113035.1.1 pep chromosome:SL3.0:3:64816750:64818040:1 gene:Solyc03g113035.1 transcript:Solyc03g113035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILVYVDDLIITGNDLQLIKESKNILQQNFKIKDLGELRYFLGIEFLRSSKGILMTQRKYILELISEWGLAGAKPAITPLEQHMKFTTSDYDKHLRKHDDNESDDPQLIDKHVYQRLVGKLLYVAVTRPDISYAVQTLSQFMHDPKQSHLEGALHVVRYLKGRPGLGILLSSKRDCTLRGFCDSDWASCAVTRKSVTGYCMKLGSSLISWKSKKQETISRSTAEAEYRSMASAVAEVIWLVGLLEEMNMKNLLCSWLLLLSISNLSVLNKFNAI >Solyc12g098900.2.1 pep chromosome:SL3.0:12:67243436:67245543:1 gene:Solyc12g098900.2 transcript:Solyc12g098900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSKELICVLLLVVLCLFNFSSSCKEKHGDEVVVEQQISKENENSESSSWAGWAKKISEGLGLKSNDHDDSSVKYASDSTMDAAKNAKDKITDTASGTGQYVAGNLKNAAEEVKNKAHETGEEAKNRAYETAEAAKQKASEKSEEAYSKAGEAKEKAYSEAEKAKDKACSDAEKAKHATSEKAQEAYHKTGEAKQKAAEKTEEAKGKMKEKAEEHVNWAKEGYETAKNKAGETLERAKESVASNLESAKEKVKGKNRDEEL >Solyc08g016170.3.1 pep chromosome:SL3.0:8:6981923:6993086:1 gene:Solyc08g016170.3 transcript:Solyc08g016170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQAIQSSSLRPSPVDPFLKTIPQFTNVKPLKPKRTPFISASSTTVSAPTREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGVTLIDRFDASKFPTRFGGQIRGFKADGYIDGKNDRRLDDCLRYCIVAGKKALENADLGSDRDKKIDKERAGVLVGTGMGGLTVFSDGVQALIEKGHRKITPFFIPYAITNMGSALLAIDVGFMGPNYSISTACATSNYCFYAAANHIRRGEADLMIAGGTEAAIIPIGLGGFVACRALSQRNDDPQTASRPWDKDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAHHMTDPRADGLGVSSCILSSLEDAGVSPEEVNYINAHATSTIVGDLAEVNAIKKVFKNTSEIKMNATKSMIGHCLGAAGGLEAIATVKAIKTGWLHPSINQFNTEPSVEFDTVANKKQQHEINVAISNSFGFGGHNSVVVFSDFKP >Solyc02g064730.3.1 pep chromosome:SL3.0:2:36401535:36408528:-1 gene:Solyc02g064730.3 transcript:Solyc02g064730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGNGQKAKMARERNLEKLKGAKGSQLDTNKKAMNIQCKVCMQTFMCTTSEVKCKEHAEAKHPKSDLYACFPHLKS >Solyc02g030080.3.1 pep chromosome:SL3.0:2:25532533:25542252:-1 gene:Solyc02g030080.3 transcript:Solyc02g030080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTANIRDILTCFSPSLDFFAISSGDGRIKIWDTVKGQVQTEFADIVSMEKESSFNKPGGHLSMDYTCMKWLSCDRKKKRKIGTSLLVLGTGSGDFLALDVSAGHLKWRFSDCHPGGVNAISFPSHGSFIYTAGADGLVCKIDSMSGNLLHKFKASTKAISSLSISSDGKILATATSQLKVFNCLDDKKLQKFTGHPGAVRCMVFSEDGRHVLSSAVGDRHVAVWKLDGSKKKSACCSLAMDHPAVFLDSYNIKSGAANDAGLGVLAISEIGVCYFWHGKSFEELHNGKPTKIFASLDEKIMKKHKETMHSIFSAKLQSIGTSGSGHMFVAYGLLIKPSFEKVMVQPGEDIRLKSSLDGILLPFSQSRKSKQASKTQSQVTALDRANAEGALLPLPMILDQVGVETGIKPTVSKDVIGTQAEDEVTICMEDQLRSLGIISSDNDLLPPSILDAKILKRISADASVPQKKMKAAVLSMEPHDAYNFLKALVAAWQSRSSLGTHVLPWICCILVNHNEFVTSQEPLTPLLDSMNKLTKSKGVALNSLLQLSGRLQLVMAQIGKADNKKSPTSTLEGQMNDSEDDEVDEVMYGIDESQTSSDGDD >Solyc04g081450.3.1 pep chromosome:SL3.0:4:65508372:65511804:1 gene:Solyc04g081450.3 transcript:Solyc04g081450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSKKPKNSSDREKWNKVFNALVHMLTSQQTQLESLAKERMILEDRIKLQNDRWVSDIHRFQEQIYEMRKNFTIQEMERMLEVAKSEFVVGLKQRDVAVFQRKLENADGELADFREWFDYLSQRCSEPNDVPNAATNEKSETRKKAWEDEVRRLKTENEKLTSEKNSEISALLAEKNFIWNQFNKLEHDMTEQLRRKCTELEHANGKIQAFTRNIEELQLSNADKDNTIAMLRSQLDNTTATLRSQMAKLESDSVTKSGEISKLSTELVLLKKCRSASVTPVLRRSTTGSGPSKSGGTSRGTDQRNITVKVEKQSSQALEKGQRSSKRKAGNSILSAPNLFTSSFKVPKLKMSSPCVT >Solyc01g109050.2.1.1 pep chromosome:SL3.0:1:96024783:96026505:-1 gene:Solyc01g109050.2 transcript:Solyc01g109050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFSSRNRCSYPLLVCILVGFLILLHFSSLGSYRGIQKGQIQERYEELEEIQIPQSSGSQSSEANMLIDEFLDKSSKLRHIFFPDMTTSIDPRKNTKDDTFYYYPGRIWLDTDGHPIQAHGGGILYDERSRMYFWYGEYKNGPTYQARGKNVARVDIIGVGCYSSKDLWTWKNEGIVLAADEHDEDHDLHKSKVLERPKVIYNEKTGKYVMWMHVDDSNYTKASVGIAISNSPTGPFRYLYSKRPHGFESRDMTLFKDDDGKAYVIYSSVHNRELHIGLLDQDYVDVTNVMTRVLVGQYREAPALFKYQGTYYMITSGCSGWAPNEALAHVAESIMGPWETIGNPCIGANKVFRVTTFFAQSTYVLPIFPGSFIFMADRWNPDDLGDSRYIWLPLTVEEVAGSQRPRVSIFWHKRWKLFKSPV >Solyc03g118750.3.1 pep chromosome:SL3.0:3:69064491:69068435:1 gene:Solyc03g118750.3 transcript:Solyc03g118750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAASGQEREVQKSYWIEHTAELTVEAMMLDSKAADLDKEERPEVLSLLPPYEGKTVLELGAGIGRFTGELAQKAGQLIALDFIEGAIKKNENINGHYKNVKFMCADVTSPNLIFSPESVDLIFSNWLLMYLSDEEVKALVERMVIWLKVGGHIFFRESCFHQSGDHKRKNNPTHYRDPSFYTKVFRECHVNAGDGKSFELSLAGCKCIGAYVKNKKNQNQICWTWQKVTSKDDMEFQRFLDTVQYKCSGILRYERVFGQGFVSTGGLGMPLRLHVVLFTVEGL >Solyc01g105775.1.1 pep chromosome:SL3.0:1:93715172:93717607:-1 gene:Solyc01g105775.1 transcript:Solyc01g105775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHWHLHSQEIAFTSSGNSVRVYWVSYGLWGGYLGYGFKKKVKRVENTLVIGRRSCVGIYDLMSMFLYYFQLGSVGVGVMGKSKVTKVAVSSLNFSLRYNLYEKVDMKQSEGREKPSVAWRDDAIDFISFLGGLENIKDEMTMIEKGSYFVLQSDN >Solyc12g098170.1.1.1 pep chromosome:SL3.0:12:66787415:66788332:1 gene:Solyc12g098170.1 transcript:Solyc12g098170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPFKSLDYESPSSLEDHVDDDSAIVDLLYPSMDHQELESNNVEIIGSCNGLICLLLYNTNKISLWNPSTRVSRDLPSLTCNFHDDCPIFNGFGYDWINNTYKVVRGTSNGIVDVFSTSGERWRRINQGFKDTSIIFDDQEQGVFFNGTLHWLGYYNDINEIKKEKTIVTFDLGQEIFGVMKQPMLEHDENVNFHNVGVLKGCLSLINKGNGLYCEIWVMKEYGVISSWMKLLVLDTNDFEHIGYVDPICFTKNGELIVDNEGYQLVRYNIEKKTCQTLKNHNDDWFQQIVYVQSLVSPYGNVIF >Solyc01g081510.3.1 pep chromosome:SL3.0:1:80563002:80564005:1 gene:Solyc01g081510.3 transcript:Solyc01g081510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYPAPINPTRTRIGWIGTGVMGGAMAARLLSAGYSVTIYARHPSKVVHLQTQGALLADSPSDLAGNSDVIFTMLGHPSDVRQIVLESLISSLNPNSVIIDHTSSHPVLAKQIFDAAREKNSWAVDAPVSGGDIGAREGKLAILAGGNEDVVKWLNPLFDVLGKATFVGGPGKGQSCKIANQIVVGANLMGLSEGLVFAEKAGLDKRKFVEAVKVGAAGSMVMELFGERMIGRDFRPGGFTEYMVKDLGMGLDVGAEEGDDVVVLPGAALNKQLFSAMVANGDGKLGTQGLITVIERINGKSGRQLWI >Solyc04g080330.3.1 pep chromosome:SL3.0:4:64638899:64643815:1 gene:Solyc04g080330.3 transcript:Solyc04g080330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4BVB2] MSSRSLFPCFTVLFCVMSFLAPFSNGQLDYSYYERTCPSLHKIVRWNAWEALRSDSRIAASLLRLHFHDCFVNGCDGSVLLDDTFYFKGEKNAAPNRNSVRGYETIDIIKAHVERACPSTVSCVDILTLAAREVVVMAGGPFWPVLLGRRDGLSASEEAANEQLPSPFEPLDKIAAKFTDKGLDLKDLVVLSGAHTIGFAQCFTFKERLFNYQDSGKPDPNLDSSMLSNLQCTCPDTDESNTTLTPLDMQSVTRFDNAYYRNLMNNSGLLESDQVLMSNSQTADMVKSYSLYPHLFYEDFAASMVKLGNLGVLTGRTGQIREVCGSVSNRMFLSSMFSLSVRQSLSTVLASVCMFLLVIV >Solyc04g063330.1.1.1 pep chromosome:SL3.0:4:55453270:55453467:-1 gene:Solyc04g063330.1 transcript:Solyc04g063330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAIDSCGHLLHAFGTPIQFVGKAIAVETLAILEAMKRALQKSWSKAHILSNAKNVIQIKYCKRS >Solyc04g074520.1.1.1 pep chromosome:SL3.0:4:60592426:60593397:-1 gene:Solyc04g074520.1 transcript:Solyc04g074520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKSCNSSGEGDSTATSASSSSSGNRDMYLKHLNKISHKISKPIRKPAYFENQNPPQAQTQHAPPPIPPPPPPPAGPQNLQQQPQQQPPVYNINKSDFRDVVQKLTGSPAHERISTPPPIQQPKAASSRLQRIRPPPLAQISNRPPPFVNVSNNAVAGGGGGGFLGGQRPVQAQPLSPLPPFPAVHASAESPISAYMRFLQSSIGSASTDSDSKRFSTGLSPLAPRWNNFGTPQQQPPFPPPQTLPLPHQQNIPPPPTNSSFVLPPFPAFPSSPLPFGCLPSPRSPYGLLSPGLLLSPSRQLGFQQLPLSPTLPVASPKWKGI >Solyc04g051420.3.1 pep chromosome:SL3.0:4:50482087:50483372:-1 gene:Solyc04g051420.3 transcript:Solyc04g051420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVNQKTHWFLIMSFIFFFFLITCQARNLQVDNCELVQKNGNQQVVISTKEKSEYEDSVAIDIDYTPARKKPPIHN >Solyc12g056100.2.1 pep chromosome:SL3.0:12:63019657:63024293:-1 gene:Solyc12g056100.2 transcript:Solyc12g056100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYSGGVFLVTIHFPPDYPFKPPKFQMDICLLFGGLSFDDKEAMVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKFAMG >Solyc09g031710.1.1 pep chromosome:SL3.0:9:28301463:28302121:-1 gene:Solyc09g031710.1 transcript:Solyc09g031710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKVVAIVVVIAIVTDDFFFQVFVVVLVAVKEYSMSYYLADGIYHKWSTIVQTICDPHSQKKKYLAMKQESCLKNVERAFRVLQSYFAIIAGPSHFLRKNLLHDIMTTCIILLNIIIEDERDLNTPIQDVVEASTPTIEIVVDENL >Solyc12g013690.2.1 pep chromosome:SL3.0:12:4532293:4535369:-1 gene:Solyc12g013690.2 transcript:Solyc12g013690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding METEENIVIVGAGIAGLATSLALHRLGLRSIVLESADSLRATGFALALWTNAWRALDALDIGDSLRQRSLSITGFKSFSAESGAPITEVSFVGNNSVEYESRCVRRKDLLETLANELPQGAIRYSSKVDSVEVSGPLKLVHLADGCTIRTKALIGCDGVNSVVANWLGLQKPVYSGRSAIRGFVEYPEKHGYQPKFHAYFGGGVRFGFLPSDEKSLYWFCTFTPSVVHFDGNAEQDPIKLKQFVLNKASNVSKELSTVIDRTTLDSISIAQLKLRVPWNVLFGNILKNNVCVVGDALHPMTPDLGQGGCSALEDSVVIAKCLAEALIKPITEQEDDESMRIRKGLEKYAKERRWRSFTFISAAYLSGFIQETDNKIISFLRQHFLGGVTISVTLKIANFDCGKLAVS >Solyc03g083580.3.1 pep chromosome:SL3.0:3:54958600:54972348:-1 gene:Solyc03g083580.3 transcript:Solyc03g083580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHALIRKSAVAHVRANSNFIHISTSKAPSIKETGLYGFHHLKTPKGFQRFVDDAVERSQELVNYIAGMPSSPEIIRAMDEISDTVCSVIDSAELCRHTHPDREFVNEASKASLRVNEFLHYLNTNHSIYKAVNKAEKDSNSLTHEAHRAAHFLRMDLEKGGIHLCSEKLDRANELAIDIIQLSREYNENIITDPGHVDIFPASKIPKKLHHLVSPIHRNLPGSSKGSWGLRDKTKEKGFRLATESSTLQGFLQCAPDAGVRKVAYVQGNSVPHANLEVLDKLIATRHEFAQLMGHKSYAEFALHSTMAASPEVVMSFLLEMSKVVRPKADQEFEAIQDFKRENSGDQNGGLDPWDEAYFTWLMKSAKYKLDSSVIASYFPLQQCIEGLKVLVESLFGVTFHGVPLAPGESWHPDVMKIVLHHPTEGDLGYLYLDLKSRKGKHPICAHFAIRGGRRVSETEYQLPVVALVCNFSGSSLAPVRLNHSEVETLFHEFGHALHSLLSRTEYQHFSGTRVVLDFAETPSNLFESYAWDYRVLKTFAKHYSTGDIIPKELVESMVGAKKMFAATELQRQILYALVDQTLFGEQPSTGIDTMAIVADLKRQHTSWTHVDGTHWHTRFSHLTNYGAGYYSYLYAKCFATSIWQRMCQEDPLSLDTGLALRTKFLQHGGAKDPADILNDLAGSGTVRSCHGGIIPDITSLCKEMELLKC >Solyc07g005390.3.1 pep chromosome:SL3.0:7:296386:302378:1 gene:Solyc07g005390.3 transcript:Solyc07g005390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGNGVFAEIIDGEVYKYYCEGEWKKSASGKSVAIINPTTRKTQYKVQACTQEEVNKVMEIAKAAQKSWAKTPLWKRAELLHKAAAILKEHKAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVILAIPPFNYPVNLAVSKIAPALIAGNSLVLKPPTQGAVAALHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGVAISKKAGMVPLQMELGGKDACIVLEDADLDLAAGNIVKGGFSYSGQRCTAVKVVLVMESVADILVEKVNAKVAKLTVGPPEDNCDITPVVSESSANFIEGLVMDAKEKDATFCQPYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAILISDAMETGTVQINSAPARGPDHFPFQGIKDSGIGSQGITNSINMMTKVKTTVINLPTPSYTMG >Solyc03g007280.3.1 pep chromosome:SL3.0:3:1844700:1847783:1 gene:Solyc03g007280.3 transcript:Solyc03g007280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECNKDEAFRAKKIAERKFEQKDYAGAKKFALKAQVLYPGLDDLTQMLTTFDVYISAENKVSGEVDWYGVLGVSPSSDDETVKKQYRKLALVLHPDKNKSIGAEGAFQLLSEAWSLLSDKSKRLAYNQRRSSKGPQQKQQKVPVPSGGPSTFWTICHRCKMHYEYLKIYLNHTLLCPNCHESTSQWKRERRLKGVKKVPDPDFHNFDQDRSESCFEDNEVWASYDADDGMPRFYALINKVISREPFKVRLSWLNSKTNTEFGPMEWVASGFYKTSGEFRIGRYETGKSVNSFSHKVRWSKGPRGTVLIYPQKGDVWALFRNWSADWNQNTPDDVIHKYDMVLVLDDYNEEQGISVAPLIKVAGFKTVFRPDLNPEKMIRITREEMFRFSHQVPSHLLTGEEGQNAPKGCQELDPAATPLELLQTVTETNEVPAMQNDKEANGGSSQKVQETKTSEAADHTLKSREGGMVESEGEISLGSEQMVEKVTMEQTQVNPVKTDKATKFGTET >Solyc03g120640.3.1 pep chromosome:SL3.0:3:70461508:70463014:1 gene:Solyc03g120640.3 transcript:Solyc03g120640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLATLAAVQPTTNIKGLAGSSITGTKLHLKSSRLNFNRTKFRACSVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNSLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSSTASGDILPIKKGPQLPPKLGPRGKI >Solyc06g007120.3.1 pep chromosome:SL3.0:6:1173162:1179319:1 gene:Solyc06g007120.3 transcript:Solyc06g007120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphoinositide phospholipase C [Source:UniProtKB/TrEMBL;Acc:B6C9K2] MGNYRVCVCFSRKFKVTEAEPPTDVKEAFKKYGDGGNQMSAEQLLKFLIEVQGETQLTVADADAVVRQILQKRHPITKLARQALALDDFHHYLFSADLNPPINSKVDHDMNAPLSHYFIFTGHNSYLTGNQLTSDCSDVPIIKALKKGVRVIELDIWPNSDKDDVHVLHGRTVTTPVELIRCLKSIKEHAFSASPYPVVITLEDHLTPDLQAKVAQMLTETFGEMLFVPESDSLKECPSPEELKHRIIISTKPPKEYLEASASVCKDRRNSSQRSKDSEDDVWGSEPSSLTADQEENEKSDSDKSYEDDDDATHRGHVASAYKRLIAIHAGKPKGGLKEALKIDPDKVRRLSLSEQALEKAAESHGTDIVRFTQRNILRVYPKGTRFNSSNYKPLIGWMHGAQMVAFNMQGYGRALWLMHGMFRANGGCGYVKKPDFLLSIGPNNEVFDPKAKLPVKKTLKVKVYMGDGWHLDFKQTHFDLYSPPDFYTRVGIAGVPADEVMKKTKTKEDKWTPVWDEEFTFPLTVPELALLRIEVHEYDMSEKDDFAGQTCIPVSELKPGIHAVPLCNRKGEIYNSARLLMRFEFI >Solyc07g018010.3.1 pep chromosome:SL3.0:7:8842074:8845587:-1 gene:Solyc07g018010.3 transcript:Solyc07g018010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNIGDEYKNYWETTMFLQSEELDSYFDEPISSYYDSSSPDGSQSSMASKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIEELHNQERRIRGEISELESGRSSSKKNSNDVEFEQDESFDSKPKRSRRFEMQYGYDSSGSTTRSPPSSSPVDVLELRVSSMGEKTVVVSLTCSKRTDTMVKVCEVFESLNIKIISANITAFSGRLLKTAFIEADEEERDLLKLRIETAIASLNDPDSPMSS >Solyc02g011820.2.1 pep chromosome:SL3.0:2:14142468:14143632:-1 gene:Solyc02g011820.2 transcript:Solyc02g011820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKKWGTRFSQSCMAQYKNINLNKWNSYQKDQLLHYKKENDSVLYSLSNENDNCQKCYAYGILAYKSINYESKTDSFFSRLPFEVQVKKNLEISYNFNTSKHNIVDMPDNLHINNYIRKGNILDIERNLDRKYFIWKKLSIFLLDKKEILKTGLGSIQKIIQILKLSPVFSKQQTDLEKYYVESDTKKGKNKKQYERTTEAELHLFVKSYLLFQLRWNGALNQRMLANIKVYCRMLRVINRTKITISSIQWK >Solyc07g044720.2.1 pep chromosome:SL3.0:7:57877419:57880778:1 gene:Solyc07g044720.2 transcript:Solyc07g044720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRENIFLLIILIITRLFVIANFNLLIRVITYFYVFSSIDMAVISIPSSTTMFPMPQQVLRQNKEVVMAEEMGCARMLTLNRPDNLNYISAKVALALGQNFEKYENDDNADFVIIKGAGRTFSGGGDLHMFYDGRNTRDSCIEGTYRMYWLCYHIHTYKKPHIALVHGMSVGGGASLMTPMKFSVVTEKAFSSTPEINIGFHPDCGFSYMLPRLPGRLGEYLGLTGEKLRGKEVVAAGLATHYVPSQKLFQLEKRLVSLKNGDEDTIRSVINKFSSNIQIDERSILNKLSIINECFSKDSVEEIIESFEAEASKKGNDWIMPVLKRLKKASPTSLKITLRSIREGRTQTISECLRREFRISMNIQRTIISGDFYEGIRAQVIDKDKSPKWNPSTLDKVLDDQLDLIFKPFEDHDLELQIPVDEEELYRWRGKYEKFQLFSSQPSERQKALRSVSSRESVFV >Solyc03g005840.2.1 pep chromosome:SL3.0:3:572046:573031:-1 gene:Solyc03g005840.2 transcript:Solyc03g005840.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTIGYSDITPNSTLAKLFSILLVLVSLVFYHILVSNFVIYVINLRKNTHKRLFDTRKRSRVALALCVFVLCIGIGVFLMHFVEKIGWFDSFYFSFMGITSVGYGEKVFESIFGRIFGSIWLVISIIVVVRVFILFVEVRVDERQSKVEKWVMEQDVTVDQFHDGFVSKSDYMMYKLKELGKITERDILLINKQFERLDTGNCGRITLSNIIESHY >Solyc06g035550.3.1 pep chromosome:SL3.0:6:24612482:24614311:1 gene:Solyc06g035550.3 transcript:Solyc06g035550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYSIIFIYRGTYAIYQNKFIKGSREISRA >Solyc04g008450.3.1.1 pep chromosome:SL3.0:4:2070969:2071823:-1 gene:Solyc04g008450.3 transcript:Solyc04g008450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSLTGVVVSIVMLITTILLTLVMIIIWRTPPALVGLYFVVFFVMESVYVSAIFTKIPEGGWIPFAISLILAFIMFGWFYGRQRKLEYELTHKIDSERLRTLLIDPGLQRVPGLCFFYTNIQDGLTPILGHYIKNMRSLHKVTVFTTLRYLLVPKVAPGERIVVSKLGLRGVYRCVIRYGYADKLSLEGDDLVNQVIQSLRSHVLHCSNSLEVDTEVSELDEAKLAGVVHIRGKTRFYIGKDCGWFDRTMLAFYEVLHSNCRSALPAMGVPLPQRIEVGMLYAA >Solyc12g042960.2.1 pep chromosome:SL3.0:12:59400951:59402715:1 gene:Solyc12g042960.2 transcript:Solyc12g042960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILGICGILSMAITRNRTLSDIPQRWSPWFQTSPGYSDLTIIFEGLYVEEANGGDRLMCLLGTSVSPFSKSSLDPYEWSSKYNCKKSFQYSSVKDDRIMLILRYPEIFTSTSRAILGELRSLNKKSDPKYFDKVQISSQLSYDWKYHFVSEIRVHKASQFCKVLQEFVSETFDIDVASECHDMKHCKNLGPFVHDWEMTEGKNAMSKKFKLLLTNLHCLPETNSAKTAKVSAFFRVLSPSEDHFYSGSRTGLSRMTISRMTLMDVIHGYACTYQAHFQSLSEMFFLESSLALKRLMFLYSLKKELHPLDIWNMYHVYSKSYLSYRFTRTEMLRAFMQKDNSYNLGMLITNIIHRFPGIEDDRNLTLFLLYPMSFLSRLRLFPMQYGTRLSPKHFFHSRSQPTVTEKYFSHVAKVSLEGLYDASRGVMHTVGCREIHSQKFMDCLVQVKVQYSSKTTRWLINPTTSVSIPSLRNPEDLFYFSPINLKTVVIPYRDNSKEVKFRLNFEA >Solyc01g057175.1.1 pep chromosome:SL3.0:1:59424251:59426488:1 gene:Solyc01g057175.1 transcript:Solyc01g057175.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISNEPQEFCVRECSCCKQKKRRKDKKDFERILEIDLIDGTPSATTSISDLLGETSFSVTTENIFDIICIKCFNHFEHFELIETFPGYVEYDISDVASGQHIPQFLTDFTLSSEITSTFQIA >Solyc08g078510.3.1 pep chromosome:SL3.0:8:62409830:62411701:-1 gene:Solyc08g078510.3 transcript:Solyc08g078510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGTGDENQPKFKKSESQIPSVSSSSSDPQAPEMDPQKWGTHIMGPPAVPTCHPDNQKAASWRAEDQREEFQPQPYVVYSPIDKPSNNPLDSVVNVFNSWSNRAENIARNIWYNLKAGPSVTEAAWGKLNLTAKALTEGGFEPLYKQIFGADPNEQLKKTFACYLSTATGPVAGTLYLSTTKVAFCSDRPLSYKAPSGQEAWSYYKVAVPLGNIGTINPIVMKESPPERYIQIVTIDGHDFWFMGFVNFDKAKHHLLETLSIFRAQPPHLNLQQPAATY >Solyc01g080860.3.1 pep chromosome:SL3.0:1:80030118:80039227:-1 gene:Solyc01g080860.3 transcript:Solyc01g080860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRNSVGFSSKLLTSKSSCSRLPLLNHGVASRSTSTAAGSGPESTEAAGTVKVGFSSTEWVRTGSPTSSAVDLSSFAAASVLKGRDIVDMAKHYGRCYAELSKARLSMLVVATSGTGYILGSGSAIDYMGLCCTCAGTMMVAASASTLNQVFEVKNDAKMNRTKKRPLPSGRISIPHAVTWAASIGILGTGLLASKANMLAAGLGASNLVLYAFVYTPLKQIHPINTWVGAIVGAIPPLLGWAAASGQVSLNGMLLPAALYFWQIPHFMALAYLCRKDYADGGFRMYSLADASGQRTASVAFRNCLYLLPLGYLAYDWSLTSGWFCLESTLLALAISGTAISFYLNRTTKDARRMFHASLLYLPVFMSGLLVHRVTESEQQMTIEHMSKIIELSPSLETAEAAQEEKRKQSIGNGQRRPPVAYASVAPFPFLPAPSYATF >Solyc02g086585.1.1 pep chromosome:SL3.0:2:49871549:49877328:1 gene:Solyc02g086585.1 transcript:Solyc02g086585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVCTDILMQHSRGKSALVFCSTRKGAQEAAQQLSQTAMTFGHSNPFIKSREQQERLREASLSCSDKQMQSYILYGVGYHNGGLSMNDRNLIEGLFLNGDIQVLCTTNTLAHGINLPAHTVVLKSTQYLHATLPWGSSAKSFVFGNTKIMSGRAGRPPFDDTGMVIIMTRKETNGLCNQEVDVVFFLFRLLPCITEHLTAEIVQLTVSDITGAIEWMKCSFLYVRIRKNPEKYAVRKGLTGDRLERHMQDICVQNVNELSRYQLIWTDEDGFLLKPLEPGKLMTKYYLKFNTMKHIMQAPGNCSIEDALQIICRAEELSWIQLRRNEKKLLNDINIDKDNRLRFHILGDKEKRKKRVQTKEEKIFVLANDCLTGDPLVFDLSLSQDVNSICANGYRIAKCMKEYFLYRKNYRGALSSALLTKSLYQKVWDDSPYLLKQLPGIGMVTAKALHSMGVKSFASLSDADPRKIEMVTGRKYPFGNHIKESLLSLPPEIEMRVEETESQRQGKSKVMVTLTRLSQPAQTTKRHYADMVVGIEEDNLVLFHEKIRVDEFPSPYSKTVMVPSPQQGKLTVKADLIFDEFIGVDLHQKVVLINMVDHNFVMKYRTKQPSSFQNNDACIIEDTQDAAQASCQVSHSLTEAGWSSDMPSFKLIDEDLDEVVLAAAVEDDECRIINENTIFDHIREKAKNLPALTSLKGTRLPSLETLNLIRKRTREKQLLLENAVGVSEELRRTKVPCHNMVIQSAEYIDLEENRPFSNKDQIPYSHHVSNAIYLPDERGELFFETGSVPSETIAEETIFKYNPIDSKMFDSFENVKNTEDKLHSVANGTCIIHQPEHYSSSFGFQETTPTKVTNNSASKQIEEVEDLTLHGHTPGTSEKTDLSMETSRKDAEERSRLLSAADAYFIRNKVGYPLRSPSFKEQEGISSVQVGEISQPNSFLGFKSIFTFLFE >Solyc10g085500.2.1 pep chromosome:SL3.0:10:64777899:64780172:1 gene:Solyc10g085500.2 transcript:Solyc10g085500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWIWGYIFFSIITVLFPFLHFRWRTLLYNVRQQLPPGPRGWPVFGSMLELGNEPHKTLMDLKQKYGPVVWLKLGSINTMVILSAKAAGEFFRNHDMAFAERSVTEVMKSHGYDKGSLALAPYGTYWRIMKRIMTVQMLVNKRITETVEVRRKCIDDLIEWIENREFSSSEGIHVAKFVFLASFNMLGKLVLSRELVDPKSEKGTEFFAAMVGLMECSGHQNIVDVFPWLRWLDPQGLRRKMDRGLGKTIEIVSGFLKERFEERERTGEKKKDFLEVLLEYEGKGKDEPEKISDQELILIILEIFLAGSETTSSSIEWAMTELLCNPEAMDKVKTELSEVLGDTKKFEENDIDNHKYLQAVVKETLRLHPPIPFLVPRKAIQDTEFVGYHIPKGTQVFVNTWAIGRDPECWKDPLDFKPERFLDLNIEYRGQNFEFIPFGAGRRICAGIPLAHRMLHLVLGALLSEFDWEIDVSVLDEALDTRDRMGVTVRKLKPLKAIPKTKNRTL >Solyc06g061280.3.1 pep chromosome:SL3.0:6:39392307:39395193:-1 gene:Solyc06g061280.3 transcript:Solyc06g061280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPASYNCIFNTICVMDASTRLGTKLVKRLLKRGYTVHAAIQNHGESSSLKGIECEEKKLKIFESDPFDYHSILDALKGCSGLFYNFESPPDYPTYDEEMGEVEVRAAHNVLEACAQTDTIEKVVFTSSATTIIWGHDAPSIDERHWTDINFCRKFKLWYAMSKTLAEKTAWGLAMDRGINMVTINSGLLMGPELTITNPYLKGAAEMYEDGVFVTVDLDFLVDAHICVYEDIASYGRYLCFNHIINQKQDALELVQMLSPLPSPPQSLEENTTIIQQRISNKKLSKLMVDFRGCQPQMMDQNANQDTCLS >Solyc11g069300.2.1 pep chromosome:SL3.0:11:54214389:54226605:1 gene:Solyc11g069300.2 transcript:Solyc11g069300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVMMHSVDEVTEMGCCGCFGFSFARKPKKEIRPNRGYGNSWSHEPLLQQEAEEVEDDGFDSGDIIDTGSEDDEVCHSPVKRYQEILMERAQNGLICREIPVKETHKVVRTEDEDGNKMVNEYVREHKIGAGSYGKVVLYRSCTDGKHYAIKAFHKSHLSKMRVAPSETAMGDVLREECPLFLPLSFNLPFVSIMKMLCHPNIVNLVEVIDDPETDNFYMVLEYVEGKWVCEDSGPPCVLEENKARLYLRDIVSGLMYLHSHNIIHGDIKPDNLLVSAAGKVKIGDFSVSQVFEDDNDKLRRSPGTPVFTAPECCVGDRYHGKCADTWAVGVTLYCMILGKYPFLGETLQDTYDKIVNNPIILPDDMNPLLKNLLEGLLCKGLHDDVALTCLARASRSDYASLSCLNARFNSLVKSGYLYELRRRISVVEHWVYMVCDPRGWEAFDPFRKKWLRLPKIPCDDCFNYADKESLAVGSELLVFGRELFDFAIWKYSLIQNNWEKCEGMNHRRCLFGSGSLGSISIVAGGSDKNGNVLKSAELYDSLTGTWEILPNMHSPRRLCSGFFMDGKFYVIGGMTNPTDSLTCGEEFDLQTRKWRKIEGMYPNVNRAAQAPPLVAVVNNQLYAVEYLTNMVKKYDKKNNSWEVLGRLPVRADSSNGWGLAFKACGEELLVVGGNRGPEGEAIILSSWAPKSGCKDGTLDWKVIGLKEHSGVFVYNCAVMGC >Solyc06g036463.1.1 pep chromosome:SL3.0:6:26170574:26183370:-1 gene:Solyc06g036463.1 transcript:Solyc06g036463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFDGIFRCYAVVIAVIVVVAETEWAFFIKFWKLQSGSSQAKKHLPSRKIVAILLLFMQYGIIGQVGQFAFKENSFAIWNTRTTTYHPSHIVAPSQGEVPQTPRWSMEFHTDHELYSKLTIVKFSHDCYIVDTMDY >Solyc11g017320.2.1 pep chromosome:SL3.0:11:8189608:8190557:-1 gene:Solyc11g017320.2 transcript:Solyc11g017320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHESILFYSRLKHVDSSVCDQYTYSSVLKACAETKGILVGKAVHRHILFSGIHTCRIVSNSLLNMYSATCLTLDNGSDCGCLELCIKEMLLRGLLFFHGIKPTVVSFINVFPTVSEIRDVRVADVLYGLLAKLGNAYVNDLFVVSASIVMYAELGCINLMTRLFENTSVEAEDAVTTDDVTFVSALRATSQLQHLEFTQLHACLIKKCTASVTMFYSLRQNALEPDAVTFVAVLSTCSYTGLVDTGL >Solyc02g065430.1.1.1 pep chromosome:SL3.0:2:37157452:37157910:1 gene:Solyc02g065430.1 transcript:Solyc02g065430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIICSSYESTSVATAKLILHDGTLQEFSYPIKASFLLQNDPTIFICNSDEMDFGNVVCAVKAEEELQLGQLYFALPLTQLKHKLKAEEMAALAVKASSALNTSSSSGEKFIVFEKSGPNFWKKMMDDNNDRTRKGNGRRKKFTVKLSSINE >Solyc09g014475.1.1 pep chromosome:SL3.0:9:6071332:6071980:1 gene:Solyc09g014475.1 transcript:Solyc09g014475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLRTTPIRKSLPSFLVLGANMCIEKSADCMQKRATLMNRSNSVSGYSVSSGSMISRTRPSSLSFHTCNA >Solyc03g116843.1.1.1 pep chromosome:SL3.0:3:67590044:67592302:1 gene:Solyc03g116843.1 transcript:Solyc03g116843.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMMLSSLRGLSNLTYTFHGKGVFLLASQCPNQVFQLAHLHLSPEIHLPLQSLIEKCKSMDQLRQIQSVIIQKGLISDPKLCSNMITFCSNNESGDMKYARSVFDIMPERGVFIWNTMIKGYSRENIPHDGVSIYREMLNNNVKPDNYTFPFLLKGFTREVSLKLGRSVHAHICKFGFELNEFVHHALIHVYCLCGQVDMARGVFDLSAKSDILIWNSMISGYNRSKQFGESRKLFYAMEEKQLQPTSVTLISVISALSQLKDLDTGNRVHQYVKDYKVQSSLVLDNAIVDLYASSGKMDVALGLFQSMKHKDVISWTTIVKGFVYIGQVDVARIYFDQMPKRDNISWTAMMDGYVKENRFKDVLMLFREMQAAKIRPDEFTMVSILTTCAHLGALELGEWIKTYIDKHKIYVDIHLGNAVIDMYFKCGSVEKALVMFTQMPSRDKFTWTAMIIGLASNGHEREALDMFFEMLRASETPDDVTYIGVLSACTHMGLVEEGKSFFANMASQHGIQPNVIHYGCLVDLLGRAGRLEGAYEVIMRMPVKPNSIVWGALLGACRIHKDVQMAEIAAQQLLQLEPGNGAVYVLLCNIYAACKKWDNLRETRRIMTDRGIKKTPGCSLIEMHGIVHEFVAGDQSHPQSKSIYSKLAELIGELKFSGYVPDTSEVSLDIGEEEKENSINRHSEKLAIAFALINSEPGFTIRIVKNLRICTDCHHVAKLISKRYNRKLIIRDRTRFHHFVQGSCSCKDYW >Solyc12g057000.1.1.1 pep chromosome:SL3.0:12:64038002:64038460:1 gene:Solyc12g057000.1 transcript:Solyc12g057000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQEFTGIPTTPFTGSAYTRRFDLFSTASSAMKRSTHMDNMGPLNYTLRPSTQKVQNSQFMPQSLSSSINHDTLIMPTSNSIVGTTNASTFDQINKSFTSTIPSHLVEMGMNHHEHISANLGGFDQCGTFGGDQEQSRKDRNISRLLFDGNI >Solyc04g026060.1.1 pep chromosome:SL3.0:4:19031003:19031485:-1 gene:Solyc04g026060.1 transcript:Solyc04g026060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKVIIPPDRTVAVGPRAKDFITELYVKILHNARYDVKKWKGVPDLAKNMIVAYMLGIVASP >Solyc03g097050.3.1 pep chromosome:SL3.0:3:60834695:60840612:-1 gene:Solyc03g097050.3 transcript:Solyc03g097050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMASKSFKASRSSLSTSSDLPDPQHGKPPLPPHVTFQRRTSSGRYVNYSRDDLDSELSSSDYMNYMVHLPPTPDNQPMDSISQKVEEQYVSSSLFTGGFNSVTRAHLMDKVIESEANHPQMAGAKGSSCAIPGCDAKVMSDERGIDIVPCECDFKICRDCYLDAVKTGDGICPGCKEQYKVTDWEETNGNNRPLPLTGPGGMSRMERRLSIMKSTKSGLIRSHTSEFDHNRWLFETKGTYGYGNAIWPKEGGFVNGKDDDIMEPTELMSKPWRPLTRKLKIPAAILSPYRLLIVIRFVVLGLFLAWRVNHPNNDAVWLWGMSVVCEIWFAFSWILDQLPKLCPINRATDLSVLKDKFETPSPGNPTGRSDLPGVDMFVSTADPEKEPPLVTANTILSILAADYPVEKLACYVSDDGGALLTFEAMAEAASFANLWVPFCRKHNIEPRNPESYFNLKKDPYKNKVKQDFVKDRRRAKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKQQRQKTDDEPLENVKIPKATWMADGTHWPGTWLNSGPEHSKGDHAGIIQVMLKPPSDDPLHGNNEDGIIDLTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGAFILNLDCDHYVYNSQAIREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANRNTVFFDGNMRALDGLQGPMYVGTGCLFRRVALYGFDPPRSKDHQSGCCSCCYGRKKKHVNTSEEHRALRRGDSDDEEMNLSLAPKAFGNSAVLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPREHLDASTVAEAISVISCWYEEKTEWGQRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLSSSKMKFLQKIAYLNCGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIITVTLCLLAVLEVKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDEEDDDFADLYLVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPAGTTQIGGSFQFP >Solyc06g066770.1.1.1 pep chromosome:SL3.0:6:42066084:42067187:-1 gene:Solyc06g066770.1 transcript:Solyc06g066770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQATAMDFIPGLPNEIALECLIRLPVDQFSKAASVCKKWNGEITSPEFRRRRKFSGLTRPVLVMVQSMVATVKKPEGDTALFSTQVYRISVSDPGNGSWYDLPPIPELIDGLPRFCRIVGVGSDLVVIGGCDPVTWRVMDCVFIYNFISGSWRRGADMPGQQRSFFGCGSDSDRMIVVAGGHDDEKNALISALSYDVVKDEWVTLPDMVMERDECKVVYHKGKFHVIGGYPTWAQGHFENDAEVFDTATWQWRLEDDFLSVINTSPQSCVEGDDGRLYMCRGGDVAVKEDHTWRKLAELPAGIASVAYLTACQGKLILVGRGPFDEVYSSYALDLDAESDGKVKKWTKVETPNEYSGHVQYGCCLEL >Solyc07g062260.3.1 pep chromosome:SL3.0:7:65168874:65172366:-1 gene:Solyc07g062260.3 transcript:Solyc07g062260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSGTRMPTWKERENNKRRERRRRAIAAKIFAGLRMYGNYQLPKHCDNNEVLKALCNEAGWTVEPDGTTYRKGCKPMERLDFLGGSTSLSPCSSYQPSPFTSNNPSPASSSFPSPASSSYAANLNMDGKSLIPWLKNLSSGSSSASSSKLPNFHIHTGSISAPVTPPFSSPTARTPRIKTDAGWAGFRYPYLPSSTPASPGRQNFINAECFAGISGPPSPTYSLVSPNPFGFKMDGLSRGGSRMCTPGQSGACSPAIAAGLDHNADVPMAEVMISDEFAFGSNVAGMVKPWEGERIHEDCVPDDLELTLGSSKTR >Solyc05g053660.2.1 pep chromosome:SL3.0:5:64569585:64572477:1 gene:Solyc05g053660.2 transcript:Solyc05g053660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKEENCQANNTLPTSFQMAIIGESSSNNTNNQMVDYMVDSQSIQQQQHNQSSLGFLPSNPSLDKLSFADVMQFADFGPKLALNQTKVLEQDVGIDDPVYFLKFPVLNEKKNDNDNDDDREEGLMISGGKEKSENNNNNVEKNQEGKSNNKRKRPRIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRIYGDTPTRPLGDSSTPPSMPMNQNPSAINPHHHQSPILFPLPNEYNIEDEIQEEVAESKSCLADVEVKLLGFDAMIKILSRRRPGQLIKAIAALEDMQLSILHTNITTIEQTVLYSFNVKISGETRYTADDIANSIQQIFSFIHAEIAPYDIN >Solyc12g088280.2.1 pep chromosome:SL3.0:12:64692540:64710733:1 gene:Solyc12g088280.2 transcript:Solyc12g088280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFDTRRYIGIGKSEEVQLFYYFVKSESDPRKDPLVLWLTGGPGCSSFTGLAYEVGPLDFGQKAYNGSLPILISSPYSWTKFASILFLEQPVNTGFSYATTSEAYKCTDLQACDHVYEFLRKWLVNHPEFILNPFYVSGDSYSGITIPVIVQLISNATMYFMFENAGIEQGKEPLINLKGYSLGNPLTFSEESNYQVPYSHGMGLISNELYESLNEICKGEYLNIDPTNKQCVENFKMFKNLSNYWANDPRVQEALHVRKGTIRRTWARCRQSILSTSYTVTFMNSIPYHVNLSSKGYRSLIYSGDHDMVVPFQSTQAWIKYLNYSIIDDWRPWTVDGQLHKVFLESYDICNNKGKNFVHSIYIFIYLDSQTTGGGHTAPEYKRKESFHMFKRWIAQQPFVPQNSSIVEFLPGFDGPLPFYLQTGYIGVGKSEEVQLFYYFVKSESDPKKDPILLWLTGGPGPLEFGQKAYNGSLPILVSSPHSWTKFASILFLEQPVNTGFSHAITSEAYKCTDLQACDHWFVNHSEFISNPFYVSGDSYSGITIPIIVQLISNGIEAGKEPLINLKGYSLGNPITFFEESNYQIPYSHSMGLISNELYESLNEFCKGDYINIDPTNKQCIATYKLATYWMNDLRVQESLHVTKGTIRRTWTRCKRTIGYTSYKVTLKNSIPYHVNLSIKGYRSLIYSGDHDMVVPFQSTQAWIKYLNYSIIDDWRPWMVNGQVGGYTMSYSNHMTYATIKGGGHTAPEYKREESFHMFKRWITQQPLYIGVAKSEEVQLFYYFVKSEIRPEERSDLAMGSGRTRLLILHRIGVRSPLAFGQKAYNGSLPFLVSRPYSWTKGYSLGNPKTFPEENNYKIPFSRGMGLISDELYKSLKWTCKGEYQSTKSIRKLCSQNLKIFKEGTIKRTWARCRASIGDKSYAITFMNSIPYHANLSKKGYRSLIYSGDHEMVVPFQSTQAWIKYLNYSIIDDWRPWMVDEQGGGHTAPEDRPEESFYMFKRWISQQP >Solyc02g094010.2.1 pep chromosome:SL3.0:2:55302622:55305978:-1 gene:Solyc02g094010.2 transcript:Solyc02g094010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVAFQFWAFVFFFLFITLSAHGEDLLSCDSKSPSASGYRCDRNVHLLQCGTFGILRTNSLYDSLFNLSSYLGINQYLLREANGFSPDTEFLATDQPLIIPLDCKCIGGFFESELRKTTTKGESFYSIAQSLEGLTTCKAIQEKNPNVTPWGLSEKTLLSIPLRCACPSPQEITLRTKLLLSYPVKQGDTIAALAVSFNTTVDRIIDVNRRSQGASFRTNGLSPASTLLIPLEGKPKLGSFTKPQQPNLGYPAASIASSKIHKKKTKMRMMRVYIAVALVVFVAIFALPAVFLFYFLKRKGDILSKERDTELQKLSLSVRTTSEKKVSFDGSQNDLDGQIIDATPHKLFVETYTIEEIKKATEEFDSSNLIEDSVFHGRISGKNLAIKQMETSSISKIDFGLFNDAIHHHPNIIRLLGTCVTEGPDSFLVFEYAKNGSLKDWLHGGLAMKNQFIASCDCFLTWNQRLRICLDVATALQFMHHIMDPVYVHRNIKSRNILLDEEFKAKVGNFGMARCVEDDVAKGYLAPECLKQEIIAPSIDIFAFGVILLEVLSGQTPIRIRNVTEGEDEVALSEKIKVILESENVDELREWVDSALGENYSFDAAVTLANLARACVEEEPSLRPNAGEIVKKLSRLVEEKLLEGEEQLIISESSCKPLFKAEATSTSM >Solyc03g081300.3.1 pep chromosome:SL3.0:3:53603240:53610908:-1 gene:Solyc03g081300.3 transcript:Solyc03g081300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTPTKSFRLSPSPSCLFPPIRTLFPSALLPCQKLAFYPQKQDKLPLHFLVPQDSTLLLLKCNALAINDSSTNSFVDSGVMLFSLFREIGFNETDTEALLDAHPVISLTPFESIRTRIHSLQSLGVSGLALSRLIVKRPDVLTAVEIDGLVSFLIEGDLELAGKIKSSQIEHLFNSTEPRFLEGFETKVRLLLEFGITQDTIVHVLNNANLTKAFCLKSFEDIERMLTFLNRFGGGDLILRRPALLNYDLDAQLIPRVGFLLELSGGDETGTATVLRKLPFVVAYSVDHLKDHVEFLKSYAGLSEEEIFRIVLVYPNMFSASRKRKLHPRIDFLKQCGLSSHDIFRFLIKAPLFLSLSFEGNLAYKLVFLVKIGYKKNTKELAMAMGAVTRTSCKNMQEVIGVFLNYGLTFDDILEMSMKHPQVLQYNHESLEEKMDYLVEEMGREVGELLAFPAFLGYKLDGRIKHRYEEKRKILGEGMSLNKLLSVSAARFSTKSKRKGRVPAVSGLDESDD >Solyc02g062640.3.1 pep chromosome:SL3.0:2:34929318:34935668:1 gene:Solyc02g062640.3 transcript:Solyc02g062640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVMEESNPKSTLSPPNSYTAVVLGGTFDRLHEGHRLFLKSAAELAKNRIVVGVCDGPMLIKKQYADLIEPIELRMEHVEDYIKEEPSILVQLRWWSIKPELIVEVEPIVDPYGPSIVDENLEAIVVSKETLPGGLSVNRRRAEKGLSQLKIEVVDLVSEESSGDKLSSTALRKLEAEKLLRDHNSGSQS >Solyc08g067950.3.1 pep chromosome:SL3.0:8:57069492:57076873:1 gene:Solyc08g067950.3 transcript:Solyc08g067950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKFRKLNRPTGHRMSMLRTMVSQLVKHERIETTVAKAKEVRRLADNMVQFGKEGTLCAARQAAAFVRGDDVIHKLFTELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSKPPNPQPPQRPAMDPWTRSRLSRQFAPPKEEKISDSDC >Solyc05g044630.3.1 pep chromosome:SL3.0:5:58080389:58083884:1 gene:Solyc05g044630.3 transcript:Solyc05g044630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAISTQTRGLKPTVASSEWLSPTSTSFQKNCVSQGRPFSSKQSSFLGGQYHVRHFLGVGRTGQRRKNAGAVVSPSCVLPLTEENVEKVLEQVRPGLMADGGNVVLHEIDGLVVILKLQGACGSCPSSTMTLKMGIETRLRDKIPEIMAVEQILDSETGLELNEENIEKLLGEIRPYLVGAGGGELELVQINDYVVKVRLSGPAASVMTVRVALTQKLRDAIPAIAAVQLTD >Solyc06g054630.2.1 pep chromosome:SL3.0:6:37473694:37480765:1 gene:Solyc06g054630.2 transcript:Solyc06g054630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNSTHDQPKFKGVRLRKWGKWVSEVRLPNSRDRIWLGSYDSAEKAARAFDAAQFCLRGPKAKFNFPDSPPDISGGQRLSPAEIQAVAARFANDYSPSVVQEIRRDDHHHDHEGNIGNINSHVINMEKDEISLSTTSCDRVVQMGTSNTVAEMDWAFHNDMMENYSYNASGPPPEYFWRGCDIPSKILNLF >Solyc09g092190.1.1.1 pep chromosome:SL3.0:9:71816353:71816625:1 gene:Solyc09g092190.1 transcript:Solyc09g092190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTKRTTTTKGLVYSRDVEVFHYYKAKRLVDYVDAFDYYHDNETFEEKDIEVEKENKKILKKNREFEAKCRTKTINAERSMKERINTQF >Solyc08g067300.1.1.1 pep chromosome:SL3.0:8:56437186:56438430:-1 gene:Solyc08g067300.1 transcript:Solyc08g067300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKRNLKRKLLDQHLVFPLDELNQDLLERVLSWLPTSTFLRLTSVSKRWKSAANSPVFHHACSQIPSREPWFYMVDSSQSSEIKNQQQFVYDAAEMNWKFLTYPSNFIGEKKLNQSNFLPVAASGGLLCFHNGENGEFLICNPVTSSYRKLPFLDYSDTLSAIGMISTQESYKLFLIFGEISSLSFRVYDSTADSWEDTAILSKKSPTCPAAQFCTTDDDDDDRMLYFLSKCGNVVATEIQKNPCKQYSSITTTTKGDRQEILCFLNSSGKVVACNLTEKHFFEYPRLLPLHHEYSIDLVECGGELLAVVLSEFLETASLRIWKFDEKEWAWNQVLTMPSAISHEFYSKKVDINCTGSSEHMFVCISNSGSSDDESCRYFLCKLAENEWTELPACSGKFSCAFSFQPRIEASV >Solyc01g010250.3.1 pep chromosome:SL3.0:1:4970117:4972308:-1 gene:Solyc01g010250.3 transcript:Solyc01g010250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSGSKEDGREVKIYEYLDELSTDLADYISELSEASVKERGVFAIALSGGSLISLITRKLCEVPYSKTVDWSKWYIFWVDERVVAKNHVDSNYKLAKDVFLSKVPIVPSHVHSINDTVTAEKAAEDYEFVIRQLVRTRVISVSDVSDCPKFDLILLGMGPDGHIASLFPDHSILDEKDEWVTFVTDSPKPPPERITFTLPVINSASNVAVVVTGSSKAEAAHLAIDDVGPDVPSSPAKMVQPNKGKLVWYLDKAAASKLDCTRFSE >Solyc01g102880.2.1 pep chromosome:SL3.0:1:91430185:91441495:-1 gene:Solyc01g102880.2 transcript:Solyc01g102880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRAEKASVSRPRCSYHVYLSYRGQEISMNFINILHTALTDVGIQTFKRHSESRNGKTVGSELQKAVKESRISIVVFTEDYGYSRRCLDELVSILERKQIAGHMILPVFYRVDPSHVRKQRGSFAKAFHNYEEQIMVEKVERRNEHIAKIKIWRSSLTDVANMAGIVLEDGDELKFIQEIVKEIWGKLSRKVLSFAPYPVGIYSRVKEVNFWLQDSSTNSRTLMIYGEPGIGKTTIAKALFNLHCDRFQCSSFLGDIREIAKESCGLIDLQKNLLSDLLKEDKIDLNDIDRGASTIKDFLVHKKFLLVLDDVDDLTQLKAILDSRDWIPPGSKVIITTTNEHLLNPHDACLMYESKRMDNHEALKLFSLHTFGQDHPVKEYMTHSKQIVKHCQGLPLALQVLASSLHGGSIDMWEGAIKKLERYSESHNHKVLELSYEALPDDHDKNVFLDIASFFVGKDKDYTIKVLDECGFHATAEIQNLIDRYLLTVTPDNKLMMHQLLQEMGKEVICRESPIEPGKRSRIWHHKDALSILHEETVTESIEGLVLKMRGSDESKPDRHECISKRPYFNDSQSTSTLTLKKKSSKRLRVGCLSWGHGNSVSARSQTVQNEAGMSAKAFSKMQELRYLELENVQLSGSFEGFPKKLRWMRWYGFQSTSFPNGFPHENLVVLEMSNSNLHQTWAGAKSVRSLKILDLGHSHSLMKTPDFSGLPNLERVILEDCISLVKVHESIGRLHKLLVLNLKGCESLKKLPRKIWEIKSLEELTLCGCSKLELSRIMRNGKFLQALTRDVTNRDQFPSKAEKPICSDSLSAKSVNSIFWSWMSLWPKPAGSMSDIFQITLQSLDISHSNLTDTLIPCDLSVLSSLKYLSLRGNPISTLPESLKRLTMLQSLQLADCTRLQWIPELPLSLQILNARNCRSLNKVTNLPNFMRSLDLHLENCEKLVEVQGVFKLDPIGDIDDILDMSCLDNLEVRAVDVELCNYLSSTKSKGPVQGLYEFGINNIYIPGGKVPTEFSNISTGSSIDFTVPPLPNAKIQGLNICVAYAECLEECFSERHFIKVSNKTKGIKWIYGPTIFGIAGSGKPMLWFSHWRFGNQLERGDQVVVSLGMGCLVKEFGVNLVCNEQSEEEEDAPSLNAEGRLHPSSYPLQHAIGGDLSPYELSSATTEMAILSYFRSALYSCVSSLGELIGQLDLMSSEKPQTSSSFVYPSIYHAFLSFRGEDTRKTFTDTLYAALVGAGWRTFKDDNEIERGENIKTELENAIRNSRSSIIILSKNYATSTWCLDELVKILEHKRTKGHAILPVFYHVDPSEVRDQTKSFAEAFSTYERQIEAESDEGKREWMDKVQKWRAALGEVADLGGVLVNNQEDRKESEFIEEILQLIEDKLNRTISSVAPYLVGISSRVKNIVSWLQDGSHDDNLIAICGMSGIGKTTVAKYVFTTNFRRFEGSSFLANIQDISQQNDGLIRLQKQLLYDLTGKKSKIQDTDEGIIKIRDAICFKRVLVILDDIDQIEQIHAIIGMKNWFCPGSKIIITTKNSCLLKVEEIQKVHKVREMGNDESLELFSWHSFGEDHPADDYMELSKRVVKHCGGLPLALQVLGSSLCGRSIDVWKSALDKLEAIPASQVIKKLKFGYDSLKDDHDKNLFLDIACFFTRKDKDYVIAVLDESYIYTRVGIQNLTDRFLLTIEGNKLIMHQMIRDMGREIVRQESSKKPGRRTRLWHYKDSFNVLREDMGSETIEGLFFDMNMVKEDQSFMGSSSSGRKWLLTEVKSYRFGFSRHTSNFSSKTLNELELGTNLFTVMNKLRLLQINYTHLNGAYKDFPKNLRWLYWRGFPLKCVPNDFPLESLSVLDMRNSCLERLWEGRRVLPLVKILNLSHSHSLFRTPDFSGLPMLEKLVLKECVNLIEVHESIGTLEARLIFLNIKNCKRLKKLPREICKLKVLKTFIISGCSNLVELPRDLWRMQSLEVFLANEIPMCQLPSKRKQNSIWHALIQSWLPKPRKVLDLPWVSLPKSLVNLSLSGCNLSDVAFPRDFSNLMLLQNLDLSKNPISCLPDCVRTLSRLNSLELGSCTMLKLLIDLPRIHNLRLGHCTSLERVTYLSEVCRAVVYHLNGCKALTDMEGNFKLEAMGGIEIEKKSLELSMWDSVGSSEVKLYNNSTNTESRGPVKVLFERGMISIYLPGSKVPNWFCYKSAGATLSFAVPSPPDLKIQGITVCSVYTIDWKVWIAGIEFYLIIHNEQKNVKLIYSPTCYGLPEAQNEMLWFTHLIFLSQLDAGDTLNVSVVTMEGFSIKEMGIHLMHDEQVDMILNSNSHEMQKDYPYQGMTPAKRQGLVDLYCFGHIGPGLDYILPYIP >Solyc11g063480.1.1.1 pep chromosome:SL3.0:11:49912263:49912571:-1 gene:Solyc11g063480.1 transcript:Solyc11g063480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIDLTTAGQNPSLSISMFVKLASFLAFMKPTLTDISSIFIDGTSGESIQLDAAYLDAVLVSRSEGKDQDIGDSESDRTFLRSNDYRSWPDEKGVERILALT >Solyc07g017827.1.1 pep chromosome:SL3.0:7:8174336:8175049:-1 gene:Solyc07g017827.1 transcript:Solyc07g017827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSRRLVCLCGAPPILKISWTNDNSGRRFFGCRHYGSSFRNSCKFFDWYDPEFLTQANIVILGLLKKTNKQEEQLKCKWILKLILVWFGVPCSTDLGYSFCGLV >Solyc07g032660.1.1.1 pep chromosome:SL3.0:7:40821368:40821670:1 gene:Solyc07g032660.1 transcript:Solyc07g032660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIHTPMQFMTEHYFYMMQAWLPSETPVKLHWYRKEELLNLRGNGIGKLEEWDRIYDHAYYNDLGEPKKGSTYVRPILGGSTKYPYPRNIVGYAKLKAIK >Solyc06g019172.1.1.1 pep chromosome:SL3.0:6:18008170:18008556:1 gene:Solyc06g019172.1 transcript:Solyc06g019172.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRTNISYAVQTLSQFMHDPKQSHLEGELHVVRYLKENPGLGILLSSDKDDSLNAFCDFDWASCAVTRKSITGYCVKLGKSLVSWKSKKQETMSMSTTEAEYRSMASAVAEIILLVGLLKEMNMEVKIP >Solyc03g059100.2.1 pep chromosome:SL3.0:3:29858620:29860966:-1 gene:Solyc03g059100.2 transcript:Solyc03g059100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GGSLGFVLTIGVAVVETKRAVNPNETVLTTCGYRGVLSGENSGGDVQVKTKMQTESALSVTGPRPMELSVVLPRGPQGLGPNGKPRTSSLESPIMLLSGHQSAVYTMKFNPAGTIIASGSHDKEIFLWNVRGDCKNFMVLKGHKNAVLDVQWTTDGSTIVSASPDKTVRAWDVETGKQIKKMAEHSSFVNSCCPARRGPPLIVSGSDDGTAKLWDMRQRGAIQTFPDKFQITAVSFSDASDKICTGGIDNDVKLWDLRRCEVTMTLQGHQDTITGMQLSPDGSYLLTNAMDCTLRIWDMRPYAPQNRCVKILEGHQHNFEKNLLKCSWSPDGSKVTGGSSDRMVYVWDTTSRRILYKLPGHTGCVNECVFHPNEPIVGSCSSDKHMYLGEI >Solyc01g010135.1.1 pep chromosome:SL3.0:1:4805181:4809815:1 gene:Solyc01g010135.1 transcript:Solyc01g010135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMGSKNDTQGQKRNKDATNFQSPNISLDWQLSGSNLTNASMGMIPNSNPLVDSVFPTIWDRPPNSSHLGFYGNNNANAQISPCIMNQHETAAIGSVPTRGSMSWNPLNSMLKGAMFVPPIPGMIPQSLAQLPADLGFIERAARFSCFSGGNFNDMMNRPLSVPESTKPCYRGPAPTWRTEEVLASSGLNSPSAVDPWKQNIRSGVDGSKDVSLPHENKTHEQSPLKIEKKNEIFARSRDEGKESVGLSGNESDEAECSGRQEEMGSAGLESSPKSLGSRKRKKYSQGTEHDRMKRVQQLPAEPDKELIETQKGDGRLHSPSSKHGGKNSKQRSQSSDPPKEDYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCDKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSTVNPRLDFNLDGVLTKDSQAGPSSALAFSPDNMTMTYASLHGWQSGLLQSGLPGDGNYIDAFRRSNTQLSSMSGGYRDPSSQVPSVWDDQLHNVVDMGFTSTAPLDCQDLSSLPPDQMKTEP >Solyc11g007550.1.1.1 pep chromosome:SL3.0:11:1800831:1802234:1 gene:Solyc11g007550.1 transcript:Solyc11g007550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALDLTAFKTIVPSRYITFTIPNPLLHLRHFNASQLRVAVLDSPAPSEPVQIAAMLVPIGREADWYFSTEQGHLQLILNFPQLSRLVLVGNLPNSTNPISYNPLLRTDGVVGVADLAVVEENLMPLLIELIPRSAFCRTGDGLCEIPFLRYEDEVVRSLVLDRCVGEFVGEILIEDVELESEDRGVREFRRRLRFKRMPNLVQTQIKIQPKNLDFVNMEEVEFEIVDDGVLVHPYLTAMVAGLSVIRSFLDAKIGNGIKPKALCLGVGGGALLGFLSSQLGFQVLGIEADNVVLEVARRYFGLERGNSIRLCVGEALDMIGKFATQAESDGFRGYVLKNGELLNDFDCKFDVIMVDLDATDAYMGMSAPPSAFFQKNALFAIRTLLSKDSVVIMNVIPSDKTSYKLVIAEFKEVFAELYEIDVGNEDNFVLIASASEIEHVPVHRQSKFLKKLKQVSGCFLDSIRLI >Solyc07g042190.3.1 pep chromosome:SL3.0:7:55338542:55339977:-1 gene:Solyc07g042190.3 transcript:Solyc07g042190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGNRSKPVIGKLTGAAFSGSRTMDGATSPRSPLDFKFPSPRGLKSFDFGGVGLAIVAALEKSGGKNGEIPANKAVYNRNSNRSLPIPVISPSKNSARFRPEIEETEMDSFEEYTIVTCRAPGNKPYTKVYGDRSKRENRKCNRPSVFNISPANIGIFPAEQNSDFLSSCNLCQKKLHGKDIYMYRGEKAFCSTECRYRQIMMDEQKEKCSSTSVDVATPPYGNGQIFSTGILAI >Solyc06g064710.1.1.1 pep chromosome:SL3.0:6:40448634:40449008:1 gene:Solyc06g064710.1 transcript:Solyc06g064710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKYYKIEEASKSLITGGLLSWKSENSCLDVTSVEFPALKYLELHFVRMEEWKASEESFHVLEELSIRSDYFYKEIPSSFADISTLRLIKLFDYTDSLRVSAMNIKKEIEENTGCDSLQVLLLS >Solyc03g115590.3.1 pep chromosome:SL3.0:3:66724743:66728720:-1 gene:Solyc03g115590.3 transcript:Solyc03g115590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGVSLLKHEAIFRYQVNELHRVHRRQREVMEEIRRRKLVEDHLHLQALESKSFVSQLRSEISQKSNCQMVLDLTSIEPSTLCGETFQGSSNSIAGQRVPSSSDLLAEQNVTKERKISSSKSGASRKRMLDLELPAEEYMDIEDGEQFVRESFVQGPNIVISELQPQDSSKVNFANPGDSSISNSSPRGSFLLFDLNEPIQLDETEYPNYALESVNIHEGISNMDQDLSGTVHAECSTLKKEATGGDISNMNSSDEVSSVEMTLPQCNQTASSSPGFVDKSNNGTRTDKSLLVSSRKKMEIPFAVQSSLTGKKSDLYNSSGDNESTSGKPDAVKLSNISDSMSLMKGMDLNCAPSADLSDNQFTTPTSNISHLNLPEGVERKICGTVLLDCILSPDSAVESGKSSRDSHFVGPGSDIKFLTTNSCINMSCIKDETFSSGHSEATMTPVDGNLEAPIGPENKESSPPRGDSLDKTIGKSVQWSKIDHMNDHAGKTDRASKIIATSLASNHEKAIGEFTNLHCHRSTLISDRSNCNTMHSRTRRSQPRRANYRRVLQTEIHPAGASVYLHQFNGGLESPATAASESGPSRKTPRRACSRSRRWSPIDKQGISEGDWKGWGLTKERQNSRRPRSISSFNYMVSVLGIVCTGSNPNSYITVNVLIDVKINLLGSDSNEMGDADFSLQPYAFFFSSIQIRQKLRILLPSASFLLSILEILIDL >Solyc02g064740.3.1 pep chromosome:SL3.0:2:36409474:36415248:1 gene:Solyc02g064740.3 transcript:Solyc02g064740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLLLHKCHPRFITNRWLTIGAESIRSEFAGPNAYELLGVSETSSFDEIKNSFRKLAKETHPDLAHSTHNSSTSKRFIEILAAYEILSDSERRAHYDEYLSFRKALVHMHSRQGSKMCMYESYSTAIKEMEVVEWLKWYRQTINDILAEKRVVSGSGYLEALERDFYSALQLAFYGPEIESDLLPEFFEAEERSVYETAEVLHLVYGRDLFGMVCLAKNVPELSHASMERLTSLEADLFHSLENIPIKMHSETAAAGSDQKQLRSSKYYTSDAYRDLELHVGGRLVAMATRVPPRSRYNGIQNEGIDDCIHVYLSSHDVPKSNLMDFGWKSVIPLGMIKGLGTSPEEGSCDVYDNNGVKTHEIMKHRTLLVRHMHWYQLGDEVLTCECRCSKAKLPPSKFWLFEPRCGMHDIGGWYVETFGRDKKGRNVPSQRYWDGLEANDHFEKRLHPAMYLLGLAYRTLDIEHSRRNKQRMKDLMELNLSRIFSWCKRLVR >Solyc01g094480.3.1 pep chromosome:SL3.0:1:85814950:85822978:1 gene:Solyc01g094480.3 transcript:Solyc01g094480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGPTMESEFPVEPQSLKKLSFKSLKRSLDLFSPIHGHFPLPDPESKKVRTSYKLNVEYGGIKGSAAEPNAKAGVQHQAQPASAPSNTLALPGPENTRDEKTGNELALGPSIPGNGPTDVRFSGKSNAVVPSHGSSERIFFLKPIICSAYAMNSLLLIPSRNLSTAAIMERIPSRWPRPIWRAPWKNYRVISGHLGWVRSVAVDPSNTWFCTGSADRTIKIWDLASGRLKLTLTGHIEQVRGLAVSNKHTYMFSAGDDKLVKCWDLEQNKVIRSYHGHLSGVYCLALHPTIDILFTGGRDSVCRVWDIRSKMQIHALSGHDNTVCSVFTRPMDPQVITGSHDSTIKFWDLRYGKTMATLTHHKKSVRAMAQHPTEDCFASASADNIKKFSLPKGEFMHNMLSQQKTIVNAMAINNDGVMVTGGDNGSMWFWDWKSGHNFQQSQTIVQPGSLDSEAGIYALTYDVTGSRLISCEADKTIKMWKEDETATPETHPLHFKPPKDIRRF >Solyc09g065390.1.1.1 pep chromosome:SL3.0:9:63739543:63740097:-1 gene:Solyc09g065390.1 transcript:Solyc09g065390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMVMLRNNSRRAVSSKQSPNPMADKNSSSSPKFFNGFLTRTLSDVFDSEISPKSILDTKQIFNLGNPFGYDRNSSYKKGSSTLEGIKLALLDPIENEENINKMVVFGTELKDFGIKTKDSKLLGIGNVKEDKDSSKGGLSLREMESLEDYTCVITHGPNPKKTHIFDNCVVQSCSVLENSPDR >Solyc09g059470.3.1 pep chromosome:SL3.0:9:54765412:54767973:-1 gene:Solyc09g059470.3 transcript:Solyc09g059470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPFYCRFFITSSILDLTIVGLDVMDGDTNAHLQQPHYLKTCSKPNLELGNVIYLLGYSEEKELTVGEGKVIIATDNLIKLSTDGISWRPGSAGFDAHGNLAFMVCDPMKLATSPNSKSSTTSASSSSSRKKECPMQFGIPIPIICDWLNQHWEGNLDDLNKPKLPIIRLMSAGQKSDHSCASFTMRRVFKSTEAENGGTPSSSNRLSKPREQSGPSCSAVATNMEGEALITDPHAVSSVHGIPTPEIYESPNLTSVPVKMKEGTHIQLLDINFPPRVAKFSGSPQPARRIRSSFGENFVNKPPLEHPVRKGTIWQSNPVADAEIASTGSVNGIQSEVQSSSSSIRILEMENGYSSDGEITMYSAETAESRNFPSPKEGRRFQQVGRSQSCVNHNRWGTAKQNVGARRAMLQQQQRSSMQVRKVYSQGANSQRSSDYFNPTVSSIMKKRNNLEPQTRPRQSAANSSPKWNF >Solyc02g094757.1.1 pep chromosome:SL3.0:2:37007316:37009059:1 gene:Solyc02g094757.1 transcript:Solyc02g094757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFPFAPTPTNQQPTQHTPTVPRTADFPVTATPTAQRTTDSLVTVSTMSPNPSTVTINQQTNNMPQQPDSTASTEPATTPPPVDEDADDILVTGNNLNLCASFKKYLHNCFQLKDLGPLKYFLGIECARSSTGLVLCQRKYALEILQEAGLTDCKPASTPLSPGHGLATSTSAPIRDPSKYRCLQYLVLPPKLSTGPWLTLATRFGGFNTSSDVLE >Solyc05g018500.3.1 pep chromosome:SL3.0:5:22064175:22083609:1 gene:Solyc05g018500.3 transcript:Solyc05g018500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVENSSNRSEEDNLGGGGNDFLGKSVLEMDGGSRITPPSCHQELTLSYLCENSKQLGFVSYKGKEVMEESNKRWVERDFLQINESSSSKGVNGNGNGNSSRHEEAEKIETPLNLSLALPDVSLSLAGSNRFDLQPSSHNNTHSASLSCSYSHPFSHNMSCSLTRNSTDFSVDHIWNCGEGTNGSVHSRFRPIPINQALPKETSDNISFFPSELPARPPIDDSQSPVKISRPERILREIVSESIPLMAQIMQELPHETVESTKEYLRNLIERKDDHLVVLQNRLNRRCDLTNETLTKCHKTQLEFFVAIKMGVGSFLSCKKVIPTSELVEIFLLERCRNIKCMRALPVEDCECKICSTKKGFCSECMCPICLNFDCASNTCSWVGCDACSHWCHAVCGIQKNLIKPGPTEMQFYCIGCGHASEMFGFVKDVFTSCAKDWGKETLVKELDCVQKIFQRSQDFKGKELHLKADELRIKLQKNMISPQDVCNFIIHFFNYTEGLTEFPDKDFSGRKIDVVSLPASTSVAPKSSPYNISSSSGRKEVIFDEHHQQKDVKASFMAGKIVEDEWSVKRLKKDELASLESIVRIKEAEARMFQNRADDARREAESFRRVARMKSEKLDDEYSEKLARLCLQETEERRRKKLEELKVLENSHCDYYKMKMRMESDITGLLKRMEATKQLWV >Solyc09g084465.1.1 pep chromosome:SL3.0:9:69557929:69567595:1 gene:Solyc09g084465.1 transcript:Solyc09g084465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKFAHIIVFFLLATSFETLMARKEIDGPEVIELLKEFDSNLMCEGKQMWPELIGVPTKLAKEIIEKENPSITNIPILLSGSPITLDYLCDRVPFETLMARKESDGPEVIKLLKEFESESWCKGKQFWPELIGVPTKLAKEIIGKENPSINEFPIVLNGSPVPADFRCTRVRLIDNILGKLIWPELIGVPTKLAKKIIEKENPFINDVPIVLSGTPVPLDYRCNRVRLFDNILGDVVVIPVVS >Solyc11g044510.2.1.1 pep chromosome:SL3.0:11:32781467:32783606:-1 gene:Solyc11g044510.2 transcript:Solyc11g044510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAAIYSCVPLMKDHWPIKKALTLSDVDITHPFLTLSRQQVENHIVLHMTTEQQEHLRTQGQIDFNARDDDTGKMYVMKLKWRGSYYNLIGKWGRVVRGKGLDVGQEIKIRWENGCFYFSVPKQQIVAVPPIRMVAAPVVQDHWPIKKILTLSDVDTNHPFLPLPRRLVEDHILVHWTPQQQELLRKEEHVNVNARDYDTGEGYIMKFKWRGNFYNLIGKWGAIIRQKGLGIGKEIRLRWENDCLFFSVPQERYVATASAMDNWPIKKALTLSDVDTNHPFLTLPGKAVEDHILFYWSQQAREQLRNEHQMNINARDDHTGDTYLMKLRWRGSYYNLIGKWGKIIRGKKLQVGMEIRLHWDNGCLFFSVPQL >Solyc07g054380.2.1 pep chromosome:SL3.0:7:62845096:62846941:-1 gene:Solyc07g054380.2 transcript:Solyc07g054380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGNNSNEACDTRLTLGLATSDHHKKKITCLDLSIIPLHDTSKIDGSSSKTCKNYTKFNSESKKIGMRKKLRLTKEQSTLLEDSFKQHTTLAMGQKQELAAKLKLKPRQVEVWFQNRRARTKLKQTEVDCEFMKKWCQSLNDENNRLKKELQELRSIKIDQRAPTPTPFHLSKTRTLALCSSCQKISGGDTDEKHETGLDDGLSKRAN >Solyc01g104910.3.1.1 pep chromosome:SL3.0:1:93119035:93123953:-1 gene:Solyc01g104910.3 transcript:Solyc01g104910.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITSIDPNKNKMYRVPDVDLTRSDTTLRLDCFGYGGNECVRFGGSETNSGSHVMQQNAIDDGCKLVLGLGPTPTICSNDYYPGGSNKNKGFTALLNQGQFSESDSILKLGLSGSTGEISNALDFSAITQSTAGAPHHIDQLSSDGKRPAVPILDEGSTSAKKSGGYMPSLLLAPRIENSQLSFQNKEVSELGAKSHFHLPELSSEPSCISDYSMSNLSEPTTMATSSRKMTNPKRCKFPGCCKGARGATGLCIGHGGGQRCQKPGCNKGSESRTAYCKAHGGGKRCEHLGCTKSAEGKTDYCIAHGGGRRCGFPQGCTRAARGKSGLCIKHGGGKRCNVEGCTRSAEGKVGLCISHGGGRRCQFPSCSKGAQGSTLFCKAHGGGKRCIFAGCTKGAEGSTPLCKAHGGGKRCLFDGGGICPKSVHGGTNFCVAHGGGKRCSVPGCTKSARGRTDCCVRHGGGKRCKFENCEKSAQGSTDFCKAHGGGKRCSWGEGKCEKFARGRGGLCAAHSSLLHGRNTNKGGMIGPGLFHGLVPAASPIKSTFENNRSSSMVSMVSDSVHSLNKPAERQLLIPPQVLVPLSMKASLTCSEKLDDRSINLGIGRSNTNSNFEFVVPEGRVHGGGLMTLLGGNLKNAIM >Solyc12g021267.1.1 pep chromosome:SL3.0:12:15116327:15116666:-1 gene:Solyc12g021267.1 transcript:Solyc12g021267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYLFAVFGESIMAENVITDKVVGESGDSNSNAIDQSQIVESQGGKKDLVRGIIFSRKTDSDGNEKGVCNYCKKEYFLLIQKNMVRRQCLLIYPNALRCLITLILDNQD >Solyc12g005990.2.1 pep chromosome:SL3.0:12:608078:613661:1 gene:Solyc12g005990.2 transcript:Solyc12g005990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKRERENPCGVCGHYHKYEEGEVCGICGHRMPDVSEKGSSVHVSAFPSEILPEFLFLGSYDNASRAELLKTIGISRILNIVPACQNLYKNSFTYHCLQDEQNLAFDDAIQFLEQCEKDRARVLVHCMLGKNRSAAIVIAYLMKSKGWNLAQSLQWTKERRPSVDLNQEVYQQLQDYEQKIFMSLQSKPSPVMLAFSSPMTSFNFGFPKTGDHIPAPAFNNTSAPSVFSPPAFEVPPQGFTFGASHNQHAGSETPLNANPNPNGSDIAMDL >Solyc04g058000.2.1 pep chromosome:SL3.0:4:55085492:55087876:1 gene:Solyc04g058000.2 transcript:Solyc04g058000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFQNYQAMNANSSLVLILFLAVQYTASTNIFHFVIEGTSFERLCRSKKILTVNGRFPGPTIYALAGETLSLDVENRGKDNVTMFWRVGRHVKSDQVEWLVEAGATVRKNITISDDDEGTLWWHAMNIWQRATVHGAFIVHPEPDDHVDIPIILGEWWRKDVKEVFVDYIDSGRDIKSDAFTINGQPGDLYPCSKNGTFRIVVDIGKKYLLRVVNTAIRDDLYFGIARHNLTVIARDGRPITKQFITDYVELTSQHSMDCIFEANQQPDYYYYAVAVKNKSEAYDPNKITTAIIEYQGSYIPSLVPLLPKLPRNYDKSSSRDYLYVIMTFVILGSFVVWYRQTNRAHRSATVTPM >Solyc03g093540.1.1.1 pep chromosome:SL3.0:3:56335555:56336241:-1 gene:Solyc03g093540.1 transcript:Solyc03g093540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSQEIYTSLDSIREHLLDDDVTFMEYYCSKSCFSFQTSNLDHTSKTEYDGFFEFEAKPHVISSNSPKQSNLRERKPSLNIAIPAKPVVVVENVESEKKHYRGVRQRPWGKFAAEIRDPNRKGTRVWLGTFDTAVDAAKAYDRAAFKLRGSKAILNFPLEVANFKQQNDETKTEMMSSGSKRGRGETEELVIKKEDERVVPMASPLTPSNWSTIWESGDGKGIFDLL >Solyc04g049735.1.1 pep chromosome:SL3.0:4:43225458:43226081:1 gene:Solyc04g049735.1 transcript:Solyc04g049735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQDEMMRICVDYRALNKATGKNKYPVSLVQDLMDRLSKACWFTKLDLGSGYWQVTIEEGDEPKTTKNKVRMDPKKVQAIVNWQEPRNVKDHFLSWLTFTRNSLLVIQKGQRL >Solyc03g078120.3.1 pep chromosome:SL3.0:3:51492826:51519753:-1 gene:Solyc03g078120.3 transcript:Solyc03g078120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKLPPGFRFHPTDVELIMYYLKRKIMVKKILFEVISELNIYKFSPSDLPDKCCYKSKDLEWYFFCPSERKYASGFKMNRTTDIGFWKITGRDRRVLYDEKFVGSVKTLVFHQGKAPRVQRTDWVIHEYRFEDKDTADAGFSLDAYVLCKVFKKSGPGPKNGAQYGAPFKEEDWEDNETPAEHNQSSIPSLPLPDNETRSIVTQPYIHEMPVEPNSYGATSVPAMPDNQSCSVITTMVDPGNKYLWHLTEPGPSSAELYSKKMPQEEDDYMIQLFDNFIEDPAILFAGNEDNLGTNDMNVEVASFITGNVMHHNLGNLDNCVELDQTTFDLSVFQGTDYSHDSIFFQDVPYLELNDLKIPLSHSAEAIETAPVMMGNFCVPHSSDVDLRQFCFGSNSSARSQQVAGQNQLHVLPEYHNQQVIGSFW >Solyc05g012110.3.1 pep chromosome:SL3.0:5:5316485:5320257:-1 gene:Solyc05g012110.3 transcript:Solyc05g012110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSGANKDGREVRIYEYLDELNTDLADYIAELSEASVKERGVFAIALSGGSLISLMGKLCEAPYSKTVDWAKWYIFWVDERVVAKNHADSNYKLAKDGLLSKVPIVPSHVHSINDTVSAEKAAEDYEFVIRQLVRTRVISVSDISDCPKFDLILLGMGPDGHVASLFPNHSILDEKEEWVTFLTDSPKPPPERITFTLPVINSASNVAIVVTGSSKAEAVHSAIDEVGPDCPTLPAKMVQPTKGNLVWFLDKAAASKLDGAKFSE >Solyc05g043380.1.1.1 pep chromosome:SL3.0:5:57118043:57118210:-1 gene:Solyc05g043380.1 transcript:Solyc05g043380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSILSPLTEVISYLHLHSYFLQIQSIFNIFFNFIEVCFSGCSGFENNFERGTKS >Solyc06g036233.1.1 pep chromosome:SL3.0:6:25804137:25804569:1 gene:Solyc06g036233.1 transcript:Solyc06g036233.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLINKFQSFHIGQKLGDELGVPFHKSQSHPAALTTQRYRVSKKELLKGCSAREFLLMKRNTFICIFKILLNANLAVNKIFCSTFQLIIMAFIITTLILRTELHKNKARDAGVYLGALLLQ >Solyc10g009390.3.1 pep chromosome:SL3.0:10:3441391:3444733:1 gene:Solyc10g009390.3 transcript:Solyc10g009390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDLTSFVIVLLCTYLLNLINYSIFLFGAYLISKLLHFSFVDKSKREINRLPPGPKQWPIVGNLFQLGQLPHRDMASFCEKYGPLVYLRLGNVDAITTNDPEIIREILVQQDDVFASRPRTLAAIHLAYGCGDVALAPLGPKWKRMRRICMEHLLTTKRLESFAKHRADEAQSLVKDIWTKAQKGQIVDLREVLGGFSMNNVTRMLLGKQYFGAESAGPQEAMEFMHVTHELFWLLGVIYLGDYLPFWRWIDPHGCEKKMRDVEKRIDDFHRRIIEEHRKKKGSKNNNNNNIDDDEMDFVDVLLSLPGEDEGDGNGKQHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIRHPHVLKKIQEELDIVVGSGRMVTESDLINLKYLRCVVRETFRMHPAGPFLIPHESIRDTKINGYYIPAKTRVFINTHGLGRNTKIWDNIDEFRPERHLPPNGDEKNMILTSSSSSSNRVEISHGPDFKILPFSAGKRKCPGAPLGVKLVLMALARLFHCYDWNPPNGVKHQDIDTNEVYGMTMPKAKPLMAIAKPRLPPHLYQ >Solyc03g007920.3.1 pep chromosome:SL3.0:3:2427842:2432724:1 gene:Solyc03g007920.3 transcript:Solyc03g007920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSASTTHLDEKMSLLIRRFVVVGLIVLLSFAVLSEAEASYGAYKVEVINEFPHDPEAYTEGLLYAENDTLFESTGLYGRSSVRKVALLNGKVETVHDMQASDFGEGLALLGESLFQLTWLQDTGFIYDRYNFSKFKKFTHHMQDGWGLATDGKVLFGSDGTSTLYKIDPKTMKVIRKQVVKSQGHEVHYLNELEYVKEEVWSNVYATDCIARISPKDGTVIGWILLQSLREDLKSRGYKYIEVLNGIAWDRDGDRIFVTGKLWPKIFEIKLLPLPPSAPLIREIKNLCMPTPFYLGNLES >Solyc10g049435.1.1 pep chromosome:SL3.0:10:45811605:45814058:-1 gene:Solyc10g049435.1 transcript:Solyc10g049435.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPAQQILGMKIVRERTKRKLWLSQEKYIERVLERFNMKSTRPVSTPLASHLKLSKQMCPTTKEEKEGMAKVPYSSAVGSLMYAMVCTRPDIAHAVCVVSRFLENTGKEHWEAVKWILRYLRGTTRDCLYFKGSDPILKGYTDADMAGGAISWQSKLQKCVALSTTEAEYIAATEAGKKMVWLKRFLQELGLHQIDTKLFFTFTMVISDTSYGNIMALLIAVAIVFCCQSQLTAETPNVVVSQYGTVDFTTIAGAIRAAPNKSVQPFYIKIKQGIYREYIRVYKEKTNIVLIGEGMGTTIITGNRSFNKGNKIDDTAIVGKSLYGFLEVASQPKTSPLGMMLDPESIKQWN >Solyc11g011900.1.1.1 pep chromosome:SL3.0:11:4839372:4840226:1 gene:Solyc11g011900.1 transcript:Solyc11g011900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVFIQPTKGRSFAIEVGYFDTILEIKDKIQRHQGIPIPKQTLIFKGNVLDDHLNVHYSDILDRSHIQLVVESEPDKNNAVKAEQPSPSSLTRKIQLLFKMPISKLGVVLEVDDTDRVRRVKERIHDMEGVHVSKLVMHANGIEMMDHQTLQEYGLINNSEINVSIKPSTIMVSSPPPPPTTTTTKLTTSSGSPMNNNGNGLKKLRIMVLSKCGTNKIPIEVNPSDNVGHLKKELQKLELELPQEGYFFIYKQNVMDDDRSFRWHHVCQGDTIEIFNGSVTGGV >Solyc05g008890.3.1 pep chromosome:SL3.0:5:3102904:3105062:-1 gene:Solyc05g008890.3 transcript:Solyc05g008890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4BX63] MPIYSSDSDDPHPSHSAPTRRFLAHNNRPMHAILGGGKVADILLWRDKTITGSILIGFTLIWSLFEVMEYNIVTLLCHISMSIMLILFIWSMGAGFVDWSPPDLRAFMISDSTFRWLCRKFNSVLVKFYEISSGKDFRNFFLAITFLWVLSVIGSYFSSLNLLYLGFVCLATLPALYERYHNEVDYIVSQGNQDMKKLYNKFDNEVLNKIPRGPVKQKKKF >Solyc12g088770.2.1 pep chromosome:SL3.0:12:64998912:64999649:1 gene:Solyc12g088770.2 transcript:Solyc12g088770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFQDGDRVLEYIKDSPSPKARLEFQVTHLRAKPAPKVASYSSRGPSQSCPFTLKPDLIAPGALILASWPQKLPVTKINSRDNNAATPLAIGAGHINPNKALDPGLIYDVTPQDYIKISSVYSKLTPMDEYKVSVAPHKLVFKEKYEKQCYKLRIECPLLVDNNNLAYGSLSWVETSGKHIVKSPIVATTIRLDPL >Solyc03g082700.3.1 pep chromosome:SL3.0:3:54045262:54053932:-1 gene:Solyc03g082700.3 transcript:Solyc03g082700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAYWRVTDGRIHPVSLPPVPSKRPRTENDVPSGPEMLGSHSHNDVQGMHHAIRETDPIETSYEQYLRSGQTSSYAGGDSANSMSNGVGSHSIDGPRVMGTVGSEPVAARSRTIGFGGRAIGKEEVWESWTVVRLLRPWVMMGNDGYKIKNYLAFKSNCLFDHVVKISDLFPIVDFASKPRADVAKEIFVAGICTNKVSMTEIQVDEMNFSKEETNNDDEECPIKEVEMTVPKTDDPTIPVLTFRMWLLGITSCILLSFVNQFFWYRTQPLTISSTAVQIAVVPIGHLMAKIITKRVFFEGTSWAFTLNPGRFNIKEHVLITIFANAGAGTVYATHILSAVKLYYKRPLDFFPALLIMVTTQMLGFGWAGIFRKLLVEPAEMWWPGSLVQVSLFRALHEKENRAKGGTTRIQFFLIALVFSFAYYVFPGYLFQMLTSFSWLCWFAPKSIFVQQLGSGMHGLGIGAIGFDWTTISSYLGSPLASPWFATANIGVGFVLVMYFMTPLTYWYNVYNAKTFPIFSSNLYTLNGTKYNTEGVIDPHFQLDYAAYNRYGQLHLSTFFAMTYGLGFAALGAIFVHIFLFHGSEVWNGFREVFDQRKKLDVHTRLMKNYKQVPMWWFTIVLVVNMALILFICQHYLETLQLTWWGVLLAFVIAFGFTLPIGIIVATTNQQPGLNIITEYVWGYMYPGFPVANMLFKVYGYISMAQALTFVYDFKLGHYMKIPPRAMFMAQMLGTFISIIIYTITAWWLMGSVPNLCDISVLPANSPWQCPQDRVFYDASVIWGLIGPKRIFGSLGVYSNVNWFFLGGAISPILVWLAQKIFPKQKWISLIHMPVLLGSTAMMPPASSVNYTSWLIVAFLSGYVVYRRWPHLWERYNYVLSGGLDAGTAFIAVLLFITIQSKDINIDWWGNNIDGCPLAACPTAKGVPAKGCPAIM >Solyc06g043270.1.1.1 pep chromosome:SL3.0:6:28963789:28964070:1 gene:Solyc06g043270.1 transcript:Solyc06g043270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIILRSFDGETFEVDEAVGLESQPIKHVIDDDYANIIIPLPNVTSKNLAKVIKCCKCRMEDSKAEDKNAKEDLKTLNVEFVKVDQSTLSNLMC >Solyc08g077750.3.1 pep chromosome:SL3.0:8:61764174:61771913:-1 gene:Solyc08g077750.3 transcript:Solyc08g077750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HLNLLRKDRNRKTANQPPTPPLYHQSTASTMEAKMTNRLKRLFGEEEDDEDEQQEEENYSNHQDEEEQRQRQDSNQSSPSSASQSSSSTSSGAAGAAASSSSSSGSSSRSSSSNRSRSSGSGSGSGSEREADEDDTGEVISHSNNDYNNNNSSGYHQNEEDDDDYKDLFGSDNEDYAKTLSKSRFPVPVLPPIRNNNHQPRGGFGRGRWQNDRGAGILPRPGPYPPRQNCGYGSKFFNGHRDERFVSELKFAKSEETLARKCIAFQEPCELACFSRVEGGDVYFDDRSLRLFKRLITEDVGADLNQGFDTFTEKKDLGSQGFGDLLASIRNKNIPLDRMHFVTYRNNLNKIMATAYLRNEPWEMGVHKRKGVVYLDVHKLPERPQSVLDRRRCYWGYCFESLATEDPRRSDGEGIHHVDANVEFCSVVKTKLGAHRVLMGAEMDCCDSTDDGRRFYVELKTSRELEYHTEEKYEREKLLKFWIQSFLAGVPYIVVGFRDDAGRLVRTERLRTKDITHRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFTPHSARLELLQAQSCPDAITEHVQLLNSS >Solyc12g013790.2.1 pep chromosome:SL3.0:12:4588239:4591799:-1 gene:Solyc12g013790.2 transcript:Solyc12g013790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKERVKASEDENGATPSKRQHKSKSKREDESESEPEREISTLVIAYCRNKRPEFTAWLAEIKKVNLESLPNWEEKQLFKQFMEDHNTATFPSKKYYNLDAYYRRKMEKESRRGVKKVVDDERTVFDDEEQRRQELMREREKHKQEQVETLKREMQSGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDLPM >Solyc02g069455.1.1 pep chromosome:SL3.0:2:39905795:39909292:1 gene:Solyc02g069455.1 transcript:Solyc02g069455.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLDIRINEISIQILSVSSNRPDEASPDEPISQRNIDDDLFHCWFLFISYCNQVTPSYPSNPSSNVQEEDPWLALIASAGALSANCFESLQGVRPEMSAEAGRTGADNRIEDKASAAENAFNDEELSSVELETGVWFEEHTMDEVLCFVKTEEDWSVESERIIIPRVSNKRNLNKGNQKLDIRVNKVSVQVLSVSSNRPDEASPHESISQWNIDDDLLHCWFLFISYGNQVTPSYPSNPSSNVQEEDPWSDELTPVPLVTTHYQMRKILKANLRLVFSIVALIASAGALSANCFESLQGVSPEMSAEAGRTGADKRIEDKASAAENAFNEEELSSVELETGVWFEQHTMAEVLCFVGEDKELKRELRWSDFIG >Solyc03g063525.1.1 pep chromosome:SL3.0:3:36873260:36874071:1 gene:Solyc03g063525.1 transcript:Solyc03g063525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYKLLLYLIIVKSEKIFVISSFLVEIKRTIFQEIARSKDFRTKKVFVGGIPTVMDEGETSYIFFKIYYKYHVFYTIILYEFKGLFSKYGKVTDFDIIQDHVSKIGMLGNLVSFIQ >Solyc09g090120.3.1 pep chromosome:SL3.0:9:70128736:70134019:1 gene:Solyc09g090120.3 transcript:Solyc09g090120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELHGHRGSNPKVVLASLLNKREKLQEELRNVEKQVYELETSYLQESGTFGNALKGFEGFLSTSNKNSNLKRSRKFQLEDRLFSLSSVTSPAAEELGLGREDGRPDPTQGRMRGGAFANNGQGKPKKGRTGPRDGKKFRISNDLDLDDEDDPDSILR >Solyc01g095280.3.1 pep chromosome:SL3.0:1:86472193:86476588:1 gene:Solyc01g095280.3 transcript:Solyc01g095280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVEQLKFGNSPAMTFDEVSMERSKSFVKALQELKNLRPQLYSAAEYCEKSYLQNEQKQMVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTLDVTTLELKVTCLDQRLLTCKTYTEKEGLRQQQLLAIIPRHHKHYILPNSAGKKVHFSPQVKMDSRQHLQARPRLYPSGTPAAKTLSWHLATETKSTLKGNSRTFMSPEEAKVSEKASAAFNLLDEENTRKKSYVARPPSPNVGPASSLAMQTLGVTRQGTLEGSKPLTPFRSFDNPRRDIIRAPNRSKSMLSAFFVKHKTTKLKTSAVS >Solyc04g011520.3.1 pep chromosome:SL3.0:4:3956709:3960530:1 gene:Solyc04g011520.3 transcript:Solyc04g011520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCLSVRIKAESPLHHGASDGRELSSRHSYSSAPLTPRSQSEILESSNLKSFSFNELRVATRNFRPDSVLGEGGFGCVFKGWIDENTFKAARPGTGLVIAVKRLNQEGFQGHKEWLAEITYLSQLSHPNLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRSTYFQPLSWNLRMKVALEAAKGLTYLHSPEAKVIYRDFKSSNILLDANYNAKLSDFGLAKDGPIDGKSHVSTRVMGTFGYAAPEYMATGHITTRSDVYSFGVVLLEMLTGRRVMDKNRPHGEHNLIEWAKPFLTSKRKVLHIMDHRIEGQYSVEGALRAALLAVKCLALEPKFRPKMPEVVKALEQLQNLNESGSLKRETTQRKHHRTSTDEASGRKTTSYPRPAASPLVT >Solyc12g013890.2.1 pep chromosome:SL3.0:12:4682041:4693761:1 gene:Solyc12g013890.2 transcript:Solyc12g013890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRGTDRLLKNLKQFTDLQYKYFSSRYGQQLIDIFEFPIKLVLSPITLAYDIAGSAPRGFGVPEFISKLSYSTIFVVATLGTYDIAMELGKKVISQRDCRTCCGWQALQCTMCKGSGKVHYQVKNYTLKRGEKATAESIADAIADNRAELVHLPSTVDLHLPLPSKECPSCDGSGVMKCPECKDKLQIKISADDIMEPPWKAYNIMRKMDYPYEHIVDSMKDPSIAAFWLLTLPQIVGGFEYDDDVKKKIWWQYKESMRYDQLRDVVAKRKPGWEYLQEALVSIDPARAREDPIIVKNVPYFKAKKTLEAEVMKLDPPPRPQNWGELNLPLNASSWSEKDLKDPKKLYEMTVLLNAQREISEKMLDAQWEAKWREEKLNKMLEEKVRPYIQNIDNTVLPQPIVMESERNQDQKRKHGRRRWWLF >Solyc11g066360.2.1 pep chromosome:SL3.0:11:52414012:52417124:1 gene:Solyc11g066360.2 transcript:Solyc11g066360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQRNTLLNWTYFCQGKGMDELSQTLLLTTMELENTRLKAQEELKIREDEINQLKDLLNRTINEKNEAQEKCQKLILEKLMFQQQQQQQHHLVFQQTGPLSGVSSIEDEPILNRSFSSSDCDESIVSSPQEKDQQQQQDLPILIDKPLPENGKFLQAVMQAGPLLQTLLLAGPLPQWRHPPPPMDTYEIPPPPVVIPCQDPIFNVFNNCGRLNKKRGSGVLFDDSNIGNKYQRVVL >Solyc06g009863.1.1 pep chromosome:SL3.0:6:4063806:4064116:1 gene:Solyc06g009863.1 transcript:Solyc06g009863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEDDCVSNVIPLPNVHSVSKDMFIDFDKAFVKVHHSIFKGKTPKDILKKFNIKNDFTPEKEENIPKENT >Solyc06g072018.1.1 pep chromosome:SL3.0:6:44533390:44533823:1 gene:Solyc06g072018.1 transcript:Solyc06g072018.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDTVFDPLRDFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGAS >Solyc12g015770.2.1 pep chromosome:SL3.0:12:5755152:5760200:-1 gene:Solyc12g015770.2 transcript:Solyc12g015770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKQTLHLPLFESKEAKGKIIYKLFASTIFVGILLIWLYRLINMPSKGESGRLSWICMFLAELCFGFYWIITQSVRWNVIYTYPYKNRLSLRYEGNLPEVDIFVCTADPIMEPPTMVINTILSVMSYNYPTQKLSVYLSDDGGSQYTFYALLEASQFSKYWIPFCKRFNVEPTSPAAYFQHDASNLNSKVFAQEWFSTKKLYEDMKSRIEASIENGSIPNEIKAQHKGFSEWNTKVTKQDHHSIVQILIDGRDHNMIDMEGNRLPTLVYMSREKKPNRPHNFKAGSMNSLIRVSSQISNAPIILNLDCDMYSNDPDAIRESLCFFMDENQGHKVAYVQYPQRYNNATKNDIYGNIARVTHEIELAGLGGYGAALYCGTGCLHRRESLSGRKFSEDQTFEWKNKLQEKSTYKTVEELEEASKIVANCSYEEGTQWGKQIGLLYGFPVEDILTGLTIQCRGWKSIYYNPSKPAFLGVSPTILDVSLVQHKRWSEGMFQIFISKYCPFIYGHGKIKLGAQMGYCIYLLWAPLSVPTLTYVLVTSLSLLHGIPLFPEVSSLWFLPFAYVLIAKFAYSLAESISCGDTPKSWWNLQRMLLIRRTTSYLFAFIDAVIKQLGFSQTAFALTTKVVDEDVQRRYEQEMMEFGNSSVMFTITAALALLNLISFIWGMKKLVMVATTLQEVGNVILCGLIVLVNVPVYEALFLRSDKGSFPSSVLFMSVFLVSIACMLPIFRL >Solyc09g098540.3.1 pep chromosome:SL3.0:9:72853433:72857261:-1 gene:Solyc09g098540.3 transcript:Solyc09g098540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMRMIMILFLLVIIGGFVHGDENESFRKQPTLVKTVKGTKMCVKDWECNKLSKFCCNLTITDYLDVDQFELLFTKRNSPVAHAVGFWDYGSFIRAAALYQPLGFGTTGGKKMQMKEIAAFFGHVGSKTSCGYGVATGGPLAYGLCYNKEMSPSQDYCDEYFKLTYPCTPGARYYGRGALPIYWNYNYGAIGEALKLNLLDHPEYIEQNATMAFQAAIWRWMNPMKKGQPSAHDAFVGNWKPTKNDTLSKRVPGFGTTMNILYGDGVCGQGDVDAMNNIVSHYLYYLDLMGVGREEAGPHEVLNCAEQKPFNPTAVAAS >Solyc06g068690.3.1 pep chromosome:SL3.0:6:42694190:42709802:1 gene:Solyc06g068690.3 transcript:Solyc06g068690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNPEDHHSDREIISPSYTTKSNDDKYVEVTLDIRDDTVAVHSVKNATKTKAEEAEIEALGKNLQKKRSFGATIVRNLSKRLRSQPHPPRTIDRSSTAAQNVLKGFKFISRTDGGSGWDTVQQRFDELTANSDSLLPKAKFGECIGMNKESEGFALELFDALARRRNMTSGCISKEQLKEFWEQIANQSFDSRLQTFFDMVDKDADGRLTEEEVREIICLSASANKLSNIQKQAAEYAALIMEELDRERKGYIMLENLKMLLLQAPIQSDGGKGLHRKLSHMLSMKLKPTLETNPIKRWYNNLTYFLLDNWRRVWVLLLWISVMAGLFGYKYVQYRNKAAFDVMGHCVCVAKGAAEVLKLNMALILLPVCRNTITWLRNKTKLGGAVPFDDNINFHKMVAGAIGLAVGIHILAHMTCDFPRLLNASPEKYKPMKPYFGDQPRNYWHFVKGVEGVSGIIMVVLMSIAFTLASQRFRRNKIRLPRPLNKLTGFNAFWYSHHLFVIVYSLLIVHGIELYLTKEWYKKTTWMYLAIPIILYSGERLLRAFRSSVKDVKILKVAMYPGNVLTLQMSKPQGFNYKSGQYMFVNCAAVSPFEWHPFSITSAPGDEYLSVHIRTVGDWTTKLRDVFSEPSQTGRSGLVKAAYMQDNINYYPKVLIDGPYGAPAQDYKEYEVLLLVGLGIGATPMISIVKDIVNNMKEEEYDHDLESTEQKKKSGSGSNFKRVYFYWVTREQGSFDWFKGLMNELAEMDCAEIIEMHNYCTSVYEEGDARSALIAMLQSINHAKNGVDIVSGTRVKTHFARPNWRDVYKRIALNHTDARVAKCHDLIEAENVISDKMVNLCIIFNHQSYETNICKAVIAQDSGKLGPKNCGEHLEQAKNEFPVCKCGFDMLDAGFFNDCKKLEIQKGAKDFNIPIIRSNRKLVATENGGLRDPSPLVFNSAWKSQEAKRVTDKFNYSECSGIQRPKNDEDIAFMSVLELGQLFKEKLITSEELTRIFLNRLKRYGPVLESVITITEELAFQQAKEADQVLAKGKYLGRSPPSSLPLSPLPRPLHGIPYGLKDIIAVPDYPTTWGSKSFKDQVIDVEAWVYKRLKSAGAILVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPASSTSAGLVPFAIGSETVGSITYPATRCGVTALRPTFGAVGRTGVMSISESLDKLGPLCRTAADCAVVLDVIRGKDPDDLSSRDIMLGDPFSVDITKLTVGYLEDADKEVVGVLRSKGVNMVPFNLDYTVDSAQGIVSFTMDVDMLAHFDEWQRSNQDDEFEAQDQWPLELRRARVISAVDYIQAQRSRSKLIQEVKENFTVDAFIGDSGDWEKVCVGNLVGMPVVVVPTGFKKISNASSDDTRRKTTITTGIYAPPDHDHVALALAMAYQSLTNHHKQRPPIDDIGPNDSIPNPPTSTVPARQLRDY >Solyc03g119040.3.1 pep chromosome:SL3.0:3:69239466:69241827:-1 gene:Solyc03g119040.3 transcript:Solyc03g119040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQESLVLRGTMKAHTDWVTAIATPIDNSDMIVTSSRDKSIIVWSLTKDGAQYGVPRRRLTGHGHFVQDVVLSSDGMFALSGSWDGELRLWDLQAGTTARRFVGHTKDVLSVAFSVDNRQIVSASRDKSIKLWNTLGECKYTIQDGDSHSDWVSCVRFSPNTLQPTIVSGSWDRTVKIWNLTNCKLRSTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGSIIHTLCFSPNRYWLCAATESSIKIWDLESKSIVVDLKVDLKHESEMANESGTAPKTKVIYCTSLSWSADGSTLFSGYTDGLIRVWGIGRY >Solyc03g095190.3.1 pep chromosome:SL3.0:3:57576872:57582764:-1 gene:Solyc03g095190.3 transcript:Solyc03g095190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRQSSRLLTRATTMKWRRPFSTDLPAANSVDSTFSDAWKKVIPNTEPPMTPSAFMKPRPATPSSIPSKLSVNFVLPYSSELSGKEVDMVIIPATTGQMGVLPGHVATIAELKPGVLSVHEGNDVTKYFVSGGFAFVHANSFADIVAVEAVPLDQIDPNLVQKGLTEFTQKLNTASTDEEKAEAQIGVDVHSALNAALTG >Solyc12g088980.2.1 pep chromosome:SL3.0:12:65129137:65132351:-1 gene:Solyc12g088980.2 transcript:Solyc12g088980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTTNNDDEFNVQKSKFSEYDRVSCENSPMNMSPWNQSCNLNATNDDDNDDVTKNNRKICLIGSLVREEGHIYSLATKNDLLYTGSDSKNIRVWKDMKEFSAFKSNSGLVKAIIISGDKIFTGHQDGKVRVWKVQPNNPSSFKPAGTLPTFFDIFKASIRPSNYFMSAKHNKASIWIKHWDAISCLSMDHNHGLLYSASWDRTFKVWRADSSKCLESVKAHEDAVNSVVASADGVVYTGSADGTVKIWQREFTPKTIRHVLVHTLLNQECAVTALAVNKSGSVVYCGSSDGVVNFWEKEKKSWTHGGVLKGHKLAVLCLESAGNLVFSGSADKNICVWRKEGCVHTCLSMLTGHNGPVKCLAVEQDKESTTSDKKWVLYSGSLDKSVKVWSVSEMAPIMHNGEVQ >Solyc10g008860.1.1.1 pep chromosome:SL3.0:10:2897263:2898633:-1 gene:Solyc10g008860.1 transcript:Solyc10g008860.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CY62] MEDKKLTFIMYPWYAMGHLTSFLHLSNKLAHRGHKVFFVHPTNTLSKLEKFNRYPELINFKSVTVPHVDGLPLGAETTSDVPIFNQNLLCQALDLTLPKIESLIQEIKPHFIFYDFAYWVPSVARKYGVKSVHYCSITPSSVGYLMRGENPTSEAEMMQPPPGFPVDSSIMLHKHEARLIIALHSMGKNSGSGSVSFTQRMLLAFQDGDAIAFKTTREIEGRYCEFVEHKFKKPVVLAGPVLPEPMETSNTEENWSKWLEKFQEKTVILCAFGSECKLKRDQFQELVLGLELTGYPFFAALKPPIEADTIEEALPEGFKERTQGKGIVHSGWAEQQLMLSHPSVGCFVTHCGGNSLSEALINECQLVLVPNFGDQFINSRLFGGDLKAGVEVERNEENGSFTKDGVCKAIKMVMNDESEEGRKIRANRAKWKEFLLSKGLEDSYIDGLVQKLQCLL >Solyc03g118523.1.1 pep chromosome:SL3.0:3:68866505:68872500:1 gene:Solyc03g118523.1 transcript:Solyc03g118523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCRSFQVGREKYHVPWLFVINRKSSEVPMIDVHLRYSGGDLLGVTAKVIDMPHHYIELHPDIGEHFWDPQHWPKHVLARYTWDSWGWLVREPPQLGQKRRGKLRSESRTEKMPSRVTMHCQADCCRKNSAGA >Solyc06g069610.1.1 pep chromosome:SL3.0:6:43468288:43471715:1 gene:Solyc06g069610.1 transcript:Solyc06g069610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRLMASWCCILIFYFLFPLIFPKIITNITSAIPTQLTNIHPFPCSDHIKTCNALLYQHNSLSKQNITFFYSVNASAIEPISYDDRQDYLINVPCTCKDVNGTVGYFYDTIYNLQSGDTFANVSNDIYSGQAWKVGGEDKSYKAGENVTMHLLCGCVEDEEKTVVTYTVQQHDTLSTIGDSLSSQVSDIESLNPYLIRPQFVDVGWLLYVPMYKNGVPLPSTGKNHKAHKWMVLVGILSAVTVLSICTVIVFILRRNRLQRRAKEDAAAVSKKMPVFESERPVIYSLEEIAEATSDFDESRIIGAGGYGSVYYGTIGKQEVAIKKMRSNKSKEFMAELKVLCKIHHINVVELLGYASGDDHLYLVYEYVPNGSLNEHLHQPMLKGHKPLSWTTRTQIAVDTARGIEYIHDHTKSRYVHRDIKTSNILLDETMRAKVADFGLAKLVGRTNEDEFLATRLVGTPGYLPPESVKELQITTKTDVFAFGVVLAELITGKRALIRENGDPNKMKSLISISSINKKRYQLNGQIHEIFQGEDPDSALESFIDENLKGCYPMEDIYKMAEIAEWCLSENAINRPEMREVVVSLSQIRISSTEWEASLGGDSLVFSGVFNGR >Solyc10g079760.1.1.1 pep chromosome:SL3.0:10:61398830:61399033:1 gene:Solyc10g079760.1 transcript:Solyc10g079760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSYSFIAPKLGVGFIVARQDRLTHYFSSKSYVQVRFFLSKIVKYIASMNILLSSKEIYVIFMTREH >Solyc09g055267.1.1 pep chromosome:SL3.0:9:38611957:38613519:-1 gene:Solyc09g055267.1 transcript:Solyc09g055267.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding NYVKELTLQILSLTSDDLLFYFLDGLQNWAKQELQRRQVHDVDEVIVVAEWLNDFWADASKGRNNRRKNVPPKNYEDRKKGTPHHEGCYSCGETTHVSHYCPSLSKLSAMVAAEEQQGKAATKTGALFNHISLAALVAQPASIKLRESLFFNAKPSKAPFGAPILFQNKKEGTLHLCIDYRSLNKVTVKNKYPIPFIVDLFDPLGQAKVFTKMDLRKGYYQLWITKGDEPKTSCVTRYGAFE >Solyc07g053730.3.1 pep chromosome:SL3.0:7:62279033:62282624:-1 gene:Solyc07g053730.3 transcript:Solyc07g053730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRIRQFYMRKVKYTQQNFYDKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKVAKDYVKLLKYGDSLYRCKFLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTILICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDISGSCGYSIAQQAALFHSIKSLFMNKPLMIVCNKTDLQPLDGISEEDKKLVTEMKDEAMKTVIGQGGEATDEAGVLLTMSTLTEDGVISVKNAACERLLNQRVELKMKSKKLNDCLNRFHVAMPKPRDQKERPPCIPEAVLEARAKQAEADAEKQKKKLERDLENENGGAGVYSASLRKHYLLANEEWKEDIMPEILDGHNVYDFVDPDILQRLEELEREEGLRQEEEGDDDFEMDGAELTPEEKAALAEIRKKKSLLIQQHRMKKSTAESRPTVPRKFDKDKEFTSKRMGRQLSALGLDPTLAINRARSKSRGRKRERSVECGDDIGNDAMDVDEITPSKNCSRGGLQGQTPEGKGYKDV >Solyc11g045523.1.1 pep chromosome:SL3.0:11:30601709:30605238:-1 gene:Solyc11g045523.1 transcript:Solyc11g045523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAFENVAKASQTRRQGLMATANAARSPRSEGQCRVKKKGHKVVKKEQGIADYLMSLPEMQELCGGKSLQKQNQFIPTTEGVTACITHPSSGTIVVGTKIMLLSKQYAGNHVTNRSIHINMMLIFCSNKSLYSSMEAALVAPGDFTNRQVHMILLAQKAILEEPAKYHMLIHIYQARIQLRIVQQEDIGSAELYMN >Solyc07g049670.3.1 pep chromosome:SL3.0:7:60153646:60158145:1 gene:Solyc07g049670.3 transcript:Solyc07g049670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDAAGLVQFMTAVGEMARGASVPSTLPVWSRELLNSRDPPRVTCTHHEYDEVPDTKGTIIPLDDMVHKSFFFGPSQVSALRRFIPPHLRKSSTFELLTSVLWRCRTISLKPDPEEEVRVLCLVNARSKFNPPLPNGFYGNAFAFPVAVTTAAKLCKNPLGYALELVKKAKSDVTEEYMKSVADLMVMKGRPHFTVVRTYLVSDVTRAGFGEVDFGWGKAAYGGPAKGGVGAIPGVASFYIPFKNKNGENGIVVPVCLPAFAMEIFVRELNGMLKMRRQKAELIAPAKPTPRESKFLSDIDDQEGLRFQIPVINFYRKDSYSDLDSSMGGNYNEPVKVIKKAIAEALVFYYPFAGRLREGNGRKLMVDCSGEGVMFVEADADVTLEQFGDELKPPFPCLEELLYDVPGSAGVLNCPLLLIQVTRLKCGSFIFAVRLNHTMSDATGLVEFMTAVGEMARGASAPSTLPVWSRELLNARNPPRVTCTHHEYDEVPDTNGTIIPLDDMVHKSFFFGPSEVSALRRFLPPHLRKCSTFELLTSVIWRCRTISLKPDPAEEVRVLCLVNARFKFNPPLPNGFYGNAFAFPVAVATAEKLVKNPLGYALELVKKAKSDVTEEYMKSVADLMVINGRPHFAVVRTFLVSDVTRAGFGEVDFGWGKPVYGGPAKGGVGPFPGMASFYIPFRNKNGENGIVVPMCLPAFAMEIFVKEIERMLKGDVNPLVNTNYAIIKPAL >Solyc04g054513.1.1 pep chromosome:SL3.0:4:52679237:52686709:-1 gene:Solyc04g054513.1 transcript:Solyc04g054513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVDFVAQQTTPHQFDTNNWTNPYSSNIQESILQYWMNEGKEIAKSSGNYAYSPSFPSSSQNGNIPFFQSQNSPPYISLQNQQQNIMIDVHENPTQAFGQLQNQEQNMMVDFQQSPTQIFGQSQAQMTFGNDNSLFNFWQNICDSTRSVSCTQLNSFFQLGLENDNTTWNNNLNNSSSFGLENAHSNWNNNMSNNNNYINEYNDPPSVQSDAITHNVNGFNYSMEENTMGNIAESTTHENDACEWEVFLNEAFNGEDCNGWDRDFLRQVLPENLVKELVQIDIGDMRDRDKDTQLETLDHLFTTSDLAIKDWNYVTRPLGITHNANTVEGVLDYCWQTNSRSEVHKKFWDVIFHDNQFLFKALINTDVHTVSDSFAYPNVFSNPYSSIASDPHPPIATSPLPDPLLHNPVAPASTSPNSIHTPVSLPTRKSIRTSNPPLWLAVYVDDMLITGNGLALIEHTKESLHKAFKIKYISDLMYFLGMEFSTSGNGNSDDEFLDNKEQCQSFIGKMLYLTLTRPNIAFSIQTLSQFLQQPKRSHWEAVIRVMKYVKREPGLAILLSSTRANKLSVFCDADWVSCPNTRKSVSGFLVKHGSSLISWKSKKQKVSRSSAEAKYRSMANAISEVVWITTLLKELENEVNEPAALRGTEWC >Solyc01g094720.3.1 pep chromosome:SL3.0:1:86027655:86034125:1 gene:Solyc01g094720.3 transcript:Solyc01g094720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIGAVVSNRNFGGFIGSGREQYTVHHHGEQLGFAALKYVQRNMLYNERCRSRIGLSHSGCIYSSHPQSVGPLDGKSFGSVSPFYVEVVLPNRFSGKSHVINPKRRTKRWECYLSSTGSGNSWIQPRKLDKFGFIDGQKQQTKHAAVNRAQADFKSDGYDITGALGSLMSSEGASEAILVEGVEQAKPWWEQFPRRWIVVLLCFAAFLLCNMDRVNMSIAILPMSKEFNWNNATVGLIQSSFFWGYLLTQIVGGIWADKLGGKVVLGFGVVWWSIATVLTPFAARLGLPFLLVVRALMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPILIQKFGWPSVFYSFGSLGSIWFALWLTKAYSTPKDDPGLSEQEKRLILDGSVSKEPVTNIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYSQVLKFNLTESGLFCVLPWLTMAVFANLGGWIADTLVSKGFSITSVRKIMQSIGFLGPAFFLTQLSHVKTPAFAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQKGSWDDVFKVAVVLYIIGTLVWNFFSTGERILE >Solyc01g080960.3.1 pep chromosome:SL3.0:1:80143548:80150343:-1 gene:Solyc01g080960.3 transcript:Solyc01g080960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRARRPRGRPAGSKNKPKPPVIITRESANALRAHILEVSSGHDVFESVATYARKRQRGICILSGSGTVNNVTIRQPQAAGSVVTLHGRFEILSLSGSFLPPPAPPGATSLTIYLAGGQGQVVGGNVVGALIASGPVIVIASSFTNVAYERLPLDEENESIQMQQQGQSGNFADPSNIGLPFLNLPLNMPNGGGQLQLESGGGEGWNGNTTNRPQY >Solyc01g105420.3.1 pep chromosome:SL3.0:1:93475606:93486937:-1 gene:Solyc01g105420.3 transcript:Solyc01g105420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phospho-2-dehydro-3-deoxyheptonate aldolase [Source:UniProtKB/TrEMBL;Acc:K4B2B2] MDPNELVKLIDILNPQNRPGRITVIARMGADNMRVKLPHLIRAIRGAGQIVTWVSDPMHGNTTKAPCGLKTRSFDSIRAEVRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSRTITYNDLSSRYHTHCDPRLNASQALELAFIIAERLRKRRLGPKFGF >Solyc02g091590.3.1 pep chromosome:SL3.0:2:53487110:53494665:-1 gene:Solyc02g091590.3 transcript:Solyc02g091590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVDNCWNIRLVNCVIICLVIYIQSAFAQEGFLSIQCCATANFTEPRTNLSWISDGIWFPENQSCISRPVYKSEHYERARSFSSDISHKWCYSLPTRKEHDYLVRGTFLSVKQEKTLPHSSFVVLIGVTPIATVKSSDELKVEGIFRATRSYTNFCLLKKKGNPYISKVELRPINSDYLKKEPSEILKLVHRVDAGNKAAEIRYPYDQYDRIWRPASNLESQVTQTPPSIIKHVFARKHSLLPPAFVLRTALTHPERLDFLHEDLDTGYYTYSLFLYFLEPNDSVQAGERVFYIYINNEKRLKVDILASGSRYLDVVLNFRANRSVNLTMIKASNLSQLGPICNGYEILKALPRVKETATEEVDIMANVKKELLQQNKNNEIWKSWSGDPCLPLPWPGLTCDRVNGTSVITQIDLSSGGLSGPSPPSIQKLMHLRKLNISINGSSGTNSLFTSYFTYSTRYLSSRIHISNKLSRSIKESNITTDKGMANVKQNSSSTHKLVIGAAVGTALLVILAIVISVVCLFKRRVMAGPKFLMRNYSITRNAVYSVPSMDTTMMKSISSRNFKLEYIEAITQNYKTLIGEGGFGSVYRGTLPDGVEVAVKVRSATSTQGIREFNNELNLLSAITHENLVPLIGYCCENEQQILVYPFMSNSSLQDRLYGGAAKRKILDWPARLSIALGAARGKDVKSSNILLDQSMCAKVADFGFSKYASQEGDSGTSLEVRGTAGYLDPEYYSTQRLSAKSDVFSFGVVLLEILTGREPLNINKPRNEWSLVEWAKPLIRSSRVEEIVDPTIKGGYHGEALWRVVEVALACTETYSTYRPCMADIVRELEDALIIENNASEYLKSLDSFGGSNRFSVERSIVLPPIKSQTEPSSLLSKPAPPQPR >Solyc08g083140.3.1 pep chromosome:SL3.0:8:65802623:65809717:1 gene:Solyc08g083140.3 transcript:Solyc08g083140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARASIGSATPISSSFHRLKKLSNSSPPLHVTFRRHLNTSCATTMSDGKAPHPSLQVTGGARHKFLSAFKSLHNPYNPYPIVSGNRHIETIFAAFFRDVPDLRLRRECLRTKDDGSIALDWVSGDDRSLPPDSPLLILLPGLTGGSDDSYVRHMLLRARSRGWRVVVFNSRGCGDSPVTTPQFYSASFLGDMYEVVAHVSNRYPAANLYAVGWSLGANILVRYLGQESHSCLLSGAVSLCNPFNLVIADEDFHKGFNNVYDKALANSLRKIFKKHALLFEDMEGEFNISLAANAKTVREFDDGLTRVSFGFKSVDDYYSNSSSSDSITNVCTPLLCIQAANDPIAPARGIPRKDIEENSNCMLIVTPKGGHLGWVAGPDAPRGCPWTDPHVMDFLEYIEHDKSTSAACASNQETMNNGVTERFQHLEV >Solyc12g088510.1.1.1 pep chromosome:SL3.0:12:64823394:64824923:1 gene:Solyc12g088510.1 transcript:Solyc12g088510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEISYYILIFISLYLLKNHFLRKFQNLPASPFISLPIIGHLYLLNKKPIHKTLANISEKHGPLLYLRFGSRPVLLISSPSLAEECFTKNDVVFANRPRLLAGKHLGYNYTTLLWASYGQRWRNLRRISTHEVLSTQRIQMFADIRRNEVHTLLQRLVRGKSCGGDPNTNVVDMKAAFFEMTLDNLMMMIAGKQYDGDSDEKLEASRRFKEIVTESFKVSGATNIGDCVPLLKWIGVNKLEDKVKLLQEKRDKFMQELIEEHKNRRKGSSVEQSNNTMIDVLLSLQDSEPDYCTDEVIKGMGMVMFTAGTDTTAITMEWALSLLLNNPEALKKAQNEIDTHIGESSRLLDDSDLAQLPYLHGIINETLRMYPAAPLLVPHESSDECVVGGFHVPRGTMLLVNLWAIQNDPKLWDKPNEFKPERFIDVKGQRDGFRLMPFGYGRRGCPGENLAMHVAGLALGSLIQCFEWERVSEELVDMTEGTGLTMPKAIPLLAKCRPRQNIDNLIAHL >Solyc02g086380.1.1.1 pep chromosome:SL3.0:2:49672687:49673115:-1 gene:Solyc02g086380.1 transcript:Solyc02g086380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDKTLRLNGFAYRKADNKNSLWKLKGINLNGKRGKRDDKLQSVTDFIQENSRKLEEATSGPGGGFGIGCGVGVGLGAIGGLGLGGSDWNHLKLVFGVGMGCGLGIGFGYGQGIGVGFSWEEFKSRFFESKRSSRKPFVIQI >Solyc05g008100.1.1.1 pep chromosome:SL3.0:5:2521502:2521900:-1 gene:Solyc05g008100.1 transcript:Solyc05g008100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKFTLIYLLPLLTLLLNFPARITPQECAYPCYPPPTGNNPPPATTTPPGGYVPNPPSTTYQPPPPGYNNIPYLNSPPDDYANGEAPPPPEPIVPWFPFYYRKPPHSDQSSSSRITSKKMISLLVIGIFFV >Solyc01g099415.1.1 pep chromosome:SL3.0:1:89561595:89564331:1 gene:Solyc01g099415.1 transcript:Solyc01g099415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFLSYVPVGKSSGFQILALLTGMVVIPHKTLPKLAESLRIALFAVDEVHLFLSKVMIFNKITGDYVLREKFGMDTMNFSFIFATVLVFEPLKTTLSGMLFLSDNEVSSPGRYWRYGLHSLKGRQLSVEYLENECDVVQHVDDLNVSCGEFCGKLPPKGCTGLCCTKLLIWPMIQKKEQSFDTNRWRMDQQ >Solyc12g014080.2.1 pep chromosome:SL3.0:12:4893858:4896914:-1 gene:Solyc12g014080.2 transcript:Solyc12g014080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGGYRAEDDYDYLFKLVLIGDSGVGKSNLLSRFSRNEFNLESKSTIGVEFATRSIKVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALVVYDITRHVTFENVERWLKELRDHTDQNIVIMLVGNKADLRHLRAVSTEDAKAFAERESTFYMETSALESLNVENAFTEVLTEIYRVVCRKALEVGDDPTALPKGQTINVGKDDVSAVKKVGCCSV >Solyc02g092020.2.1 pep chromosome:SL3.0:2:53874818:53876044:1 gene:Solyc02g092020.2 transcript:Solyc02g092020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGWSELPKDLLWSIATCLVTYTDQVRFTCVCTSWRSVLPTIEVRSHAWLLLPHEVLSKKFRGSSHSWLVSIEDSPRISLFNPFTRVQIALPPRYKFPDVRKYCAKKLDTEYALEPYDGQKNTYLEAASHVHNSFLDKLVLSSSPTSSKAPNCMVAAIYGSHCNLAYCKVGDKRWTCIAKGRMGYDDAIFHDQKLYAVTFTSYVEVQRHTKSTGDSKTYLYRTTFFNLYMYEPSRRSWCKVENIGENVLFLGLNTSISIASSDLHGYKGNHIYFTDNFLDFHEFGVKGGYDIGVYDLDSRRVQSLPCHDIEKRWLWPTPIWYIHNPEDFIEQDKDATTKLN >Solyc01g106195.1.1 pep chromosome:SL3.0:1:94038147:94039019:1 gene:Solyc01g106195.1 transcript:Solyc01g106195.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRQCRSAKRQNVHQERSKKRDEILEGPLVVYAERCELKSLWVWPATARCVCHPCLTCKYSRVCARFSFPLAPSD >Solyc07g024080.2.1 pep chromosome:SL3.0:7:24220918:24221423:1 gene:Solyc07g024080.2 transcript:Solyc07g024080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEESDTHPKHSVKSEAFDVEELANKLQLGPMFYVIGLSMGAYSVLAGVAVIITFVNYWWSCYPSNQSKEPVDKIVAQDQRTF >Solyc11g030380.2.1 pep chromosome:SL3.0:11:21733123:21738207:-1 gene:Solyc11g030380.2 transcript:Solyc11g030380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGHNPIEVGKTVLEVADVAWSAVERCHHHTHSHTDTTAFDVSHSCEEDGLRSLRSENKRLKRLLEQNLMLLQSMSQSPSLLQNCPPDLHERLLAAVESGSFLKQLEALNRKSVDGNDCQFPFKEATDVDTETAELLVNMSLEEPSWWVWVTEDMVPGNLEERSGIDNDNYVIVSEEYVADAVANFMARCVVSNSKAQKMSPEELQKTLAKAFEGIGKVETLFNIWHAAQMFYVLSTWGLAVVGLYKSRSVIRLAAKGVHKTGKMVLKVL >Solyc10g048030.2.1 pep chromosome:SL3.0:10:43593872:43594747:-1 gene:Solyc10g048030.2 transcript:Solyc10g048030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLKGKLISKLEMKCAGDLLHDHFKSNPHQTSTMSPDKVTNFTLHDGQLGKTNSVIGWKIILGGKERHFKQVVDIDDAAKSMTFNFIEGYMNELYNSMTLILTVKENWITWSMMYEKLNQNIPEPLDFMEFLIGLIKDLEAHHAGK >Solyc08g080340.3.1 pep chromosome:SL3.0:8:63774083:63779581:-1 gene:Solyc08g080340.3 transcript:Solyc08g080340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLPELESMAPAIGVSVPVLRFLLCFIATIPVSFLHRFVPSATGRHLYAAVTGAVLSYLSFGFSSNLHFFGPMLLGYASMVLCRRYCGIITYVAAFGYLIGCHVYYMSGDAWKEGGIDATGALMVITLKIISSVINYQDGLLKEEDLREAQKKNRLLELPSLLEYIGFCLCCGSHFAGPVYEMKDYLEWTERKGIWKPSEKGKPSPFGSTLRALLQAAICMGLYLYLVPQFPLSRFTESVYHEWGFFKRLGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTNSSPPKPRWDRAKNVDVLGVELAKSSVQLPLVWNIQVSTWLRHYVYERLVQKGRKPGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIAGSRVIYRWQQATKGTMFEKILIAMNFAYTLLVLNYSAVGFMVLSLHETLTAYGSVYYIGTIVPILLILLSKVIKPPRPATSKARKAE >Solyc03g117260.2.1 pep chromosome:SL3.0:3:67921596:67928527:1 gene:Solyc03g117260.2 transcript:Solyc03g117260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSYPPRAMASNFDEDRWIIQIRRTLDEELEEFSEIPVCIFNVPKSLMVSDPDSYVPQEVSLGPYHYWRPELYEMERYKLGAAKRTQKQLQNIKFQHLVEQLIKLESRIRSCYHKYLNFNGETLAWMMAVDASFLLEFLRIYAVKEGMVLTRAMSSSTMSHLIDVAGRKSAHNALLRDLAMLENQIPLFVLRKILELQFTSLDLADNMLMSMLVGICKELSPFKMEEKIREVQVTESAHLLDFLYQMIVPKLELPTSDTTEDRDDQDQIDTHQCQNNSFGKSIHVKQFVNEVWKILVKVNRGPVRLVKRLVLSKPMKVMFKLPWTLVSNIPGLKLLILPMKCLCFSQEKAVENPENENENESNSPLVEEIAIPSVSELSKAGVNFAATNGGITSISFDFKNMTFYLPTINVDINTEVILRNLVAYEACNASGPLVFTRYTELMNGIIDTEEDVALLRGRGIVLNRLKSDDQVSNLWNSMSKSVKLTKVELLDKVIEDVNKFYNGRWRVKIGKYMKYYVFESWQFLTFLAAIMLLMLMTLQAFCSVYSCARVLRIQSTS >Solyc03g096140.2.1 pep chromosome:SL3.0:3:59446776:59449843:1 gene:Solyc03g096140.2 transcript:Solyc03g096140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4BJ36] MGRLISSERVNITSGEIENRMMMTGMHTVADIFCICCGSNVGWKYETAHEMSQKYKEGKSVLERFKISGPDGSHYSASHDIHVAGSDADDV >Solyc10g086335.1.1 pep chromosome:SL3.0:10:65317176:65330479:1 gene:Solyc10g086335.1 transcript:Solyc10g086335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTPDVNRKVGVGVAPSPSPFFTPRPERRRGPDPRGIDYGSNRLDEDKEVNVQVLVRCRPLNEDEQRINVPKAITCNESKREISIVQNVANKQVDKVFTFDKVFGPKAQQRSIYDQAISPIVKEVLDGFNCTVFAYGQTGTGKTYTMEGGMRNKAGELPAEAGIIPRAVRQIFDTLEAQHADYSMKVTFWELYNEEIIDLLASEEPSKFSEERQRKPISLMEDGKGLVVVRGLEEEAVYSANDIYNLLERGAARRRTADTLLNKRSSRSHSVFSITIHVKEMTVGDEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVITALVEHSIHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSTHCLEETLSTLDYAHRAKNIKNKPEANQRMSKAVLLKDLYLELERMKQDVRAAREKNGVYVPHERFLQDEAEKKAKNEKIEQLEIDLNISEKQVHKFRELYLSEQEEKLNLKAELKECQSNLENSKKTLHELQENYRIAISTLKEKELIISKQIHSENCLIDCAKDLRKNLQNASEDITSLFARIDHKDKLEADNQNLLHTFGSRLDDSLNDLHKVIHGLISQQQQQLRGMEEHVNSFLASKYDVTKVMESKINKMTQTYTSGMTSLKELVDVLQLEASSDLDQIKSTISSQATKVEKFLTAASLEAKDFVCDIKNSLDEQRQILDWSAKQQEEGLRRSLVSAELISQATVNFFDDLHQRASEVMKLLDKSNISTADQLQKFEKEFKEESLKEENSALEKIAAILATLTTKKAAMVSEASRNFQDSSAKENKQLFEELSGVQLVLASAKQKLNGYINEVKRNFLEDTFMYSENRLTLENCLQECTNKVDNLNGQLGETQLGVHQIFANNLADIEHISRESSTRIGCAYNKVLSTSSSVDEKVEAHSRDLQTSFNDSLTMDTEHKKRIESTSSICLNQLNSTQQNHGDCISDIQCTAEQCLQKDYMVDMCTDKTPMKRAIQIPSLSFIEDMRTVISKVSSENESKWSSAEGKNQRQCNGVSPNRTPFADVN >Solyc03g097130.3.1 pep chromosome:SL3.0:3:60897220:60907838:1 gene:Solyc03g097130.3 transcript:Solyc03g097130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGGAVADCLSKCGSSFAARSSSSSFCGIGLRLNLNSNINRTIRPRQAFVGLCVASLITDSDSFEVGRLIGSYGFMNVTSYSGLQSGMAMDYAVSEDIGNFKVQDIGEGSVKIRLYEGRVAQGPLRGTRVIFKLPPKLLQQPLYRLSLSPIELLHDQKCYMMQVYPGQQVGGTEADMMAANELNAHASLQSNPKDICPHIQALLGGFETKTGEQWLAFRDIGKYSAADYAKITSENMSKMIGGGEQRLWNPFELDLTIKRRRYFVVRLLHGTMRGLSYMHDNDRLHQSLGPASVVLNTVVEKDAAYLIPRLRDLAFSVDVRYSQLEDRPNILSEGLWRRASVAGASSPLERRAFGIADDIYEAGLLFAYLAFVPFCEAGVMDSISLRRLLENTFQLDLQAAREYCSADDRLLEAVKFLDLGDGAGWELLQAMLNRDYRGRPIAEAVVNHRFLSGTFYIFGVVKAAGAPITRRACYWTALGDFLVKLRFYSTSHGENTSQTSFTDTPIVCWGLILDCAMLNLKLSHNPLIQYRPIRTSDLEVLEKIHGDLFPIRYESEFFQNVVNGRDIVSWGAVDRNRPNEQSDELIGFVTARTVLAKDSDIEEFLRYDSSRSEQTLIYILTLGVADSYRNLGIASSLIREVIKYASNIPTCRAVYLHHYDAYLFIYYVNGGRSPCSPFFQLEDLLERPLSKSAVANSWASIFLRELIKLIVTGAKSGYRMVAAKLWRKEDRKPSKWSKCKESGSLLPTKHNKRMPTEGALSQFV >Solyc03g114900.3.1 pep chromosome:SL3.0:3:66257339:66262435:1 gene:Solyc03g114900.3 transcript:Solyc03g114900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:COBRA-like protein [Source:UniProtKB/TrEMBL;Acc:K4BKZ2] MFHKKLSLNKLLFPLAKLTFFAVVLSSVLPHADAFDPLDPFGNITIKWDVMSWTPDGYVAVVTMNNFQMYRHIMSPGWTLGWNWPKKEVIWSIVGSQTTEQGDCSKFKGNVPHCCKRDPVLVDLLPGVPYNQQFSNCCKGGVLASWGEDPSESVSSFQISVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKIVPSTVFLTADHRRKTQALMTWNVTCTYSQFLSSKYPSCCVSFSTFYNDTITPCPSCACGCNHKHNCIMGDSEKLKRKGINTPRKNNEPLLQCTHHMCPIRVHWHVKLNYKDYWRVKIAITNFNYRQNHTHWTLVAQHPNLNNVTQVFSFDYKPLVPYQSINDTGMFYGMKFYNDLLMEAGPSGNVQSEVLMQKDKNTFTLKSGWGFPRRVYFNGDECKLPPPDSYPILPNSAEKGPFSFSNTAICTILMIFLTW >Solyc04g005800.3.1 pep chromosome:SL3.0:4:514863:517015:1 gene:Solyc04g005800.3 transcript:Solyc04g005800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVARVHEGSNNGFILPNEILPPTSNWISNSSSIFHGSVSMVNFDQDRVQKSKKRSFLAQLDQDDSSSNDDNYNYHHQSEKKRRLLPKQVEYLEKSFEVENKLEPERKVQLAKETGLQPRQVAIWFQNRRARCKTKQIEKDYDVLKASFDELKTQYDCLFKENGSLRNEVHLLKEKLINRVKGKEILEFISPLDHVEPQNPSIGVDSNLAMVKCKQEDAKSDVLDSDSPRFTDGDYTSYLEPADSSNVFQTEHSNFSRENDTLCFPKLEEHHHHDDLPVNSCNLGFQIEDQSWFSHY >Solyc10g076335.1.1 pep chromosome:SL3.0:10:59385116:59387426:-1 gene:Solyc10g076335.1 transcript:Solyc10g076335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEILNQENFGDDKVLRSLTTKFEHGVTVIEEFKDLSTYSFNELMSSLLAHEDRINRSLRKFKRRHSRLRGKFGYKGKAENSARHGHGRAAEVETKLVNSVSTRAIFNVYTVRNLSTKKLTVGRSRKMSRRKLISLKMWKKKFPNSESANVVWFIDRGCSNHMSSSKSLFRDLDESQTSENLQPQRFRGASYRRN >Solyc02g043810.3.1 pep chromosome:SL3.0:2:1675983:1679433:-1 gene:Solyc02g043810.3 transcript:Solyc02g043810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESFRKAYGALKDSTKVGLAKVNSEFKDLDIAIVKATNHVESPPKERHVAMIFAATSVTRPRADVAYCIHALSRRLAKTRNWIVALKSLIVIHRVLREGDPTFKEELLHFSHRGHIFQISNFKDESSPLAWDCSAWVRTYGLFLEERLECFRNLKYDIDGERLTKTTPGINKVHSRTRLLNGEELLNQLPALQQLLYRLIGCQPEGGACYNFLIQYALALVLKESFKIYCAINDGIINLVDFFFEMSKHDAMKALNIYKRAGKQAESLAHFYDFCRGLDLARTFQFPVLKQPPASFLATMEEYIKEAPQTGSMSSRRLEYRETKKEAEKPVEPILEEIEERVENDKEEEKVEVQEEPEPEIQVEETPPTDEPVDLLGLNQVVDPKIVELEESNALALAIIQPGKENPSASFQLSEIGSTSGWELALVTASSSNTSQVAPDKKLAGGFDKLLLDSLYEDDATRRQIQLQTAGYSAGYGYEIPGQNPFNQHDPFAMSNNIAPPTNVQMAIMS >Solyc04g047727.1.1 pep chromosome:SL3.0:4:35898558:35901961:1 gene:Solyc04g047727.1 transcript:Solyc04g047727.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSTTPIFSEAVVGENAEGDEVQPPERSFWAKYWMYLIPLGLIVMNAMTQAMNMAEEQTSGQAGAQGQQAIGAQRAQNTVVRRR >Solyc01g101170.3.1 pep chromosome:SL3.0:1:90884011:90887986:-1 gene:Solyc01g101170.3 transcript:Solyc01g101170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAAALMSKCQEEEEIVRPVADFSPSLWGDRFHSFSLDNQVAEKYVEEIETLKEQTRSMLMSGKTLAEKLNLIDIVERLGIAYHFEKQIDDMLNHIFNIDPNFEAHEYNDLCTLSLQFRILRQHGYYISPRLIFCLRFEPEIFSRFQDANGKFKESLCDDIRGILNLYEASHVRTHGEDTLEEALAFSTAHLESAAPHLKSPLSKQVTHALEQSLHKSIPRVETRYFISIYEEEELKNDVFLRFAKLDFNLLQMLHKQELSEVSRWWKDLDFVTTLPYARDRAVECYFWTMGVYAEPQYSQARVMLAKTIAMISIVDDTFDAYGIVKELEVYTDAIQRWDVSQIDRLPEYMKISYKALLDLYNDYETELSNDGRSDVVQYAKERMKEIVRNYFVEAKWFIEGYMPPVSEYLSNALATSTYYLLTTTSYLGMKSATKKDFEWLAKNPKILEANVTLCRVIDDIATYEVEKGRGQIATGIECYMRDYGVSTQVAMDKFQEMAETAWKDVNEGILRPTPVSAKILTRILNLARIIDVTYKHNQDGYTHPEKVLKPHIIALLVDSIEI >Solyc07g049760.1.1 pep chromosome:SL3.0:7:60226526:60228239:-1 gene:Solyc07g049760.1 transcript:Solyc07g049760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINAIANCARPVTFGDYLKYHIISIHFSSLVEYVIITALGIVGALTKFDDPYGSHALHFFLESEYVMDLCDEMSRKHDHEVSWMLQVLHLNIYGCLYFPPIEDRKRIAKKAALSSKRKK >Solyc09g018450.3.1 pep chromosome:SL3.0:9:14941236:14947588:1 gene:Solyc09g018450.3 transcript:Solyc09g018450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPVKRSSIVEVGGDNDGGSVDPLTKKYKTAAAAAGGDSSTVTMAGAGSATGDVSANGNATNGRTGGVSPVDLRNLSDIDEDLHSRQLAVYGRETMRKLFAANVLISGLQGLGAEIAKNLILAGVKSVTLHDEGNVELWDLSSNFIFTEEDVGKNRALASVQKLQELNNTVIISTLTDALTKEQLSNFQAVVFTDISLENAFEFDDYCHMHQPPIAFIKTEVRGLFGSVFCDFGPDFTVVDVDGEDPHTGIIASISNDNLALVACIDDERLEFQDGDLVIFSEVRGMTELNDGKPRKVKCARPYSFTIEDDTTEYKAYERGGIVTQVKEPKVLKFKPLRKAISDPGDFLLSDFSKFDRPPILHLTFQALDKFVSSSGRFPVAGSEEDAQRLISLVTDMNNSQDAKVEIDHELIRNFSFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLYQFFYFDSVESLPTEPLDPNDLKPLNCRYDAQISVFGNKLQQKLEEAKAFVVGSGALGCEFLKNLALMGVCCGVEGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAAAAASLINPRIRIEALQNRASPETESVFDDTFWENLSVVVNALDNVNARLYIDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLLEKTPTEVNAYLINPTDYISSMQKAGDAQARDILDRVLECLDKERCDSFEDCITWARLRFEDYFADRVKQLTYTFPEDAATSSGAPFWSAPKRFPRPLQFSVDDASHLQFLLAASMLRAETFGISIPDWVNSPQKLAEAVDKVMVPDFQPKKDVKIVTDEKATSMSASSIDDAAVINELVMQLETCRQKLPSGYKMNPIQFEKDDDTNYHMDFIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLNGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKDNPTLRELLQWLQNKGLNAYSISYGSCLLYNSMFPKHKERMDRKMVELAKEVAKADLPPYRKHFDVVVACEDDEDNDVDIPQVSIYFR >Solyc03g065050.1.1.1 pep chromosome:SL3.0:3:40502038:40502190:-1 gene:Solyc03g065050.1 transcript:Solyc03g065050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIKKLIRNTRQPIRNVTKSPALRGCPQRRGTCNRVYVRRVIDPVESVA >Solyc06g082080.3.1 pep chromosome:SL3.0:6:48059339:48061577:1 gene:Solyc06g082080.3 transcript:Solyc06g082080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCTVALSNSPVFSPSRVPVPASLRCKVSSSSSSSSPETLNLTHSPSKTSSSPSSPSSPLRILRLQKPPPSNLIRASNTDCSTSTVLKRKRPTRLDLPVASMSFGNFPVTPAGVADLVEVEGDGYSVCCKRGRKGAMEDRHSAMVNLKGDSKQGFFGIFDGHGGVKAAEFSAENLNKNIMNELGKTTDDKIEVAVKNGYLKTDTEFLSQEVRGGSCCVTALIQKGNLVVSNAGDCRAVVSRGGLAEALTSDHRPSRKDEKDRIEASGGYVDCCHGVWRIQGSLAVSRGIGDQYLKQWVTAEPETKILELNPELEFLVLASDGLWDTVSNQEAVDIARPLCTGISTQQPLSACRKLIDLSVSRGSLDDISVLIIQLGRFC >Solyc01g104260.3.1 pep chromosome:SL3.0:1:92590833:92594980:-1 gene:Solyc01g104260.3 transcript:Solyc01g104260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTIPRARVIVNGVQRMRTYHYYWCRHCQRSIRTTSANPFEILCPHCYSQVFYELDVTRPRLVLSNVTRLQPQPSSNSRLLDALALMVDPPIRQLNSDIGDENHRRSTRQRARVILQFIGPDDQPVRPVSPDENAFSFPSLQELIDHDRPGPPPTPSSAIDALPRIVLTPNLLENDSVCPVCKDEFEVGIQVIELPCKHFYHSECIVPWLRLHNTCPVCRYQLQGFSNNEDQTGTNYEFNEQQEEEENIQNPLIWGWTQLTSLWPFSLISSLRERYFNTFETTTSAFPRGRAWWNPWIFS >Solyc12g010510.2.1 pep chromosome:SL3.0:12:3511829:3515771:-1 gene:Solyc12g010510.2 transcript:Solyc12g010510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLMHFLCCITGVADKNTVVVRHHPLGKRSSKLHNNNSNNLDNGICGILYKWANFGRGWRPRWFVLYDGVLFYYKINGKVFNFETQNGFTVIGKKSFRFINTCKTTPSSQFLSRKPLREIHLKDSTVMGSCSDDRRFLIITGKKKLQLKAESKDDRLIWLEGLFAAKKAFITSDFVHNPRMNNNCKVGTRDSGMKGGNTSRSNEEHYRQEETDDDVHTDNSFFDALDCLSTCSLKTANCYDESSSFDSDNGEVQPSEDGLSSFMRFVECDYPYIERREKLPDPVNEEKGISLWSMIKDNIGKDLTRVCLPVYFNEPLSSLQKCFEDFEYSYLLDQAYEWGRTGNSLMRMLNVAAFAVSGYACTEGRKFKPFNPLLGETYEANYPDKGLRFISEKVSHHPLILACYCEGRGWKMWGDTNLKSKFWGPSIQLDPVGVLNLEFDDGEVFQWSKVTTSIYNLVIGKVYCDHFGTMHIQGSGGYSCKLKFKKQSIMNRNPHQVHGVVQDNSGKTAATVFGKWDESLNYSNVSMDLGQDVAYLLWKQSKQANIQTKYNFTRFAITLNELSPDLEEKLPPTDSRLRPDQRFLENGEYEVANSEKLRLEQRQRQASQMQEKGWKPRWFRKPKGSDTYQYRGGYWEARETGKWESCPHIFSEET >Solyc02g076820.3.1 pep chromosome:SL3.0:2:42509195:42515047:1 gene:Solyc02g076820.3 transcript:Solyc02g076820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSTIVMTKELSAGSSRSGGEQLQNNNPAPLSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNCNHVLEFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGSPENNPFGNGAIRLYLREVKECQAKARGIPYKKKKKRKLNNNSIKPIGAGVGASADQHKNLMQANI >Solyc05g056255.1.1 pep chromosome:SL3.0:5:66458256:66464826:-1 gene:Solyc05g056255.1 transcript:Solyc05g056255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLHRRNQHPLGILKNAIYDYFDTNYPDKFDKFDDLCPIVSVRQNFDEVLVPADHISRSYNDTYYVDSQTVLRCHTSAHQAELLRNGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGVRVFAPNDWEGSGTDATSHAAEDLKKCLEGLARHLFGGVEMRWVDTYFPFTNPSFELEIYFQDQWMEVLGCGVTEQEILKRSGKTDNVAWAFGLGLERLAMVLFDIPDIRLFWSTDERFTSQFSSGRLGLKFKPFSKYPPCYKDMSFWISDSFTENNLCEVVRGIAGDLAEEVKLIDNFTNKKGMTSHCYRIAYRSMERSLTDEEINDLQWKVREQVENKLKVVLR >Solyc07g039313.1.1 pep chromosome:SL3.0:7:47200071:47200868:-1 gene:Solyc07g039313.1 transcript:Solyc07g039313.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVGEAYVKIISQTDNFAIWHARSGHVGYQMLQHISSKKLLDGLPTLKNVCEDVICQRCQYGKFHLLPFKRSSNQRTTMFELIHTDLMGPIITPRYSRYRYVMVLVDDYTRFTVFLSWLHDKSLPRELWVEAIQCGFHVINRVDPWPGTKKSPFKILYCQKTNVNYFPVFGYVFYVHIPRTNRTKT >Solyc11g027895.1.1 pep chromosome:SL3.0:11:19522978:19524313:-1 gene:Solyc11g027895.1 transcript:Solyc11g027895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLSGTKPFTTPLETNLKLTYVDYDSIINNTPTDNDKLLTDPGKYQRLVGRLLYLTMTRIDIAYVVQIAHASLRHFVTLIGVDVYKPEDQSQSKKQEPVARSSAEAEFRSMASVVAELTWLIGLYKELGITVEMKKRGCRGILREMMKGVLGEGKRVMKVRCGGDDASSSRCGGGLKRTEDGGHGEWDKGSIT >Solyc10g085060.2.1 pep chromosome:SL3.0:10:64492976:64502468:1 gene:Solyc10g085060.2 transcript:Solyc10g085060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKARVLLLCFLLLSSNFRFLQSEDTTKNKFREREATDDALAYPNLDEDELFNTQCPQHLELRWQTEVSSSVYASPLIADINSDGKLEVVVPSFVHYLEVLEGSDGDKVPGWPAFHQSTVHSTPFLYDIDKDGVREIGLATYDGEVLFFRVSGYLMSDKLEIPRLRVKKDWHVGLKQDPVDRSHPDVHDDQLIQEPVMDSAASHNASTHGGNYSKSTASEVNTETHSIQKEVNHDASNASIFLPSGVSPNTSNSSNLEDQKGKNDSVAGGEVKMTNLNNITLNSDNEKISVPENGTSKGRRLLEDNVLRSSEESDSGSKDVRTATVENEGGLEAEADSSFELFRDNEDIPDDYDYDEDDYLDDDELWKTEEFEEPEHEKLENYVHIDAHILCTPVIADIDSDGVSEMIVAVSYFFDHEYYNNQEHIKELGDIEIGKYVAGGIVVFNLDTKQVKWSAQLDLSTDDGTFRAYIYSSPTVVDLDGDGNMDILVGTSYGLFYVLDHNGKVREKFPLEMAEIQGAVVAADINDDGKIELVTTDSHGNVAAWTAQGTEIWETHLKSLVPQGPVIGDVDGDGHTDVVVPTLSGNIYVLNGKDGSFVRPYPYRTHGRVMNRALLVDLSKRGEKKKGLTIVTMSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVTTMNGNVFCFSTPAPHHPLKTWRSPNQGRNNAAYRNDRQGIYATPSSRAFRDEEGKSFWVEIEIVDKYRYPSGSQAPYNVTVSLLVPGNYQGERTIKQNKIFDRPGKHQLMLPTVNVRTAGTVLLEMVDKNGLYFSDDFSITFHMHYYKLLKWILVLPMLGMFGVLVILRPQEAMPLPSFSRNTDL >Solyc12g013950.2.1 pep chromosome:SL3.0:12:4775457:4784897:-1 gene:Solyc12g013950.2 transcript:Solyc12g013950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVDVIKCCMDSIRQISDEIREAIVYLDAGCTESFEYVGAFSLFLELGAHAICSLEKMSPLDKVVDWNSTSGPAKKIVVITSRLLSDAHRYILRCLSAFQTLRSCAIFTCISETGHSAYPESPLGPDAYHEYESLLVQDYEELARKFLMNSSHTGESIVKESMSAEDEGWSQLTTSEEGPFNFSSVASAQNSYEDSVIDRTDVRKKLKVSVHHFPLVLCPFSPRFFVLPSEGSVAEAYLSAEHDNSISFGLPSISTGTTADGEDVPPGATLTAQFLYHLAAKMDLKLEIFSLGDVSKTVGKLLTDMSSLYDVGRRKRSAGLLLIDRTLDLLTPCCHGDSLVDQMFSSLPHRERMASLSQAKSSRSQVKLGPAYLQRSPLTVQIPLNNFLREDTSSSYNFQLVESVEAFLRGWNSRDSTSQMDELVNFSTKPSGEMSTQDFQSDLLCGSFVSTENFHGTPYLEAILERRSKDGAVLIKKWLQESLRRENVSLNAKIRPGYASKSDLQTMIKALAKSQSLLAKNKGIIQLAAAALSALDESHSAKWDAFSSAEKILNVNAGDTSQSLAAQISDLINKSALVSSQGNNKMDAQRGLLTLQDALLLTVVGYILAGENFPSSGTVGPFSWQEEHFMKEAIVDAIIENPTVAKLKFLKGLTQDLEANFNRKSEEKKEDLSNTESIDFDDDDWGSWGDEDSGKDKRKEQVYDDMQLKLELRDRVDNLFKFFHKLSSFKKNVSFREWSQALSKFNDDPYSNKGLLYKVLSRVLDKHEVPGLEYHSSTVGRLFKSGFGRFGLGQAKPSLADHDVILVFVVGGINGVEVREAQEALSESSRPEVELILGGTTFLTPKDMFELLLGDYCCV >Solyc08g062200.2.1 pep chromosome:SL3.0:8:50761206:50765909:1 gene:Solyc08g062200.2 transcript:Solyc08g062200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLMDNFDTSIVPYHDPFDDNILTGLNFSLFDDENPTIDGDINYQVPINLNPTIVGGDNNNHQGPPINENKTNLNYSLSFPDYDPYLLEISFDSGDGFIGGSTNPEGEVPGNNNCQILREIIHDNGMILSKIGIFGTIGRISHAIVEKSTMDDHMSSDTIDFSNDSISKVKEFLVQYFEGCKKDGYIVLEDTLSEFYQTLSVNSGVRTNDINNLLQLSTTNLHHNVLTEQQGMANENEGTNSGVNRGKISLSEQQRRRTKMMNVKDFEDYLHLSIQEAGIKLNLCPTVMKRVCRRDGLRRWPSRKINSIKRKISKRQESLNSIHAGERKSAKADIAKLEKELAAIYNDLTYSLTKRVHQCLIYMKLLRLLKKYYCSIFKKKMASVEKVVGVKKVRQKDSDGWDVSMPLPGDIIEGVAELASDDDSFIQAKAWSELTLFLGKIAGHFIWFKVRRGESTLKLKGYVLVERRSNLQKRFVVRAASDERHLAVIAELTLGRCTELQEMSRRMVNSGSRGYNQMGLQYDWKMKVGTYLPDSHSTVVSSIVFMPLTREYRVEATLVRTMAWFSAAVSSGIPLVFVNIQTEQINNLERRNTSGKDVCSRQLDGYVGYHQSAQGVRLWYLPGIEEVPLELTPEPGESRFGIDIKRTDEGFVSIYSVAKGTAAERAGLVHLFEEANKSKHHVVISRLEGKSVLPSTVSSEGLIYCCDHADIKDTLDLAMERSESIRLHIMSWPNQITQNTTGLFGAAAALMPPN >Solyc04g078540.3.1 pep chromosome:SL3.0:4:63328898:63332626:-1 gene:Solyc04g078540.3 transcript:Solyc04g078540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIHLSALTISILVMVVSSAAVTSAAAEDMSIISYNEKHHTIGAGRTDDEVMSMYESWLVEHKKVYNALGEKDKRFQIFKDNLKYIDEHNAMPEKSYKLGLTKFADLTNEEYRSVYLGTKPDASRRLSSRQSDRYAPKVGDRLPESVDWVKKGVLVGVKDQGQCGSCWAFSAVAAIEAVNKIKTGDSISLSEQELVDCDTSSNNGCDGGLMDYAFEFVIKNGGLDTEEDYPYTGEDGRCDLTRKNAKVVTIDGYEDVPANDENAMKKAIASQPVSVAIEAGGKDFQHYKSGIFTGKCGAAVDHGVVAVGYGSENGMDYWIVRNSWGASWGEHGYLRMQRNIANPKGLCGIATVVSYPVKTGQNPPKPAPSPPSPVKPPTTCDDMYSCPSGTTCCCVYEYYHMCFAWGCCPMEGATCCKDHNSCCPHDYPVCNVKAGTCSISENNPLSVKAMSHILAKPIGSFSNQGMKNTIS >Solyc11g042887.1.1 pep chromosome:SL3.0:11:34317056:34319512:1 gene:Solyc11g042887.1 transcript:Solyc11g042887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLQGQQREDDDKSFDAFTSVMGKEHPGSLRLYGRGVTKTSGEDNQVEEDESSSDEDLT >Solyc09g090877.1.1 pep chromosome:SL3.0:9:70768835:70773438:-1 gene:Solyc09g090877.1 transcript:Solyc09g090877.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMECRPIDTPMDPNVKLLPGQGEPRSNPERYRRLVGKLNYLTDQLPVKDYSLMIKAMSISLDILTLIEQDQPLTDVRQNVVARSSAESEYRAMATTTCELVDLDYGRHAEFHMFNS >Solyc11g051100.2.1 pep chromosome:SL3.0:11:17409777:17411255:-1 gene:Solyc11g051100.2 transcript:Solyc11g051100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSTGTVNYGEAFRNSPDLIQFPYPKQQFVEMVLSNFTSVLKVLGFIPFNKSRVGLKYQRRHVVVQDPFEELTVVEERGKLKSTNFAKIPKIMYSSMLRIGHNPPMLNCYGMAIRMSLNLMA >Solyc05g013880.1.1.1 pep chromosome:SL3.0:5:7319712:7320989:-1 gene:Solyc05g013880.1 transcript:Solyc05g013880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFRSWISPFSSANAAADHPIPPPKGMFPDDASNFSDLPSSTASPSLVSQETNSLSSLQSNLSLLTLPSVPSLQNLSPATLNLAISARCVNSLNPRNAHVTFLAMHNNLLYAASSNEINVFELINFTLIDTFNNKDPSSGSAKSVSFLDGKIFTAHQDCKIRVWKLTPNKQHKLIATLPTLEDRLRRFVFPSSYTNVRRHKKKMWIEHHDAVSGLAVNENLMCSVSWDRCLKIWGGSKLRCIESIKAHDDAINAVVVGKDGTIFTGSADKRIRVWGKPYAEKKGKIGLIATLEKHKSAVNALALSSDESVLFSGACDRSILVWEREDSANYMVVTGALRGHTKAILCLINVSDLLFSGSADRTVRIWTRGNQGQFCCLTVLDGHRTPVRSLVATPAESGGVKLFSGSFDGEIKEWQIVVSSSSGS >Solyc06g062820.3.1 pep chromosome:SL3.0:6:39747726:39752801:-1 gene:Solyc06g062820.3 transcript:Solyc06g062820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWILCNYFDNFHVDSQSAGCCHGRSQGNAGEPIESTVASHSPTMAEMQQFFLPYRGICARKGIQAQEVILQDSDVAQALTEYISQKFITTIVFGASTRNALTRAFKIQDVPSSLSRSVPEFCSIYAISRGKVLKLKSASQPATPSSKASSSRTGFSHNSPISRVHIPQGSWGSIGTFESIDAGSRSIYSDSSSVSDRNPASQSTSPNYSSATEHTPKAWSDSQNSSRNPSPDRSVSTIGEVLNKSQLTRQPGCKNLTPISSWGNSDDFGEWAPPAELVGSSENSSQASVNSNTDTTKILHDRHLGSLLPPSQHRVNNLNLCMRPKENSFYSTSGSSDLSSSSSYRFSDMSFEHLDSSHNVSEASRSSISFQNADELEEEMKRLKFELKQSLDMHNGNCRRQAREIDHSASKEACNLEEAKEAPEASHAMLEKEKQKCKAALEVAQMAQYIAELESKKRWHEAEEKKKAQDAFACSENCYRRYSKDEIEAATNYFSNSQKIGEGGYGPVYKGYLDHTSVAIKVLRSDITQGQIQFQKEIEVLSRLRHPNVVLLLGTCPEYGCLVYECMEKGSLEDRLFCKDKSSPIPWPARFRIAAEIAAALHFFHLTKPEPIVHRDLKPANILLDANYRSKISDVGLARLVPPSVSGRFTQCLMTSAAGTFCYIDPEYQKTGMLNTKSDVYSLGIMLLQIITARPPMGLTHHVERSIEKGKFDDILDPSVNDWPLEEALSFAKLSLKCCELRRKDRPDLGSVILPELERLKNIALDYRRPSSSQENLAVTEEIRNSISDSQSDKAA >Solyc05g008620.3.1 pep chromosome:SL3.0:5:2927972:2931474:-1 gene:Solyc05g008620.3 transcript:Solyc05g008620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSYYDIDDILAEEEMVPAVFLQTANEVGIFDCADDTNKVEAGTHVEMPFWLAQELYVRQVVSIKVPPYFDTKAKTRNEIGADAAHVDLRSLCPYFYDFGCKIARLIGEKTIGPLLLVAFRTRYREVLIKAHTAASIVAPKHFSLLTKEETKLYEAGQSSTAAFKKWRMGGPRLQKASVLGRKRKPVE >Solyc12g009310.2.1 pep chromosome:SL3.0:12:2585615:2595523:-1 gene:Solyc12g009310.2 transcript:Solyc12g009310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSCQSVEVGKTGQRPESKTVVHGVGSYPTKRNPVSQPKLKTPEGHDSRRRSSIVVIPETVEDLQQNPGISDLDIFKYEEMKMATKHFRPKQVLGEGGFGIVYKGVIDEHVRPGYKTTYVAIKELDPEGLQGDREWLAEMNYLGQLRHPNLVKLIGYCCEDHHRLLVYEYMESGSLEKHLFPRMCATLTWSRRMKVALDAAKGLAFLHGAEMPVIYRDFKTSNILLDADFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYAYGVVLLEMLIGRRAIDKTRPSQEYNLVEWARPLLNHNKKLFKILDPRLKGQYSSKTVAKVASLAYQCLSQNPKGRPVMSQVVEILEALQVPQGKD >Solyc05g011900.1.1.1 pep chromosome:SL3.0:5:5130032:5131030:1 gene:Solyc05g011900.1 transcript:Solyc05g011900.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sulfotransferase [Source:UniProtKB/TrEMBL;Acc:K4BXR3] MTTSQISPPKYLQEEPSEEWKKFFSTLPKEKGWIESTIYNYQGFWSSSRSIQGVIAFQQQFQAQDSDIILVTSPKSGSVWLKSLLFALVNRKNNPISQQDHPLLVKNPHDLVLFLELDLYADDQVPDFSLFTSPRLMATHVPFASLPKSVQNSRTKLVYLCRNPKDAFISMWQFANNLRLDNHKDTNSIEEMFDHFCKGVSIYGPFWDHVLGYWKESKENPDKVFFLMYEEIKKQPKIYLKRLAEFLKCPFSKEEENCGVVDEILRLCSFGNLRNLEVNVNGKMLTGIANKNFFRQGEVGDWKNYFTVEMNDKLNHIIEQKFQRCGLKFLYI >Solyc06g051720.3.1 pep chromosome:SL3.0:6:35354990:35359260:-1 gene:Solyc06g051720.3 transcript:Solyc06g051720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPQRPQFVLFGSSIVQISYSNGGWGAILSDIYARKADILLRGYYGWNSRRAIQVLDQVFPKDATVQPTLVIVYFGGNDSMGPHSSGLGPHVPLPEYIENMRKIATHLKSISENIRIIFLSCPPVDEARIRENTSAYFSELVRTNELCRQYSEACIELCKEMNLKVVDLWTALQKRKDWLTACFTDGIHLAEEGSKIVVEEILKVLKEAEWTPSLHWKSMATEFPEDSPYDLVLADGKTTINPSDWTYHRQIQWD >Solyc10g083860.2.1 pep chromosome:SL3.0:10:63718039:63726003:-1 gene:Solyc10g083860.2 transcript:Solyc10g083860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIPRVVRNGEEEAEDAFFEQEFEFEDTNSVPPHLLNLFDAAENGNLVALRHALDNLTGSIDQPLEDGDTALHLTCLYGHLSCVQLLLERGASLEAKDEDGALPLHDACAGGYMEIAQLLINSAPDPDCVKRMLESVDVEGDTPLHHAARGEHVNVIRLLLASGAYPSRTNVYGKTPSELAAPDTEPQRILEEAASAVPSQLTGEQNLYHSMATQKSQLHFILVPLMSPGHLIPMVDLAKLLAQHGVIVSIISTPLNTIRFKSGIDFSIKSGLQIRVHELKFPALQVGLPEGCENMDSLPSRDSIRDFFLAASMLQKPFEELFSDLNPRPCCIISGKNMAWTVDSARKFRVPRIFFDGMGCFSATCTQKLQSSKVHENESKFESFVVPGLPHRIELTKAQLPENLNPGSPDLVDVRNKMVAAESISDGIIVNTFEELELEYVKEFKKIKSGKVWCIGPVSACNKSESEKAARGKNVSLEQNQCIKWLDLQEPNSVVYASLGSICGLTRSQLVELGLGLEASNRPFLWVLRGGEKSKELEKWIEEEKFEERIKGRGFLIKGWSPQILVLSHPSVGAFLTHCGWNSTLEGCCSGLPIITCPLFAEQFINEKLITQVLGTGVSVGVKAAVTWGMEEKSGLVMKREDVKKAIETIFIEGVEGEDRRRKAKVIAKMAKSALEEGGSSYINIETLIHDIMQQSLSSVEASS >Solyc11g039865.1.1 pep chromosome:SL3.0:11:41924413:41930971:1 gene:Solyc11g039865.1 transcript:Solyc11g039865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFMDKMIDRLVGKGWYYSLDGYSGYNQISIASEDQEKTTFTCPYGTFAFKRMPFGLCNAPSTFHRCIMSIFSDMVEDTIEVFMDDFSVYGESWGNDQPIIEFNLVDQH >Solyc08g076970.3.1 pep chromosome:SL3.0:8:61032459:61035477:-1 gene:Solyc08g076970.3 transcript:Solyc08g076970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSLRTTISKKKKSVSLTLAISMADVKQILGDLNKDSFVTLLKKLIGEAKYVQNNPPDLIPEEDRIVNHVLEILNPYSTKNGGALIINHVSYTPNRGNLIVEYPGTDPKKVVSFVGMHMDVVPANPDQWEFDPFSLSVDGDKLRGRGTTDCLGHVALVTELMKKLAETKPKLKSSVIAIFIASEENASIQGIGVDALDKDGWFDKLKEGPLFWIDTADKQPCIGTGGVIPWELVVTGKGFHSGLPNKAINALELGMEALKEIQTRFYRDFPPHPKEVIYKFEAPSTMKPTQWFYPGGGNNQIPGECTIAGDVRLTPFYNVSDVIKKLQEYVDDLNANIEKLDTRGPVSKYVLPDENIRGSMSISFEEPYSGVACDLDSLGYKVLAKATEEVVGYVEPYSITGSLPLIRDLQDRGYDVQTTGYGIMDTYHADNEYCLLVDMSQGYQVFASIIAQLEDLYI >Solyc06g068830.2.1 pep chromosome:SL3.0:6:42768776:42770877:-1 gene:Solyc06g068830.2 transcript:Solyc06g068830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIRRGKRRYESEEKEDRNYNHMYSSARSQHDMSTMVAVLSQVIGNKSTTNTNSSSSSSAHHKPLLTLNHQSNTTAAMQNQLPQLNQQQGNNEKRRRQYRGVRQRPWGKWAAEIRDPEKAARVWLGTFHTAEDAAIAYDEAALKFKGNKAKLNFPERVQSTTDQFGISYLITNTNHQQHQFQPTNFLPNSDQLQQHHYSNHNADDLKFGVSPSFYHPTGFNPKALDLVEPSKSSSMTYLVQQASSHQVQEEPRYINHQQEDENNLKFSSYFGTYSSSGPTLGEFEDQK >Solyc08g016190.3.1 pep chromosome:SL3.0:8:7011977:7024917:-1 gene:Solyc08g016190.3 transcript:Solyc08g016190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TVAATGEPLPANYYYGTFQGVPNYQTPPSQSQPVFGFPQPIPPPGVPVVPPCYYPHGYQTVQGYVFAEGRPIREHRLPCCGMGIGWFLFIIGFFLGAIPWYIGAFLLLCARLDYREKPGFIACTLAATLALVAVTLDVTKVNYTW >Solyc09g092040.3.1 pep chromosome:SL3.0:9:71685973:71690986:1 gene:Solyc09g092040.3 transcript:Solyc09g092040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATNILLQCGDRGTLLGSAAEAQQHAQEFCHTNYYESQEAIRFIVCYNCGHKCSCETESVIHSRKSGHTEFYDRTAEVTEEAARRNRANIRQMLRVAIDRLDEADTSEGARRQQQQQLGLPSRPVLQEGQSSLTLAAKVEQMAECLRTIQQNSMDDAAKVMQAFNSLRMFVRNIATNPDEEKYRKIRISNTAFQARVGHLRGGIEFLEVCGFERTIGGEHLYMQRENVDSDVLYAAANVLNNAIGNA >Solyc05g005860.2.1 pep chromosome:SL3.0:5:665736:672023:1 gene:Solyc05g005860.2 transcript:Solyc05g005860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAMEMKVKMKEALPYIGMASTQFAQVGLMIVGKKAMSAGMTNFTFVFYSNTLAALILLPSFFFYRSTRPPLNFSLISEFFLLGVLGCSAQLTGYTGINYTSASFASAMLNLIPGFTFILAVIFRMEKLDCRSTSTLIKSIGTIVSIAGAFTATLYKGPQILLTSSSKPQNYLHFQETDWIIGGLYLVVDCVVSSLYLIVQASVLKKYPVELIVVFFYCFFASILSVTVSLFMDNNSNAWLLQPGTRLFAVLYSGIFGSAFQVSVMFWCIRRKGPLFVAMFHPLGIVIAAALGIIFLGDIFYLGSLVGSIVIVVGFYAVMWGKTKEDMVDEDKLTRNINSKAPLLEIKDAETKI >Solyc03g098290.3.1 pep chromosome:SL3.0:3:62070412:62074624:1 gene:Solyc03g098290.3 transcript:Solyc03g098290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATTPVADSMPDALKQSRYHMKRCFARFIATGSRLMKLKYLMEDIENTIEDKAERTKVLEGSLGQTLSSTQEAAVVPPYVAFAVRHNPGCWDYVKVNADNLSVEAISPKEYLKFKEMIFDEEWAKDDNALEVDFGAFDYSNPRLALSSSVGNGLNFVSKVMSSKLGGKPEEAQPLLDYLLALNHQGENLMINENLNSVSKLQAALIVAEVFVSSFSKDTPYKNFEHKLKEWGFEKGWGDSAGRVRETMRLASEILQAPDPINMESFFSRLPTTFNIVIFSIHGYFGQADVLGLPDTGGQVVYILDQVRALEEEMLSRIKQQGLNMKPKILVVTRLIPDARGTTCNQELEPILNSSHSHILRIPFRTEKGVLRQWVSRFDIYPYLENYAKASDATVKILELMEGKPDLIIGNYTDGNLVASLLANKLGVTQGTIAHALEKTKYEDSDVKLKEFDPKYHFSCQFTADLLAMNAADFIITSTYQEIAGSETRPGQYESHTAFTMPGLYRVVSGINVFDPKFNIASPGAEQSAYFPFTERKKRFVKFGPAIEELLYSKEENNEHIGFLADRRRPIIFSMARFDSVKNLTGLTEWFGKNKKLQNLVNLVIVGGFFDPSKSKDREEAAEIKKMHELIEKYNLKGQMRWIAAQTDKYRNSELYRTIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGVSGFHIDPYNGDESSNKIADFFEKCQVDSIYWNRISEGGLKRIEECYTWKIYANKVLNMGSIYGFWRRFNVGQKQAKQRYFEMFYNPLFRKLANNVPIPYEEPLPVAPLDTIPSQEQKLPVPVPTAVAELPTLPTIAFQRTEQKEEEKQVDTTTTSTTEIAKQATHWICLCVSASIIVYAMVKLYRIVE >Solyc04g082740.3.1 pep chromosome:SL3.0:4:66393766:66395430:-1 gene:Solyc04g082740.3 transcript:Solyc04g082740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNMECLHPKKKIKRILWKRSKEKSNGEDSAGNGTSLMKMEHNVTKRHHSDSMTSGVDRPCMMSLLPLPNLEYCNSGGPAILTGTACKGVAGPPVGVVDIGVSISAYYFRIALPGVKKDPGEFNCEIEKDGKVLIRGVTSTGGRTVSRYSRVFDMKIQQQCPSGPFTVSFRLPGPVDPRLFSPNFRSDGIFEAVVMKYEQ >Solyc02g038725.1.1 pep chromosome:SL3.0:2:31919530:31932087:1 gene:Solyc02g038725.1 transcript:Solyc02g038725.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHTNAKGGTEGSGSQSNSAAANANIVQDYASLTGNDVALIVGDKQQGWIIDSGATNHMTSLPTVLDYQQQVLSDKPRRVYLLNGDNVKLPLIEVDTGTSTVDGSIPAQRRSTRSSRAPFWMKDYVVVASLKSDDMMITGNDLSLIKATKGTLLNTFKMKDLGDLRYFLGIEFARSQEGIVMHQRKYALEIISEVGLGAAKPVSTPLDPYVRLTTKEYDDMNGKGEEDKLLEDATTYRRLVGKLLYLNVTRPDIAFATQTLSQFLHQPKQSHLNVALRIVRYIKSQAGQGVLLSSKSSKQLKVYCDADWGACLHTRRSVSGFMVKMGESMISWKSKKQATVSRSSAEAEYRKNYIIPKLL >Solyc06g005490.3.1 pep chromosome:SL3.0:6:504081:510531:1 gene:Solyc06g005490.3 transcript:Solyc06g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKFFVGGNWKCNGTVEEVKKIVTTLSKAEVPSEDVVEVVISPPFVFLPLVKTLLRRDFSIAAQNCWVRKGGAFTGEVSAEMLVNLGIPWVILGHSERRLLLNESNDFVAGKVAYALSQGLKVIACVGETLEQRESGSTMVVVAAQTKAIAEQVTHWSNIVLAYEPVWAIGTGKVATPAQAQQVHFELRKWLHVNVGAEVAASTRIIYGGSVNGANCKELAAQPDVDGFLVGGASLKPEFIDIIKSATVKTTAKSE >Solyc02g083460.3.1 pep chromosome:SL3.0:2:47413818:47417877:1 gene:Solyc02g083460.3 transcript:Solyc02g083460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNSGKIRNFFLAPIIFLAILGYQLKTTDGFGTFGFDIHHRYSDPVKGILDLHGLPEKGTVEYYSAWTQRDRFVKGRRLADTTNPTVPLAFSGGNETLRLSSLGFLHYANVTVGSPGLSFLVALDTGSDLFWLPCDCSNCVRALQTRSGGRINLNIYSPNTSSTSEIVPCNGTLCGQNRRCLASQNACAYGVAYLSNNTSSSGVLVEDILHLETNNAQQKSIEAPIALGCGIRQTGAFLTGAAPNGLFGLGIENISVPSMLASKGLAANSFSMCFGPDGIGRIVFGDKGSPGQGETPLNLDQPHPTYNISLTGITVGSKITDLDFTAIFDSGTSFTYLNDPVYKVITENFDSEAKQPRIQPDGTIPFEYCYGISANQTTFEVPDVNLTMKGGNQFYLFDPIIMLSLPDGSGAYCLAVVKSGDVNIIGQNFMTGYHVIFDREKMVLGWKASDCYDSGESNDRSTTLPVNKRNSTEAPSPASVVPEATKGNASANEPATSFPSVPSSRPAGNHAPHLNSFYYQLMMAIFSFFNYYLIIISS >Solyc05g055820.2.1 pep chromosome:SL3.0:5:66153986:66155759:1 gene:Solyc05g055820.2 transcript:Solyc05g055820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMKSMLSQIIQSVKYQMIEDELKLSNYLSWTFCSCIFGKRKPDPDFYLEVVKHLNVNVSNCIFVDDRMGNVEAAVKLGLKGLQFKNADLLQKDLSLLGVDISTNESEDLIECSS >Solyc01g107933.1.1 pep chromosome:SL3.0:1:95204904:95205945:1 gene:Solyc01g107933.1 transcript:Solyc01g107933.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLSQLNCSFMQKVPSLYRSSDSQLDIADALPIESFSFCSTCDCCELFLYATEITILEHQKLYNQFSYLIFSLLSSDIML >Solyc03g026373.1.1.1 pep chromosome:SL3.0:3:3868453:3868737:-1 gene:Solyc03g026373.1 transcript:Solyc03g026373.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKFRGVPERFLNSPIDVKGLNFELIPFGAGRRGCPGNAFAVVMNELALARLVHKFNFALPEGLKLEDLDMTEVSGIAIRRKSPLLAVATTWSI >Solyc01g087360.2.1 pep chromosome:SL3.0:1:82186452:82188979:-1 gene:Solyc01g087360.2 transcript:Solyc01g087360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFLDDNWILQKLGQNAKGKRVEFHHPFDNTWHRGTVVEVFEGSSVVSVALDDGKTKNLELGKQGLRLVSPKQDS >Solyc02g069840.3.1 pep chromosome:SL3.0:2:40236296:40240347:1 gene:Solyc02g069840.3 transcript:Solyc02g069840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding TPKPRTLRICSEKQRRRHKKMNKKEVFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRQHGVNYGNFMHGLMKENVQLNRKVLSELSMHEPYSFKALVDVSRSAFPGNKKSIVPPKKEGLAVVL >Solyc03g078310.1.1 pep chromosome:SL3.0:3:51780938:51781658:1 gene:Solyc03g078310.1 transcript:Solyc03g078310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVSSCMLDLLLSDRSVLFSNLHILIIYALNLLILQGSKCEKITRRAVPLSSHVEAVMRVVACAHILQAYCLSESCAGTFVSLPTNFIYSVQLVF >Solyc09g031700.3.1 pep chromosome:SL3.0:9:28302897:28314686:1 gene:Solyc09g031700.3 transcript:Solyc09g031700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPATLRYLSTSSSFRKPNFFAKQLVFNDKLPSSCKFPPNHKSFKLITANTFFRTKIPVRSNRIRTSSNDSQSVQQQGSFVEFITSERVKVVAMLALSLALCNADRVVMSVAIVPLSLSHGWRQSFAGVVQSSFLWGYLISPIAGGTLVDYYGGKLVMAWGVALWSMATLLTPWAAEVSLWALLAMRMLLGIAEGVALPCMNNMIARWFPPTERSRAVGLAMAGFQLGSAIGLTFSPILMSQGGLFGPFVIFGLSGFLWVLVWVSATSSTPEHSRQISIHELRYIQNKGQIHNIVEGKSKSSKGIPPFRRLLSKLPTWSIIVANAMHSWGFFVILSWMPIYFKTIYHVDLRQAAWFSAVPWSMMALTGYFAGFLSDMMIQRGISVTLTRKVMQSVGFFGPGFSLIGLTTAPSPSIASAWLTLAVGLKAFSHCGFLVNLQEIAPQYSGVLHGNSCPFSRTFSTCWNRLVLILMLVTCSHVSIYLANMK >Solyc06g072335.1.1.1 pep chromosome:SL3.0:6:44745760:44750552:1 gene:Solyc06g072335.1 transcript:Solyc06g072335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFWVLSIPFCLFPIKRKKKERGIDYLDIVLHPISFCSGQSCIQTINQFIYKTKNPKGLPNNDVYWVADSRSQLKRNLRKYLVDTELSKPKTFHRIIYVVLETMHMDSGTDTYPLRMQVYGVFFCC >Solyc01g105720.2.1.1 pep chromosome:SL3.0:1:93681396:93683299:1 gene:Solyc01g105720.2 transcript:Solyc01g105720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFGFVYCPKRGEIKGNHFPELKIGLHQDAVLIIKLPDSRALRIMSRSLFFAMVLFILPSIGSILRGSFDVIDDSYVNSDGRDFNVLHNLFRDLADEGLVKKGHKGLVLSSENDRLIKDLELSNDNVVDLVLDSDMDYKNSIPNETFDFAIAQNEWNSKFIDRVLKIGGIVVTQLSNDPLAELKALANYRIVYIRRFDKTLVGVRKTGVLNAELNSQKKDIVCGSTPEAKKVALKGLEDVLLEPPKRALLKSFSRKMKFLPELLGDSLENYPRRIFISDEKNGVAEWFKRSYPANNQDFEIYNLDVEIQESEQSGDGVALVGTAEWLSKNVKEEDYVVMKAEAEVVEEIIKKKAICLVDELFLHCRNQLEEVGNDDDDEEENGSQKRAYWQCLTLYGKLIDEGIAVHQWWS >Solyc05g010610.3.1 pep chromosome:SL3.0:5:4848223:4852357:1 gene:Solyc05g010610.3 transcript:Solyc05g010610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIEIKNDSEPEKRNDQEVSMNYQSPNVSSEWQLNGSNLTNSSMGMVDSFCPTTWDQPTTNSSNLGFCDASVQMDLGPFRAGVDSTLGPNWTPSNAVLKGGMFLPPVPMMLPQSLAQFPADSGFIERAARFSCFSGGNFGDMMNPFSIPESSMNPYYRGLSSMQGPQEVLANNGLKSPQKLQHLSNVAESSKDVSLTHRDTQRSPLKNEKKSENVAKSQDEAKEVAGVSGNESDEAGCSGRQEETEGAGEESCGKNIGSKKRKRGGQDTEPDQMKGAQQPPSEIQKGEQNLNPIASKPGGKNGKQGSQFSDPTKEEYIHVRARRGQATNSHSLAERIRREKISERMKYLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFDIDGLLAKDILQSRAGPSSSLAFPPDMTMAYSPLHPPQAGLLQSGLPGYGFPSEGFRRAINPHLATTSCGPGDYKDPSSQAPNEWDNELHNIVQMGLNSSVPSSSQDLSGSLPAGQMKAEP >Solyc12g010610.2.1 pep chromosome:SL3.0:12:3586933:3589397:-1 gene:Solyc12g010610.2 transcript:Solyc12g010610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELVELNEVKNTIDEVFDNVTLLDVTSNEDILVSNDVPVLSTNTCFIMENVESSGISQEHESSGVETEQLTNAVEHKFELFSDDVMADEIIERDVPGVATDVQVLEANEVDTDDSVMENVDEGNEESLLNVDINDQDKEISKDVTFASQNSRCVVDSVELSESVVVPEMMIDTAPLVSVNVMSKESIKEEENSGMIHGQSTDAVEEENNGLSPQSSNELLKPNAASAERIGLADSNETGTVSPSNPNELLDVPQVTVPRETEDQVYFACPKHCNAECSFSQLLRDELLENVEELKVAIRSLKEDIEKTLDKLDSISY >Solyc01g105540.3.1 pep chromosome:SL3.0:1:93574613:93577524:-1 gene:Solyc01g105540.3 transcript:Solyc01g105540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSTTARAGGVFLPIIKSLSLSAGSKPGDPSSRKLGSYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGVVIANPWVSWFKAASLPAFISLLATPFILYKLYPPETKDTPEAPAMAAKKLQLMGPVTKNEWVMIGTMLLAVSLWVFGDALGIASVVAAMLGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTNLGIVGWMSSCVAKSLQALSLSWPAAFGVLQASYFCIHYLFASQTGHVGALYSAFLAMHLASGVPGVLAALALAYNTNLFGALTHYSSGQAAVYFGAGYVDLPDVFKMGFVMAIVNAIIWGVVGTFWWKFLGLY >Solyc01g079925.1.1 pep chromosome:SL3.0:1:78939396:78940130:-1 gene:Solyc01g079925.1 transcript:Solyc01g079925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGL >Solyc11g045695.1.1 pep chromosome:SL3.0:11:28267284:28267646:-1 gene:Solyc11g045695.1 transcript:Solyc11g045695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELIRTITQQKIRVWFRNTLICEMGVSYSYSRLIHDLYRRSNRNCLCMISNEII >Solyc04g051870.2.1 pep chromosome:SL3.0:4:51109895:51113214:-1 gene:Solyc04g051870.2 transcript:Solyc04g051870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSSQSQLIPNLPDDIALQCLARVPRSHHPVLSLVSKSWRCILSSTALYTTRSILRTTETFLYLNIRVNSTFHWYTLFHNLTFTNPEKPRKLFPLSSIPTKPIGPAYAVLGSRIYLIGGSIGDIPSNNVWVYDCRLNCWEMGPRMRIGREFAAAGVVNGKIYVMGGCVVDNWARSMNWAEVFDPMTGLWTALPSPIEVRDKWMHASAVVGEKMYAMADRGGVVYDVGGCEWGSVSKRLDLGWRGRAAVVGGLLYCYDYLGKIRGYDVKEDVWKELKGVDKGLTGCVLCGKAKGGVRRLTLCVRRSKCGRIKMEG >Solyc08g068920.3.1 pep chromosome:SL3.0:8:58051586:58062958:-1 gene:Solyc08g068920.3 transcript:Solyc08g068920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLLTLDAMLFHMIGGQLKRFAKARKDLLVAVNEMVRHMFEEIDYILEAQNAERFASLYACSNKEKTVPGSAVTDNVECQKTVGIKVPKIYWNFTRKEVLTMEWIDGIKLTDESRMRKANLNRRRLVDQGLYCSLRQLLEVGFFHADPHPGNLVATEDGSLAYFDFGMMGDIPRHYRVGLIKVLVHFVNRDSLGLANDFLSLGFLPDGVDIQSVSEALQASFGNGTRQSQDFQGIMNQLYDVMYEFNFSLPPDYALVIRALGSLEGTAKALDPDFKVVESAYPFVIGRLLVDPTPDMRRILRELLIRNDGSIRWNRLERLIAAISQQASETEGETQESYSDPLGSFDIRAVVSATEDLFQFILSDKGSRVRVFLVRDIVKAADVFYQDEFLNNLLDEKLRARRLFGYEEHGIMVRVLSGFHSLGRAVKLAPDLWSAMLIRLVVKPEFQKFACDVSSALISHFKYHLPVSFWMGISQLLHRVVDRDR >Solyc02g090010.2.1.1 pep chromosome:SL3.0:2:52326666:52329042:-1 gene:Solyc02g090010.2 transcript:Solyc02g090010.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDKKIDSKDVEALQQNGDESRSLQIKFLFFARARDLTGMTEMPLEVSYGSTAGDCLNKVISHFPRLEEIRGCMLLARNEEYTAESTTVKDGDELAIIPPISGG >Solyc10g054670.2.1 pep chromosome:SL3.0:10:55657000:55661435:-1 gene:Solyc10g054670.2 transcript:Solyc10g054670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEEKQLELFLNSSNHKCLPPIQSGIESMIYGVCSCGLNNITVGTIRSGVEIKGKPEWNVVVMNNCDCPMQKMVLSCNDFQTTEPVDPTIFKPLGNNECSVNNGNVIPAKNTVNFSYAWDPPFFLRPTFVTTSC >Solyc04g082580.3.1 pep chromosome:SL3.0:4:66298267:66307943:-1 gene:Solyc04g082580.3 transcript:Solyc04g082580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNKMTSERFTGEESLQQDLESLSVSKRLVRSVSQKLKKKNLRSGGEEEDDSKGISLRCLTLYGRGGGCKVGADTGDDLGDSCGRRRSNASEEGKGYNPICGNEETSVDCFSYGMREKFWRKSNRKSQELEAALQNKSMNVFLPDDILEMCLLRLPFISLVNARMVCKKWRNLTLTPRFWRMRQEGSFQRPWLFLFGVVKDGCCSAEIHAFDVSFNQWHKMNSEVLKGRFLFSVAGIHDDVYVVGGCSSIANFGKVDKSSFKTHKSVLAFSPLMRMWRKAAPMKHARSSPILGTYEISSDCLIIRNQQTRGDRRFYRPRVGGVSDVYEDPHRLSVRRQFRHSLDENEVTFLPNVKPYKFVKQKSEHSNKDQRRFLLIAVGGLGCWDEPLDSGEIYDSMSNKWTEIQRLPVDFGIACSGVVCNGLFYVYSESDKLAAYDVEKGYWVRIQTSPFPPRVHEYHPKLICCNSRLFMLSVSWCEGEGQIGRRNKAVRKLWELDLMPLTWREVSIHPDAPMDWNAAFIADKNSIFGVEMFKIFGQVLDFLTVGDVTDAGINWSHISRNRLAQELDAASCLTKSMAVVHL >Solyc10g080120.1.1 pep chromosome:SL3.0:10:61640364:61640914:1 gene:Solyc10g080120.1 transcript:Solyc10g080120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLSIFLVMFLIIHRQNRYKRKSRNGQSAQEFRPLIRSMSGHSVSVFHLMNHAFFKALLFLSAGSVIHAMSDEQDMRKMGGLASSFPFTYAMMLMGSLSLIGFPLKRFDLLVRKSLTL >Solyc03g058830.1.1.1 pep chromosome:SL3.0:3:28226728:28227156:-1 gene:Solyc03g058830.1 transcript:Solyc03g058830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAISTPLNIVSAGVDFVVIDRVKDLPIAVQTGANIGRGDKNVSKNMDDSISVTKQTGSQIDLHTCQQTEGFNNKSGSKMSKRKEIISKRCRRIEVKQRKIRILLRMIMRLLILRMNGIMIISLSMILRMMSLVLIILRVQT >Solyc08g079235.1.1.1 pep chromosome:SL3.0:8:62971573:62972124:-1 gene:Solyc08g079235.1 transcript:Solyc08g079235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSAMASNPAAIKFIKVSCKATLYPVLCVQCLSAFANTVKQSEKQLAHAALSVSLSKAKSTIIFVSKLNRMRGLKPIEKQAVKDCMDTMSDSVDQINKSIPELGHTGQFSSGQDFMWHVSNVQTWVSAALTDESTCLDGFSGPGVNVNVKAALRLRILHVAQVTSNALALVNRFADRHRPSP >Solyc07g042670.1.1.1 pep chromosome:SL3.0:7:56333728:56334135:-1 gene:Solyc07g042670.1 transcript:Solyc07g042670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRSLQLRLGEMLVLGKPYSCGSTSIEDIIENFLKMKVEDPRLHYAEGESNGFEELKDLHKKLQTCNEKEKKRILMHKILHPGSEIPQDKHMEEQKLALKLRVEKMKKETHDSILMEHLKFDLNVAPDPEDEEEY >Solyc09g074250.3.1 pep chromosome:SL3.0:9:66451550:66456133:1 gene:Solyc09g074250.3 transcript:Solyc09g074250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKTKVNAFSPDTVLEDFLRTAESESDSSKASTSESDGSKNQRSSSRWTGFLELFRSKSKGHMTKDPLISSLKLSKRFSRSMRETSSGDMPSSILDNGLSYFKPQWKLFTLSELQTATNYFHQENLIGKGGYAEVHKGRLRNGQFIAVKRLTRGPQDERIGDFLSELGIMAHINHPNTARLIGYAVDGGLFLVLELSPYGSLTNMLHASKQKLEWKIRYKVAIGIAKGILYLHEGGQRRIIHRDIKAANILLTKDLEPQICDFGLAKWLPERWTHLTIGKFEGTFGYLAPEFLMHGIVDEKTDVFAFGVLLLELITGRRALDYSQQSLVIWAKPLVKKNRIRELADPSLADDYDLLQMNLMVLAASLCVQQSSIKRPRINQILQLLRGNSESLDIIMRIRKPSHWKRYYEELFIAEENKMTRGLSGLSLQEQIALEVSLR >Solyc07g021463.1.1 pep chromosome:SL3.0:7:18422263:18430790:1 gene:Solyc07g021463.1 transcript:Solyc07g021463.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQLLFFYFCSMQDVLAIVINDRMTVLQQRQQLESDFKSSLSWRD >Solyc04g007945.1.1 pep chromosome:SL3.0:4:1586306:1586711:-1 gene:Solyc04g007945.1 transcript:Solyc04g007945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVILVIPLSKQQFFKVLILLIKIPEFDIDFRGQDYEFIPFGSGRRSCPGTTCALQVEYLTIGHLIQGFDYKTRLDEPLDMKEGPGMTMRKVNPVEVIITPRLTPDLYKI >Solyc01g008200.3.1 pep chromosome:SL3.0:1:2283058:2284206:1 gene:Solyc01g008200.3 transcript:Solyc01g008200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDRHNLFAELALNCVVSAGCSPTGPILCGKPILRSAVPSYCSLHSQKAEKHVARALKKAGLNASNPSKIVPKFHVIVAECVSQIQNRRRAAQKATLEMAEVKEESSC >Solyc08g006705.1.1 pep chromosome:SL3.0:8:1258697:1258997:-1 gene:Solyc08g006705.1 transcript:Solyc08g006705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRAVDWKLLSGVKIYVRYTLLFPELQKLSAGGNQLLSELNLYWRCISNHGFTESHLLSVHLLEQIYGHEEVLDLRQ >Solyc09g057810.1.1.1 pep chromosome:SL3.0:9:51317348:51317497:-1 gene:Solyc09g057810.1 transcript:Solyc09g057810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSKDTNIVNQEGGKTLAEFPNNIDLGKLGSGQDPKNNEHSTTSKRGDN >Solyc01g099220.3.1 pep chromosome:SL3.0:1:89391682:89412979:1 gene:Solyc01g099220.3 transcript:Solyc01g099220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQTDSKFFSVRIVSIDYYMTAPLPGFDICYSSFQGGRVNEVPVIRVYGATPGGQKTCLHLHGALPYFYVPCSELFLQSDEKGSECTNALALALEKVLKLKGNAGSKRQHVHGCSLVRARKFYGYHSSEELFLKIYLYPTLPNVGAFCCELIVATGKEALLDTEISFTAFDILGIEGWTIILFFLLSLQFCVPSIQGGAVLDKSLQPHESHIPFLLQFLVDYNLYGMGHLHVSKMKFRNPTPDTFSPRKANCVDRRRPSDMSTSTTAEFQVDLDGESCFNMPIWISSTIPDNWIWKLSSQADPSTDPDIPNIKRQSISELEGDASVDAIMNQQLISYMSLSQTCSQEKMVQSLIPIWEEEFARNGVHEVGLPPDPGKPLRDDVLRTLSHWIGYEEILMGLSNDVKVSSDMLQSVNLSMNDGNIANIGHCGSLNSIREPSRCPEEGLFQGHVLEKRVGTDACPKQLLADQLEATVSMVASQDVKASDQDALRLLNWLASSQAAEDINSDDDLARETILSPLMPATTIDTALEKANVAYENESQQECEDILDSVHDCYFEELDRKTSQSINNDHSCRSSTSTMIPQLDGSNDDPSPISFVNESSETPKRTRTSSQADSWNKATLATSNKHKKEKTGYCSLPIALGQNLNDSHLTPSIHICDERDGRGTFSHMNFNKYPNFLTRSSKESANCEVESGMIVECSTRDLMRLKRSYQAEPSEYGNQVKKVQLGAKGKEDSSFNSESIHDEKEKMPHDFLISRSAITDQPRECHERNPLALQLQVEPGDIKADKSNSPPHDKLPLLCSSMQENASTSQGTKDLSQLPDVENKRSAVYMGGCGCCSCENIDSCVICTKISDPDLCTSIVAPCSRFTSETEEKFPGCGKLLQTNVIGLSQSSASPSCSISTVVGVSADDLELKGMTFIKKPPKVEFTDEPRRNAQSACGTPSYHVNKKNKIRTCDQDRGLDECPPFFEGNCLVKEKISSANCGTSNYVPCQDNLLGVPVHYQNDGSYLYMLTPVYSPPRSESVRRWLSLDYVVSSKMDVVSAPPVYPSTKVCSDHIAESQDSQSTFCDQPLMYSGSEPNPNQLQANKKCQEKNGVQMNPVVPDARIKQDEEIILKCEPSMRGSQDLSQISGPDRKSRLTPLSQTGFRDPASIGCGQQLTILSLEVQAESRGDLRPDPRFDAVRIIVLVFQEDDDFGSDTHVLLHCNGESVQRNLDGVSECKVLTFIEERQVFFHIIKMINSFDPDIFMGWDIQGGSLGFLAERAAYLGIGLLNKISRTPSEGNIASRDSEGGKLSDILSEAVAADPMFHEDAAIIDDEWGRTHASGVHVGGRIVLNIWRLMRGEVKLNLYTLEAVAEAVLRRKFPYIPNKVLTNWFSSGPERARYRCIEYFLERTKLNLQIMNQLDVVNRTSELARIFGIDFFSVLSRGSQYRVESMFLRLAHAQNYVAISPGNQQVASQPAMECIPLVMEPKSGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGKVTSTNANILGVSSYSPDTNVMHNLKDEILLTPNGVMYMPPRVQKGVLPRLLEEILDTRIMVKTAMKKLAPGQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCARRTLESAISFVNTNHRWNAKVIYGDTDSMFVLLEGRSVEEAFRIGHEIASEVTAMNPNPVTLKMEKVYHSCFLLTKKRYVGYSYENVGQSKPVFDAKGIETVRRDTCEAVSKIMERSLRVFFEYRDIEKVKSYLVRQWKKIISGRVSLQDFVFAKEVRLGTYSAQASSLPPAAIVATKAMRVDPRAEPRYAERVPYVVVHGEPGARLADVVVDPLDVLSIDSPYRLNDIYYIKKQIIPALQRVFGLVRADLNQWFSDMPRPGREASGKRHRFTANAHRTRIDYYYLSKHCIICGELTQASSYVCQNCSSNEAIVAAALTGRTSVLERNIQHLAAICRHCGGGDWLIESGVKCTSLACSVFYERRKIQKELQSLSGVTTEAGFYPSEGLAVLLLPFSILSINTTMVLSLLLLRRLSSCRTKPYLFTLSQTKTFSSFSPSDKPSSLSARMSFVFDQIDAIEKERSGFSDVGSSEMELESRKNDGFEGSGGLMSKKVELMHPWPEWIELMERLVQQNYFDHKRKDEDKMIENLGFSLTGVAEDEGFDFTRDWKTAQTAVLNFGKDRFDMLRSLSRQDLQILVGYGCPSTDKKVVFSSKLLRKHVHLDEGDVCSSCNLRSSCERAYLLTNKEDEARTMDVMRVLLTFGFNAINGSVDNESLMKKKSVKTVVRKLLHEIVKLSAVPIDPNLPPPVFKKPPPKVKQPPPPPRKRVGRDDIEMKKGDWLCPNAIVQKTVPIDICKALYGMMCFDINFFPLDGPERCDFMNFAKNTICLQCDANRPKRQLLPGEWECSQCNFLNYRRNVVCFHCECKRPVDDYMVAQQQERLQGSRTQMDKISRRQDVSNAWNFDFDDDESDGADIAAFETADSRKLGEDFPLDRQERRDTSRSNEDGFHKSSRPPKGYETEYPAPGKPGVGFNDFDDEEDDVDSYEIDSNGANRSSKIDFSDIEVNSESEDIDSVDDTLLVGRRNSSPASDAHFRQRHQKGAFRGSEDAEVDFDTDDELPIKTNMRSSQVSYSKPRSRNKGAKSFDSDDDYGLSSDSDDRDFRSQQNKGNKWGSRKEFGRRSSSYSEDEPFSDSESNKGRSFHKNKQRGGKAGQNGRWDSSEVGGDGIRDKRSSFRDNMKRSPRDLRGSSRRSQDNGYNDYRSRGREESYKQQRGRNSNYGDQSDSYLDDERHRRPRINVR >Solyc05g009510.1.1.1 pep chromosome:SL3.0:5:3682088:3682315:-1 gene:Solyc05g009510.1 transcript:Solyc05g009510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCFIAKRQYIVGDKKKLIIAIHVIYITYIFLRALKYIVFFSKSSKTRYLIHDQNFKKITFEIQIISLRQDQKEFI >Solyc12g009470.2.1 pep chromosome:SL3.0:12:2722792:2729725:-1 gene:Solyc12g009470.2 transcript:Solyc12g009470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Heme oxygenase 1 [Source:UniProtKB/TrEMBL;Acc:Q94FW7] MASISPLSQSQPLLEKPQFTVLNKSQNQFFSIPFSRFTQSCNLSLKKSRMVVVSATTAAEKSNKRYPGEAKGFVEEMRFVAMKLHTKDQAKEGEKEPVDQPLAKWEPSVEGYLKFLVDSKLVYDTLERIVEKAPFPEYAEFRNTGLERSEVLAKDLEWFRQQGHAIPEPSTPGVTYASYLEELSEKDPQAFICHFYNTYFAHSAGGRMIGKKVAEKVLDKKELEFYKWDGDLSQLLQNVRDKLNKVAENWTREEKNHCLEETEKSFKFSGAILRLIFS >Solyc01g017170.1.1 pep chromosome:SL3.0:1:23804164:23805191:-1 gene:Solyc01g017170.1 transcript:Solyc01g017170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALIFITIGIGFKISPARFHQWTPDVYEGVRFVREIPTSLSISEMFGFFKTSWTCRREMLSPLVPSCFSFSRSNFRYSFFFSSNERHFLLEILAILSMILGNLIAIIQTSMKRMLAYSSIGQIGYVIIGIIVGDSND >Solyc11g069940.1.1.1 pep chromosome:SL3.0:11:54833777:54834205:1 gene:Solyc11g069940.1 transcript:Solyc11g069940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQGVRRYSPLTDGGGVTLELTTTTNSPLAIDVTESTEMRIRRLITENPVVIFTRSGCCMCHVMKRLLLSAVSVHPTVIQLEEEEIAALPAGAGDGAEDGGEMPAMYIGGTRVGGFESLVALHLSGGLVPKLVEVGAITEMVL >Solyc11g006430.1.1.1 pep chromosome:SL3.0:11:1136250:1137335:-1 gene:Solyc11g006430.1 transcript:Solyc11g006430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTMKGKLLKKLKTMKTIGYLKPERILFSNASDGYIHSSPRKSDSSRFNCQSPLTPVQKNVQSSVVDQEAEIIDVSELMKDLEDEEMEIEEDKENVKPVVVNVKKIENFISPLQPKKENFTTPLLDFDVSNFRRPDLDSGTLFDPNLLALFEEAVMVVKAQEEERKAKIEEKIFKPLEDDEEKEPPLKARKLEEIVNPLLEFEEKCPPGGCDSVILYTTGLRGIRKTFEDCHSIRFLLENFRVVFFERDISMHSEFKEELWRILDGKVVPPRLFVKGRYIGGADEVLTLHEQGKFRPLLEGIPIDNFQCPCEGCAGMRFIMCFKCNGSRKIVLDDDDEEEVESMKCPECNENGLIVCPYCC >Solyc01g099030.3.1 pep chromosome:SL3.0:1:89249249:89278818:-1 gene:Solyc01g099030.3 transcript:Solyc01g099030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKAIQEVEKEYSNITLIYADYYHAYLWLLQNATSLGFDKYCLRKACCGIGGEYNYDKSRICGNPTTYINWDGVHLTQTTYKLVAIFLIIMCFVLLQQNGDAQKHKTSEGLLMKCRFDKIYQLGDSISDTGNCLRESLCGTHSSCRRSPYGMNFFHKVTGRCCDGMLMIDFIARESGLPFLNPFKDENADFSHGVNFAVAGATALSVESLAEKNISMSFTNSSLSVQLRWMSSHFKSIASPDEKLKKSLFLVGEIGGNEFSYGLLQGKSIKEVRKMVPQVVQTIIHGVKRIIDFGGTRIIIPGNFPIGCITSMLTKFKTNKTTAYDEYHCLKDLNSLARFFNHHLRQAIVQMKKKYPNVILIYGDYYNAYLWLLRNAVSLGFDENSLQKACCGIGGNYNYNPSRTCGAAGVPNSDAQELIKLQKPRLRNCRFDKIYQFGDSISDTGNCIREPLCESHSDCRKHPYGMNFYENATGRCSDGMLMIDFIALESGLPLLNPYKDRIANFRHGVNFAVAGCTGISAEIMEENKIFNTAFTNSSLTVQLDWMSSHFENTWNTDCRKKLKKSLVLVGEIGGNEFNYGLLQGKSIEELRKMVPIVIQTIIHGVKRVIDFGATRIIVPGNFPIGCVPIFLTRFMTDDSNAYDEHHCLKDLNNFSIFFNNHLQQAIDEMKKKHPNITLIYGDYYNAFMWFLQNAVGFGFDKNSLLKACCGSGGGDYNYNIRRRCGFPGVEVCANPSTYINWDGIHMTQEAYKYLAKWLIDDMLPQLNCHLEEPKLKKCGINRIYQFGDSLSDTGNCLRESYCGAHTGCRFPPYGMNFYQKEITGRCSNGLLMVDFMALECGLPLLNPSLEENANFSQGSNFAVAGATALSVEQLAERNISMSYTNSTLTVQLHWMSSHFNSICSTVGEIGGDELAYGFIQGKTIQELRTMVPDIVHAIIRGVTRVISFGATRIVVPGNIPAGCNPVILTIFGTTYDEYGCVKEWNNFMMYYNNHLKRGVYMLKREYPNISISYGDYYNAYLWLRQNVVALGFDKNSVLKACCGIGGEYNYRADMRCGNPGVKACADPNSYISWDGIHLTQRAYSWLTKWLIDDIIPQLNCLCHGNNSNLASFFAHFNGESEAEQVLKLQNPRLLNCRFDKIYQFGDSLSDTGNCIRETLCGATLSCGTLPYGMDFYQNATGRCSNGMLIIDFIAVESGLPLLNPFKDENADFRHGVNFAVAGSTALSAKSLAEKNIVNIALTNSSLSVQLDWMSSHFQTTCSPDCPEKLNKSLFLVGEIGGNEFIYGLSQGKSMDESRKMVPEIVQTIIHGVERIIGFGATQIIVPGNFPIGCHPIFLTKFMTNISTAYDEYHCLKELNNFAIFFNRYLQQAIDELKKDYPNITLIYGDYYNAFLWLLQNADGLGFDNKSLQKACCGIGGEYNYDVHRRCGAPRVPVCVDPSTHISWDGVHLTQNAYRWIARWLIDDTLPKLNCQV >Solyc05g014080.2.1 pep chromosome:SL3.0:5:7759988:7761253:-1 gene:Solyc05g014080.2 transcript:Solyc05g014080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCTLESEVEKEKVVKCIEAAIRRRISECYDCPPRAHSYVTSIYNFMGCMNLPKTLRILMPPGGIFELCAKDRVGLLSEVTRVLRENGLSVTRAGVTTIGEKAKNFFYVRDASGNPVEMKTIERLREEIGQTMMLNVKKVPTSAKAPETGRLAKTSFFFGGLLEKFRT >Solyc01g057090.3.1 pep chromosome:SL3.0:1:58339022:58361564:-1 gene:Solyc01g057090.3 transcript:Solyc01g057090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFLLFFGSSAFLLPVLFCISLFLSTTHVAALQGVKLKNQILDVTPIKLVTDPLSKEDVLCERILIIGLSRWRLSSYSSAYRVSWAPSAVIPDRLLGKLQICFHKNSSLGLCQCEHDAWKNLQKGPWNSVMSPYEDRILDVKLVDGLSGSVTVTIEEDLQRWRLLFLAFGIMLLLVAPIVSSWVPFYYSSSMAIGVCLVIIVLLFQGMKLLPTGRKNIFYLTIYGSVLGAGSVLVHQFSMLINSIISNFGLSEEFHNPVAVFILVGIILAGAGLGYWLVRKFVISDDGNVDVGVAQFVKWAIRIIGITFIFQSTLDSPLVFLVLSSWWLICFAVTSMQRHASRDLSYSGIGDVWAKSSKQINMNKKRAEFLSKSRKFGSVGVPYSSPSSSAWSDSPVKGFSDGKGKKVGEYYSTFHKTPYRKRFSPKEWEDFTQESTKEAVAELASSPEFTNWIIKHADRIQLLQEDSSDESVGSGSDSTDDNDAESCSGLELFKWRYC >Solyc12g019142.1.1 pep chromosome:SL3.0:12:9327671:9333065:-1 gene:Solyc12g019142.1 transcript:Solyc12g019142.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGHYIPPSRSLRKNQLSADPHPNLRAVGHATICGLSSWLTPKTHTRRLGKGQDTLVPMERRSDHASWSRDEDQTLKSPASGLHDVFTITVDNASFNSVTINGISKQLTNSGTNIMEGDMFGKEIGESLAGAVDKYMKALFDHYVKKSSKVSLFSSSSPVSSGNSSSISSPDFLFLLRWLVTSRNIPISSVESECAFSTGGRILNPFRSSLTYKLVQALISLQDWYRSEPIPINVEEDLEYVALLELAVASPCAGRALGIPVVLPRGLEA >Solyc02g071420.3.1 pep chromosome:SL3.0:2:41447671:41450331:1 gene:Solyc02g071420.3 transcript:Solyc02g071420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKISKLNVPEKPRKLVVFPQKIGSLVINGFHHGREESNYEEIESGEELQTFDLTREFALKQMIKDRCYDFDDELISFPQSKNRKLGSIVLHACNDDDKRSDLEYEISRKEINLEKLQRVARSGIPDGGSLRATIWKLLLGYLPTSRDLWEKELNESRLKYNKLKEEFLRKPSELSCRKDKSMILLGHDTSSGVIEPLKRYNISKEDHPLSLGKTSIWHQYFEAKISEQIDRDLQRTHPDLEFFSGDSSLSTKNKESMRNILLLFAKLNPAISYVQGMNEVLAPLYYVFNTDNDENNVANIEADTFSCFVILMSSCVDHFCQQFDCSSFGIHSTLSKLSELLKTNDEELWHHLELKTKVNPQFYAFRWITLLLTQEFSLHHILRIWDTLLSNPFGLQEILLRICCAMLMCVKSKLIRGDFVGNLKLLQHFPEVDVEHLLQVAQGINMDTSFHSTSFR >Solyc01g012750.2.1 pep chromosome:SL3.0:1:10012523:10013422:1 gene:Solyc01g012750.2 transcript:Solyc01g012750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVYFCIEYIGLSLEPLIGAIAAGNVALLKPLDQAPASSSVLAKIIPNYLDNKAIKVIEGDYTVGDKLLQQKWDKIFFTDRLLD >Solyc09g064330.2.1 pep chromosome:SL3.0:9:61723104:61726724:-1 gene:Solyc09g064330.2 transcript:Solyc09g064330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDAQSKSDSFSSSNLRIIVQNNPSESQLSELGIKSWPKWACSPGKYQLKFDAEETCYLLRGKVKVYPKNTIEMSPVEFGAGDLVIIPKGLCCTWDVTLPVDKHYKFHSS >Solyc03g116610.3.1 pep chromosome:SL3.0:3:67436259:67437234:-1 gene:Solyc03g116610.3 transcript:Solyc03g116610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQAKKFRGVRQRHWGSWVAEIRHPLLKRRVWLGTFETAEEAARAYDEAAVLMSGRNAKTNFAVQAMDENKKDNYKSNNSTLSGSSSSLSAILSAKLRKSCKSPSPSLTCLRLDTESSNIGVWQKRAGARPDSSWVMTVEFGKKKMINDNEHIIIPDENVTSSSTFLSQDNSIEIEQGKECGVMNEEERMALQMIEELLNRN >Solyc01g107710.2.1 pep chromosome:SL3.0:1:95056317:95057926:1 gene:Solyc01g107710.2 transcript:Solyc01g107710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSYVVGLIVILLVIVVEATPPGIADHPSHSHCSDDEIKKCKNLPHVCPKFCPNGCITECRSCKPICIDGPSPSPPPPTPYHPHPPQSPPPTPGHPHPPKSPKKVKCKSKDKKHSKCYNQEHTCPSTCPGTCKVDCVSCKPVCSCDKPGAVCQDPRFIGADGITFYFHGKKDKDFCLVSDSNLHINGHFIGKRNENMKRDFTWVQAIGILYDTHNISVGALKTATWDDAIDRLYLNFDGESILLPENEGARWQSETRPTTSITRTSDTNEIIIEVENILKITAKVVPITEEESRVHNYGITEDDCFAHLELGFKFFALSDEVSGVLGQTYRRNYVSRVKMGVLMPVMGGDKEFSASGLFNADCSVAKFQAVNEGLLNNLEIPNLKCSSGMSGRGVVCRR >Solyc05g052670.1.1.1 pep chromosome:SL3.0:5:63732323:63733708:-1 gene:Solyc05g052670.1 transcript:Solyc05g052670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAAAATHVTLLSKSTISPSEKSSLPDLKLSVSDLPMLSVHYIQKGCLFTHPPFPISQLISLLKINLSHTLTRFPPLAGRFVTDSDGYVYINCNDDGVDFVHATATHICIRDVIGSIDVPHCVNEFFPLDRTVSYRGHFIPLLAVQVTELADGVFIGCAVNHSVTDGTSFWNFFNTFAEVSRGVKRIVRQPDFTRDSVLISSSILKLPADGPKVTFDGDAPLRERIFSFSWESIQRLKAKTNNQKLNFDAEINIVKSMEKQSNDHLKIKTESAEISSFQSLCALLWRAVTRARKFPSSKMTTFRMAVNCRHRLQPKLNPLYFGNAIQSIPTYVSAGDVLSHDLRWCAEQLNKNVKAHDDVMVRKFVGDWEKDPRCFPLGNFDGAMLTMGSSPRFPMYENDFGWGRPVAVRSGRANKFDGKISAFPGRKGGGSVDLEMILSPETMEGLESDTEFMQYVTGF >Solyc08g077060.3.1 pep chromosome:SL3.0:8:61116131:61120772:-1 gene:Solyc08g077060.3 transcript:Solyc08g077060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVPLAPYPTPPTPFTPPSNGTQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLAMEANQVAHVNCGNCHMLLMYQYGARSVKCAVCNFVTSVGVATSTAEQKFNS >Solyc06g009410.2.1 pep chromosome:SL3.0:6:3335504:3335858:1 gene:Solyc06g009410.2 transcript:Solyc06g009410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQVSMEMNKGPWSSDEDSKLIHSISIFGQGRWDSLAHVAGLKRSCKSCRLRWLNYLRPNLRRGKITPQEQVLILLLHFRFGNR >Solyc01g098523.1.1 pep chromosome:SL3.0:1:88889043:88891548:-1 gene:Solyc01g098523.1 transcript:Solyc01g098523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEAISVGVFLNDFARLVEVLSSTSDVSLSEIVTKTHSRPNGYCKVLKAKCLYLGINYCRQDVGTTESPLCIPSVSFKIVHIERSVSAIPANWFLRFHLHAVRTTALHTCFSFPIAAIVQWIWESSEFP >Solyc09g092020.3.1 pep chromosome:SL3.0:9:71663790:71665968:-1 gene:Solyc09g092020.3 transcript:Solyc09g092020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSAQPCLVLKLLSNKIGSLLCFWNSPKKYRRLDTKLEMKMVELKRSSSGESKFRSINGIILKFPHFKEGLKEIKDVFEQFDEDSNGTIDRDELKKCVKKLHFHAKDEEVNDLFDSCDVDENEGIQFNEFIVLLCLLYLLIDSSSSSGNTTKIGSPELEATFNTIVEAFLFLDKNGDGKLHKKDVLKALNDECPCEKSPSHVTRTRFSTVFSPSQIVLNSY >Solyc08g065943.1.1 pep chromosome:SL3.0:8:54413760:54414688:-1 gene:Solyc08g065943.1 transcript:Solyc08g065943.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLDMPSRWNSTYLMLDTTEKFEKAFERFDFYDGNFNSFLATDVCEDGNICELDAYLKLCIANDDLDLSKMALGIKEKFKKYWGTPEKMNKMIFIASVLHPRNKFVYVSFALEELLGEETGNVVNTKVEAYLRDLFANICNGISQNVSKNSLRTKLHMKKQKNDSGSLSVKCELDKYLFEDQEPESGDFDILSWWKVNSPRFPVLSQLARDVLAIPMSSVASKCAFSTGSRILDPFRSSLTPK >Solyc03g114280.3.1 pep chromosome:SL3.0:3:65781741:65788038:1 gene:Solyc03g114280.3 transcript:Solyc03g114280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMRGVDFKWYDGFFLSMLATSIIIVAINWKRYHLCIYPLHIWIVVDYTTVFVFRLLMFVDNGLAAGMGLDFGWQQRYGRFCGRVAVLSVLALLLYPFLWAWTIIGTLWFTSARNCLPEEGQKWGFLIWLLFSYCGLMCIACISTGKWLARRQAHLLRAQQGIPISEFGVLVDMIRVPDWAFEAAGQEMRGMGQDATSYHPGLYLSQAQREAVEALIQELPMFRMKAVPTDCSECPICLEEFDVGNEVRGLPCAHNFHVACIDEWLRLNVKCPRCRCSVFPNLDLSALSNIRADLERSSTNIVTAARYVRSQPSSQSYLLRLQGFLRPVRTENAGPSSEENAAAQSRVENGAPTSAPDSDGNVEHVEVVVEHSPRQL >Solyc12g088990.2.1 pep chromosome:SL3.0:12:65133701:65149909:-1 gene:Solyc12g088990.2 transcript:Solyc12g088990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSSADDEELRRACEAALESTKQKIVMSIRVAKSRGIWAKTGKLGRSHTAKPRVIAISTKAKGQRTKAFLHVLKYSTGGVLEPAKLYKLKHLSKVEVVTNDPSGCTFMLGFDNLRSQSVAPPQWTMRNVDDRNRVLLCILNICKDVLGRLPKVVGIDVVEMALWAKENTPTFTKQHTNLQDGPVSAAVEEREMKVTVERELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKREVQALEAANVHAILENEPLIDEVLQGLEAATSCVEDMDEWLGIFNLKLRHMREDIESIESRNNKLEMQSVNNKALIEELDKLLERLRIPSEYAASLTGGSFDEARMLQNIEACEWLTNALLGLEAPNLDPGYANMRAVKEKRAELDKLKTTFVRRASEFLRNYFTSLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGSLRKAYCTSLNLLLRREAREFANELRASTKASRNPTVWLEGSVGSNQNMNSADTSTVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGLANGNKSAHDEDDANYDDLGIMDIDETDNKAGKNTGELEALNESLHDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYISGQKADAAGFVRILLDDLESRISMQFSRFVDEACHQIERNERNVRQLGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYAKFVTTMFLTLDKIAKADPKYEDIMLLENYAAFQNSLYDLANMVPTLAKFYHEASESYEQACTRHINVIIFYQFERLFQFVRRIEDLMYTIPPEEIPFQLGLSKMDLRKVVKSSLSGADKSISAMYKRLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPSENVPSVSEMRDLLAAM >Solyc04g025288.1.1 pep chromosome:SL3.0:4:24443169:24449782:-1 gene:Solyc04g025288.1 transcript:Solyc04g025288.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTSIYLSHDRKVEQQQSFQKFSALAFYASVIFKSNSWTSNCLGQVAGQISGEFTEVAIPFQRHYEWFVPMSLKPQEVFDMGEDNNVQFESSMQIETIDLALIENHFAQCPGKPLLIPTLPAKDHWLAHAITTNVVGTKAKFIVVN >Solyc11g022410.1.1 pep chromosome:SL3.0:11:13814570:13815409:1 gene:Solyc11g022410.1 transcript:Solyc11g022410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTASELIHHFLDLVKWMELFPMIVTKARIVEVLDYGTWEGSIQLMYVKFHILSPLVEARDFFFIRCCRQFDPTT >Solyc07g042400.2.1 pep chromosome:SL3.0:7:55659263:55660074:-1 gene:Solyc07g042400.2 transcript:Solyc07g042400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGILESPVEALAFNYWSFDVVWTWIAAVVTAAITFWKIKSSSSTLPTPEPALLTLASSQVELMRLSTERTCSTPASAVMLFDDAFLVKEEGTKGKGKGDGIVTRI >Solyc05g013750.3.1 pep chromosome:SL3.0:5:7063385:7068251:-1 gene:Solyc05g013750.3 transcript:Solyc05g013750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEVDEKDRVPAGGNRKTYARSISWSDRSPTKSSAKPQWNSKARACLPPLQPLSITRPTAEEWPRAGSDDLGVWPNPSTPGVRLGSFSTHEGSDTKQAPREFEFKKDKLAFFNKECSKIVDHIYLGSDTVAKNRDILRENGITHVLNCVGFSCPEYFKDDLVYKTLWLQDSPTEDITSILYDVFDYFEDVHEQGGSVFVHCFQGVSRSASLVIAYLMWKEGMSFEDAFQHVKAARGVTNPNMGFACQLLQCQKRVHALPVSPTSVLRMYRMAPHSPYDPLHLVPKMLSEPGAEGLDSRGAFLVLIPSVIYVWIGKHCTSVMSDNARAAAFQVIRYEKAQGPVLIINEGKEPSDFWSAISQESFFSGGCGKEKNKVEASLLAENDLITDNISQCIGQKNEYDLDFEIFCKALAGGVVPPFPLSGTESETCLPARQNGWSRLRRKFSSGIMKEFITASKLYSHTGITSPVLDKIDTIKELSPALPSSPSSPQCGSPDSFSSYATSSPSWTKDPCRDVENPGLDTEPVSPSPSFSSLDSLSCFLVSKPKSNATSPSLSPSTSDYSSSFTFSPSSSNWSDLAYLSAQPSPTRFEHEDPNFVKNDFFKVSSSLLCKGSPFPAAEEAFPTSRALRRANSCLQYRETSPSLAERRGSHPPPMMMLRSNDDSAQISVKLVRTSSFSLPNFEDDTMKCVECDSLNDGDFVDTSKEALMLDAENSITDNQLQNGVQPVDDVSGSFNDRPIGIPIRVAETTDLGLYQWPSMHKLGLSSVVFDSRSVYIIVIPDLSLDENSSSSLYIWIGRDVQWKESLDQVINNDSMCEDNHVHWEKVGRGFIIQKGLANSSLVQIVKEGEEPEQLLKHLPRFSFDKALDVGIK >Solyc04g082530.3.1 pep chromosome:SL3.0:4:66260272:66265557:-1 gene:Solyc04g082530.3 transcript:Solyc04g082530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKVTKTRRETVAIAIAMDTKGKKKKKGRPSLADLRKREIISNNEPCVGRHSCRRNSNFESEKEDEDEDERKQKKVKLFVRLPQQSNQNQTQHLENSSSAAESESELGDNHQASIETMKISSGDALPEQEERPLTVTDAQHESPLVSGPTTPLPDKKILVFILDRLQKKDTYGVFSDPVDSNELPDYHEIIKHPMDFGTLRKKLDGGHYSNLEELEADVFLICSNAMQYNAADTVYYRQARSIQDLARRDFENLRHEGEDGAPQPKVVRRGRPPSKNLKKSVENSPIDSVVPEHSSGATLASGDDKAIGSSSYNLRRGPMLSSTDASFAHRSRNGENYFDWSTDWNNEFPANILKADMKYGKKHFSINENRRDTYQQFNPSASYKESSVLWNTDRGMKQLMTVGVHVEQHAYARSLARFAANLGPVVWEVASKKLENILPPGVKFGPGWVGEDGGPIESSAYPTEIQKLLDNSIADPHSNRPVTPTPLGSSSAVMCKPSAEIFEAFKRLNGQHELIRQDSGDGGLSWLNLVSTPAPHKAFLQPRKDFNGMFGQVELSRFSASNGQSGLQEASRPNQVLGGMLCGRENPFHPNNVASAENKLLESWATLYSGNSSSQGKNPDFCKEQNVRLLGESGSASSIQQRGRVSIPPDLNVQVQTSGSPSASL >Solyc10g008730.3.1 pep chromosome:SL3.0:10:2791922:2792826:-1 gene:Solyc10g008730.3 transcript:Solyc10g008730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCCGDDCQCRPLGFLLGLPFAFVALLLSIVGVIIWIVGITLSCLCPCCICVTVLVEMALALIKAPFSVMKWFTEQIPC >Solyc11g005650.1.1 pep chromosome:SL3.0:11:487496:489140:-1 gene:Solyc11g005650.1 transcript:Solyc11g005650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFSKFFKLIISSWGDFFRTTSVGVICLQNHLVRCRYLFKTLTGKTITLEVESSDTSDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGQTVADYNIQDESTSILSSVYVVECKL >Solyc12g010077.1.1 pep chromosome:SL3.0:12:3222684:3225693:1 gene:Solyc12g010077.1 transcript:Solyc12g010077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVYSHPKSDICLFDVRENAIIRSFAKNCNKLCSNDIGDTIAIEESRSICIGSSVLGFLDSRSTDASVYAIAILFYENLVTGEAAYRRKRKQEIGSLVLEYLYDKEPRTNGRRVKASMMLEYIYVKELKIRVEEQ >Solyc01g105940.3.1 pep chromosome:SL3.0:1:93859174:93865712:1 gene:Solyc01g105940.3 transcript:Solyc01g105940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPNANPLLLELAKLDFNIVQVTHQQDLKSLSRWWKKTGLAKKLSFSRDILVENMFWTVGALFEPQHSYFRRLITKVIVFISIIDDIYDVYGTLDELELFTLAIQRWDTKAMDQIPYSMKICANHTYKKQNGNHNGYKPNLEEYMDNARISIAVPMILVHVLVLVTNQITKEALESLTNYPDIIRWSTTIFRLNDDLGTSSDEKFMRRRNELKMEVKIMLSDRNMKQLEQLEIIDNLQRLGLSYHFEDEIYSILNNLSDKGSKRDHLYAKALEFRLLRQHGFNIVSQETFGGFYDNTTGFGEIHHNEDTKGMLYLYEASFLAIEGEKELELARNLTEEHLREYLADQNKNDVDQNLVELVHHALELPLHWRMLRLETKWFINYYKKRQDKMIPFLLELATLDFNIVQAAHIEDLKYVARWWKETCLAENLPFARDRLVENFFWTIGVNFLPQYGYFRRIATKVNALVTTIDDVYDVFGTLDELQIFTHAIERWSIDELDRLPDNMKMCYYALDNFINQLADDAFEEQGIFISPYLRNSWRDLCKSYLREAKWYHSQYIPSMEEYMDNAWISISAPVILVHAYFLVANPVNKEALHYLENNYHDIIRCSALILRLANDLGTSSDELKRGDVPKSIQCYMNETQASEEEARQYIRLLISQTWKKLNEAHWLAADPFPKIFVTCAMNLARMAQCMYQHGDGHGGNNSTTKNHIMALLFESVPLGHKHSSAEKEDHSMVNYREKFMI >Solyc12g013750.2.1 pep chromosome:SL3.0:12:4571805:4574206:-1 gene:Solyc12g013750.2 transcript:Solyc12g013750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLIFATIRSYIDQWVSEQSDEAQLVWMEKWITSHWEDARNILKKPLVLAEFGKSSRSGEGSRDIFMSSVYRNVYNLAKEGGTMGGSLVWQLMAHGMENYDDGY >Solyc03g005760.1.1.1 pep chromosome:SL3.0:3:538200:539003:-1 gene:Solyc03g005760.1 transcript:Solyc03g005760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Chlorophyll a-b binding protein, chloroplastic [Source:UniProtKB/TrEMBL;Acc:K4BE00] MAASTMALSSSTFAGKTVKLAPSSSEISGNGRITMRKTAAKPKPASSGSPWYGPDRVKYLGPFSGESPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHCRWAMLGALGCVFPELLARNGVKFGEAVWFKAGSQIFSEGGLDYLGNPSLVHAQSILAIWACQVVLMGAVEGYRIAGGPLGEVVDPLYPGGSFDPLGLAEDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHIADPVNNNAWAFATNFVPGK >Solyc08g079330.2.1 pep chromosome:SL3.0:8:63027753:63029988:1 gene:Solyc08g079330.2 transcript:Solyc08g079330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLEFFKPRFDSFPWPLQQLNVEQKGVFFSCFLGFLALLWFFIRNSIKGLPPGPKALPLIGNLHSLDPQLHTYFASLSQTYGPICRIWLGKKLGIIITSPALAREVLKDKDTIFANRDVPAAGSEFSYGGKNILWTPYGPKWRMLRKICVRDMLSSSTLDSVYALRRREVRQSINYLYSQKGLPVNVGEQTFLTILNVITSMLWGGTVKGEERASIGAEFRHVVTGIAQLVSIPNLSDFYPGLAWFDFQGVIKKMKVLAKRFDKIFESMIDQRQKLDRNGVGQEIKDFLQVLLKLKDEADPEMPLTMTEIKALLMEMVLGGTDTSVNTIEFVMAEIMHKPDILRKLQQEVDTVVGKNNIVEESHIQKLPYLNAVMKEVLRLHPAAPLLIPHCPSETCTVGGYTVPKGSCIFINVWAIHRDASIWKNPTEFRPERFLDNKWDYSGKDLNYFPFGSGRRICAGIGMAERMFMYSLASLVHSFDWKLPQGVTSDVTETFGLNLRKKIPLVAIPTPRLSNPTLYE >Solyc04g076570.3.1 pep chromosome:SL3.0:4:61558549:61567558:-1 gene:Solyc04g076570.3 transcript:Solyc04g076570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRSLSSQTVRAKLPTQSLPRAFNGSNPKSLFSLPSSPSHISPMMPLLTSSRRLEIHRRSTSFTRQTSNSSSKFRASAVGSDSGRQLDVTRELIILNSALTLVLGVGNRVLYKLALVPMKEYPFFLAQLTTFGYLAIYLSILYARYRAGIVTKEMVAYPKSRFLLIGFLEALGVICGMYAGAMLPGPAIPILSQTFLVWQLTLSVFILGRTYSVNQIAGCLLVAAGVVLAVTSGSDSNQMLAGIAFVWPVLMVASSAFQAAASIIKEFVFIDAASRLKGKVLDIFVVNSFGSGFQALFVLLFLPFLSNLKGIPFSELPSFLKSGAGCFFNIGNNVSGCDGAPLLPLLYIFTNIAFNISALNLMKISSAVISSLVVMSSVPLSMYLLSMPLPYLPQGSSLSPFFLLGSAVLLIGLILYNIPRTQKQDTEL >Solyc05g024090.1.1 pep chromosome:SL3.0:5:30379390:30379778:-1 gene:Solyc05g024090.1 transcript:Solyc05g024090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVYEKMEGENKIAVADLGGSVVTGILGNQLGLLARHLSYTIHTMLDKASKVKQNLYPIIYLGEALETLRKDFSVAMNNEDMSFFDWHLANLEYANAGLL >Solyc01g056320.1.1.1 pep chromosome:SL3.0:1:53343108:53343278:1 gene:Solyc01g056320.1 transcript:Solyc01g056320.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPSGISLKHVESNVMRNARNQTLLNDSFLSSVVQLSHQLYLCEFVLAAEAFSSS >Solyc06g084540.3.1 pep chromosome:SL3.0:6:49674412:49675459:1 gene:Solyc06g084540.3 transcript:Solyc06g084540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKPVMVVGLDDSEYSFYALEWTLEHLFRSSPPPFKLLIVHAKPTATSAIGLAGPGGADVLPYVEADLRKISSRIAEKAKKICSAKSVNDVVVEIAEGDARSVLCDAVEKHHASMLVVGSHGYGVLKRAVLGSVSDYCAHHTHCSVMIVKRPKIKA >Solyc08g044336.1.1 pep chromosome:SL3.0:8:21900307:21902665:1 gene:Solyc08g044336.1 transcript:Solyc08g044336.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLYGTIPPHIGNLSFISSLDISKNTFHGDFPQELARLQRLKSINVTRNIFTGAIPSFLSLLPNLRFVQLSSNQFSGKIPSSLSNITMLQMLFLERYFLEGEIPREIGDLRYMTILDLQINQLSGSIPPSIVNITTMYVIVLTDNNLTRNLPITICDHLLDLKGLYLNKNSLDGVIPPNLEKCTKLQKLELGDNEFIGTLPRELANSIALTYLYISDLHLEAQNEITGSVPHNICNMLALQNINFEENKLSGTLPSDFGPGMPNLEIFYCGGNNLSGFVSASISNSSKLRQLDLSQNSFTGTILQKLMSSNRLFELDIMPAINCCYVDLPKTMMKAKLISAS >Solyc12g010790.2.1 pep chromosome:SL3.0:12:3710224:3713802:-1 gene:Solyc12g010790.2 transcript:Solyc12g010790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAYRSDDDYDYLFKVVLIGDSGVGKSNLLSRFTRSEFSLESKSTIGVEFATRSIRVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSSIVIMLVGNKADLRHLRAVSTEDAQAFAEKESTFFMETSALESMNVESAFTEVLTQIHRVVSRKALEVGDDPAAVPKGQTINVGGKDDVSEVKKAGCCSA >Solyc08g078710.2.1.1 pep chromosome:SL3.0:8:62594210:62594557:1 gene:Solyc08g078710.2 transcript:Solyc08g078710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNPFQISGPGGAYEAKNIEEGMHVRMEMPGIDKEDVKVLISYGTIIIKGEGKKESTYEDSGRTYSANIEICSNSYEAQSMEANMKNGVLRMLIPKSKTPQKVTGSNYEIKVK >Solyc03g098505.1.1 pep chromosome:SL3.0:3:62240152:62241167:1 gene:Solyc03g098505.1 transcript:Solyc03g098505.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKGKSKYLFSSKADSEKSESSTLQPLKVLFALGGTTHNFINETLADKLGKNDFDCNESVDDEDEDEDNKRRESVEFY >Solyc04g051230.2.1 pep chromosome:SL3.0:4:50076446:50077289:-1 gene:Solyc04g051230.2 transcript:Solyc04g051230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AENVKGNAVTLMKGGFDTTNFLAKYIGDSCVKIDVYLGNTLIDMYETFTAMEEKNVISWNPMGYAKAGDLTAARPHDYVRQHDIKMDNYVRIFTANFFEYEQKGYCSSTSIISLLPVNCFHDNLFSKMLEEGWKLTHGTLISVLLVCAHAGSVDKEMKHYGCLVDLLCRSSNLNREFEYINLMPMMWFCGECCVGASKLHGNVVLAEISANKLLQLDPDNYFLSSSTYATA >Solyc06g071530.3.1 pep chromosome:SL3.0:6:44182333:44186210:1 gene:Solyc06g071530.3 transcript:Solyc06g071530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVPKTKKTYCKSKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQSCKHMSQHPIKRCKHFEIGGDKKGKGTSLF >Solyc07g065445.1.1 pep chromosome:SL3.0:7:67322155:67327551:-1 gene:Solyc07g065445.1 transcript:Solyc07g065445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKRSNTRPLTLLRKIKGFTRSIVEDLARGRPPLIYIERFRNYCTDTSGNCSCSSGLSTGKEAISLKRECHARRLDVMLRVLLIVQQLLQENRHGSKRDIYYMHPTVFKEQSVVDRAINDICILLQCSRHNLNVVSVGNGHTPFQSLLKKLMAMAVTVTPLMLEQDIISVAQYVIVVEKESVFQRLANDQFCKRNRCIVITGRGYPDVPTRRFLRLLIDKLHLPVYGLVDCDPYGFDILTTYKFGSLQMAYDATFLRVSEIQWLGVFVQDCDNYSIPQQCLLPLTAEDKRKIEAMLPRCYLQREVPQWRFELELLLHKGVKFEIEALSVHSLTFLSHEYLPSKIHNGGYI >Solyc03g080140.3.1 pep chromosome:SL3.0:3:53470448:53476719:1 gene:Solyc03g080140.3 transcript:Solyc03g080140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGLGSFGNGGSSSSFSNLSPLAPPFTVDRSNSKTVSTQLLNFSDSSYTGTVPFGQSWQYAAADPSPTGYNFFPSVTDSVPTTCNMPLSPEFTPADSVEPGSHFWSTPNPTVNASTETYSFGREGYYAAYVPSLVSNEHPSSAFNEPSLDVLPNSGNIHVDASSSQVDYTQTLSGLEYPHWSFFSKVADGKQEEKKGVDGSFSSGNVNVGASYGYRNCMSKGNSLEGANIPRENSGAANFIDGVYTGPSSIGHMDAKSYLTQEPIYQSLTSETAMGSFSPVSCQVGLSLGSSSNYLNYKNPFTPHGKFFQPLDSCPRDTTSTSKSSPVLVFRPAPSGSRFFAPKIDLHKNVDICKTGATNTEKSDVCNVLKSQETRLPIDSPIKEFSLGSSTPPDFDKIKNNFFASSSVNNLCSTRPCSSNSIEIAVKERSGSQAPCASAPPVTSAEKCSDALDLHNPNVDSPCWKGAPAFRVSLSDSVEAPSPCILTSKVEFSDFGQSNHLFPPAEYSGKTSLKKLGEENLHNHNVYAGNGLSVPSVGTVTNNYTTEELRTIDVTKGTFVPVDLSSNGVILKFSEDLNKPSKGYSLPQYSENDCQKQYSWGEHLSVDCHQYGPKKHNLPEGYMHTGLNLNDTLEGGVVALDAAENVLRSPASQEDAKQAQPYQMGSSPKLDVQTLVHAIHNLSELLKSQCLPNACLLEGQDYDTLKSAITNLGACTVKKIETKDTMVTEHDTFERLKESHRSYMGTETGNPQFMEEVARDSCGLDNQPMPEDKSKNNGKKTENSPLLTSADDLGDSNEEQVVQAIKKVLNENFLSDEGMQPQALLFKNLWLEAEAKLCSLSYKSRFDRMKIEMEKHRFSQDLNLNSSVAPEAKNDSASKISSQSPSTSSKNVHVDYSLMERFNILNRREEKLNSSFFMKEENDSVKVGSDSEDSVTMKLNILRKQGNNFSSSFMQEKKASDIVSSDTEDSVMERFNILRRREENLKSSFMGEKKDQDVIANDAEDSVKVRLNILRQREDNLNSSFMEETKDPDMVTNDAEDSVMARFNVLTRRGDNLNSPFMEVKKDLNMVAAGSADMENHGMINGEVSNDQRANVVIDPYFYHHSINSSEGYNSFGSYTDGSGYDSMKQFLLSVADDPIVHSNRKARLGNHHSSGLYDNSSSDWEHVAKDEYV >Solyc02g088660.3.1 pep chromosome:SL3.0:2:51311363:51313191:-1 gene:Solyc02g088660.3 transcript:Solyc02g088660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHHHYHPYPTAAATTHTPPPTTTICYCYSCHPTPSSYPPPLNPHSTPPYTHYPSPQPHFCTSHLVPPPPSPPPSHIQCQCETVHQNHHHFQELNHQANKVTDQQTQRIVTSLLRRIAALESSLSRSSSTSSVSSRSRQTLRDAAARTIQTHFRAFLARRSRTLRQLKQLASIKTTLYVLKSSVSGKIHIDIRAVTRRATDLLVRLDCIEGDDPLIRDGRRSISNELTRFMKVINGVSIFSSRVVKNVRGGNKARVFSGDRKVEFDTNFNGAVDKFVASVKESEDEEEEEEDQQSPRFSDNRKSGVLRTRGGGFARSHGVVKPNQRKSVTFADNGDAYQSFHGTMEPASMGNVNEQETFDTEKELIKNLSKRVEKLGMASKGCEGDGEEDGGYSGSSDNEMDPNYISRKDGYYERNVRDENDNEHENESSVFSAPMPVKMEARAADYINRKKGVKIVDN >Solyc01g103950.3.1 pep chromosome:SL3.0:1:92317699:92326721:-1 gene:Solyc01g103950.3 transcript:Solyc01g103950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLCSQVLSTSFFTPKPQIPVLLSPGVACIKSFHQYRFLHVKAMQDGKFATPTSCDESKVRVPHVLTVAGSDSGAGAGIQADIKACAARGVYCSTVITAVTAQNTVGVQGVNIVPEDFVAEQLRSVLSDMPPNVVKTGMLPSTGIVKTLCQSLKEFPVQALVVDPVMVSTSGHTLAGPSILDSFREELLPMADIVTPNLKEASALLGGVPLETIADMRSAAKAIHDIGPRLIFEIFLSNSEIDILTLYYLWTKSIAGKNIVHSHHLQEGTKYLLNQSNHHLLYNVTPPLVHFLYANPTSRHSQPCFIAPSSAVSLHVLLLTTMARRSLSKKILSSDVLKSLKFLDRNVLVKGGDLPASLDAVDVFYDGNDFYEFRSSRIMTPNTHGTGCTLASSVAAELAKGSQMLSAVKVAKRYVEAVLSYSKNIAIGGGCQGPMDHLLKLKSNVQRRRFDPSDLFLYAVTDSRMNKKWGRSIVDAVKAAIEGGASIIQLREKEVETGDFLEAAKACLKICRVHGVPLLINDRIDVALASDADGVHIGQSDMPAHVARALLGPDKIIGVSCKTPEHAQQAWIDGADYIGSGGVYPTNTKENNKTIGLDGLKTVCVSSKLPVVAIGGIGMSNAQAVMQLGVPNLKGVAVVSALFDRECVMTETRKLLEVLKESTKIAK >Solyc03g096030.3.1 pep chromosome:SL3.0:3:59268604:59271049:-1 gene:Solyc03g096030.3 transcript:Solyc03g096030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSSLRSRKTPLFFSSNLLKMKHNARKNNHFSIFVVVFSIFLFGCFMYNEDVKTIAEFPFSMTRNQDIYTPPLNQQNGVQEIETKVVMNSRTEQETEQEKEKIEIPAEEEEEEESIELPPEDCDLFTGQWVYDNVTHPVYKEPECEFLTAQVTCMRNGREDSMYQNWRWQPRDCSLPKFKAKLLLEKLRNKRLMFVGDSLNRNQWESMICLVQSAVPSGRKSLNKTGSLSVFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIEKHGKNWKNVDYLVFNTYIWWMNTFAMKVLRGSFDEGATEYDEIERPVAYRRVLTTWSQWVENNVDVNSTKVFFMSMSPLHIKSLDWNNPDGIKCAKETTPVLNTSMPLSVGTDKRLFVVAANVTQSINVPVYFLNITSLSEYRKDAHTSVHTIRQGKMLTAEQKADPTTYADCIHWCLPGLPDTWNEFLYSRILSHS >Solyc08g016420.3.1 pep chromosome:SL3.0:8:7542964:7551436:-1 gene:Solyc08g016420.3 transcript:Solyc08g016420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSTGLRELQRDLENKANDLSKLQKDISKNHQIRKKYTIQLGENELVLKELDLLNEDANVYKLIGPVLVKQDMAEANANVKKRIEYISAELKRLDSTVQDLEDKQNSKKETIMKLQQKIQSLQAGKGKA >Solyc07g064930.3.1 pep chromosome:SL3.0:7:67023937:67029512:1 gene:Solyc07g064930.3 transcript:Solyc07g064930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:GrpE protein homolog [Source:UniProtKB/TrEMBL;Acc:K4CHH2] MVLSRISSRFSRNVLTQCRNSLLLYYRQNQQHHVPILSSQFHSIRNIREKVSLVPESALQRFGISSSASPQPDEKETSQSQGGGGSGAEKASASADSNVQDEKKESDSDSDLDLEDLSREDLVKYLIEKEELLKMKHEEFQKMQDKVLRTYAEMENVMERTRREAENTKKFAIQNFVKALLDVSDNLGRASSVVKESFSKIDVSKDTTGAVPLLKTLLEGVEMTDKQLAEVFKKFGVEKIDPTNEEFDPNKHNAVFQVPDPEKAPGMIAVCLKPGYSLHGRIIRPAEVGVTVAVESTGN >Solyc06g068780.3.1 pep chromosome:SL3.0:6:42743557:42748984:1 gene:Solyc06g068780.3 transcript:Solyc06g068780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein kish [Source:UniProtKB/TrEMBL;Acc:K4C852] MSALFNFHSFLTVVLLGICTCTYVKMHFPALLEQRTGFRGFFWKAARIGERLSPWVAVGCLTMGVSIIFF >Solyc01g094430.1.1.1 pep chromosome:SL3.0:1:85796460:85797026:1 gene:Solyc01g094430.1 transcript:Solyc01g094430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSTVGTSLLVLLVFATLTYCLDARLQACQPSGKIRGIKPPPGQCNTENDSDCYKKGKMYTTYKCSPPVTGNTKAVLTLNSFQKGGDGGGPSECDNQYHSDDTPVVALSTGWYSGGDRCLNYITISANGRSVKAKVVDECDSTMGCDDEHDYQPPCSNNIVDASKAVWEALGIPTDDWGDYDITWSDA >Solyc01g068545.1.1 pep chromosome:SL3.0:1:77580779:77581916:1 gene:Solyc01g068545.1 transcript:Solyc01g068545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSESTSSELLHAQAQIWNYIFNFISSSAVRCAFQLGIPDVLYKHDKPMCLSDISAELSVVNSSKVSFLPILMQFLVQSGFLNQHEDHYSLTPASCLLAKDDPFNVRSLLLLNHGQAFSKAWPELSDWFQNDSPTPFHTAHGKSLWDFIGEEQPSVLGDIFNDALASDSRLNTNVLIAECKHVFEGLTSLVDVGGGTGTVSIAIAKAFPNIKCTVLDLPQVVGDLKGSGNLDFVGGDMFDMIPHTNAILLKCVLHDWNDEDCVKVLKKCKESIPSREKGGKVIIIDTVLEDPKQSNEFVRAQHNMGMLMMVLFAAKERTEKEWEKLFSEAGFTEYKIFPALGLRSLIEIYP >Solyc09g075550.3.1 pep chromosome:SL3.0:9:67665941:67670917:1 gene:Solyc09g075550.3 transcript:Solyc09g075550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIDSQSLSSSPVKITVTSSPTGGCHGLTSPIRRHSLSTNPNSPLSGKGLRGSSGGRYLSMSKESTDEFVAYTVHIPPTPDNRTVVDSQNSPIGVGSSRKSYGYGNPSDGYIKDTIFTGGFNSATKAHVRKSSEDEPMVMKCKTMCQMEGCDEKKAEEKCECGFVICRECYLDCVGIDGGYCPGCKESYKGISDDESDEPRSEAKDQANPLPSRGRGGRMEKNFSLVQSFKNPNQDFDHTRWLFETKGTYGYGNALWPSDGHEFGRGIDRSENPPDFSDRRNRPLTRKVGISAAIISPYRLLMVLRLGALACFLTWRISHPNHDALWLWIMSVVCEVWFAISWLLDQLPKLCPVKRITDLSVLKERFESSGPNLRNPKGLSDLPGIDVFVSTADAEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGSLVTFEALAEAASFARIWVPFCKKHKIEPRNPESYFGQKRDPLKNKVKLDFVRDRRRVKREYDEFKVRINALPESIRRRSDAYNTQQELRAKRKQVELGEDLSEPIKVPKATWMSDGTHWHGTWSSAEEGHSRGDHEGIIQIMLVPPNAEPLYGNEVDEKNMIDTTVVDVRLPMLVYVSREKRPGFDHNKKAGAMNALVRASAIMSNGAFILNLDCDHYIYNSLAMREGMCFMLDKGGDRICYVQFPQRFEGVDPNDRYANHNTVFFDVGMRALDGLQGPMYVGTGCIFRRIALYGFSPPRATEHRGWFGSRKTRKLLRKPNIQKDQEDDEMFLPMIGNKDDEEEVSRSLLTKQFGNSIPLVDSIAVAEFGGRLLHELRGKGCQGRPAGSLAVHREPLDASALAEAVGVISCYYEDKTEWGNRVGWIYGSITEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLIQVLRWATGSVEIFFSRNNALFASPRMKFLQRVAYFNVGMYPFTSIFLLVYCLLPALSLFSGKFIVQSLNVTFLVFLLAITITLSMLALLEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVIQGLLKVIAGVDISFTLTSKSATPDDGEDEFAELYEFRWTVLMIPPITIILINMIAIAVGTFRTVYSPFPQWSKLLGGVFFSFWVLSHLYPFAKGLMGKRGKIPTIVFLWSALICIVISLLAVYVYPPSGHQDFSSFQFP >Solyc06g048410.3.1 pep chromosome:SL3.0:6:31090987:31093743:1 gene:Solyc06g048410.3 transcript:Solyc06g048410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATASANSLTSAFLPPQGFNGSSKSLQWRTQKKQFGRKAGSATITAKFDLIPPPYPMDALEPHMSSRTFEFHWGKHHRAYVDNLNKQIDGTELDGKTLEDIILVTYNNGAPLPAFNNAAQAWNHQFFWESMKPNGGGEPSGELLELINRDFGSYDTFVKEFKAAAATQFGSGWAWLACEKVFALLCSNISLKLSKMIENGFVCYFVDKPEDKKLALVKTPNAENPLVLGYTPLLTIDVWEHAYYLDFQNRRPDYISIFMEKLVSWEAVSIRLKAASA >Solyc04g077230.1.1.1 pep chromosome:SL3.0:4:62259792:62260016:1 gene:Solyc04g077230.1 transcript:Solyc04g077230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVDDMSPPSTTESLKVSTVSAKIGPVSKPPARGLSPTALTAARAQAPEIQNLVRLVTSSRNWRKTGIVCVSVS >Solyc02g086687.1.1 pep chromosome:SL3.0:2:49981008:49981614:1 gene:Solyc02g086687.1 transcript:Solyc02g086687.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYRWSKIAKHLPGRTDNEIKNLWRTRIQKHIKQAENINRLSSNISENNNIQQAKYSRKVSSFSLHAMHDL >Solyc08g008425.1.1 pep chromosome:SL3.0:8:2836718:2837142:-1 gene:Solyc08g008425.1 transcript:Solyc08g008425.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKTKSVKSMPFYFSFFSFLCSISWTAYAIIRVEFYLLTANVIGTRKTNSDDFESLDQRR >Solyc02g077030.3.1 pep chromosome:SL3.0:2:42673787:42675241:1 gene:Solyc02g077030.3 transcript:Solyc02g077030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSMAEKWEELGGKNNWNGLLNPLAVDLRKYIIHYGELAQATYDTFIMERASKYAGASRYSMENFFTKVGLDPNKYRVTKFFYATSSIPLPDGFIVKSFSREAWSKESNFMGYIAVATDEGKASLGRRDIVVNWRGTIQKMEWVNDLQFLLIPAPKIFGAGGLLPLFKPLVHHGFYNVYTSASSRSQFNKTSVRDQVIKEVKRLVEEYKDEEVSITVTGHSLGASLATMNAVDIAFNKINKASNGKEFPVTAFAFASPKVGDIQFKATFDKLKHLHILRIHNLLDIVPKYPPIGYFDVGKELMIDTTKSPYVKPPGENVSWHLLEPYLHGVAGTQGLGLFAGFKLEVNRDISLVNKQWNILKDEYCIPGMWWVEKNKGMVQQEDGSWLMLDRDEYDF >Solyc06g008530.2.1 pep chromosome:SL3.0:6:2410345:2427644:-1 gene:Solyc06g008530.2 transcript:Solyc06g008530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding GTPVNIIVGSHVWIEDQSVAWIDGQVSQINGKDVQVQTSDGKKVTVNLSNIYPKDEDAPAGGVDDMTKLSYLHEPGVLQNLASRYQLNEIYTYTGSILIAINPFQKLPHLYDRHMMEQYKGAPLGELSPHVFAIADAAYRQMINEGKSNSILVSGESGAGKTETTKMLMQYLAYLGGRRSTEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPQEEVEKYKLGDPKTFHYLNQSSCYELVGVSDAQDYLATRRAMDVVGISEKEQEAIFRVVASVLHLGNLQFAKGKEIDSSVLKDEKSKFHLQTVAELLMCDLKDLEDALLKRVMVTPEEVIKRSLDPDAATVSRDGLAKTLYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTREEIDWSYIEFVDNKDVLDLIEKKPGGIIALLDEACMFPKSTHETFSQKLYQTFKVHKRFIKPKLSRTDFTIAHYAGEVLYQSDLFLDKNKDYVVPEHQDLLSASKCSFVAGLFPPVAEETTKSAKSSKFSSIGSRFKLQLTSLMETLNSTEPHYIRCVKPNNQLKPAIFENVNILQQLRCGGVLEAIRISCAGYPTRKTFFEFLNRFGLLAPEVLEGNADEKVACKKILEKMGLAGAQIGKTKVFLRAGQMAELDARRALKLATAAKTIQRKIRTHITRKYFLALQKAAICLQSSCRGRLACKVFDNMKKEAASIKIQTKLRGHLARKSYTGLKINVIALQTGIRATAARKEFRYKRQTKAAIIIQAHWHGHRAFSYYKKLIIASIVTQCRWRGRVAKKELRKLKMASRETGALKEAKDKLEKQVEELTWRLQLEKRLRTDLEEAKSQEIAKLKNTLEDAQSKVDQTNALLIKERETAQKAIEEATSIVEEKPVLVEDTEKIDALNAEVENLKVLLQSEKQRADEIEKKRADSQESSEEKHKKLEETEKKVQQYQESMSRLEEKLTNIESENKVLRQQALTMAQNNKLLSGRSRSSIQRTESSTRNSVDLHSTSFSRESAEVEGRPQKSLNDKQQEYQDLIIRCIAQHLGFSKGRPVAACIIYKCLRQWRSFEVERTSIFDRVIQTIGQAIETQDNNDMLAYWLSNASTLLLLLQRTLKAGGAAGMTPQHRRSSSATLFGRMTQSFRGTPQGVNLSLIDGESAGGVDNLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRISRASLLKGATARTLANAAAQEILVAHWQGIVKSLANFLNLLKANHVPPFLVRKVFTQVFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEHWCYKATDEYTGLAWEELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSLSSDVIANMRVLMTEDSNNAVSNSFLLDDDSSIPFSIDDLSKSMDQIDIADIEPPPLIRENSGFSFLLPRAD >Solyc01g006440.2.1 pep chromosome:SL3.0:1:1046848:1053164:-1 gene:Solyc01g006440.2 transcript:Solyc01g006440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQMATMDTTQDMPKINEKNNSDGVNNDIKQGVVNKLKVFRSKRTGGWYSAFHCLVNQGLVTLSFFGVGVNLVLFLTRVLGQDNATAANNVSKWTGTVYLCSLLGAFISDSYWGRFITCAIFQLILILGLVIISLTSWLFLIKPNGCGDGIQNCVPPSSIGTSLFYLAIYLVALGYGGHQPTIATFGSDQFDEANPKGKISKAAYFGYFYFALNAGSLISNTVLVYYEDGGKWTLGFWASTASGLLGLFVFLLGTPGYRYVKSFGNPLPRVGQVFVAAFRKWGVPNVDETELYELNGTESSIKGTRKILHSNDFKKLDKAAIVTDEDRQRPVINPWRLCTITQVEEAKCILRMFPIWLCTIMYSVIFTQMASLFVEQGEVMDATIGNFHLPAASMSAFDICSVLTCTFLYRFMVVPLAGKISGNPKGLSELQRMGVGLIIGMLSMVAAGVTEIFRLRRVIPGNETSSLSIFWQIPQYILVGASEVFMYVGQLEFFNGQAPDGIKSLGSSLCMASMSLGNYVSSMLVNMVMHFTAKGTRHGWIPENLNNGHIDRFYFLLFGLALVDLVAFVFFAKWYKGINIEDSNHGEKEIDQVLEKV >Solyc05g016570.1.1 pep chromosome:SL3.0:5:17478226:17478980:-1 gene:Solyc05g016570.1 transcript:Solyc05g016570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASSVFYTHYSTDIECCLPPSCVAGIYQYVDVIQWHITSAKAFTHEHGMCFSGKPHCHKDCNINQVTSTLAIGGPICQSLCAKRCWSIASSITQGLHTFDMACAHLESNAGHWNTTSSKGVHALSMACIGKATMSSSFVR >Solyc02g085315.1.1 pep chromosome:SL3.0:2:48881492:48884432:-1 gene:Solyc02g085315.1 transcript:Solyc02g085315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIKSLYEWAVTLHFIRSWTRRICSEDLHLDIDRFANTVLKWRDAAFQLRTCNCCGTHAYSILAFIVVDVIGGGELTQRSRTSDMYHALGCGLANPVKFYLLFGRGESFRGVFDSGTWNLLNVEVLLWSSDVNAFAFVKVDVILYFQFDQPIEVYAFASVTVDVIFYQLDQEPYGTAYRNLMELLAEMMTCEEDIGSRSIQGVDEAFILDLVVFRNFEILEVISTSLRVVKGVQITVSELRAALEPLSSRSLKFSTDVCLRRFLEARYWKSKLCMVIANSDSNVYA >Solyc07g018007.1.1 pep chromosome:SL3.0:7:8838597:8839236:-1 gene:Solyc07g018007.1 transcript:Solyc07g018007.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTLEEADVAKKATHNSSSPLKVYTAAPTPSNIEAAMHTLGITSPDANWYMYTVATFHMTSAQVRKFTTDNSVSVEFDPFGFSVKDFQTWRPVMRCESRGELYRITNPVPSPSIFFALAPSLWHDHLGHLRALGLNFLRKNRLIECNQI >Solyc01g109240.2.1 pep chromosome:SL3.0:1:96158069:96159155:1 gene:Solyc01g109240.2 transcript:Solyc01g109240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQQVEEHQRGDVADSLYYEAYCRIKNPVYGCVGIITVLHEEIYHVQCQLAKVQAQIDLLYQNGLYTLDPSFY >Solyc10g054395.1.1 pep chromosome:SL3.0:10:55218285:55219200:-1 gene:Solyc10g054395.1 transcript:Solyc10g054395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMNSPPVWVNKQRVLSSLHTLRESSPCLQESVLKRPSAGEGHMPRIRTVQITTARIDLVSMSKAYNEEETCHDSRDSWGNSSLENCKSIISNLLWSGVGLAFSTRSDHTWLEENTLKHDIVLS >Solyc08g080430.2.1 pep chromosome:SL3.0:8:63846344:63849110:1 gene:Solyc08g080430.2 transcript:Solyc08g080430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISVGMSIGAFLILIIIHWVYNWRNPRCNGKLPPGSMGWPLLGETIQFFTPNTTLDIAPFVKERMKRYGPIFRTSVVGRPVIVSTDPDLNYFIFQQEGQSFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGSESLKKMLPEVEEVAKNKLKRWSGQTSVEMKEATANMIFDLTAKKLISYDSETSSENLRESFVAFIQGLISFPIDIPGTAYHKCLQGRKKAMKMLKTMLEERRAKPRKEGTDFFDYVLEELQKNDIILTEAIALDLMFVLLFASFETTSLAITLATKFLHDHPLALKELTEEHEAIIRSRENPASGLTWKEYKSMKFTFQVINETVRLANIVPAIFRKTLTDINFKGYTIPAGWAVMVCPPAVHLNPAKYQDPLDFNPWRWEGVEINGATRNFMAFGGGMRFCVGTDFTKVQMAVFLHSLVTKYRWQTIGGGNTVRTPGLQFPNGYHVRISEKDEKIL >Solyc04g071930.3.1 pep chromosome:SL3.0:4:59031002:59033166:-1 gene:Solyc04g071930.3 transcript:Solyc04g071930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVASSSSFPCIKIQNPSSSSLSSYNFRFSTTLTIRSSQADGPLRRPMVQPPTPLKPTPPSPPSTSSPPPPSPPSAPLKQVAVEGKNVITMEFQRQKAKELQEYFKQKKLEQANQGPFFGFIGKNEISNGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGILDLE >Solyc12g017370.2.1 pep chromosome:SL3.0:12:6619942:6623396:1 gene:Solyc12g017370.2 transcript:Solyc12g017370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHAKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKEFNDHSVKDGDRATSLELQRNSASSSGMIGRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQTILEKACQTLGGEENMSLPTRTFKGIGNHQGGLIPDISAAFKEFGTPPLTFSSFQDLNICGEHIDLHAQSSMGERSSSFDGFMNLSNTSTDHNLSLGKKRASPYNTSNGKSPFMWSDDFRLHELGGGGSCLGSNNEDDHQIIQMERSCNPEIDSVSDMYESKPLLQDDKKFDTKPERPSPRRAAQVSSLSAQGGRNSVFG >Solyc08g008445.1.1.1 pep chromosome:SL3.0:8:2838634:2839443:-1 gene:Solyc08g008445.1 transcript:Solyc08g008445.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESNENVPAQKLPLENRVAIVTGSSRGIGKAIALHLASLGAKLIINYSSSNSTSLANDVVSQINSNKSMNDSIAVAVKADISDPDEVRSLFDAAESAFQSPVNILVNSAAVCDGKRPTIMNTDLEDFDRTFSVNTRGSFLCCKEAANRMINRGGGGRIICVTSSATASFRAGNGAYTASKAAVEAMVKLLAKELKGTGITANCVAPGPIATDMFFNAATEEKVKKVIDECPHGRLGQSEDVAPVVGFLASDASEWVNGQIIRVNGGYI >Solyc05g006940.3.1 pep chromosome:SL3.0:5:1536706:1542163:-1 gene:Solyc05g006940.3 transcript:Solyc05g006940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEETERIKSREEEEEEIWSWGAGTEGQLGTGELQDEHKPQLIHSLSSFGPISHISCGGAHVIALTPGGRVLTWGRGTSGQLGHGEMVNCLHPKSVEYLEGIFITHASAGWNHSGFVSDTGYVFTCGDGSFGQLGHEDYISRCSPAQVLHFKARHVEQIACGMRHSLVLLKGDTEDLIYGFGSGKRGQLGISDDKLKSVSTPQVTLGFENVKIRSVTANGDHSAAISMNGHLYIWGRSFHGAPDVYTPRRVTADLLFSQVALGWNHALVLTGDGEVYMLGRYNYNVPTGAQKANGMNHISEDEGVLQRVLDFNSTKVVQIGAGAEHSAVVTDDGSVMTWGWGEHGQLGLGDTNDQTGPRAVSLRNEPSRKPCVGRVYCGSGFTFVIRTYTVKSSF >Solyc03g006870.3.1 pep chromosome:SL3.0:3:1382836:1390801:1 gene:Solyc03g006870.3 transcript:Solyc03g006870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMESALASTRVSIPSLCSGITSSHHHHRSLSFLSFPKLCSFKYCFRTISTVPFVVSASSVSPSSPSTSVAQSQDLKIKSVPTKPIEGQKTGTSGLRKKVKVFMQDNYLANWIQALFNSLPLEDYKNGLLVLGGDGRYFNREAAQIIIKIAAGNGVGKILVGKDGILSTPAVSAVIRKREANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKIADVPDVDLSQLGVTKYGNFSVEVVDPVADYLELMENVFDFSLIRSLVSRPDFRFVFDAMHAVTGAYAKPIFVDKLGASLDSIANGVPLEDFGHGHPDPNLTYAEDLVNILYGENGPDFGAASDGDGDRNMILGRSFFVTPSDSVAIIAANAQDAIPYFQSGPKGLARSMPTSGSLDRVAQKLNLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSILAYRNKDKRSGEILVSVADVVKEHWATYGRNFFSRYDYEECESEGANNMIEHLRDLISKSKAGDKYGSYSLDFADDFAYTDPVDGSIASKQGVRFVFSDGSRIIFRLSGTGSAGATVRIYIEQFEPDVSKHDMDAQIALKPLIDLALSISKLKDFTGREKPTVIT >Solyc08g082270.3.1 pep chromosome:SL3.0:8:65228962:65234437:1 gene:Solyc08g082270.3 transcript:Solyc08g082270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSQLVEMCMVSATESLDAVEAWRRQRRTLEMMPSHLAEALLHRLLRRRLLFPSLLEVFKFCVDGIDLRGESYVDAEWMAYIGGFDHLHSLNLSDCNKINSSAIWAITGMTNLKELDLSRCSKITDAGVGHLTTIPSLEKLWIPETGVTADGVITLTSLTNLSLLDLGGLPVSDSTLCNLKVLRKLQHLDIWGSEVSNKGASHLKWFPKLSSLNLAWTKVTMLPGLPSLACLNMSNCTIHSIFEAEGQKALLTKLILSGATIKDVSEAFLHLETSYLSHLDLSNSSLNSFCFLPYMRMMSDLDLSGTSAGDESVEHIAFVGQNLRNLNLSRTKLSSAGLRTLADFVPKLETLLLSYTAIDDSAIPFMSFMPLLKYISMSGTNIRGISSEMDSDPNYVSSLSGLSSLEHLESLDLEETRIKDSALAPLPSFRKLSHLFLRSGSLADTTLHQLSSITSLVTLGIRDGVLTNTGLYVFNPPPPMKILDLRGCWLLTEDALLSFQQRHPQIEVRHDLLSIAMVKRLSFSSPLSQATPWTKLHKNKKGGSSTSPLRSIRDGFLDQRLKYTNEELLAMRFDSASISSDNVVQIPHELANDG >Solyc10g081200.1.1 pep chromosome:SL3.0:10:62448400:62455076:-1 gene:Solyc10g081200.1 transcript:Solyc10g081200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVGLVEIGVEMRKILMFSIKGCYVTVLNHPFLVSMLCFIAFLYRSFPFLFSILLAVSPVLVCTAVLLGTLLSFGQPNIPEIEREEKTSSHDIVPLRTGVLYDTTHIESADDSYYVERYTERGLVDQSIDKISDLSPLLEERSRDFQFGNGGFEEAGREFHEQNYEEKHGDGDGELTESQYSPIPTVDEDFEEAVREFYEQNNEKNEEEHDDGELLESQYSSIPTVDEDFEEAAREFYEQNSEKSEEKHDDGELMESQYSPIPTVDNESIEFDFDRSDSFDSRRVNLNSLPGSPWKKEREEEHEEEEEEDDDESFDSESDRAESSSPDASMADIIPMLHELHPLLDEDTPQHVSLLHDGSDAASDSSGETTESDNESDDGVENQEELEVADDENEDGEDDEGKQDEEDISKSAITWTEEDQKNLIDLGSSEVERNQRLENLMARRRALKKMRLMMTEKNLIDLESADLPFNIPSISTARNNPFDVDNEYYDLGLPPIPGSAPSVLVPRRNPFDLPYDSSEEKPNLMEDEQDFITVQPKDPLFRRHESFIVRPSIFGLNRQDKQDSHLRPYFVPERMATEGTSYSPFQRQSSELSDSKVSSVPETESLSSVEDLEDSNLIEGQLRHKSLDQEELECRNLMDEHISEEPEHTSEHVKHGSQSSEEVESLVQLGTVKNHHDAEETLLQEGRVTNALELNPTEIQSKPETSYQRYSSQSSSSSLAEVSERVFIDKEGEMRSSFEEIMGHIEENGISRQASFDGPDFHITSTSVDHTPREHPIYDSSPSAIRENIFSASFSSDQHVESETVFPPTLVEESTISFVERESEENSQDIEKNSLPTNEEILAPADDQEFLSREVVCQNELGVAKADISEDDEIFGSLQVPELVVSQKSIDSESSADEDIGNKEGTIDHAQHQVSSSRFDADTHILSHLVVDHAVESLLTSSDHQNIRQMSDEQHSLIAEVPLDQPVMPSLEKQSVEDVTEKEEPIVSEQHDLPSLDAVESSVTDALSEVDETQTSVGHQYASTERSISQCEEELAYSDKSIDEHPSDDKEVKETPAILVESIEEASTTETLNVSEIHDLDDGIPIISSPRTPNSISNLHEVVEAPRGASLSGLKNMILEENDNQIKVLENYVLPPEAADFQHDELYIVEETDGIEDIDEAFLYELDTVGDFSINELGSCQNEFERRIDSTGEGLSAFHIVDSGTPEVAEDAFAEVHERKFPLHPDILNASTFEEIDFTEGEVHNAVDARSIEGLPVDSDIGPSDPMTKLNLDAQEIVPEMTIAEAQNSVFEMANAESAQTGVTEVPQEVIVREETDSGMPVLEAQTIQDIESAFWQVYEKEMEKSNVFELYNAEYSGMPVLEAQTVEDIELAFRGTSENETLNSNVYELPNAKLVTEKSGSSDNSAVFEISSQVLNDSGMPEVEAHTIEEIESAFGISSEKEKEHLNVVEKILECQWWKHKILKILSLH >Solyc02g024075.1.1 pep chromosome:SL3.0:2:25419053:25429015:-1 gene:Solyc02g024075.1 transcript:Solyc02g024075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRILKKGKSFKGNLTKDETYHDSSYCDSFQSDEEEPVSHDELEGGSLRGRKKSDRVVYDFSCDIHHWKKVGRGHYERASTSKTDTSNNNTSTRRGAGSGYKKRPKVIGQGVFVTDTGYTCINQGLSTSRRVNTGVVYLYPQLVVVGLFLHFLLSLQTAVEEARLFDVVGPGWLELVLFRVATSL >Solyc04g071690.3.1 pep chromosome:SL3.0:4:58754172:58757860:-1 gene:Solyc04g071690.3 transcript:Solyc04g071690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRYEKVQKPIIDNPIKENEIRITTQGRLRNYITYATNLLLQEKGSKEIALKSMGRAISKTVMIAELIKRKIVGLHQITSIGSTDITDTWEPLEEGLLPLETTRHVSVITIILSKEELDTSSAGYQPPIPADQVRPLTEYDYGGGRFNDGVPGNGGEGGWKGGRGYNGRGRTGGRGRGNRGRGNNYGGVAGSMPIQGQGMLQSFFI >Solyc05g014165.1.1 pep chromosome:SL3.0:5:7905775:7906940:-1 gene:Solyc05g014165.1 transcript:Solyc05g014165.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAKWKKKLTRRLKSKHQKIRRDRSRTLFRNSQRSSYAYENEDPINNYSCRWWSIDWDDIEIFEDGSPDHSSEALIHTAAETNGVAGDGRANNGRIGFGFQFDGYAVGYNWVGYLSVGIDHLSFLCRLLLKATLPYMIHKIPRSKGSSFWLVAIQVIASLNLLLSIVVSTFHFLYMVLMLQTLI >Solyc10g012120.1.1.1 pep chromosome:SL3.0:10:4433805:4434143:-1 gene:Solyc10g012120.1 transcript:Solyc10g012120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKILLILFSLALILSQTNGVIQCGTDVLPKVKPCGGFVLGQDPTPSNDCCVGLQDLAKIAAASQSDRKDICICFKALMKAGQVNFKKAKQLPGLCKFTSFMPITPNPDCSK >Solyc10g079560.1.1.1 pep chromosome:SL3.0:10:61199612:61200916:-1 gene:Solyc10g079560.1 transcript:Solyc10g079560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCSASRSYDFVTADPQNPWNSSSSSHSQSPYSYSDSSSTPVSRTLSLPTPLVHHPAMCKGDTHHLVSLTSTTYGSLVLIDPQIPNPNPNPNFHGGNFKNPTTQMTKSLNGTEPQDPLSPDSVINTWELMEGLDEFDFHMVQSKIESPRKVKSKSLDIHSELDTSELEKTYEFVEHSDSKPLWKHLSEEQLLAKMDPNVASSYRQALSSKRFVYKESEDCPKPEIVSSIELKSSNTSLLAENDFHLKGKEDKIQPEIANTIELESSNASLLSSLLPNNDVHLKGTEDKIVLYFTSLRGIRKTYEECCTVRTIFRGFRVCVDERDVSMDSSYRKELQSALDGKVISLPQVFIGGKYIGGAEEIKQLHEAGELAELVEGFAVKHSGFVCGSCGDARFVPCPNCNGSRKVFEEEEGKLRRCPSCNENGLIRCTSCCP >Solyc08g078560.3.1 pep chromosome:SL3.0:8:62469770:62476200:1 gene:Solyc08g078560.3 transcript:Solyc08g078560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRCSRIISKASLSSIRSAMKLNSQSASSATSFSSFSASTRSASSPLRRLSFSRIPSELGGVQSMLPLHNAVATARMTSCLSSTSRSCRALSQGTLCCTSPDL >Solyc01g081070.3.1 pep chromosome:SL3.0:1:80250902:80272588:1 gene:Solyc01g081070.3 transcript:Solyc01g081070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein transport protein SEC23 [Source:UniProtKB/TrEMBL;Acc:K4AXZ9] MTEFLELETQDAVRMPWNVLPGSKSEAAQCVIPVSAIYTPLKPFPDTPTLPYAPLRCRNCRSVLNPFSIVDFSSTKIWICCFCLQRNHFPPSYQSISETNMPAELFPQYTTIEYETPSEKASLTNPVFLFVIDTCVIEEEIGYLKSSLLQVVGTLPENCLIGLITFGTYVHVHELGFGQIPKVYVFKGSKEVSKDQVLEQMGFFANKLKPTTGVIAGVRDGLSQESISRFLLPASECEFTLNSILEELQRDPWPVPADHRAARCTGTALSVASHLLGICVPGSGARIMAFLGGPSTEGPGAIVSKILSEPIRSHKDLDKDSAPLYHKAVKFYEGISKQLVHQGHVLDVFACALEQVGLAEIKVACEKTGGLVVLAESFGHSVFKDSLKQVFQSGGNDLGLASNGIFEVNCSKDIKVQGVIGPSASLEKKGPLCSEVVVGQGNTTAWKMCGLDKSSTFCLIFEVAKKESPDAIAQSANPQFYFQFLTYYQHSSGQMRLRVTTLSRRWVAGPGSVQDLIAGFDQEAAAVVMARQVSFKMETEADFDPIRWLDKSLIHICSRFGDYQKDTPSSFTLSPRFSIFPQFMFHLRRSQFVQVFGNSPDETAYFRMILNRENVANSVVMIQPSLISYSFHSGPEPVLLDVAAIAAERILLLDSYFTIVVFHGSTIAQWRKLGYHEQPEHQAFAELLKSPREDAEAIVHERFPVPRLVICDQYGSQARFMLAKLNPSATYNSDAPPIPGGDIIFTDDVSFEVFLDHLQRLAVH >Solyc06g008310.3.1 pep chromosome:SL3.0:6:2171008:2191925:1 gene:Solyc06g008310.3 transcript:Solyc06g008310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNMEVERVFIGAGCNRVVNNVSWGASGLVSFGAQNAVAIFCPKTAQILTTLAGHKASVNCTLWLPNSKFAFKAKQLEQHLLLSGDAEGVIILWEYSLVDAKWRYVLQVPQVHKKGVTCITAIMVSQQEAVFASASSDGTVNVCEVVFPSTRGGDCKLSCSDSLFVGQKPMVALSLAELPGNSKQLVLAMGGLDNKIHLYCGERNGKFLRACELKAHTDWIRSLDLSLPVYVNGESSLLLVSSSQDKGIRIWKMTLQDSSASNKKQQTSLASYIKGPVLVAGSSSYQISMESLLIGHEDWVYSVEWQPPSTSSVEGIECFQPQSILSASMDKTMLIWQPEKTTGIWMNVVTVGELSHCALGFYGGHWSPNADFILAHGYGGSFHLWKNVGIEYDDWKPQKVPSGHFAAVSDIAWARCGEYMMSVSHDQTTRVFAPWLNNTSVQNEESWHEIARPQVHGHDINCVTVIKGKGNHRFVGGADEKVARVFESPLSFLKTLSHVTSDNSSFSADIQADVQILGANMSALGLSQKPIYVQASTPIDRSNTEGFDTLETVPEAVPVVLTEPPIEEQLAWHTLWPESHKLYGHGNELFSLCCDHDGKLVASSCKAQSAPVAEIWLWQVGSWKSVGRLRSHSLTVTQMEFSHDNKYLLAVSRDRHFSVFQINHKGTDEIDYQLVAKQEAHKRIIWSCSWNPFGHEFATGSRDKTVKIWAVGTETSVKLLLTLPPFKSSVTALSWLSLDNHSNHGLLAVGMENGLIELWNLDSRGGDGHLSVQNASPAVKFDPFLCHVSTVQRLSWRNPQKSEDSETVQLASCGADHCVRIFRVNVT >Solyc05g010475.1.1 pep chromosome:SL3.0:5:4706537:4708245:-1 gene:Solyc05g010475.1 transcript:Solyc05g010475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVTRPLSYYQRNPDALSLPPEGPNSGYLVIQDEESETYCCFGLCKNYDIMDMPIPQNKKLTVRYESGSGENRSVSRDEVMFIPVLNKPLSSNQYYAIKHHGKRKGQAFTCSTEEDKQTCCFCTCIQDVKPKPLDPEEAYQQFEICLYDTGCNVKGNFCAKSLAPDGFPPYFLRRKGWHLSAETPKNYELNDDALGLNPELRQQLPQFNVTSPCKSSEVVVVGKWYCPFAFIKDETELKEQMKRSIFYEMTLEQRWEQFFTCQNDKLNQGNSVLVDVALDTQVVLISGSDNKATWDDRNVVEGVIWFKSYGKDGNEVASLGLRREIVERMKWEQQRGGWQNQGRIKQVEENRENSSGWRRFTCYVLVERFVLRRMDTSLVMTYDFKHINKVKSIWE >Solyc04g009390.2.1 pep chromosome:SL3.0:4:2822319:2823889:1 gene:Solyc04g009390.2 transcript:Solyc04g009390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ALKICLNCGDKGFSNAFIHCIGCLEVVVHRYCLDKVTFTEFVPWLCDDCKENEITRTTNSDAVQPVTDLVQHSHVVDDPIWRGCYNIWNKKYNLDGVVAHLSDKASPNVAETAKLLPLHLHFEMVSKDDVWPMYFNKPEANVDDIKLFFFPSEERHQDEFDSMVQDMVGGENALRALTPYGELLVFTSTELPLRHWRYQRKCYLWGILRETQQDSSSRQLVPNRNQTPDNVLAARDPVNDAQVMIDELRRGKRIRKATASRD >Solyc03g058300.1.1 pep chromosome:SL3.0:3:25918650:25919081:1 gene:Solyc03g058300.1 transcript:Solyc03g058300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEGGGTAHFRVQSIVRPASCSRGGGVLERTTHCCVAPTQGRAFGEEHCLEGKKAWLTNQSSEATGVLSSNDACGLSHSHPKWCPDLAWPVGKRFT >Solyc10g050990.1.1.1 pep chromosome:SL3.0:10:51240511:51240720:1 gene:Solyc10g050990.1 transcript:Solyc10g050990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVDMWTNEVQNRNKTDKNEAIVDTRKGSSELVREKYEKCVSLLSKFNKSMNYSEASVAMIVDCVSP >Solyc05g032734.1.1 pep chromosome:SL3.0:5:45371691:45373701:1 gene:Solyc05g032734.1 transcript:Solyc05g032734.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGNFLSLYDITSVPKCSIGLPDGTRVVENSYGSVQISNNLTLHNDHVLTTEIGRGTARNGVYVFQSQAFVSASRANQVELLHKGLGYASGTKGWRVYDLETHRFFHTRDISFDETTFPFASTHTNQQPKTSKPPVHQADFPNNIVVPTPSTNSNTSFNSLPHSSTPQQSSPTPIVPPIDSSTSQQPTPTMLPIDSSPPIDQRDISPNPPPARASSRVRHPPSYLSEYVCQSATNVPSVTCPTTSPRSGTRFPITNYVHYDKLHDRYRGFLAALSTTDIPRSFRDAIKFANWREAMQIEIRALENNQTGVLTYLLAGRRALGCQWVYKTKYHADGSKERDKARLFPEWELYEFFCQLSLPRIGKFTNWM >Solyc07g063100.3.1 pep chromosome:SL3.0:7:65800876:65806611:-1 gene:Solyc07g063100.3 transcript:Solyc07g063100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVEVEAKLVHVPVEAGTEQINLLKENGKPNHVSGITEPIKFGSHGTEEPKEEISRIPVSNVPKDAVEDWPEPKQVHSFYTVKFRRFDDPKLKARIELAEKELQKKNQARSQIIEKLKAKRAEKSIFIEQRKALSAENKEFRSAIDGKIKEMAPLHEALGQLRGSRNAGRERGPTVCSSEEELNHLIKGLQYRIQHESIPLNEEKQILREIKQLEGTREDVKKVAAARAQIHETMGEKESIQSQVKLMNVGLDGVRKGQQEVKGRLKIIDDQIDAINKQIGILDEELKDVVEKRDKTYEHILELRKQREEGNSSFYQNSNVLHKVKQLADQKDVGALKELSVTEVDKFISLWCGSKSFRDDYERRLLQSLDIRQLSRDGRMRNPDEKPLVLPEAPTVSRAEVPARANAKPVKEDHAPVDAAPIQKEQQEVSGKQLNDARGKNSKSTEKKAVVDDDEEIYGLDLHKDSKPKKIEIDEATLKEMKKEEEMAKNKQAMERKRKLAEKAAAKAAKKAQLEAEKKLKEREKKAKKKSGASAAGQEPIEEPTETPEEIAEEENAEETVETAVAPKVKARKENTIRHRATRARGSELPKAILKRKKATNYWLWAAAPAALAILVLLVIGYMYLQK >Solyc09g015067.1.1 pep chromosome:SL3.0:9:7882949:7884498:-1 gene:Solyc09g015067.1 transcript:Solyc09g015067.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRIDIAYVVQVLSQFMHNPKQSHMNAALRVVKYIKNAPGLGLLMPSDSSGKFAAYCDSDWGGCLQTRRSVTGYLVKFGNAIVSWKSKKQETVARSSAEAEFRSMASVVAESCINTKENNTNDVKIQDNVKNISTDVVLTGGKKGSLSDMTVAQIYVKKTS >Solyc09g083050.3.1 pep chromosome:SL3.0:9:69144365:69146999:-1 gene:Solyc09g083050.3 transcript:Solyc09g083050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-ketoacyl-CoA synthase [Source:UniProtKB/TrEMBL;Acc:K4CVT1] MGDESTRRVSIEANSNKLPNFLLSVRLKYVKLGYHYLISHAMYLFLIPILMALFAHLSTITMEDMVQLWNQLKFNLVTVILCSALIVFLATLYFMTRPRKVYLVDFSCYKPKPEVMCPKELFMERSKLAGIFTEENLAFQKKILERSGLGQKTYFPEALLKLPPNPCMAEARKEAEMVMFGAIDELLEKTGVKAKDIGILVVNCSLFNPTPSLSAMIVNHYKLRGNILSYNLGGMGCSAGLISIDLAKQMLQVQPNSYALVVSMENITLNWYFGNNRSMLVSNCIFRMGGAAILLSNKSSDRKRSKYQLIHTVRTHKGADDKSYGCVFQEEDDNKKIGVALSKDLMAVAGEALKTNITTLGPIVLPMSEQLLFFATLVARKVLKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSEWHMEPSRMTLYRFGNTSSSSLWYELAYTEAKGRIKKGDRTWQIAFGSGFKCNSAVWCALRTINPAKEKNPWMDEIDEFPVEVPRVVTINDS >Solyc01g008070.3.1 pep chromosome:SL3.0:1:2138397:2154648:1 gene:Solyc01g008070.3 transcript:Solyc01g008070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEDRDGFFVVKKGDIVGVYRNLGDCQTQVGSSICDPPVSVYKGYSMPKDTEEYLLSCGLKNALYSIRAADLTESLFGALVPCPFQHQSSSKGGASEHTPKKRSQEAMWSEYADAVGSVVASNDSLRKHIKLEPPKGDQQALSSGVYAVGMKEADRGYPQQRSCTLEFDGTSKGNPGQAGAGAVVRADDGSLICRLREGLGIATTSVAEYRGFILGLKYAHSKGFTSIRAQGDSKLVCMQIQGLWKVKNQNISTLFQQAKQLKDRWSCPGGDREMTNGAVDDDSKRGANEDLIVLTTEHRVLPANWRCSPAISFYSDSTKLYNRSSIRFYAGNRNSSRIRCNLKATGSSNNQPETSTGIQLYRDIERLLTETVKQSQDWGSSQDWDEIEGAWVLQPKTSKPKLVVHFIGGVFVGAAPQLSYRLFLERLRQKLVQKIAVLIILASKDIFAIGCISLLMSIPAVSFYSPCQPLLDVLVIATPYASGFDHFYIADEVQFKFDRCLRFLQERVQDLPVFGIGHSLGSVIHLLIGARYAVKRNGNVLMAFNNKDASLAVPLFSSVLVPMMQNLGPVLSQIASSPTIRLGAEMTMKQIENLSPSIMKQVFPLVEQLTPLYTDLINGKENFTPRPEETQRLIRSYYGISRNLLVKFKDDTIDETSTLAQVLSSGSAISSMLDMSIRTLPGDHALPLQQALPNAPPGMTDAVNRSSELLANLTAGTPWENVTKEVGNTLGNVDSIILNSGNSKDLDMLVETITSWMYSNTGPKLLQ >Solyc01g091730.3.1 pep chromosome:SL3.0:1:85193005:85197953:-1 gene:Solyc01g091730.3 transcript:Solyc01g091730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSALDAARAELALAVLYLNKAEARDKICRAIQYGSKFVSNGEPGTAQNVDKSTSLARKVFRLFKFINDLHGLISPPAPGTPLPLILLGKSKNALLSTFLFLDQFVWLGRTGIYKNKERTDLLGRISLFCWMGSSICTTLVEIGEIGRLSASMKKLEKELKNSDKYKDEQYRIKLQKSNERSLALIKAGIDIVVAVGLLQLAPKKVTPRVTGAFGFVSSLISCYQLLPAPPKAKTS >Solyc03g033360.2.1 pep chromosome:SL3.0:3:4952708:4958025:1 gene:Solyc03g033360.2 transcript:Solyc03g033360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFCCTSNFMVFVIFLALQLHNSSEAFGTFRFDIHHRYSDPVKGILELPWLPKKGSIQYYSAWTQRDRHINRHRLTSTIDTTPNSRRLTSAINPTPLPLTFAGGGNKTVLLSSLGFLHYANVTVGTPGLSFLVALDTGSDLFWLPCNCNNCAQTLLTGSGRGGYTSGLGFKILLEVYNTKKFPPILLKSWSPELLDTYTSERQEPIDLNIYSPNTSSTSKIVPCSGTLCAQGRQCSVAQSACAYRVAYLSHNTSSSGVLVEDILHLQTDSTQQKAVEAPITFGCGMKQTGAFLNGAAPNGLFGLSMGNISVPSVLANKGLAANSFSLCFGADGIGRIDFGDKGSLDQGETPFNLEQTHQTYNISLTGITVGNKNVDVDFTAIFDSGTSFTYLNDPAYKVITENYNSQAQELRIQPMVQVPFEYCYGLRANQTNFQILDVNLMMKGGNQFYLFDPIITLSLPDGSTAYCLAVVKSGDVNIIGRYDSKESKSRTTLPVNKQRPTEGSDPTSGLPEDTRENGNDIIRSTPDFTASTSAGNYVTKSLTFFCQLVVVLFFLYSHYLII >Solyc10g045107.1.1 pep chromosome:SL3.0:10:31316730:31317519:-1 gene:Solyc10g045107.1 transcript:Solyc10g045107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEKSKYILGKGSGIKSASRISRNEIKEQLLAVQKEAEEERYKRESVEIKLMEAKNQLEEERKNREIMEFRLVHDQKLLKECMMALNDLPASIFNIFTTSTTSNETSCACLMNNNWEDLHVKKNQESQMQKELDNLKDVLNFEKQT >Solyc04g016235.1.1 pep chromosome:SL3.0:4:7018838:7019195:1 gene:Solyc04g016235.1 transcript:Solyc04g016235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKKGTSLIDFYSKGGDVGSVRRVFDDLLVKSTATWTAIIAACVNVGKSEISLQLLRNMLETDVVPDNYVVSSILGACSSLSILKEERKFIVMCLDGEQRWMLW >Solyc02g086430.3.1 pep chromosome:SL3.0:2:49733451:49736510:1 gene:Solyc02g086430.3 transcript:Solyc02g086430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQNENPSSDGLNTSIADAATVPSANKNYTFSDATSPDLADNPFLSPTSTHQFDSSEFIPNFYSTFSRSSNSPSLTSFDDTDDLVTDGRLHQASYILEYQQLYNRYTLCLAHLQESIKEVEALHQENESLRLVNTDLDRRLSLLTQATIQNCLLSDFNRFGMGVNRDTQISDPRPPNIRQGSVVEPNRPERRNTERVSLPKSISVRSSGYLKLKAQGGNTGGPSQAKARQKSTVPPLTESQQRVYVPGSKKEEEALEFDVYNQGMLKTELCNKWQETGTCPYGENCQFAHGITELRPVIRHPRYKTEVCRMVLAGDMCPYGHRCHFRHSLTEEERRTGPGLF >Solyc09g009490.3.1 pep chromosome:SL3.0:9:2912005:2916245:-1 gene:Solyc09g009490.3 transcript:Solyc09g009490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVPESEMVSQSEVQSPLQQDQNQHKNNPFPSLGRQASIYSLTLDEFQHTVCESGKNFGSMNMDEFLNSIWTAEENQAHAHAQPHCQAASTGEATSAPRFALGQGNVSLEKAIVEQPSLPRQGSLTLPAPLCSKTVDEVWSEIHKTQQEQQQNNGCNIQNTGNGSSTQRQATFGEMTLEDFLVKAGVVREQGNSAPAPPQQQSYMMYPNSANPTMAAMARPVIGLGGVTGGVGVGVSIPGYPPLPQTGVVEAPVYPMSMKRGSGFPQQSTPVYGGRMGNGSGVGYGQVVQGVAGMGSPLSPVSSDALCVNQIDSGGQYGLEIGMRGGRKRVLDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAHLKQALAELERKRKQQYFDEAKMKAQTKAQKANGKLRGMRRSLSCP >Solyc04g008180.2.1 pep chromosome:SL3.0:4:1853455:1857864:1 gene:Solyc04g008180.2 transcript:Solyc04g008180.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCLTLEEERRRISDLIDDLLNGLKKIKKEFIASKWDDIEKLRMELRFLRTFVLFRNWNLDDFYDKMLLNIEKLKDLIDTIFNEDEFIVAKYNMDSLPSYLRKEINSYLRLKNVANIITEENMFEYTDSLLKNLHDLPKYCSDLLQPLMSEYNILRQVCTHLRDFYQLKCNKTTKEFLYAWYRMTVDRVTQFCFDLWTGKYKNYGYEYAFSECSSKITSLLIDIIPLELEVLHISTSKLIKESTSKELEGLVKQILKASPRILQNNLIHLQRRMEVAVDVNYAPTRSISVMMEFLLIFLTDMPKRFIHREKLNDMLAHAGMLTRKISFLVIKLLEEISEDNINEADFSAPDFLQEIEQMKGDIRHIFLKAPESSQLRFPMDDGFLFMNLLLRHLNDLLIANVSSVTLIKKEIRMVKESLEFLTSSFGKVRKTLDGTSGVVKDCWLHALDVAYEAEHVINSILVRDKTLLHLVFSLPNVTDKIKLIVAQVTSLQLEDKNGDEPLDAKSSHESIVLTSSPFVEVTVGHEEEEAWIIGQLLDEHESELDVISIVGMPGVGKTTLANKVYNNTLVASHFHLSYDHLPCHLKPLLLYFATTQKSQRTPVSTLMQLWMAEGFVDHDSLEEVTQSYLDALISSNLIMVDHIPSESIWWTSTMIKVCYMHDVVHDFVSVKAENEKFFKLINSGDHASDLLHHRLTIYTDYEGELCNKCVLCKFIKCSAVSKYLLSLRVRSSVYKYRYIHHTRQLRLLKVLQLDDFIVFEDSLMKEIGSLFHLRFLSIKTDMKAIPESWVNLQNLETLLINPGFSPFVLLPRILKLSKLKYASVCLSSFFDEEEEDNIRLLEGENSKLKTLSKVDISYSQGTNDALEKFPNLEHLDCTIIVPKCPPKHGDWFPRFDVLNKLQSLSLNYHKPRYYFCNPIEYHFPTGLKELRLFDIPMRPALLSAIVALPQLEILEINYCNFVMDKWDASEDIYQSLKTLRLACPELSEWEVDRETFPKLEELIVENCFMLMEIPYAFGYIDTLKSIRLVQNELELRDSAMKIKKDVIDFTGEDRLHVHISSMYLP >Solyc10g078340.2.1 pep chromosome:SL3.0:10:60285383:60296019:-1 gene:Solyc10g078340.2 transcript:Solyc10g078340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKVSPEFVAEPQMQIARSVSRDVSLSNNQFPTYKLGPNFEVLQDTKEDNKGPPLKEVVEEETNRLTEQHNRLSVRDLASKFDKNLSAAAKLSNEAKIREAPSLEGHVLLKKLRDSLEFLKGRLTGLNKEDIEKTISLVEALAVKLTQNEGELIQEKFEVKKLVNFLKQASEDAKRLVNQERSFACAEIESARSVVQRIGEALDEEERNSPTSAKQEVEGLLEEVQEARRIKLLHQPSKVMDMEHELRALRIQIREKSTVSFKLQKEVSFLIRSNDPVNLEGYGSIIRIQSCSNEAIDVSKCSIQWYRLSSECSRREPIVGADKFVYAPEPIDVGRLLEADIVSNGQKVSLTTTAPIDPASGLGSYVETLFRKSNIEFSVVIAQMNGRNYSSRSAHCFHVGKTKLKLGKGWITKARDSYSKSMQLCGFRGGGNSAAKSLFWLPRKGHSFVLVFESEKERNGALMAARKYAMDCNGLLMGESIEMFMVDGEVLVPGVLKQKKNMVAEGRNRKALGDIGNLATGYGAEGKPLPQVSRPVTRSFCAQLLAAPQNQKKSVAVNVKVANVANGAQKVPVGRKPAQKKVTVKPKPEEIIEISPDTREKLMEKKMLRKKQAAEDITKKKSTLTSTLTARSKAACGLSKKPKEHIVDIDAADVNNELAVLEYVEDIYNFYKLAETETRVHDYIDSQPEINEKMRAILIDWLIEVHHKFELNPETLYLTINIVDRYLTVETSSRRELQLLGISAMLIASKYEEIWAPEVNDFVCIADKTYSHDQVLAMEKQILGKLEWYLTVPTPYVFLVRFIKASLPDSEMENMVYFLAELGLMNYATIIYCPSMIAASAVYAARHTLNRTPFWNGTLKLHTGFSESQVIECSRQLVSYHSEAANHKLKVIYKKYSNSERGAVALLPPAKSLLTASSS >Solyc03g110875.1.1 pep chromosome:SL3.0:3:63086343:63086687:-1 gene:Solyc03g110875.1 transcript:Solyc03g110875.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDALDSVFDPLRDFAKDSVRLVKRCHKPDRKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGAS >Solyc03g112297.1.1 pep chromosome:SL3.0:3:64228674:64229500:-1 gene:Solyc03g112297.1 transcript:Solyc03g112297.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSINGTQVIKFLYSYGGRIVPRRSDGKLRYIGGFTRVLSVEKPISFSELMVKFGESCGSSMSLKCKLPTEDLDVLVSITCDEDLMNVIQEYDRVSALTNQEMKIRAVLFPLNSVKKVSPPSSPMSCFDFPASRMKPEKLRCFYSPPSYAAAAAARCCSPALGYPVGGRKDGGKFYYPCCDHGSPRHLYYVAQRNHGQ >Solyc07g045390.2.1.1 pep chromosome:SL3.0:7:58627373:58631384:1 gene:Solyc07g045390.2 transcript:Solyc07g045390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPPPVRPDRVLKYLKPYVLRMHFTNKYVNAQVVHTPTATVAASASSQEKGLRLAMVESKENTRDVAAAAKIGKLLGERLQAKGVPAVSVFFKKEQRYHGKVKAVIDSVREAGIELV >Solyc07g049787.1.1 pep chromosome:SL3.0:7:60319991:60321337:-1 gene:Solyc07g049787.1 transcript:Solyc07g049787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCVLNSHEMINVLVMADNSETRKDLIKAKIPHYFHPFVKPCVNDKPLEYVRITALRSFLKGLFAK >Solyc12g057070.2.1 pep chromosome:SL3.0:12:64081345:64090648:1 gene:Solyc12g057070.2 transcript:Solyc12g057070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNNVEINKPHAVCVPYPAQGHINPMLKLAKILHHKGFHITFVNTEFIHRRLLKSRGPHSLECLSSFRFETIPDGLPTCDADATQDIPSVCKSTTETCLAPFRDLLAKLNGTSNTSNVPPVTCIVSDGIMSFTLAAAQELAVPEVQLWTTSACGFLGYMHYTTLIEKGYIPLKDVSYLTDGYLETTLDCIPGMKDVRLRDLPTFLRTTNSDDFMFKFVLQETVRARNASAIIMNTFETLESEVLESLRTLLPPVYPIGPLHLLVKHVDDENLKGLGSSLWKEESECIQWLDTKEPNSVVYVNYGTTTVMTPDQLIEFAWGLANSQQEFLWIIRPDIVSGSILPPEFVEETKNKGMLASWCSQKEVLNHPAIGGFLTHSGWNSTLESITSGVPMLCWPFFAEQQTNCWYSETKWSIGMEIDNNVKRDEVESLVRELMVGEKGKKMKKKAMEWKKLAEISAQKPTGSSYMNIEKVVNDVLLSRGPHSLDGLSSFRFETIPDGLPTCDADATQDIPSLCKSTTETCLAPFRDLLAKLNGTNNTSNVPPVTCIVSDGIMSFTLAAAQEIGVPEVLFWTTSACGFLGYMHYTTLIEKGYIPLKDVSYLTNGYLETTLDCIPGMKDVRLRDLPTFLRTTNPDDFMFKFVLQETERARNASAIILNTFDTLESEVLESLRTLLPPVYPIGPLHLLVKHVDDENLKGLGSSLWKEEPECIQWLDTKEPNSVVYVNYGSITVMTPDQLIEFAWGLANSKLEFLWIIRPDIVSGYESILPPEFVEETKNRGMLASWCSQEEVLNHPAIGGFLTHSGWNSTLESITSGVPMLCWPFFAEQQTNCWYSETKWDVGMEIDNNVKRDEVESLVRELMVGEKGKEMKKKAMEWKKLAEISAQKSTGSSYGNIDKVVNDVLLASKH >Solyc08g075870.3.1 pep chromosome:SL3.0:8:60081062:60085317:1 gene:Solyc08g075870.3 transcript:Solyc08g075870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKHKDGKPYPPNKNSKKIQVTLIVVVLCGFSFYLGGIFCSEKDTYATKEVNREDETSIGNSAGSLQTRGNSFTECSADYQDYTPCTDPRRWKKYGLHRLTFMERHCPPNFERKECLVPPPDGYKVPIRWPKSKNECWYRNVPYDWINKQKSNQHWLVKEGEKFIFPGGGTMFPNGVGKYVDLMEDLIPEMKDGTVRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAVLGIISTQRLPFPSNSFDMAHCSRCLIPWTEFGGVYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTIEEQRSDYEKLQDLLTSMCFKLYNKKDDIAVWQKLTDNSCYKKLDNSDSYPPKCDDGTEPDSAWYTPLRPCVVVPPATRKVKLNALAKWPERLHVAPERVSDIRGGSEGAFKHDDSKWKVRAKHYKKLLPAIGSEKVRNVMDMNTLYGGFAAALVEDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHVDNLFTAESHRCEMKYVMLEMDRILRPNGYAIIRESSYFIDVVAPMAKGMRWSCHEEETEYSGQNEKILICQKKLWYSKETSL >Solyc04g081695.1.1 pep chromosome:SL3.0:4:65710252:65715231:-1 gene:Solyc04g081695.1 transcript:Solyc04g081695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLPLVVQGFAFRNTGQSRHFQQRRIGDLFKFQSFRGKPMVIVHLGKEKENKSRIKLAENSSESSGASTFVTANIVSSDIGKLRITFGLNWSNLCCPDYWLSLLVLGRGKENKLFTCGTCEISPAEETKETLFTDKGHREQRNTSFTLRSTSKSGSLISVTVDGRSYSNC >Solyc01g098500.3.1 pep chromosome:SL3.0:1:88883754:88888047:1 gene:Solyc01g098500.3 transcript:Solyc01g098500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sugar-porter family protein 5 [Source:UniProtKB/TrEMBL;Acc:A0A0A8JCK7] MTGSMEDGLLDENSIRKASRQFHVTTNLVLSTSVAACGYFAYGFAAGYSSPAQSGVMDDLGLSIAEYSVFASIMIFGGMIGALISGKVADIFGRRTTMWLSDLFFIMGWCSITFGKRVWWLDAGRLLTGVGAGIQIYVGPIYISEVTPKNIRGGFVAAASCTLTLGFSLVYYIGNNMSWRTLALVGAIPSFIQVLGVFFIPESPRWLSKIGLEKEVEASLQRLRGENADISTEAAEIKDFTETVQQHSGSTFMDLFSWKYARPLIIVVGLMALVQLGGTNAITSFASSIFRAAGCSADSASQVMAVLQLPFAVASIILTEKAGRRLLMLVTSAGTCLGCLLVALGFLFKGYHSSAELTSSMVYTGILLFSVSFTVGMGGTPWIIMSEILPINIKGSAGSLTALINCFTSWIVSYAFNFLFEWNAAGTFFLFAFFCGSVVVFVAMLVPETKGRTLEEIQASMTLLQ >Solyc10g086330.2.1 pep chromosome:SL3.0:10:65317176:65319335:1 gene:Solyc10g086330.2 transcript:Solyc10g086330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPAKVDLTKKKDPKAQAVKAAKAVKSGSTFKKKSSKIRTKVTFHRPKTLKKDRNPKYPRISAPGRNRLDQYQVLKCPLTTESAMKKIEDNNTLVFIVDIHADKKKIKDAVKKMYDIQIKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Solyc11g065380.2.1 pep chromosome:SL3.0:11:51112635:51118571:-1 gene:Solyc11g065380.2 transcript:Solyc11g065380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAENEEDDYMGDLSHFLPPGASSFPSKTASNKPEVANKKRKVLNWQDRKKLKREQKQIEEDQKTLVNLESAIPESNIGFKMLKQMGYTPGSALGKEGSGRSEPVGLEIRRGRAGIGKEDDKVEKVRKEMEKANRDRRREEELMETFGSHLKERWKDKRIVRNFHKADAVLAQLENREVVTEKKEEEDVGKDEEEEEEEPITEEDLLNTLMKLRDEYHYCLFCGCQYESTEALQSNCPGITEDDH >Solyc09g098095.1.1 pep chromosome:SL3.0:9:72489806:72500958:1 gene:Solyc09g098095.1 transcript:Solyc09g098095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGELKKFVEEGKIKYIGLSEACAATIRRAHAVHPITAVQMEWSLWTRDLEEEIVPTCRELGIGIVPYSPLGRGFFSAGPELIESLAEGDFRKNIPRFKPENFEHNKQIYEQVKEIASRKGCTTSQLALAWILHKGDDICPIPGTTKIDNLNENIEAISVKLTIDEMMQLESCAAEDMVKGERHAFMWATWINSETPPLSSWIDE >Solyc04g049340.3.1 pep chromosome:SL3.0:4:41441431:41445365:-1 gene:Solyc04g049340.3 transcript:Solyc04g049340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIHSLASSPSFTNRKISRIYESRSHCQWAKLSLWHQEKKTIVLKYRIFDYQQSQRRREWAPNSWKISATKGKNECCEETQRIYKKKGTVAGAVALIIGTSIGSGILALPQKTSPAGIIPSSIAMTMCWAFLLIEALVLVEINVGLLKKNKVKFEDGELEIISIRTMAEETLGEWGGALATVTYVFLGYTSLVAYISKSGEILCHLVNLPESFLGFFFTSLFTILISVGGTKATDQVNQWLTALMIGLLVAIEVLTILYGGWSGNEGSSDWGKVPSTIPVLIFSLVYHDLAPVLCAYLEGDLKRIRASVLIGGLVPLLALLVWDAIAFGLSSQVDQVVDPVELLLRVKWSGVSYMVQAFSLLAVGTSLIGTLLSFSEFLKEQLNNLNLQSRVSTRFDLQRSNSQKWWIRNNLGFTATAIAIAPPLLLSTAIPDAFSAATDIAGGYCMTMLYGILPPAMAWAMHNNKVKDSETKISIARPALVSIGLVACGIVAVQVLQDLSMLHS >Solyc06g074670.3.1 pep chromosome:SL3.0:6:46350099:46354335:-1 gene:Solyc06g074670.3 transcript:Solyc06g074670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRVDLDGNPIKPITICMIGAGGFIGSHLCEKLMSETPHKVLAVDVSNDKIKHLLEPATLAWADRIEFHRINIKNDSRLEGLIKMADLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEIYGKTIGAFLPKDSPLRQDPAYYVLKEDTSPCIFGPIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNNLLRREPLKLVDGGESQRTFIYIKDAIEAVLLMIVSLPAYLLSCEFFRCIEQYADSIIFYQENPARANGHIFNVGNPNNEVTVRQLAEMMTKVYSKVSGESSLETPTIDISSKEFYGEGYDDSDKRIPDMTIINKQLAWNPKTSLWDLLESTLTYQHRTYAEAIKQAMSKSTAN >Solyc07g049240.3.1 pep chromosome:SL3.0:7:59648159:59649792:1 gene:Solyc07g049240.3 transcript:Solyc07g049240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peroxidase [Source:UniProtKB/TrEMBL;Acc:K4CF27] MAKFGDLGNLLVLCILLGIVGSSYGQLQLNFYAKSCPQAEKIIQDYVYKQIPKAPSLAPALLRMHFHDCFVRGCDGSVLLNFTSSTKNQTEKVAVPNQTLRGFSFIDGVKKALEAECPGVVSCADIVALVARDSVVVTGGPYWKVPTGRRDGRISNASEALANIPPPTSNFSSLQTSFASKGLDLKDLVLLSGAHTIGVSHCPSFSSRLYNFTGVWGKKDPSLDSEYAAILKMKKCKSINDNTTIVEMDPGSSSKFDLSYFQLVLKRRGLFQSDAALTTSATTKSFINQLVQGSLEQFYAEFGVAMEKMGKIEVKTGSAGEIRKHCAVVNS >Solyc09g011590.3.1 pep chromosome:SL3.0:9:4866430:4875275:1 gene:Solyc09g011590.3 transcript:Solyc09g011590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEVKLLGLSYSPFNHRVEWALKIKGVKYEFIEEDLQNKSSLLLESNPIHKKIPVLIHNGKPICESMVILEYIDEAFEGPSILPKDPYDRALARFWAKYVDDKGSAVWKSFFFKGEEQEKAKEEAYEMLKILDNEFKDKKYFVGDKFGFADIVANGAALYLGILEEVSGVVLATSEKFPNFCAWRDEYCIQNKEYFPSRDELLIRYRAYIQPMADVKLIGLWYSPFSRRVEWALKIKGVEYEYIEDDLHNKSLLLLQSNPIHKAVPVLIHNGKPLCESSVILEYIDETFEGPSILPKEPYDRSLARFWAKFFDDKEQEKAKEEVYDMLKVLDNELKNKKIFVGEKFGFVDIVANAAALWLGVLEEASGVVLVTREKYPNFCDWRDEYCTQNKKYLPPRDELLAHYQVYIQRIKGVEYEYIEDDLYNKSSLLLESNPIHKNIPVLIHNGKPICESMVIVEYIDKTFEGPSIIPKDPYDCAIARFWAKFLDDKTSRENNT >Solyc09g065887.1.1.1 pep chromosome:SL3.0:9:64402423:64402731:1 gene:Solyc09g065887.1 transcript:Solyc09g065887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRPDISYAVQNLNQFMHKPKKSHMEGALRVIRYLKNAPGLGIMLTSKVCKQLSVYCDADWATCPMTRRSVSGFVVKIGDSLISWKSKKQNTMSRIFAEEEY >Solyc07g052040.2.1 pep chromosome:SL3.0:7:60703969:60704336:1 gene:Solyc07g052040.2 transcript:Solyc07g052040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNTSFPYSRYRSVRENSVFSGKLSVMDDTVLILFLPSGAGNNWGKGHNTEGAELIDAFLDVVHKEA >Solyc03g013470.1.1 pep chromosome:SL3.0:3:45893003:45894786:1 gene:Solyc03g013470.1 transcript:Solyc03g013470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYAMGLVVVKPCFAPSKEQDILLLGGVMHRAYEIYYELFHINIFKRSTSSSLAFDIFPTLYYDPKRWSIYIPNRNEDTFIRHGYYSGHSDTYIPYGKELYYYDVHSLYPYIMKNFLMTGGEFGINPGTTVTELATAITACARIHMHPCISRDDCHYNDTDSVLLNGLLPDSDISCTDLGNFKHDYAFYEGIHLAAKSYTLSKEGHGAVINDKVVANSLETPKWYKKQYENLNRTTEAFVKTLFFVNWKKLDVSERYINFHLGSPTNTKREAV >Solyc09g057900.2.1 pep chromosome:SL3.0:9:52326512:52327001:-1 gene:Solyc09g057900.2 transcript:Solyc09g057900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMLHHIGSKPIREIIYQKKISALRSTREDITSLNEENKSLNEENKTLNNRLSTLEDAMKEVLKMREVFKAHQSHVAATTSSFSTE >Solyc09g057550.1.1 pep chromosome:SL3.0:9:49828410:49829157:-1 gene:Solyc09g057550.1 transcript:Solyc09g057550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLKFNVFQIFAPKSQTIYFPLCLIPLLRFFKKCPHPDEDQQKQLASEAGLDHKQVMFWFQNRRDQAKVILII >Solyc03g083847.1.1 pep chromosome:SL3.0:3:55199624:55202829:1 gene:Solyc03g083847.1 transcript:Solyc03g083847.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPFPIRILDHMKVTIDSQFELDDQFMPSLNSINSSIAPHSTVIKGHTEQLPTTIAGCITTKEDQVTIDVQLAGHDVAIKAEIVKLSQLIPLKLSVNEYYNNKGIDVSQAQQENEFFNVVFIDNVPQQSHESLDCGIYMLAFAEYLSYGQARYTSCHDTFWMAFQGAFYDLEAAPSGP >Solyc07g018090.3.1 pep chromosome:SL3.0:7:9146182:9177532:-1 gene:Solyc07g018090.3 transcript:Solyc07g018090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWFSFWRSRERFSLDEFRFLTDQLMKVQVVNEVNKDFVIEALRSIAELITYGDQHDVAYFEFFMEKQVMGEFVRILRISRTVIVSLQLLQTMSIVIQNLKNEHSIYYMFSNEHINHLITYSFDFRNEELLSYYISFLRAISGKLNKNTISLLVKTHNEEVVSFPLYVEAIRFAFHEESMIRTAVRALTLNVYHVGDEAVNKFVASDPHTGYFSNLVKFFREQCINLDKLVNASKCIGSDTSGSILSSVDEIEDNLYYFSDVISAGIPDIGRLITDLILKVLIFPSILPSLRMEVVKDSDTGIGTATSLYLLCCILRIVKIKDLANIVAAVLLCDIETFVPRSEAKLNGFMVNHDMSHENQDSENSGLRSDSDSQSLRVFIPIISNSLNNHPEDDSSQSDHRSTYPALREALLSYITTGDDFQVSGSLSMLATLLQTKELEESMLDALGILPQRKQQKKLLLAALVGEGSAEEQLFSSENMVKDGIGSEIDCYFQKLKEKYGLLCVCKEVTVTPRRQRFEVLDALVSLFCRSNISAETLWDGGWLLRQLLPYSKADFRSHLELLKDTFHNCTSCILDETKGTWPDLLIMVLCDEWRKCKRTIEASSPRKDPKLMLLPAHKSLSEEVASGESSFAAGERLFEIVKVFVLLHQLHIFSEGKLLPDQPPIHPTVDVMETSRAKRAGIDSLGPKQSAELSLVGAVPCRIAFERGKERHFHFLAITIGTSGWLILADELPVRPSFGVVRVVAPLGGCNPRIDEKHMRWLHLRIRPSSFPCTDGAKHTAHPKVKSKALVDGRWTLAFRDEDSCKAAFSMIVEELKLLSSEVERRIKPMLVIERTIDTSTK >Solyc06g018006.1.1 pep chromosome:SL3.0:6:16293258:16293577:-1 gene:Solyc06g018006.1 transcript:Solyc06g018006.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIKLQNKKEVFPGYWQIQIFKEHTYKTAFNVPFGQYEWNVMPFSLKNALSEFQKIMNDIINP >Solyc10g082030.2.1 pep chromosome:SL3.0:10:63058483:63062855:-1 gene:Solyc10g082030.2 transcript:Solyc10g082030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MACSASSSTALLSYTSRASISPKSHISQSISVPSAFNGLRNCNPLVSRVARSINTRVAQAERRRFAVCASSELPLVGNQAPDFEAEAVFDQEFIKVKLSEYIGKKYVILFFYPLDFTFVCPTEITAFSDRYEEFEKVNTEILGVSVDSVFSHLAWVQTERKSGGLGDLNYPLISDVTKSISKAYNVLIPDQGIALRGLFIIDKEGVIQHSTINNLGIGRSVDETLRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKGSKEYFASI >Solyc09g091855.1.1 pep chromosome:SL3.0:9:71542203:71543270:-1 gene:Solyc09g091855.1 transcript:Solyc09g091855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKSYFDDTLDSTLFSTKTNGDLVNGFEGLLIGERLDFEWLKGVVRGL >Solyc01g028805.1.1 pep chromosome:SL3.0:1:42411186:42416042:1 gene:Solyc01g028805.1 transcript:Solyc01g028805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPAYVLLLPLALFIIIVIVMRRKRLNYPPGSCGWPYLGETLDFLNANKEGKPEKFVKERIEKYKSKIFKTSLMGETVVVLGGASGNKFLFSNENKQVVIWWPASVRKLIGSCLITTVGKEAKIMRKMLSTFVSPDAFSRLYIKTMELVAHHHFMNYWQGKEKVKVFPLVKLYTFKVACQLFMSIEDKNEIERLSREFNLLLKGLISLPINLPGTSFYKAMKGTTAIRKELLQVVKKRLLQVVKKRREALEQKIASPSQDILSHLLSCPDENGKYMSELLIVNNILLFLFAGHDTSSVTLTLLIKRLAEHPQIYQNILQTEHIEIASSKKEGEFLNWDDIQKMKYSCNVVSEVMRLTPPIMGAYREAIVDINYGGYHIPKVKFYWNTGLTSLDPEIFPNATSLEPSRFEGVGPAPYTYIPFGGGPRMCVGKEFARLEILIFLHILIRKFNWKLLREKTYRHHQILISNEKMTYDPMPTPLEGLPY >Solyc02g078920.3.1 pep chromosome:SL3.0:2:44096384:44105402:-1 gene:Solyc02g078920.3 transcript:Solyc02g078920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGFELKWFVGVAVVLMMVQNILGWGKEGHYIICKIAEEYLTEDALAAVKALLPDQAEGDLAAVCSWPDEVRRHYHYRWSSPLHYVDTPDFLCNYKYCRDCHDGHGLKDRCVTGAIYNYSMQLSQGYYDLNSEKLTDNLTEALMFLSHFVGDVHQPLHVGFTGDLGGNSIIVRWYRRKTNLHHVWDNMIIESALKTYYKSDIMLMTQVLLKNITHEWSDDVPSWEDCKEMVCPDPYASESIRLACKFAYRNATPGSTLTDDYFLSRLPVVEKRLAQGGVRLAEVLNRIFTKKPSDAAQ >Solyc05g055120.3.1.1 pep chromosome:SL3.0:5:65764780:65765711:-1 gene:Solyc05g055120.3 transcript:Solyc05g055120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSMFIWLLFPGDAVKGEVEFPNMDCVDGFVKDVEPNGKAGPVGVLGADPKTAAVVAGGVKEDEDAPNANAVGVAELNGELKPGDLGACQLEPKIPAALEVCEEVELAPKANGLGLTVLEALTAFVDRTEELAPKLNTPGAALVEVLTVFVDGTEELAPKLNTPGAALVEVLTVFVDRTEELAPKLNMPVVALVEVLTVFVGEAKELPPKLNTPGVAFVEVLTVLVEE >Solyc06g060580.2.1 pep chromosome:SL3.0:6:38693904:38696247:-1 gene:Solyc06g060580.2 transcript:Solyc06g060580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGLVHTIQFVFGILGNVATLFLFLVPTHTFKRIIKNKSTEQFSGIPYVMAFLNCLISAWYGLPFVTSNNILVATINGTGAAIELIYVLIFLLYAPNKQKRRIFAIFVLVVVAFAAAAVISVLFFHGKNRKLFCGIASTVFSIVMYAAPLSIIRLVITTKSVEYMPFLLSFAAILSCTSWFIYAILGMDPYIGISTGVGLTLGIVQLVLYFSYCDKRILNKKTFAVEESQKNMDNDVKPYDESIHECPYVKV >Solyc07g032150.3.1.1 pep chromosome:SL3.0:7:35760769:35764410:1 gene:Solyc07g032150.3 transcript:Solyc07g032150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVGPFSYNMRTANCWFWNSRSLSR >Solyc02g005490.3.1 pep chromosome:SL3.0:2:9305810:9306619:-1 gene:Solyc02g005490.3 transcript:Solyc02g005490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTEEVACTYACLILHDDGITITMNIGSGGSVAFTGAVATVAPTAVEDAPAAAEKKEEAKEESDDESIFSLFD >Solyc05g005160.3.1 pep chromosome:SL3.0:5:141137:145033:-1 gene:Solyc05g005160.3 transcript:Solyc05g005160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKKIREYDSKRLLKEHFKRLGGYDLAIKSAQVTESTDINELAEKEPWLNSTKLVVKPDMLFGKRGKSGLVALNLDLAQVATFVKERLGKEVEMGGCKGPITTFIVEPFIPHNEEFYLNIVSDRLGCSVSFSECGGIDIEENWDKVKTISVPTGTSFTSEICAPLVATLPLEIKGVIEEFLKVIYALFQDLDFTFLEMNPFALVEGKPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVMSATESFIHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATANPDGRKRALVIGGGIANFTDVAATFSGIIRALKEKESKLKAARMHIYVRRGGPNYQKGLAKMRSLGEEIGIPIEVYGPEETMTGICKQAIECISAAA >Solyc03g123960.3.1 pep chromosome:SL3.0:3:72153814:72164968:1 gene:Solyc03g123960.3 transcript:Solyc03g123960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGVVAAQDQQQQQPQPPRVVEGLNPAVQQQLNLESVKTRAVSLFKAISRILEDFDAIARTNAVPKWQDILGQFSMVNLELYNIVEDIKKVSKAFVVHPKNVNAENAAILPVMLSSKLLPEMEVEDNAKREQLLHSMQHLSVASQIEKLKVRIDMIGAACESAEKVIADTRKAYFGTRQGPTLLPTIDKAQAAKIQEQENLLRTAVNHGEGLRVPGDQRHITSALPGHLVDVLTVSDGPQSFADSSGTYLKNTPPFPSSNVNSQGALLQASGALRAAVSPSGPTSFDTTTASPLQHVNSPRSSANMMNTPSPQQQTHQQQQQQQQQQQLQQHQLQQRQKMLQLPQHQQQILAQQQLRQASMPGLGQLHGQPQMQFSQPLGAQQFQGRQLPSGALHHGMGQSQLNQGNQLNRHLNQFSSPMNTALFNSAQSTPSSQMISNMSAMVPSQTLLPRMQFGMSGGTRNLAAANLSDQMFNMGGNNPGMMSIQQQQHGTFGNMSQNTQNLQQGMMPLQNAPQTHPSFQQQRPQGQQ >Solyc01g099170.3.1.1 pep chromosome:SL3.0:1:89362168:89362545:1 gene:Solyc01g099170.3 transcript:Solyc01g099170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPEPGSAEYEELKINLDKVFLKTVVPQQLTLLGMSVLELLSRHASDTLNLGQRDSPEWTKDQEPLTAFERFGKKLSDIENQIIQMNGDHKKWKNRSGHVNVPYTLLFPTSEDGLTGKGIPNSVST >Solyc12g100280.2.1 pep chromosome:SL3.0:12:68029506:68030644:-1 gene:Solyc12g100280.2 transcript:Solyc12g100280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPWKDLTGKVVMVTGASSGIGLEFCLDLAKAGCSIIAAARRIDRLESLCNDMNSEGIRRAFALKLDVMSNGATMEAAVERAWDAFGHINVLINNAGIREEEWEHTFNTNLRGAWLLSKYVCRRMRDAKLQGGGSVINISSVSGLNRVLVPGGLAYACSKMALDMLTRMMALELGVHNIRVNSVAPGVFKSQITESLMQKEGFNNVILQTVPLRYLGMTDPALTSLVKYLIHDSSEYVSGNIFIVDSGGTLTGVPIFSSL >Solyc11g065020.2.1 pep chromosome:SL3.0:11:50631501:50636565:1 gene:Solyc11g065020.2 transcript:Solyc11g065020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPSLPVAASLDAPDPVLERVLIEDTKNSSQGGDRNLAHQPILLDQVSKSFRGEVVNRSLSMKAANRNDEDLENGMLEKDIEKSVRSNKGFTVHNKALLSGIAYCISSCSMILVNKYVLSSYDFNAGISLMVYQNFVSVVVVSSLRVFGIISTEPLTWRLVRVWLPVNVIFVGMLITSMFSSCSLKYINVAMVTVLKNVTNVITAVGEMYLFNKTHDNKVWTALFLMGIR >Solyc09g055420.1.1 pep chromosome:SL3.0:9:41149656:41150075:-1 gene:Solyc09g055420.1 transcript:Solyc09g055420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQTFIMIKPDGVQRGLVDRAFAEKYDANLSSTPFFNGIVEYIVSGPVVAMVWEGKGVVSLGRKIIGATNPLESAAGAICGYFAIYIGKNVIQGSDVGESAKKEIALWFPKGLAEWQ >Solyc09g075270.1.1.1 pep chromosome:SL3.0:9:67438549:67438908:-1 gene:Solyc09g075270.1 transcript:Solyc09g075270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILPKGVKDYKLNPKTGEFSAYLNSTCSFKLENSYQLNYKPVIKGVISKGRLRKLCGVSVKVVLLWLNIVEVNRKGKNLEFSVGLASANFPVENFEECPQCGRGLNCVDEDDNVVSSF >Solyc02g092940.3.1 pep chromosome:SL3.0:2:54548056:54551384:1 gene:Solyc02g092940.3 transcript:Solyc02g092940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFQMFDLLVLLFLLLEYQACGLNNDGLLLLTFKYNILSDPLSVLQNWNSWDDTPCSWKGVGCGNPNASDPNLRVTNLSLPNSQLLGSIPSSLGMIQYLTNLDLSNNSINGSIPLTLFSAPDLQRLDFSNNRISGQLPELVGHLNNLQFLNLSGNALTGRLPENLTRLSNLTVVSLKDNYFFGSVPFGFDSVQVLDLSSNLINGSLPPNFGGSNLRYFNASFNRLSGDIPPEFGSKIPPNATIDLSYNNISGAIPESVVFINQNRKAFSGNPELCGAPLKNLCPIPSTVTSLPNSAEPTSSPAIAAIPKPIDSNPAAESPKEGKNGLRTGTIIGIIAGDIAAVGVLALIFMYVYRAKKKKRNIENNIKKEAETAKDFDWASSASSEEYNWLRSWTCLNKPRHGDEDELSEASHSESETSQLGHPQQNHVHTTGELVTVDGERELELETLLKASAYILGASGSSIMYKAVLEDGTTLAVRRIGESGVERFKDFENQVKLIAKLVHTNLVKIRGFYWGAEEKLVIYDFVPNGSLANARYRKAGSSPCHVPWEFRLKIAKGVARGLTYIHEKKHVHGNLKPSNILLGADMEPKIGDFGIERLVTGDSSHKTYGSARNFGSKRSTASRESFQDFTSGPTPSPSPSALGISPYHAPESLRSLKPNPKWDVFSFGVVLLELLTGKVIVSDEMGPASVIGAATSAGEEKSKVLRLADVAIRADVEGKEDALLALMKVGYSCISPTPHKRPGMREVVQALEKFPTNSTTSSYYYGP >Solyc08g023460.3.1 pep chromosome:SL3.0:8:27736689:27751072:-1 gene:Solyc08g023460.3 transcript:Solyc08g023460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVEGPSTLSGNGAQSVESRSHPVRRHLDDAVYQFTQQNLPACKPVLTPAWVISMFFLIGVIFIPIGLLCLYASESVIEIVDRYDIDCVPDNLKSQKVAYIKDNSVSKNCTRYLKVPQNMKAPIYIYYQLDNYYQNHRRYVKSRSDKQLLHGLQYNDTSSCNPEGENNGLPIVPCGLIAWSLFNDTYSFFNGADAINVNRKNIAWRSDREHKYGKDVYPFNFQSGPLIGGATLDPDIPLSDQENLIVWMRTAALPTFRKLYGKIEVDLEEGDLIMVNLVNNYNTYSFGGRKKLVLSTTSWLGGRNNFLGMSYVTVGSSFIFLAFVFLLLHVKNPRPYGDTNLSWNWKGMSN >Solyc01g094400.3.1 pep chromosome:SL3.0:1:85776843:85778518:-1 gene:Solyc01g094400.3 transcript:Solyc01g094400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANSASGIAVSDECKLKFMELKAKRNHRYIVFKIDGQQVVVEKVGGQAETHDDLANSLPPNECRYAVFDYDFTTNENVQKSKIFFIAWSPETARVRSKMLYASSKDRFRREFDGVQVELQATDPSEMSLDTFICRAL >Solyc01g110020.3.1 pep chromosome:SL3.0:1:96751629:96758380:1 gene:Solyc01g110020.3 transcript:Solyc01g110020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTRTNRRTLDSYTVKSINKTVRAGDCVLMRASESSKPSYVARVEKIESDNRGGNVRVHVRWYYRPEESIGGRRQFHGSKELFMSDHRDIQSADTIEGKCTVHTFKSYTKLDAVGNEDFFCRFDYNSSTGAFNPDRVAVL >Solyc06g048415.1.1 pep chromosome:SL3.0:6:31107607:31109253:-1 gene:Solyc06g048415.1 transcript:Solyc06g048415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIDYDLDVTTDTEVHHVNYDNAKNTNDNVDTGVLHDDSGVANIDHDDSTINVPIATKIVEVPTTSVRRTSRSVKQPVWIKDYTKGKQSSTRHPIANSLSYDRVTSCYKAFSNLRLTSVEYDLTNGHIGDVVLQDITGSKGWPDISYAVQILSQFMQSPKRSHWDATITVIKYLKGIVGRGIWLQSKPANELSCWCDSDWKQQTVSRSSAETEYRSMKSVVSEVTGCWGCLLS >Solyc10g050777.1.1 pep chromosome:SL3.0:10:50731030:50731729:1 gene:Solyc10g050777.1 transcript:Solyc10g050777.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSLREISNFKARLSATEADLWDEARDRSAGTLNLSQELYIEKQSPNTVEERDHMTLVPYASTIGSFMYAMVCTRHYMAHAVGVVSRNMANPGKEHWEDVKWLLRYLRGTSITSLCLAKAMRLYRVLWRLIMVGMITRERVYPAEYVAIVEDGKEMIWLADYLEELG >Solyc10g050030.2.1 pep chromosome:SL3.0:10:47551385:47552442:1 gene:Solyc10g050030.2 transcript:Solyc10g050030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LVNSQLWARFSRNKFSLDSKPTIQVEFQTKTLHVDNKTIKSQTWDTAGQEMYRAVTNAYYRGVVGTMLVYDLTKRQ >Solyc10g044620.1.1 pep chromosome:SL3.0:10:27060990:27062337:-1 gene:Solyc10g044620.1 transcript:Solyc10g044620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVGSNMSKILMETAERLVKSAIIAALLKLLLSVTSVIMTLRESTSVILAKIASVVLAQQMILPLNLTSPVIQFHLLKELMQSLYVLMPQRSEEMHLNTLINIVSSSSTTDDKSATTIGILSNIPMATANLRGTVLKGKLRNPLGVLEQQLLYTLNKMRDQCPSIMLTESP >Solyc06g064895.1.1 pep chromosome:SL3.0:6:40571286:40572075:1 gene:Solyc06g064895.1 transcript:Solyc06g064895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVAGLFQSSGCPAVVAMRKKSAVGFSEARRIPEVVPSGKVKSMLHLAELVEKHNDQIATFETWDTEKPYEQAVKIGVRWLYIPVIMLADKILDMTIPAEGPYCIQTLHERIEFVG >Solyc04g005710.2.1 pep chromosome:SL3.0:4:461108:463492:1 gene:Solyc04g005710.2 transcript:Solyc04g005710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCDYNAQKIFGNRWTEIAKVVSGRTDNAVKNRFTTICKKKAKHEAMAEENRVTLSDKLDTDRICDVSAKKLRRSRTIESSVDDCPNNNQPVRHPFAVLAQNVNNLKETYENGTVLKKNDRNMLMQQGSLALKVNSENSNQRLENAWMVVDDFLHRMKEGDMLKFRHTEMNSAHNTHKNLITNSSSNERNRSSKRQLALSQESGDSSEYNTGSTQLSRALSNKTEESQVKTCTHDQEIQSGLQNSQISDQTGVQELENGIFCDLSFPHDTRSVCDEMKHNDVSATDGCEYPSPLQVTPQFRSLAEAIPSPKFSESERQFLLKTLGVESTSPHRTTKPPSCKRALLQSL >Solyc06g083770.3.1 pep chromosome:SL3.0:6:49146644:49149630:-1 gene:Solyc06g083770.3 transcript:Solyc06g083770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSAQVSSFISIPFPPSKSKTHKLKYPKILHTKLCNSTPKMSLNQNSNTNLINTITKLLWGPSLPPQLLISTVRSTWSATWQLMMSQLAPSDPTGSYTRPTSQFRLYSNPELKFSPKDLHLYVGLPCPWAHRTLIVRALKGLEDSVPVSIASPGIDGSWEFRVFSDPDKDKLVPGLDKANGCKTLREVYKLRRGGYSGRSTVPMLWDMGKKEVLCNESYDIIEFFNSGLNEISGNPELDLSPPALKVDIRKWNDIIYPNVNNGVYRCGFAQSQEAYNKAAEGLFRTLEMLEDHLAGSRYLCGDVLTLADVCLFTTLIRFDVVYNVLFKCTKKKLIEFTNLHGYLRDIYQIPKVAETCNMGQIMEGYYKILFPLNPGGINPIMPSGCEDEVLSKPHNRDCLSLETKVVQHSVS >Solyc10g047970.1.1 pep chromosome:SL3.0:10:43276129:43278220:1 gene:Solyc10g047970.1 transcript:Solyc10g047970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLKSDVVDTPPFKWSIYSSNEEPIRYVSSIDPDATRSYIRQIKDTFVDQEGKYDMLVYVMKDLKDGRINHVGVIERVRELYKGYPSLILGFNAYMHNGYEIMLSDEEKASLKNVTNYEEERNFVENIKVEVRLNEHHDLLDEFSEFLKDSVTPNPLSSLLLVLDPLLPCGYDIILNDEVKSLNKSIHFEQVYILLSGRPYLLDEFSRFLPDSFTTNMLSNFGRQ >Solyc09g075200.3.1 pep chromosome:SL3.0:9:67397986:67420920:-1 gene:Solyc09g075200.3 transcript:Solyc09g075200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKITGKISKDRLANLKGVQVKVLLFWINIVEVTRDGDDLDFSVGIASADFAIDNFYESPQCGCGFDCPIFLSSPSPIRTPTRAQKFLEKLTTTEVSTSPAKVAARRRRAAMAEANQNTNPNPAPSGGEVPPNVTIYINNLNEKIKLEELKKSMHAVFSQFGKILEVLAFKTLKHKGQAWVVFDEVSSASNALRQMQGFPFYDKPMRIQYAKTKSDVVAKADGTFVPREKRKRHEDKGRKKKDQQDANQAGMGRNPAYAGAYGAAPPFSQIPYMGGAKAAVPEAPAPPNSILFVQNLPHQSTPMMLQMLFCQYPGFKEVRMVEAKPGIAFIEYGDEMQSTVAMQALQGFKITADNPMLITYAKK >Solyc11g031960.2.1 pep chromosome:SL3.0:11:24269626:24272297:-1 gene:Solyc11g031960.2 transcript:Solyc11g031960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLLMLTFLSFFLLTTNKAWSQQQDPQVPCLFIFGDSLVDNGNNNGILTLARANYMPYGLDFPQGATGRFTNGRTFVDILAQLLGFPNYIPPYARVRGRNLLRGANYASGAAGIRDETGNNLGDHMPMKQQVGNFGRSVEELRRLFRGDNSTLNAHLSKCILYSGLGSNDYLNNYFMTDYYSTHSQYTPQQYASALLQDYSQQLSELYNLGGRKVIVTAIGQIGCIPYQLARYDGNGSRCNEEINDAIQLFNSGLKRLVIRFNKVLPGAKFVFLDSFESSKDLVLNAKTYGFEVVDKGCCGVGRNNGQITCLPLQQPCEDRSKYIFWDAFHPTEVANILLAKKSYSSKSKTFNYPINIQQLVRL >Solyc11g016975.1.1 pep chromosome:SL3.0:11:7640721:7643847:-1 gene:Solyc11g016975.1 transcript:Solyc11g016975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRSQCLTAFRNSIGHDIQLIPEFELRLELKIHSVFAFGVGIAEVVEMKSLFSLLLSAGGDGVKGLSWDVRYQREGRKKKDMRRRLDAG >Solyc08g062653.1.1 pep chromosome:SL3.0:8:51713671:51713924:1 gene:Solyc08g062653.1 transcript:Solyc08g062653.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMCVDYRAMNNATVKNKYSVSLVQDLIDMLRKACWFTKLDLRAGYCRIEEGDEPKTTCVTTYSSYEFLVMPFGLTNALSTF >Solyc09g015140.2.1 pep chromosome:SL3.0:9:8058476:8059992:1 gene:Solyc09g015140.2 transcript:Solyc09g015140.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSRASNKTSTSSSAPLMVLTPVGQQVVFIEQISSPTSMGLFYLEAEMAISDELQEFCVLECSGCKQKKRTKDRKDFHCPKCNRKTTLLPRCIFQIDLTDGTATVTTSISGELGEKLLSMTAEDIFDITCAKRQSLHVNHVHEMLSNKLFQIQLRKSSWGTSNNTQATYSIISYMEKQHTSPTTIDRNSKKIRPLEISEMEVTETTTAAGSSNATLKFEPPTPTKKL >Solyc08g005150.3.1 pep chromosome:SL3.0:8:97599:104455:1 gene:Solyc08g005150.3 transcript:Solyc08g005150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RBR-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4CI07] MSNSRGGAKSAHYSRRNSNQNWVIKSSSLNSGEKDEALPVHELTSLFVREDRNPIYPKGSQISSLTSGETVEVSSLTKKVQESTISELNQKSHRNRRNSKRVSRNSRAYGVNGNFEKKSEDEESSREAVEDKLSGDKEEKGVEKVEGSSIDDVWKRLDELQFGAEEPELSTEQLRINDQAQEDELLALESIFGDSVFVLDRRNGLRSFQIHVHIEVPGELTVSVNLNSSGAHGIPDDSSPEFSYSLKVEHLPPIVLTCLLPKSYPSHLAPLFTISVQWLNSANISSLCSMLDSIWKEQLGQEVLYQWVEWLHASSLSHLQFDQEIKLGFGAERDIGDRGAISGTVSPEVDIPSLKSYDEEQRHENFRRNIHQCCICFNELPGMEFVRLPCQHFFCWNCMKTYSDMHVKEGTITKLLCPEAKCGGMIPPGLLKRLLGEVEFERWESMMLQKTLESMKDVCYCPRCETVCIEDEDQHAQCAKCFFSFCTLCKEKRHVGVMCMTPQMKLLILQERQSSSQLKDSQRQREKEMINDILSMREIHRSAKQCPSCKMAISRTEGCNKMACDNCGAYFCYRCNQAIDGYDHFRDGKCELFPPEAIQMWEERINARQVIGQIQAQMLPNRAHPCPNCRQMNVKAGNNNHIFCWACQNHYCYLCGKTVKRSSQHYGPKGCKQHTADG >Solyc05g018303.1.1 pep chromosome:SL3.0:5:20382004:20386284:1 gene:Solyc05g018303.1 transcript:Solyc05g018303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTLQDRDCVDELCLLVPILRHWFSEPSSCTTPNLSEQSPVIPTGNQCYTRRHAPPVVFSPVNCQTHAPARGDFYRPTKDLGRLKYFLGIEVAQSRSGIVISQCKYAP >Solyc06g053635.1.1 pep chromosome:SL3.0:6:36564118:36568529:1 gene:Solyc06g053635.1 transcript:Solyc06g053635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLDKNKSEETEGNFSEAIDVEGEKRGENEGGLLSDPLNVWLPFLGSSSSPLADLPLPLAALPLPFRQQQPPPTAVRWNFTYLMLDTVEKFEKAFERFDLYDSNFNSFLATDVCEDESIAGSIQYEDWANVSNVIKFFEKFYELTLKVSSSRYVTCNIHFEDICELDAYLKLCMDSDDLDLSKMASELIEEFKNYCGTPEKMNKIIFIVFVLDPRNKFVYVNFSLEELLGEEMGNVVNTKVKAYLRDLFAIYLARDVLAIPMSRVASGCAFSTVIVFKIPLEVH >Solyc08g005500.3.1.1 pep chromosome:SL3.0:8:374843:378547:-1 gene:Solyc08g005500.3 transcript:Solyc08g005500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAYSAVTSLMGTMHLISQSALDFHESNKECSKSLYEKVGSLLEFLDNSDNELMKDLQKKVKDVVNEVEDEVESQIVRIMEKDEHIQREANERLREILEQAIQDIYSVNQELIKQRNKNNNSLSGNLSIGGSTSPRSRVSTLENEMVGHINEQANMRRRLITGHSSQLEVISIAGMGGIGKSTFAKKLFSDPSVVGFFDVRGWITVSKDYSSRKMLISLLQDATGVKVEINPQYQEVEVINAELADRLQKSFKGKRYLIVVDDIWSTEAWDDISQWFPENNNRSRILLTTRSMEVARHASSPKNPFQMRFLDPEESWNLFCQKAFSKKDCPAEFESVGKVVVENCKGLPLMISVVAGSLSSKRTLNEWNEVAQSVSSLVNLDDYQRCSGVLALSYNHLPPDLKVCFLYFGVFKKASEISVENLIRLWMAEGLFKLRGIRDLEKEACSLLHDLIDRSLIVVCKHSLDGKIKTCRIHDLLHDLCLRESESESLLYVSNPSLSATNIPQDRRWVSFPQEPARDFFSLPFLTYGKIRSLHFLAVKPYNLEFELGLDRFKLIRVLDLLGSGVWHLPNGLSNLVSLRYLRAHCSGYPPIYKLRNLQSVSFRKVNKFQPIEFHLPDGTWKMSQLRHLDSASFYLCSPPKVDGNKYRVLENLQSVYGLRPVCCTKEMFEGIKKVKKLGICGRTGHFYDAPKCLDNLIYLPELEVLKILLYDAYGVDFLHKHPVPCVGSFPPNLKKLTLQGTHLLWSQLTIISKLPKLEVLQLKALLFFGDELGETVWEVSDMGFPKLRFLLVENKGLKNWNASDDSFPCLERVIIKNCRFLQEIPKGFSDSMTLKRIELWGCTPSLVNFAKEIQEEQEILGNNILQVYAYDTI >Solyc07g022880.3.1 pep chromosome:SL3.0:7:23190058:23192954:1 gene:Solyc07g022880.3 transcript:Solyc07g022880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASDGDEFVKGHVHRNNVTILTLDRPKALNAMNLDMDIKYKSFLDEWETDPMVKCALVESSSLRAFSAGMDIKGVVAEIQKDKFTPLVQKVFAAEYSPICKISNYRKPYISFMDGITMGFGIGLSGHGIYRLITERTVLAMPEN >Solyc10g006570.2.1 pep chromosome:SL3.0:10:1115456:1117068:-1 gene:Solyc10g006570.2 transcript:Solyc10g006570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFVELVSCCGCSLKRSHRTEEEEEEEILVPTASVASSDINTIITYKRRRRRRRGSARSVGSFPQWKPSLYSISEDADHVLPPRMVAADSGTNLKRKTATIASRPVNQLPHNRNRKSRFSRIACLAAMIPAPFLI >Solyc07g007340.3.1 pep chromosome:SL3.0:7:2085729:2089860:1 gene:Solyc07g007340.3 transcript:Solyc07g007340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVKGGTRPPWVGLGAAVWLQIASGNAYNFPLYSHSLKSVLGFNQQQLTMLGVANDIGENVGLIPGLVCNKFPPWVVLLIGSFSCFFGYGVLWLSLSQTVQNLPYWMLWIALCVATNSSAWFSTTVLVTNMRNFPLSRGTVAGILKGYGGLSAAVYTEVYSALLHNSSSKLLLFLALGVPALSLLMMYFIRPCTPSLGEDSSESYHFLFVQVASIVLGIYVLTTTILEDVFSLNVLVSYTFLIIMVVLLMAPLAIPVKMTFYPSNRGKLGVSDVSVQDNSSAENSEPLLTPLSSSANLGSFQEGDEISEVDMLLAEGEGAIKKKRRPRRGEDFKFTEAMVKADFWLLFLVYFFGVGSGVTVLNNLAQIGIAQGLHDTKILLSLFSFCNFVGRLGGGVISEYFVRLKAVPRTVWMTCTQVVMIITYLLFASALNGTLYAATALLGVCYGVQFTTMVPTASELFGLKHFGIIFNFMSLGNPLGAYLFSGLLAGYLYDNEAAKQHSATCFGPDCFRVTFLILAGVCGLGTVLSIVLTMRIRPVYQMLYAGGSFRLPQSSSH >Solyc11g072850.2.1 pep chromosome:SL3.0:11:56332185:56335032:1 gene:Solyc11g072850.2 transcript:Solyc11g072850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSASTSHSKMSISFKKVFTYQFPLITTISTFFFSSKYFFQKKSFTMYGYNNIEENTSSSSNYLYNHFPITTINSQTLPLVSNLPPPPLSIPLVNNITIEFDSISILKSEFNCNNNSSSSCSSYGSPATSYNTNDPTSLMQRSISSHSLLVKNMEGFCPIVSSPTGFHDSETPSSVRKVLSTGDLQVMHMMQYNNYRSESPLSSESNSIIEGMNKACKYSPQEKKERIERYRTKRNQRNFNKKIKYECRKTLADSRPRIRGRFARNDEIERTPQNEYWNQSRLEELGEEDDENWIGFLDAYVP >Solyc09g061850.1.1 pep chromosome:SL3.0:9:60767422:60768103:-1 gene:Solyc09g061850.1 transcript:Solyc09g061850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFKRQLPSSPFMIDRFVTEHNHETASPKKRHLLRSARLIPKTKGLVIENTVNAGIKSTTTYSYLTEEAGWTDMLGYSKKDCFNFIHQLMKSKVEVGDAQSVVNKFNNRQRDGRSRIDYEFFGDVVSFDTTYRTNKYPMICAPFIGINHHWQNMIFGCAFLSDESSFDTTYRTNKYHMICAPFIEINHHWQNMIFGCAFLSDESV >Solyc00g007200.3.1 pep chromosome:SL3.0:2:32569273:32576465:-1 gene:Solyc00g007200.3 transcript:Solyc00g007200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLGFISLTLVFSQYYIAGICIPTDVANTLLPCPAVHKEDKTEEHRRRLLWHERRILAGAEPKCDDGRVSLISIDALHQIHILIFFLAVLHVIYSAITMWLGKLKIRGWKCWEQETATHDYEFSNDPSRFRLTHETSFVRAHTSFWTNIPIFFYIGCFFRQFFRSVNKSDYLTLRNGFISVHLAPGSKFNFQKYIKRSLEDDFKVVVGVSPVLWGSFVVFLLLNVSGWHALFWASLIPLIIILAVGTQLQAVLTRMALDISERHAVVQGIPLVQGSDNYFWFGRPQLVLHLIHFALFQNAFQITYFLWIWYEYGLKSCFHDKFGFVIAKIALGVGVLFLCSYITLPLYALITQMGSNMKKSIFDEQTSKALKKWHMAVKKKQVAKGDKSIRTLGNASPRSSVGSPLHPSIGPTLHRFKTTGHSTRFNGYDDLEASDLENDPTTPIIRAEEHSTAHVTDHDDTELQVHVPQNEESSGNEDDFSFAKPAPKR >Solyc12g040533.1.1 pep chromosome:SL3.0:12:55211517:55213840:1 gene:Solyc12g040533.1 transcript:Solyc12g040533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGHIHQQQPKENQQQNNMSGIFSQVNYTSGGMYASVAQPCVCVNIDTNGGDKLFGKWVPLQPTDSLMIEDQHRLRSHQDVNTDTGGGDKHNQHQFLSHQDVYINSSSAKLLPQQNDVYLTNDLNQHTYMSYQGGHTDICNLDELFAQWSAFIKDQPYDQHQLMIHQGCNINTSNAHKLLPPQNDIEPMDALMIQEQHNDQHQLMSHQGVRINTNGGDRLFSQRSGVEKSYLSMTQGSAQDLLQQQNDSDALMTQDQHHDQHQFISYQGVISDT >Solyc05g012070.3.1 pep chromosome:SL3.0:5:5295289:5298819:-1 gene:Solyc05g012070.3 transcript:Solyc05g012070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:UDP-glucose:protein transglucosylase-like protein SlUPTG1 [Source:UniProtKB/TrEMBL;Acc:Q6IV07] MAAATPLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKTIKVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKDPSGKDINALEQHIKNLLCPSTPHFFNTLYDPYRDGADFVRGYPFSMREGAPTAVSHGLWLNIPDYDAPTQLVKPHERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGIKTGLPYIWHSKASNPFVNLKKEYNGIFWQEEIIPFFQTATLPKECTTVQQCYLELSKQVKEKLSKIDPYFTKLGDAMVTWIEAWDELNPTGDNLAKLSIADGPAKTKK >Solyc07g052203.1.1 pep chromosome:SL3.0:7:60837441:60839885:-1 gene:Solyc07g052203.1 transcript:Solyc07g052203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSQKRVVVLLLVLSIFLELSAITFGDDKLEELRWGNDNGCGRFGRRGCGGRGGWGGRGGRGGWGGRGGRGGGAGGGFGGGAGGGVGGGGGLGGGAGGGGGLGGGGGGGLGGGAGGGLGGGGGLGGGGGLGGGAGGGVGGGGGLGGGAGGGGGGGLGGGAGGGAGGGVGGGAGGGVGGGGGFGGGGGGGVSGGSGHGGGFGAGGGVGGGAGGGLGGGAGGGGGSGGGGGIGGGSGHGGGFGAGGGVGGGAGGGGGGGGGGGGGGGGGLGGGSGHGGGFGAEFD >Solyc07g032633.1.1 pep chromosome:SL3.0:7:40754364:40756792:-1 gene:Solyc07g032633.1 transcript:Solyc07g032633.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAASLQAAATLMQPTKIGETTATSRLSFSLEADLKDFSHKCIDTSMIAGFSLATSSLVVSGANAERVPKRLTLDEIQTKTYMEVKGTVTANQCPTIDGGVNNFAFKLGKYNAKKFYLETTPFIVKEEGVSKNSALEF >Solyc10g006730.1.1.1 pep chromosome:SL3.0:10:1183424:1185157:1 gene:Solyc10g006730.1 transcript:Solyc10g006730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLASKVAMLLAKRMKMNQTKQIHAAILVNGLFDLESLLVQQIINSASSCTYYTSHYIKLVLSHLQNLDVFSVASTIRFYSRHCQFREAVNLYGELQRCGLSPSTFAVSSALKACARILYRSGGISIHAQVFKYGFCNVVYVQTALVDFYSKVGNMDFARSIFDDEMVEKNIVSWNSVLGGYVKSGDLTMAQSVFDEMPEKDVISWNSMVSGYARMGNIERANALFRQMPERSSASWNAMISGYIECGKIEFARSFFEAMDKKNNVSYIILISGYSKNGDVESAEELFGKLRKKDQVVYNAMIACYAQNSRAKEALQLFNEMLQLDLQPDEMTLASAISACSQLGDLKFGSWIESFIHETGIQMDDFLATSLIDLYAKCGSIDKAHKLFHGLKKKDLVAYTAMILGCGINGRANDAIKLFDEMMNAEINPNIVTITGILTAYSHIGMVEEAYRCFISLQKYGLSPSVDHYAIVVDLLSRAGRLEEAHGLIKSMSIQPHAGVWGALLLGCSLHNNLELGEIAATRCIELEPDSSGYLSLLANIYASSGRWDDAERLRKGVEEKGYNKLPGCSWMEEVKA >Solyc07g041490.2.1 pep chromosome:SL3.0:7:53429761:53431217:1 gene:Solyc07g041490.2 transcript:Solyc07g041490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVTQLGVKTSGTLKPYCLYRSIKMSADPSKLTAMVNNLNSLTSLNQVVHLSSGPLIRDRSSSFSFTHILHSRYKSKSDLADYSAHPDHVSVVRQYVLPVVDDIMAVDWVPIEFSGPTGVPPGSAMRLTFLKLKENLGENEKSQVLSAVGGIKEKFSGIEQLTVGENFSPGRAKGFSIEQKEKVKEFLDGAVVVDYAVLPTQSMPI >Solyc01g103400.3.1 pep chromosome:SL3.0:1:91884600:91896718:1 gene:Solyc01g103400.3 transcript:Solyc01g103400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGVSNGRSIPFLLFFLSFFHQFITGFSDDSLNPRNGTKVDSHAASSSNTGSIVLIVCVALVAVVLLSFFLFKFWQKKKREEQYARLLKLFEEDDELELELGLRD >Solyc03g118500.3.1 pep chromosome:SL3.0:3:68826239:68833801:1 gene:Solyc03g118500.3 transcript:Solyc03g118500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAIHNPNWLYTASNINTNCSFSTFSFPKTLTFSNSLSHSLKFVSPNPYFVNRKRRGFVTVNAAAKSSSSDLYSVLNVSRNATLQEIKTSYRKLARKYHPDMNKGPGAEEKFKEISAAYEVLSDDEKRSSYDRFGEAGLRGEYDVPGGGPQGVDPFEVFSEYFGQSSAFFGGSGGLGGFNFDFKNTGRQNLDIRYDLDLSFEESIFGGQRDIEVPCLDECDSCDGTGAKSSSCVKVCSDCGGRGGVVKTQKTPFGIMSQVSTCLKCGGNGKIITDHCRKCGGRGQVQSKRSIKVVVPPGVHDGATMQVRGEGNIDKKSSLSGDLYLVIHVEEKRGIWRDGLNLYSKLDVDFTEAILGTVKKVTTVDGTKNLQIPPGSQPGEKIKMSKMGVPDMNRSSVRGDHVFLITVQIPKNLSDTERTLVEKLASLRATSKHHSVSSGGERGGVARLWKPIKDFLRSGRSGRKFASISTETTALQSLNRRLPSFPLITSLSAVLLGICILAFVKVCYSKILLQKRSVKPNFVLHREIKEQ >Solyc08g062560.3.1 pep chromosome:SL3.0:8:51451984:51462651:-1 gene:Solyc08g062560.3 transcript:Solyc08g062560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDITRRLEIMARKKAQRDTKPSAKKPNQNRMSSAERSALFARREAAKVLRTILQGDARRRAVGTIKSLVYSPSVRNKRATYALVCQTLKYLPVIKDVFHTTNVLSSKWKRQEELMYIILYDILFSQEVLLAGDAEKFLLQKKDVLQAALAKLLVRKKVKHFSDLMTSYKISDLPKPRYARVNTLKMDVESALVEFKKQYEVCQDAMVPDLLILPPRTDLHDHPLVKSGSVFLQGKASSMVAVALGPKPRWEVIDACAAPGNKTVHLAALMKGNGKIIACELNKERVKRLKDTIELAGATNVEIKHEDFLNISPEDPAYSKVQAILLDPSCSGSGTVVDRLDHLLPSYTTDSDVNRLEKLAAFQRKALEHALSFPAVERIVYSTCSVNQVENEDVIKSVLPLASSYGFELTTIFPQWARRGHPIFDGSQRVLRTDLIEDQEGFFIALFVRKGVSPPAKHIRDVGNTLRALQRRKRRKINSFFLLKTLGLLL >Solyc12g021310.2.1 pep chromosome:SL3.0:12:15379472:15387276:-1 gene:Solyc12g021310.2 transcript:Solyc12g021310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVLTCLITKYIVLVCLKSDPKLQFCRPGLSDIGKLGYASLTVWNMRTWKAMTVLPLGEDPPPITSVCFNHNGKLLAAAATDGMIHMFGILIFEWSLQNQGKVLWSSDCSRFCNLRSSSHYKHEMALDAYGRRLLVTSNSLRAPIYQVRGHAYGMRTLPHSASITTVDWHPTSPIFLTGSADHSVRVTSMA >Solyc09g098160.3.1 pep chromosome:SL3.0:9:72541915:72543890:1 gene:Solyc09g098160.3 transcript:Solyc09g098160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pirin-like protein [Source:UniProtKB/Swiss-Prot;Acc:Q9SEE4] MSMSSIFSRPRLVVKKVLARAQNEGDGAIVRRSIGRPELQNLDPFLMLDEFSVSQPAGFPDHPHRGFETVTYMLQGAFTHQDFAGHKGTIRTGDVQWMTAGRGIVHSEMPAGPGTQKGLQLWINLSSKDKMIEPRYQELLHQDIPKAEKDGVSVTILAGESMGKKSQVFTRTPTMYLDFTLKPGSEHHQPIPETWNAFLYIVEGEGAFGSSDSTTTPAHHCLVLGPGEGLSVWNKSSKPLRFVLIGGQPINEPVVQYGPFVMNTKSEIMQAYQDYQLGKNGFERSRQWYSK >Solyc04g064750.2.1 pep chromosome:SL3.0:4:55902098:55911133:-1 gene:Solyc04g064750.2 transcript:Solyc04g064750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHIVSSLRQYSLLLHRRRKFSATTQAKQSRNEDPEPQSAPVTLLYDNLLKICLQECKNLQSRRVFDEMPQRVARAVKACKTIHLQSLKLGFASQGHLGNSIVDLYAKCGDMVSAEKAFFWLENKDGMAWNSIILMYSRNGLLENVVEAFGSMWNSGVWPNQFSYAIVLSACARLVEVEIGKQVHCSVVKTGFEFDSFTEGSLIDMYAKCGYLIDARRIFDGAVEPDNVSWTAMISAYIQVGLPQKAMEVFEEMQERGCVPDQVASVTIINACVGLGRLDAARQLFTQMTCPNVVAWNVMISGHAKGGKEVEAIQFFQDMIKASIRPTRSTLGSVLSATASVANLSFGLQVHAVAVKQGLESNVYVGSSLINMYAKCQKMEAASEIFNSLGEKNEVLWNALLAGYAQNGSACKVVELFRSMRLSTFETDEYTYTSILSACACLEDVEMGRQLHSIIIKNKFASNLFVGNALIDMYAKCGALGDARQQFDKMLTRDHISWNAIIVGYVQDEEEEEAFNMFHKMTLERIIPDEACLASVLSACANIHDLNKGKQVHSLLVKYGLESGLFAGSSLVDMYCKCGDITSASEVFFCLPDRSVVSTNALISGYAQKNINYAVHLFHNMLVEGLRPSEVTFASILDACSDHAYMLGMYYDSGKLEDASFLFSEFTKLNSPVLWTAMISGNIQNDCCEEALIGYQEMRKFNVMPDQATFASALKACSTLAFMQDGRKIHCLIFHTGFDMDELTSSSLIDMYAKCGDVKCSVQVFSEMVSKKDIISWNSMIVGFAKNGFAEDALEVFEEMKRASVKPDDITFLGVLTACSHAGMVSEGRQIFKDMTSLYDVRPRADHCACMVDLLGRWGNLKEAEEFIERFDFELDAMIWSAYLGACKLHGDDTRGQKAAEKLIELEPQNSSSYILLSNIYAASGNWGGVNFLRKEMKERGVRKPPGCSWIIVGQKTNMFVAGDKFHPCAGDIHALLKDLTALMKDEDGHVVVLWIGHFGFGSWRKGYTEEDLVKALSGQPKVGFRQYAGYMDVNVKAGKSLFYHFVEAKVKPDDKTLTLWLNGGPGCSSIGGGDFT >Solyc02g082260.3.1 pep chromosome:SL3.0:2:46498898:46508848:-1 gene:Solyc02g082260.3 transcript:Solyc02g082260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-hydroxy-3-methylglutaryl coenzyme A reductase [Source:UniProtKB/TrEMBL;Acc:K4BA96] MDVRRRPVKPLCTSKDASAGEPLKQQQVSSPKASDALPLPLYLTNGLFFTMFFSVMYFLLVRWREKIRNSIPLHVVTLSELLAMVSLIASVIYLLGFFGIGFVQSFVSRSNSDSWDIEDENAEQLIIEEDSRRGPCAAATTLGCVVPPPPVRKIAPMVPQQPAKAALSQTEKPAPIIMPALSEDDEEIIQSVVQGKTPSYSLESKLGDCMRAASIRKEALQRITGKSLEGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGREYSVPMATTEGCLVASTNRGCKAIFVSGGANSILLRDGMTRAPVVRFTTAKRAAELKFFVEDPLNFEILSLMFNKSSRFARLQGIQCAIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQSEYPDMDVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEDVVKKVLKTEVAALVELNMLKNLTGSAMAGALGGFNAHASNIVSAVYLATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGANRDAPGSNARLLATIVAGSVLAGELSLMSAISAGQLVKSHMKYNRSIKDISQVINSS >Solyc05g006240.3.1 pep chromosome:SL3.0:5:898591:903872:-1 gene:Solyc05g006240.3 transcript:Solyc05g006240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPGHKSKSEKGEAEKPLRRDPYEVLGVSRNSSDQEIKSAYRKLALKYHPDKNANDPKAADMFKEVTFSYNILSDQDKRRQYDSAGFEAVESESQDLELDLSSLGTVNTMFAALFSKLGVPIKTTVSATVLEEALNGSVSIQPLPLGQPLCKKVEKQSAHFYSVTITEKEAKGGLVCRVHSREKSKFKLLYFDQEENGGLSLALQEDSSKTGKVTSAGMYFLGFPVYHMDQTQTSAAATKDPDSAFFKKLDGFQPCEITELKAGTHVFAIYGDNFFKSVSYTIEVVCAEPFTEEKENLRAVEAQILSKRVELSKFETEYREVLTQFTEMTSRYAQEMQAIDELLKHRNEIHASYTTLPVMKRSSSSSNRSKNKSGSKAASEDGSVKEKKPLRDRTKKKKWFNIPLKVDKRKAC >Solyc09g011080.3.1 pep chromosome:SL3.0:9:4413491:4416638:-1 gene:Solyc09g011080.3 transcript:Solyc09g011080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSISTIGAVNKLSLNNSVVGTSVPPTAFFGKTLKKVNNKVSSTNISNKNLRLVAQEKEIDEKKQTDGDRWKGLVNDVSDDQQDIARGKGLVDSLFQAPTGTGTHHAIMNSYEYLSQGLRQYNLDNKLDGFYIAPAFMDKLVVHITKNFLTLPNIKVPLILGVWGGKGQGKSFQCELVFRKMGINPIMMSAGELESGNAGEPAKLIRQRYREAAEIIRKGNMCCLFINDLDAGAGRMGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKQENARVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCKGIFRTDRVPDDDIVKIVDSFPGQSIDFFGALRARVYDDEVRKWIGSTGIEQIGEKLLNSRDGPPTFEQPKMTIDKLLEYGNMLVQEQENVKRVQLADKYLKEAALGDANADSINNGSFFAS >Solyc03g006880.3.1 pep chromosome:SL3.0:3:1408547:1410504:1 gene:Solyc03g006880.3 transcript:Solyc03g006880.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 20-oxidase-1 [Source:UniProtKB/TrEMBL;Acc:Q9ZPP4] MAIDCMITNGKSPMLDEKKQFIFDASHMKRESNIPTQFIWPDHEKPCALVQQLHVPLIDLRGFLSGDPDAAQQASELVGEACRGHGFFLVVNHGVDANLISNAHRYMDTFFELPLLEKQKAQRKIGEHCGYASSFTGRFSSKLPWKETLSFRYSAKKESSHIVEEYFQSTLGESFNHLGNVYQEYCNSMNTLSLGIMELLGMSLGVEKSHFKEFFEENDSIMRLNYYPPCQKPELALGTGPHCDPTSLTILHQDCVGGLQVFVDDEWRSISPNFNAFVVNIGDTFMALSNGRYKSCLHRAVVNNKTPRKSLAFFLCPNKDKVVSPPNELVDSNNPRIYPDFTWPTLLEFTQKHYRADMNTLQTFSNWLHDQHNTTTQA >Solyc02g072550.1.1.1 pep chromosome:SL3.0:2:42301031:42301261:-1 gene:Solyc02g072550.1 transcript:Solyc02g072550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKEGHGCEKADEALDEHSRHMADVIEEGDRLRMKTLKAIVNLLEPVQAVEYLTAAKKMRFCVQQWGEKRDQQHKQ >Solyc02g068580.1.1.1 pep chromosome:SL3.0:2:39110744:39112150:1 gene:Solyc02g068580.1 transcript:Solyc02g068580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKHHSSSDPISEPKKRRRVGFSKTDAGIEANDCITIYIVSSAEEVDSPNSFCLEPIDLNQFFEDDGRIFGYQGLKITILVSLISFHAYADISFESSSDGGRGITNVKSALENIFGESLVDEKDAFLKTFSTESQYVRSVVSNAETLQHKVSKNGCSTESNCSDVEVFRIAGSPVGQLYSRLVPLVLLLVDGSNPIDVLDPGWEIYLLVQADKLLGFAAVYRFYRYPGSTRMRLGQILVMPPYQRKGYGRFLLEVLNRVAVSENVYDLTIEEPEDSLQHVRLCIDVERLLVFDPVQQSLESVVSHLKQEKLLEKSYMCKYAPPLSAVEDVRKTLKINKKQFTQCWDILIYLRLAPIDKYMEIYQAIVSHRVKAEVLGKDSEGAGKQVIDVPTEHDQEMSFVMFKSRNGQSSSSIETADNQSIVEEQLQKLVDQRMKQIKLIAEKVSSTKAAAMKAEVKFRHEKKESL >Solyc07g006330.2.1 pep chromosome:SL3.0:7:1147353:1156147:-1 gene:Solyc07g006330.2 transcript:Solyc07g006330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAILFTPFSYSFFPKKLNTNFSAINGYTYNFSNRYPFHSSVLCSSQISQQPQICSQTPSPILIDKSFLCVSEAKSENELWAASCLRVRTFYGFHHEILNIEDHTKYLTEREFEALTERIAGKRVGFGRVSCINATLPFSEVSNVAYDLSTSCKFSHDNADLVVVGTLDVNQCIRLPDEITGMKPKGIGADFARGYLSNVCVAGELQRNGLGYALICKAKTVAKDMGISDLYVHVAIDNEPAKKLYIKCGFVQENEEPAWQARFVNAFLVQTYFNPDEHWQALEVAHRISFGYGHLTWEWEKGIRSYLHPVIFAGLYKVLAFFHLDKPWFMLFAQLTNWFMFFCITRTLSNSLETVLTVVSLYYWPCIRPSASKISRGSRKWGLATAALACAIRPTSAITWIYIGLLELCLTRDKLKFVLLEVIPIGTLILGLTFLVDRWMYGTWVLVPLNFLKFNFLSAGGDYYGTHVWHWYFTQGFTVMVFTFLPFSLAGIFKSKQWKLSGLIAWCLAIYSLLGHKEFRFVLPVLPIALMFSGYWLATIGGPDKSTGRAKRSPSSHDRCSGKLQLAVLFLAVSNIPMAFYMSMVHQRGTEDVMNYLSVEANSGKVKSVLFFTPCHATPYYSTLHRNLPMRFLDCTPSDVKGALDESDQFLVDPAGFATEFAKNWSIPSHIVLFDFQEKLLNDFLALHNFEEIKRFFHAHFKVDRELQASVAVYTLKGQ >Solyc12g014340.1.1 pep chromosome:SL3.0:12:5154034:5155035:-1 gene:Solyc12g014340.1 transcript:Solyc12g014340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYAGWELHAAASSIRPEPNDIYYSKRSDIFFNRIEICYTNRLLTRKPKSKFARFCVTKYLKLINPKLEKSLFGNLDQRNLLNSNEYPKKHISFTHFVKWQSIFGCFIVLPFLLAHKLRFFQVKKGCRYSDVYMESVNGEKAFLMSNGSSETELRMGFTVIPGFRVGKSVVQCQVYLC >Solyc02g080160.3.1 pep chromosome:SL3.0:2:45015804:45018010:-1 gene:Solyc02g080160.3 transcript:Solyc02g080160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Xyloglucan endotransglucosylase/hydrolase [Source:UniProtKB/TrEMBL;Acc:K4B9N7] MESNASSMARVLLILSVIFTLFSSSNGVVGGAFEENFSKSCPGTHFKTSKDGQIWYLTLDQVSDCGFITKQSYRFGWYSTKLKLVGGDSAGVVTAFYMCSEVEAGPLRDEIDFEFLGNRTGQPYLIQTNVYNNGSGGREMRHQLWFDPTLDFHTYSILWNSHQIVFFVDKVPIRVYKNANHTNNFFPAQRPMYVFSSIWNADNWATRGGLDKINWENAPFVASYKDFTIDACPWKNPYPACASSTTQHWWDQNNTWHLSSKEKIDYAWVQRNFVVYNYCQDTVRNKYKPQECWLNPLD >Solyc12g096930.2.1 pep chromosome:SL3.0:12:66628625:66632555:1 gene:Solyc12g096930.2 transcript:Solyc12g096930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAGVDRNVALSPEAPLAPVTVERQVRTDLETSIPKPYLARGLVAPDMEHPHGTPGHRHHGMSVLQQHVAFFDQDENGIIYPWETYSGLRQIGFNMIASLIIAIVINVGLSYPSLPGWIPSPFLPIYIYNIHKCKHGSDTHTYDSEGRFMPVHFENAFSKYARTLPDKLTLGELWEMTEGNREAFDLFGWVASKMEWGVLYVLARDQDGFLSKEAIRRCFDGSLFEYCAKIQMEKENEGWLKEE >Solyc01g096830.3.1 pep chromosome:SL3.0:1:87696723:87713156:-1 gene:Solyc01g096830.3 transcript:Solyc01g096830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cation-transporting ATPase [Source:UniProtKB/TrEMBL;Acc:K4B071] MTRFQVGGKVVESVDLLKKRHWSWRLDVWPFVILYGVWLLTVVPSLDITDALIVLGALVAFHSLVFLFTVWSVDFKRFVQYSKVDDIHLADCCKVTPAKFSGSKEVVPLHFRKLAGSSSSEGVDEIYFEFRKQQYIYSKEKGTFSKLPYPSKETFGYYLKNTGHGTEAKVIAASEKWGRNVFEYPQPTFQKLMKEQVMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDSQTLMVYRCGKWVKLSGTELLPGDVVSVGRSVGQNGEDKSVPADMLLLAGTAIVNEAILTGESTPQWKVSIMGRGIGETLSAKRDKAHVLFGGTKILQHTPDKSYPMKTPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGFFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFSGVGGLTDSEDLEREMTTVPSRTLEILASCHSLVFVDNKLVGDPLEKAALKGIDWTYKSDEKAIPKKGGGDAVQIVQRHHFASHLKRMAVVVRVQEQFFAFVKGAPETIQERLIDVPQSYVPTYKKYTRQGSRVLALAFKSLPDMTVSEARSLERDVVESGLTFAGFAVFNCPIRGDSATVLTELKQSSHDLVMITGDQALTACHVAQQVHIISKPALILGRAKNKEEYAWVSPDETHIVSYSENEVGALSEAYDLCIGGECIEMLQQTSAVPKVVPYVKVFARVAPEQKELILTTFKSVGRMTLMCGDGTNDVGALKQAHVGVALLNAIPPPKGQKSSDGSSKNDTAKPAKLKKLKSATENGEGASKSKATSSSQAGNRHLTPAEMQRQKLKKLMDELNEGGGDGQAPIVKLGDASMASPFTAKHASVCPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDIQATISGVFTAAFFLFISHARPLPTLSAERPHPNIFCAYVFLSLLGQFAIHLLFLISSVNEATKYMPDECIEPDSEFHPNLVNTVSYMVGLMLQVATFAVNYMGHPFNQSIPENKPFLYALLAAVGFFTVITSDLFRDLNDWLKLVPMPKGLRDKLLIWAFMTFLVCYAWERLLRWAFPGKMPAWKQRQRRVAASLEKKRN >Solyc04g081110.1.1.1 pep chromosome:SL3.0:4:65239483:65241120:-1 gene:Solyc04g081110.1 transcript:Solyc04g081110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTKKSSFVFNILLLLLSCHDLNLANAAGGKWDLLMSNIGISAMHMQLLNNDRVIIYDRTDFGASNISLPNGKCRNNPKDLALKIDCTAHSVEYDVSTNSVRPLMVQTDVWCSSGSATADGTLVQTGGFNDGRNMVRTFKPCSTCDWQEIGNGLIQSRWYSTNHILPDGSQIIIGGRDTFNYEFFPKTASTNKVFNLPFIQQTNVPKEENNLYPFVFLNVDGNLFIFANNRAILLDHTTNTIVKTYPQIPGGDPRNYPSTGSAVLLPLKTQTIQAEVLVCGGAPIGSYLKAARANTFVGALNTCGRITITDPNPQWTMETMPLARTMGDMVILPNGNVLIVNGAAAGTAGWGIARNPVLSPVIYRPDNPSGSRFEVQNPNATPRMYHSTAVLLRDGRVLVGGSNPNELYNFTRVLFPTDLSLEAFSPSYLESNSANLRPQIISPGSHKKIKYGQRLNIRFTLSGSLVNTNLIKVTMVAPGFNTHSNTMNQRMLLLSNEKVKKIGILTYQVSSIFPNSGKLAPPGYYLLFVVNQDIPSEGIWIKIQ >Solyc01g057285.1.1 pep chromosome:SL3.0:1:60337030:60350730:-1 gene:Solyc01g057285.1 transcript:Solyc01g057285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPPDGQPSGSLPPGGQPSGSLPPGGLPTGELPSNGDEPKTACVNSSTLQDHVKNLKKVFKVLRENQLYVKREKCKFAQPKIHFLGHVISQGELRMDEAKKNRPWLWSEECEEAFEGLKAVVTEESVLMLPDFTKTFEIHTDASNFAIGGRNRPANDII >Solyc05g015433.1.1 pep chromosome:SL3.0:5:10563923:10570264:1 gene:Solyc05g015433.1 transcript:Solyc05g015433.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYDCIRLPKNLRVQDYGLGVKKGQNPLLDSQAHLSQPSLHLNPTQQRLFPALSFDPSLCCLLPLRDPVSLTRRNAILSNRPFLPSLAQRIFFCFLGKEIVPNPEFSLEFFATVYVPLRRLISTRPVRGSSKLQAGQYKVCKRSSSLDVLNSYSFSYGLDGAIFGLHGFPLEPCILAYCVMVLKKPYTSYIHILYTHIQVKIRTGSQMRNLS >Solyc08g081730.3.1 pep chromosome:SL3.0:8:64827569:64832296:1 gene:Solyc08g081730.3 transcript:Solyc08g081730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Reticulon-like protein [Source:UniProtKB/TrEMBL;Acc:K4CPG9] MTEHDDHKVEKEEHDESLMDKISEKLHFSNHSSSPAPASSSSDSDDEKKKPTSPAPATSSFGSKVRRLFGRERPVHKVFGGGKPADVFLWRNKKISAGFLGGATAIWVLFEVVEYHLLTLLCHGLMIALAGLFLWSNASTFIHKSAPHIPEVHIPEKPVLEFASALRNEINRALAVLREIASGRDLKMFLLVIGGLWLASILGGCCDFLTLFYIIVVVLHTVPVLYEKYEDQVDAFAEKALHELKKQYAVLDAKVLSKIPRGPLKDKKKD >Solyc02g065660.3.1 pep chromosome:SL3.0:2:37376449:37383326:-1 gene:Solyc02g065660.3 transcript:Solyc02g065660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNADTANGATTSTEGVNTNEVEVESNTVDSLEVLSSSGGNVMHEVGRIGEILTRLELDLACISEKLVNLDLFVMHVETRESDFEAFASEKEGTSNDIVEKAIEFDLLSGVLDSEVTELSGLLSSVATEIDNVRKVISSRGYADEAFILIEEKLYDSEKSLKQLQDHLLELRAQCTNFHGIMLTSQGDRDWQDGEAADYFNSDALLTPKTKIKMQTVEQQRHILRMLEKSLARELDLEKKLTESRQVEEELEVRLQQEAFCMEEEIEDASQRLFEGENAAEVLLGISKSLLGRLQMTHFNLNGTVQKESYLQSKLQEMEEHLKAKDNLLGKSESTSKELGDKVKSLEKRLEDSEFQLSNTTSARNSQEIESKILEMEDIINTLKEKNSEAERRIDTAETECKILKEANVELDKELNFLKSSSSITSERIIVLEKQLRNSELQLQHAVASAEASQEKQIMLNSTIKDMEDLIEDLKSKVSKAESLMESAEDKCIILSESNSDLNEELTFVRGRLACLESSLRQAEEMKKATARDINFRSKLITDLILQLALERERLEKQIALLIMENKAQKKPFQQKDKVPSPAPESDGKDTRESMLPKAELSAVTSLNKCKEEKFSSITNVEENVYGDFMSIKSKETDSSTRLDASRDIDARQLSWKYVLAAVLVFLISAVVTFLVQNQSIQL >Solyc12g042440.2.1 pep chromosome:SL3.0:12:58629330:58636357:-1 gene:Solyc12g042440.2 transcript:Solyc12g042440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:FRIGIDA-like protein [Source:UniProtKB/TrEMBL;Acc:K4DF37] MENLADSAVSQTSTAAGQHPQTADTTPSPASPPSASNQTSSEEKDSKSPDSQLETLCKTMCGKGLRSYILSKLPEKNTLREELTKALKLAPNAAKLVLSCMGDFFAKRGKAFDKDARMIATREASALVLECFLLIGFDVIDEGVKEEAAQAAVIWRRRFVDERGIRKASTMDARGLLLLIGCFGIPQIFYNEDIRDLIRVSNIREISTALRRSNVLMEKIPDIIAGMVKNKMEVDAVDVAYTFGVEEKCSPCEILSSFLEELRESLKKKKCQSHGSHAVVNEANKRELSTMKSVIECLEAHNIDPSKLIPRFRISDRIMSLEEKIARNDQRREKMVQNRKFEETGLSRRFEERRAKRACILDSEHHRPVNYISSQRPLLAGLPPSHIYGYSVSPSALPIHDIVPGSPAASWGGMVMGGVSSGIIRSRNDALQAGAYGGFRERIPIEHKTGQIRSYNNQLYEWQENASMHEREVSHNYGYGSSPSWQGSMELPNPVPVGPGYQTAASDPYQLASTVQSSPYHNRGVVPSVNHYSSYLYPGTYYPQGMQ >Solyc11g042615.1.1 pep chromosome:SL3.0:11:35913670:35917176:-1 gene:Solyc11g042615.1 transcript:Solyc11g042615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAISRPPLPSHNCTNIQPTEEKCTALQLPQPSHVTHDEPGTSNVNIDVGKPQEVSEFEDFSSELPDLPHHRREERFLKSNFRKFTIRGTEAILEGLYKKNHSELMKVVGEKDNKTEKKHNVDQDIGGSAVDGDEQTDKGDQQSVLPNHMDCSKEQHMEDAIEVIHSPQCSHVLIEKVALNNENDYTTGEASHSDTKILNADEHDVYTLEQNIEKHTTSLFSVDTSTEVENNVQPLCLMSHGKLIESVFWLSDSQLPTQLPVKKSSLPPDTETPAPRHRMPSRIIRSPYLTDFGSNDKGKAKIDDDVLPHYSFEGCGILEQLPI >Solyc07g025500.2.1 pep chromosome:SL3.0:7:28725704:28727551:-1 gene:Solyc07g025500.2 transcript:Solyc07g025500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFINQKEKKIGEARPKITPNSQSSRNLELSSPKSNLGAKICEFL >Solyc07g008660.3.1 pep chromosome:SL3.0:7:3592581:3597074:-1 gene:Solyc07g008660.3 transcript:Solyc07g008660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:30S ribosomal protein S16 [Source:UniProtKB/TrEMBL;Acc:B3IWG3] MVVRLRLSRFGCKNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFDRLKYWLSVGAQPSDPVQRLLFRAGVLPPPPMLAMGQKGGPRDTRLVDPMTGRITTPESTKSAVPTVGSEVDEDKD >Solyc11g071730.2.1 pep chromosome:SL3.0:11:55421408:55431786:1 gene:Solyc11g071730.2 transcript:Solyc11g071730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLFSEPPSVVKSRFGSLDQSAATPMDTASVTMSSVKSTPNLRLFKSAAKDNRVEFSENKDVVECNRSFEFREDPSFWKDHNVQVIIRIRPLSNSEISLQGHGKCVRQESSQTITWIGHPESRFTFDMVADENVTQEMLFKAAGVPMVENCMEGYNSCVFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEREARREENIKFTCRCSFLEIYNEQILDLLDPSSVNLQDLGISEHTDQSKLLLTILFRKIREDTKKGIHVEDLKEVEVTSARDVMQQLLQGAANRKVAATNMNRASSRSHSVFTCVIESKWESQGVTHHRFARFNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSHHVPYRDSKLTFLLQDSLGGNAKTCIIANISPSSCCSLETLSTLKFAQRAKFIKNHAFVNEDASGDVLAMRIQIQNLKKEVARLRSVADGGVENHENNAWTVAFPGSPTSVKWEGLHGFSSPLTADKRVSKKKDYEVALVGAFRREKDKDIALQALTAENQAAMQLTKQREDEIQGLKMRLRFREAAIKRLESVASGKISAEIHLLKEKEEQLKEIEVLRNQVDRNQEVTRFAMENLRLKEEIRRLKSFYEEGERERMNEQIMMLQNKLLEALDWKLMHESDPAPVQKGSSELGMHIENDLNLLTSSQASPWRTSINEENEFLRVQAIQNQSELDALHRQLVFCVGEKDKLERQLIDLEKELEFERTSKAVLMEESKKGQTELSSVANDQTPTIAVSDQTELTTIVDAIAAASQREAEAHETAISLSKENDELRMKLKVLIEDNNKLIELYEQAVAEKNNGTDRGQNLQQEKIQDDSQQFLEHALQNHDLDDIVLSGETVTSHRSNIAADSDELPSNNTTEMIENKPSERVEEHTSEILGKSDYMMEETIYPESTVEAVLNELAEDLKQDVEMEDKSSDILHNPISEDLSLLRMKLEGAQEKLLKSANTISMFGSLERAIVEVDELAEEIEGLEKSIEVKKQGYTSFKLQSSQMLEKKVLLDNKLSALRYSVSSFSSSVGYFEQREAQTRARLNASSTCLNQKKAKLTHLQASKLSGKATELLKSEEEKTKIQNQMKQIRENLGIKKKEIEDLNEKRLNSEKDIEATEKEIENISQSVKEMGNKLQRVIGEKQMIFEMKENGKKEFENMILEYHESMFAASLKEEELKILDEELQLEMSKIEDLQREKALATSRKTQLLNALSCQSYSLSDKVEEDLHDIRRSVLELNSLLGN >Solyc03g111720.3.1 pep chromosome:SL3.0:3:63862657:63863794:1 gene:Solyc03g111720.3 transcript:Solyc03g111720.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:E4 description:Peptide methionine sulfoxide reductase [Source:UniProtKB/Swiss-Prot;Acc:P54153] MEGNNSSSKSTTNPALDPDLDSPDQPGLEFAQFAAGCFWGVELAFQRVGGVVKTEVGYSQGNVHDPNYKLICSGTTEHAEAIRIQFDPNVCPYSNLLSLFWSRHDPTTLNRQGNDVGKQYRSGIYYYNDAQAQLARESLEAKQKEFMDKKIVTEILPAKRFYRAEEYHQQYLEKGGGRGCKQSAAKGCNDPIRCYG >Solyc01g104950.3.1 pep chromosome:SL3.0:1:93164619:93168894:-1 gene:Solyc01g104950.3 transcript:Solyc01g104950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKNRAMDEIKKHQHSVLLCFFFILSHFFLQFKPVSAQTSAVFACDTANNPSLKSFPFCDVSLGVSDRVNDLVKRLTLQEKISMLVNTAGSVSRLGIPKYEWWSEALHGISYTGPGVKFNNIVPHATSFPQPILTSASFNETLFQTIGKVVSTEGRAMYNVGQAGLTYWSPNVNIYRDPRWGRGQETAGEDPTLSSRYGVAYVKGLQQRDDGKKDMLKVASCCKHYTAYDVDDWKGIQRYNFNAKVTQQDLDDTFNPPFKSCVLDGNVASVMCSYNQVNGKPTCGDYDLLAGVIRGQWKLNGYIVTDCDSLNEMYWAQHYTKTPEETAALSLNAGLDLNCGSWLGKYTQGAVNQGLVNESVIDRAVTNNFATLMRLGFFDGNPKNQLYGNLGPKDICTEDHQELAREAARQGIVLLKNTAGSLPLSPKSIKSLAVIGPNANLAYTMVGSYEGSPCKYTTPLDGLGASVSTVYQQGCDIACATAQVDNAKKVAAAADAVVLVMGSDQTIERESKDRFNITLPGQQSLLVTEVASVSKGPVILVIMSGGGMDVKFAVDNPKVTSILWVGFPGEAGGAALADVVFGYHNPGGRLPMTWYPQSYVDKVDMTNMNMRADPKTGFPGRSYRFYKGPTVFNFGDGLSYTQYKHHLVKAPKFVSIPLEEGHACRSTKCKSIDAVNEQGCNNLGLDIHLKVQNVGKMRGSHTVLLFTSPPSVHNAPQKHLLDFQKIHLTPQSEGVVKFNLDVCKHLSVVDEVGNRKVALGLHVLHIGDLKHSLTLRI >Solyc04g016380.3.1 pep chromosome:SL3.0:4:7186223:7190601:-1 gene:Solyc04g016380.3 transcript:Solyc04g016380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRKHTETEAAAIEETAIERPKRTLLGFKDGSTEENKETSVVTFRNKEKVLVTCSRRINYRYRHLMLNLVSLLPHCKKDNKVESKDSKGATLNELVELKSCSSCLFFECRKGKDLYLWMAKCPNGPSVKFLVNAVHTMEELKLTGNHLKGSRPILTFSSNFDKQPHWKLLKEMFIQIFGTPKDHRKSKPFYDHVIVFSILDDHVWFRNYQISCPHNGATQKIDRGDLEKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFYVAPNQIRSLEKKQKAGKYAKKVKAKARRKIHQLSNPMEVDEFADMWKE >Solyc01g095350.1.1.1 pep chromosome:SL3.0:1:86509463:86510074:-1 gene:Solyc01g095350.1 transcript:Solyc01g095350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSSAKYKLLTVEVKSAKFDVKKKGKYYVKVSTHKENLLKTSKIKMCTNQQWREKFVFFVPSSESFSLRFEIFKAGMFLSLDSLLGIAEYRFDSLSGERESAAYDTDTEYKSDSNGDCDEDHPSFCSKFRASKSLKIWRYGNDCRISKGELYIEIELGKNPSMVGDFNWKRFGMKYKDFMMEESTRGYGACMCFAPVAEQRI >Solyc06g017863.1.1 pep chromosome:SL3.0:6:14545281:14551807:-1 gene:Solyc06g017863.1 transcript:Solyc06g017863.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMRCPVRVICGPKRVNAKTSDIIYMYNGLYIVTKCWEEIAQLENMRSLLWTMCWVLKASGLKLHKWMLGFRAMLCASRNGGKIPFNIRGSIIRTQPLGYECGPSCKCPLLAKIELANMVFMTESRGWDLSPRDHVSSRSFICEYVGDLLDENEAERRIYNDENPTHNNNLKVVSDSSRRKDEDGLTVDVVKYGNVGRFINHSCLPNLYAQKIMYYHGDRRVPHITQKI >Solyc02g049080.1.1.1 pep chromosome:SL3.0:2:3864986:3865324:-1 gene:Solyc02g049080.1 transcript:Solyc02g049080.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGDGEDFSIAVAWSCWSELSTKLLLFRCSMESKLLVLLLLEKWRIEGATSTSGTRHSRRSTLLVGANFWWLLSPAGASFASGLAWLFYWSCKEREKNKRKVEKGTRGRGR >Solyc07g061730.3.1 pep chromosome:SL3.0:7:64824213:64825666:1 gene:Solyc07g061730.3 transcript:Solyc07g061730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Gibberellin 2-oxidase [Source:UniProtKB/TrEMBL;Acc:A4GVL9] MVVLTEPDNDHLPIINSNSCKSPSFFNDIPVIDLSKPDSKNLIVEACEEFGFFKVVNHDVPIEFISKLESEAIKFFSSPLSEKLKAGPADPFGYGNKQIGQSGDIGWVEYILLSTNSEFNYQKFASVLGVNPENIRAAVNDYVTAVKRMSCEILEKLAEGLKIHPTNVFSKLLKDEKSDSVFRLNHYPPCPDIQEFNAKNLIGFGEHTDPQIISVLRSNNTSGLQILLKNGNWFSVPPDQSSFFVNVGDSLQVMTNGRFKSVKHRVLTNSVKSRLSMIYFGGPPLSEKIAPLPSLMEGEESSLYKEFTWFEYKKSAFKSRLAQNRLTLFEKFGTS >Solyc09g098265.1.1 pep chromosome:SL3.0:9:72632207:72632760:1 gene:Solyc09g098265.1 transcript:Solyc09g098265.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRNISEFSLFHLLLSGFWCLVFILPIFHCPYRSHIPKSFPRSEVPLVACLLSRLAYFYQFRCPIAPGLVIFFCLCTKSSVALELLLRLYKFLHLSF >Solyc11g065095.1.1 pep chromosome:SL3.0:11:50689989:50693378:-1 gene:Solyc11g065095.1 transcript:Solyc11g065095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIQFKNMNPNNSDYCTGSHIQELFLGTKAQVAAHGTRRQDKCNRNETEALQACTSFEGFRWIIGCLLHISNRESWFLVEHNKKRVLVFSKSNHNRLPQSTIHVLLHAYVIPRLNYIVVLITKGMKVCNYLHFLVAMLRIERKEHQFFINIRMMKSAPLKDELLSTSLVTCNKMVPESTTMNPHISDVMLPMFCPSQSYIDR >Solyc03g112710.1.1.1 pep chromosome:SL3.0:3:64549157:64549612:1 gene:Solyc03g112710.1 transcript:Solyc03g112710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSTKFLTLKTNDKEEFVLDEAIAIRSQTIKNMVEDDCVSNVIPLPNVDSKTMIKVIEYWKKHSEEGISKDKLMDFDKAFVKVDQSILYALILAANFLNDAEMLDMICQEVANRIKGKTPEEIRKEFNIENDFTPEEEEEIRNENVWAFE >Solyc02g082970.1.1.1 pep chromosome:SL3.0:2:47124047:47125456:1 gene:Solyc02g082970.1 transcript:Solyc02g082970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKLPPSLRSANFAVNTLIKTPSPSPSPSPPPTSKPHYYPKKSQSPKKKPTSITEPQLPSSILAFDSTSLSDAKTLFNSLISSTRNPSTDNKFYNAVLQSFSSNSTLQDSIFFLNHMIKVHPPFSPDRFTYHVLLVQSCKSVDLSLSPVHQVLNLMTTNGFPPNKVSTDIAVRTLCSSGHEEQAIELVKELSSKDSPPDTYTYNFIIRHLCKNRPLSTMNNFIKEMREGFDIKPDLVTYTIMIDNVCNSKNLREATRLLGVLSEEGYKPDCYVYNTIMKGYCMLSQGGEVLGVYKKMQEEGVKPDLVTYNTLIYGLSKSGRVKDAKKFLNVMMEEGHVPDAVTYTSLMNGMCREADPLGAVALLEKMEARGCAPNSCTYNTLLHGLCKGRLLDKGMKLYDVMKQNGTKLETGSYGTFLRALCRNGRVADAYEVFDYAIESKSLTDVAAYTTLESTLKWLKKAREQGLVI >Solyc11g020135.1.1 pep chromosome:SL3.0:11:10221488:10222380:1 gene:Solyc11g020135.1 transcript:Solyc11g020135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEAPKRTTDIPKLLLRYYLISNHQDASRVMKDTNRYSVCRYTTLYFVPYKTQTWLNLRRLLKTLPMHLCQLGLFEAIYVIAYKIRILAERADKELASIGRTPEKLKGVAQKNQNLLELSMWLASFSKFSLSSEQFTCVKVLHEALKLPAFFISRNFILEIRLLTCIILDGWRCTMKISPLLIKLLRCALQEHEDQFLRFDVYLVFKKLLKKIYIIQ >Solyc12g019477.1.1 pep chromosome:SL3.0:12:10442567:10443431:1 gene:Solyc12g019477.1 transcript:Solyc12g019477.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKICAFLETIEHDILIDIVERVTSTSFKDLISLPLSSTPFNNLDSEESVFQKVSFQEFPMFSWSVRTREQIKQRSLFMTRCLDAGNKKALFKI >Solyc07g065900.3.1 pep chromosome:SL3.0:7:67613989:67625968:1 gene:Solyc07g065900.3 transcript:Solyc07g065900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSNAVDDLIEASSGVHYSGFHMEQPRTPEIEQPTTSIDDSFHKQPFIIVGYLVWLRTLWESFFDIGFGCLSDEVNTKLIMLGVAGGAASGKTTVCDLIIEQLRDQRVVLVNQDSFYHTLTPEELTKVHEYNFDHPDAFDTEKLLRVVEELKQGQAVDIPKYDFKSYKNDVFPLRRVNPSDVIILEGILIFHDPRVRDLMNMKIFVDTDADVRLARRIRRDTAEKGRDISMVLDQYSKFVKAAFDDFILPTKKYADVIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDGHTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVLTPTGSVYSGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPLDIAERHVLLLDPILGTGNSAVQAISLLLKKGVPESNILFLNLISAPQGVHVVCKRFPRIKIVTSEIEIGLNDDFRVIPGMEELIKNAKYIATPGKGILAADESTGTIGKRLSSINVENIEPNRQALRELLFTSPNALPYLSGVILFEETLYQSTSDGKPFVELLQENHVVPGIKVDKGTVDLAGTNGETTTQGFDSLGARCAQYYKAGARFAKWRAVLKIGATEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGNHDIKKCAAATETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVAAEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATVNLNAMNKLEVLKPWTLSFSFGRALQQSTLKTWGGKKENVGKAQEAFLTRCKANSDATLGKYTGGSGSGAASESLFVKGYKY >Solyc05g041990.1.1.1 pep chromosome:SL3.0:5:55285666:55285815:1 gene:Solyc05g041990.1 transcript:Solyc05g041990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSIYSQQDFPVRRHEKRVSYFNLFQLLSNLRIGESLLDLIHCITRRDR >Solyc09g018620.1.1.1 pep chromosome:SL3.0:9:15838315:15838575:-1 gene:Solyc09g018620.1 transcript:Solyc09g018620.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKADAAYTLIQLTYVTSDDCVNDGIYSDPLLPLVTYIDVELDVTEETTTSNKGDVPDDGVLFDVPRTKFQIRRKIISTQWVNPDR >Solyc07g021650.2.1 pep chromosome:SL3.0:7:20529784:20535215:1 gene:Solyc07g021650.2 transcript:Solyc07g021650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRNVLDALGELIPCVNYDSWMDLEKYAGPDSAREWRHLSLNVSVSFSKAWIYSAKYKLKDSHFWLEKSKNRLAYFLAMEKASKLLLQKTQQTATITSIGEVNDLQLLQLRLQLQREVQIILGFSLYAAKSKTKPVKVIGLPDLVQTSPSSQGSMSSIRVDRMWMYKRLVPSRTTVTSEFIEGVRGFIEFALMQPDFVSNGSIRCPCSKFKNSSGFLEPHDIRSHLYKHAFLPTIINGNHMGSLLFQFLGLNLNTFTNTIKDQVSENSTLRNEGLEVNEGDMERNTAQMEKMMNSNCVSSTAQNHSNIPTQQIFGTPELQQLLDRMLEQRMMNMQVQMDIREYMEAQVTIAVHAALARMLGFTPQPPPDGYGSTTPN >Solyc07g064920.3.1 pep chromosome:SL3.0:7:67019968:67022965:1 gene:Solyc07g064920.3 transcript:Solyc07g064920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQRMKKVMTDNPKKLANLIDLVNLPSTLREFMGQSQTSRLGCFKRVWSYIKENNLQDPNNKNLVNCDEKLKTVLLGKPQVELTELPTLIKLHFPKQPR >Solyc09g064230.2.1 pep chromosome:SL3.0:9:61609078:61612203:1 gene:Solyc09g064230.2 transcript:Solyc09g064230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNGKEKLVVEVVAAHNLMPKDGEGSSSPFVEVEFENQRQRTQVKMRDLNPVWNEKLVFHVNDAADLPYRTIEVNVFNEKRSNTSRNFLGRARVSGSSIAKEGEEIAQLYTLDKRSLFSHVRGELSLKIYLSTTEQVNFQNQNHSKPVESVPGDIKPVVITSVPGPIIPAVTGGGGVGLYTSGQGEFSLKETSPHLGGKDKTNSTYDLVEQMQYLYVRVVKAKDFSVFGVGGGGELVAEVKLGNYRGITKRVFSNHAEWDQVFAFSKDSVQSSVVEIFVKENNKDDFLGRVWFDLNEVPKRVPPDSQLAPQWYRMEDKKGDKSKGGELMVAIWFGTQADEAFAEAWHSKAANVHFDGLCSIKSKVYLSPKLWYLRVGVIEAQDIVMGEKGSSIMRYPELFAKVQVGNQVLRTRVSPPAATRSLTNPFWNEDLMFVVAEPFEDFLLVSIEDRLAPNREEVVARVLLPVSSLERRLNEKPVISRWFNLDTHLSNANDPKAVVRFASRIHLRASLDGGYHVLDEATMYISDVRPTAKQLWKPHIGVLEVGVLGATNLVPMKMKEGKGVSVDAYCVAKYGQKWVRTRTVVDSLSPKWNEQYTWEVFDPCTVITIGVFDNSRVDKNMANPVAGNRDSRIGKVRIRLSTLESDRVYTHAYPLLMLHPSGVKKMGELHLAVRFSCANMVNMLHMYSMPLLPKMHYVHPLSVSQLDSLRHQAMNVVATRLSRSEPPLGREVVEYMLDHDSHMWSMRKSKANFFRLTNVVSWFVIMSRFLESARNWHKPMHSALALIAFTILVLVPELIIPCVLLNLAAVGLWRYRSRPRHPPHMDTRLSYAESVYPDELDEEFDSFPTSRNAEIVRMRYDRLRSVAGRIQTVVGDMATQGERFQALLSWRDPRATFLFVIFCFFAAFFFYLVPIKWVVALWGLYYLRPPRFRNRLPSSAVCFLKRLPTRADSML >Solyc07g041980.3.1 pep chromosome:SL3.0:7:54887316:54891721:-1 gene:Solyc07g041980.3 transcript:Solyc07g041980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGAGSMPSMPPPRPKSPPQYPDLYGKRREFAKVQMLEREIGFLEEELKSIEGLHPASRSCKEVTEFVLEHSDPLIPTIKKTRRSRCFWKWLCGSSCFNLSWICCWCRCPSMKMPNCCCNCNLCNCCPSISCSIPKCCCQCFSCPRSKCCRKPVCKWSCCSLKCPSCFSCSSCSNPCTCTCSCSYPRCPKLNCTSCCKKCCCFCPCYLC >Solyc09g064770.1.1 pep chromosome:SL3.0:9:62367981:62370576:-1 gene:Solyc09g064770.1 transcript:Solyc09g064770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSKIPRLDVTTLEGHTSKIFGCAWSLERSFLASGSIDGIIYVCKVGECRPVKKFSRYQIWSTKQDACLHDFREHHKEISTIKWSPTGAGTSNTNQQSCWQGMLSIVTGHVYRILFYHNFLSHVVVALGSRLHIYYTSVS >Solyc08g075825.1.1 pep chromosome:SL3.0:8:60035931:60038975:1 gene:Solyc08g075825.1 transcript:Solyc08g075825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGMRNLNRNVMTYYASTIVREITSKANPQKTAIFQRVNTMLSQGFYLCGRRYTFLAFSANQLRDRSAWFFAENPIISVPSIINWMGRFSNKNVAKYAARMGQCFSSTYATVEILPSEVNSKLPDIERNGYIFSDGIGMISADLAIEVAEKLQLSVNPPCAYQIRYAGCKGVVACWPAKNDGIRLSVRPSMKKFDSNHTILEICSWTRLQPGFLNRQIITLLSSLKVKDKIFWEMQNEMLSRLDKILVDLDVAFDIITGSCAEAGNTAAIMLSAGFKPQSEPHLRGMLSSIRAAQLGDLRNKARIFVPSGRWLMGCLDELGELEQGQCFIQVSSPSLESCFVKHGPNFSDIKKNLQVIKGLVIIAKNPCLHPGDVRILEAVDVPGLHHLYDCLVFPQKGDRPHPDQASGSDLDGDLYFVAWDKNLIPPSKKSWMPMDYAPAEAKQLGRQIEHADIIHFFSKNMVQESLGEICNAHVVHADLSELGALDEKCLKLAELAAIAVDFPKTGKLVTMPYNLRPKMYPDFMGKEEFQSYTSEKILGKLYRQVKDESQGEFAGLEFVPEDIPYDTNLEIPGYKDFLAEAWNRKCSYGIQLNGLLGQYRVNGEEEVVTGHIWSMANSNSKKQGELKARLKQAYNALRKEFRNVFEHMEPDFDQLPIDEKNDMYERKASAWYRVTYHPHWLNRTVLELQKTDDVSNTVMLSFAWIAADYLARIKIRQRGMQQSDSTSRINSLGRYLVDKISQPFG >Solyc10g078540.2.1 pep chromosome:SL3.0:10:60468522:60473029:1 gene:Solyc10g078540.2 transcript:Solyc10g078540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding AEVSSFMHACEGDAVTKLTNEKIPYFNAPIYLQNKTQIGKVDEIFGPINESLFSIKMMEGIIATSYSAGDKFYIDPAKLLPLARFLPQPKGAQQAFRGGGRGGGRGGRGGRGGGGGFRGARGGGGGFRGGRGPPRGGRGGGFRGRGRF >Solyc01g020125.1.1 pep chromosome:SL3.0:1:27722340:27722816:1 gene:Solyc01g020125.1 transcript:Solyc01g020125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPILWEDRHKLEAKMRFRALRYVREEKLDGIVIFEIQKVKWIGALSVGILAHSGGVEEEISTVQKEEDKHLQLPVQGPACNSSDHFVGWHPFDSSQ >Solyc03g079865.1.1 pep chromosome:SL3.0:3:53105061:53109731:1 gene:Solyc03g079865.1 transcript:Solyc03g079865.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRSVESKPLPTCKTHRKAAAETTQCSNIPTFFRGANLQIQRNNPSSSLNNFGGFLGIGGVIAQIEVKRSYNYLYRNFSYSSCVQFSWFSAKVLVPSLAILARQSIRILLWDKRSWKGEMVEYRNQSITCKLCGVNQELTWFLTAVYASCDRNERMELWEEFEAMRSLCEGLWCHLLS >Solyc01g081380.3.1 pep chromosome:SL3.0:1:80447432:80454081:-1 gene:Solyc01g081380.3 transcript:Solyc01g081380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Nuclear matrix protein 1 [Source:UniProtKB/TrEMBL;Acc:Q93XE2] MAAKQMEEIQKKLATLNYPRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAVDRDEETSRIQYLAEIAKFLGITTTVDPEAIQGRGSYEDRMEMLRLIVDLVEASMYADNPEWSVDEQVAKDIQLIDAIAEKQSQIFSEECKLFPADVQIQSIYPLPDISDLEKQLSDQSNRLLSLQEMVDDLASKHPYNPDEEYVDVEAKLRGHLESFLDTARTFNTIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLWKFLGNLKNLRDSHAAVAAGSSETVAGEPSSVTRIISECETALTLLNRDLAILSASIARERGEDISL >Solyc12g088160.2.1 pep chromosome:SL3.0:12:64598255:64602543:1 gene:Solyc12g088160.2 transcript:Solyc12g088160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLNNGMAMNNGLAKIETQKLKEEICHDDSAVPVKAQTLEELHSLQVKKSGPNTPMTGIQQPVFGSEADKNKQQLESISASLASLTRETGPKLVKGDPAKQPETPRVVHASHQHQHQHQHTPQFSNITDSALKFTHILYNLSPAELYEQAIQNEKGSFITSSGALATLSGAKTGRSPRDKRVVKDETTSQELWWGKGSPNIEMDEKTFLINRERAVYVNDQFLNWDPMNKLKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGKFPCNRYTHYMTSSTSIDINLARKEMVILGTQYAGEMKKGLFSLMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSDHGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFEEHIREVDYSDKSVTENTRAAYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEDGIKEPTATFSACFGAAFIMFHPTKYAAMLAEKMQKQGATGWLVNTGWSGGSYGSGSRIKLAHTRKIIDAIHSGELLNANYTKTEVFGLEIPNEIKGVPSEILDPVNTWPNKNSYKETLLKLGGLFKKNFEVFTNHKIGTDSNLTDEILAAGPNF >Solyc09g025280.1.1.1 pep chromosome:SL3.0:9:65331195:65331710:1 gene:Solyc09g025280.1 transcript:Solyc09g025280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSFVEVEPSNTTTNNNNITSSSSTSSSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKIHTLICPFYGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEENGGNPEMNPFGTRAVRLYLREVRDLQSKARGVSYEKKKRKRPSQPSPPPLQSG >Solyc01g102410.3.1 pep chromosome:SL3.0:1:91103329:91111936:-1 gene:Solyc01g102410.3 transcript:Solyc01g102410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELKLSFPADSPPLAVIAAAKIAGVPISTDPTLTTGSTPIMHIDNGLKLRGNFVLLRYISRVANIPDLYQRDACESSQIDEWLEYAPIFASGSQFEEACGYVDGYLLQHTFLVGHSLSIADIAVWSGLAGTGKRWESLRSSKKYQNLARWFNSILTEYGVLNEVTATYVGKKGPGKPTASKVKEQQGSNANLAKVNGDAADKEKEGRKPTSEVDLPEAEVGKVRLRFAPEPSGYLHIGHSKAALLNQYFAERYQGEVIIRFDDTNPDKESNEFVDNLLKDIETLGIKYRTVTYTSDYFPQLMEMAEKLIREGKAYVDDTPREQMQKERMDGIESRCRNNSVEENLKLWKEMIAGSERGTMCCVRGKLDMQDPNKSVRDPVYYRCNQTPHHRIGAKYKVYPTYDFACPFVDAFEGITHALRSSEYHDRNDQYYWIQTDMGFSKVHIYEFSRLNLVYTLLSKRKLLWFVQNGLVEGWDDPRFPTVQGIVRRGLKIEALIQFILEQGASKNLNLMEWDKLWATNKKIVDPVCPRHTAVIEERRVLLTLSNGPDDPFVRIVPKHKKYAGAGEKATTYTKRIWIDYDDAVSISVNEEVTLMDWGNAIVKEIRKDQEGNVTHLSGILHLEGSVKTTKLKLTWLPESDELVKLSVVDFDYLITKKKLEEDENFVDVVNPCTRKETPALGDSNMRNLQRGDVLQLERKGYFRCDVPFLRPQKRIVLFAIPDGKQQPVLRFAVPDGKTK >Solyc12g049540.2.1 pep chromosome:SL3.0:12:62112839:62120115:-1 gene:Solyc12g049540.2 transcript:Solyc12g049540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIDSKERHEINLQPPKENSFYLQKFRLYETRSNFYMIGWDNSRTIWKVLKIDRSESTELLIHEDPTIYSEREYLDLLSRIHEGNKPTGGLKFVCLCYGIIGFIKFLGAHYLLVITERREIGKIRGHVVYAITESEMFPVPNSTVLSKMTYSKDENRGKLQWCSDVIFYMLNETRYLKLLRKMDLTKDYFFSYSYHIMLSFQKNLSNSKSGVAIYETMFVWNEFLTREIHNQLQNTKWTVALVYGFFKQATFKISSQDFILTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGSPFGATSVVQNRGSIPLFWSQETSFLNVKPDIILSRRDFKFEATKLHFEDLAQRYGNPIIILNLIKTFEKRPREMILRAEFANAIEFLNKDLPEDERLRFLHWDINKRPRIKAKMALLRLGDVAANALELTGFLHCQLIPTSRTEELQKLSPTSCDDRGDHVKEDLDEMDVEMDNECDKLRGAYCVKVSSVQNGVLRTNCVDCLDRTNVGQYAYGLVALSHQLHALGFVDIDNINIDSHSPLAQELMQIYEEMGDTLALQYGGSAAHNKIFSEMRGQWKAATRSQEIIRSVQRYYRNACMDPAKQDAINVFLGHFQPQEGGPALWELNADQHYDVRKHGSNPTAQRSRSYFKRSLSEGNISCGSSSQGKDTDLDQTEDTYQPLTERGKGCKGISESSPEICTCDSDISFTRYNPSLSSRQLFHDIQLDQCLRSSSFKFEEGALFDSSNFLDVDWLSSSGNSCEEETYDRSSIIGSPSRGMSSDSMTTNVSVPDSGTSLKKVQTPGEISVDAKVSKQMHRQYSEKFVRWVTEEDGFFD >Solyc12g005280.2.1 pep chromosome:SL3.0:12:172032:177351:1 gene:Solyc12g005280.2 transcript:Solyc12g005280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALYPLPTAIILNRITPFTSRFFPKPLSSSLSASVAAITSTLPQHLSYGPSLHKGHKTSHRNSDEESFTRVFDITALRVPAEQCFSLESRLRGHLLNWPRIRNIARVAGDDIDDDLKEFIGNDNTTDDETQTVLERRIYGKGEGDGEKMCSVLYRDKLAKTFNSIGYVKFENLAKISRPKKKKWKREEKEGNGRKRRGYGRSDMSMVEVVEEEGSGDEDFSGLLGDDFRRRKWNGSTRLLLLDERYANKGIEEMPEAIKAVLKEHNEESTRLSFEIVRCKLTLLYNYWQMNEIEVLRDYIQKNCYKGHLPVIQDPSKIKVLAVLLPQGMIVPSAFETVGHIAHLNLRDEHFPYKKLIAKVVLDKNKPKIQTVVNKTDVIHNDYRTMQLEVLAGNHSLVTTVVENGLYFDVDLAAVYWNSRLATERQRLLSCFTNNDVVCDVFAGVGPIAISAAKKVKYVYANDLNPNAVEYLERNCVRNKLERKIEIFNMDGRRFIDSIFASEKARPITQVVMNLPNDAAEFLDSFRGIFRNKHADKQFTLPRIHVYGFSKAQDPEFDFHEKIRIALSEVAFEVQMHKVRLVAPGKWMLCASFVLPETVAFAKLTL >Solyc08g023330.1.1.1 pep chromosome:SL3.0:8:28700875:28701105:-1 gene:Solyc08g023330.1 transcript:Solyc08g023330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKKSETCESKWISLPLYVNASLLHEKIKIVSISKYSYVKNFIGIFHRSNSKSRVIIKKTKTPHLCSLQSKDYGLS >Solyc10g005600.3.1 pep chromosome:SL3.0:10:475931:484025:-1 gene:Solyc10g005600.3 transcript:Solyc10g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSSSSSKQAADNSRGINGHILTLHQRLYHALNLGTRKVAQSLPNSWLRHCVDFSSALVAKRLTVRGHRVEQWSRKRKCCTELHIVEPVFWEINFGCKRSLKDARMVLVGAAEPFFRSYSKGVQHPIATTWNSIERTKWTNRCCDDKGQKWHYSDIETQRLVVRSVDAFLDSISAESLQHQVVKESVGDIVGAVGSILASKSEATMRLASDVAVKIVRMIPSSMLQPHFSNLIHSLSSLLSFRELRVAISCASALNLILANLTSKREKEVWEILKSTNVVGDLVENVKGYSTENKATEYFQEMASLLSKILWRWPPSRFHVWTDKKLFSTLDTVKLNPDRSIKVAVMQLFSALALCGNGTNKLLEDGEGLVKIMVDSLDSSNPYTVQIEGLRLAQCLMTNEQGCSKIIKLSCEPIVKAIITLMSNWSLDAGKLAKGQMSILVEACRLALITHWEGDHHFYFWKAGVDRVLLRLITGNSDTTQQSLQSLSLQEQIIKLEEVVDTDVLLPLRPFVWDILGCLTANCMEDFFPKMHGNETVFNVLVVCACLAFVDSILTSRQISQGNACHSSESEPASRAVLMMIYSPSKYISSKTRFILSEVLALKGKDYVGYLLDSLKATSSGNKFGIPSNFRLVINLTSLACYSALPKYQKHLIQHGGIDILSSFISWWFDNPVHLNRSSVATHVQNGFSGRTCCWPSPEDWEGEDMLLLFGLVALAELINVENCCGIFQNQMDLRAAFIRDLQEICINNSYSGPRWYAAYILRHLGLYGFPSKFGREFRELLTDNEHSDVELIIKNQEPVRVHGVILLVRCPSLLPPEELLKEKAFDSSFKQDSDSCNRLITKVRLSAHVDCQSLTKLLEYIYSGSFEAGEDLVKKLKILAKHCNLQSLVQLLCGSNLKWGTPFPSFDFTSALEPAGRNFSDIILEAETSGSSNQDCSYCSISVLHLHVHKVILWPSCEYLRALFQSGMQESHSLTIKVPVCWDSLVKLVSWFYSGELPRPISGCLWDNLSKEEKLSELEPYVELCSLAQFWLLEDLHEKCFKLIVSILDSCQYLSIKIIQMAANLNQWKLVEVAAEYLAPMYHHLRNSREFDALDEHLIEIIRAASVQFSQRNGHLVTLT >Solyc12g019480.2.1 pep chromosome:SL3.0:12:10578878:10583907:1 gene:Solyc12g019480.2 transcript:Solyc12g019480.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKMLSSLCIINLVVFTFFSFTTTTAGAGVYYRHRRILHQPFFPVVDSLPPSSLPSITHPPISSPQPQPKFPFSTLSPPETPLTQNPFFPVFSSPPPPPPPPPIRSDSYATFPANISSLILPQTSSHSSAKPISGKLIAIIISVSVLSAAFLTSLVAYFLHYYRQGKVEEKMYYQRTDSLRLVPPNATPSDGVVIKKHLPSPPPPPPAMEVQRHTPTSNSSEFLNLGALVSSREVESPEVQPADGVAVNFQRLGSPELLPLPPLPRQHYQQTRKNGAGYSGEDDENDDEFFSPRGSSGDKGSPSQTVSSSHATPYEVPLQTQNRFLYSNSNSPSESSLLNSPSLEFNLSPKISDSSARISESSLRNLGGFRSYVSMKVPPPPPPAPPPRFWEAPQVPKSVEAENGGPPVLVAPSMPVLGHHVNGNIKSSEAVERRNDEIIKPKLKPLHWDKVRATSDRAMVWDQLKSSSFQLNEEMIETLFTANCSNSNPKDGITRLVQPVLNQENRVLDPKKSQNIAILLRALNVTNEEVCEALLEGNADTLGSELLESLLKMAPTKEEERKLHEFKDESPFKLGPAEKFLKAVLYIPFAFNRVEAMLYIANFDSEIEYLKRSFETLETACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDALAFKLDTLLKLVDIKGAEGKTTLLHFVVQEIIRAEGSRLSGADDQNPIVEKTLQDEVEFRKIGLQVVSRLSGELTNVKKAAAMDSDIISNEVAKLAAGIAKITNVLKLNEELVSSENSRKFSESMNGFLKTAEQEIINIQAQEGVALSMVKEVTVYFHGDSAKEEARPLRIFMVVRDFLSILDQVCKDVGRMTDRTIISSGRQFPLTVDAGLPQVFPGYNVRQHDSSSEEETTPPTS >Solyc12g038580.2.1 pep chromosome:SL3.0:12:51525265:51526446:1 gene:Solyc12g038580.2 transcript:Solyc12g038580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLDYLESVLASEHVVVKSGQFIVEVKPQVQTARPNEYSRRYVCYCYVPWRNKQILLYFQRGGCLVLPKSIKNTKIGEG >Solyc02g089350.3.1 pep chromosome:SL3.0:2:51836312:51837194:1 gene:Solyc02g089350.3 transcript:Solyc02g089350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GAST1 description:Protein GAST1 [Source:UniProtKB/Swiss-Prot;Acc:P27057] MAGKMSIVLFVLLVVFLTQNQVSRANIMRDEQQQQQRNNQLYGVSEGRLHPQDCQPKCTYRCSKTSYKKPCMFFCQKCCAKCLCVPAGTYGNKQSCPCYNNWKTKRGGPKCP >Solyc02g085420.3.1 pep chromosome:SL3.0:2:48963642:48970539:-1 gene:Solyc02g085420.3 transcript:Solyc02g085420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDFNDAFMRNNPNVQARAKAQNRANVMQLKLIGQSHPTGLTANLLKLFEPRPPLEYKPPPEKRKCPSYTGMAQFVSNFAQPGDPEYAPPIPEVETPLLLERRARIHKIRLEEGAKKAAEELEKYDPSSDPNATGDPYKTLFVARLNYETTESRVKREFEAYGPIKRVRLVMDKTNNKPRGYAFIEYVHTRDMKAAYKQADGKKIDNRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGNEDATREVVQPGRAASRSEEPRARDDRDRDREKSRERVRDKDREKSRERSHDRPRERERDDKHHRERERTREREKDRGRDRDRDRERDRTRDRERGKDRDRDGHRERDRHREKDRERGRDEGEVDQGRGRSRDREYDYEHVDSKHERDRHGDKERNYDPAEPEDDHGHYDYYDHHQGRGDYENPDAQGGDDRYKDASRGHDRYDQMEEDNYAYDHGASETKERDRDYKRSDRSHSREYDY >Solyc10g044900.2.1 pep chromosome:SL3.0:10:28819908:28829151:1 gene:Solyc10g044900.2 transcript:Solyc10g044900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPDTNGAPNSKTNPSPNMPERPPLLKKSKINADNTLAGQLFPAVLRVSSTSPSYSESHTTSATNDANFGFGDRDYVYPSFLGPHTTRSRVNVKSTSKSQRNQLELPARSESMPSNLSCEAKVESKMKLKPKLKAEKDLNALSIQVSTSASSALSGSSSANFSNARRPSAHRYSWILFLLKFLCTLSVSHTLYLRNEVSKLQENSSLRRACSHVDLASAGIMELEEVNSFVYFGNADSRTVALYMVVFILVIPFALYRYLDYLPRIIDLLKRKYTIKEEVPLKKRIAYVVDVCFSVYPYAKLLALLFSTLFLIVYGGLALYAVGDGSFIEAIWLSWSFVADSGNHADMVGAGPRIVSVLISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIESNHILVLGWSDKLGSLLKQLAIANKSIGGGVVVVLAERDKEEMELDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVREGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGVPFEDVLVSFPEAIPCGVKVAAYGGKIIINPDDRYVLKEGDEVLVIAEDDDTYAPGLLPEVNKGLFPRITDPPKYPERILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKDREKKLTDGGLDISGLDNIKLVHRVGNAVIRRHLEGLPLETFDSILILADESVEDSIVHSDSRSLATLLLIRDIQSKRLPNKDSRSVSLRHSVFSQSSWIREMQQASDRSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNELCIKPAEFYLYDQEEVCFYDIMRRGRQRREIVIGYRIAAAERAVINPAGKSKQRKWSLDDVFVVISSGD >Solyc03g097730.2.1 pep chromosome:SL3.0:3:61507862:61509223:1 gene:Solyc03g097730.2 transcript:Solyc03g097730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:CASP-like protein [Source:UniProtKB/TrEMBL;Acc:K4BJJ0] MEIFGGKIKHDPSLKTQKVMLIFQIILRILGFTFCLGAVWRIMTSKQVLVLGIEANYTYSPTMKFFAYANMIGCASSIVALFLLLVCCYKKYLNSNKYLFYLFLHDLIVFGLLVAGCASATSIGYVAKYGQKYSGWNPVCNYVTKLCHKATVSVTLSYIAIIFYLCLTIISANQYVFH >Solyc01g005930.3.1 pep chromosome:SL3.0:1:606106:610218:1 gene:Solyc01g005930.3 transcript:Solyc01g005930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESLFIKNLIFTTQISVPKSNSINPIPIRRICSSSWKIHFKKSDFQDFRDYVKPSRLLPATNVKFCGDWSLETLLNHSKFDRSNSLYQVKLQTSNAYGSDLTDINSEVLLCLIDENGDSILQRISAGLENARFMQSQDSDLLQFRRGSVDEFIFEGPKLGKLAAVWISPESGQWRLGGMNVTVISLLNSVLIGNEKNLSDCTAIQYDFDIEDVLLGEKSDSSMIEFRPCSVTEFSEDNIISLSEKTSPSSSVSTQNISNEDTMKEYTDLKLSLLVYDAILTIAGSSIAFLAGDKSAIAFLTGGIVGFLYLLLVQRSVDGIPSSELTQSNRTETLDQTDKGFKGSVLNIVLALAVTTVAAKYALGDVARVLTPQDLMLGTIGFLLSKVSVILAAFVPITGGLRENK >Solyc02g023997.1.1 pep chromosome:SL3.0:2:25191707:25197071:-1 gene:Solyc02g023997.1 transcript:Solyc02g023997.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISRPFIFLLNIQVDLLGDEVDTLLRLLEKIYIALDHYLPVLQHYPGIIEILKLIRKELWGDSAKPVK >Solyc05g018890.1.1.1 pep chromosome:SL3.0:5:24532323:24532532:1 gene:Solyc05g018890.1 transcript:Solyc05g018890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGDWERFLFCSDGGVGSVFGSFWWWVWGCRRSGDSSLRFRWLHPKNNGKGRGKRWGFRFRRKDEKW >Solyc01g097380.2.1 pep chromosome:SL3.0:1:88137812:88141290:1 gene:Solyc01g097380.2 transcript:Solyc01g097380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADAVEMPRVKLGSQGLEVSKLGFGCMGLTGAYSSPVPEEEGIAIIKEAFSKGVTFFDSSDVYGTDHANEYLIGKLATKFGIYKIEPTKVTVKGTPEYVRSCCEASLKCLQVDYIDLYYVHRIDTTVPIEETMGELKKLVEEGKIKYIGLSEAHPETIRRAHAVHPITAVQQEYSLWTRDVEDDIIPVCRELGIGIVPYSPVGRGLFAGKAVIESLPANSFLTTQPRFTGENFEKNESIYFHMEGVAKKHGCSPAQLAISWVLHQGDDIVPIPGTTKIKNLHDNIGSVRVKLTEEDVNELSDAVPASEVAGQRIGEALYKSSYKFSITPPQTK >Solyc11g011700.1.1 pep chromosome:SL3.0:11:4705607:4708317:1 gene:Solyc11g011700.1 transcript:Solyc11g011700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKMSPLIQGTRILRRFSNSRGVPKGHCAVYVGESQKKRFVVPISYLSNQPLFQDLLTQAEEQFGFDHPMGGLTIPCKEDVFVDLTSRLRS >Solyc07g062370.1.1.1 pep chromosome:SL3.0:7:65266188:65267123:-1 gene:Solyc07g062370.1 transcript:Solyc07g062370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARFAIFLIVMLVCVAPSFEARKQFSKEGNKLYVDRNLDSFPSRGIGNFDHSMQSVPSPGTGNLDHNLKSVSSPGTGNFDHNVQSVPSSGIGNLKSVPSPGTGNFYHNMQSVPNPGTENLKSVPSPGTGNFNHNMQSVPSPGIGNLKSVPSPGTGNFYHNMQSIPSPGTGNLKLVPSPETGNFNHNMQSVSSPGTGNLKSVPSPGTGNFYHNMQSVPSPGTGNLKSVPSPGTGNLKSVPSPETGNFDRPSLGISHVHRNLKSVSSIRIVKSESHSSPSPGEGHVYRNLKSANPTVVHIDSLRSVPKFDIDH >Solyc08g065580.1.1 pep chromosome:SL3.0:8:53777269:53778451:1 gene:Solyc08g065580.1 transcript:Solyc08g065580.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAGGLQDENITVFMYNDIANNTENPRPGVIINNLHGHDVYKGVPKDYVGEDANANNVYNVILANKSGVVGGSGNVLKSGPYDHIFIYYTDHGTAGFITMPSGESIYTDDLFKVLKKKHASGTYDSLVFYLEAYESGSMFWHILWRWYS >Solyc06g069045.1.1 pep chromosome:SL3.0:6:42956880:42958002:-1 gene:Solyc06g069045.1 transcript:Solyc06g069045.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Putative glutathione S-transferase T4 [Source:UniProtKB/TrEMBL;Acc:Q9FT20] MGQVKLIGSSGSLFCTRVEWALKLKGVDYEYIQEDLLNKSELLIKSNPVHKKIPVLLHDDKPVVESLLILEYIDETWKGYPLLPQDPHERATARFWAKFVDDKCVIGSWEAMAMQDEGEAKTKAIESIQELYAFIEKQIEGKKFFGGEQIGYLDLVMGWKTLWLSAMEEVGNVKLLDPEKFPSLHQWAENFKQIPIINECMPQQETLVNYFQVGLNYLRSLAANKP >Solyc08g065500.2.1 pep chromosome:SL3.0:8:53695837:53698456:1 gene:Solyc08g065500.2 transcript:Solyc08g065500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGICGLVSCISSTSFEIQPVDFGNENVVHYDDNNINENQCVIGSVFSQQGNKGINQDSAILYQGYGVENGVFGGVFDGHGKNGQVVSKFVMNKLPSLLLKCILSLPKITSPKQNVKHVDEELVKNKNFDKWKDACLNSFKVMDKDIKSLEKLDCSCSGTTAVVAIRQDDDLIIANLGDSRAVLGRKTEEGVIEAVQLTTDLKPSLPSEAERIRNCDGRVLALKEELHIQRVWLPHEDVPGLAMSRAFGDFMLKNYGIISKPDVSYHHISPNDQFLVLATDGVWDVLSNDQVVSIVCAANNAAAAAEAVVQASLDAWEQKFPNSKRDDSTVICLFLQ >Solyc10g077020.2.1.1 pep chromosome:SL3.0:10:60037321:60043404:1 gene:Solyc10g077020.2 transcript:Solyc10g077020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSAVYASTSGAAEATAYLALEDFLHASVKGLWEAFWGQDETLPFYVSCVYNSNLRFYQAEKAISKGKLGGLCATAVMLKNPRHPQGKWDDILELAILRSDIGNLCTVENDCKPCLSILGEALFFALRVLVARSISRSNIPLSLNSVFVLLVDTQYGGVLKLEGDVSKLEMDLNDVYGCAAEWIKNNALITISPIDRIWNKLGNANWGDVGALQALYATFHSITQYAGMSKNSIEDLAADHSARLQARRIERQLGDSWVNGNGLFRYQQRSASPEIVEVHEESFRLEPDKSMNLEIGSVVLIEDSNWKKDYQINEVLTDGEIPYYIASSVEDPGTTSFLYVGSHPSLLEPAWEDMKLWYQVQRQTKVLGVMKQKELSSKYLPQLDASGRIIHPGQCRRPSSGGNCDRQWCGTPVLMTSPVGRTVADLVRLGHFGSDEAIRCCHDCLAALSAAASVGIRHGDIRPENVVFVNSGVRQPYFVLVGWGHAILEERDRPAMNLHFSSTYALQEGKLCSASDAESLVYMLYFSSGSNMPNLDSVEGALQWRETSWSKRLIQQKLGDISAVLKAFADYVDSLCGTPYPMNFDIWLTRLKRHIPDDDHGKQIDTSS >Solyc10g018250.2.1 pep chromosome:SL3.0:10:7272628:7278085:1 gene:Solyc10g018250.2 transcript:Solyc10g018250.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRMHSRGKGISASALPYKRTPPSWLKISAPDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVKSVTGSKILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Solyc09g031525.1.1 pep chromosome:SL3.0:9:31139752:31146424:-1 gene:Solyc09g031525.1 transcript:Solyc09g031525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHGGAETGELLDLVCLRIKKTEVIQSVNLVFLMDKSWIRMPRTTKEYLCLSSLSDKGMTMILDVLRDALEFAKIPDSCYEAKKTINKLLGHFKSLIGNKSQAEGCIAEGQKIKENLNLSSRYFEDIESRVNRPKRVNDETNHNEVPESEFRQHIKRSSRGRKPSIMNPDIEDTISDDIKFLAQVPAPYA >Solyc03g114350.1.1.1 pep chromosome:SL3.0:3:65839739:65840608:1 gene:Solyc03g114350.1 transcript:Solyc03g114350.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQARWESSQSPSFNSYSNKNLAEIADRVVQEFRAENGTDEEFFIDDDGGLSCFESGGTLDEKEEEQGNEDEDEFEFSFVKQSEISPVAADEIFYNGQIRPIYPLFNRDLLSDFMNGRSKSNSTSEEISSARPKSIRLPLRKLFMEEEREGNFSCSSSEADDLEGIPEGTYCTWTPKPEEKSAGSCKKSSSTGSSKRWKFRDLLYRSNSEGKDTFVFLTHSCRKKENKAEKTTIDNAAKVTAKSKGIPVADGCPAMHYVKNGGGEKRKTYLPYRQDLVGFFATSRNVMPL >Solyc12g098270.1.1.1 pep chromosome:SL3.0:12:66829591:66829854:1 gene:Solyc12g098270.1 transcript:Solyc12g098270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSSRVIGVIFLIVLFNGIMVNADVLGRRMLDVGDSGGGGGSGDGGGVSIGIGIGASVEHVGSIGIGIGGGLFGGEGKGVGGGGP >Solyc04g056710.2.1 pep chromosome:SL3.0:4:54623940:54624941:-1 gene:Solyc04g056710.2 transcript:Solyc04g056710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCIRLNVNEKGSYFYDFVVGKGSKWKSCLVGRVRNVPFIRVVGWFGSNTFRVFIGIIWGGTPEYWEWLSHLNSRFYIFRFSEVAKLNRISWLDIHFAYIVFKWKDGLYVFRNVKAVVSFVDSESDHEPEQRVKVVPFSGLGPRARLSLKRGDGWMGIEMGNFFNDIRAEGDLEARLIEFRHLSWKYALLVQGIEFRP >Solyc01g013830.1.1 pep chromosome:SL3.0:1:10147202:10147802:-1 gene:Solyc01g013830.1 transcript:Solyc01g013830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDIDFIDAPILRHFIVNAKKLVNASLHEHILHASPDLQRQICYTVENFEKLDIVSTFGWESETSFPIVVGDIVRSFIGAIFVDSSFEKISNTFLNIRPLLVCASPCFGEINTT >Solyc01g109140.3.1 pep chromosome:SL3.0:1:96106946:96108624:1 gene:Solyc01g109140.3 transcript:Solyc01g109140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DES description:9-divinyl ether synthase [Source:UniProtKB/Swiss-Prot;Acc:Q9FPM6] MSSYSELSNLPIREIPGDYGFPIISAIKDRYDYFYNQGEDAWFHNKAEKYKSTVVKINMAPGPFTSNDYKLVAFLDANSFVCMFDNSLIDKTDTLGGTFKPGKEYYGGYRPVAFIDTKDPNHAALKGYILSSFAKRHNLFIPLFRNTLSDHLFNNLEKQVTEQGKADFNALLPTMTFDFIFRLLCDQKNPSDTVLGAQGPEHLRKWLFPQLIPSLSAKKLPNIIEDMLFHNFLIPFGFIKSDYNKLVDAFSKSAVSMLDEAEKLGIKREEAVQNILFLVGINMFAGLNAFFPHLFRFVGEAGASLHTQLAKEIRSVIKEEGGAITLSAINKMSLVKSVVYETLRLRPPVPLQYGKAKKEFMVQSHDASYKINKGQFVVGYQPMASRDPKIFANPDEFVPDRFMNDGEKMLKHVLWSNGRETESPAPDNKQCPGKDLVHLLGRLILVEFFIRYDTFTLEITPLFRAPNVAFNTLTKASK >Solyc07g052815.1.1 pep chromosome:SL3.0:7:61385097:61389273:1 gene:Solyc07g052815.1 transcript:Solyc07g052815.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYKEGVACAGTTSLCTTVVGLGWNKGATSEDSSSLGNTCHAMKYDKVFGAIGQFVSLKNHQFVSLMNHHEPNERFDYIVPAMHSTLCDNHQNQLVNELPRELIRTLLKMAPPTDEELKLR >Solyc07g006380.3.1 pep chromosome:SL3.0:7:1207358:1208057:1 gene:Solyc07g006380.3 transcript:Solyc07g006380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Flower-specific gamma-thionin-like protein/acidic protein [Source:UniProtKB/TrEMBL;Acc:Q40128] MARSIFFMAFLVLAMMLFVTYEVEAQQICKAPSQTFPGLCFMDSSCRKYCIKEKFTGGHCSKLQRKCLCTKPCVFDKISSEVKATLGEEAKTLSEVVLEEEIMME >Solyc10g005290.3.1 pep chromosome:SL3.0:10:211949:218212:-1 gene:Solyc10g005290.3 transcript:Solyc10g005290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIFRSIGTLISRNRKLNLNYLTSSTIFFTQQFSSSSSSSIPLTPLLDFDRRRRHFLSPFAAAANFCPLFLSSPPCKLSQSATSLHLQSDFLLVFPRVSSLRLQFPYKLCFQSTQRLQIERNEKQWKESQLAVEVRDSFLNLPNFISLSRMISGPVLAWMIIHDMYLPAFVGLAVSGATDWLDGYMARRMGINSVVGSYLDPLADKVLIAFVALAMVDKGLLHPGLVSLVVLRDVALVGGAVYKRASILEWKWSSWYEFFNLDGARPQKVEPLLISKVNTVLQLALVAAALLQPDLGNAETQTYITYLSWLVAMTTVGSTAAYGAQHLGGGATWKNRL >Solyc03g111400.1.1.1 pep chromosome:SL3.0:3:63481700:63483298:1 gene:Solyc03g111400.1 transcript:Solyc03g111400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEKLCAAGSFTKMEMKVNEAISKSRIGKYFKLESRKSSFTKEFRAGTATFLTMAYIITVNASVLSDSGGTCSVSDCTFPANQTHATPDCVLNNEGYEKCVAKMKSDVIVATALASMIGSFAMGLLANLPLGLAPGMGPNAYLAYNLVGFHGSGKMSYQTVMAIFLVEGCAFLAIAVFGLRGRIARFIPQPVRLACAAGIGLFIAFVGLQAHQGVGLVGPDPSTLITLSACTSTNPVTGECTGGKMQSATFWLGSVGFIIMCYGLMKEIKGSMIYGILFVTLISWIRNTAVTVFPNTPSGNSSYEYFKKVVDFHKIESTAGALDFTHFNSGEVWIALVTLLYIDVLASTGTLYTMAEIGGFVNEDGEFEGEYTAYMVDAGSTIVASTLGVSPVATFVESSAGIREGGRTGITAIVVGFYFLLSLFFTPLIASVPPWAIGPSLVIVGVLMMKVVKDIDWNNIKHAVPAFVTMVLMPLTYSIANGIIGGIGVYIALSLYDNMVSWVKWLMRMKKMVVKEQNQVSATADQSIEVV >Solyc10g047774.1.1 pep chromosome:SL3.0:10:42402529:42403512:-1 gene:Solyc10g047774.1 transcript:Solyc10g047774.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFTSLSYFVLIIPFVTSPSFNFDSFNSNNQNITYEADAYSENGVIKLTKNGVNLSIGRVTDFSMHFSFGINSHGRNNYVDGLTLFLAPEGSVIPGKLFAAVEGLGLASSNKKCSWRNHHFVIVKFYIYTNYYDPRGDHVGINVKSITVLQNLSYNIHLREHLPEWVTFVFSGFNSSFKYNDNIKHPNKSRLVIRLISTGCVSIAVSVFILFAFWRKRKVREDEYIIDVFYREFV >Solyc01g091480.3.1 pep chromosome:SL3.0:1:84981981:84992580:-1 gene:Solyc01g091480.3 transcript:Solyc01g091480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGGYRNGTQKAPALRTSSSFKSKLPPAASSNGRRSSTTDAVYGRVRVAVRLRPRNAEELAADADFADCVELQPEVLKRLKLRKNNWDSDTYEFDEVFTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGDEDVSARGIMVRSMEDILANICLETDSVSVSYLQLYMETIQDLLNPANDNIPIVEDQKTGDVSLPGATVVEVRDQQSFLELLRVGEAHRYAANTKLNTESSRSHAILLVQIKKSVPGREADFSAETDHSSHLTTNYKPPMLRKGKLVLVDLAGSERVNKSGSEGHMLEEAKSINLSLSALGKCINALAENSAHVPVRDSKLTRLLKDSFGGTSRTSLVITVGPSPRHRAETASTILFGQRAMKVENMLKIKEEFDYKSLSKRLEVQVDKLISENERQQKAFETEVERIRLEAQNHVIEAERNYAEALKEEKMKCQMEYMDSIKKLEEKWSHNQQKPTNNTRTDGTSITEMLKLLLVQEVSELKMLLQNEMQTRKAAEEEIYKLKDQLHMLTKPGSAGGNSDILNLQSMLEEEIRQKKRLEEEVIVLRSQFSQLTMEAGQRTSYLDRSRNGTGLPGLDSLSPLRNLHCKDATNGERSSITNLHEQVGLHKILSLLESEDATVRIHAVKVVANLAAEEANQEKIVEAGGLNSLLMLLSNSVDETIRRIAAGAIANLAMSEANQELIMTQGGIALLAVTAADAEDPQTLRMVAGAIANLCGNDKLQTRLRSEGGIKALLGMVRCRHPDVLSQVARGIANFAKCESRASAQGQKAGRSSLIEDGALTWIVQNSNNEASMIRRHVELALCHLAQHEVNAKDMISGGALWELIRISRDCSRDDIRSLARRTLTSSLTFQAEMRRLRIEL >Solyc10g050570.1.1 pep chromosome:SL3.0:10:50013944:50014805:-1 gene:Solyc10g050570.1 transcript:Solyc10g050570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSSPTFQFKLTILLPDPFSQQPTEIAPNPFLIFFSSYIPLTQPNRLPLRPSSVNNCKSDRMMTDNDKLQRCNACKTVPMFSNVNRAAHMDPLSATNSNMQLFACKNFPCSCVCLSIWLWDNDMQQLEDKPEESEPSMLLLRQDPMELGHSLGITSWW >Solyc02g070260.3.1 pep chromosome:SL3.0:2:40587924:40615883:1 gene:Solyc02g070260.3 transcript:Solyc02g070260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDSVLKSNEDSVEENQSPEIIEKPSPLQDLVEHPQSSESLKQSSAESVKKSRTVRPSLTTQNGATNAGTVKKRTGIPDGTDFTLRGVKSSLTKSTVSSTSRISGTTPVTRRSSTGGLPDKQPIAVTKRASGSVASGTAKKTNSLATDPMRRSLPEMRKSTLPSTSTRTTTRSSISEIRRSVPLSPLAKTPRASVSSDASKEESVKKTSAKLSSPSLSSARRSASTSLESTASSGSTRKFSTKLSSPAAQSPSVSTKAGSLTKSFNRSSSSLSRKKGGTPEGRDSRLIMLPQVEIKAGDDVRLDLRGHKIHSLNDGGLNLSPTLEFVYLRDNLLSVLDGIEILTRVKVLDLSFNDFKGPGFEPLENCKALQQLYLAGNQITSLKSLPELPNLEFLSVAQNKLKSLSMSSQPRLQVLAASKNKISTLKGFPYLPSLEHLRVEENPILRLPHLEAASILLVGPTLKKFNDRDLSREEIALAKRYPSHTPVCIRGGWEFCRPEQAVDSTFRFLLEQWKEQLPQGFLLKEAFIDHPFEEDACYCHFNFVKDESESTDSDIDLKYQWFIGERTPSNFIEIHGATREFYWPKHEDIGRILKVECTPKLGETEYPTIFAISSPVSPGTGHPKVLKIEVCGDLLEGNIIRGRAEIAWCGGTPGRSISSWLRKTWSSNPVVIVGAEEEEYQLMLDDVGSCLMFMYTPMTEEGAKGEPQYAITDYVKAELLNDILHRVFLHVKMNAITKLEFSVAAPPSVGDVQISGDVVEGNTIRGIGRYFGGKEGPSKFEWLREDKDTGDFVLVSSGMNEYTLTKEDVGCCLAFVYVPVNFQGQEGKSVSLVSQKVKQAPPKVTNLKIIGELKEGSKITVTGIVTGGIEGASRVQWFKTSSSTFEGESYLDALSTSKIAKAFRIPLGAVGYYIVAKFTPMTPDGEAGEPVFVISERAAETLPPNLNFLSLTGDYAEGGIMTASYGYIGGHEGKSIYNWYLHEVENGLGAMIPEFSGLLQYRIAKDAIGKFISFKCTPVRDDGTVGEPKTCIGQERIRPGTPRLLSLRIAGTAVEGTTLRIEKKYWGGEEGNSIYRWFRTSSSGTNIEVNDEMTSSYKLSIHDIGYFISVSCEPVRNDWARGPIVISEQVGPIVPGPPTCHSLEFQGSLVEGERVSFVASYSGGYRYILKLSILNLKFLDLTLEDVSNCIELIYTPIRKDTLKGSCRSILSCPVAPGDPIGVELSIPKCCEGETIVPNQRYFGGKEGDSEYVWYRSKNKLHESALLNLPSITEDVHICARTLSYTPSLEDVGAYLSLYWLPIRIDGKSGNPLASVCESPVSPAFPVVSNVHAKELSSSSYLGEGEYFGGHEGTSLFSWYRETDEGTITLINGACSKTYEVVDEDYSCRLLFGYTPVRSDSIIGEHQLSEPTHVILPDIPRIETVALTGKAVEGDILTAVEIIPKSEIQERVWAKYRKDIKYTWFISTETGNNKSFEPLPSQRSCSYRLRFEDIGRSLRCECIVSDVFGRSSDPVYAETPSVSPGIPRMDKLDIEGRGFHTNLYAVRGVYSGGKEGKSKIQWLRSMVGSPDLISIPGETGRMYEANVDDVGYRLVVIYTPVREDGVEGHPVSASTDPIAIEPDVLKEVKQKLETGSVKFEALCDKDQSTKKVPAMGNLERRILEVNKKRVKVVKPGSKTSFPTTEVRGTYAPPFHVELFRNDQHRLRIVVDSESEVDLLVQTRHLRDIVVLVIRGLAQRFNSTSLNSLLKIEN >Solyc01g099290.3.1 pep chromosome:SL3.0:1:89446509:89453220:-1 gene:Solyc01g099290.3 transcript:Solyc01g099290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAKRLDESLKTLEEGITKVKLIMDGYPASKLVTSEEYMRYYDCVYRSCIQPPPHDLSAELLQKYHAVLDESIHFKVLPFLKDKSGTSLLAEFLRVWANYKAMVKCLGGFFLYLDRKCSDQKSSAPLKEVALSCFQNRVCCDLLPKLFDAALLLISQDRREEPIDRSLLQGLSTFFWENGGPQKGTYYYMFEEKLLADTNSCYSRFASEWLFSYSSADYILKVERCLNDERGRLSQYLYPSSVEKLLQVVHLKLSGETRSQLIEKQKAENLNSTKYQELLSRCSNLSIG >Solyc12g044183.1.1 pep chromosome:SL3.0:12:59891088:59891687:1 gene:Solyc12g044183.1 transcript:Solyc12g044183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKRIWVSDEVVGSRPQILELSCNSFNTLLELSPRERTYSLFFNRWQIQSANGVVSVTSKAMLLS >Solyc01g111830.3.1.1 pep chromosome:SL3.0:1:97908275:97909351:-1 gene:Solyc01g111830.3 transcript:Solyc01g111830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Methyltransferase [Source:UniProtKB/TrEMBL;Acc:K4B445] MDSLTLICTVALVAAGGLYWFVCFFGSAEVKGKRAVQLTGGSIDKENVQDNYKQYWSFFRRPKEIETADKVPVFVDTFYNLVTDIYEWGWGQSFHFSPSLPGKSNREATRIHEEMAVDLLGVKPGARILDAGCGVGGPMRAIAAHSKANVVGITINEYQVKRARMHNKKAGLDSLCEVVCGNFLQMPFADNSFDGVYSIEATCHAPKLEEVYQEIYRVLKPGSLYVSYEWVTTELYKAEDPEHVEIIHGIERGDALPGLRTYKDIAEIAKKVGFEVVKEKDLAKPPSHPWWTRLKMGRVAYWRNHILVTILAFLGIAPKGTVDVHEMLFVTADYLAQGGEKGIFSPMHMILCRKPAAE >Solyc08g029205.1.1 pep chromosome:SL3.0:8:37953555:37954903:1 gene:Solyc08g029205.1 transcript:Solyc08g029205.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMAACITNCLLEWGLDNVFTITIDKASSNDVTVKEMSKKLSNWGTNSMDGEHFHVRCMAHILNLIVQDGLKETCKSIRLVRQAVKYIKQSPARLRKFKECCESELITCKKSLCLDVPTRWNSTYSMLDISQHFELVFERYSFYDIGYLNHLHTFGSDSSENKDGTSVEDGTSVEDKFCVEDGTTANILSSVDWKNVWSMLDYVPFAIVDMFGKEVGQKLCSEVKKYMNKLFEYYVKKSPKSSLHVPSSPTSSDNSSSISSVSGCGNFVNRGRLRTKQQFEKHKEVSGSSGNKLELERYLAEDIDPDSDDFDILMWWKVNEPRFHILAEMVRDVLAIPISSVASECAFSTGGRVLDPFRSSLTPKIVQSLICVQDWLRS >Solyc07g065640.3.1 pep chromosome:SL3.0:7:67467174:67471944:1 gene:Solyc07g065640.3 transcript:Solyc07g065640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSEKGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPDSPSKTDGNRKEGEEPLKPQTKKWFCCMQSPHAES >Solyc12g040743.1.1.1 pep chromosome:SL3.0:12:55965229:55965777:1 gene:Solyc12g040743.1 transcript:Solyc12g040743.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTVGAAKLAKQSGNQSQLCMIQVMPMGSEKVKAHETDNVQDMKGDPSLLGLLSDFKKLFEEHACLPPSRGFFDHRIVLKAGTEPMNKSPYRYPSVKKDVIEGLVQQMLDQGIIQPSYSPFASPVVLVGKKDGSWRLCVDYRNLNKFFVKNKFPIPIVEDLLDELGGSKIFSKIDGGLDITN >Solyc11g012880.2.1 pep chromosome:SL3.0:11:5664218:5667539:1 gene:Solyc11g012880.2 transcript:Solyc11g012880.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENVLCQWSVVRSIFAILQWWGFNVAVIIMNKWIFQKLVFKFPLTVSCVHFICSAIGAYLVIKVLKLKPLIVVEPTDQWRRIFPMSFVFCINIVLGNVSLRYIPVSFMQTVKSFTPATTVILQWLVWRKSFEWRIWTSLIPIVGGILLTSITELSFNTLGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPYATMILALPSLLFEGAGVVEWLYTFPSVVPSMLLIFLSGVLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVTFSWMIFQNPISAMNALGCGVTLVGCTFYGYVRHILSQHARESPRTPENKMESVPLIHEKEQDQR >Solyc03g116230.3.1 pep chromosome:SL3.0:3:67208366:67209342:-1 gene:Solyc03g116230.3 transcript:Solyc03g116230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETAISVLGLLSLFLLEVVSANEIINGTFVLEGINKNASGGVFANGDECGMQANHRSKCPSGMCCSIWGWCGTTSEYCGSGFCQNQCTGPSPHGSCGMQGGGTKCPSGQCCSLLGWCGTGSDFCKPEICQSQCSVCPRGQCCSVDGWCGTTTDYCASGLCQSQCPFTPPPSPPPPSPPPSQYQCGMQNGGTKCNRTGECCGISGMCGNTYEYCFPGYCQMQCPGPYPEGRCGWQADGKSCPTGQCCGNAGWCGIGPGFCDPIFCQSQCSGAPISTAKRDGGV >Solyc06g060210.2.1 pep chromosome:SL3.0:6:38281964:38297084:1 gene:Solyc06g060210.2 transcript:Solyc06g060210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQPLSSSVLPFVLHSTIELNVFEILAKANDIQLSSSQIVSKMPCNNPIDTVNMLDRMLYLLSTYFLLTCSLNNNNNVRLYGLHQWEICLFGLKVAILEGGLPFERIHGVNTFEYFEMDHTYSGVFNKAMFNHTTLMMKKILDKYKGFENIKTLVDVGGGLASNLKMITTKYPTIKGTNFDVPHVVQHAPTYKETGVGKTCLLYQLTSARFKPVYEVTIGAEFGSRTITVDQKPIKLQIWDTAGQEKFRSLTRYFYRGAVGALLVYDVTKRQTFQQLPNWLEDLRKHGDEKLTVMVVGNKCDLDEETRAVSKEEGEEFAKRNKCLFMEVSARTAKNVEAAFGSAAEEICEKIGKGDFGTVEGYKGITIGNWRGKRVEHVGGDMFESVPKGDAIFMKWILHDWTDSQCVKLLKKCYDSTPSKNGKVIVVEAILPVQPDPRHTSVVISQTDLIMLAQTSGGKERSKNEFQFLANQAGFNGISFICTFGSWNSTSRSTLFTI >Solyc11g030585.1.1 pep chromosome:SL3.0:11:22309868:22312828:1 gene:Solyc11g030585.1 transcript:Solyc11g030585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTHSESVKPFEDIEHPHVLEDKHRDASKAIDQAFLVESVGTSNPKQKKKSNKAWKKKGVPYSSETGSLMYAMLCTHPNICYAVGLVSHYQSNLGQAHWEVVKRILRYLRGTVDYKLCYLGSDLQLMGYSDADGV >Solyc02g065640.3.1 pep chromosome:SL3.0:2:37366777:37368258:1 gene:Solyc02g065640.3 transcript:Solyc02g065640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNSQNISYQAGQAKGQAQEKGNQMMEQANNAAQSAKETMQEAGQQMKATAQGAADAVKNATGMNK >Solyc01g049650.3.1 pep chromosome:SL3.0:1:45564646:45566952:1 gene:Solyc01g049650.3 transcript:Solyc01g049650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RSRQVRTPYVSFLLFHRLFYYSFSHQYYYFSFVSFLLAMAIENNNNVKRPKTKIVCTLGPESRSVFMVEKLLRAGMNVARFNFSHGSHDYHQETIDNLRQAMENTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEITVSTDYSIKGDESTICMSYKKLAEDVKPQSVILCADGTITFTVLSCDKQRGLVRCRCENTALLGERKNVNLPGVIVDLPTLTDKDKDDILNWGVPNHIDMIALSFVRKGSDLVEVRKLLGEHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPDLAVGTMARICIEAESTIDYPDVFKRIMANAPVPMSPLESLASSAVRTANSAKAALILVLTRGGSTAKLVAKYRPGMPILSVVVPEIKTDSFDWTCSDESPARHSLIFRGLVPVLHAGSARASHEESTEEALYFALQHAKTKGLCKEGDSVVALHRVGTASVIKIVTVK >Solyc05g018520.3.1 pep chromosome:SL3.0:5:22145516:22162053:-1 gene:Solyc05g018520.3 transcript:Solyc05g018520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NADH-cytochrome b5 reductase [Source:UniProtKB/TrEMBL;Acc:K4BZA6] MAALLRKLAKAAPIAFRGDSKSNFADPRFPFGAIAAVAGCVSYYYCHSSANLVYLEQISEDSGKKVALNPDKWIEFKLQDRAPVSHNSHLFRFSFDPSSKLGLDIASCILTRAPMGENAEGKPKYAIRPYTPISDPEAKGYFDLLIKVYPEGKMSQHFAKLKPGDILQVKGPIEKLHYSSNMKKHIGMIAGGSGITPMLQVIEAILKNPDDNTQVSLVYANLSPDDILLKKKLDVLAATHPNLKVFYTVDNPTNDWRGGIGYVSKNMIVKGLPPPGDDTLILVCGPPGMIRHLSGEKPNPREQGELTGLLKDVGFTENMVYKF >Solyc09g089970.1.1.1 pep chromosome:SL3.0:9:70046003:70046389:1 gene:Solyc09g089970.1 transcript:Solyc09g089970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSLHLLPSILFGLLFLSAPTLSRNIIFLDDTPIAPSPSDDTTTSISPLGSGDIIILPSPQDSPIDEPVIPPSTGEIIISPSPQDLPVDAPVSPPSTGEDQSTPTPSPEVSSDAFQYIDDSFSFLML >Solyc06g051665.1.1 pep chromosome:SL3.0:6:35299219:35300085:-1 gene:Solyc06g051665.1 transcript:Solyc06g051665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMTNKDEDDAIIGAEAISVLAFRVANNVAYKNQSSISREPYTNKDQEREFYMNSILNGSDVHCIGQIRMSKHVFYELCNALRRNNLLSSSKNMSIQEQVLIFLEIDGFNERFRMIGSQFYKSIESIHRCFDTVLQAVLKLYTILIKPPNGTIDGTHVLASVPIEQQSRFCGQKGTTTQNVLAAINFNLKFTYVLDGWGKSAHDSHILNDALKRPNGFQILQAILFNLK >Solyc08g075570.3.1 pep chromosome:SL3.0:8:59854792:59858311:1 gene:Solyc08g075570.3 transcript:Solyc08g075570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCPPFQFSSKYYSVSGNDCVRQSSFFGGKPVLNQGVGYSVILGFGAFFAIFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWEYGISGPFWYASGATIQVLLFGVIAIEIKRKAPYAHTVCEIVKARWGTAAHLVFLGFCFLTNIIVTAMLLLGGSAVVNALTGVNIYAASFLTPLGVVVYTLAGGLKATFLASYIHSVIGILFKLFESALLFFTRSSKRHLWLLISVHVVLVIFVYLVYAASSELGSPNIVYRRLLEVASKSRSCQEPISHVGQSCGPATGNFKGSYVTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITASEASHGLVPPATAIALMGKAGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPEASGKQILKVSRGVVLGFGCFMGILAVILNKAGVSLGWMYLAMGVFIGSAVLPIAFMLLWRKANSFGAILGTVIGCLLGIITWLSVTKIEYGRIDLDTTGRNAPMLAGNLVSILTGGAIHAVCSFLRPQNYDWESTKQITVVEKEKSEVPPEEFREEKLNSAKAWIIKWGIGFTFVIVILWPILTLPVGQFSKGYFTFWAIISIVWGTVGSAVIIALPLMESWRTIQSVLNGMFTNDRVMGKLEDLNSKLNAFIVAMPEVERVYLLEKERSKKKEVAESDQIVASPSH >Solyc03g025970.3.1 pep chromosome:SL3.0:3:3420611:3426496:1 gene:Solyc03g025970.3 transcript:Solyc03g025970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKRGLPNWVPDGWKVEVRTRKGKKEKWYIDPSKGLKFCSKADVLHYLSSVDSNCSKSSNTKEMENKGTNKCFIEKTASEGLPPGWIKELKVRKKGRKIRKDPYYIDPVSGQTFRSLKQVSRFLETREPGRFESKPDDKCPDTLELEEPSSLFPAEADEQISLDCDASCKEVNSNQKLKLGAEHMAHSSCVEGSMSSCEGLGDAVAENAAEEKIDKVVSDPAIEEHREKDDDGEVVSNTVSGGHQEKEDIGKVILATASGEHQEEDDDGKVISATASGEHQEKEDDGKVVSKTVNGENQDKPSLDGVEKHDQKTFQRKRKKGMNMPSRASKRLAGIKADTSLKLQTNDQTQLTSVRQQEGTQAATSDNPLNFTNTSKHVASTVTGITINKKVETGNLTIKRKQHLVTSPQTEGEKKPSPAEPAKTVLASCDVDKKGETALESSLSDLWTDPCIEFAIKTLTGTIPVINEKKLDEIPGSSSSKPSVNTPSSVGLPSDEIWADPCFMFAVKTLTGEIPIGDELCSQKIVQQQCTSSPNIRFEEFGQANLPRYAAPEMSSYKQQPAVAPNAYQNRWFAKFGSHRPSPLC >Solyc03g043700.3.1 pep chromosome:SL3.0:3:7240471:7244083:-1 gene:Solyc03g043700.3 transcript:Solyc03g043700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCAIVEKTLYLIQSDNPILKLQGARDIRRLTKSCLRYRRYFSDAIKPLVDMLRHSESVEYNEAALLALLNLSVKDEGNKKSIIDAGALEPIIGFLQSEKASLQEHATASLLTLSASSVTKPILTSFGVIPLLVDILRCGSPQAKVDSISTLYNLSTSQGNLTLILQTEPVSSIVTLLKSCKKSSKTAEKCTALLEPLMSYDECRKALTSEEGGILAIVEVLEIGSLQSQEHALGALLTMCQSDRCKYRELILREGVVPGLLELTVKGTAKSKEKAQTLLRLLRDAPYERSQLQPDTLENIVSNLISQIDGKEQTGKARGLLAEVIQVSMDQSLRRLHQRPMVCTPVDLSIAGGASTISST >Solyc10g051095.1.1 pep chromosome:SL3.0:10:51433133:51440417:-1 gene:Solyc10g051095.1 transcript:Solyc10g051095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELRCLQTLNIPATALAEKPFLCGHPITLIQTSTKRGPNSKNLKTLRFRTQISAKISSLEPQGTAKDVKSKDANLVFVAGATGRVGSRTVRELLKLGFKVRAGVRSAQRAQPLVKSVEQIKLENATDGGAKAIEKLEIVECDLEKSYQIRPALGDASIVICCIGASEKEIFDVTGPYRIDYLATKNLIDAATVAKVDHFILLTSLGTNKVGFPAAILNLFWGVLLWKRKAEEALLASGLPYTIVRPGGMERPTDSFKETHNITLSEEDTLFGGLVSNLQVAELMAVMAKNRSLSYCKVVEVVAETTAPLTPMEDLLAKIPPQRVEVSPPKETGDLQKSATPTSITSEIPDALLEKKPSETKLKAAAPLSPYTAYAELKPPTSPSPIPPGGHQAGASGAEDSKPTKKASSVVDYAFDKAELLTTRPSSPYAAYEDLKPPTSPSPNPPGGRQEGSSGAEDGEPTKAVSSVVDSTFDKVELLTTRPLSPYTAYEDLKPPTSPSPIPPGGRQEGATGAEDSEHTKAASSVVDSTFDKAELLTTSYEDLKPPTSPSTNPPGGRQEGASGAENTKPTKEASSVYLFTFDKAELVTTRPLSPYTAYEDLKPPRSPSPRPSGLKSSATAEVTSAVTGGNDVAIDSANNFSKEDSSKSSAFCHSPYPAYEDFKPPSSPTPSFNKM >Solyc01g102675.1.1 pep chromosome:SL3.0:1:91291977:91295293:1 gene:Solyc01g102675.1 transcript:Solyc01g102675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKSESDMGFGYLVKVLDFYQETFFLLACSYCWLEESGVKVKDNLLDIRKALFTRLALEYSSFSFQTKGWGFGCSRKRFCYAGNGFKKSCLPPDFSTALFALKSSWNNLPPNWEGSDPCGSSWAGLICDNSRVTSMDLSNNVGLKGTLPPSIGNLKNLTTLILVGCSFFGPIPESIGSLQQLVFISLTSNSFTGPIPPSIGNLSKLSWLDLSDNKINGTIPISQGSALGLDSLVNTRHLYVALILVFFSSTVVV >Solyc12g088370.2.1 pep chromosome:SL3.0:12:64760666:64766273:1 gene:Solyc12g088370.2 transcript:Solyc12g088370.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKSSQRKNVVMLDNDDTDSVSSSSTSRSEMIVSGAEEVHVDKETLLDQCVDALYEKRGSTREKALASIIETFNSDIQHEFVEKKFATLLQQCLNSIKRGSSKEITLASHVLGLLALTAGLGDKAHEMLEESVSPITEALKSRSDASKISSLLECLAIITFVGGEEPEETEKSMQLMWQVIHPKIGPNVATAKPSPPMITAMVSAWSFLLTTVGGSALNPKSWQGSISYFSTLLDKDDRAVRIAAGEALALIFEVGSLEKFSVEDKGSSDSSTGEANKSKDILHIQGLRAKVLNQVRSLSEEAGGKGSAKKDLNSQRNTFRDILEFLEEGYTPESSMKIGGDLLTTTTWCQQIQLNFLKHFLGGGFVKHMQENEFLHTVFGFTPKKKMLSGIEHRISGTEKRFYKSPNSVANKARTQFRNKQRMLTQDKNVGYYTAGNDI >Solyc03g120280.1.1.1 pep chromosome:SL3.0:3:70212280:70213629:1 gene:Solyc03g120280.1 transcript:Solyc03g120280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDAENSLQPSKKRAAIKELSRDNPGLDDDENEAELETGSFKKASEEVMASRRIVKVRRSQTTSSTATPSANPFAAIRLVPPTESSIPSTVITSEVESGITSSGKPEDKNDIGEATRKETNDVCKEELKESDQISKPSEGNVDESNVVKEKVETPNEADKPESAEEKVADDEKVQAETKEGTVVEKSENDSKKDVEVEKTKNEEQNDAGGEKSEKGAETASFSSFQQLSSGQNAFTGFSGTGFSSTTFSFGGISKEGSSLGFGSESGAGSLFGAKSDQSPFGLNLPTNGSTSLFGNSGSSLVNKSEGTGFPSKEEVTVETGEENEKPVFAADSVLFEYLNGGWKERGKGELKVNVSTTGEGKGRLVMRTKGNYRLILNASLFPEMKLANMDKRGVTFACLNSAADGKGLSTIALKFKDASIVEDFRAAVVEHKGTTTGSLKTPENSPKA >Solyc03g113050.1.1 pep chromosome:SL3.0:3:64834429:64836347:1 gene:Solyc03g113050.1 transcript:Solyc03g113050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWDTESYREYKDLIFIPFFALFFPILRFILDRLVFEALAKRMICGKDAKVIKNGSSIKRKKMNKFKESAWKFMYFLSAEIFALYVTYNEPWFTNTRYYWTGPGDQVWPDLKMKLKLKGWTMYAGGFYLYSIFALIYWETRRSDFAAHMIHHITSVSLILLSYIFGMARAGSMAALIHDGSDVLMEIAKMSLYSGFHSVADISFALFALSWLLLRLIYFPFFIIYSTSYEVLFIVDKEKQQNINGIILYFVINSMLICLLLLHIYWWTLICRVIINILKKGQFDDVRSDSESESDEDEHKQS >Solyc11g069200.1.1 pep chromosome:SL3.0:11:54134694:54135037:-1 gene:Solyc11g069200.1 transcript:Solyc11g069200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYFYRLKLESLLVKKKMSMNSHDSFFYLLIYCYISIIPAQLVLTFLLICFGYNN >Solyc09g015290.1.1.1 pep chromosome:SL3.0:9:8504569:8504811:1 gene:Solyc09g015290.1 transcript:Solyc09g015290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYCPERGGLNDYLFSEIAEVKILVDRDPVKTSFEVWATSSHFSRKIAKGPDTTTWIWNLHADAHDFDFQITISLVNNKRN >Solyc03g078840.1.1.1 pep chromosome:SL3.0:3:53006550:53006768:1 gene:Solyc03g078840.1 transcript:Solyc03g078840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLMQMVPLLMKEEIKEEYEPKVVSFGPYHHGKEKLKLAEDFKPIAVQMFIEDERNEADFMATILGMLEVVT >Solyc05g006420.3.1 pep chromosome:SL3.0:5:1055728:1059261:1 gene:Solyc05g006420.3 transcript:Solyc05g006420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARNGMFSRRRRAEKVEEYDELLPLTEATHEVHVLAVDDSLVDRIVIERLLKNTSCKVTTVDSGMRALKYLGLDEEESSVSIDGLKVDLIITDYCMPGMTGYDLLKKIKGSSFREVPVVIMSSENVLARIDRCLEEGAEDFLLKPVKLADVKRLKSYMFNEDRFRGEEKGMNKRKLPESSSDDSSTPTLSPSPSSLDLSSTPSPPSTSSPSSPKAFSSSLSTDSPTHSTDSSMPCSPTSPTRRLKMSSQDL >Solyc04g051077.1.1 pep chromosome:SL3.0:4:49396923:49397256:-1 gene:Solyc04g051077.1 transcript:Solyc04g051077.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNFAPNQETVVDMVKDMSSPRISTHVSGYLNMPSANCGSGLSKGLNNLLSSNRLVHSSPKLGSNSAKGGVVELKLNANVVIC >Solyc12g008450.2.1 pep chromosome:SL3.0:12:1870732:1874884:-1 gene:Solyc12g008450.2 transcript:Solyc12g008450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENLENLNTYKASRIIKRSENTLYNALRSIYEDSIFVGEIAQLWPELPLLANLRCGLWYSMKFHSTCYFKSTDGHTNNWSFNTSRLNLHVALLAGQKGGCMIVDSTRKGKRFPDSMSKTIPIWTCVLNRAIRNYRTRFGLNGSAEKNESNDCAECGNTINEDSYNWDCSLHLPLWVSNTERVLIEERLDGWTKDLEVSGADIASIALSLKKPLRPLWISQKTVIWLNEVPDCDSWDFTPIILVSTSSPSSNLQQRTTSEFSWRYIAGAGDDEESWARGLSPSLFWKHAYDLINSGPDTCNKTVADIVEKDRVYRAQRGENAPQISIKPSKSSSNSGHLPAQEQLGLEPLHMNIDEKIYDKNSISWLGETNLAVGTTQLAKDASGVDCVLSCDLETNSFSFKESGAHLNLSIVSSKFDRSSLLRNLPSAVNFAKNNLRKGKKLLVCCNSGEDISICVCLAILMSLFDDKGNFDEGRFFNETRINKLELRRRLVFICQFAVNARPSRGNVKQVFSYLCGGNVAITS >Solyc09g091310.1.1.1 pep chromosome:SL3.0:9:71066172:71066630:1 gene:Solyc09g091310.1 transcript:Solyc09g091310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSFIKIFFLLLLITPNLDLSLAKKCSFFTPKYEIHVINKLRINNPQLRVHCASKDDEIADKYVAIDEDLHWSFCESFVLKTLYFCHFWWGPKNTSITVFDDITFCIHHGKYENLLRYCKWEVREDGFYLEQYNTTAGNKTYFMDHESDWS >Solyc09g007970.2.1.1 pep chromosome:SL3.0:9:1453555:1453875:-1 gene:Solyc09g007970.2 transcript:Solyc09g007970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGKVIPSSACCDKWTMWPFEKEEKSIPRDVPKGHVVVYVGKYQKRFVIKITLLKHPLFKALLDQAQEVYEFTADSKLWIPCDENIFNSVIRCATLPENRRISICF >Solyc03g013460.1.1 pep chromosome:SL3.0:3:45902340:45902684:-1 gene:Solyc03g013460.1 transcript:Solyc03g013460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 3 [Source:UniProtKB/TrEMBL;Acc:K4BER7] MGSSFYTRGEGKTSSLCLSIIFKIICGIPQYLFHQTKKHHIGFEAAAWYWYFVDVVRLFLFVSINW >Solyc04g081320.3.1 pep chromosome:SL3.0:4:65434362:65442003:-1 gene:Solyc04g081320.3 transcript:Solyc04g081320.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHQNIKPMVELGLLLLLQRQRPGETAMESALLSASRFTTALHKAEREVVGGPVAVRKLQSSFYGKEINSHTLFTKNFKKINLTITSVMRKKLIKKETVVPDPDYRIPIVLLGLSGGLFYVENLPAAVPIGLLGLLLLFQTTRVRFVFDDEALEVKVGEQLEESGENVFVGGKNRWNSPLKLPTLSSSFFTQKFHQTCRRRNSYPCIKAVDLDQNTVIAITVGVLSVAIGVGIPVFYETQIDNAAKRENTQPCFPCTGTGAHTAFVIGKCSNPGPKVVLSAVVFLIIEESDEEKEKCRFCMGTGSVTVELGGGETEVSRCINCDGAGGLTCTTCQGSGIQPRYLDRREFKDDD >Solyc07g021110.1.1 pep chromosome:SL3.0:7:16025313:16025965:-1 gene:Solyc07g021110.1 transcript:Solyc07g021110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALGQSMIRDFSMKKYESKIEERQGEEALDLHEDLFNHIVWIIPLALYFWIYIYKRWFIKNTQERHIEILKNRQRLLRTNSSFSNGSFHSNTLSESSQYLSNLFLLNRTLLDLEEIRTSRIRESFLH >Solyc09g082230.1.1.1 pep chromosome:SL3.0:9:68472024:68472578:1 gene:Solyc09g082230.1 transcript:Solyc09g082230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSDTSSNLENLKLSNKEDDIITLRLLDLTDVDDFMEWFGDDNVSKFCSWDTFKSKEDAVSYVIDVAIPHPWFKAICLNGKPIGTISVSPFYGTNKCRGELGYVIASKYWGKGIATKAVKMAVSAIFVDWPHLERLEAVVDVDNPGSQRVLEKVGFRKEGVLRKYYLLKGKTKDIVMFSILSGD >Solyc05g023735.1.1 pep chromosome:SL3.0:5:29148391:29148926:1 gene:Solyc05g023735.1 transcript:Solyc05g023735.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKELIINITSTYCVVGATVTHTGADAATTPAAGEKKVLKLEENAFMGDTWKLKVGGFGKLGLLWIERVELET >Solyc06g074330.3.1 pep chromosome:SL3.0:6:46093959:46102008:-1 gene:Solyc06g074330.3 transcript:Solyc06g074330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLRIVIGFIVLLWITFMEIHLKRITMQILSLVRLNRCNKKTQDGCKLQSSSKRIQLKDGRYLAYRERGVPLDKSIYRIITVHGLHSAKEVDVLASQEVLEEMSIYMVQYDRAGYWESDQNMKRSVESEGCDIEELADQLQIGSKFYLISNSAGCYPTWNCLRRIPHRIKGVAFMAPMINYKWKSLPPDLIKYDNTNKLVRIIYWFCCHYPQLLYSIFIQQFDGVPLCTASLFTDKDKQDEQSDNMKRYPTQNAFEYSVLQDFRVGLGNWEFDPLEMENPFPQNESSVHIWHGKMDDVVTSTLQRYVCERLPWIHYHEVPDVPHDFWKAGPVGEPILRRLMVFKKLVLMMMGCLGCAGQPTEPSTEKTESKSLINESVVVTSPRVKLGDGRYLAYRERGVPKNISKFRIIIVHGFGSSKEMSFMASDELLDELGIYLLIFDRAGYGESDINSKRSLKSEASDIEELADLLELGSRFYVIGVSLGCYPAWSCIKHIPGRLAGVALVVPFVNYKWHTLPKDLVKNDYRKQLSRWVIWITCYARGILHWWLTQKVFPSASVLDGNPQFFCERDLEVLKNTPGYQLFTQDGLKDRSIFDSLCSDVIVAFGKWDFNPLELTDPYPQNESSVHIWQGVKDKVVPVQLQRHVSQRLPWIRYHEVPDCGHLLVYETAVCEAVLTSLLLGEDPPLYKPKLADH >Solyc02g068020.1.1.1 pep chromosome:SL3.0:2:38644602:38644763:1 gene:Solyc02g068020.1 transcript:Solyc02g068020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCSCMHLMNSIKLFKNVFYLKLSQNRWIVHHHKNIDWFHKFFTIESIKNEE >Solyc04g080520.1.1.1 pep chromosome:SL3.0:4:64752965:64754806:1 gene:Solyc04g080520.1 transcript:Solyc04g080520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRRSTFRLRFPWSAASEPEPAAASKPATQPNTKSTGSSRTTRQGSRSRTSSQPSSSTRPKASPSLSPVNENSSPKQPSSSTKTTISGKPSSPPKTPSQPTTNTSPLPESSSVLPQSSSDKKTPTQSSTTKAPASPKTETQTPTSTTSQRPQSSAPSGTESQPLIPSQEQPASLSDVPTNSNVSQIPSPSPSRPAPQSQEMSPKSSPTRNGPQVLSTDQLTSKAASTASDQTSANPSDITSQMQPNDIISQPTSPPKQSHDSSEISPKSTETLPSNSEKEPMLTTVEPQSEQMELLKKEAISETSTEAKDKSPQKVKPSDSSRIITEPKTARPLEINIPETKEVKEVVQETTDKNYREQPDIVFQSKQAYTEKQASSDNDQIRVNCVSNGKQTRTISAEQDVPLNKEVKDNISKLINRMTVGDGKQKLEEGPVSVVTLAGDNRGASMQLSSNSSRKGKAVHIHRGYKLNADESTDATTDAEGTSKGKQTNDARTMKDQGLEAYMNCNVQGLNNSITFDSTIQGKNPGIHMIFPRMPSEPTKSCETTGLIEAHKSEYNVIRPQKHTYKPTIKRRCLEGLFVEPSDSDSDNPEKPRRHGCHVGRKKKRDNDIDTP >Solyc07g006395.1.1 pep chromosome:SL3.0:7:1218097:1218693:-1 gene:Solyc07g006395.1 transcript:Solyc07g006395.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSFFFLIICVVATLFSIALALPGTAYYTNDQYPPSACSVPTSPGSLIARIAYLGGSITCGDSLRVTCVGTSPPCTDKSVVVKVVDHCSTCRGVTMVLSHEAISVIANPISVKEVININYQK >Solyc09g059850.2.1.1 pep chromosome:SL3.0:9:56427367:56427717:1 gene:Solyc09g059850.2 transcript:Solyc09g059850.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VYIATSFFGFLLFGDHTLDDLLANFDGEIYGSLLNDVMRVSYVIPLMLVFPIVLFTLRLNIDGLFFPIQFLLPMIIAYSFQSQQLSSVSYFWEQIVYLTSGMPFSLLAPRILSLLV >Solyc06g007610.3.1 pep chromosome:SL3.0:6:1598853:1605233:-1 gene:Solyc06g007610.3 transcript:Solyc06g007610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEAHNGGGAEKEATKVAVVFTSVKPKLFVKAPKAIDAVQFYKNAFGAEEVGRVNNPKRKADQETPLILSVELKIGSFSFIVSDLTEEDSTAPLKTATTGSVFCLETENVISAEANAITAGAIAEIRVGDGNGDGARTGSKLIDPYGNVWLICTSVKESEIAEKKKLIWVPFNLKKSSSVEVLDDFKLICRMGVFDFTVIPILGDPHPPSSPSSKSCHNMMEKGLKSSLILAKETNKKKERISKSKATSSAAASMKFCVCAPPTHPDSFKCRLHRATTSAHPRTVSSSTSKKICLCAPATHPGSFKCRLHRATTSSHTGNFIACSSHKWRQRHQKLECLKTGHVFTKWRSKKF >Solyc09g092170.2.1 pep chromosome:SL3.0:9:71781448:71799442:-1 gene:Solyc09g092170.2 transcript:Solyc09g092170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4CWP2] MVEAMSRRKTLNFPLILTVLTIHFVIVAGEYFKPFNVTYDNRALIIGGKRRMLISAGIHYPRATPEMWPTLIARSKEGGADVIETYTFWNGHEPTRGQYNFEGRYDIVKFAKLVGSHGLFLFIRIGPYACAEWNFGGFPIWLRDIPGIEFRTDNAPFKEEMERYVKKIVDLMISESLFSWQGGPIILLQIENEYGNVESSFGPKGKLYMKWAAEMAVGLGAGVPWVMCRQTDAPEYIIDTCNAYYCDGFTPNSEKKPKIWTENWNGWFADWGERLPYRPSEDIAFAIARFFQRGGSLQNYYMYFGGTNFGRTAGGPTQITSYDYDAPLDEYGLLRQPKWGHLKDLHAAIKLCEPALVAADSPQYIKLGPKQEAHVYRGTSNNIGQYMSLNEGICAAFIANIDEHESATVKFYGQEFTLPPWSVSILPDCRNTAFNTAKVGAQTSIKTVGSDSVSVGNNSLFLQVITKSKLESFSQSWMTLKEPLGVWGDKNFTSKGILEHLNVTKDQSDYLWYLTRIYISDDDISFWEENDVSPTIDIDSMRDFVRIFVNGQLAGSVKGKWIKVVQPVKLVQGYNDILLLSETVGLQNYGAFLEKDGAGFKGQIKLTGCKSGDINLTTSLWTYQVGLRGEFLEVYDVNSTESAGWTEFPTGTTPSVFSWYKTKFDAPGGTDPVALDFSSMGKGQAWVNGHHVGRYWTLVAPNNGCGRTCDYRGAYHSDKCRTNCGEITQAWYHIPRSWLKTLNNVLVIFEETDKTPFDISISTRSTETICAQVSEKHYPPLHKWSHSEFDRKLSLMDKTPEMHLQCDEGHTISSIEFASYGSPNGSCQKFSQGKCHAANSLSVVSQACIGRTSCSIGISNGVFGDPCRHVVKSLAVQAKCSPPPDLSTSASS >Solyc09g090590.3.1 pep chromosome:SL3.0:9:70542587:70544687:1 gene:Solyc09g090590.3 transcript:Solyc09g090590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAETTITGGMDQRNSALTTEATLAPITIQHKVRTDLETSIPKPYMARGLVAPDMDHPNGTSGHSHNGMSVLQQHAAFFDQDDNGIVYPWETYSGLRQIGFNVIVSFIVAIMINSVLSYPTIPGWFPSPLLPIYIHNIHKGKHGSDSGTYDREGRQVNIRMNRFELLVFLPVHFENIFSKYARTVPDKLSFGELWNMTQANRDTYDFLGWIASKLEWGLLYLLARDEDGFLSKEAIRRCFDGSLFDYCKAQMGGDYAKQE >Solyc11g065060.1.1.1 pep chromosome:SL3.0:11:50657274:50657720:1 gene:Solyc11g065060.1 transcript:Solyc11g065060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHEVYIDRTTFIHQDSPFVCSKSNALFHQKYEKNNNFYIIFLSFSTIIKQSQLASGGGGRGGGSSRHGASGDGDGSSQGMAPQEPEGGVPSGMTPWGPWVGSGVSSRHGTSGTRGRWEVLEAWRLGAPRVGGLRGMTPRGEWRILES >Solyc06g084300.1.1.1 pep chromosome:SL3.0:6:49526133:49526324:-1 gene:Solyc06g084300.1 transcript:Solyc06g084300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNNSLKSTAVVFGALAFGWLAIELAFKPWLDKARASMDKSDPSRDPDDQSCKSQVDVDPNN >Solyc11g042560.1.1.1 pep chromosome:SL3.0:11:36293543:36294064:1 gene:Solyc11g042560.1 transcript:Solyc11g042560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENDQESKNNMDVYRGVRKRKWGKWVSEIREPGKKTRIWLGSYQKAEMAAAAYDVAAFHLKGERPNLRLNFPELIHTFPKPSSSRPEDVQMAAHEAAMRFKPSIDDHPEECGVGQVRVGLSPSQIQAINESPLDSPKMWMELAGALLPVREYTCPTDYFEDETPHHHESIWDF >Solyc06g024340.2.1.1 pep chromosome:SL3.0:6:11287967:11288110:-1 gene:Solyc06g024340.2 transcript:Solyc06g024340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding CGLRSDACARRPLAEPQQGPMAAKGTCRWLSCHDGSVAVTALKYNFH >Solyc02g014440.1.1.1 pep chromosome:SL3.0:2:17088444:17088737:-1 gene:Solyc02g014440.1 transcript:Solyc02g014440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGREIPKDWGKNEKINSFQNPKSLPKDRPQLTLCTNSRPNPIKSGFESDAEGSDHPIVLLKLRVLIYKHARMKDDMHLWRTTQKKGKGTLERRLT >Solyc06g059830.2.1 pep chromosome:SL3.0:6:37841171:37841994:-1 gene:Solyc06g059830.2 transcript:Solyc06g059830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTITIPTYSLLVLILISIYFALANADALCDDVNSNLLIPCQGFLMSGNDSPNTACCSGAQIIDKQFHESGCSDREAICLCLKNAAQTLPIDLQKAAKFPALCNLDYISIDPNVDCSNHMLDPIAICHNMFKT >Solyc11g018650.1.1.1 pep chromosome:SL3.0:11:8856653:8856880:-1 gene:Solyc11g018650.1 transcript:Solyc11g018650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFASFVGVNQHKQSILLGCALLTSEDIETYKFVFSTWLTAMSNAPPTTMLSNQCESIKAVIAELLSNTIHRYCI >Solyc09g061420.3.1 pep chromosome:SL3.0:9:59319683:59333678:-1 gene:Solyc09g061420.3 transcript:Solyc09g061420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGQVAFTSSFKLLDSGQLLKQQLFMPKSSPMLMHRGFCFGSCKISRFPSSSIWKVISPYLMKLERRSKMQSCKSCFCLASLVDADAIVTSEWVPTIDQMLLMTSIVLTYIAGVIPTEKNSPLDTGGKIQSGDVDPDRMTSLGSVRRNNDRISIEFAWDVVKEKLMNSLSSIKQVDLGAIEFEQNRGKQPSNLSALAQGPRLRLLWASFQLLKKEVDSISANAVTSGNDDSLGIFNDVIQRLCQPLCVIWLEEELSLRNGKTNTEYLSSAINNLNGYGVLTNIRKSGKEQLFAEFICVLSFGFLRKPGFYNDSLFMEHGVSILEDLVIILADGIASMYLELISVDSSISNEMNNLGLSLCTLSTRALQKLRNEAAMNQWLHQNMEAVVSMYEDRFDLYTFQHQLIEESSKSKVQNDNWWKKLRVMSSQPVLSQLSTILINQISIPVKRTKELRALTGWRYYYSLLLELADIAMPMIRTVISRLSDAISFFLVSLIGRSLGLIYTGIRQSLRWK >Solyc11g006635.1.1 pep chromosome:SL3.0:11:1241050:1241618:1 gene:Solyc11g006635.1 transcript:Solyc11g006635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIPESIGDIMTLKSIGISWCGSGVETSAKKIQQSLRNYELQVKISRNKELRKLFQEVDIIKY >Solyc08g023520.1.1.1 pep chromosome:SL3.0:8:27539872:27540072:-1 gene:Solyc08g023520.1 transcript:Solyc08g023520.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSRFYYYFYSYLLAGFYLSYNNYLMLISLTLFILLFYFYFCCQHDVNFAHNFDMLICILFVLKYV >Solyc06g034390.1.1.1 pep chromosome:SL3.0:6:24307705:24308745:-1 gene:Solyc06g034390.1 transcript:Solyc06g034390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQCHRTYFPATFYLLAGICLLLLTSPVNGWRPWPNQKPNTTDLLYSPSKKYEGSSDLIKLKYHMGPVLTANITVYPIWYGRWSKSQKRIIREFINSISAVDSKPPSVSGWWKTVQSYTDQTGANISGNVHIGAEKTDRSYSHGKSLTRLSIQSVIKSAVTASTTPPLPINSKSGVYLLLTCDDVYVENFCQDVCGFHYFTFPSIVGYTLPYAWVGNSGKLCPSVCAYPFSVPDYMGRGFKAVKSPNNDVGVDGMISVIAHEIAELSTNPLVNAWYAGDDPIFPVEIGDLCEGIYGSGGGGSYTGQMLNGEDGATYNMNGIRRKFLVQWVWNHVVNYCTGPNALDQ >Solyc05g012010.3.1 pep chromosome:SL3.0:5:5212109:5216603:1 gene:Solyc05g012010.3 transcript:Solyc05g012010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSVCSCFTVRDVDVGGNAASNDQNHTLCKCFSCCFQNLINKCGTIFGQAQGTAGTSVNQGPPSSTAPNAINSSCNTIRSQDRRLPNSGAPPRRLQIQEDVAFRRQEKGISHSRVEPEPDVDADIETTQKLLKAGNLLKSDSAHQGPSSSTAGVTINSSHNTIMSRDRGLPNTAAPRQPQIQQDVAFKQQDKRTSHSRVEPEPADVEIIVGADTLLKSNCDGGSKECRPEMMVTAVQHDVCSSEDEDVCPTCLEEYTPENPKILTKCSHHYHLSCIYEWQERSETCPVCGKLMEFEETN >Solyc01g104442.1.1 pep chromosome:SL3.0:1:92786869:92787185:-1 gene:Solyc01g104442.1 transcript:Solyc01g104442.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQMTEDDPAETKLGMLFCSSPRQAEDPSGPETTTPTSSLWSSRAKRRVVVSEGGPGLHWRDPVFEFKIT >Solyc01g089990.2.1 pep chromosome:SL3.0:1:83579047:83585037:-1 gene:Solyc01g089990.2 transcript:Solyc01g089990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMTHNRCSSVSSAVTKRQAMVADSSGDAAVVMLSGQVADGLTTIFAGELIDRFGHFEVWHIAGSLLVAVSFSSMFGGCLPCKLIGSDSAMLQTIGYSVFAAIFNVGWAASQGWRGGGPEAADTKFTETLEVYEAFLEGVSSGCDLALADDFNVSSSCVGKREARIL >Solyc01g034023.1.1 pep chromosome:SL3.0:1:35591800:35593027:1 gene:Solyc01g034023.1 transcript:Solyc01g034023.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQHELFEAHRYTLFNIGDEQVETFIKEHKSLTDNRTRGNAWVKAQVHSRKFVPVDLYDLEEDNCPNIEETFWREPNDDIGSSERLVDVDVRWSREDLPVDIIDAPSIAQHSQDEAMETSEEEDDFDDTDWDWMEVDD >Solyc08g061590.3.1 pep chromosome:SL3.0:8:49221159:49223864:-1 gene:Solyc08g061590.3 transcript:Solyc08g061590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KKTCQTYLTPFTWFRLVNHPLQMWRQGQNLLRRAAVLNRSCGIRQMIAGSASSSSPSVSIWRRKKEMGKEGLMVAKELKRLQSDAVRFERFMKSNVSRLLKSDLVAVLAEFQRQDLPYLSMRLYEAVRKEIWYRPDMFFYRDMLFMLARNKKVDETKKVWEDLKKEGVLFDQHTFGDLVRAFLDGGLPLEAMQIYDEMRRSPDPPMSLPYRVMLKGLLPYPELREKVKDDFLELFPDAVVYDPPEDLFDDEEWRKESDDD >Solyc04g079043.1.1 pep chromosome:SL3.0:4:63728676:63729334:-1 gene:Solyc04g079043.1 transcript:Solyc04g079043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTQSIQSLKQALETASFQESFDSQMHRTQLILARISRANLIIRVALFWARESQVQLANRVSIGPGTSAVVSAP >Solyc03g061550.1.1 pep chromosome:SL3.0:3:32593529:32594388:1 gene:Solyc03g061550.1 transcript:Solyc03g061550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLIVLAKKGERRSLGGLRALAFRILLNGCGSGTQVLSRQVRHSVHEPETYLHSKLLNLTRSVMLLTSPPASLRPHEDDSTSLGRRGAADSTPPLSSCTWYNKKRNSRNGKVFSYTSALIPPKAK >Solyc02g070330.3.1 pep chromosome:SL3.0:2:40667271:40683638:1 gene:Solyc02g070330.3 transcript:Solyc02g070330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRQVMVDGKIRTDKTYPAGFMDVVTIPKTNESFRLLYDTKGRFRLHSLRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNRGRVGILKNREKHKGSFETVHIQDSLGHEFATRLGNVFTLGKGSKPWARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPAGFMDVVTIPKTNESFRLLYDTKGRFRLHSLRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNRGRVGILKNREKHKGSFETVHIQDSLGHEFATRLGNVFTLGKGSKPWARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPAGFMDVVTIPKTNESFRLLYDTKGRFRLHSLRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNRGRVGILKNREKHKGSFETVHIQDSLGHEFATRLGNVFTLGKGSKPWARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPAGFMDVVTIPKTNESFRLLYDTKGRFRLHSLRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNRGRVGILKNREKHKGSFETVHIQDSLGHEFATRLGNVFTLGKGSKPWARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLVIILRNRLKYALTYREVISILMQRQVMVDGKVRTDKTYPAGFMDVVTIPKTNESFRLLYDTKGRFRLHSLRDEEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLDSNKIVDFIKFDVGNVVMVTGGRNRGRVGILKNREKHKGSFETVHIQDSLGHEFATRLGNVFTLGKGSKPWVSLPKGKGIKLSIIEEARKRMAAQSATAA >Solyc10g054050.2.1.1 pep chromosome:SL3.0:10:54483037:54485580:1 gene:Solyc10g054050.2 transcript:Solyc10g054050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILLICFIFSITNSFVIAQDDAVPAKSFPVFTPEDNFLIDCGATSSITLPGNKAFQPDQNTAKYLSYTGKDIQACASDKINVPSTLYVNAKIFTTEAIYTFHASTSGLHWIRLHFFPFKYEEYDLKTAKFSVKTDNLVLLRDFQIGKDEATVKEFVVNVTSERFAIKFEPAQGSVAFVNAIEFVTVPAKMLDYSVPVLFPVSQRFDLSKTNFQTMYRLNVGGPALDSTNDTLGRNWMSDNPFRNNATGAEVSTQASAINYLKSSGGSPLIAPPTVYSSAVKMADSETTIANFNISWSMDIDTVYPHLIRLHFCDIISKALNELYFNVYINDKMAISGLDLSSLTNHLSTAFYKDFVVDSSMVSNPLVVRVSPVNDVQGFRNAILNGLEVFRMNNSVGSLDGEYGVDGQKQSGPSKTVAYVGFAMMFGAFVGLGAMAVKWQKRPQDWQKRNSFSSWLLPVHAGDSTFMSSSSRSKSQFFSSKNMGLGQYFSFAELSDATRNWEPSEIIGVGGFGNVYYGELDDGTKVAVKRGNPQSEQGINEFQTEIQMLSKLRHRHLVSLIGYCDESAEMILVYEFMQNGPLRDHLYGKNMPPLSWKQRLDICIGAARGLHYLHTGASTGIIHRDVKTTNILLDENFVAKMADFGLSKDGPTTEQTHVSTAVKGSFGYLDPEYFRKQQLTDKSDVYSFGVVLLEALCARPAINPSLPREQVNLAEWAMQWKRKGLLDKIIDPTLVGHIDPESMKKFAEATEKCLAEYGVDRPTMGDVLWNLEYALQMQEASLQGKTEYIDNKAIVAPISPSTVAHANVSNENKHISSPQQHTNPTEVQPIDDKSGTFAQFEPINGR >Solyc11g040090.1.1 pep chromosome:SL3.0:11:40166839:40168604:1 gene:Solyc11g040090.1 transcript:Solyc11g040090.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIENKYVYTWNSMILSYVRNGHLRESLNYFNEMLSTTDVKPDFYTFPLVLKACNSIIDGVRIHYSSIAQTKSFRCCRSVHGFVLRRSWIQVDVIMDNVFVDMYAKLGLIHCSRKVFNEIPIKDIVSWNSIITRYAYTHLGELQEGTRTNGHVFKVALNLDIFISTSLIDLYGKCEKLSCHGIHGNGRVSLKLFNDLLNAVDLEKIGYYVVLFNTYANCGKCEGVNEVRSLATDKGLKKTPGWSSIDLNNKIEVFYTENKSHPQCHEIYEELGILTDKIKTLSYTPDYTFVLQYVENEEKE >Solyc02g062190.2.1 pep chromosome:SL3.0:2:34318718:34321070:1 gene:Solyc02g062190.2 transcript:Solyc02g062190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLDLKWKVLFSVSVIVNFTCIVLVILHSETTHLSWTRKTATEAEKVASISCSGHGRAYLDGLVLREDGKPICECNACFTGPDCSLFKPSCAVDVDSGNPMFLEPFWKQNAGSSGVMVAGWHRMGYEFEDGSLISKQLESVIHKLHETVGNANTSNKYIVFGVGSTQLLNAAVYALSHHNSNSSPTKVVASAPYYPVYKSQTELLDSKKYKFSGDTSSWKNKTRSSRTNFIEFVTCPNNPDGHLNKAVLQGKFARHVYDLAYYWPHYTAIPSPMDEDLMLFTLSKLTGHAGSRFGWAIVKDKDVYERMVEYIDLNTYGIPRETQLRALKLLNVVLQGDRREIFRFAHTIMKTRWEKLAEMLSTSKRFSMEQLPSQHCAFSKQVRPTSPAFAWLKCEAEEDEECHNVLREANIIGRKGAVFGVENRYVRLSVVNSRDDFDLLLQRLQDLVSKHLSIVDAVMSL >Solyc06g008500.3.1 pep chromosome:SL3.0:6:2390464:2398045:-1 gene:Solyc06g008500.3 transcript:Solyc06g008500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWQQQLKNELLYQQVIEGNAENIKALYNDGADVEWIDDEGKTPLIVACMKPNGLNVAKTLLELGANVNAYRPGYYAGTVLHHAAKRGLDDTVQLLLSYGANPLIKNDARQTPLEIARAKGFTDVVRTIEKQICIFSGWMREFYGPRFLEALAPQLLSRKIWVVVMPCGIADPVRHLKLQLVIYSSLQDAQPRKVIVLWRAIVEEIRRKQSDPMLTIFDRIKNTRYKISSVNDSDKQQLVLLRKACIAAIEVLPFRLPQITQTETAAQAMELAIGINAAIDSAKVNKILHYHGQSSGVKNKNDWCSNTNDSAHSGWGSLVRSVPRSEITNHDWTDDRTKVQQYGWENTADVSSQRQQRSLAKSTPSELSCNGWINELDVEEYNGWGVPEPRQGRRDASAEVHYQGWENTSKDKIASSDVSSCKAMDKTDNAPEFRPIVYPGENAETQEGNNPAFDFAVPSAPPVPKEIEDVHYPVIDLSPLPLSTPPVEQKTASVTTQKEKEHKPALCIICWEASVEGACVPCGHMVGCMQCLNQIIKSKIGACPVCRVKIDQVIKLYSV >Solyc11g018555.1.1 pep chromosome:SL3.0:11:8680958:8682015:-1 gene:Solyc11g018555.1 transcript:Solyc11g018555.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRKCVAQDQIHRCCMSLEVEVSSKQSLVIKVNGAILKFTIRTFAVIIGLNCVGVVEDFKFNTEEPNQLILQYFGGDEIIRRSDLINRFNDKSFTNTVTQMGTLQILELLSSMRHMLSFPYRCASPKVQ >Solyc02g043864.1.1 pep chromosome:SL3.0:2:1307335:1310133:1 gene:Solyc02g043864.1 transcript:Solyc02g043864.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGGWVGAPTIVMCFAWLVTREARLTCEILQKRDCQLCPDDSLDDKSFESYRSGLIAKLLEKDPSLAYETNRFWGQITDKRYMFDISEKEAEVLRSIQKGDLIEWYHTYLRQPSPKCRRLCVRVWGCNTDWKDADSPIASAQVIKDVISFKKSAKFYPSLC >Solyc04g018020.2.1.1 pep chromosome:SL3.0:4:8998973:8999173:1 gene:Solyc04g018020.2 transcript:Solyc04g018020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKVGAPIFSIVVNVARGMQQALAPAVLASIYRDLGSLKKDMIETSRRNRDLIEIHKLNLWSPLFFV >Solyc04g077480.3.1 pep chromosome:SL3.0:4:62482281:62488082:-1 gene:Solyc04g077480.3 transcript:Solyc04g077480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTKENGSFNCPSTGMNRADSMPNVDPFSGSGWDPLLSLNQKGGFKGSSVVGHNEFVNLPYQSSQFVHYPSDSNLAEMVPKIPAFGNESYSELVNTFPLQEQLRGANCYANYVKNRGISTEGECQISGEGAVEVSPNGKRKISENHSLSNANKNVEGELQKAPSRDSSDCSKEQDGGKRHKTDQNVSSNLRNKQAGKQVKDDSDGGEPPKDNYVHVRAKRGQATNSHSLAERVRRERISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELNFDIDRILSKEMLHQQTSNAALLGLGPGLSSSLPFPGISHGSFAGIPATTPPFHPLPQNVWDNELQSLLQMGFDSTSSMNNMGPNGRSKLDL >Solyc05g018410.2.1 pep chromosome:SL3.0:5:20741326:20753009:-1 gene:Solyc05g018410.2 transcript:Solyc05g018410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding RVRRPLCKSRNQYPFLLYVFTAEYPIICKISGYKKPYISFMDGITMGFGIGLSGHGRYKVITERTVLAMPENGIGLFPDVGFSYIAAHGPGEGIVGAYLGLTGSRISTPADALYVGLGTHYVPSANLGTLKEALLATKFSEDPDEEIKQLLTKYSSNPNSEPRLKSLLPRIISTFAGDKSIREILEELEHHQQSTDTLVAEWARDALQGLSKGAPFSLRLTQKLFSKVASARGETNNDLSRLTGVMRTEYRIALRSALRSDFAEGVRAVLVDKDQVNHAQQLRNQVEVVLAVT >Solyc12g098420.2.1 pep chromosome:SL3.0:12:66904751:66919577:1 gene:Solyc12g098420.2 transcript:Solyc12g098420.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFMEVLVVIVMMLTIIVRTKATWCVVRSDASYQALQRGLDYACSYGADCSPIQSTGLCYLPNTIQNHASYAFNSYYQRNNMAPGSCQFAGTATFAKTDPSYGSCVYPASPRAAGGPLPPGIGGHNDTGGGVTTTPVLYSPPQAIINPVYANRTTPITAESSDPDSQDSKATMSGVSLQCGDCGTLFKSVAEAQEHAEVTSHANFSESTEAVLNLVCTACGKPCRSKTESDLHTKRTGHIEFTDKTAEGVKPISLEVPKAKADDEDMAEVGDDSGTGQHEEMVVPEVDQNLLSELETMGFSKARAIRALHFSGNASLEAAVNWVVEHESDPDIDETPMVPASAKKGEAPRPSLTPEEIKIKQLELSEVVFPSAQERIRIGKELLEAKRIEEDNERKRIIALRKAEKAEEQRAREKIRQKLEEDKAERRRKLGLPAEDPASPKPSTPVVEEKKDDEAKVKTAFNTLLTYAKNVATNPNEEKFRKIRLSNAAFQDRIGKLQGGIEFLEHCGFEKVEGGEFLYLPREKVDIAVLNSAGTELNNAIKNPFFGANPLHCDVQKKHAPGSNVCVWLFINANPLRLYLTCIRNTLEAAMCLQVKQSDELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNYHCEDMQKQKLIDFIVQFMEDIDKEISELKLSVNTRGRLVATEFLKQFI >Solyc08g007530.3.1 pep chromosome:SL3.0:8:2071789:2078272:-1 gene:Solyc08g007530.3 transcript:Solyc08g007530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSMSGVTVVGSDAPSDYHVAPRTTENPSQVSGSAPQITNITPTPVTGAGAGVGAVAGALALVKKKRGRPRKYGPDGVAVPSAAALSPKPISSAAPVSSPVIDFSSEKRGKIRPVGLFSKPHMPKLEVENSGEWVSCSVGANFTPHIITVNTGEDVTMKIISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLTGSFMPSETGGMRNRSGGMSVSLASPDGRVVGGGVAGLLVAAGPVQIVVGSFLAGNQHEQKTKKNKLEPITAAVVPITSPNIEEPCNHSSAKPTMPASSINWSSSLATESRSKTADINVTLPA >Solyc05g024380.1.1.1 pep chromosome:SL3.0:5:31477751:31477900:-1 gene:Solyc05g024380.1 transcript:Solyc05g024380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSIDGYALLNFAINIFSYPCAFVFFLDYSLICTFLVHSSYLNLNWIIS >Solyc10g076550.2.1 pep chromosome:SL3.0:10:59617758:59626059:-1 gene:Solyc10g076550.2 transcript:Solyc10g076550.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTNTNRTYTITEGANVTKPGCSKQCGNETVSYPFAEIAITLAYLHSFASMPIIHRDVKSANILLDNVYTAKVADFGASRLIPLDQTHVATLVLGTSGYLDAEYFRTSQLTEKSDVYSFGVVLAELLTGLKPIIRARDEDNKNLADFLVLSMNENSLFDIIDGRILQEGSHEQLQKMAELAKKCLQPHGEDRPTMKEMSIELEGLSKMNLLACFYACALVLTLATAQNTTEVTKPGCTKQCGNVMVPYPFGIGSDCAYDWGFVLRCNTSNDGSQKLLIGNNIVVYNITDAELRISNSIGWRWYNSSGAVHSESLAWTTFPETTPYSFSSLNRFTVVGCDDYASITGPNNFVYGCNVSCTSTRDVVAGECMGKGCCQTEIPKGLKSYNTTMSSKKNHTDVKATNIFTGKELKKATNNYANDRILGRGGHAIVYRGVLSDSRVVAIKKSRIVDESQIEQFINEVLILTQINHRNVVKLFGCCLEDEVPLLVYEYVSEGTLYEHIHNPRGGSWLNWQNRLRIAIETATALAYLHSFASMPIIHRDVKSANILLDNVYTAKVADFGASRLIPLDQTHVATLVLGTSGYLDPEYFRTSQLTEKSDVYRRLKPIVRCRNDEQKNLADYFVWSVDNNRLFQIVDRGVLREGNLEQLQQMAELVKKCLELHGEDRPTMKEVTIELEGLRKVTGFSWSNQHGLKEDELSDLFTVPISSNGNTPNSDSSHIMHPTYTPS >Solyc01g090150.1.1 pep chromosome:SL3.0:1:83649673:83651587:-1 gene:Solyc01g090150.1 transcript:Solyc01g090150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRAYSKPAALRAHAPHNCVYQ >Solyc04g076303.1.1 pep chromosome:SL3.0:4:61302416:61307842:-1 gene:Solyc04g076303.1 transcript:Solyc04g076303.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSVSLLLPRELGIHGKCGLSGQSKGKMSRSQTDSCSIFLSSHSMRQDPWSIYLLNTVHRPLFSHPSPTRCNVLLCRSLLKPGGGYESQVLETATLIWKRSLSTIHGSPLVLQLIPAIGVLVFAAWGLTPLMRFGRKLFLHESDSNWKQSSWHYVTASYLKPVLLWTGAILICRAIDPLVLPTVSSQAVKQRFLNFIRSLSTVMALAYCLSSLIQQTQKFLVETKDPADARNMGFEFAGKAIYTAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREILTNFLSSIMIHATRPFVLNDWIQTKIQGYDVSGTVEHVGWWSPTVIRGDDREAIHIPNHKFSVNIVRNLTQRTHWRIKTHLAISHLDVNKINNIVADMRKVLSKHPMVEQQRLHRRVFLDNVDPENQALKILISCFVKTPRFEEYLRVKYC >Solyc03g078080.3.1 pep chromosome:SL3.0:3:51466784:51473017:1 gene:Solyc03g078080.3 transcript:Solyc03g078080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIQNPKQLILSTFHIHTTVPKKKWNPFSMAISTSFCRPISSFNALSSPSLCYFKPLYNHLKLDPSLPLVKCCSSHIGQSPEHINGRQPDLSPIASFGVTMTNNGTSKPSYRWRRVLLKVSGEALAGDQAQNIDPKITMAIAREVAAVTRLGIEVAIVVGGGNIFRGSSWAGSSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAEVVLKATNVDGVYDDNPKHNPNARLQDNLTYHDVISKELSVMDLTAITLCQENNIPVVVFNLNKTGNIAKAIKGERVGTLIGDTWNTEAVVS >Solyc10g081390.1.1 pep chromosome:SL3.0:10:62595431:62597128:1 gene:Solyc10g081390.1 transcript:Solyc10g081390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQKIGFHLLLLFIFATIISTASSSRILKESIDETSDFDLPSQDTSDLTDEELSIARRIDLVLDYANAGANDQHKPKP >Solyc03g058360.2.1 pep chromosome:SL3.0:3:26187650:26189444:1 gene:Solyc03g058360.2 transcript:Solyc03g058360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding ISLINFYSNGGDVGSVRRVFDDLLVKSTATWTAIIAACLNVGKSEISLQLLKNMLETDVVLLEIQ >Solyc07g052450.2.1 pep chromosome:SL3.0:7:61071974:61073154:1 gene:Solyc07g052450.2 transcript:Solyc07g052450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSTAKSFVLSTTSHKTLFSTKPHNSSYFSKKIALSKFYNTKRPLYISAVSGIGHVNERNESKSCEPLVKKYIVFRNIFSKKGMKGKYVGAMNYYGPKVWALGWQNAVSQIGPNFIWWVVAQSVFYHLYNQVSYMSLNEISPLTFSIGNTMKRIVVIVSSIIIFQNPIQPVNALGGYHSYFWNFPLLTGISEYIFTKYM >Solyc01g058520.3.1 pep chromosome:SL3.0:1:66805829:66807341:-1 gene:Solyc01g058520.3 transcript:Solyc01g058520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFYLASLCIMLLIKFSVTFASGNVVSNKLEKTCIDGVTIALSFGLYLNSCPEAEAIIFSWVESAVSQDPRMAASLLRLHFHDCFVNASHILFLPSFLLCVNKYFPCLIFMLNIFQGCDASVLLDDTSNFSGEKTAGPNLNSLRGFEVIDNIKADLEYACPQTVSCADILAIAARDSVVLSGGLGWQVQMGRKDSLTASKTAANNNIPGPNSNVATLVTNFQNLGLSLQDVVTLSGAHTIGKARCATFSSRLNNNNNAGVRNSEMNLDFLQSLQQLCSANNNTTLANLDDMTPSTFDNHYYVNLLSGKGLLVSDQVLATGDDDNTREIVQNYVDDPSLFLEDFKNSMLKMGSLPPPTGTNGEIRVNCRVIN >Solyc12g056760.2.1 pep chromosome:SL3.0:12:63792834:63802712:-1 gene:Solyc12g056760.2 transcript:Solyc12g056760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEITEAFAGSDAAEATPLLSNIKPNPNPNPPNRTRSVKTKVPEVEVHLYRRGKGPIDVFKANLGGWDQDQLDVREFLDKYGFKSVYAFKPETGRGVPIRFNPRNGRSIVTYRDGSTIYVDGEPKDSLVKPITRILVGVAVVAILIVLLVIREPPAWAKKFNLSDGRVPPWLLACAFVAFTRMRKRTRDFMESRRK >Solyc03g005015.1.1 pep chromosome:SL3.0:3:19042:25417:1 gene:Solyc03g005015.1 transcript:Solyc03g005015.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPQEVVVSVLMKLAGGCPSLSDQLNVDAFLEQARSYDKASSSPVGWYIRNAQTRQLSHPLPVLRAREIDEWSRSQEYRSLLQRAIQIGFSELLVKAGGASTLARHVDINQEKVPLVKGLQ >Solyc02g090180.3.1 pep chromosome:SL3.0:2:52441704:52448290:1 gene:Solyc02g090180.3 transcript:Solyc02g090180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHLLSSLRRNQLNHRRITPRIHSIFCANYKPQKPQPPPAPPSPPKPPKKPVTFTHHGESWEDPYSWMSQLNDKVAMRHMDVYMEQEEKYIEAVMSDTERLQSKLQSEMGSRLSNELSTPPLRWGPWLYYRRVEEGKQYPVLCRRLARANEDFISNRSPSAGFDFTSGKRIEQKLLDYNEEAERFGGYAYEELSEVSPDHRYLAYTMYDKDNDCFKLSVRDLNFGSLCSKPQADRVSNIAWGKDGQALLYVVTDHCKRPYRIYCSMIGSEQDDVSLIEEPSENGYVNIRHTKDFQFLTVHIFSTTSSKIFLIDAADPLSGMIPVWECEAQAHCVVEHHQGYLYLFTDAAKNGQLVDHHYLLRSPVNCSLNKRKWENIFSDDSEFIIEDVDFSDKHLVLIVRDGRNFRLCPISLPLPSTKEEIKLKELSPQFLPLPKNVCQIKPGTNYDFYSSTMRFTISSPLMPDAVVDYDLSNGKWNIVQQQNLLHERTRVLYGTSSSASFIQSARKVGSNNEVNPENHSTWNDLSEFYACEVHNVKSYDGIIVPLTVVYSPKRKKQAENPGLLHGHGAYGEILDKRWRSELKSLLDRGWVIAYADVRGGGGGGEKWHHDGRRTKKINSIYDYISCAKFLIEREIVQDNKLAGWGYSAGGLLVASAINSCPSLLRAAVLEVPFLDPTNTLLNPILPLTPADYDEFGYPGDITDFQAIRKYSPYDNIQKDLVYPAILVTSSFNTRFGVWEAAKYVARVRECSIYDPKHPVLLNLTADIVEENRYLHCKESALETAFLIKMMES >Solyc03g111750.2.1 pep chromosome:SL3.0:3:63875684:63876193:-1 gene:Solyc03g111750.2 transcript:Solyc03g111750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYNDLPSLKFKTAAEPYFESSISSTCRQRIPAHDGGCASILFEYKSSKLISGGQDREIKICGTFRSNANRVASNGSRSCISADDVGQMVRTLKEHTYSVLSCSWSGLGNPLATSDKSGIICIW >Solyc05g047712.1.1 pep chromosome:SL3.0:5:60103500:60104105:1 gene:Solyc05g047712.1 transcript:Solyc05g047712.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRNKVNYALIEDDTKRKFSYTKRLKGLLKKSDELKTLCDVEVSTVIYDPYRNELYAFPNNNVVRNTFIKIKELPTLDRSKKMMTREEFTMRRIKKLEEKLQKVHELMIKADDGEGSTSNAPQPIVESMLSSRDNFEGPMDPSPLLFSEMFPPMVP >Solyc02g087180.3.1 pep chromosome:SL3.0:2:50296132:50298375:1 gene:Solyc02g087180.3 transcript:Solyc02g087180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQNVQHQLLQSPARLGLPTPSSPSLQNTTPPTKFSSQASQPNQQANILTTTTTSSTLLPLLPPLSRAQSLLIQMASLASRLFEVSPNRSHWLSAFRGSFPSFLPSTAPVPQDSSPSSSKEILSAFTSLQTQLFEAVAELQEILDLQDEKQKVTREIRLNDSAILAFANKLKGAEHVLDNLVDDYADYRRPKRAKLENDTEESSLTSVATQLKLSDVLSYAHRISYTTFAPPEFGGGQAPLRGALPPAPQDEQLRASQLYIFADLDVGLPKTDEGKENILEPLIEPPAESNPLAYLSSIQGLVPPNIVVPSGWKPGMPVELPTDLPLPPPGWKPGDPIALPPVDSRPPQKNPWFEKPESVWQRSYYNILNVALWDFDK >Solyc05g024420.1.1.1 pep chromosome:SL3.0:5:31711548:31711889:-1 gene:Solyc05g024420.1 transcript:Solyc05g024420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRLTEFGIPDSLKVFFNFLVFLCSKRCIVAFCPPLAFLNSSYNLITSLVIPEISILLLPKIKNREWNIFNFSKSKGSRFPKNSRIFNHNFFPNFISKNFIEGFFKFNLFLY >Solyc02g068250.3.1 pep chromosome:SL3.0:2:38810413:38812361:-1 gene:Solyc02g068250.3 transcript:Solyc02g068250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKQLDTKDLASSLPVLPRLDRLDLLLQVLEEKHGMSPKNEKGKEEENSEYCRSTLSLSTALEEVHHKGTLVDRLTALENRVLKLSLEIEEGNTSRSSSSSKSRNHDNEDEKASLKQEEASKACLCSEKTDKVVGNAKMTKKKWHIGSWLRLGCN >Solyc07g005960.3.1 pep chromosome:SL3.0:7:793228:799611:-1 gene:Solyc07g005960.3 transcript:Solyc07g005960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Carboxypeptidase [Source:UniProtKB/TrEMBL;Acc:K4CB67] MLNLIWVFVVLGLFSISGSFSVNPNAQKQLDRVSKLPGQGFNVNFAHYSGYVTVNEDSERALFYWFFEAVDDPSSKPLVLWLNGGPGCSSIAFGLAEEIGPFHIEKDGKTLYWNPYSWNLAANVLFLDSPVGVGYSYSNTSSDHLNNGDARTAADSLVFLLKWLERFPEYKGRDFYISGESYAGHYVPQLSQAIVRHNHGLKKQVINLKGFMVGNALTDDYNDHLGLFQFMWSAGMISDQTYKQANALCHDQSYFRPSEQCDKILEQANEEIGNIDSYSIFTPACTAKFSILNHLLRKSNSVGHLRRSYDPCTEQHSKIYFNLPEVQSALHVHMRNSSFKWVTCSEEVSSGWKDSPNTVLDVYRELIKSGLRIWVFSGDTDAVIPVTSTRYSINALKLPTVAPWRAWYDDGQVGGWTQEYKGLTFVTVRGAGHEVALHRPKQALTLVKSFLAGTSMPSLELISDS >Solyc10g005560.3.1 pep chromosome:SL3.0:10:456678:461000:-1 gene:Solyc10g005560.3 transcript:Solyc10g005560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLADDKTAKDYNIEGGSVLHLVLALRGGRL >Solyc07g053180.3.1 pep chromosome:SL3.0:7:61765767:61771710:1 gene:Solyc07g053180.3 transcript:Solyc07g053180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKFSCFPAHIHSHRQKKTVQLSAEEMHKRLEDCSQNHTMKDFAYLSTTSASCAADGDALENYADHASDSSRLEHDYKSEELKSKSNTECEVIHNNAHMRKSLSLGSSIHLDGRVASGNNSEHESGRYSCYESDDQCGSATADDGKEFNISLVGLFHKAGPSDSVQNGDAKESEKEGQENYEVQLSSANESGDCSPRTSPCIVKSSSLPNIHSPGRQFSLNCHRSRSAEDLNILDARRKEHFVDEVANKVMKHEKEDSLHNNGKGYDETPPDENFDTDNYVGSAKDWILPGMDEVNMKRHVNGESTFFRWNELTGNDFKTKRIEEWVTDLQHCGRFEETDDFSVPENGPEVQKGKAFLDASPVAKLDGQVIPSAESIKKYISSLSANATSAQMINHGLVVIPFLSAFVSLRALNLSGNSIVRITAGALPRGLHILNLSRNSISVIEGLRELTRLRVLDLSYNRLVKIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLNVLDLRFNKISTAKCLGQLAANYGSLQAISLEGNPAQKNVGDEQLKKNLQGLLPNLIYFNRKPVKVKDTADRSARLAVGAHQLDRGLKPVKAMRKASHGVVTHKASSSSTHGRKSQKVTSTKPSKSRPTARLPPIGTKTTTRPQVYDFSSKLLSFSPDLSMRRTRSEGTLGVI >Solyc09g065070.2.1 pep chromosome:SL3.0:9:63105047:63109552:-1 gene:Solyc09g065070.2 transcript:Solyc09g065070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSNVVPVPNEENIIIIISQHDQNKSHKTKLSSIVSFLKESIAKLDIKKVIHSVKVGIALVLVSLLYLLDPLFQKVGQNAIWAIMTVVVVFEFFAGATLSKGINRAIGTVLGGGLGCLAAILADESGEIGGALVVGISVIIIGVGATYSRLIPSVKKKYDYGVMIFILTFSLVVVSGVRADKIMKLAGERLSNIGMGFAVCIFTSFIYPIWAGDELHFSTANKFDKLATSIQGCLEEYFVIANDKEKKATIDISGCKSVMHSKSSDESLANFAKWEPWHGKFGFFYPWEKYLNIGEVLRELAAMIISFKGCIQSVRQSSPTERDSIREPCEKIGLSLATILMELGDSIRHMKRCRAKNLITQCMRQELSLLVNLHNNNNNNNNNNNSTDNESNLGLASFIFQILEMVDKVELLATKVEELGEIAHFHNKKLDV >Solyc09g008790.3.1 pep chromosome:SL3.0:9:2214399:2219862:-1 gene:Solyc09g008790.3 transcript:Solyc09g008790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWNKSTTVNTSKKEDFIEDPIYRIQFGGEMEGFNQERRVNAAAAEVVEEVEVEESSDDCSSEDEGTDDYRRGGYHAVRIGDSFNGGRYVVQSKLGWGHFSTVWLAWDTLLSRFVALKVQKSAQHYTEAAMDEITILKQIAECDQDDKKCVVKLLDNFKHSGPNGQHVCMVFEYLGDNLLTLLKYTDYHGLPIHMVKELCYHVLVGLDYLHRQLSIIHTDLKPENVLLCSTIDPSKDPRKSGTPLILSSHKDITTLDGGSVKGYVTSNGNLTKNHNKKIRRKAKQAAQCFVGKESSLGTKGGQDSSASAESSVSAKSNSDMLNSSNRMSNADTAKGSGKEYEGPKRGSRSTRRKLLEAVDLKCKVVDFGSACWTYKQFTDDIQTRQYRCPEVILGSKYSTSADLWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELIGMMPRKIALGGRYSRDLFNRHGDLRHIRRLRFWPLKKVLVEKYEFSEQDAKDLSDFLVPILDFVPEKRPTAAQCLLHSWINAGPRLLELSLPDAQSKAVDALNSDQTKKQNEEEREVMEVGIGKIAISKNIKNFRSYSVKF >Solyc03g111380.3.1 pep chromosome:SL3.0:3:63449476:63457188:-1 gene:Solyc03g111380.3 transcript:Solyc03g111380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Tubulin gamma chain [Source:UniProtKB/TrEMBL;Acc:K4BK01] MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKEGILEDFATQGGDRKDVFFYQADDQHYIPRALLMDLEPRVINGIQNGEYRNLYNHENVFIADHGGGAGNNWASGYHQGKQYEEDLMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQNETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHITTPTFTQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTGIRHLFSKCLTQYDKLRKRQAFLDNYRNHPTFADNDLSEFDESRDVIESLVDEYKACESPDYIKWGMEDPDHALTGEGSASGTIDPKLSL >Solyc02g084260.2.1 pep chromosome:SL3.0:2:47973604:47975989:1 gene:Solyc02g084260.2 transcript:Solyc02g084260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSATYLDPEQELQTKFKLQDHLSAPVRTNMKIGGLTDAVVTSIIITTGICEEIPVESVDLTAYEYVERFHVLVSLSSLDEDQLVQDLHVHQVCGAIGIEICNGKVDGNGSSEVEPSFERSPEAAPSFIKDHLKLYALVGQLLDCTELGQALELPCEATR >Solyc05g054490.3.1 pep chromosome:SL3.0:5:65255929:65261082:-1 gene:Solyc05g054490.3 transcript:Solyc05g054490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVLVVTRSGKELIKGGLELNESATVADLQEAIHKRTRKYYPSRQRLTLPIQPGSKERPTVLHYQKSLNEYTKGSTSELTVVFKDLGPQVKYSTLFFFEYLGPLLLYPIFYYFPVYKFFGYKEERVIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPISNVFRNCAYYWSFGAFIAYFVNHPLYTPVSDLQMKIGFGFGIVCQVANLYCHLLLRNLRSPSGNGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFMIVAASIMTNWALGKHRRLKKLFDGKEGRPKYPRRWVILPPFL >Solyc01g006380.2.1.1 pep chromosome:SL3.0:1:1012479:1014082:1 gene:Solyc01g006380.2 transcript:Solyc01g006380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSLEDLLAKEGFNKRISKTMPRASSDIRCHDLKSKHRMSSYNISSNVKRISISSFDEFLSAEGCQNNQIIEVEERNDSRYNRIYSNRTYRSESGEGRSSTSSAEENTEKCLGYQKHMERRETSNSRSTRSSVTSKSTDATTSLRKGEIEHTTAIPALDELAIQAVISILSGHIKRFLVDEDFRTSLRHNSFASLNFIGFEEGLNTESKIIATLEQAIETAERAAEDFASEKELKKASLQLSVITGLNSDGKFTSGIPNSKLAACAHLYLSVIYKIQNKDRIAAKHLLQVFCDSPFQARTSLLPDLWDRVFLPHLSHLKVWHDSEANFLRDLRNKSRKLKLLDKLYTENLDKGTYLFAVYYKDWLTEGAEIPLLPSIQIPSISVSRQGSFSNLSSSVGGFSPQPVVSKKLYDEVFRRSHILGGEPEESYEISVRKPATLTYSAEVIK >Solyc05g015790.2.1.1 pep chromosome:SL3.0:5:12066060:12066347:-1 gene:Solyc05g015790.2 transcript:Solyc05g015790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding PWIEKGYVTRHDIRDQERFDGYYNNKFLIVNDCLQKYRFLAKWMFFFDEMSSYLCLKRVLSSLWLIRYQIITQFTTERMSMSNKICLEEVHDKCF >Solyc01g108430.3.1 pep chromosome:SL3.0:1:95655753:95660872:1 gene:Solyc01g108430.3 transcript:Solyc01g108430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEFWVSQGNKWCDFCKIFISNNPTSIRNHELGTRHKESVGNRLNTMRQEKATKDKELKEAARALEQIEAKAQRSYDKDMARVKEARSTNIQALATHDNGQATTKGSAVSEEWEYDSSSGYYYNQSNGCSYDPNSGFYYTDVLGRWVTQEEALAATQVSSTSISKKPLAKAPVSTMGAGSSENKNTVSHQSGPPPGRVVSTPPNPMRSAKGRPSSLMVNKRKRENEKPKAVTEEEATARKAREAARKRVEEREKSLLGLYKH >Solyc03g116245.1.1 pep chromosome:SL3.0:3:67225259:67229052:-1 gene:Solyc03g116245.1 transcript:Solyc03g116245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIMVTRTKQEGGGCQTNDGRQTLATLHFEHLKKSSNVYVIRLKKSFHTKVWGRPRGTTVVAENPISQAKAESEILQGHCGSCWACCGAADSLSDCFCIHFGWNISVSANDIVACCGGH >Solyc02g092440.3.1 pep chromosome:SL3.0:2:54129574:54136167:-1 gene:Solyc02g092440.3 transcript:Solyc02g092440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCWRPINCHVVLIGPFSRGGCPNIYLFGSLRYANFSLSLCCFYETSIPLPEKMSKGPGLFSDIGKKARDVLTKDYISDQKLSISTYSDTGVALTSTAVKKGGLSTGDVGAQYKYKNTLIDVKVDTASNISTTLTLNDIAPSTKTIASLKFPDYSSGKLEVQYYHHHAAFSTAVGLKQNPIVDLSVTLGTPTFAIGAEASYETAEGKLAKYTAGISVTKPDSCAAIILGDKGDTIKASYIHHLDELKKSAAVGEITRRFSTNENTFTVGGSYAVDNLTIVKLKLNNHGNLGALLQHELIPKSLLTISSEFDTKALEKTPKFGVALALKP >Solyc03g025440.3.1 pep chromosome:SL3.0:3:2875483:2885078:-1 gene:Solyc03g025440.3 transcript:Solyc03g025440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPVAKLSIGATPAAMKADEGNDPLDTLIRQAMGKEPLFSFSRTGDGPVQWIQLLNGLEQQDNAGGWPMLTPAKYQKCEKCSREFYSPINYRRHMRLHRRALNNFDKESRKYRDLLGAFWDKLSLDDIKEVVSLHDVSIKDLSGSSLVQDLATSMRKPAVWTLPQDYYKAGLALLDLIQGIPSKLTSGELFSVLDDASERTFLCAGTAESLQKYVFDGDASKKGPELKNLVACTSFLFEQKLVKAWFADKDAEALRCQKLLFEEEEAAQKKQAELLERKKQKKLRQKEQREREQSNWERGDLEVSIAESSILSAAVSDSNSSTPEVSDDTSSCLELVQFSNNEVMDIEAQLNLSSQHLDLVEIQDVEPQPVSAQSRRHFPHTQWQVPKSQRMGRNGSHNNQNHQVQKIEPVQKHGVFKDRGAPVNSSKIWTKKIRVLNGERFRPEPQKEAINQNQSNCEVMIGSISVPMKNCSTPGLGNCPAVERDNFDSHAEPAMTKKCNLAVKPAKQDALQGGSNGAAGKLWRPVSRHGSGQHPEEAVMSGKLDSQISSAENCLRSCSMDNPTGKSKNYTCQVSDVNAHQGLGFCSTSAKAFLSQRWKEAIAGDHVTLVLSNDTEVSGHPDAQNSSSEAAPSSDDQEHGVLVEADNQQRNTGVSNVSSHGNSKLKFRQKPDKSIKTRYIPKQKP >Solyc02g090410.3.1 pep chromosome:SL3.0:2:52607075:52609683:-1 gene:Solyc02g090410.3 transcript:Solyc02g090410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLQIPTQKMHPRVCLRTLFSVSKLLNELNAAQREDAVNSLAYEAEYRLRDPVYGCVGLISLLQQKLRQVQDDLLNARKELSTYIGPAGMLPIANLQPPGFFQPQQQPMNAPSSSTMSPFNMNPMSGMSTGVPHGGPLLIRDPQQQQQQQLLQQPIQVQQFITAIAAKEQRDQQEMLKYYEQQEQQEDAVRFNSGFDSTGSVTATGFHQMSSSIPPSLVLAGTYENPYNLVPPHQQSQPEQSLSYQQQVHLQSQQQLQPPQPPETPRQQPRANSEEEGRGSGPSG >Solyc06g083300.3.1 pep chromosome:SL3.0:6:48807324:48814249:1 gene:Solyc06g083300.3 transcript:Solyc06g083300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEHMNGNFEEDENGIGLSLSLSIGGNYTKKDDQICNNSNDKMLIECQRSKKRALHREMMENEELVSRKSKITTFGDDDVKSLNLFVNQDSCLKDHNLLVPICDHRNVEKRELTKKNCGGFRIYNSNTSYDQCRSSESNGSTITECQSSSPKGTSSEQCRAHQRRAGPKNRGKEELWHDSVALTNASFTPSQFVEHAGGVDLSQPLKHITVANAFVQQYYHILHHSPGLVFRFYQDISKLGRPEEDGSMGITTTMQAINDKILSLNYGDLRAEIKSVDAQESFNGGVHVLVTGYLTGKDNLVRNFCQTFFLAPQDRGYFVLNDMFRYVETASQHDTVQVPVTDAVAPVTPEQSNCNNLWFFWQYRNKQLTFFLLPVVADPPTAPQNHIPEQSTPPAEEADVGEVYNPSENGDVLIVEEEVPVSEVVHEVQDGSEVVAESDNKTGEVPKKSYASIVMHLKESAATFSPPPAPAARKPMTKSVVQVNQPAATTTDGPYSSSETIDNINDTEGMQLQTADGYSIYIKGLPMSATVALLADEFKKFGPIKNGGIQVRSNRQQGFCFGFVEFEVESAVQKAIEASPVLIGDRQAVVEEKRSTNSRLNARGGGRFQSGRGGGFRNDVGGRGRGNYLGGRGYGRDDFHGRNEFNNRGGNRGGSSNRGGEGYRRADNTGGRMSRGGGMSNGTAKGPAPRYSATA >Solyc01g013885.1.1 pep chromosome:SL3.0:1:10235186:10243269:1 gene:Solyc01g013885.1 transcript:Solyc01g013885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYYRAIHLVTVGALSTHPPRYSWNIIEPSTSLQLKYYRAIHLVTVGILSSHPPRYSWNIIESSTTLQLEYYRPIHLVIVGILSSIHLVTIGILSSHPPRYSWNIIEHPPRYSWNFIEPSTSLQLDNYRASTSLELEYYRAIHLVTVGILSSHPPRYSWNIMEPSTSLQLEYYRPIHLVIVGMLSSHPPRYSWNIIEPSTSLQLEFYRAIHFVRVGLLLSHPPRYSWNIIDPSTSLQLEYYRAIHLVTVGILSSHPPRYSWNIIEPSTSVQLEYYRASTSVQLEYYRASTSLQLEFYRAIYLFRNWFVVVLVFGVGCRSLFEKEIIMKIEYIEPTVLLDPPVQSTIMTDEIFGTLRPIITFDKIENSIEFINGRPKPLTIYAITKNEEFKRKITKGTSSGSLDLEQFLP >Solyc03g119790.3.1 pep chromosome:SL3.0:3:69846459:69854324:1 gene:Solyc03g119790.3 transcript:Solyc03g119790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIESFNRLVKLAARAFYDDITTKGDNQPKSGRSDNRGIAVVILDALTRRQWVREEDLAKDLKLHTKQLRRTLRFFEEEKLITRDHRKEGAKGAKVYNSAVAATVDGLQNGKEGDDKIKMHTHSYCCLDYAQIYDVVRYRLHRMKKKLRDELDNKNTVQEYICPNCAKRYTALDALRLVSTEDEYFHCESCNGELVAESDKLASQGSTDGDDNDRRRRREKLEDMLHRVEAQLKPLMDQLARVKDLPAPEFGSLQAWEVRANAVARGANGDNGNDSKSGQGLGFGGTPMPFVGETKVEVAFSGLEEKGDIKSEVSVTPMKVLPPWMIKEGMNLTKEQRGEVKQESNMEGTSAAAGSSDDKKSIGFEDVKNIQDEYIKAYYEALFKRQKEQEEATKLLPETSTTDGVYNPSTERQVGMKSKREEEDEGEDVEWEEAPPAGNTTTGNLKVDLNVQADASEDDNDEEDDIDWEEG >Solyc01g097150.3.1.1 pep chromosome:SL3.0:1:87977453:87981180:-1 gene:Solyc01g097150.3 transcript:Solyc01g097150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNCFAMILEFLSFVKLRIKYSAASRPYRIPLGSYFSSDKKDMQNRTSQHAKNLLHIDI >Solyc11g045130.2.1.1 pep chromosome:SL3.0:11:31933065:31936650:-1 gene:Solyc11g045130.2 transcript:Solyc11g045130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSVLPKIDSIKIREVWSDNLEKEFALIRQIVDDYPYIAMDTEFPGVVLRPVGNFKHINEYNYQTLKDNVDMLNLIQLGLTFSDENGNLPTCESEYYYVWQFNFREFDTKANIFANDSIELLIQSGIDFKKNNEMGIDAKQFGELLMSSGIVLNDMVHWVTFHSGYDFGYLLKLLTCRTLPETQSGFFDLLNMYFPLVYDIKHLMKFCNSLHGGLNKLAELLEIERVGICHQAGSDSLLTSCAFKKLKDNFFNGSTEKYAGVLYGLGVEYGSDNK >Solyc12g019050.2.1 pep chromosome:SL3.0:12:9004398:9006091:1 gene:Solyc12g019050.2 transcript:Solyc12g019050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKCRSYIEMMKRFKVWTYKEGDLPMVHNGPMKEVYAIEGHFISEMESHNKGEKKLPFLASNPDEAHAFFLPISVAYIVRYLFVQGTNHIFRGKLQRVVEDYIHIISNKYPYWNRSNGADHFLVSCHDWAPEISDGNPELFKNFIRVLCNANTSEGFEPKRDISLPEVYGLANTLSLAPPDLGLHPKNRPILAFFAGGSHGYIRQRLLQHWKGIDDDIRVYEYLPKGENYTYLMGQSKFCLAPSGYEVASPRITEAIYAGCVPVIISDNYSLPFSDVLDWSQFSLSVQVNKIEELKTILQGVSRGKYLKMQKRVRRLQRHFKLHRPSQPFDVIYTLLHSVWLRRLNLRLTTN >Solyc12g096260.2.1 pep chromosome:SL3.0:12:66247228:66247788:1 gene:Solyc12g096260.2 transcript:Solyc12g096260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEKGLNISRGSRRMQIITGGYMDDRGWTLLHIVARKGDLKEVKRLLNEGMDANVTAGGRGPKSLGVTPLHLAAKGGHVRVMDALLERGADIDARTRGVCGWTPLHHAAKERKKKAIRFLIRNGAF >Solyc06g068140.3.1 pep chromosome:SL3.0:6:42351251:42354474:1 gene:Solyc06g068140.3 transcript:Solyc06g068140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPMEKGSHDDEIVSVELPAPPSWKKLFTPKQGGTPKKSEVVFIAPTGEEVKNRKQLEQYLKAHPGSPGISEFDWSTGETPRRSARISEKVKAMRPPSLLESPKKKRRTSSGTKKDSKEKAAAKAEMGSAETKGMESSKEENENLEKKAGEAEAEMQDKGKKEAEAVVEDERIEDAKLPPAEKPDSESEEFHSADDGNQDKSENAEAEMEDKKKKEVEAVEKDKCLKGAELPSGDEREPESEEVHSADVGRHKSENAGIEEKQVSEEKLESQNKLEELAAEGTNVTVGGSAGQAEHAVDGVSKDAHSNDGEDGPKEEEKKTEGTELAMENNNINQPGLVHPQQHQSPAPISC >Solyc09g066070.3.1 pep chromosome:SL3.0:9:64627287:64633729:1 gene:Solyc09g066070.3 transcript:Solyc09g066070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNDPFWVDSSSDRRCFIHREIYGRKFSNQVLPYQTSMGRIESSKDFGIVSMTAIELIHNFLDPVKWMNLFPTIVTEAKTIEVLDSGTWGGSIQLMYEKLHILSPLVEAREFLFIRCCRQLDATTWIMVDISYDIFNEIQSGVPCYSWKFPSGCVIQDMGNGQSKVTWVEHVQVYEKYQVNSIFRDLLCDREAYGAKRWIVTLQRMSERCNFSMGSTYPTRHDFKGVFHDPEGVKNTIQVSQRMVKSFFEILSMTDYDGDFPTSSQVNRVDRISVRENEEIIEPKGFIAIATASLWLPLSFQDVFDFFKDDKTRNQPYNMHKEMLVLEETSIDEMGAFLVYAPIEIRAITSIVNGVDATKVPILPSGIIISPDGRLSSNSDNTANAQNGSILTVAFQILICGDNPTSKQQQFDVVASVHGVLGATILKIKEALGCSDL >Solyc09g065520.3.1 pep chromosome:SL3.0:9:63862279:63873841:-1 gene:Solyc09g065520.3 transcript:Solyc09g065520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTSDVNANSSSSSLVKDFLHKCGGVAVIDGGFATELERHGADLNDPLWSAKCLLTDPHLVRAVHLDYLEAGADIILSSSYQATIQGFKAKGYSIEESESLLKRSVEIACEARDVYYKRCRESSSDQSTDGKVLKQRPILVAASVGSYGAYLADGSEYSGEYGDAVDLKFLKDFHRRRVHLLANSGADLIAFETVPNKLEAQAFVELLKEEDIKTPAWLSFNSKDGVNVVSGDSLSECAAIGESCEKVLAVGINCTPPRFILDLILSIKQVTTKPILIYPNSGESYDGIRKEWVSNTGVTDEDFVPYVNKWCEAGASLVGGCCRTTPNTIRAIYKSLSGKRTV >Solyc06g071655.1.1 pep chromosome:SL3.0:6:44266843:44268360:1 gene:Solyc06g071655.1 transcript:Solyc06g071655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTWSTSYKIETESAMELFVHKTSQRGFLNAFQLELPPKSVASPESITNYSTNYLLKMITDNQVPQISSHLQRPINFRTYQLSVAIDKKINMFNHIQINLIEDKESAIFKPEAKTNSKSRKSKAEHTSLFL >Solyc06g073250.1.1.1 pep chromosome:SL3.0:6:45278349:45278525:1 gene:Solyc06g073250.1 transcript:Solyc06g073250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMISLMRMRIRLYNRAIYGNFTLIKSFIIAIIRYLPCIVGHRSMRSNFMMTYKIIRFS >Solyc06g071550.3.1 pep chromosome:SL3.0:6:44190828:44194806:1 gene:Solyc06g071550.3 transcript:Solyc06g071550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFQCRIPFSAVSPSVSPRIEFTKLFLGNSSNPFVKTTQKKFSSLCYALKNSNKHSSISTFSELIEALISGKDLTESESEDSLDFLLDGADEALISAFLVLLRAKGETFEEVVGLARAMIKHCRQVEGLGDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEELGVAIELDPEGVKECVNQAGIGFMMSPIYHPAMKIVRPIRKKLKVKTVFNILGPMLNPARVPFAVVGVYKEDLVHKMAKALQRFGMKRALVVHSEGLDEMSPLGPGLVLDVTPDNIEKFSFDPLDFGIPRCTLESLRGGGPEYNAEMLRRVLSGEKGSIADAFALNASAALLVSGRVDNLAEGVELARETLLSGKAVKTLDLWIEVSNTVKEAVCMN >Solyc12g013720.1.1 pep chromosome:SL3.0:12:4551235:4551716:1 gene:Solyc12g013720.1 transcript:Solyc12g013720.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKQFVMNKASNVSKELSTVIHRTTLDSISIAQLKLRVPWNVLFGNILKNDVFMDSVVIAKCLGEAFIKPITEQENDESMKIRKGLEKYAKERRWRNFTFISAAYLSGFIQESDNKIISQYFLAGVTISVTLRIANFN >Solyc07g009520.2.1 pep chromosome:SL3.0:7:4663836:4667527:1 gene:Solyc07g009520.2 transcript:Solyc07g009520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSDSRRIRMMLRSKSRVGSNNDSGKRKNSSIISKTISASKLCRCDALGSQIDTNALVSLDRKPSFNHSSKNRNDTRVVMQEQIEKLEEDLRETREKLCFVEGEKKEAINELSEIRQVAHKEIDGITSSELYEEVRILKELLTNKQEELKIKDKNIKCLKLEVEKAIKCDLTIPEKDVFSPVKAFKIRVTDWLSDFKRRVQELEDELEKKKLSESKIFDAWLAKTRQFEEIKIELEESMLEIASLHEKIESFDTSHTKISTEKEIRNENEEIASSKVKALNDEMSLLKREMKLANEAEEKSREALDDLALALKEVSYEASEAKDKLCATQQELGLVKKEVRNLKEIVKSTKARYKMFLDEAKRETELYRNTAERLKLEADESLSSWNGKEMSFIECIKEVQEERDLALHEATKLNESLKEAEQRSKAAKEENYKLRDILKQAINEANAAKAASDLARRENSQIKQDAL >Solyc01g006160.3.1 pep chromosome:SL3.0:1:805837:807962:-1 gene:Solyc01g006160.3 transcript:Solyc01g006160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCGEVACTYAALLLHDEDIPITAEKISTLVKAANVTVEPYWPLLFAKLAEKRNLGDLIMNVGAGGGGGAVAVAAPTGGAAGGAAAAAPAAEEKKEEPKEESDDDMGFSLFD >Solyc09g005600.3.1 pep chromosome:SL3.0:9:404125:420991:-1 gene:Solyc09g005600.3 transcript:Solyc09g005600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFAKKLFQKATQYHHNHHHQTNGSGLTASDLNVRATVHYGIPSTASILAVDSVQRLLAIGTLDGRIKVIGGDNIEGLLISPKQLPYKYLEFLQNQGFLVNITNENDIQVWNLKSRSVACDLQWESNITAFSVINGSSFMYVGDEYGTISVLKFHVENRELLQLPYQIIWSSLSEATSFPYSDHQPVVGILPQPFTSGNRLLIAYECGLIILWDVVEAHVIIVKGDKDLHLKDGAFKKNADSSSPNDLLQHQFEEKEITTLCWASIDGSILAAGYIDGDILLWKMSKSSASKGQEAGPFDNVVKLQLSSVEKRLPIIVLHWWANSKSQNNSDGHLLIYGGDEIGSDEVITILTLEWSSGIETLKCVGRVDLTLSGSFADTILLPTTGATTPDGKAALFVLMSPGQLNLFDCSTLADLVSKEEKKVSLSAKDFPVELPTVDPSMTATKLTQLHSDGNLTELLQEFFAATSSGASRWPLTGGVYNHTSRAETNRIQRVFTAGYQDGSVRMWDATHPVLLLLCVLDREVKGVNTVISSASVSKIDFCFQTLRLAVGDASGLVRLYDFKHSDMGNFHVVTDAKSEVHELAQGQGPTCRAVLKLLDVRVRAIEFVNHGAKLVVGYENAKVAVLDMTSLSVLFLSDSASAGCSPLVTLITKRFVQSDSNSKSPKQSELAEKRMEELMFILTEDAKIYVIDGGNGKTYGSGPLHLKKVSTAISMYVIENNIPFSYVISKQPESSKDDAASNEPSQEMTTRDLSDTVPFLENDSSRKYFEESFILLCCKDSIRTYATKSVVHGDNKSVCKVKLDKPCCWTTTLVKDGKACALLLLFQTGDIEIRSLPDLELLERTSLMSVLRWNFKPNMDRAMSSMENGHIILANGSELALVSLLASENDFRIPESLPSLHDEVLAAAADAAMKFSTQKKKQGGGPNILGTLVKGFKAGKTNQNMDFSQMTQSNFSHLEGVFMKNPLHSELSPTKEVLEELELDIDDIEIDDPVPVASTSSHNTQNSKRGTEREKLLDSVGDDAKPRPRTREEIIAKYRKTGVQDASSAAGQARDKLLERQEKLERINRRTEELRSGAEDFASLANELVKVMENRNRKWWQI >Solyc10g005200.3.1 pep chromosome:SL3.0:10:161251:164385:-1 gene:Solyc10g005200.3 transcript:Solyc10g005200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKEKERKMFVGIVWNCAAELKFILTAILFLFSLMTILQFMPIRFSTTCISVPSFDSVSVSISAINASTALPPPLENGVIKRAFNPFGSAAYNFILMSAYRGGINTFAVVGLASKPLHVYGKPSYVCQWIPNTNMHKPINVTGTKILPDWGYGRVYTVLVVNCTFPIPVRDGGKLLIHATTNGGGDSEFNSTDTFLALTESERDFTNFISTFNKPPKYDFLYCGSSLYGNLSPQRVREWLAFHVRLFGVKSHFVIHDAGGVHEGVMAVLKPWIEKGYVTLEDIRDQERFDGYYHNQFLIVNDCLHKYRFQAKWMFFFDVDEFIFVPKKSTIKSVVDSLSDYTQFTIEQMPMSNKLCLEEDRGKSYRKWGFEKLVYKDVKRGIRRDRKYAVQPRNVIATGVHMSQNTVGKTTHKTEGRIKYFHYHGTIAEHREPCRQLVNATTITVDQIPYEVDSTMRDIAGTVKRFELKMIGSTLQKTRQ >Solyc06g066440.3.1 pep chromosome:SL3.0:6:41823820:41828475:-1 gene:Solyc06g066440.3 transcript:Solyc06g066440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Phosphotransferase [Source:UniProtKB/TrEMBL;Acc:K4C7S0] MKKATVGAVVVGTAAAVAVAALVMRHRMGKSSKWARARAILKEFEEKCATPDAKLKQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPTGDEAGVFYALDLGGTNFRVLRVQLGGKDGGIMHQEFAEASIPPNLMVGTSEALFDYIAAELAKFVDEEGEKFHPPPGKQRELGFTFSFPIMQTSINSGTLIRWTKGFSIDDTVGKDVVAELTKAMQKREIDMRVSALVNDTVGTLAGGRFTDKDVSIAVILGTGTNAAYVERAQAIPKWHGPLPNSGEMVINMEWGNFRSSHLPLTQYDNAMDTDSLNPGEQIFEKICSGMYLGEILRRVLLRMAKEAGIFGEEVPPKLKNSFILRTPEMSAMHHDTSSDLRVVGDKLKDILEISNTSLKTRRLVVELCNIVATRGARLAAAGILGIIKKMGKDTPRESGPEKIVVAMDGGLYEHYTEYSKCLENTLVELLGKEMATSIVFKHANDGSGIGAALLAASNSVYVEDK >Solyc07g026800.1.1.1 pep chromosome:SL3.0:7:31669043:31669951:-1 gene:Solyc07g026800.1 transcript:Solyc07g026800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNFLTLLCFTISLSILASSQLDEFICTKFNQPNKDKTLSGVAKISQNGFIQLTNDTSRLIGHVFYSSPFHFEPTTNASTFSLSTCFALAIVPEYLKLGGHGLAFTISPSKDFSTALPSQYLGLLNATDIGNFCNHIFAVEFDTARDFGFGNIDDNHVGININSLESNKSAAAEYFINDQNSKQDLNLKSGKFILVWVEYDYVTKLVNATLSPNSLKPKIPPLSYKFDVSLIFKENMYAGFSACTGLLASLHYILGWSFKLNGEATLLDLDFSEWRHKSLIGLSVCLSLLDFRESSSNATTP >Solyc04g056630.3.1 pep chromosome:SL3.0:4:54548425:54554975:-1 gene:Solyc04g056630.3 transcript:Solyc04g056630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASVFLYHVVGDLTVGKPELVEFTETQTVEAAIKAIGESTECGIPVWKTRSQKGMIENAEMRRKRFVGILNSLDIVAFLAREECLADQEKAMKTPVSEVVLPDNSLLKELDPATRLIDALEMMKQGVKRLLVPKSVVWRGMSKRFSILYNGKWLKNIDTSNPAANANRPSTSSPVPIRDKFCCLSREDIIRFIIGCLGALAPIPLSSIYSLGIINPNYCSIEASRPAIDATQKLPSDPPAVAVIDPMADDYNKIIGEISATKLWKCDYLAAAWALANFSAGQFVMGVEDNISPSSLPDFPVNSMVTNANTANSRGSIVRPKKFSSRSIGFVSNPTNSSLSVSRSMYRGRSAPLTCKETSSLAAVMAQMLSHRATHVWVTDADNDDHLVGVVGYADILAAVTRPLPTTNPESHSCSSMLIN >Solyc12g033030.1.1.1 pep chromosome:SL3.0:12:37779505:37779753:1 gene:Solyc12g033030.1 transcript:Solyc12g033030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGIKYAVFTDKSIRLLGKNQYTSIVESGSTRTEIKHWVELFFSVKVIAINSHRLSGKSRRMGPIIGHTMHYKRMIITLQPG >Solyc02g063240.3.1 pep chromosome:SL3.0:2:35846272:35849155:1 gene:Solyc02g063240.3 transcript:Solyc02g063240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDYLNLFVEETSFFNRIVLGTFLPESWWGPLPHWFQGWLRNYIGGVLLYFISGFLWCFYIYYLKRNVYLPKVSCGVVMEETTMFSASLNIVIADAIPSNRAMLLQIGVAMKAMPWYCALPSLSEYMIENGWTKCFSRISDVGWIPYLIYVAVYLVIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLLPVHFTTHIALLFIEAIWTANIHDCIHAKVWPVMGAGYHTIHHTTYRHNYGHYTIWMDWMFGTLRDPVEDEVKKL >Solyc11g069020.2.1.1 pep chromosome:SL3.0:11:53874882:53877491:1 gene:Solyc11g069020.2 transcript:Solyc11g069020.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYTEVTSLMQTLQRLMQLHPKSRKKTESLLQKVSLLQSFFDDSRKDHEDIKFLEGIIRDVSCKAEDIVEEIMFEYSSSSCLKKNATKFVGVHRLVFRKIDESAITSVYNDMCCIKGRSTPSSSRDVTQSLSSQKDHVVVGLYDDFLRIADKLTGYPDKLDVVVIFGMGGIGKTTLAKRIYHDKLIEEHFYVRAWITVSERYKVRNMLLDLLVCTSKVAFIMDEMENEELGERLYKSLKGQRYLIVMDDVWYTEAWDDVRRYFPNDNNGSRVMVTSRIMKVARYINPLNPPHQMRFLTVEESWKLLQKKIFGLDDPSCCCCDDEMERIGMEISKKCKGLPLAIVMVAGILSKESATASKWSDIAENIHSSFVTEESRPFLDILALSYNHLSRHLKACFLYMGAFPEDVEVPVWRLIRLWIAEGFIKLESPKTLEFVGQEYLQELIDRSLIIVSKRSYDNRVKTCSIHDILRNFCQEEAKQEKLLHVVRRLEPHFPQGVHRRLHFHSDIFAYSSYTYSNPYVRSFLSSKACSVLEDSYFGCIGFKLLRVLDVVNYSFYGFPIHVIKLVHLRYLALSINSELPRSISKLKSLQTLIIYWGTKEMRILPLELWKMPILRHIHVKGDVLLFGSPIDDHHSKRNFRVLENLQTLCTITISTINFSHRLIATLPNLKTLASNLVTGGNHDVDWLGSCLNNLHQMYSLETLKLLFNLPMKNPLPRNSIQRWNAFPPNLKNLTLSCSLLLWQDARVLGNLPNLEVLKLKYFSFQGPEWETDEEGFHRLKYLLVESRDLVVWKQASTDSYPFPALQHLVFRFCNKLKEIPYEIGDIPSLQVIELYSCSPYATRLARMIQQDQIDSGNSCLEVFIHPAR >Solyc01g016300.1.1 pep chromosome:SL3.0:1:18620921:18622146:-1 gene:Solyc01g016300.1 transcript:Solyc01g016300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNTRQQIGGGFSVAEIEYPYSIRTFQCHETHHILKSDLSKSDYSVEIQKDIPRNKKLNETDPERADHFVENLGDGQSSKRKREEEDVASPSFTRKSQSEDLIVYDLNSISILLQ >Solyc08g074700.1.1.1 pep chromosome:SL3.0:8:58974842:58975120:1 gene:Solyc08g074700.1 transcript:Solyc08g074700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHLLYRYRAIEAVRRAIIGHFHCAMSGQFQRNGKIWIRVLADIPITGKPTEVRMGRGKGNPTGWIARVSRGQILFEMDGVISTLFYLRFFI >Solyc02g079350.3.1 pep chromosome:SL3.0:2:44494303:44511931:-1 gene:Solyc02g079350.3 transcript:Solyc02g079350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANAISSRRPSPTRLEGKFMGIFVCWILGFGSFVSWNSLLSIGDYYYALFPDYHPSRVLTLVYQPFALGTMAILAYNEAKVDTRKRILAGYVLFTLSTVALIVLDLATSGRGGIGNYIGICFIVGCFGVANAHVQGGMVGDIAFMCPEFMQSFFGGLAASGALTSGLRLITKAAFGNSNNGLRKGVMLFLAISAFFEFLCILLYSFVFPKLPIVKHYRSKAAAEGSTTVAADLAAAGIGIQPMEKANNDAKQSERLTTKQLLLQNIDYVLNLYLIYVLTLSIFPGFLYENTGTHQLGSWYPLVLIAMYNVWDLIGRYVPLIKKIELQSRKGLIIATLSRFSFIPCFYFTAKYGDQGWMIFLVSFLGLTNGYLTVCVMTAAPKGYKGPEANALGNLLVLFLLAGHREIDDIGSLQHIGFLMAGTEHITIDCIEVPSRPLRSSVVKLLDVEQRRNALNQMNWDLERQALEVVSKLEQHTLFLFAWTGGISIYLTTSIPSAMAFAMSILLMCLFLADSFIHIGMAKSRITKMNVLIMNLMRSYKYLREETRNLMEFDRSKKRRLANVVDNSEVPVMDMDSFIARTKTICDDATDFSDFEKARHKMIDVSWGFGGIFIPVVLFMSFCNYYYGQDASSPDKLSFAV >Solyc01g100640.3.1 pep chromosome:SL3.0:1:90490508:90491812:1 gene:Solyc01g100640.3 transcript:Solyc01g100640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDHEDRFDFDPLDVTKTWPDDILPLQPVGRLVLNKNTDNFFNENEQLAFCPSIVVPGVYYSDEKMLQTRIFIYSDTLRYRLGLNYCNFLLMLQSVLITAITMMALSILCTGMRRSATSVQGMIKFAMLRCILFLQQSAVVNERSVSFRNRTILSKQEKGTPQFIRHFIRRWVEAFSDPRITFEIRNTWISFWSQVSIPSAKQALKMSLSRDHDGDNIN >Solyc08g076150.3.1.1 pep chromosome:SL3.0:8:60296088:60297905:-1 gene:Solyc08g076150.3 transcript:Solyc08g076150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRTSVLYQTPFLIPKEYHAKAQELNFSLKEQEWISMIKKCNNMRELKQVHGQILKLGFICSSFCAGNLLSTCALSEWGSMDYACLIFDEIDDPGSFEYNTVIRGYVKDMNLEEALLWYVHMIEDEVEPDNFSYPTLLKVCARIRALKEGKQIHGQILKFGHEDDVFVQNSLINMYGKCGGVRQSCIVFEQMDQRTIASWSALIAANANLGLWSECLRVFAEMNSEGCWRAEESTLVSVISACTHLNALDFGKATHGYLLRNMTGLNVIVETSLIDMYVKCGCLEKGLFLFQRMANKNQMSYSAIISGLALHGRGEEALRIYHEMLKARIEPDDVVYVGVLSACSHAGLVEEGLKCFDRMRLEHRIEPTIQHYGCMVDLLGRTGRLKEALELIKGMPMEPNDVLWRSLLSACRVHQNVELGEVAAKNLFMLKSRNASDYVMLCNIYAQAKMWEKMSAIRTKMVNEGIIQVPGSCLVEADRKLYKFVSQDRSHTCSDEVYDMIHQMEWQLKFEGYSPDTSLVLFDVDEEEKRQRLSTHCQKLAIAFALIKTSQGSPIRIVRNVRMCSDCHTYTKLISTIYERDIVVRDRNQFHHFKDGTCSCKDYW >Solyc07g055770.2.1 pep chromosome:SL3.0:7:63840872:63842046:1 gene:Solyc07g055770.2 transcript:Solyc07g055770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMPPTGRECCQSLMPDIISNLPHNVIDVILILLPFKDAVRTSVLSKKWRYHWCRRTELTLDESLWKQREDLNPTVRFREIISQLLTLHEGPITKFTLDIVHLKRLPEIDDFIYFLRNHIQDLVLRLPLRKQYALPSTLFTCSQLRHLNLHSCSIYHPSAFEGFDKLISLELCGVSTSSELLESLISHCPLLEQLELSTSEDLDMIEINAPMLRFFSFTGNISSIYLKNVPRLVEAFLLGDIEQTESLDFAKIFESCSALEQLSLDFLSSEFVAEEGYKVPKRLPFNLNVRRFDLLDISLVESYKLSHILCLLRSFPYLEYLEMQVCSALTFFNLI >Solyc09g074910.1.1.1 pep chromosome:SL3.0:9:67138632:67139228:1 gene:Solyc09g074910.1 transcript:Solyc09g074910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQDELKHRSTTKSQQTEQYTKSAHDKDSKSNKNINRSTRKQIAKRGVKSLTIALSIPLLLTLIDISLFGSSYQYVSMEKPFWFPRLWALHLACLGSSLLMGLSAWLVWAEGGFHRQPMAIILYLAQLGLSLAWDPVVFKAGATRIGLVLCVALFGVLIGCFRAFKNVNPIAGDLVKPCFGWAVLLSLANLKLVYH >Solyc05g025620.2.1 pep chromosome:SL3.0:5:35229013:35234678:-1 gene:Solyc05g025620.2 transcript:Solyc05g025620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVAKYKESLVLLSKAHFQISTNPLSRPLPPLLDGLPTAAVQKEISVLNQHNDKLVGVLHDTRSREIVVLCHGFKSSKDFDILVNLASAMEKESISAFHFNFPGN >Solyc06g076020.3.1 pep chromosome:SL3.0:6:47333986:47337132:-1 gene:Solyc06g076020.3 transcript:Solyc06g076020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVGFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDASVQEDMKLWPFKVIPGPGDKPMIVVTYKGEEKEFAAEEISSMVLTKMKEIAEAFLGSTVKNAVVTVPAYFNDSQRQATKDAGVISGLNVMRIINEPTAAAIAYGLDKKATSAGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDITGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGVDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKAEDEELKKKVEAKNSLENYAYNMRNTVKDEKIGSKLSSDDKKKIEDAVDQAISWLESNQLAEVDEFEDKMKELEGICNPIIAKMYQGAGGDAGVPMDDDAPPSGGSSAGPKIEEVD >Solyc07g052640.3.1 pep chromosome:SL3.0:7:61229212:61233883:1 gene:Solyc07g052640.3 transcript:Solyc07g052640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSSNTVEMPMVPRFIHTQNRLKDLLLIFSTLVIFYLIFLLHHIQTPKTSFNPNPNFSKTLSTSTNLSISHLFFSIAASSSSFSNRLSYINLWYKPNSTNAVVFLDDPISISTLSVSSPPILVSSDTSKFPYSFPAGRRSAIRIARIVKDTFDLVKNVNFNDTRWFVFGDDDTVFFTDNLVRVLSKYDCEKWYYVGYNSESFEQNEKYSFDMAFGGGGFALSAPLAKVLARVLDSCLIRYPHLYGSDSRIFSCVAELGVHLTHEPGFHQVDVRGNLFGILAAHPLSPLLSLHHLDVVEPLFPGMTRIQAVDHLFQAVHADPARILEQTVCYDKSNLLTVSVAWGYAVQVFEGNQLLPDLLSLQRTFTPWKRGKNVSASYMLNTRVNPSDPCKKPDVFFLKKVLSSIDGVWTEYTRHSVGKCVRKNPTKKLVNIRVFSQKLDFDVEQLKAPRRPCCDISAPINETMIVGIRQCGHDELISMQT >Solyc07g017290.3.1 pep chromosome:SL3.0:7:6651044:6656894:-1 gene:Solyc07g017290.3 transcript:Solyc07g017290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKWRYVDGKYYTVASMEEFSNQISLGVDKYLEIVELYVITFLGRILGNLDLAISWVEKFPLPEEKRQDLLRQLHSMNTLKLVSTSQSSALPLQIDECTTDSTSLIEEKSCNGTANILEHRDLSKGENTKKQSILEFPRRRTPLWWFRTVTLKFGSSRLVLSNGSISLGFLAALFYYIVRRKQASLWSVLKRQASSTKKALVDFWQLAFSYQVNPLAAVQPLPPATLGSR >Solyc04g007330.2.1 pep chromosome:SL3.0:4:1036490:1046598:-1 gene:Solyc04g007330.2 transcript:Solyc04g007330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVAQKLKESEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFSDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCEAVDGPMIEEYAFSFSYSSSDSEEVSMNVNRIGMKKGGTFKCNSNTEITPNQMRSSACKMVRTLIQLMRTLDKMPEERTILMKLLYHDDITPADYEPPFFRGCTDEEALNPWMKNPLKLEVGNVNSKHFVLALKVKSVLDPCEDENDGNQDDVMSLGADSVEKDDSASDSELSDSDEDQYIVAPVDKQDVQDEDDTQDPNEDEQQFSRVKDWINTYHLDRVEMTDVLSNFPDISVALVEDISEKLVKEGILLSAGTDTYIINKQKKFDYEFDVVKEEIEGQQNQNGNGSQRGKGEDYMYMKALFHALPMNYVSVAKLQRKLEGEANQTVVKKLIDKMTQDGFVEAKSYRRLGKRVIQSDLTEEKLAEVKKVLAKDNMDVNMHESANNFRDLSTCGALHSIGSDLTRTRGKADAYRNGSVMSDQTVSKRKEHENTPSSKAEPVASRESFIPGKENGRINGKPNQPDEYEIVCSRSSQDKRRRKASMVKEPIFQYATRQNSQVV >Solyc07g051960.2.1 pep chromosome:SL3.0:7:60644333:60649519:1 gene:Solyc07g051960.2 transcript:Solyc07g051960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRIPDERGEGTDSGRRLRARLRKIHHNSDNISLAETAEPPSDLILPLLRYQKEWLAWSIKQEESACKGGILADEMGMGKTLQAIALVLAQRDLKKATNGSSILLSSPGTSQELPTVKGTLVVCPVIGASQWLREIERCTTKESNKTLLYHGTNRGKFTSNLEEYDFVITTYSTILADYRPKKSKQKSNNSKLCDDGSIDNSVSVGEDVSRRKSILHSVKWDRIILDEAISEVRFLQVTPYAYYFCQNCNCSGLDLSSSDKCPQCHPQTCRRARHFLWWNKYIEKPLRIMGHKNDGGDAMVFLKHKILKSIMLRRTKKERVVDLSLPTKTVIIRKDSLDVDEFNYYKSLHNRSRELLKRYVEDGTLMNNYGHIFAMITRLRQAADHRYLVMYSRKELASGNKEAEDVEKLCDLCHDAVEDLVVTSCRHVFCKACLIDVADSVEKIACPSCTKPLKFDFTANNDKGDSNSKPTVKEFRSSSILNRIQLDKFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTSFLDLIQYSLNLSGINCVQLVGSMSIAARDAAVNKFTEDSDCRILLMSLKAGAVALNLTVASNVFLMDPWWNPAVEQQAQDRIHRIGQYKPVWIVRFVIENTIEENVIELQEKKKFLFEGQVSFPYGHHIVKAF >Solyc07g007325.1.1 pep chromosome:SL3.0:7:2067417:2069060:1 gene:Solyc07g007325.1 transcript:Solyc07g007325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMFQKVKGRSLSPAGKFYSPSQRNSLHRSTSPNRVNLYSSRSSSPASSVRFSLERSTSPSRSIAAVNRNHVVQNRSQKKSCMCSPTTHPGTF >Solyc04g070983.1.1 pep chromosome:SL3.0:4:57916251:57924028:1 gene:Solyc04g070983.1 transcript:Solyc04g070983.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRISGTKVFHNSNPSISELREDLYYPHPLVQDIMWATLHKVVEPILMHWPGKRLREKALRTVMEHIHYEDENTRYICIGPVNKIINMLCCWVEDPNSEAFKLHLPRIHDYMWVAEDGMKMKGYNGSQCWDTSFAVQAIIATGFGEEYGSTLRKAHSFLTNTQVLDNCPGDLDFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKLPSEMVGNPLEAKRLYDAVNVLLSLQNSGGGFATYELSRSYPWLELINPAETFGDIYMYTYKIYPQIPVNHKALSLYSYVECSSAAIQALTAFKKLYPGHRKEEVERCIAKAAAFIESIQETDGSWLVLVILIFQTCSGTTNTFFYRLRFFFRYGSWAVCFTYGTWFGVLGLLAAGRNYNNSSSIRKACTFLLSKQVSSGGWGESYLSCQNKVYTNLEGNRSHVVNTAWAMLALIAAGQKNVLEPIVTETSYNIDTLISLHGLDHKGRQDSNHEY >Solyc08g007820.1.1.1 pep chromosome:SL3.0:8:2326932:2327666:-1 gene:Solyc08g007820.1 transcript:Solyc08g007820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNDSSLYSSDINPLSATTPSNEEGILLLASSQPKKRAGRKKFKETRHPVYRGVRRRNNNKWVCELREPSQQKRIWLGTYSTPEMAARAHDVAALALRGNLATLNFADSRWGLPVPASKDPKDIRQAAVMAAQAFSQDTELVGVDYMNEEVNSNTIDEIKYQEIDIARANDGSSSDFGAKELCIDMENILCCNWGEDNDMLEMEGWQEKMAKGLLFSPTPRLGSCFSWDDVESDVEVSLWSYSI >Solyc07g056580.3.1 pep chromosome:SL3.0:7:64519758:64526350:1 gene:Solyc07g056580.3 transcript:Solyc07g056580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Ethylene receptor [Source:UniProtKB/TrEMBL;Acc:Q5QG25] MDCNCFDPLLPADELLMKYQYISDFFIAVAYFSIPIELVYFVQKSAVFPYRWVLVQFGAFIVLCGATHLINLWTSTPHTRTVAMVMTTAKFSTAAVSCATAVMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRYVRMLTHEIRSTLDRHTILKTTLVELGRALQLEECALWMPTRTGVELQLSYTLHHQNPVGFTVPIQLPVINQVFSANCAVKISPNSAVARLRPTRKYIPGEVVAVRVPLLHLSNFQTNDWPELSPKSYALMVLMLPSNSARQWHVHELELVDVVADQVAVALSHAAILEESMRARDLLIEQNVALDLARREAETAVRARNDFLGVMNHEMRTPMHAVVALSSLLQESELIPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDVGTFNLHALFREVLNLIKPVAAVKKLFVTLSLSSDFPEVAIGDEKRLMQILLNVVGNAVKFSEEGSVSVSAVNAKSESLIDPRAPEFFPVQSENHFYLRVQVKDTGSGINPQDFPKLFCKFAQNQEPATKNSAGTGLGLAICKRFVNLMEGHIWIESEGVGKGSTAIFIVKLGIPGRLNESKLPFTAGLPANHMQMTFQGLKVLVMDDNGFSRMVTKSLLVHLGCDVTTIGSGDECLRILTREHKVLIMDASITGMNCYDVAVSVHEKFGKRLERPLIVALTGNTDQVTKENCLRVGMDGVILKPVSIDKMRSVLSGLLEHGTVL >Solyc04g010310.3.1 pep chromosome:SL3.0:4:3634489:3639499:1 gene:Solyc04g010310.3 transcript:Solyc04g010310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIESFLQLTFHMMQAVSYIRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTHGKAHGGEVVTALFAVILSGLGLNQAATNFYSFEQGRIAAYRLFEMISRSSSVANNEGTTLPSVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLEWLRSRIGLVTQEPALLSLSIRDNIAYGRDASSDQIEEAAKIAHAHTFISSLEGGYETQVGRTGLTLTEEQKIKLSVARAVLSSPSILLLDEVTGGLDFEAERSVQGALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELIALDGLYAELLKCEEAAKLPRRMPMRNHKGTAVFQVEKDSSASHSFQEPSSPKMMKSPSLQRVSGAHAFWAADVTFSSQESPHNRSPPPEQMVENGMPLDSSDKEPSIRRQDSFEMRLPELPKIDVQSANRKLSNNSDPESPVSPLLTSDPKNERSHSQTFSRPNSEFDDFPNTSEETKDTENREPPSFWRLVELSLAEWLYALLGSTGAAIFGSLNPLLAYVIALIVTAYYTTDDKHHLQRDVDRWCLIIACMGVVTVFANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEENSADNLSMRLANDATFVRAAFSNRLSIFIQDTSAVIVAILIGMLLQWRLALVALATLPVLTVSAVAQKLWLAGLSKGIQEMHRKASLVLEDAVRNIYTVVAFCAGDKVMELYRSQLQKIFTKSFLHGVAIGFAFGFSQFLLFGCNALLLWYTALMVKNKHVNLTTALKEFMVFSFASFALVEPFGLAPYILKRRKSLTSVFEIIDRAPKIDPDDNSALKPPNVYGSIELKNIDFSYPSRPEVLVLSNFTLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKSYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVIQEALDTLIMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHDTLMSKNGLYVRLMQPHFGKGLRQHRLV >Solyc06g009795.1.1 pep chromosome:SL3.0:6:3810066:3812777:-1 gene:Solyc06g009795.1 transcript:Solyc06g009795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRDLNWVENPEVSGSETKTPVESNRCLVKLVKWRNARFEELLYNVGGHGTTDDIVLAVLILLLVLSHIIVHTDAQFDGECKFNKPLEPRPHSASVLDFGAVGDGETLNTLAFQNAIFYLKSFADKGGAQLYVPAGRWLTGSIKLTSHLTLFLEKEAIILGSKVSPWTGSEVPACLDFFCVHGNMKAVVDYAHWDIVEALPSYGGGIEAQGGRYRSLIFGDNLTDVVITGRNSFIALIY >Solyc12g039115.1.1 pep chromosome:SL3.0:12:53275768:53276919:1 gene:Solyc12g039115.1 transcript:Solyc12g039115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFIIIYVDDLVVMGNYQISVEDLGDLNFFLGIQVILLPSRVFLCQQKYIYEILDQAKMVDANPMRTSMTYRSCPMSSADSLLEDPKEYHSIVGSLQYLHLTRPDVSFVVTKLSQFKSAPTTTYWAMVKRVLLYLSGTSAKGMFLRKCKSLNLHTFSDSDLEGSNQISWSYKKQRVVASTKNFWSVIFFRNYLFFSTKMNSHISSRDDMAVLLTKPLPKSPFELEG >Solyc06g071170.3.1 pep chromosome:SL3.0:6:43899754:43909613:1 gene:Solyc06g071170.3 transcript:Solyc06g071170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVALSLYKGNLHRVPETPRRWLVPTPKISHKDFQCLLRRRSRALSRLQNPPDVSPTTATASNPNPNLKTDTKSKPEDEVPVSIPVKIEKEEEDVRVENVKEPEKLVDSSAVVVEPKLEVLENDQKLEGQVNPSGVETSNKEDATDNQKRKEIEDKLQVLNMKKHGLVQLLKQILNAEEELKRRSMQGMAVRPSPPLQVDTTNDTGSITKLNTPRMGLDGNPIGEVDGDGGDDASNQNTLPRNLLRLSSTSPSSDSQLRKTPYNVVPLASRSVGVTVSPSRFAPPGQQGQPSSLPPVSLSGTNIVASSPSPVASGGTSAFRDRFASP >Solyc05g018400.1.1.1 pep chromosome:SL3.0:5:20715992:20716144:1 gene:Solyc05g018400.1 transcript:Solyc05g018400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MERHPVAINPQYIFNLEPTPIPSCLVKSKTSKGCRKRKREEKGRQSRNTI >Solyc01g016637.1.1 pep chromosome:SL3.0:1:20751327:20752213:-1 gene:Solyc01g016637.1 transcript:Solyc01g016637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLNSLYGLEKTTEVYKEDFLDYGFFFLCLHYIHCFPLEAPHPILAKNMDTQHDLEGLVDSGITKISGETHFIFCVDKVRDALNTCGFFQIVNHDIPIFVLDEIVHARTFYLYSSSIHATNWRDCILFKIAPKPPSQEKYQGPCRYMFLILI >Solyc01g080705.1.1 pep chromosome:SL3.0:1:79809218:79810287:1 gene:Solyc01g080705.1 transcript:Solyc01g080705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEILIVGGHINYNKTEEGNVINVPCNKFAELNMFLDPFAAKTVLSSEHNITLIPLGMQRKVSAFPQILEKLYLISTPEAVFARRLMSRLYRLQKLHPAYRHVDMFIGEILGVVVAGDLSALKSTFEVKKLQVSATGVECEDGEISIDEEHGKTVNLLENVDPSAYYNVFAKRLGDKTQAAVIGSFNEQRRIWSTPSNSSNI >Solyc11g066710.2.1 pep chromosome:SL3.0:11:52763071:52768153:-1 gene:Solyc11g066710.2 transcript:Solyc11g066710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAILRFRKLCFLEPVKCSTVSVQSFEKPKNDEKQSFEQHQKPESLVSAIERVLEKPKSKKIKKQPKEWRCVDSCCWLIGYLCTSWWLLLFLCNFLPANLPGLRVPEAPGVRLKRDGLTALHPVVLVPGIVTGGLELWEGRPCSEGLFRKRLWGGSFTEIFKRPLCWLEHLSLDNETGLDPPGIRVRAVPGLVAADYFAPGYFVWAVLIENLAKIGYEQKNMYMAAYDWRLSFQNTEIRDQSLSRLKSKIELMYVSNGYKKVVVVPHSMGVIYFLHFLKWVEAPPPVGGGGGQGWCAKHIKAIMNIGPAFLGVPKAVANILSAEGRDVAFIRSMAPGLLDSETFGFQTLQHIMRVSRTWDSVISLVPRGGETIWGDLNRSPEVENICYTSKARYLQSSSKEYNGNDTDAKRSFHVKELPRYGRIISFGKEKLELPSSQLSIIDSKELMRGSASRNSNMSCGEVSSEYDEMSRNNIKKVAESDAYTASTLLDLLRFVAPKMMKRAEAHFSHGIAEDLDDPKYTHYKYWSNPLETKLPDAPDMEIFCSYGVGIPTERSYVYKLSSSDRCKSIPLQIDSSAYGSDNGCLKGGVHFVDGDESVPVVSAGFMCAKGWRGKTRFNPSGISTYIREHQHKAPSSLLEGRGTESGAHVDIMGNVAFIEDVLRLAGGATGAELGGDRIYSDIMKMSERINIRL >Solyc09g008390.2.1 pep chromosome:SL3.0:9:1852368:1853156:-1 gene:Solyc09g008390.2 transcript:Solyc09g008390.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEEKLRKGPWLDEEDERLAYVIAILGERRWDALAKASGLRRSGKSCRLRWMNYLRPNLKHGHITQDEEHLIIKLQKQLGNKWSKIAKQLPGRTDNEIKNYWRSHLRKKTLICEQGSIRHSP >Solyc00g007080.3.1 pep chromosome:SL3.0:2:32693507:32697912:1 gene:Solyc00g007080.3 transcript:Solyc00g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSMEGSVAAPIIFLIVVAVQYFSRHVELNTSRVAVNAAELKLRAEIKQLQKEANAMSQPSTFAQAAKLRRTAAAKEQELAKNQEKLMKEMKSSYDKHRKALMVVKVLTYFMMIVWFWRIPVASIPKQLLQPFGRILSWRSGGSSNENVMVGVIPWLMLSTRVSKLICRKIFK >Solyc04g078130.3.1 pep chromosome:SL3.0:4:63044073:63051655:1 gene:Solyc04g078130.3 transcript:Solyc04g078130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASHFDRWEKDPFFSAAEEVQESADRMESTYRTWIHALKDTSGSWNCDALRRDLRTTLGTAKWQLEEFDRAVRSSYNSKSADDARDRHHEFFIAIDNQIRKVEKSLNESAVSQGKPPLPWVRLDEGEVDELAAFLSGPSTSSAGITHAKVHGVELQVPKWEENVNQSLLECSQNPPHSEEGVLGEAIDEKFLGHRRTASASADIGAWQIALGNDISIKEPASPPRRIPSYQGLLNAVESVKELKWPKNGYRKLKFNQEADNTLPRSQPPTRIKHKHML >Solyc12g099100.2.1 pep chromosome:SL3.0:12:67351093:67356317:1 gene:Solyc12g099100.2 transcript:Solyc12g099100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Dihydrolipoyl dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4DHT1] MAISTLARRKATTFLSSRFLYSTSKYSFSLTRGFASGSDENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMFHEAQHSFANHGVKFSSVEVDLPAMMGQKDKAVSNLTRGIEGLFKKNKVNYVKGYGKFLSPSEISVDTVEGGNSVVKGKNIIIATGSDVKGLPGITIDEKKIVSSTGALALTEIPQRLVVIGAGYIGLEMGSVWGRLGSEVTVVEFAADIVPTMDGEVRKQFQRALEKQKMKFMLNTKVVSVDATGDGVKLTLEPSGGGDQTILEADVVLVSAGRVPFTSGLGLDTIGVETDKAGRILVNERFATNVPGVHAIGDVIPGPMLAHKAEEDGVACVEFIAGKEGHVDYDMVPGVVYTHPEVAYVGKTEEQVKSLGVDYRVGKFPFLANSRAKAIDDAEGIVKVIAEKESDKILGVHIMSPNAGELIHEAVLALQYGASSEDIARTCHAHPTMSEALKEAAMATYDKPIHM >Solyc05g007870.2.1 pep chromosome:SL3.0:5:2312350:2315569:1 gene:Solyc05g007870.2 transcript:Solyc05g007870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:R2R3 MYB [Source:UniProtKB/TrEMBL;Acc:K4BWW2] MSSTSSSCSCSPMGTSMGMFFADMNSLSINTPESLDEGKGRRGSWDFQFLNKGGSFFSSRSLDEAQNSEENNESIDLNASCFNDEKQITMHTTFNSNNNSSSSGCNGKETENGQSKLCARGHWRPAEDAKLKELVAIYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRRAFSEEEEERLMAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRKMGQFVYRRSTTTVVEEADNSSGQNSVNHFSMKMNNNNGPNGWVVYGPNGSPHMAASAGEAATPSSTNNVPLYSPYSPFAAPPFDSLPAGHCGNEMINVLNQQGGRSWDRQREVTHQHPSNSLMMMNNMQQDQSQYVLQFPYVTQISSSPSTTEQGLVKEDKRSNNNSDNTTIAPPFIDFLGVGAT >Solyc08g006090.3.1 pep chromosome:SL3.0:8:833633:839656:1 gene:Solyc08g006090.3 transcript:Solyc08g006090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Peptidyl-prolyl cis-trans isomerase [Source:UniProtKB/TrEMBL;Acc:K4CI98] MSVTLHTNLGDIKCEIFCDEVPRTAENFLALCSSDYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGMLAMANSGPNTNGSQFFITYAKQPHLNGLYTIFGKVIHGFEVLDLMEKTPTGPGDKPLAEIRLNRVTIHANPLAG >Solyc09g008495.1.1.1 pep chromosome:SL3.0:9:1964150:1964557:-1 gene:Solyc09g008495.1 transcript:Solyc09g008495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHNGDDNEGIAQLKQHLSSRFQTKDLGKLKYFLGIEVAQSSHGIAITQRKYALDILENTSMSDCKHVDSPLDPNIKVIPRQEELLKDPSRYRRLVGKLNYLTITRPDISFVVSGVTQFSQSPCDSHLNVVIRIL >Solyc01g080290.3.1 pep chromosome:SL3.0:1:79389135:79390862:1 gene:Solyc01g080290.3 transcript:Solyc01g080290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASGAGKSAAFMLLVLNVFLYFIVAVISGWAVNHAIERSEATASSLSFPAKIFPIYFPFGNMATGFLIIFSLIAGVVGFISSLTGIHNVIQWNAPNLYAAAFSSLTTWLLTLLAMGLACKEINMGWSDSNLRTLETMLIILGGTQMFCTVAIHAGIEDVIRREF >Solyc09g098520.3.1 pep chromosome:SL3.0:9:72834857:72849254:1 gene:Solyc09g098520.3 transcript:Solyc09g098520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNGMAECAVCHSKLVSPSVKTISRAYDRHRSKISSKQRALNVLLVVGDCMLVGLQPVLVFMSKVDGKFKFSPVSVNFLTEATKVVFAIIMLLIQARHQKVGEKPLLSISTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKFIMKRRFSVIQWEALALLLIGISINQLRSLPEGTTSLALPVTTIAYIYTLIFVTVPSMASVFNEYALKSQYDTSIYLQNLFLYGYGAIFNFLAILGIAVFKGPGSLDIFQGHSKATMLLIVNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASALLFGHTLTVNFLLGISVVFISMHQFFSPLSKVKDDQQNGTVELIDVRENHSSNVMESRILMACSRANFSCSRCSSP >Solyc04g009420.3.1 pep chromosome:SL3.0:4:2840804:2842887:-1 gene:Solyc04g009420.3 transcript:Solyc04g009420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAISSLVCSNIFRNGFFQCLPSISSIITPLQLKTRSRSLVVLSNEDELVPTYSTRRRALNVSILTVLLSIPFSSFAIAIGEVLELERYTDSAEGFTLLKPSSWSKVDKAGATALFQDANKGSNNVGVVVIPVKITTLSQFGTPQFVADKLILAEKRKESTKEAEVVSVSERTGEGGLQVYEFEYKVDSTRGGLKRILSAAFVASGKLYLLNIAHSDGSEGPIDPDRRNTLEQILHSFDIAPST >Solyc08g082040.3.1 pep chromosome:SL3.0:8:65075685:65081646:1 gene:Solyc08g082040.3 transcript:Solyc08g082040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAESSSNVRLVRCPKCGNLLPELHDFSVYQCGGCGTVLRAKNKGILNDGLSEVSDGEKIRAVPDRGDVAMNVDTVSDFDEEHNEFQQGRTEGRSQNGRMVSRRQVISGSDDKEVPDDLDKAREGKPSLISSRVDRFRGENYYDYDECSTSKGKRDSSAGMDNCWGKINTVESVGLSVRNELKTVRPSSLGSAPPMDSRYMERSYANGANATMQGKFSASPYPKEGPLDNDMGSYYGSVNHRRYNGGLDRVAGVAGLESNRAELLRKINELKDQLSRTCDVSEKPKDRVPVDGRMASTSIDPSSRYDVHNQGSYGANRHPLGPSKNVRERPYTYGHQGNVPYKGAHGSMMPDSYPSDSFSHEFLGYGMQYRQQMHGKPPHQMPYQHFPPTYPEHYPGHHNDNFFIPHPHETLFHQSACSCSHCLNQNYQIPPVIQPSGFVSRRSRNGAANPILHHHMNSVGYGPGGYTSEGSSALNKNYHEGRRLTRSSSDLESENGGLGYRGYPRKVVVAHRVGRVYQPIAGGAPFIACCGCFELLKIPKKLMITGKSEKRMRCGSCSAIILFELGSKESGVSFSSQVKQLSAEFAPGTSNVPNENLQNANGCLMNDEMSPWSDDYDNSNYDFADTKLESPSRSQKSNSTELEKRYSALSSPSSHSEDELSPERVILRHDLAHRAEIPLEDDPIPLLDSSQNDHAYSISPKDVEKIRKEDMKEHTDQERTILDRSTSRQNSIKDVSMAVEMDVSTNEFVHSGVSVESNQSSKEENLSKSYKGGQSFMGFIKRSLGELSRSHQSSENGRSNVFVNGRAIPDRVVRKAEKLAGSIQPGDYWYDYRAGFWGVMGHPCLGVILPNIEEFNYPIAKDCAAGNTGIYVNGRELHQKDLDLLASRGLPVTKNKSYFVEISGSVIDEHTGEELDGLGKLAPTVERVKHGFGMKVPKAIAEQLC >Solyc04g082650.3.1 pep chromosome:SL3.0:4:66345371:66353647:1 gene:Solyc04g082650.3 transcript:Solyc04g082650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:S-acyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BVZ2] MARRHGWQLPAHSFQVVAITVFCLLSVAFYAFFAPFLGKDIFEYIAIGVYSFLALCVFILYVRCTAIDPADPGILIEADKSTAYQSHNEIELPGDISAAGGPSMEGFRDGGTTASDASVCCGRLGGILCCCFVIEDCRKDDSSLEQSGDEEVLFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVCLMAFSFVWLIFECGVGIAVLVRCFVDKKATENQIIERLGEGFSRPPFAIVVALCTAVSLLATVPLGELFFFHIILIRKGITTYEYVVAMRSQSEPPGPSVDGGDQQSLPSSPTSSAVTAISGRSSVGMSLQHKGAWCTPPRIFMDHQDEIIPHLQPGRLPSTVDPDALDKDKKASHRPVRISAWKLAKLDSSEAIKAGAKARASSSVLRPVGAKHNPYDADHLSSGMSGISSPASTNQGFYDNNGRAGTSRLSPSKSSYPPSRASREDIETCGHSMSNLSSPLAPNRTPSPLALQHHPSNRDHFNPIYQSSANQSPWSAKACHENESLPPDNLSEVSTRKSNMGTPESTRSSVFWDQEAGRFVTANANRGAGSTSQVSGTELTYTGQSIFFGGPLLNENMNRGARSSGTPFAGGPQRSYYQGRTQRGGQLPVFVPSDSQQNQFSSR >Solyc03g005740.2.1.1 pep chromosome:SL3.0:3:518608:521515:-1 gene:Solyc03g005740.2 transcript:Solyc03g005740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWQGQKLVEQLMQILLVSFGVVAFLTGYMLGSFQTMLLTYAGGVVFTALLTIPNWPVYNRNPLNWLDPSVVEKYPKPLPATTGSKKKSTKK >Solyc10g085210.2.1 pep chromosome:SL3.0:10:64604350:64606861:-1 gene:Solyc10g085210.2 transcript:Solyc10g085210.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGGSCSSHDDNFFEVFLVGWFIRQEQFQNELVVAQDTFDDQENDMRGLIHRVLAHYQQYYEEKSRMTHRNVFRVFSPTWFSPLERSFLWITGFNPGLVFNLVTNSINDLSEHQVERLNRLKQETKAQERSLTKELAQIQESVASPPLVDLARRLGTQLLYTDNINTDIEEVDGDIDQLKTALENVVTDADRLRTRTAERVVGLLSPLQSLKFLSAVGQLQLRARMMGMEREVERQQQRNEDTNGW >Solyc10g051090.2.1 pep chromosome:SL3.0:10:51422308:51424468:1 gene:Solyc10g051090.2 transcript:Solyc10g051090.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANNAYQLDPDPLDPSVLTGQLTHRSRDIWIANDNMILNTRKCDGKFWDLVNEHLIHPRVLDVIKLSGLYGVYRSHRPVIDRTLITALVERWWPETQTFHFRTGESTVTLQDMEILYGLPVKDTSGGLLKLMYLPMLEDITTVGSYSWGSATLAYLYRFLCKASQSSQYEIAGFLPLLQIWAWERVTVLRPQIVAKRDTRNIFPIGLPRGPHASRWYAHFSWTDTTKHVLRVFRDALDSMTEDQFIWEPYSSDIIESLPKYCRVGRDIWHARVPIFCWDVVEVHLPDRVMRQFGLVQAIPSSFAFDATHFNHDRRGRSNTNWELEHAQWLHFWNHIDQYVRNAPILHGSLRLARSVETSSAKVLEQAWIDMRGMIVEVLKIFVTSEILFVLCGLPYK >Solyc06g008400.2.1 pep chromosome:SL3.0:6:2325941:2332226:-1 gene:Solyc06g008400.2 transcript:Solyc06g008400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:NBS-LRR resistance protein-like protein [Source:UniProtKB/TrEMBL;Acc:A1Y9Q8] MEKRKDNEEANNSLVLFSALNKDIADVLVFLENEENQKSLDKDEVENLKLKMAFICTYVQLSYSNFEQFEDIMTRKRQEVENLLQPLLDDDVLTSLTSNMDDCISLYHRSYKSDATMMDEQLDFLLLNLYHLSKHHAEKIFPEVTQYEVLQNVCGNIRDFHGLIVNGCIKHETVENVLPLFQLMAERVGHFLWEDQIDEDSDEDDQNDRDSRLFQLLLKIVPTEMEVMHICYTNLKASTSAEVGRFIKKLLETSPDILREYIIQLQEHMITVIHPSTSGARNIHVMMEFLLLILSDMPKDFIHHDKLFDLLAHVGVLTREVSTLVRDLEEKLRNKEGNNQTNCATLDLLENIELLKKDLKHVYLKAPDSSQCCFPMSDGPLFMHLLHMHLNDLLDSNAYSIALIKEQIELVKQDLEFIRSFFVDAEQGLYKDIWARVLDVAYEAKDVIDSIIVRDNGLLHLIFSLPITIKKIKLIKEEISALDENIPKDRGLIVVNSPKKPVERKSLTTDKIIVGFEEETNLILRKLTSGPADLDVISITGMPGSGKTTLAYKVYNDKSVSSHFDLRAWCTVDQGCDDKKLLDTIFSQVSDSDSKLSENIDVPDKLRKQLYGKRYLIVLDDVWDTTTWDELTRPFPEAKKGSRIILTTREKEVALHGKLNTDPLDLRLLRSDESWELLEKRAFGDESCPDELLDVGKEIAENCKGLPLVADLIAGVIAGREKKRSVWLEVQSSLSSFILNSEVEVMKVIELSYDHLLHHLKPCLLYFASFPKDTSLTIYELSIYLGAEGFVGNTEMKSMEEVVKIYMDDLISSSLVICFNEIGDALNFQIHDLVHDFCLIKARKEKLFDRIQSSTPSDLLPRQINFVFDKSQNDQEHFGLNFDLFSSNKERHFGKHIYSLKINEDQLDDSLFDTFHLRHLRLLRVLFLTLSFIMVNDSLLNEICMLNHLRYLRIGTQVKYLPLSLSNLWNLEFLWLENKESTLILLPRIWDLVKLRVLSVDACSFFDMDADESILIAEDTKLENLRMLGELFIFYSEDTEDVFTRFPNLQVLSFEIEESWDYSTEQYWFPKLDCLIELEKLSVSFGSSNTNHSGSSVATNQPWDFHFPSNLKQLLLCDFPLTSDSLSTIARLPNLEELSLYDVIIQGEEWNMGEEDTFENLKFLNLRLPTLSKWEVGEESFPNLEKLKLRGCGELEEIPPSFGDIYSLKFIKIVKSPQLEDSALKIKEYAEEMRGGGELQILGQKNIPLFK >Solyc10g079120.2.1 pep chromosome:SL3.0:10:60858227:60861939:-1 gene:Solyc10g079120.2 transcript:Solyc10g079120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNMNHLTVETEDTLASLLEIASNNDIDAFKRWIEHDLSSINEVGMWYGRQKGTRQMVLEHRTPLMVAAMYGSIDVLKLILSLAGVDVNRSCGRDNSTALHCAASGGSLNAVEAIKLLLEAGADPNVKDAYGYRPLDVLVTSLKDHTIKSCLENLLKTDGMGDCNLRVSMTTSSPRSAKSTDLPVPSPPEKKEYPIDPSLPDIKNSIYSTDEFRMFSFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCDRRVCFFAHMQEELRPLYMSTGSAVLSPRSNTRSPSANGVANMGWPPQNVPALHLPGSNLQSSRLRSSLNARDIPAKDLTMLSDFDVQQQQLLNGLSCLSQSSTHANSFNRSVRPKTLTPSNLEDLFSAEGSSPRFSDQALSQAVFSPTHKSAVFNQYLQQQKAMLSPINTSFSPRNVDNPILQGSFGVPSSRSMSPRGMEPISPMSSRVSMLAQGDKQHQFRSLSSRDLGSSAASAIAGSPSDTWSNWGIAPGKPDWAVNSEEFGRLRRSSSFELANNGEEPDLSWVQSLVKESPQEAKNKSASRVSGLTDSGADISEGSTSGSQIEQYDQLGAWMEQMKLDQLIAR >Solyc03g079950.3.1 pep chromosome:SL3.0:3:53289956:53297566:1 gene:Solyc03g079950.3 transcript:Solyc03g079950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVEEVLDEELLMHDVKATKKEENDVTSKKKSPKKKMKEEQEKKMKLENQLNEGVENEATEENIPEKQSGTNLSSSRLPLVLPEKVQRTKALVECEGDSIDLSGDVGAVGRIIVSDGPSGNHEMLLDLKGTIYKTTILPSRTFCVVSFGPSEAKVEAIMNDFIQLKSQSNVYDAEHMVEGIIGTLDGFTFDSDEEAENLTQPASQGDQNENVDHQTNGNVKAKAKKASAMEQKKGKKGAKIPKKVKKKPQVGRDYHKNSNVDDQRII >Solyc01g099110.3.1 pep chromosome:SL3.0:1:89308699:89318795:-1 gene:Solyc01g099110.3 transcript:Solyc01g099110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSLSVSTTMLTCSSKLHHYPPRSFRFLTFSLPIFRKLGICPKFLSKSPKLTVRSSSSITAKPSWEFQKKDSGKEQDNKLRALREIFTGPGVNVDAYIIPSQDAHQSEFIAECYMRRAYISGFTGSAGTAVVTKDKAALWTDGRYFLQAEKQLNSSWDLMRAGNMGVPTPSQWLNTVLAPGCRIGIDPFLFSSDAAKEFKEDISKGNHELVFLYDFNLVDEIWKESRPNPPKEPIRLHDLKYAGVNVSSKLSCLRSELRNAGASAIVISMLDEIAWLLNLRGNDIRHSPVMYAYLVVEIDGAKLFVDDTKVTPEVMEHLTNAGIELRAYESILSEIESLAAKGANLWLDTSSVNAAIVNAYESACYKYPWDSATKSRKKRNANLNSNGQSGGPCAVYRSSPVSLSKAVKNDAELEGMRNCHLRDAAALAQFWAWLEDEIQRDVVLTEVEVADKLLEFRSHQDGFLDTSFDTISGSGANGAIIHYKPESDSCSTVDGKKLFLLDSGGQYIDGTTDITRTVHFGEPSAREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKVGLDYRHGTGHGVGAALNVHEGPHSISFRFGNITPLLTGMIVSNEPGYYEDHHFGIRIENLLYVKEEDTPNRFGGIGYLGFEKLTFVPIQVRQYRPD >Solyc03g083010.3.1 pep chromosome:SL3.0:3:54278221:54284404:1 gene:Solyc03g083010.3 transcript:Solyc03g083010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPFCEVGKYQNGVDASGNNGTKIFYKTYGQGPVKVLLIIGLAGTHSSWDPQIKALAGTITPNDSESAAGDRSYGEGGGMEVCAFDNRGMGLSSVPTKKSEYTTGIMAKDAISIMDHLGWEKAHVFGHSMGAMISCKLAAMVPERVLSLGLLNVTGGGYECIPRLDRQTLSIAVRFLKAKTPEQRAAVDLDTHYSKEYLEEDVGTTTRRAVLYQEYVKGISASGMQSNCGFDGQINACWTHKISRADLESICSAGFLISVIHGRHDVIAQLSHAKRLAKKLHPYSRMVELPGGHLVSHERTEEVNDALLELIKASTSKISPYDWTNLPMKSSGWNTTPLTRKSSREGSRSSVLADIVERLQMFLLFFFGLFMLAFEFMRRGVSRLKPVRVNAALT >Solyc08g080950.1.1.1 pep chromosome:SL3.0:8:64208678:64209097:-1 gene:Solyc08g080950.1 transcript:Solyc08g080950.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKETILGYVVALLILICMVFNNILIVEAQKHNGHMKAILTINDFSKGGDGGGPSECSAKYYHNSVPIVALSTRWYNKGKRCFDKITIYANGKSTKAMVVDECDTSRGCKSNIVDASEAVWKNLGVPKEDWGWLEVHWSD >Solyc02g093447.1.1 pep chromosome:SL3.0:2:54959054:54962213:-1 gene:Solyc02g093447.1 transcript:Solyc02g093447.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGDWANEDELELVNEDGFVYKRRKKFHLNPTAAPKLKDPAVEEKNRLQRKKKVLMKIKERYQNEINQWELLSTTLSEMQKSQPNPYQERDTLSFNPPVMSSDPTCQPLVDQLLTQLPGIWEIVI >Solyc09g011020.3.1 pep chromosome:SL3.0:9:4358349:4365729:-1 gene:Solyc09g011020.3 transcript:Solyc09g011020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFRIGAKLYRAARIGGSAVSDFRSPSTRFFSINNNVNTGFKTNPVALQMINYALSLARAQKSDESYAQAQLVLEQCHSTQSDESAKGLVMLAMSTLFSERGNFSEAIEKLQKIQDLGLSTLANPQFEVPIVTIRHMIAVAASEALAGLYLESYQDDFSSATADMCLQLLETIRLEIGGGGSDILEARAKALKGLVELVHGNAESAESFFEGAQGDKGCFGNVALSFGEFLHCKRNSQMARELYQRAMQDVSERKDFTDSQSISACNMNLEETLLGATCALGQLEAHLGNFDDAEEILTAALKKAEECFGNYHPKVGIILTCIALMYRHKAAMEQSSSLLIQEGLYRRAIEVLKAPPLEVEGVVATRSRRDILALARGGYAETLIVQQNRKAEGEKLKQWAETAWTSRRLSLAEALESSAKVSVIDTRISRVL >Solyc01g067040.2.1 pep chromosome:SL3.0:1:75190014:75194097:-1 gene:Solyc01g067040.2 transcript:Solyc01g067040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNKKSVECLQIQSSLKMESDNSKGTGPGAMDMYSLVKFGLTSLKKEESNNIFVIGDLVWGKVMSHPWWPGQIYDESLIPSPVCDAKRDGSVLVAFYGDYSYAWLDRNQIIPFEPHFEEKSNNSKIQTFFVAVEEAIDELKKRAVLGLTCSCLGNFQPTRNEGLYKVDLNGYTPGTIYSSKQIKKCRDGFHPHGMFSFVKKLAMSPRSLPNNVHGIINIAKVTSYRKAIFEENDYTYDQAFDEFEKGVDTYVQAFGVKASSSVDPIEFSKKEGNQCTDGDKSFEYQGDCSKKSCKRETKRKRREESPPDDQDHKGKFQTDALFDHLVAKSGEETEKHNELNDYGAELGNVTDAFKHLKQSPSTFYKESHCPDEHEKTNKKLTLMNCQDEEELRGFKSLHIQSTLSVESEKKFTEELEWKAEPTMLVIKFSPQTMLPTASELKAKFGFFGPFDESALRISWGSATCQIVFLNKCNAQAAYDYAIQSRTLFNSDVNYHLVDFDGSEKLMGECFDDIQSFKSEPPHMSLQELPLQFDTEMKPLPKENPKWRSDVEEKAFLKGIKDTKSRVCDYPEVDVKVNIDSESCPLVLSDILCPSSPVSSSIQYKHKVGCLDETVVSINSKYSMDEGWKSHYQQNLSAISTSDLSLQLANLFQKCNEILGGIDESQNQFSNQSELK >Solyc12g006170.2.1 pep chromosome:SL3.0:12:712491:719071:-1 gene:Solyc12g006170.2 transcript:Solyc12g006170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTPKEEATDEVFSENLEQKPDPDPATSKLELKADVVSGELQKRLSQCDKEINVSQSNQEAISLSGVQDNQSENVRKQQVVKSEADASGSSQLSSLPKDSDAKSCGSESSVKDKVVSVLSGKASDSSDQMRSSNMEVFVSQSDLQRVSYTIKREKALDKLQPRRNPDTSAHGLTSDQGTTLLKAPEKPSEDGYNWRKYGQKLVKGNEFTRSYYKCTFPNCLAKKQVERSHDGHITDIHYIGKHEHPETLSVPQMSPEYVLPLQMKRPDIPIITALEAEGEKSSTPRETCEPSKPPEAPLALAIVSACDSVKVTPLKRYKLENEVDKGDSADSKKQKKDTVATDDTPPVKSHSEPRHIVQTVSEVDIINDGQRWRKYGQKIVKGNPNPRSYYRCSVAGCPVKKHVERASHDPKLVITTYEGQHVHDFPTSRPISQISVAPDAGTAGIREDSRTESGEKKHVVESKTESGEMKHIRESKTEAGETKHVKESKAESGENKHVGESKTESDGNKHVEESKPELVGNKHVGESISESGENKHVGLDMAVHIGAN >Solyc07g016170.3.1 pep chromosome:SL3.0:7:6360748:6388731:1 gene:Solyc07g016170.3 transcript:Solyc07g016170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVMDLVMPPVLLSEWVFFFIFCMLVNSQLPENLKCKVVRNLIQAGHEVHVVTGAPDYVYTIETQSPRLFIRKVLLDCGAVQADALTVDPLASLEKYNQTAVLPRDSILATEVEWLKSVKADLVISDVVPVACRAAADAGIRAVCCTNFSWDFIYADYVMSAGYHNRSIIWQIAEDYSHCEFVIRLPGYCPMPAFRDVIDVPLVVRRLHKTREKVREELGILDSQKVLIYNFGGQPAGWKLKKEYLPEGWICLVCGASEDQEIPSNFIKLPKDFYTPDAIAASDVLLGKIGYGTTSEALAYKVPLIFVRRDYFNEEPFLRNMIEHYQAGVEMIRRDLLNGCWAPYIERAITLKPCYDGGVNGGEVASRILQDTATGKHHSSHGLSGARRLRDAIVLGYQLQRITGKDIAIPEWYSLAQNELRSRTQLANKEVLDIGSLTRQSDYFTILHGDHQGLPDTLGFLKSLAEMESSGRPQNNNKLQTRDHLAASAMFNWEEEIFVSRAPGRLDVMGGIADYSGSLVLQMPTRESCHVAIQRNHPSKHKLWKHAQARQPKEGPTAVLQIVSLGSELGNRGPTFDMDLSDFVEDGRPITYEKAYNYFARDPAQKWAAYVAGTILVLMTELGIRFEDSISILVSSGVPEGKGVSSSAAIEVASMTAVAAAHGLNIDPRHLALLCQKVENHIVGAPCGVMDQMASACGEANKLLAMVCQPAEVLGLVDIPPTIRFWGIDSGIRHSVGGSDYKSVRVGAFMGKKIIKSSASVELCSSLSNISTQQINKSNPDDADEDGKNLLETEASLDYLCNLSAHRYEASYATRLPESLSGQEFVEKYLDHDDSVTTIDKERNYAVRAPTRHPIYENFRVKAFKVLLSATPSNYQLSALGELMYQCHLSYSACGLASNGTDRLVNLVQEMQHSKSSESEGGTLFGAKITGGGSGGTVCVIGRNCLRSNQQLVEIQQRYKTATGFSPYVFEGSSPGAAKFGHLQIRLLKSRQT >Solyc10g018705.1.1 pep chromosome:SL3.0:10:9671879:9672528:-1 gene:Solyc10g018705.1 transcript:Solyc10g018705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQLLHSLGKLGFCAVLDYVFLCFLFLFPSRNFPLFKMRKLITTKELDWIKQVVLVYLSFCDPLSYAHGPVIKPNGRAMVFMLKPFKTIPLSLDTSKTLCIEGVSPHYSRREHGGHNVILGYVDFVDVTCSYTSLSASQGVFSQ >Solyc05g044510.2.1 pep chromosome:SL3.0:5:57548810:57555975:-1 gene:Solyc05g044510.2 transcript:Solyc05g044510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRIHSHPSSSSTEDEADNLMNEAQVGGFVDTDLTAEAVCPNITKGECSIKIKEEQVEQDGVINDVGNGGDILLMWQKWEEENDRWMEENYALYLDFNSQNEFMTETAEQPSDLIIPLLRYQKEWLAWALKQEESIVRGGILADDMGMGKTVQAIALVLAKRGIGQAISDSGLLSPAPCILQAVKGTLVICPVVAVIQWVNEIERFTTKGSNAIFVYHGANREKNICRFAEYDFVITTYSTVETEYRKNIMILHSMNWNRIILDEAHCVKNIRSNTTRAILSLESSYKWALSGTPLQNRVGELYSLVHFLQIIPYSYYFCEDCDCRALDNSSFECPHCHHQSFRHFCWWNRYIASPIQSQGNHGFGRDAMVLLKHKILKTIMLRRTKKGRAADLGLPLKIVTLRKDCFDVKEEEYYRSLWDESRAQFNMYIQAGTLMNNYVNIFNLLTRMRQAVDHPYLVECSSSSLARSGRTTNVGYVEQTCGLCHDPAKDPIVNSCTHVFCKSCLINISAIVEQLSCPSCFKSITVDFTANDQKTKATIKGFRSSSILNRICLDNFQTSTKIEALREEIRFMIEREGSAKAIVFSQFTSFLDLIHYSLQKSGINCVQLDGSMTITARDSAITRFTSDPDCIIFLMSLKAGGLSLNLTVASHVFFMDPWWNAAVERQGEDRIHRIGQFKPTRIVRFVIENTIEERILELQEKKKLLFEGTVGGASEALEELTEADLKFLFVT >Solyc08g067960.3.1 pep chromosome:SL3.0:8:57081091:57086837:1 gene:Solyc08g067960.3 transcript:Solyc08g067960.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDIVIEHSGSPHYEALNLQNVTTSENDADLVRSYCGGFFPEGSTHEEKSTCTEALDRGFFEYGCSHYRRRCRIRAPCCDEMFDCRHCHNESKNNINIDQKLRHEIPRHKVERIICSLCNTEQEVRQVCINCGVCMGRYFCETCKLFDDDISKRQYHCSGCGICRIGGMENFFHCPKCRCCHSVLLRNSHPCVEGAMHHDCPVCFEYLFESINDVTVMPCGHTIHKNCLKEMQEHYQYACPLCCKSVCDMSKVWEKFDMEIAATPMPEPYQDKKVWILCNDCGITSEVQYHFVAQKCPCCKSYNTRQTRGG >Solyc06g062627.1.1 pep chromosome:SL3.0:6:39654551:39655461:-1 gene:Solyc06g062627.1 transcript:Solyc06g062627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVAAALIAAVATPTFTPPTALTALLILENAAIPPIKKALKATARFIFLIISLPVKTDKTSYSTKKTSWIELQRRKQQTTSVERLTNNLSQCLSSPCGSPHSTFSTFL >Solyc04g078250.3.1 pep chromosome:SL3.0:4:63109871:63113592:-1 gene:Solyc04g078250.3 transcript:Solyc04g078250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSPQQQENTSPDSKEEESRHLLTAPLPQSTSPLINGDADDGEEEFVYGSGEKIHVVEFDSVPIDGVDYSTVPPFSWKKLWQFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATVMGLMIQLLSARIGVATGRHLAELCREEYPRWAGLLLWFMAEVALIGADIQEVIGSAIAIKILSRGVLPLWAGVLITASDCFLLLVLENYGIRKLEAVFAVLISTMALSFAWMFGDAKPNGKELLAGLLIPKLSSRTVRQAVGVVGCVIMPHNVFLHSALVQSREIDLKKKGQVQEALNYYSIESSFALLISFMINLFVTTVFAKGFYGSEQAGSLGLVNAGQFLQDKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLDLRLKKWLRALITRSCAIVPTIIVALIFNRSESSLDVLNEWLNVLQSIQIPFALIPLLTLVSKEDIMGTFKIGPALERVAWTIAVLVMVINGYLLLDFFVSEVNGPLFAFLVCAGTAGYVAFILYLISHGGGNVANWFNLLRTKGYSYAGQ >Solyc04g050520.3.1 pep chromosome:SL3.0:4:47344450:47390190:-1 gene:Solyc04g050520.3 transcript:Solyc04g050520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPVELLTSISSSITTSSTKRRIQIFRNEIPSILSNSELTAEIASLLVEVIFSTTFIYDDRGSRAAVDNVVIKALGETIFMKAFAGTLVQFMEKQFKFQSYIGCHRLLSWSCLLLTNSQFPSVSKNAVCRLAQAQASVLHIGMQGSSHVRRACKKSLFFLFSKAPDIFRTYMDELRDSRITYKDCPEFILLMLEFSSENPPSFDQWKQNFLEMYVKAVLNAREKPPKGLSDAFVPLFSRLTHEDFKNTVIPSSVKMLKRNPELVLESVGILLQSAKLDLSKYAVEILSVLLSQVRHADEDRRIAAVSIVRCLSIKSSSPDAIEAMFNAVKLVIGGSEGRLTFPYQRVGMINALRELSNAPEGKHLNSLSKTVCNFLLSCYKDDGNEEVKLACLSCLAAWTAKCADAIQPDVISLIASGLKEKEALRRGHLRCLRVMCQNADALQHMSPLLAALIQLVKTGYTKAAQRLDGIYALLCVAKLAAVDVKADETMIKEKIWSLVSQNEPSVVPIPLASKLSIEDCLACHDLFEVMLVDHSQRVLETFAVKTLMQFMLFLLCHPNWDIRRAAYNSTRRIVSATSQLSETLMVEFSSYLSVVGEKVIQIKMSDTENLVDVQVPFVPSVEVMVKALIIMSSATLAAAPRAYLQVVFCSHHPCLIGTAKRNSVWRRVQKCLHKHGIDAIGSVTTNVVGLCKGLLGPTGLMSDNHFAQEAAINSLSTLMSMLPAETYIEFEKFFNDLPDRLAHDMLSENDIQIFQTPEGMLSTEQGVYIAESVATKNTKQPKGRFRLYDDNDGPDQVSSNHTARRELSSKEVTGVGKKDGGKSSKKADKDKGKSAKEEAREVQLREEAYIRGKVTVVKKNLSSMLKALGEMAIANPVFTHSQLPSLVKFINPLLRSPIVGDVAYGTLVKLSKCTATPLCNWALEIATALRLIMSEDVDVLWGKIPSAGEEVSNEKPGLFERVTNGLSISCKTEALPVDSFTFVFPVMERILLSPKKTKLHDDVLKIIFLHLDSFLPLPRVQMLSVLYHVLGVVPAYQASIGPALNELCLGLQPAEVAPALCGIYAKDIHVRMACLNAVKCIPALASHSVPQSSEIATRIWLALHDPEKCVAEAAEDIWDHYGYDLGTDYSGIFKALSHANYNVRVAGAEALAAALDESPDTIQECLSTLFSLYIRDVGSGEDTFDCGWVGRQGIALALLSVADVLRAKDLPVVMTFLISRALADPNADVRGRMINAGIVIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHTVVEKLLDVLNTPSEAVQRAVATCLSPLMQAKQEDAPSLVSRLLDQLMKSEKYGERRGAAFGLAGLVKGFGISCLKKYGIVAALHEGFADRNSAKSREGALLAFECFCEKLGKLFEPYVIQMLPFLLVSFSDPVVAVRDAAECAARAMMSQLSAQGVKLILPSLLKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPKIVPKLTEVLTDTHPKVQSAGQTALQQVGSVIKNPEISALVPTLLMGLSDPNEYTKYSLDILLQTTFVNSIDAPSLALLVPIVHRGLRERSAETKKKAAQIAGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLLDTLKSDGNNVERSGAAQGLSEVLAALGMEYFENILPDIVRNCSHQKASVRDGHLALFRYLPRSLGVQFQNYLQQVLPAILDGLADENESVREAALSAGHVLVEHYATTSLPLLLPAVEEGIFNDNWRIRQSSVELLGDLLFKVAGTSGKAHLEGGSDDEGASTEAQGRAIIEVLGRDKRNEILAALYMVRTDVSITVRQAALHVWKTIVANTPKTLKEIMPVLMSTLISSLASSSSERRQVAGRALGELVRKLGERVLPLIIPILSRGLKDPNPSRRQGVCIGLSEVMASAGRSQLLSYMDELIPTIRTALCDSMGEVRESAGLAFSTLYKNAGMQAIDEIVPTLLHALEDEDTSDTALDGLKQILSVRTTAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLGAHLSTILPALLYAMGYTDMEIQSLAKKAAETVVSVIDEEGMESLLSELLKGASIRRSSAYLIGYLFKNSDLYLGDEAPNMISSLIILLSDPDSDTVVVAWQALSNVVSSVPKEVLPTYIKLVRDAVSTSRDKERRKKKGGPVLIPGFCLPKALQPVLPVFLQGLISGSAELREQAALGLGELIEVTGEKTLKEFVIPITGPLIRIIGDRFPWQVKSAILSTLSIIIRRGGIALKPFLPQLQTTFVKCLQDNTRTIRSSAALALGKLSALSTRVDPLVGDLLSGVQTSDTGIREATLTALKGVIKHAGDSVSSASRTRVYTLLKDLIHNDDDQIRNSAASILGIVSQYLEDGQVVELLDGLSKSASSSNWFSRHGAVLTICSMLKHNPDIICASSSFPLIVKCLKITLNDEKFPVRETSTRALGLLLCQQIQSDPSNATSHVETLGSIVLAMQDDSSEVRRRALSALKAVSKANPGAIAIHVSKFGPVLADCLKDGNTPVRLAAERCALHAFQLAKGTENVQAAQKFITGLDARRIAKLPEHSDESGDSDNDASSG >Solyc05g009517.1.1 pep chromosome:SL3.0:5:3703977:3704927:-1 gene:Solyc05g009517.1 transcript:Solyc05g009517.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFGIVITLIMIVSSASCDPKTQPPVSSNYHHMQMLMQWPPTFCGRPNKPCKRSVIMLEFPIHGLWPGNATGHTPFTCNVPPNPTTVKKVERIGVLLAFFGVYRRKVMDT >Solyc11g072540.2.1 pep chromosome:SL3.0:11:56023454:56027903:1 gene:Solyc11g072540.2 transcript:Solyc11g072540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKLKKGDLMEFYKREIGVSKPRNFSRRISASEAMVKRLDLYGKLTGHEGCVNTIDFNATGDVLVSGSDDRRVILWDWATATSKFSYPSGHMDNIFQTKFMPFTDDRKIITASADGQVRLGLVLENGRVETKKVGKHQGRVHKLAVEPGSPYILYSCGEDGFLQHYDLRSNSSSKLLRCSSFTENNKQSSSIRLNAIVIDPRNPNYFAVGGSDEYARVYDIRMYQLDARTSSDKPIDTFCPHHLVKKHDVHITALAYSNTSELLVSYNDELIYLFQKNMGLGPVPLSLQGEDLNKLEKPQVYSGHRNSQTVKGVSFFGPTDEYVLTGSDCGHIFIWKKKDAKLVRVMVGDRHIVNQLKPHPCIPVLATCGIEKTIKLWAPTSKDVTPLPPDVQEQIMEANRRGREDHSRVTLTPDMIMHVLRLHRRQALAYIERRENLGDVDSDDDDDDGGGGAYVLGFSDGEEGENSECSIS >Solyc11g061985.1.1 pep chromosome:SL3.0:11:49026198:49034332:1 gene:Solyc11g061985.1 transcript:Solyc11g061985.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSFAARCPDCLVSVVKFTAPFSSPSPVSYTEREQTSYSSSSSLNASRCVKEKEEETLQFSNKRKVSSKKERDASSFRHPRPNQKHKHKINLEESEPLIKDNKMKSWPLDSKPAVQEKEPSKKFISRDEHIGHLELNCFRLIGFPDDFEFTKSKFYPSKANGAIAGVETETVNNSHKETHGSNFNPGNSSNHENNFGQYLNKDQYANLVEQVAKDIQVRQGSNSATGFNAGAIAGTILQYSGSCFSVFNSSTWIIDSGASEHMCFDSKSFTSLIPLPTPMTITLPNSFRIVVTHTGSVPILPKITLHNVLHDPSMRTTQAFGEMKEGLYLMQPTSTKSEKQVSISLSSAEAEYRSMRRVTAEITWLVRLLADLSIPPRLSSFVLNKLRVSSTSKLRGDIAEQTSYSSSSSLNARRCVKEKEEETLQFSDKRKVSSKKERDTSSFRHPRPNQKHKHKINLEESEPLIKDNKMKSWPLDSKPAVQEKEPSKKFISREEHVRE >Solyc09g025245.1.1 pep chromosome:SL3.0:9:65290681:65298725:1 gene:Solyc09g025245.1 transcript:Solyc09g025245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSLLKTNCRTTLTCKLEGRNKKHYNHLSFSRLWSCVGQATSIFRFKASNINPSSPEVDSTILCITAKDCLQFSNMLTARSSFSNKNTSVHSCFIGQPAGQKVPPRKWKWIKTQKEGEGEESRGISISLMEYKLCYLTNFVKKIDNNILKVEITTPKAILDYILSCAHKRTMAIPQLKKLQHNQQNGANKKVTLMGFGSTSEFIMLERISRLFRVTTRRSSIILTISSNTFSDATSENTFSQLDVQVSSKSKVSLCVTGLLDKTNFFLIAFTISVPLNSSLLIIPQIRRFKLQLGSSIVSSVASSTIFDSGVGPCRISFIFALTILLICAPPVFNRSPSDEEAIFSLSMFMIVDQMEIGAIWGFSFDNLGFSVTDIIFSYDFCSNTFLHYEIQNN >Solyc06g084460.3.1 pep chromosome:SL3.0:6:49599956:49603918:-1 gene:Solyc06g084460.3 transcript:Solyc06g084460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVLTWFVLYMFPPLYKRERWSVKEERDIIMGLKNDLVVYTRLECESCEEMTCCIEKAKEEGADLVELCIDDFTFSDISQLEELLKQRSLPSIVSFRPKSPINSEGKKTCIQVLKLAVELDVEFVEVDTQVVCHQVVAELMKSRSNSKIIASTYVNGGNPTKDTLCNSIINLQSTGADIIKLVIDVAYITDVAPVFHMLTHSQVPLIVRAAGDRGLISQLLGPKYGAFFVCGSLGGKYTPGLPSLTTIKQVYKLQYVNPDTRIFGVISNPVGHSKGPLLHNPAFRHTGYNGIYVPLLVDNVKEFFRVFSCNDYAGFSVGIPHKEAAVRCCDEVDPLAKNAIVLAWKQNLLYRLALYLESSDAISSIGAVNTIIRRPSDGKLIGYNTDCEACVTAIEDALRERQKTNGHASNVSPIAGKLFVLVGAGGAGRAIAFGAKSRGARVVIFNRKYERAKALAAAVSCDALPYEHLNDFCPEKGMILANASAVGMQPKSDQTPISKEALRSYELVFDAVYTPRNTRLLQEATEVGATVVSGVEMFVRQALGQFKLFTNGLAPVDFMRRIVYEQF >Solyc03g118527.1.1 pep chromosome:SL3.0:3:68880484:68883245:1 gene:Solyc03g118527.1 transcript:Solyc03g118527.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSITEATNDLRKENPSNKRDMETEEIQKLNRGLENLPQKELYVVAKIIKKRGVPQKQNNEKLQLDINNIEVIKTGGSMSVVGCCGLRTKVRSCRP >Solyc04g080120.1.1.1 pep chromosome:SL3.0:4:64465576:64467414:-1 gene:Solyc04g080120.1 transcript:Solyc04g080120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFHSWRKSLCNRGNFFPLIQLFPLSHSHVSIFHSCASVPSKYPSFRKKPSVSKKRKVVIFREFRDVNSLNDAVCVFHRLVRKEPVPSVVELAKLLRVMINMKEYSVVISFFGEMRKLGIPFDEYILTIVINSFCLLGCAENGFSILGVFFKSGVQFNVVTFSTLMRGLFEQNKIQDAMWLFRKLVKEKICKMDEVMFGTVMNGLCKQGHTQTALSLLRIMEQGDAKPNTVVYSIVVDALCKDQMVGAAFNLFNEMKRKGITPNVHTYSSLVDGLCKFDQWEEVRLLLDEMTHLNIFPNVHTFSMLVDAFCKKGMVEDAVEVLNVMIQKGEHPDVVTFNAIMEGYCLRGEIDVARRVLGSMTDIGVKCDIFSYNILVNGYCKQKKLDEAMHLYCEISQKGLKPDIVTYSTILQGLFEVGRVECSENLFTEMQNAGHSPDIYTCGIMLHGYLKNGHVERAMSLFHRWEKQKEDAYILIYNRGNDGFFKIQKLDKARSIFDKLYSVGLHPDVIRYNVTVNGLCIEGLVDEAKELLRKMEDNRCSPHNATSNLIVNGLLKSTKTNEAMTLLKEIIQRGFPADRVTMSLLIELLLLIGEGPFLLNMIPELHLRNEK >Solyc01g011330.2.1 pep chromosome:SL3.0:1:8593779:8602063:-1 gene:Solyc01g011330.2 transcript:Solyc01g011330.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELPNDGTILSFRLSIISQLSSHGISPKSFSHCLKGEGSGGGILVLGEILHLSMVYTPLVPSKGHYNVYLQSISVHGRILPIDPKAFANSGDRGTIVDSSTSLVYLVTEAYESVVNASRSYVGLTLDA >Solyc07g056520.3.1 pep chromosome:SL3.0:7:64441584:64458598:-1 gene:Solyc07g056520.3 transcript:Solyc07g056520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADPQRKWVTDDVLTYAYLLLYIALSSGQIFFNKWVLSSKEVNFPYPLGLTLLHMVFSSILCFMLTKVLKIMKVEEGMTLDIYISSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEMMSCRMLLIMSVISLGVLVASYGEIDINWVGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISVIALCLLVPWIFLEKPKMDEQRTWSFQPLILTLNSLCTFALNLSVFLVIQHTSALTIRVAGVVKDWVVVLLSALLFADTKLTLINLFGYAIDDGSIPYIEKPPLNLPSLPAVGQFNFSKSHNPSDFISVAIAGVAAYNNHKLKKGTSQASSDESEPTQSDCIARLLPTDKWKIPWTRLLMELLDTKDTEGLTASGQPIRGGSDGGRRIRSLMAYLQMNWQPNLLSHKRKTGPPLGLKNIGNTCYINSVLQCLTYTPPLAYFCLKSQHSASCDSEAAAASGKKSECPFCILEKRIARSLSLESALDTPAKINSCLKIFAQHFRFGRQEDAHEFLRYVIDACHNTCLRLKKLQQQTKKGGGGGVDGNGNTIVKEIFGGALQSQVKCLSCGAESNKVDEIMDLSLDVLHSSSLKDALQRFFQPEVLDGNNKYKCENCKKLVTARKQMSILQAPNVLVIQLKRFEGIYGGKIDKPIAFEEVLVLSSYMCKASQDLHPEYNLFGTIVHSGFSPDSGHYYAYIKDAVGRWYCCNDSQVSPKILQEVLSEKVYILFFSRTKQRSPSTKTCLSINGSKSNHSNGLAKSKILTSDLAKTVNEKQVLGHPSEKENVVMSKVSKVHSSPVRDLGIFEKSSFKRPTSGNIKMVFHSKESGNRTGDVRASVLTEKKITSLSNKNGVSKSCGNGQGTRSHALTNGNGNGKLPIVATSPVADGPHEDYGGSNGKAAGKDSYHKEVNRSSSLANGNGKIQSVATDTLRGSLHRNNGENSESLAAISSAYRDTSNGHVESSSISGSKRKSPDQCILLEYDVQSRAKEASSFLRTCGWSEEVYAFMRSKKSGTQSNFQASDVNAMKELLIADAKSMFISQIPQSLKGSLIERFKVHKSCELPLKILQSIDEGADFLGLYSLSVGDGMSVCADDYKLSLIFFSQLYCITKCVHSGKRGNQSGNILFR >Solyc07g065330.3.1 pep chromosome:SL3.0:7:67271534:67272616:-1 gene:Solyc07g065330.3 transcript:Solyc07g065330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQSRTNLCIYIYGIFNKDVNNLTLTITMIMKISFFLAFSFYICLCRGKDSDNLYDVCPTDATRKNVFINGYPCKNPAQITASDFKSSGLSKEGDTDNFQRSSTTLVTAAEFAGLNTLGLSVARTDLDVDGVIMPHAHPRASEMMFVGKGVVIAGFIDTKSQVFQKTLKQGDVFIVPRGLLHYNLNSGFELATVYSVLSSQNPGMLSISDAMFAPVVSEPMRDLMKKLFSRSNLGTNQIKNVTSFRY >Solyc04g015250.1.1 pep chromosome:SL3.0:4:5458668:5459582:1 gene:Solyc04g015250.1 transcript:Solyc04g015250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFYRLFHLRYIAIRGNFTVLPSLFRNFLYLQTLILRNDDTHTSSSILEIKEDIWKPLQLRQLHSDLPVKLPPPPTPTSNSPTSCLQTLCKVTPDICKKTVKACHLRKLGIEGQLALLLGKSTKGRGFDSFQELRCVEKLKLLNNDFSEELHLPPHFFSLQKNTEKVNFVKYKGRIGSPRKEVLASSKSCTLSGQETWDASNRPFQSLTHLALISCYDLKALPHELSDLPYLSEMKLNRTFQAVSSAIEIKRKKLQRQGPESNIKFNLIILPPPSSTN >Solyc04g072210.3.1 pep chromosome:SL3.0:4:59290751:59292912:-1 gene:Solyc04g072210.3 transcript:Solyc04g072210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVDGSNNVDVEKLISFSKDLVQFLKEDKDVSFLNQCKEQFNALQSHCQSEYQALQNSIQDYQGKINACKQRTTEAQSEAAAEAEIDKLQKELEQEIQREQLLREELR >Solyc01g105730.1.1.1 pep chromosome:SL3.0:1:93690130:93693078:-1 gene:Solyc01g105730.1 transcript:Solyc01g105730.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAWHYNLSSILLFAFIYFIHDGMITAITARRLLQTPSFTGSATPSFSMPAVPSFSTPTTLSPSMPATPSFSNSPGLSKPENPSFSKPQTPSFSKPVTPSLSKPENPSFSKPETSSFSKPETPSFSKPGTSSFSKPETPSFSTPTTPSFSNSPSLSKPEIPSLANPNIPSFSKPETPSFSKPETPIFSKPETPSFSKPEAPSFSKPETFTFSKSETPTFSKPQNPSFSKSETPSFSQPEAPSFSKTETPSFSKPETPSFTKSETPSFSKPETPSFSKPKTSSFSKPKTPSFSKPDTSSSPKLETSTFSKPTSLSFSDPKTPGSPKSENPTFAKSETPSFSMVETPKSSNHITPTSPKPETPTLSKPQTPTFSKTGIPSFSKTETPSSTKPEIPSFSKPDTSDSPKPETSDSPKLETPSFSKPKTSSFSKPETPSFSKPEIPTFSKSETPSSPKLETHTFSKPEIPSSPKPETPCFSKPETPSFPKFETPSFSKPETPSFPKFETPSFSKPDMSSSPKSETPSFSKPKTPSSPKSEITTFTKPEMPSSPSPKTQNSLKPETPSFSKSETPSFPKHEAPSSPTPEISSFSKPETSSSLSLSSSVNPETPSFSKSETPSSPNLETPSFPKPETPSFSKPEILTSPKTENPSFSKPETSSSPNPKTPTFSKPETPSSLKPEMLSSPKPETPSSQKPETPSSLKPQTPSSPKPETPSFEKLETPSSLKPEMPSSAKPEMPSSPKPETPSSPKPKTPSSTKPETPSFPKLETPSFPKPETPRFQKPETPSSAKPEMPSFPKPETPSFPKPETPSSPKPETPSSAQPEMPSSPKHETPSSPKHETPSSSKPDIPSFETPSFPKPVTPSSLCPDTPSSPKSETPSSSKHEILRSPNFEKPSFLNPEIPSLLKPETTNSSKSDISAAPEPETPSVSRHEIPSAPNREVPATPKSKIPTLTKPELPVISDPEILSVLKTEMPKPSKQTLSTSP >Solyc11g061778.1.1 pep chromosome:SL3.0:11:48824528:48826852:1 gene:Solyc11g061778.1 transcript:Solyc11g061778.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQASISSIKCNTRMLICNQLYKIQQLIIEKMWSVHHIIGTDVFKEDREEDLDEAWMNSVLQKCLGEIEQDSDFTAEDFCLQAIITIEKKLKTQRVPIIVGGSNSYIQKLVEDPVLMFKYKYDSCFIWIDIEQSFLNRRVDMRVDQMVKAVNFWLVDEVRQIFIRDADYNKGI >Solyc02g077760.2.1.1 pep chromosome:SL3.0:2:43187913:43188247:1 gene:Solyc02g077760.2 transcript:Solyc02g077760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARRILIRTATLDVYQQCCTICFNYFSFIVFLLLSLFYSLVIRRRKRKFISLIFVDVLLHFHNS >Solyc04g082670.3.1 pep chromosome:SL3.0:4:66354311:66363230:1 gene:Solyc04g082670.3 transcript:Solyc04g082670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATLSIQGSCIIRNSSNSSNAHTEQQVKKVFLQLPVSRRSVILISVLPLSLNLVPQPSTARERRNKKNIPLEDYQTTSADGLKYYDILEGKGPVAEKGSTVQVHFDCVYRNITAVSSRESKLLAGNRIISQPYEFQVGAPPGKERKRDFVDNPNGLFSAQAAPKPPKAMYTITEGMKVGGKRTVIVPPEAGYGSRGMNEIPIDLTSQVVRVTANLKIENTGNDPISEVLLPFGDHQAKDLAFLVATTSEGKGKTKTSSNSLPIKLVNPEGMPPSLTWYSVSLPKELAKGQSLNLEVRTAFTHALQPFPEKITQADIQLLVFQESAYYISPYAVNVQSLSVKLPEPKVESYTKLENTKFSGSEIKYGPYENLPPFSFSHIAVHFVSNKPFAVAQELVREIEVSHWGNVQITEHYNLVNAGARSSGEFSRLEYQARPHLRGASSFGHLVAKLPPRAHSIYYRDEIGNISTSNLWGDSSKTLLQIEPRYPMFGGWKTSFTIGYGLPLRDYLFRAEGKRFLNISFGCPIEEVVVENLVVKVVLPEGSKDISVSVPFPVKESRDTKFSNLDMIGRPVVVLEKTNAVHEHNQYFQVYKFIYNAVERTVLTDEAFVTGLLQWDEVQTALQQIQSIMNHCLGIHDKLEASLRDLSRTGDVQACKAARKSADNMLKELLKDLKPLVSFLQSSPLSASLYSKVEDVVAKEKELQEKLIVKHTTVTDSYEKKSGGRDIENRIAPIQQRIRALRQEIDDLLEIIDEI >Solyc01g057640.1.1.1 pep chromosome:SL3.0:1:63040159:63040329:1 gene:Solyc01g057640.1 transcript:Solyc01g057640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLCYFLSFFYVVNVCYLVAIIYCLFFIVLYVFNINHLLQDTLLRNFLFLKLVIYL >Solyc03g006580.3.1 pep chromosome:SL3.0:3:1153597:1163216:1 gene:Solyc03g006580.3 transcript:Solyc03g006580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLFWIVIRELAEIEAMATSKKVITREDWEKRLNDVKIRKEDMNKLVMNFLVTEGYVEAAEKFRKESGTDPDIDLATITDRMAVKKAVQAGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKIEEALEFAQEELAPRGEENQSFLEELEKTVALLAFEDVSNCPVGELLDVSQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLIWAQNQLGEKAVFPRITDLSTAKLEDPPV >Solyc01g006700.3.1 pep chromosome:SL3.0:1:1276899:1285021:-1 gene:Solyc01g006700.3 transcript:Solyc01g006700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAKVAAAGMVHQSDALNFGGGSSKVTTTTLKRKTPSELRGEQLKKKNIVELVDESTVPNGGPMSGLFPGPKRCDVSKNPRYVDTRVDELFPARKSSIRLKLVSIKENGKENFQAENSDNTKNCSIPSAFPTENQQRSKCPKFSLASSVTGKDHATETCSTSERCSENTFRSVTELSLGSVDVHGLSTVDMDKALRGLATHEHLGAAAKIAESFETDGGIRSKNFCPEFHVPCKMTPLDLTMKTNIRVVSSSSINWFHRLINCGANNVVARSSVNCFTKLKMTSLSEVTSVSQAINPMSLHSWMYPQSPLPPSVISALTLSASVGGQLDFLSERQLAWQDSFRSLYYMLRKNVCSIFYVCTAQFVIMFTSSYSEENKYVCNAYISQSTRGLRSLLKEHDVSYSMPLCHSKLEELNTEELVELSEIEKQNLGQTRRRSAMCDVDNRPDSLLAFTGNKNVHSLYDFLLNYRYFLTSLTGVDVPELYSPIPFENAALTAPEVKCKEVRRIDQAAFQGMESNVTCEPNQQPSSGMCYSVEIKGRYLAPWVTSAICDAFCSNSTSFEASFITEPASVNLNASLGITGKRSDPQVSATEAMDKDNLCFGIPNTKSCSQMNSGFLKGLKYNGGSYTAFLSPV >Solyc03g111887.1.1 pep chromosome:SL3.0:3:63970598:63974764:-1 gene:Solyc03g111887.1 transcript:Solyc03g111887.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDMFDACKMNSCHVKQKMDLPCSWYSLLIPLFVFIFLIIHHCFFTTSNTQNVLLPPSPTKLPIIGNLHQLGLHPHRSLYKLSKKYGPVMLLHLGSKPVIIASSVEAARDIMKTHDLVWATRPKSSIVDRLFYGSKDVGFSPFVKSIVVLHLLNNKTVQSFRCVREEETANMIGKIRDGCDSSSSLINLGDHLGSLTNNIIIRVALGRIHNERESGRAVKALLEELLALLGTFNIGEYIPWLKWLNKINGMDCRVKKVAKDLDEFLDSVIEEHIIKREYSAGEAKDFLDVLQEIQNGKETGFPLQRDSLKAILLDSFAGGTDTIYTALDWTMAELLRHPRAMKTLQNEVRGLTQGKSEVTEDDLKNMEYLRAVIKESFRLHPPNSLLVPRESREDVKLLGCYHIPVRTQAIINVWAIGRDPLLWENPDEFRPQRFLNSNIDFKGLNFELIPFGAGRRGCPGSTFAIAVIELALARLVHKFNFALPKEEDLDMTECTGITTRRKSPLLLPIVGNLHQLGLHPHRSFHKLSKKYAPVMLLYFGSKPVLVASSAEAARDIMKTHNLVCSSRPKSRIPDGEYWRQIRSVTVLHLLSNKRVQSYRDSLKALLVNSFAAGTDTTHTALEWTMTELLRHPRATETLQNEVSGFVQGKAEIKEDDYGKYAVYESSNQGESWASSTDSTINSPRKM >Solyc01g094090.3.1 pep chromosome:SL3.0:1:85548031:85554104:1 gene:Solyc01g094090.3 transcript:Solyc01g094090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQTSCNNGETDQVLVEERGSVRTFILNRPKQLNALSFQMISRLAELFYASEEDSNVKMIILKGHGRAFCAGGDLSTPFHIIHQGNWQLGANYIRELYTLIYMIATYRKPQSCLDCPLKVSILNGIVMGAGAGVSIHGRFRVATEKSVFAMPETAMGLFPDVGSSYFLSRLPGFFGNLTSHIVHIYDENYCYYCGKPFPTLSMMPIEFVRIMVMSCFPFSYIFLYSLAGEYAGLTGFRLDGAEMFACGLATHFVSSDKLPLLEQALVEVNTSDPDAISAIISHFSHIPKLKEGSPYHKMKIINHCFSRRTIEEIISTLESKALDKKDDWISSTIQLLKKASPTSLKITLRLIREGRLQGVDSCLVREYGIFCHVLRGEFNKDILEGFRAIIIEKDRNPKWDPSGVELVRDDDVDRYYTKIDDEDWEDLKLPPRSYLPPPHAIAKL >Solyc12g009413.1.1 pep chromosome:SL3.0:12:2691978:2692667:1 gene:Solyc12g009413.1 transcript:Solyc12g009413.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding DANGIEPDPCLSQDLDVNFTQQFLLDYGEHQQLGVELEYRISCWRRASSDHFPCLERLILQGCWKLDTIPQEFADITTLALIDINICAQAVVKSAKQIQQDIEDSYGGSIEVYIRDGS >Solyc06g065050.2.1 pep chromosome:SL3.0:6:40685085:40686592:-1 gene:Solyc06g065050.2 transcript:Solyc06g065050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNVFAISLVLTALVTAGVFSPNPEKKDDVIVKEGHRVVVVEYEPNDHGQTKVSISPPETEHKAKAEHVSVSDKISAKAEGVEEKIGGFHGLNARELVCDAYGKCKHKIASALEGTKESVSEKMHEIQEDAKEGFEKVTGKAHEATEKAKETVGKKVDEVKQGAKETAEKVKIKAVDTTNTLKRHLLKNASEDLDLIEEKVKEDAESLKVEGIRDYNVGRRFFSDVSAYIFSAESFRSLMGIFHLMGFALSYGVSFWMTFVSSNVMARALPKQQFAMAQSKIYPAYFKTVCYGIASAFLGHMWSQNPPYYANIGETIQGLIFVLILSATLFNCFYLEPRASKAMRERIKLEKEEGKGRDVFDVEPSTSSVDAFKDPTGVDIGKTTTHEPLENQRELSQQTAKLKPEVERLSLRLKKLNVISSVLNIFTLMGLSYHLVYLSQLLHSNR >Solyc05g005310.2.1.1 pep chromosome:SL3.0:5:245721:246404:-1 gene:Solyc05g005310.2 transcript:Solyc05g005310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSGADEKILSYNDVVLRRSDLDILNGPYYLNDRIIEFYFSYLSSLFPSDDILLVPPSIAFWIKECPDPESLKDFMEPLHLSRRKLILLPINDNSDVCMAEGGSHWSLLVFDRNSNVFVHHDSSSGCMNEYHAKQVYKATLPYTSSNATYKECPNMPKQVNGYDCGVYVLAIARVICQWYASSGTQDADTLWFSHLEQVSPSSVSAMRNDILVLIKGLMASPKTVA >Solyc06g068920.3.1 pep chromosome:SL3.0:6:42841087:42861945:-1 gene:Solyc06g068920.3 transcript:Solyc06g068920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFKGRFFSSKKSDPSSPDGSSNSPRSIGSNSPIRSDKKKAKSGSTSKDNSPITPSSISTFKDKKKDEKGKESPSSSPSPNSIKNPVRTREPELRPTSVSVSPIVASSLGLNKIKTRSGPLPQESFFGYASRDKGNLLGASNLSKNVAGGRGGGDGPSSSVMRKKDEKRSLMGSAENVDNRSNSDSMSSESGRSRDQSPRVPGPSRLQNSESSSEAGRVSSSWGYSGGLRSSDACTPELKTSLECDNPKESESPRFQALLRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEVLTMIRGKFDKAKEEVDNDLRVFAADLVGVLEKNAETHPDWQETIEDLLVLARRCAMTSPGEFWLQCEGIVQELDDRRQELPMGTLKQLHTRMLFILTRCTRLLQFHKESGFAEDEPLFQLRTSLQPVERRRDGKMSGPLKLPKLPPTKKSYSQEQHGSEWKRDQVVQLGSLPTSEAETAKKLDSPGSRNRMASWKKFPTPPAKSPKEASPIKEENIDRGIEASKLFSDEKGPSASDLATIKHPDLPSARDSLAHSSVPSKHQRNVSWGYWGDQPSVSDESSIICRICEEEVPTLHVEDHSRICAIADRCDQKSLSVNERLLRVADTLEKLMESFAHKDIPHAVGSPDGAKVSNCILTEESEPLSPKLSDGSCRGSEDMLDCLPEVDNSVFMDELKGLPSMSCRTRFGPKSDQGMTTSSAGSMTPRSPLLTPKTSPIDLLLAGKGCAAEHDDLPQMIELADIARCVATTPIDDDRSLPYLLSCLEDLKVVTERRKLDALTVETFGTRIEKLIREQYLQLCELVDDDKVDLTSTVIDEDAPLEDDVVRSLRTSPVHSKDRTSIDDFEIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEEVVRVYIAEVVLALEYLHSQHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMMEDDESQLSASEHQEERRKKRSAVGTPDYLAPEILLGTGHGFTADWWSVGIILFELIVGVPPFNAEHPQKIFDNILNRKIPWPMVSDEMSGEAQDLIDQLLTEDPNMRLGARGASEVKQHQFFRDINWDTLARQKAAFVPASEGALDTSYFTSRFAWNPSDEHVYAGSEYEGSSDDGSVSGSSSCLDNRQDELGDECAGIGDFESGSSVNYPFNNFSFKNLSQLASINYDLLTKGWKDDQPSNPNV >Solyc08g044610.2.1 pep chromosome:SL3.0:8:18818149:18821548:-1 gene:Solyc08g044610.2 transcript:Solyc08g044610.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYPLRGDVPRVDGILIVARKFGDKTFKRHLSSDSNVEIELIDNNLHLIIFSSDGLWKVILMSTLSLGMLIYLNFLI >Solyc06g082895.1.1 pep chromosome:SL3.0:6:48575996:48580143:1 gene:Solyc06g082895.1 transcript:Solyc06g082895.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPDSKNFGRGPRELTGARDLISHFKLLPHYEFFGKRSLPLSISDTHYLHNVVGDTEIRKGEKMQLDQLTQDTSFSRETSSCIRPFDLDVLREAFQLRETAPVNLSPSDKGTPTIAGKSKSEMKDKEKKEKKHKKHKDKDKEKDKEHKKHKHRHKDRSKDKDKEKKKDKSGHNDPGAEHSKKHEKKRKHDEEDLNGVHKHKKSKHRSSKLDEIGSIKVAG >Solyc06g063410.3.1 pep chromosome:SL3.0:6:40189051:40201592:-1 gene:Solyc06g063410.3 transcript:Solyc06g063410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLWRWRNVKYTAFGNLIFRNQQHHRNLQHRNLQYRNLVNWLHVKRRETIIGVQERYKWDRGGGGGSSDEYRTAPRRIRAEAYCPRCSKHMDLLFSNRNHQLIPPPPASNDDDDNSSTSNSSINTTDSKPEAGSGPYQAVNLCPNCKTAYYFRPYKMAPLQGSFFEIGRMKGNGNGKRVNNDEDNGKRQKPSFWESLKSYGGEPPENWTPPGNGLAVHTPPGPPFAPGLNLIRVTGTDGKNGGGKDEDSDEKSGWGGSNLGKNLPTPKEICKGLDKFVIGQERAKKVLSVGVYNHYKRIYHASLQKGSGAESTKEDNEENVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTVAEFNVQAAQQGMIYIDEVDKITKKAESLNVSRDVSGEGVQQALLKMLEGTIVSVPEKGARKHPRGENIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGITNATVTSTLLESAESSDFITYGLIPEFIGRFPILVSLSALTEDQLVQVLTEPKNALCKQYKKLFSMNNTKLHFTEGALRLIAKKAMVKNTGARGLRALLESILTDAMYEIPDVKSGDDRIDAIVVDEESVGAVNARGCGGKVLRGDGALQRYLDQADLVDQRENDGAAETELQEGESEVSSRAISM >Solyc08g069110.3.1 pep chromosome:SL3.0:8:58238061:58243024:1 gene:Solyc08g069110.3 transcript:Solyc08g069110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEPAPYVPKNVLITGAAGFIPSHVTNRLTKLYPNYRIVALDKLDYCSSLKNLEPSYSCPNFKFVKADITSADLINHLLVEEKIDTIMHFAAQTHVDNSFGNSFEFTINNIYGTHVLLEACKLTKCIKRFIHVSTDEVYGETDLETDIGNPEASQLLPTNPYSATKAGAEMLVMAYNRSYGLPTITTRGNNVYGPNQFPEKLIPKFIILAMKGERLPIHGDGTNVRSYLYSADVAEAFDVILHKGVIGHVYNIGTKKERAVLDVAADICKLVGKNAKEVIELVQDRPFNDQRYFLDDQKLKKLGWQERTTWEAGLKMTLDWYTKNPDWWGDITGALHPHPRISNIAPSHDEGCLLQLVKGSKKASGSDLKFLIYGKTGWIGGLLGKICEERGIAYEYGLGRLQDRSSLMQDMIRVKPTHVFNAAGVTGRPNVDWCESHKVETIRTNVAGTLTLADICREADILVMNFATGCIFEYDEQHPLGSGIGFKEEDKPNFTGSFYSKTKAMVEELLKEYNNVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYRDYIDPKFKWQNFDLQEQAKVIVAPRSNNELDMTKLKNEFPELLSIKDSIIKYVFGPN >Solyc09g075030.3.1 pep chromosome:SL3.0:9:67256124:67258485:1 gene:Solyc09g075030.3 transcript:Solyc09g075030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSRRKYKRSRTKVRVGLPKKNPNVFKPAFSLPPKLRSLVNSHWDDKGSVIDNYKSFGVVSNPNLLGVRCRTSHMIETDSLQVPPPKKLPPTSEDDDDDADAFEDLDDSGSDVEEDDLKSALGKKRRDGKSAPLQPLTTIQRVYISRLVEEYGDDYQSMFMDTKLNKMQHSVATLEKLCKRYQMYKDKNPLLVGT >Solyc04g005400.1.1.1 pep chromosome:SL3.0:4:282574:282984:1 gene:Solyc04g005400.1 transcript:Solyc04g005400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGHLQEQLEKVGHLKEQLEQLNHSQDQLEQLGHLQEQLEQLNHLQEQLGHLKEQLEQLNHLQEQLEQLGHLKEQLEQLNHLKEQLGHLQAQLKQLNHLQEQPEHFNEQLDKQLQEQHDHQEDFFSLHRQFVFYIS >Solyc05g024487.1.1 pep chromosome:SL3.0:5:32199108:32199721:1 gene:Solyc05g024487.1 transcript:Solyc05g024487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVVIVMIYVDDLIVTGSIMTLINDTKHVLKDNFKIIDQGPLEYFLGIVFARNSEGILMPQRKFALQVILHLGLEGYKPIAKPTEMNGKITTMVFDTNVGVISYPLLSDIGQHQSKFTSAPKRSHMGAAIRFVRHIKQQPSSGILLSTQPSGSLNELCDAYWGSFHDTRRSITEFEDSLLF >Solyc09g012025.1.1 pep chromosome:SL3.0:9:5309311:5310816:1 gene:Solyc09g012025.1 transcript:Solyc09g012025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKYGEKFRDVENKDSTGFGDYWYMMSPNERKCCQSLFPNVLSNLSDNVNDVILICLPCKDFARTSILSKKWRYNWCRRPELNLDKYHWITKNDFDNFIYFLSRNGIQHPVLHLSYEIQYKLPSSVFTCSQLRHLSLHNCPIHHSSTFKGFSRLIILELCEVIISSELLESLIYHCPLLDQLVLVILENLNTIEINVPTLRTLDFTGYTSSICLKNAPLLIKLSFAGRYMEVEDLEFAKKI >Solyc10g036810.1.1.1 pep chromosome:SL3.0:10:17072638:17073021:-1 gene:Solyc10g036810.1 transcript:Solyc10g036810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANDKKNNPSCICIRRSICALGKQYRPMAFGFSQILHARSWNILILQERSTDGMRHQPRPTCTAMKCVKECQRRTFCISQGLQVSTLLCAHRLCDFTRGMSALDMPCARLPSINGKWQDSIDKVYTH >Solyc08g008450.2.1 pep chromosome:SL3.0:8:2840732:2842151:-1 gene:Solyc08g008450.2 transcript:Solyc08g008450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVSDGLPVNFDRSLNHDQFMASLLHVFSAHVEEALVKIMESKLDPPVTCLIADSFFVFPGKLAKKYGLLYISFWTEPALVFTLYYHLHLMKLHGHFGCIGPIFPPEFTKRGVTTSMWFESDCTHWLDLQQHATVSYVSFGSYAHITKNDLVEIAYGLSLSKVSFIWVLRPDIVSSDDPKPLPKDFEGEICGRGLVVS >Solyc01g107260.3.1 pep chromosome:SL3.0:1:94761417:94765756:-1 gene:Solyc01g107260.3 transcript:Solyc01g107260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLGCFDMGCIEKKPASDNFSNSENSPTAASRVGKTKAMKESGQSSLVSLNKSGSQIRKPPHRKTSPLNWFPRKKVDSYLKRKIKMLQEVDGMNSTLDETLGDANPHYCRVLREKIAVKEAAQRALEARKAALVEASWCRILQASRIDCKEAEQLLIKSEKYSADAFEAATAIGVILYDIPDCSQKHYKIEKSPAKGEGPTTHTVRTSFETAFEVDKQVASAVKAALLKLANCPSMNKDEVKELLHRISQNPETDDNHQEVSEFSSECESDTASEATELEKEKVRKCKKKQAYEKFNMPNLVEMMLERLRCLQEDELSSLATIVATCGLNAALAEAENSKMHVSGSAADDRSEISVGDGTVKGAEELPSLDKFLVKRLTRLEREVLEAKNARSEAGERSEQSQNESCHKVIHSGYHTNSSHDLASILKKPSVSKFEKEIEEAKNNSKTLVRTKCKATDNSSEVPSLDKFLVKRLTRFEREVLEAKKARSEAGEKCEKTRDKSSDKVVHADYHTDTVNDLASILKKPSSKSEKEIEEAKNNSETLKNKCKASNSNVHSFEVPDLGSVLVKHSSKLEKDIEEAKKKNEKLSEIEGKNSNRLVGTAAIGRRKKHEMDVPSLEDYLVKHMTKLEKEIQEAKNRENTADPDANVSETTSLVGKENVDHNVNSCNGEQPSNPADTLSFEVENKEAVDSLDKILVKPVHRLQRLKMQESSTRRDYRASRTRRKFEANSATDSEGLDKILVKHVSKLEKEKMSFHAKEDNLLNVKKRDTICKQLQNNEGSLDQILVKHKSRLEREKMADIQPHDDDDQIRHSITRKAIRERELQEAWGGLSLGNSMRPHMSRLQRDKASALTSAAWIKAEEEERKGTVEEA >Solyc03g117380.3.1 pep chromosome:SL3.0:3:68023892:68028869:1 gene:Solyc03g117380.3 transcript:Solyc03g117380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVVQFDTTKNRFVAAVDDFLIKFSDVDHPHRMLLTCFALSKNACYVISTSGGKISLFNMMTFKNQENMMG >Solyc01g099770.3.1 pep chromosome:SL3.0:1:89791989:89793848:1 gene:Solyc01g099770.3 transcript:Solyc01g099770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVYQDLLTGDELLSDSFPYKEVENGVLWEVQGKWVVQGAVDVNIGANPSAEGGCEDEGVDDQAVRVVDIVDTFRLQEQPAFDKKQFVTFMKRYIKNLTPKLEGETQEAFKKNIEAATKFLLQKIKDLQFFVGESMHDDGALVFAYYKEGSADPTFLYIAPGLKEIKC >Solyc03g083280.3.1.1 pep chromosome:SL3.0:3:54548405:54550363:-1 gene:Solyc03g083280.3 transcript:Solyc03g083280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSKHIFLTHHLRTLAKPHYLRHPQPPPSFISLRLLSFATPEEAAAERRKRKRRLRIEPPLSSLRQQHQPRPTTPQNPSSTNNPNAPKIPETVSVLSGNRLNLHNRILKLIRENDLDEAALYTRHSVYSNCRPTIFTCNAVMAAQLRQSRYSDLLSLHRFITQAGIGANIVTHNLLLTAFMDCRKTDMAMEHYKQLINNAPFNPSPTTYRILIKGLVDNNKIDRAMELKEEMLSKGLSADPIVYSYLMSGQAKVSNPDAVFELYEELKEKLGGSVSDGVIYGSLMKGYFLRGMEQEAMECYEETVGENSKLKMSAVAFNYILDALSKNGKFDEALKLFDRMLNEHDPPKRLTVNLGSYNVMVDGYCALGRFKDAINVFNSMGEKRCRPDTLSYNNLIEQLCKNDLLGEAEELYKDMGEKGVSPDEFTFVLLMDTCFKESRPDDAAAYFKTMVESKLRPNLGVYDRLVDGLVKVGKVDEAKSFFDLMMGKLRMNDDSYKFMMNALFDIGQHDEVLKIVDRMLREDPADFSDELQEFVREALAKEGRDEELTKLMDDIEREKKEAADREAEAAEKAKASARAAVSSLINSPKLFGNKQPEEQSTITGEAASINDNDKQEEVSVQETAAEASSSGEGGEAESLVAAEARSDGAL >Solyc03g061560.2.1 pep chromosome:SL3.0:3:32596290:32596858:-1 gene:Solyc03g061560.2 transcript:Solyc03g061560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNQFIFKYSWETLPKKWTNTNYLFQLLCFMKLHTYTRVQVSIDICGVDYPSRKQRFEVVYNLLSIRYNSRIRVQTSADKVIRIFLVVNLFPSAGRWEREVWDVFGVSHQSSGSTPYINRLWFRGSSIMKRTSSYEMTQEFRYFNFSSPWEQRSDG >Solyc03g115290.3.1 pep chromosome:SL3.0:3:66552012:66554507:1 gene:Solyc03g115290.3 transcript:Solyc03g115290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRQLMKSWQRLCRLIMISRPFGNPLRDKAIAVAGRVAIMEEGTTLKASCPVCLQVLSTPLNSDLKMTLAVHMSLCHADDVQLHWELMQNKGRSIVHMPSFCFGAGMAAGIGALMVILAKSHAQAFGNKR >Solyc05g014760.3.1 pep chromosome:SL3.0:5:8916543:8923531:1 gene:Solyc05g014760.3 transcript:Solyc05g014760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAGRHGGYRDNEFRGREAEFEVSRRELGYSKGDYERIRSEDRDFDRDRGHDRGGRDRGRLRQKDVKERDMINGSFRSMSSRSDSGSSDGDGGGVRRSGGLSVRAVDREPIKERDMMNGSYRSGSSRSDSGSSDGDAGGMRRSGLRVGAIDREPGELSSESGSDGAIESDQKTKYAANGNQSSVQSKKRKYSPIIWDREDKEVNRMSKSRNSPVAAKLPPPPPLPKSSGQLANFPSERVEQVSLLNTNNVHIIEPSPSNTNTALGLLMDASHESPVDICSPPVDEKQLPSQEARKVEDEEYVPVPTIRSSRWATDADSPADEGEISGDDMLLLKKRRAVPHLAEIGGRRKSLTPEYEELKRESSGGNRTRSSDSDEHIRSCSRESYQGNELDKNGPMDVDKDRNYDGASVSQSDTESEDEHDSRGTPEAALPPQRSVNMLQGCRSVDEFERLNRIDEGTYGVVYRAKDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSLHHPSIVDVKEVVVGSSLDSIFMVMEYMEHDLKALMETMKKPFTQSEVKCLMLQLLHGVKYLHDNWVLHRDLKTSNLLLNNQGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAEMLCKEPLFNGKTEVDQIDKIFRILGTPNEMIWPGFSKLPGVKVNFVKHQLPALGDSGLAFWFNNLRNKFQSPTTSYMGKPDLSESGLDLLNKLLTYDPAKRISADAALNHEWFREVPLPKSKEFMPTFPAQHAQDRRVRRVMKSPDPLEEQRRKELKQGVLGTGGLFG >Solyc03g122130.3.1 pep chromosome:SL3.0:3:71562817:71566342:1 gene:Solyc03g122130.3 transcript:Solyc03g122130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGEPVNVYEFQELARKVLPKMYYDFFAGGAEDEYTLKENVEAFHRITIQPRILVDVSRIDMSTVILGHKTSAPIILAPSSAHQLAHPEGEVATARGAAACNVIMGLSFMSTCTVEEVASSCNAVRFFQIYVFKKRDVTEFMVRRAEKNGFRAIILTADTPRLGRREADIKNKMISFRLRNFEGFISTDVVSDKGSNLAAYAEETLDPSLCWKDIAWLKSITKLPILIKGVLNGEDAMKAIEAGVVGIIVSNHGARQLDYSPATISVLEEVVQAVQGKVPVLLDGGIRRGTDIFKALALGAKAVMIGRPVIYGLAAKGESGVKQVIEMLKNELELTMALAGCCTVDDITRSHVKTEKERCLCKM >Solyc02g085800.1.1.1 pep chromosome:SL3.0:2:49214230:49215261:1 gene:Solyc02g085800.1 transcript:Solyc02g085800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVTTISYDPRQNPNNVRKIDHDIVVVEEIEGLIKVYNNGHIERPPIIPNVPPNNSLPHLTSKDIIFHNLWSRIYLPNPPTNNKKLPLLVYFHGGGFSLGSAAWKCYHDFLSTLASKIGAVIVSVNYQLAPENRLPAAYDDGFHAITWLKNQAILNPKEQNWWSSKCNFSNMFIGGDSAGANIAYHVAIRLNNNNNNNNIKPLCLKGVILIQPFFGGESRTYSEKYSVQPPYSALNLSASDTYWRIALPVGSNRDHPWCNPRLSDTNNIKLPSVLICISEMDILRDRNLEMCNVLGEAGIKVEKHVYNGVGHAFHILHNSPLSQPRTQDMMTHLKAFIYNNNNQ >Solyc02g083950.3.1 pep chromosome:SL3.0:2:47744436:47748006:-1 gene:Solyc02g083950.3 transcript:Solyc02g083950.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEHQHNIEDGGKNSNNSFLCRQSSSRWTPTSDQIRILKDLYYNNGVRSPTAEQIQRISAKLRQYGKIEGKNVFYWFQNHKARERQKKRLIAAASATDNNNISSMQMIPHLWRSPDDHHKYNTTTTNPGVQCPSPSSHGVLPVVQTGNYGYGTLAMEKSFRECSISPPGGSYHQNLTWVGVDPYNNMSTTSPATYPFLEKSNNKHYEETLDEEQEEENYQRGNSALETLSLFPMHEENIISNFCIKHHESSGGWYHSDNNNLAALELTLNSFP >Solyc03g119760.3.1 pep chromosome:SL3.0:3:69788616:69804137:-1 gene:Solyc03g119760.3 transcript:Solyc03g119760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSWRSVGKSFQALSAHLLLLCFTVLLVVKLDHYSDFSWWVVFSPVWVFHAVVARGRFSLPAPSIPYDRHWAPCHAVVATPLLVAFELLLCIYLESIYVYHSAAVNLKFVFLPLLAFEITILVDNFRMCKALLPGDEESSNDELLWETLPHFWVAISMVFFVAATLFTLLKFCDFNALGWWDLFVNFGVAECFAFLVCTKWSNPAIHRSSDALGASSSSATIRRLNWNSGLVVSSEEEPQSRICGLQDLGGHIMKIPIILFQVLLCMRLEGTPAAAKHISLPVIFLPIFLLQGAGILFATLRFVEKTVLLLRSGAAVGQYHVISSRVRDCFAFMHHGSRLLGWWSIDESSREEQARLYHDGFPGYSTFCGYPPEIVKKLPKKDLAEEVWRLQAALGEQAEITKLSQQEYERLQNEKVLCRICFEREISTVLLPCRHRVLCSSCCEKCDNCPICRVCINERLPVYDV >Solyc09g064630.3.1 pep chromosome:SL3.0:9:62182566:62184543:1 gene:Solyc09g064630.3 transcript:Solyc09g064630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLRSSSTPLLGSLHSESPNSHHHHHQSDLTPNHTPNSVHHSYSKLSCSHGGYQNFNRSLYPCKSPISPSFSEISNANKFPSHGFRRAQSEGNLEGLVKVSTDEVVDEFTLSKPTNMLSRKPPKSFLETIPSFSIHNSRELHSDDDDSDDFDCISGQNSLGMNTVKEEMSHVSRNSKIDIVEGREEMFLARGLGVADSGCFDDGGCGIGGGGGGVYRPVAFDRDGGDSQGLHIEEHYKKMIEENPGNSLFLRNYANFLYETKRDLKGAEEYYSRAILADPCDGEILSQYAKLIWELHHDTDRATSYFERAVQTASSNSHIHAAYANFLWEIEDEEDENDETQTQPMVHTVATASITV >Solyc09g074310.3.1 pep chromosome:SL3.0:9:66514297:66518361:1 gene:Solyc09g074310.3 transcript:Solyc09g074310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAVPLFNLAPDLTVSRLCLGTMTFGEQNTLAESFQLLDKAFNSGINFFDSAEMYPVPQRAETHGRSEEYFGRWIRERNVPRDSVVFATKVSGPSGQMSWIRNGPESLDAQNITEAIDNSLLRVKTDYIDLYQIHWPDRYVPMFGETDYDPLRHYTPVGFEEQLDALKRAVDAGKIRYIGLSNETPYGIMKFQQVAKSTAGNLQIVSVQNAYNLLCRNFDSAMAECCHNERISLLAYSPLAMGILSGKYFAEDGGPSNARLNLFKGRYKEGESRYDLSKSNVLYAAKSYVEIASRYGIHPVSLAIAFIMRHSLVASVVFGATKVWQLEEVLAACKVNLSPEIITEINKVHSRFPSPCP >Solyc01g066105.1.1.1 pep chromosome:SL3.0:1:72931239:72931490:-1 gene:Solyc01g066105.1 transcript:Solyc01g066105.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISEENPSVLVNTFDALESGALIILRHVMMVEIGPTIPSICIDDNTFKADMIEISLKNYMDWLNLKEKGSVIYIAFGISLAS >Solyc10g079450.2.1 pep chromosome:SL3.0:10:61113515:61122133:1 gene:Solyc10g079450.2 transcript:Solyc10g079450.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGSLISSRAFDWYTGQMIIIALLVMVGSFYTGTLFGENPSLYIHQEQQQSENLTVDSNPISSESSSGILKFRNKIALSYRVVPLTIPETGINVCPLKFNEYIPCHDISYVKELMPKLDLSRKEELERHCPPLDRRLFCLVPPPADYKIPIRWPTSRDYVWRSNINHTRLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGATEYIERLGNMTTDETGDLRAAGIYQVLDVGCGVASFSAYLLPLNIETLSFAPKDGHENQIQFALERGIGAMISAIATKQLPYPSNSFDMVHCSRCRVDWHENDGILLKELNRILRPNGYFIYSAPPAYRKDKDFPEIWDKLVKLTSGMCWKLIAQKVQTAIWVKQENNSCLQHNAQEKLVNLCDSEDDLKPSWKTPLRNCVTLSDASSSLKKLPPRPQRLSEYSQSLSRIGIDREKFLADTVYWQDQVRHYWRLMNVEEKEIRNVMDMSASLGGFAVGLNTWPVWVMNVVPITMNDTLSAVYGRGLTGVFHDWCEPFSTYPRTYDLLHANHLLSHYKNREEGCLIEDIMLEMDRILRPQGFIIIRDEEPIVSRIQALAPKFLWDVELQFLENHQRKKEPVLFCRKKFWAIA >Solyc08g075930.3.1 pep chromosome:SL3.0:8:60127145:60131319:-1 gene:Solyc08g075930.3 transcript:Solyc08g075930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKTSRSTRKSSAMDFLSTPPATAAASPVQSMSESPKPFEFNFKKFKAGTVSPTSSKRSGKKSFISSPVQLKPVGSPASVKNLKTIADLKDFASSQLDSVKGQIERSHVEILKDLEASQSRLQKRLKIQTQGCQQVADEAEREYKKMSERITEGREAMKGSYSTFMAELQASGARLCKQAIPELSQSVEKAIDTLKNRYGIHKSTSAC >Solyc10g050540.2.1 pep chromosome:SL3.0:10:49887300:49921638:-1 gene:Solyc10g050540.2 transcript:Solyc10g050540.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDKYNVEAAEILANEALRSPISGAVPIYEQLLSTFPTAAKYWKQYVEAHMAVNNDDATKQIFSRCLLNCLQIPLWRCYIRFIRKVNDKRGNEGQEETRKAFDFMLNYVGADIASGPVWMEYIAFLRSLPAPTAQEESQRMTSVRKIYQRAIVTPTHHVEQLWRDYENFENSISRALAKGLVSEYQPKYNSARAVYRERKKYTDEIDWNMLAIPPSGSSKEEMQWMAWKKLLAFEKANPQRIDSASANKRIVFTYEQCLMFLYHYPDIWYEYATWHAKAGSVDSAIKVFQRALKALPDSEMLRYAYAELEESRGAIQAAKKVYESLFGDGSNASALSHIQFIRFLRRSEGVEAARKYFVDARKSPNCTYHVYVAYAMMAFCLDKDAKMAHNVFEAGLKRFMHEPGYILEYADFLYRLNDDRNIRALFERALSSLPPEESVEVWKKFTQFEQTYGDLASMLKVEQRRKEALSRTGDDGASELESSLHDVVSRYSFMDLWPCSSNDLDHLARQEWLARNINKKPDKPTLGIEAGSADKTTSGVSSNTNPPAKVVYPDTSKMTVYDPRQIPGPAALAAPSASGTLPYSGPFSSNGPPIALNDILKSLPPAFAAFVANLPAVEGPSPDADFVISVCLQSNIPAATGKSGTASLPLLSGAAPSTSDLSDSSKFRPRDRQPGKRKDMDRPEDDESTTMQSQPLPRDLFKIRQLQKNRVGNSSRVTSSYTGSASYGSALSGDLSGSTS >Solyc04g054810.3.1 pep chromosome:SL3.0:4:53225283:53226363:1 gene:Solyc04g054810.3 transcript:Solyc04g054810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARLVVFVALCFLSVSLATTTSNPFLVKGKCYCDTCRCGFETPATKYLAGSKVKVECKNRVTNKITYTIDGVTNSQGEYNILVKRDCGDDVCDVVLVESGDKSCNIPNAGRDRARVALTRNNGMTSDVRFANNMGFLSNEPLAACTQILQQYQLTEDQY >Solyc02g062570.3.1 pep chromosome:SL3.0:2:34843478:34846816:1 gene:Solyc02g062570.3 transcript:Solyc02g062570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLLRNGDVAVKLGAFEQQALIHDFSTSASARFNATLNRLSKWLVAAVFVIIFLWRHDTEALWAASGSILNAGLSTVLKRILNHERPVSAIRSDPGMPSTHAQSIFYTVMFCIVSSNILSQPDEENMLVVEYFGLNGVTAVISVLIFAIGSYLSWLRVSQQLHTTSQVAVGAALGFSFSVFWFWLWDAIVLDAFISHLWIQILVVLGTVAICVSFLLYVFRYWVLEKN >Solyc10g049825.1.1 pep chromosome:SL3.0:10:46824824:46825284:1 gene:Solyc10g049825.1 transcript:Solyc10g049825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSASELLVLSDVHPPIPGTIHLGVQVTPIDDFNIHVYSDTDWGGDITDIVLASGYIQFMDQNLISWSSRKKNIVSRSSTESEYRAVANSLCETVWITNLINEL >Solyc03g034053.1.1 pep chromosome:SL3.0:3:5788542:5791903:1 gene:Solyc03g034053.1 transcript:Solyc03g034053.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRQVPNKFKRGWNAQCDHCKMMGHTKANCYRLIGYPSNFRFKKKVGQQNDSYEKEGENRSHAHNVRDEESRLHNDGSQARAAYVNFRNDQGYNNYPRTDNLHADWNRSHIPSQQHLQMMQQYDKSSHLNQSNLGQHKADDVPEPSSLNMCILSDSNMGDDMLIAGNDLVLIEHTKQELHARFKIKDLGILRYFLGIEFSRSEKGILMNQRKYALELIEEMGLTAAKPSWTPLDINLKLTNTLLDEAMNVTNDQVLADKGPYQRLIGRLLYLTLTRPDIGFAVQTLSQFLQCPKKSHMEAALKVVRYIKREPAMGVLMSSKKDRELIAFCDADWASCPNTRRSVTGFLIKHGVFDFLEVKETNNSIKKFS >Solyc05g053400.2.1.1 pep chromosome:SL3.0:5:64346892:64348301:-1 gene:Solyc05g053400.2 transcript:Solyc05g053400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNTEKLANLKKQLGDGVAVVMVPFQTQSHLDPFLQFACLISSYGLSVHYLSLPSYNHDTKARATMLNPCDLDTIHFHDLEMIPTPLDPEIPSEVPKHFHLFWNVTMHLRESITSFLRDISSKSRRIIMIHDCLMCYNVQDISSFDNAESYIFSPVISAFGMYCDHLFPHVGLPIPIEQQLLQKLPSSEGWYCEEIMRIGSFQVQYIGKSSAGDIHNTSKVMEGSNTFIDLLGIGQDKKQWAIGPVLRPIKNEKNGFPCLDWLDEQPPNSVLYISYDMLTSFSDEQIKELAMGLELSKQKFIWLLKDPDNTDIYLGANLNREFEFHAGFEERLNGVGLLVRDWAPQTEILAHSSIGGFLSACDWTSCVETVTMGVPIIAWPTHSDHPKNGFLLTELLKIGLVITEWDKKRDEMVTASTIDNVVRKLMASEEGDEVRKRAKELGEAVRKSTEKGGASRMELDYFIAHITR >Solyc09g065320.2.1 pep chromosome:SL3.0:9:63594144:63595993:-1 gene:Solyc09g065320.2 transcript:Solyc09g065320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYNNGFFIVHGIQGYVSHLSSISCRIESMTTLSFPFLPPPTAAFAATRVMLKDVMGRLRTGGYTLVALAMRVKYPELSDLKSMTVFAMDDLSIFGIGIGHEYVANLGFHIVPNRLLMSSELAELAPNTEKNYVASDFKDVMPELFDTLYAILIFVIPSANDDWSHNETEIVEVVAGCGAIPIRWYN >Solyc04g011490.3.1 pep chromosome:SL3.0:4:3919872:3925521:1 gene:Solyc04g011490.3 transcript:Solyc04g011490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQPSLPPITSTIPPFVGLIVRILTFICLLISLIIIATNTEKSIDEDGNVDKTKFNDFYAYRYLISTVVIGMAYTLLQSAFSIFFVTTGNRFGGEGFCLFDFYGDKFISYFLATGAAASFVIINFSTLQMLQPVFVLLDSFFLLFHLEMAPPPLPSTTIVPPFVSLIVRVATLIFLLISLIVIATNTHTTSLNFINIKTKSTNFYAYSVVIGMAYTLLQTAFSIFFVTTGNRLGGEGLCLLDFYGDKFISYFLATGAAASFGMTEELRRLTNSDMDKFLNTSNAAASLCLFGFFFSAASSIFSSYNLPKRA >Solyc11g039640.2.1 pep chromosome:SL3.0:11:43727498:43738165:1 gene:Solyc11g039640.2 transcript:Solyc11g039640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIKKQQSKKEDFKFNIEEPNRLIVQYFGGNEIIRKSDLFDRFNGKVWVDNDDDAIKFEILYFIHMFVYSGEKRIISINKVLTTDGQYYRICGMPVVLQIWIYECMGKRQTNFARKISDCIPRILNWQRIGEKSRFKTLTKDTFNDGNREIKWKNVVPSLMEIDVLQLPPEGVEKSTEGVQTVPHHDIDEQALSGQNSDDDFVNPPPPSMKVREEFKDIRKLINDNFNIIMSTLKVDCDNFPVRNLINVDAGFSSSKSIIPSDGMESQNKKSHVHTPLPGHRIRRPGPFNTSPYMTSFGSSAGHDAAIRKEVIKWAQLIPLKLTMYDYYKNRGLDRSVSQEENELFEIVFIDNIPQQTDGSLIVVSICLLLPSGCLMVKEIQVQKQDNGAISDSEAPPRHTMPQYVRVVSAPIEIQ >Solyc05g017860.3.1 pep chromosome:SL3.0:5:18736867:18760781:-1 gene:Solyc05g017860.3 transcript:Solyc05g017860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAEALYLIPAAVLRNLSDKLYEKRKNAALELEGIVKQLAVAGDHDKITAVINLLTNEYTYSPQANHRKGGLIGLAAVTVGLTSEAAQHLEQIVPPVLNSFSDQDSRVRYYACEALYNIAKVVRGDFIVFFNQIFDALCKLSADSDANVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDNSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRADSQDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRGIEADPAEGFDVGAILSIAMRQMSSEWEATRIEALHWMSTLLNRHRSEVLVFLNNVLETLLKALSDPSDEVVLLVLKVHACIAEDPQHFRQLVVFLVHNFQLDHSLLEKRGALIIRRLCVLLNAERVYRELSTILEGESDLDFASIMVQALNLILLTASELSDLRDLLKQSLVNADGKNLFLSLYASWCHSPMAIISLCLLAQAYQHASSVIHLLVEEDINVKFLVQLDKLIHLLETPTFAYLRLQLLEPGRYIWLLKALYGLLMLLPQQSAAFKILRTRLKTVPSYSFKEENFWRISSGIPYSQYNYGGGGGGSQILEEGDLNENPNSLDMHNGINFALKLQQFRQIQKQHHLHSKSQTQSRFVSSSSAKDVQIAEELKRSVDLNRPPSRSSHRGLGQM >Solyc12g005380.2.1 pep chromosome:SL3.0:12:224265:229636:1 gene:Solyc12g005380.2 transcript:Solyc12g005380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHKSSSFNFFLIIKKTLGRIATMIKDANLSTLHNFFHKSYEALIIDCEAENYLKDYYIPDYILVPEKEIENGYEVASCPVLVFINSKSGGLLGGQLLFTFGTLLNKNQVFDLGAKAPDKVLHQFYSNIEKHKQNGDSSSYEIERRLRIIVAGGDGTAGWILGVVSDLKLAHPPPIATVPLGTGNNLPFAFGWGKKNPGINCQSVKSFLNQVKNGKEMKVDSWHIVMKMRAPKEGSCDPIAPLELPHSLHAFRGAPQADTLNKEGYHVFRGGFWNYFSIGMDAQVSHAFHSKRKLHPEKFKHQLVNQRNYAKLVCKQGWFCTSFMHPSSRVKITNSISKSNMLHEAGVERGGLLMPTFYLWQDPMRGVGVARYHFKLNILIESVSARRNIAQLANVKIMKRSGEWIDLHIPSSIRSIVCLNLPSFSGGLNPWGKPNKQKLHERDLTPPYVDDGLLEVVGFRDAWHGLILFTPGGHGTRLAQANRIRFEFHKGASEHTFMRMDGEPWKQPLPKDDDKVVVEISHFGQVNMLATPHCLSKSINVPITDKSFFEHDLVEERRKLGAAHSFRIPDDSDIKQS >Solyc01g087660.2.1.1 pep chromosome:SL3.0:1:82474253:82474541:1 gene:Solyc01g087660.2 transcript:Solyc01g087660.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLEGVVRHKKWLINKKSNSFRRNSPKTNWKNVVVTTSSFRKAAAAEQVVLDFGDEYGFWENYQRLEVKDDYEFWENYLRLEEEIGWTKRKL >Solyc10g085700.1.1 pep chromosome:SL3.0:10:64918722:64921992:1 gene:Solyc10g085700.1 transcript:Solyc10g085700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQNTGDSVGIFSTRFSLRSFLKCAEKLTDSQKDSINKTGFGNLLLIQRQMVSKTLLVELMERWDHEKCAFVFPSGEITISLLDVMLILGLPVTGNPVILREDTPFLDLERDFGAFPLSRTITVASLEQRLDSLAETNNEDFVRSFLLYMFGTFLFPNTNSKVDSRYLYLLRDVDKVNSFAWGAAILADIWFFEHIDIARPCLLNYRSKLPRVCNWGSMKSHRREWFDTKFKELKSDQDDCEDDLQKKSQTLEEQIVHLKNEIGDLTIKNKTLEDQLSSSLKFEEQNKKLMDEIETLQQENQELSASKQNCDWITENCL >Solyc01g005640.3.1 pep chromosome:SL3.0:1:449852:454647:1 gene:Solyc01g005640.3 transcript:Solyc01g005640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSGDGPKVSCEEIQMVQNRIEHCLRQYMSRKEVVNTLFIQDSIEPTFTELVWQKLEEENQEFFQAYYLKLMVKEQIIEFNRLLSEQVKMTQQVPSAIASLPMSNGCNIMPMHQNSTCGAAENVGTAAKPNGMHEPVHADRPNAFSNSSSSVLSCMQTSIDIPSQSRKTDGPPNMFLGQPSNMGMRQTMDGRIIKTEPIYGGNSPFAFGPHGTYLESRSAMGDASVSSFSSVESNTQPLNEPLLDADTSSFGFLGEIPQNFGFSDLTADFTNTSDILGSYSRTPFLATDTGNLLDSNGGIERLTNPSENLRYTNFSGD >Solyc03g043665.1.1.1 pep chromosome:SL3.0:3:7237426:7238106:-1 gene:Solyc03g043665.1 transcript:Solyc03g043665.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRNKVKLAFIENNSSRKVSYRKRQKGFLTKARELNTLCDVEIASVIYSPYHNEPIIFPNNDVVTNTFTTFRELSRLEQSKNMMTQENFTKQRIQKMEEKLEKVRKENRVREFTNKMYEMLNGDDIPNGIHVYDLNDLSYVINQNLKEVCEAIKALSTPVLTDVSMPINNHQNNSVSFTQSPALSEMFDWNDDLMNLDWNDLLTLLDETSFDKVDVQDSNRNNDNL >Solyc09g055140.1.1.1 pep chromosome:SL3.0:9:37004633:37004794:-1 gene:Solyc09g055140.1 transcript:Solyc09g055140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVHIGVSVGRPCPPPSLDLKSSKFDPLEKYWTGFPIEGSKITPPHPSCEFK >Solyc12g010115.1.1 pep chromosome:SL3.0:12:3246331:3247627:1 gene:Solyc12g010115.1 transcript:Solyc12g010115.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSLSCMQTSQSSQWTEASSLSFFTRSRTISEVVAYRSKASQALKIWGVRNQFLMVSSELMSPFRDSASLAWPLLLRYNSKLSLACSYIAVSIWKRIEELR >Solyc03g113760.3.1 pep chromosome:SL3.0:3:65302034:65306182:1 gene:Solyc03g113760.3 transcript:Solyc03g113760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALASDPSKESRSTYCRKQKSLGLLCSNFLSLYDRQGVETIGLDDAARRLGVERRRIYDIVNVLESIGVLARKAKNRYSWKGLEAIPRTLEQLKEEGLKENVSGFDGSSSAKGSDDDDDDDRDSNLITSSQTDKLSSNSGVNSAAPVKSENRREKSLGLLTQNFVKLFLCTNVDMICLDEAAKILLGDGRPPAMTRTKVRRLYDIANVLSSMKYIEKTHHPETRKPAFRWLGLGGRSEYKSVDAFCAIESKKRVFGTELTNTTIKRNRVALPMDGISDEATRKQLLTEVKCENLENAFHIPKQEPGLTASKKSYHFGPFAPPTVPQAEVSEADRMKRVHDWESLASSYRPQYHNQALKDLFAHYVEAWKSWYLEVAGKNPIQLIS >Solyc07g055230.3.1 pep chromosome:SL3.0:7:63464090:63466687:1 gene:Solyc07g055230.3 transcript:Solyc07g055230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding HKLDSIRVFPSSSSLGSVFFNSLAAAAAISSSNGFREEIEQPHEGHQGSEACPQYLRR >Solyc04g052975.1.1 pep chromosome:SL3.0:4:51235435:51236468:-1 gene:Solyc04g052975.1 transcript:Solyc04g052975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLIEYSDSDWGGGVDDSRSTSGYLFCLGTNLLTQAEEEFGFNHQMGGLTIPCKEDVFVDLTFRLRR >Solyc12g016035.1.1 pep chromosome:SL3.0:12:6082831:6083733:-1 gene:Solyc12g016035.1 transcript:Solyc12g016035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLAVKLVLDVEHVHDLNLINRDLKSDNLLIAADKSIKIADFGVARIDVLTEGMTPETGTYHWMAP >Solyc06g048740.2.1 pep chromosome:SL3.0:6:31744465:31747985:1 gene:Solyc06g048740.2 transcript:Solyc06g048740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRISCIVLFGFAVLILLPNQTSLATVPNISTDEAALFALKSHISSHPNNILESNWSSSSPVCSWIGITCSSRHHRVTALDISNMQLHGTIPPHIGNLSFLVSLRINYNTFHGNLPAELAHLKRLKLINVRSNNFTGAIPSFLSLLPNLHTVSLWSNQFSGKIPSSLFNLTKLQVLTLQSNFLVGEIPREIGDLRYLTVLDLQYNQLTGPIPPSIFNITTMQVIALTNNNLTGKLPTTICDHLPNLERLYLSSNSLYGVIPSNLEKCRKLHTLSLSFNDFIGTVPRELANLTSLITLFLGQQHLEGEIPVELGNLKKLQKLGLAENELTGSVPTSIFNISALQVLILSLNKLSGTLPSDLGRGMPNLEEFYCGGNSLSGFISDSITNYSRLRMLDLSFNSFTGPIPKSLGKLEYLEALNLGYNSFTSDSTLSFLASLNNCRNLRNLWLYNNPLDAIFPASVGNFSDSLHGFEADGCKLKGMIPNEIGKLTGLTKMSLYNNEFTGFIPNTIQGMLSLQELYLDGNKIQGTIPDYMCSLQNLGALDLSQNKFSGSVPPCLGKLTRLRILHLDYNRLDSRLPESLGSLTDLLEFSVSSNLLSGQIPFEIGNLQAATIIDLSKNDFSGTIPTTLGGLDKLIHLSLEHNRLDGPIPDSFGKMLALEFLDLCYNNLVGEIPKSLEALVSLKYLNFSFNKLIGEIPTGGPFSNATAQSFLSNDALCGDAKFNVTKCVIQTPKTKKANLVLYISLGVGMLLLILALAYVYVRLRNTKKNTGQTNVSPLKEHERISYYEIEQATEGFNATNLLGNGSLSTVYKGILKDGTLFAAKVFNVQLERAFRSFDIECEILRNLRHRNLTKVITSCSNLDFKALVLEYMPNGTLDKWLYSHNLFLNLLQRLNIMIDVASAMDYLHNGNSTAVVHCDLKPTNVLLDQEMVAHVSDFGISKLLGTGEAFVQTRTIATIGYIAPEYGQNGIVSTSCDVYSFGIMMMETFTRTRPSDEIFIGGLTIQRWVSDSFPGEIHKVVDSNLVQPQDEQIDAKMQCLLSIMELALSCTFVTPDARIPIKNALSTLKNIRLRLVSS >Solyc06g007160.3.1 pep chromosome:SL3.0:6:1216988:1218095:-1 gene:Solyc06g007160.3 transcript:Solyc06g007160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICVVLITLHELIGLELMNGYASHRYKMYTQLVIVVVFLKVQADKFFQLWPKMFVVFDEVAERQGKYLASLLNKVGKEGGGHANCAQNINLGDPFVYKHLGSMATIGRYKALEAKGVSLAGFTSFFVWRSAYLTRVVSKRNKFYVLINWLTTLVFGRDISRI >Solyc10g019095.1.1 pep chromosome:SL3.0:10:11712400:11712864:-1 gene:Solyc10g019095.1 transcript:Solyc10g019095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKLFALAKKYTFFTPSKTCYGSDIGFPAIMGLAVYKSRLSLNSSYHQFLHNEKFQMTRCFDCFLLANDFKS >Solyc06g069060.1.1.1 pep chromosome:SL3.0:6:42967538:42967690:1 gene:Solyc06g069060.1 transcript:Solyc06g069060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSSGLLIFVPKFGFFIYTFISKDEEIVYALHVMITIKLSCGPIYSFSF >Solyc11g020492.1.1 pep chromosome:SL3.0:11:11333374:11333606:1 gene:Solyc11g020492.1 transcript:Solyc11g020492.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILQASISRIKRNTHSTINKRENVVNASYYCYGRFQIRKRRRSLRSMDEYYFVTMPRYCEEISQKRS >Solyc10g007420.3.1 pep chromosome:SL3.0:10:1763113:1779169:-1 gene:Solyc10g007420.3 transcript:Solyc10g007420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKQRSNDIEMIPEIYGYGSIMPFGAMQRTNAEELNTQANEIKGLGQVENARKGQKPDQAKLQAMEEHISKCADARRSKNWVTMLIIAAEATTSEADASPKLFACQAEAHLKLYKLKDAELWIRKARTYELSATECRSKIFGMLSEAYILFVQAQIDSCLGKFDDAFIAIERAAQIDIQSAEVTGKLKNMRLVDKARTHGNEHFNSKRYRQACSVYGEGLFLDFSNSVLYHNRANCWFKLGEWEESRADSIRALVIRPQYTKALYRKAASSIKLERWADAVSDYEFLRQQLPSNKVVAENLSYARAELRKSRRKGNFMVKLVSDLDKFRAAIASGPSVVYFDELSNPESTWMSYIMDTLNAEYPSVTFLEVDVKQSPAIATAEKIKVAPRIKLYNNGSRVAETVMLTPDSLELLIKNTLVFPPSWFGLIESQLNFPSCPATDPALNYRPVIGIVSHPGDGASGRLNNASDVSYIAASYVKFAEMAGARVIPIIYTEPPEIINQKLNLVNGIIFTGGWSKKGLYFEVVKGIFEKVLEKNDAGEHFPLLAICLGFELLTMIISKDNNILEEFSALHQASTVQFVENIKFEGTVFGRFPPVLLKKMTTHCLVMQNHRFGISPERLQANNDLCGFFKILTTSVDKKNKVYVSSVQAQHYPITALQWHPEKNVFEWGSSQIPHTEDAIQVSQHVANYFISEARKSSNNKPATSKVLDNLIYNYSPSYAGKVRGSFEEVYLFTPRPTLSSL >Solyc11g016970.2.1 pep chromosome:SL3.0:11:7627701:7632851:1 gene:Solyc11g016970.2 transcript:Solyc11g016970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein DETOXIFICATION [Source:UniProtKB/TrEMBL;Acc:K4D6K1] MALPITAMNCLVYVRAVVSVLFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLSQSLQRMICILLLAIIPISLLWINLEGIMLFMCQDKEITAMAATYCIYSLPDLATNTLLQPLRVYLRSQGVTKPQMWCTFVAVLFHVPLNYFLVVVMGLGVPGVAMASVLTNLHMMVLMMGYVYVYGRGEWRWTAGIGGICGGLGPLLKLAVPSCIGICLEWWWYEIVTVLAGYLPNPRLAVAATGIMIQTTSLMYTVPMALAGCVSARVGNELGAGKPYKAKLAAVVALACAFVIGFINVIWTVIFRNKWGGLFTKDEMLQALVASVLPIIGLCELGNCPQTTGCGILRGTAQPVIGARINLGSFYFVGTPIALCLAFWLKVGFSGLWYGLLSAQAACAISILYAVHCCTDWEGEALKAKRLTNLEMMGHRDDISDDDDDEQDGLLVNEMIKDKFDDVP >Solyc04g077040.3.1 pep chromosome:SL3.0:4:62084377:62089257:-1 gene:Solyc04g077040.3 transcript:Solyc04g077040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSSLPQDALFLGFDSSTQSLKATVLDANLNIVAAELINFDSELSHYKTEGGVYRDALVNGRIVSPTLMWVEAFELILQRLEKSSKFDFGKIAAVSGSGQQHGSVFWKKESAAILSSLDAKRSLLEQLNDAFSTKESPIWMDNSTTEQCKAIEKAVGGAMELSRLTGSRAYERFTGPQIRRLFETQPEVYNNTERISLVSSFMASLLIGGYASIDHTDGAGMNLMDIKQRAWSEIILKATAPGLEEKLGKLAPAHAVAGSIAAYFVERYHFNKDCLIIQWSGDNPNSLAGLTLNTPGDLAISLGTSDTVFGITKDHNPSMEGHVFPNPVDTESYMVMLCYKNGSLAREDIRNHYAEKSWDVFNKYLQQTPPLNGGRLGFYYKDFEILPPLPVGFHRYILENFTGDSLDGIKEQEVGEFDPPSEVRAIVEGQLLSKRAHAERFGMPSPPKRIIATGGASANNSILSLIASIFGCDVYTVQQSDSASLGAALRAAHGWLCNKKGSFVPISSMYMDKMEKTSLNCKLAATAGDQKLVAKYATLMKKRTEIENRLIEKLGRL >Solyc01g009010.3.1 pep chromosome:SL3.0:1:2948700:2954871:1 gene:Solyc01g009010.3 transcript:Solyc01g009010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASCNILCSVKPQIDSFKKSCFFQSWSNRPHGSSYFNKNIQFRRNSFVIVKASGSRTSKKQVEITYNPEEKFNKLADEVDREAGLSRLTLFSPCKINVFLRITSKRDDGYHDLASLFHVISLGDKIKFSLSPSKSKDRLSTNVAGVPLDERNLIIKALNLYRKKTGTDNYFWIHLDKKVPTGAGLGGGSSNAATTLWAANQFSGCVATEKELQEWSGEIGSDIPFFFSHGAAYCTGRGEVVQDIPSPIPFDIPMVLIKPQQACSTAEVYKRFQLDLSSKVDPLSLLEKISTSGISQDVCVNDLEPPAFEVLPSLKRLKQRVIAAGRGQYDAVFMSGSGSTIVGVGSPDPPQFVYDDEEYKDVFLSEASFITRPANEWYVEPVSGSTIGDQPEFSTSFDMS >Solyc12g096000.2.1 pep chromosome:SL3.0:12:66065253:66069725:-1 gene:Solyc12g096000.2 transcript:Solyc12g096000.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAEGSQFDARQFDAKMTELLGTEQQEFFTSYDEVHDSFDAMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYSLVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQSGVHVVVGTPGRVFDMLRRQSLRPDHIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLFDIQKFYNVVIEELPANVADLL >Solyc11g006480.1.1 pep chromosome:SL3.0:11:1162161:1163290:-1 gene:Solyc11g006480.1 transcript:Solyc11g006480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCSWIDRTESSNQSRLHRLIVGIDVEWRPNFNPGAGQNPVATLQLCVGKSCLIYQIIHAPNIPWKLRNFLNNDDYRFVGVGVENDVRKLLNDWGIGVSNTVDLRQWAMEELENENLRSYGLKGLVKEIVGIEIYKPKSVTMSDWDDRWLSVNQCPKYTTTRPLEVSGMLGISWRKG >Solyc11g044965.1.1 pep chromosome:SL3.0:11:32137831:32161525:1 gene:Solyc11g044965.1 transcript:Solyc11g044965.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKFPDNKSKSFLLDEIDIDDSDNLDASQGGFGHVVLCKNKLDGRQYAMKKIRLKDKILPLNDRIVREVATLSRLQHQHIVRYYQAWFETGITVSCDDSSCGSRTIVSSSFSYVDRSVSDHLGQDNKLESTYLYIQMEYCPRTLRQMFESYSHLDKELAWHLFRQIVEGLTHIHGQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLKLEQLDQDVDASEMIGVSVDGTGQIGTYFYTAPEIEQMWPKINEKADMYSLGVVFFELWHPFDTAMERHIVLSDLKQKGEVPPAWAAEFPEQASLLRRLMSPSPSDRPSADELLQNAFPPRMEYEMLDNILRTIHTSDDTGVYDKIVNAVFSEHTLNTKGHNTNLESSKVARRDTSSILLTDIQTESRDHVIEIATAVFRRHCAKRLEIIPVRMLGECPVPNSRERNSVKLLTHGGDMVELCHELRLPLVKWIIANRGDFDIIGGETALTEAEIIKATMDIILHYFQSESCDIHLNHADLLDAIWTWAGIRPEHRQKVAELLSLLGSLRPQSSERKTKWVVIRRQLRQELNLAETAVNRLQTVGLRFCGVADQALPRLRGALPPGTLSFIAVFTTSFSFMRKLIFGVTNADKTTRKALEDLSELFNYLRVWRLDQHVYVDALMPPTESYNRNLFFQVSVPSLFLGLSVSQSSLAY >Solyc07g052630.2.1 pep chromosome:SL3.0:7:61224744:61228501:1 gene:Solyc07g052630.2 transcript:Solyc07g052630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGKYDHNEWYYIGSNSESYVQNNYFSFDMAFGGGGYAISQPLAMVLARVLDSCLMRYPSLYGSDARIFSCLAELGVSLTHEPGFHQDDLWGNLFGLLSSHPLSPLLSLHHIEDVQSIFPNMTKIQALQHLFKAANVDPARISQQTICYDRENSLSIAVAWGYAIQVYEGNIKVPELITVLRSFDSWDKDKRRPYFMFKTKVESRGPCKKMVAFLDSVDSNGDKVWTNYTRHRVVGKTCTKDGNKVIKNLEEIRVHSSKLDTYTRQVRAPRRHCCDISLSSQNSMDIHIRPCGIDELITMSP >Solyc03g019830.3.1 pep chromosome:SL3.0:3:62815203:62818009:1 gene:Solyc03g019830.3 transcript:Solyc03g019830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRTILFFALFLVVESAEFFPNERDALLQLRDSVNSSVVDLHSHWTGPPCYYNQSKWAGISCSDGHVNQLVLEGIQLTGSLPPTFLLHITYLTKISFRNNLFYGPVPNLTGLIDLEFVFLSDNRFSGLIPFGYVELKKLTDLELQGNELEGSIPPFNQQSLIGFNVSSNKLEGPIPETPVLKRFSKSSYENNANLCGEVVGIPCSISPASPPKAPSEKKKNGLKVWSIALIAAAVTVLVLVSIMFIFFRYFRRSKRKGTQGEDKQGNISIENVVKRSYWSKSTEDPEKTLDLIFFEKDMSVFDMDDLLRASAEVLGKGKLSTTYKAILESGSVVAVKRLKEMNSLSKKEFTQQIQLLGKLKHENLVEMMSFYYSKDEKLIVYEYVPQGDLFELLHGSGIGRQPLNWTARISIIKDVAKGLNFLHQSLQSQKVPHGNIRSKNVLIQQDQNKNYHSKLTDYGFFPLLPSKESLRKLAVGKSPEFSQGKKLTRKADIYCFGILLLEVITGKIPGELFSPENGVTSYVDDDLSEWVRTVVNNDWSTDILDMEILAQKEGYDEMLKLTQLALQCTDEAPEKRPKMSEVLRRIEEIELEPKNLVTDVEDDSIS >Solyc02g068290.2.1.1 pep chromosome:SL3.0:2:38830125:38838436:-1 gene:Solyc02g068290.2 transcript:Solyc02g068290.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQDQRGLEEASAPKMRKKKTSQPKATVLQKEGNKAKRIQDVHAMPIQNGLKHTKRVPPNEVSPLFQYAEKSTPEFSPDTSTSGKEYRALRRKYLLLEEESFGLNKELRETDDEIKALEDEKLTLLDDLVVLEGLVDPSDIQSQGQRL >Solyc03g113510.2.1 pep chromosome:SL3.0:3:65118635:65119915:-1 gene:Solyc03g113510.2 transcript:Solyc03g113510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQGEDSKTKNESNVQVQERGEIFFFYRPKVGKQQVHDFDDVQRLYIVLRPESGEHSVEVKQDSHSGKEGEKLASPGKPNRDISSDKEHSGGEGGHGTEEVNIEKEPLLRFIVMGRKSLPDPSKKTGHRPYWGFVEMVTTKIDDVKAALKGEEYDTATRGHRVVAPARAVGEGIYRILRHNPKKKMHTHLVYKLEFPAEDEENEPQEELNIKREGSFLIQIKNPEQRGSGSQFRGLQKKRKATFPAHLQGEFGQLRYHPADPPDFLNYEGCEFLLISASDDIEEELGLELKTETEEEHDPSCSDLVKTFGETASIRPLLRGTWV >Solyc01g089980.3.1 pep chromosome:SL3.0:1:83562284:83569699:-1 gene:Solyc01g089980.3 transcript:Solyc01g089980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTCIDMCAEVVAGSAAWLGRGLSCVCVQRRESDARPSFDLTPSQEECLLRLQNRIDVAYDSLIPEHQEALKALWKVAFPEEQLRGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLYFARNFPRSFQDLLRKQEGDRAIWEYPFAVAGVNITFMLIQMLDLEALKPRNLVGATFLKFLAENESAFDLLYCITFKLMDNQWLAMRASYMDFNAVMKATRRQLEEELLQEDITRLEDLPSYNLLSR >Solyc02g068410.2.1 pep chromosome:SL3.0:2:38927906:38933875:1 gene:Solyc02g068410.2 transcript:Solyc02g068410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSISSLIGILTFNSFKEMMKPFFCLAFFIFLLNYFVVKEANGSLRELKLYEFKKGLEDKHFQYSSSFIYSSPPSTPPQPSIPTSHSIKSEGGVIYPIGYGADPRGTSDSSEAIKEAIDDALKLQNNGFVLLPGISDLGGLIIDLQGGNYKINNPIVFPPGIGNIVVKGGTLRASDTFPDDRHLIELWSQDSPKPIKDYNISHHHSNIFDRRDQNVAIRYEGITFHDILFDSGYRGGGLYIIDSARIRVTSCFFVHFNTEGVLVLRGHETFISNTFMGQHPTVGGDRGERDFSGIAIDLASNDNAITDVTIFSSAIGIVLRGEANIVTGVHCYNKATYFGGVGILVKASQNRIDNCYLDYNSIVIEDPFLVHISNGYFLGEGNIVLKAINGRIFGLNVINNMFSGNPTKMTPMLSLDGEFIDIDQVVIDQNNVNGMSLKSTSGKLSVGGNGTKWIADFSSILVFPNKINHVQYSFYCRGGVVGFPVHAITNVSSNVVVIESDKIVDGVVSVFVDQHNIVGEKNFFM >Solyc07g061760.2.1 pep chromosome:SL3.0:7:64846815:64854984:1 gene:Solyc07g061760.2 transcript:Solyc07g061760.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLLNPEHDFPANKAGETPLYLAAESGFYAALIEILNVCEESTHVAGPLNRTPLHAAVIQKHTECVVVLLLWKEHLCEEYDVWGWNSLHYAVKQGLTKIVSYMLRRNKSLAYDRAGSENEWTTAFNIAASEGHKAIIHELLRHCPDCWDMVDSNGQNALHVAILNDHEILVNELFELRFCCDRLVDEADNDGNTPLHWLAASGNRVPQMILDHPSVKKMTFNKQNQTPLDIASSRTLTTEKEKLVAVLRESNARLGQRRTFEVHQSKNSRMIDKMKKHVDKDRAKIETLQMEKILKSTEIQVVVATLIMTVAFAAGFTLPGGLENDNGPNKGMSILSKKTAFRVFVISDVLAFTCAAAAIYTYSFMADCDVVAGEINMPLLNVLRMNYDTANNLQRIAMTAVVVAFVTGMYATLENSLALAVSVCVIGCFSFYFFGLINSSWDIKINSPLQTIHELFRS >Solyc07g044890.1.1.1 pep chromosome:SL3.0:7:58068277:58068558:-1 gene:Solyc07g044890.1 transcript:Solyc07g044890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYSANSKTLHYISSWKFICLILTLSLVLDHGHGTTCPPTPSRMPRRLKEEASRMFSELSDEKKEFLSSTSNRFHMLPKGIPIPPSAPSKRCN >Solyc02g010610.1.1.1 pep chromosome:SL3.0:2:13295958:13296149:1 gene:Solyc02g010610.1 transcript:Solyc02g010610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIVFQPEHNIHMHPFHILGLAGVKGGSLFYAMHASLVTFSLVRESTENESANEGYKFVQKEKT >Solyc02g090150.1.1.1 pep chromosome:SL3.0:2:52426149:52427507:1 gene:Solyc02g090150.1 transcript:Solyc02g090150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTEENSHPKQALHDPNEDEYSFRISHTSETSHLPPRLSSDYSSPLATSPTRDSSASPYLDPYWDQSHQTSPLSRSPWTSHVENNYSYTGLMGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNHKEFSGFKSNSGLVKAIILCGERIFTGHQDGKIRVWKVSGKDSSVYNRIGTMPTLMAYIKTSMKPSNYIQTRRNRNTVWIKHFDAISCLSMSEDQKLLYSASWDKTIKVWRASDSKCLESIQAHNDAVNSIVVGFNGLLFSGSADGTVKIWRKESQGKGTKHFFSQTLLKQECAVTALAVDPSSNFLYCGSSDGLVNFWQGDKLLSHGGVLRGHKLATLCLAAAGNLIFSGSADNNICVWKRDGAEHICLSVLSGHSGPVKCLAVEEEQQQKGSDKQFIVYSGSLDKSVKIWRISSQLVPNQAEVGMSPPQNFPTSNSCRVSQRRK >Solyc03g117990.1.1 pep chromosome:SL3.0:3:68465140:68470947:-1 gene:Solyc03g117990.1 transcript:Solyc03g117990.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFICFVLELRSLSPPLLRDLKQSMLQLANFYAISSPTSSTSSSRSKPLLDRIGLCYLYKNRLSRTDELKVAYSPRGNFSLRDFHHAVNNLPSDAFLPDFNGSGALCCSDLKLSSILNDKVLYSWGGHSKDITRKVVLISSCIIETLDSATKKVLMDAAANCISIEFVFLEQNSSHLADIPESINKFLKQIGDLENCSFQNYIPDAHALSGLVKKWFQELKEGLEEQIQARFVFKINLLGSTNQISCNLCTSFNQIIDEFVPCKSCRCHGIPFDNSRTIPTDMPSCPVTGVELGVLDLVDSSVKIGEHTILYMPSFQCCQDLQKVPLPINFNVIERTNLSSLDEGIILGASYIVTPAFSELVDTDVSELNVKLFRVLCGILHSLDQGLVCSSNCNIETAKQTSFLCYYILLPSEKGVMILRRLAGSEEVLPIPDITNIACIPVSKDIENSLQASLLKIELRNYDPVQHDRGFHQRLNLLVKESLQFGAIPAKAKELVTASNTALQDPVVEDLSVQANNVEVIEENMLDSILADGKIGAKITEEWEQLVVLEMPKMSSPACLSKPKLHKEVSSPPQSTGKLDDKTSRILERLEIPKQLKRKAASPTLSSFQTVSISSVKKPLIPFGPSNTDSQVIVLSQPIKPNFQRLKRKR >Solyc08g005230.3.1 pep chromosome:SL3.0:8:144088:150470:1 gene:Solyc08g005230.3 transcript:Solyc08g005230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMHAGVGLTKIVFIVGAGYTGTLLFKNGKLSDVIGELQNLVKSYEKTGESDGGDSDVIAAQVRRLAMEVRQLASARSITVLNGSSSGNWTSLVMPAAAAGALGYGYMWWKGLSFSDLMYVTKKNMATAVSNLTKHLDNVSEALAATKRHLTQRIENVDGKLDEQMEMSKLIRSEVNDVHGDLSQIGFDLDQLNRMVSGLDGKLLSLEGKQDLANAGVMYLCNFINGKTVKMPETLQEQLKIVGNSTPTLMGLKELVDALPEVNSKRLITDGTTQDGIDKLKEPPRPLLRTASTKC >Solyc06g076300.3.1 pep chromosome:SL3.0:6:47544439:47548798:-1 gene:Solyc06g076300.3 transcript:Solyc06g076300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHEDSYKGVSEPKYECLLFDVDDTLYPLSSGISAQCTKNIIVLDYMIEKLGIDETKVPEMCISLYKEYGTTMAGLRAIGYDFDYDDYHSFVHGRLPYELLKHDHVLRNLLHSLPVRKVIFSNANEAHVAKVLSRLGLEDCFDDIVCFETVNPTNNGSAQDSNNGVSPRSLIVCKPFKEAYEQAFKIANINPQKTLFFDDSVRNLQTAKLTGLHTVWVGASHRTEGIDYALESIHNMKEALPELWEDVKPDIRYSEKTAIEVRA >Solyc10g052545.1.1 pep chromosome:SL3.0:10:52872559:52873215:1 gene:Solyc10g052545.1 transcript:Solyc10g052545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRTEYFNNNREADVETTGIKVPPFVQASRFLRRSSTYEGIPKGHCAEEEFGFDHPLGGLTIPYKEDVFVDLASHLRRSRVVSYR >Solyc04g074140.3.1 pep chromosome:SL3.0:4:60227341:60228418:1 gene:Solyc04g074140.3 transcript:Solyc04g074140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLSGDGADVSAGGRCTCSSLDEESLIQNCQHCRRSTLPSSSSSCSLLSLESYPVQDYDKLWRIFSASVKGFTIGAGLKGGLAIFAVLSRLRRRKSMSSAKKGQMVSSRDDVVLALKETLRYGLFLGTFSGTFVSVDEIVSAWGGHRRTAKWRALLAGAIAGPSLLLTGNTQHTSLAVYILVRAAVLASRCGIKSKRFGRICKPLTWAHGDIFLMCLSSSQIL >Solyc03g117540.3.1 pep chromosome:SL3.0:3:68148349:68161250:1 gene:Solyc03g117540.3 transcript:Solyc03g117540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MELMNRICSDSPYFALPAVVFKARNYVYILSETERVGEHERVKGVPKMEVGLDNHQSEFVIKFLTVEETSFTIGYHSAGLEVWVLLCCLLQPHHVCLVDVEISSFSTSFTKRFYFSSGLTSGIFNKYAADYGLPPTLALLCLKEGFTALVDISFGVLIIITRSTTRPQSSSCMEEELLLPRKMDTGQGSSRGVGVVCNCWNLITFKSVKPVMERGVKRQLDYEDLLELPTDMDPSSCHTLLSTCWKAQQRNEYSHPSLIKTICRAFGWQYFRLGLLKVLNDCLSFAGPVLLNKLISFLQQGSRDYDGYILALSLGLSSVLKSFLDTQYTFHLSKLKLKLRSSIMSLIYGKTIYMVKWFFNSFFEFGQCLILGLSFLRSEELEIPIPTEKMLLFSYVWPCVVYHFSKPKVATAYFSNFRSNLAERSKFSEGEIQTFMSVDADRIVNLCNSFHDMWSASNKKKEDFFKIYLKLTTPRDKIGIALYLLYTQVKFAFLSGIAITILLIPVNKWIANVIAKATKSMMEQKDERIRMTAEILTHIRTLKMYGWELLFGSWLMNTRSEEVKYLSTRKYLDSWCVFFWATTPTLFSLFTFGLYTLRGHQLDAATVFTCVALFNNLISPLNSFPWVINGLIDAAISSRRLCKYLSCFEQETNMEQPTNCSVFSCSNKKNELQDAAVVIHDASWTWSSSDEKEIDLIVDPVNLLIPKGLLVAVVGEVELDLILL >Solyc10g050750.2.1 pep chromosome:SL3.0:10:50374500:50374772:-1 gene:Solyc10g050750.2 transcript:Solyc10g050750.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGPNYEVVSDGLGNLVPLRELRFWNCEKLENLPSRDVMLRLTKLRNLRISGCPQLEESCIKEWPKLPVVQHFPYSKN >Solyc02g079533.1.1 pep chromosome:SL3.0:2:44619273:44622273:1 gene:Solyc02g079533.1 transcript:Solyc02g079533.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein kinase [Source:UniProtKB/TrEMBL;Acc:K4B9H4] MKLSTREILLFPVVLVLFLSISTASGIISTNKFLRDSETLVSNDKRFIFGFFSLENSTNRYVGVMFNVQPPTVVWVANRERPLQDSRGRVTISDDGNLVILNSQNRSIWSSNISPAVRNSTAQLLDTGNLILNDSSNGRVLWESFRDPSDCFLQTMKIGVDVSTNTTNLLKSWISPSDPSVGSFSAGIQPETVPQISIWKNGKPHWRSGPWNKQVFIGVPDMTSFYLNGFDLVNDNKGTVYLTYLYANQVELMYFTLNSTGFLQQKYMDPSKNDWEVTWEFPATECDFYGKCGPFGSCDPTSSPICSCLEGFKPTNEEEWRKGNWTRGCNRKSMLESERNSSNLEQGKQDWFLKLQSMKVPDSAIWVPFVDEDCVNGCLRNTSCIAYSYYTGIGCMHWEGNLLDVQKFSMGGVDLFLRLSYSERDQKREYKVIIAIIVPVGSIILAIFGYISCKYVAKRRGWKRMSKIFLSESSPNYYKEDKITEDINQAKLEELLVYNFDILANATENFHLSSKLGQGGFGPVYKGKLPDGQEIAVKRLSQSSGQGLQEFMNEVVVISKLQHRNLVRLFGCCIERGEKMLVYEYMPKRSLDAYLFGSQQQAEEFLDWSKRVIIIEGIGRGLLYLHRDSRLRIIHRDLKASNILLDEYLNPKISDFGMAKIFAGNQDQANTSRVVGTYGYMAPEYAMEGRFSEKSDVYSFGVLLLEIISGRRNTSFHQDDGALSLLAWAWKCWIGNKIVELVDPKITELHLGKEIVRCVQVGLLCVQEYAEDRPNVSTILSMLTSEIDNLPSPKQPAFTTRPSFSKKGTSKSQGSVNNVTVTIMEGR >Solyc06g071293.1.1 pep chromosome:SL3.0:6:44011184:44011681:-1 gene:Solyc06g071293.1 transcript:Solyc06g071293.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRINSDPIEYIVDIENASSKPAVIYCTIGQDVFKRVVLPGVGPNYIDCPITPQGDNKITCDIDLIVLGKLHVHFDLFNFERDRNNCDDGHFCSWEIQEEGVCMGSKTDCKLFFMWNK >Solyc08g080670.2.1 pep chromosome:SL3.0:8:64008272:64012606:-1 gene:Solyc08g080670.2 transcript:Solyc08g080670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSHLTTCLVFFLLAFVTYTYASGVFEVHNNCPYTVWAAATPVGGGRRLERGQSWWFWAPPGTKMARIWGRTNCNFDGAGRGWCQTGDCGGVLECKGWGKPPNTLAEYALNQFSNLDFWDISVIDGFNIPMSFGPTNPGPGKCHPIQCVANINGECPGSLRVPGGCNNPCTTFGGQQYCCTQGPCGPTDLSRFFKQRCPDAYSYPQDDPTSTFTCQSWTTDYKVMFCPYGSTHNETTNFPLEIPTNTLEVHNNCPYTVWAAAVPVGGGRRLERGQSWWFWAPPGTKMARIWGRTNCNFDGAGRGWCQTGDCGGVLDCKGWGKPPNTLAEYALNQFGNLDFWDISVIDGFNIPMSFGPTKPGPGKCHPIQCTANINGECPGSLRVPGGCNNPCTTFGGQQYCCNHGPCGPTELSRFFKQRCPDAYSYPQDDPTSTFTCQSWTTDYKIMFCPYGSTHNETTNFPLEMPTSTLEVA >Solyc07g049570.3.1 pep chromosome:SL3.0:7:60033246:60042888:1 gene:Solyc07g049570.3 transcript:Solyc07g049570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:3-oxoacyl-[acyl-carrier-protein] synthase [Source:UniProtKB/TrEMBL;Acc:K4CF58] MRGRRWLGFVPTGFQLRRFSSFEAPPVPPLRRVVVTGVGMVTPLGCGVETTWKRLIEGECGVRAIGPDDLKMNGFEPEVKMYTFDQLTSKVAAVVPYGSSSGEFDEQLWLNSKEHRSIARFIGYALCAADEALKDANWMPTEQNEKEQTGVSLGAGTGSISDILEASRMICEKNIRRLSPFFIPRILINMASGHVSMKYGFQGPNHSSVTACATGAHSIGDAARMIQFGDTNVMVAGGTEASIDALSIAGFCRLRALSTKYNSKPHEASRPFDCGRDGFVIGEGSGVMVLEELEHARKRGAKIYAELRGYGTSGDAHHITQPHIGGRGAVLAMTRALKQSGLHPSQVDYINAHATSTPLGDAAEANAIKCVFSDHATSGALSVSSTKGATGHLLGAAGAVEAIFTVLAIHHGVAPLTLNLSEPDPVFNGGFMPLTASKEMTIKSGLSNSFGFGGTNSCLLFTSTN >Solyc03g007890.3.1 pep chromosome:SL3.0:3:2410163:2414117:-1 gene:Solyc03g007890.3 transcript:Solyc03g007890.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADVQMAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFESLTDKSKLDAQPELFIRLVPDKANKTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAEKVIVTTKHNDDEQYVWESQAGGSFTVTRDVSGEQLGRGTKITLFLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKDEEGAVEEVDEDKEKEKGKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDSRKKMNNIKLYVRRVFIMDNCEELMPEYLGFVKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYNKFYEAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDELTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLERLKKKGYEVLYMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDDSEEEKKKKEEKKKSFENLCKIIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDTSMSSYMSSKKTMEINPDNGIMEELRKRAEADQNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIEEEEEGAGEDADMPALEEIGEESKMEEVD >Solyc12g036147.1.1 pep chromosome:SL3.0:12:45132698:45133098:1 gene:Solyc12g036147.1 transcript:Solyc12g036147.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVPNVQLMKDDENPFDDPERYRRLVWEVKLPCCDSSRHCFCRAHSLEILYSNDSHTRVECFVDADYPGSKIDRRSTTGYCAFVGGNLVSWRSKKQNVVSRSSAESE >Solyc02g061620.2.1 pep chromosome:SL3.0:2:33658167:33658481:-1 gene:Solyc02g061620.2 transcript:Solyc02g061620.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLPEDYVERVKEIHESGGYQSRGYGYDWKREEANKNLLRTHATAVSSRMLYALAQVQMIHPSFLCNS >Solyc06g072820.3.1 pep chromosome:SL3.0:6:45048999:45055835:1 gene:Solyc06g072820.3 transcript:Solyc06g072820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSETELGTGDCGVGSIVWVRRRNGSWWPGKILCPDEFSASHLMSPRSGTPVKLLGREDATVDWYNLEKSKRVKAFRCGEFDDFIQRAEASLGLPPKKREKYARREDAILHALELERQLGKTCGSLGCSSTERTNKSTYAFSGRESRTSSKYLGNGNERRLRSKSHKLSHKSGFFLEGKNTRNLSSMQESKDQNKFSGDDENSDVPLRMRGLQDLGLSTVPSDHAVSNGIYNSECLVNTKRKNLSVKRKLSDEGLAEKIIVKRRDRSCLLAQGLKSSENLPDSGSVCISETGDEQPGVAKKSRCNLAEEPTVFLTVEENNHAQMELSTLKREDNGSHPAELCEQNASGSAEYTESGSSDTDSAEDTDDELATISDGAASIELEPKYIGRSEAQPERGSISSEELDEVTLTDDTSSHAYHQKSISAGFGVSKWQLKGKRNNRSLNRRPLDPFDGNLASFKGKRGYVCLQDDPLTRSWAQNARYGSRAPRPASQNMFNCVDWAWDDPASRGYWEESAEYFDSVNSYHHSGGRTMLVNVDLKVQSSFQREHIPMISLTSKINGQAIVGYPVQIEVLSSSDSLLWATECNFPVSSDNDTALQPIWRTARRTANVRVPRPHASPGLDNPKGTKHVQGFDCNKSVKNASVSGIVQKGSMTRTTSQPATVRTFARKSGKRNNLSSYQKVRTLKSIALQQKRSDQKGSSNCHQVDGTIKRETLPTVIACIPVKLVFSRLSEELVGRHQ >Solyc04g054253.1.1 pep chromosome:SL3.0:4:52078612:52093509:1 gene:Solyc04g054253.1 transcript:Solyc04g054253.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPSNDPIFPVMDFVADVPVDPMASTHVIPPPTAPVHANHPVFDVSEAPLRRSHKPKSTPGLMNILSTLSHFDYSLFTLIVKSDVVILVYVDDLILTGSNNTLIQSTKSNLQQKFKMKDLGVLRCFLGLEYSRNASGIFLNQRKYALELIADSVGKLLYLIMTRPDIAYTVQVLSQFMHRPTESQMLAAIRVIRYIKNAPGLGLFMSSTTSHQLFAYCDSVWAASSQSRKSVTGYMIKFGSSLISWKSKKQETISRSSAEAEFRSMASTVAELSYDMLIAAKKKYDMQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYSQKVLARKLDWKNAPVNQHRWQSLRLRMEPTPPLPISPTSAVSFGLYSIWPLPVLTSSLLSTELLSACINQVNMITIVYNAFSSTFLALFVVVYSFDPGTWSFGVSQIQIGRMIKMTENLHRGFSFFGVEPDSWCTKKQPKVSRSSTEAEYRALALLASET >Solyc06g051300.3.1 pep chromosome:SL3.0:6:34612148:34619855:1 gene:Solyc06g051300.3 transcript:Solyc06g051300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQIQVKCSCGEEKCPEWAILELQGVVEVQPSFKDRLQNLQIGTLCRPTSQENYTFTVGYHELTGTKMPLKKPMLVLKKLKLSTEEEKGDINSTKVELDVIGVIRQRILFKTRPKALISTSLQLGASSSQKIFAQRKIHVATGSGTKLIFG >Solyc08g080290.3.1 pep chromosome:SL3.0:8:63728129:63729634:-1 gene:Solyc08g080290.3 transcript:Solyc08g080290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADSQNSTKSTQIIQPPNQSSESTKIPFNTTLPPPLPPLPPPPAPPETHIQITNTLSSKLENTNTTPKTVIIPTAIMSSTSSSGLGSPSRSIGGKHAVFRGIRCRSGKWVSEIREPRKTTRIWLGTYPTPEMAAAAYDVAAMALKGNDAVINFPGNINSYPSLPPSPAAADIRKAAATAAALMKIESGETTSGTQPGTDDHRSLGNVHMMETRDHEYIDEEALFDMPNLLVDMAEAMMVSPPRMSSPRSDDSPEHSDAENLWSY >Solyc01g091655.1.1.1 pep chromosome:SL3.0:1:85128384:85128878:1 gene:Solyc01g091655.1 transcript:Solyc01g091655.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTILQIALYSIRDPMNAYPSWDMIFSPILIKF >Solyc03g045010.1.1.1 pep chromosome:SL3.0:3:11314149:11314469:-1 gene:Solyc03g045010.1 transcript:Solyc03g045010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKNFEGLISMDKRFEIVVPTNFSMVCFRVSPSALQKKFEFVDEVRVNEFNEKLLESINSSGVIHMTHTIVGGIYMIRFAIGAPLTHYSHIANAWDVIQNHANVML >Solyc01g097610.3.1.1 pep chromosome:SL3.0:1:88271755:88273037:1 gene:Solyc01g097610.3 transcript:Solyc01g097610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding EQLLVSIMDRGKRPDRSLTAPNNKMYLDLKDIIREHSLSFLPAKSLFKFNAVCRDWKLQISSPFFAHSQSLFCRSTSGFFIHSLDGSPSLIPIDPSFCGVPDPFLKFLPEPVDLRSSSNGLLCCQGRAEDKAYYICNPVTQQWKKLPKSNVNHGPDPAIVLIFEPSLLNFVAEYKIICAFPSTDFADAVEFDIYSSKANSWEVAGEIHFGARATRMPKSGLHVNGVVYWMTTSGSILAFDLTKERSQLLQNYDSRGILGEYSGKLCKMNVCGNSISLSILDNVHTNTMQMGSQTKMWAVKPKVVLDSKIVGNAAGDYTALHVDSNMLVVRSLGKAFLYDFKSRATKCIPGEFHNSRCLPYVNSLVSL >Solyc08g005580.3.1 pep chromosome:SL3.0:8:438146:441039:1 gene:Solyc08g005580.3 transcript:Solyc08g005580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHLHGVTPKPENGGTDLMEASTNSPNSSKQYDKSCLALPVQIKSQQSYLQKAMYPITLKFEEIVYKISQENKGMCCGGSSNTKEKTILNGVTGTVYPGEMLAMLGPSGSGKTTLLTALGGRLSGKLSGKITYNSQPFSGSIKRRTGFVSQDDVLYPHLTVIETLLFTALLRLPQNLERDEKVRHVEHVIAELGLNKCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTALRILNTVKRLASGGRTVITTIHQPSNRLYYMFDKVVLLSEGCPIYYGPASTALEYFSSIGFSISITINPADLLLDLANGIGSDTKNGNDQGDSTEQEKKLVREALISAYEMNISTRLKTEVCGNYSCTNDVSTRNGVKLEQWCTSWMHQFKVLLMRGLRERRHETFNRLRIFQVISVAFLAGLLWWHTPTSHIEDRIAMLFFFAVFWGFYPLYNAVFTFPQERRMLIKERSSGMYRLSSYFLAKTVGDLPLELALPTAFTFILYWMGGLKPDPTTFILSLLVVLYNVLVSQSLGLAFGALLMDVKQATTLASVTTLVFLIAGGYYIQQIPTFIVWLKYLSYSYYSYKLLLGVQYNDNDYYECSKGVYCQVANLPAIESVGLNNMWIDVSVMAVMLVGYRVVAYLALTRVR >Solyc01g102840.3.1 pep chromosome:SL3.0:1:91408051:91413209:1 gene:Solyc01g102840.3 transcript:Solyc01g102840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATPQNRYSFHVFLSFRGEDTRKNFTDHLYTALINAGIRTFRDDDEIRRGENIESELQKGIRESKISLIVFSKDYASSRWCLDELVNILDRRKKEGHTVLPVFYTVSPEDVQNQSGSFAEAFVNHEKRGNAESGEKRKEWMEKMEKWRLALKEVAELEGMCLAKEVDGHEAKFIQKIIKEILKRLNRTVLSVPSYTVGLEARVKDINSWLQDESSEVGIGMICGLGGVGKTTVAKVAYNSNYDRFDGSCFLANVREISEKHPNGQVYLQKQIFESILKGRKEKIYNADEGIVKMKDAIGNKKVFIVFDDVDQLDVLDSLIGTRDWFYPGSKILITTRCEKLLKSYERHMLFKIKELGDDESLKLFSWYAFAQDHPLEEFKMLSTEAIQHCCGLPLALYDLGSFLSGRGTDIWRSKLQKLEAIPHSRVQKNLEISYKSLDDHDRRLFLLISSLFVGKDKDYVIKFLENGDLHPTVGIQNLIDRSLISIDDENKVMMPPLIQDMGKEIIRRESQDDPERLLCKWLNQCGLSHDLTENSGTDADGVDLQGTHLPEDGLVPALGPNAAKRPYYQDFPEIAVLPYLGNSLKRRFVGLLSTFPLSGGLKRFFP >Solyc11g005975.1.1 pep chromosome:SL3.0:11:773154:776797:1 gene:Solyc11g005975.1 transcript:Solyc11g005975.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLPTRTVTLQRSSSLNNTPKRKLIRHKPKPQHLSKQNQPLLSTLILRIPSNQRSPRNHISLRHFIKHLPSITHTLTLGVHINQRVIRNSIMTKPRPQEVFMNYSTFFQSFPFRTFLQKLIPAQPPPAPVAAPDLHALLTQILAATTLEVQPPPVQDVTARAEDARVILEVGIAYILKGDWLGRLRVPAHFQGATTVIRPLGVERSRFHRSSVPWASQAMVVLVSRVQASYIASYILESVLRCFLGPFNLIGSTSSFSSSSSSSFIQIEGKRGRNGKELEELEETGGVAARATFS >Solyc11g007580.2.1 pep chromosome:SL3.0:11:1812925:1822778:-1 gene:Solyc11g007580.2 transcript:Solyc11g007580.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLLLRDTAYTDNDGRGSKRDQCPSSPFQPRTFSQMGSVCSEMLGNDNCRRNCSTSSGIPSYTAAAIHDSTKFPSSSLSINRYNRASEEGSRHCASPMVVKHNLQKQTNPSQMHSYAQPIPQHIPQQTAEIHGSQVQATIRNWNHQYQLQSLAMVVQNIERRNSHKKMPAQQNMGKTSPNELSNYVELLPRENKNSRADQHHLTKARGLQETHRHAVSVDTGLLQGLQRHVVSVDTGLQGTHRHAVSVDVITQQLERLFISNSKKNAAQVEQKALVPYKGSGTIIPYEGFDPIKRRKARPRVDLDPETNRLWNVLMGKEESAETMDKDNEKWWEDERKVVRGRVDSFVARMRLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMCLAAKFPLPTSTKNTLSQDGCNIVVEEPEVEIIDPDGTTIYHKARLQRRMENHTHTSRAYLVSEHDKRVDEEVISLQNSPDSLILQANEELRSSSGSDLESEDRPSSPNLNKDRTQASHSPPTKWTAAFQEYQSHFMRNGISEKLPVFGNQKIETVADMGRHNENLDAETYLHGYPINPHIQVQEIPIRSASNSWLNMTPEFGKHETACHEKEIDMSKSMKQIAGSSSPLIAQRTTHPFIHAPRMGEIGGVEMQPGKVDNQHSVSSHQNEMAMASQLESSCIRQSVNHSEAVAKGQEEGQAYPSSKQPSITGTSISKTRKRKVEEGDKKAFDWDSLRKEVQSKSGKKERSKDAMDSLNYEAVRSAAVKEISDAIKERGMNNMLAERIKDFLDRLVRDHGSIDLEWLRDVAPDKAKEYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYHMITFGKVFCTKSKPNCNACPLRAECRHFASAYASARLALPGPEEKSIVSSAVPIPSEGNAAAAFKPMLLPPAAEVRMAYPYAPIEAGDLPSFLEKSMPIPQEMTDSLNREATVVTNNCQPIIEEPKTPEPLPELLESDIEDGFFEDPDEIPLIELNMKEFTTNLETILQEHNKEGDVSKALVALNPEAASIPTAKLKNVGRLRTEHQVYELPDSHQLLEKVSCLSSVLPIQWDKREPDDPSPYLLAIWTPGETVNSIQPPETKCDHSGLGNLCNETTCYSCNGIREANTQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHKSSLDPIHVPRKLLWSLSKRTVYFGTSVSTIFKGLSTEQIQYCFWRGFVCVRGFDREMRAPRPLIARLHFPASKMVKNRSDDKKKEGTAAEKVAGFNSPISVHTK >Solyc09g010840.1.1.1 pep chromosome:SL3.0:9:4148694:4149776:-1 gene:Solyc09g010840.1 transcript:Solyc09g010840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRERQRWRAEEDALLRAYVRQYGPKEWHLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEDEQRLVIQLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQREQKENNKVVDPVDEGKYDHILETFAEKIVKERSVPGLLMATSNGGFLHADASTPTPQTLLPPWLSNSSAPSTVRSSSPSVTLSLSPSTVPPTPTPGIPWLQTDRGPDNAPLILSSFPHHSVAPCGENPFITELAECCKDLDEGHRTWTAHKKEAAWRLRRVELQLESEKASKVREKMEEIEAKMKALREEQKATLDRIEAEYKEQLAGLRRDAEAKEQKLAEQWTSKHMRLAKFLEQMGCQSRLAEPNGGR >Solyc03g097955.1.1 pep chromosome:SL3.0:3:61769286:61772484:1 gene:Solyc03g097955.1 transcript:Solyc03g097955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVCFEDDYSWHETVSIDQASPVLELEVVAGTGAGGLNLYLYYRVMGLALLGLHPDWSACTDLALLVLITWEPVGAGFPLHLNLHFAPTDHMEIIFAVSAVVAESITAVVASITIEKAMGVFRPRKESQSVVWMSRCGKDGWIVFSFWVKKKDDH >Solyc08g006420.3.1 pep chromosome:SL3.0:8:1014246:1028494:1 gene:Solyc08g006420.3 transcript:Solyc08g006420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKNKNRTDLLAAGRKKLQQFRQKKDGKGGKSSKASRSASDATPDLVDVTAKSDHVPYGEKPLQRGDGTPPSSESLTKKHAETPLDESNNVDTVETTPASGELVKEDAGEPQAALNLDSVDQVIVDSSSISEHANAKMVNEDDKDDHLEARGTIASDMSTISPATDVPVKFSSYSGADVAVAHQLEVERLQVQEQESHNSGSKKGYSSSEVKIEGDKKLPLNEPSETSISQTATLVGDEGKEEIKAEDIQLSEPNNVPSTVLATQNAEIAEGRGHQMEDAVSGSRTEEKLVSEVQISDSSDIVSENSAENKMVNISSRSDASYISLCQLAEVVRDLDEDDFKFLLTCRDSAPNAPSLKLFDVFEKLKEQLYLASLAKDVSCLQLSEESEIQMELSRQHHKLTDLISAAKASSSELEEKNDVLADQLSQSRSEFQLIVSERDDLQKQLLISKGEIGEFSDRINELQTKLEISLGENASLSSEMVDCRNLVATLQVRNESLIGSLNLLSEENKKLLEEKENLVLENKKLGTDLAQSKTLFGSLQLDHEDLSQNFTSLSEEKMKLHGEKEHLISENENLFAQLSDYKNVVEALQVENKNINESLISVAEAKNQLQEENKSLLSETEKLGSEFSESKSLIEALQTEVAEAKGHLTSVMEERNELEVQKKYLLSETEKQSFQLAEYNNSCNKVEYDLKDASLRIEHLTEENMHLKRIMELSETMKTESPKKSSFAYQSKEEAGHQLEGSRHSNFAPENLIDGDGSNWFGVMNRHMEEADRVLEKLDNAVEEVQSQLISMSRSSSKAVSPGVSKLIQAFESKDHDDEHQPEEFQSSENRTDADPYVLIQGLTKTLRALLKDLVLAAGNGYHFLEGEKSSKTATEIAAEELRAKCDSLNEYIDILGGENIEQMVFNESLGGCFSNAKEREGELVVLNEALHKQEVATKAENSRLRENLSSIQEKLPILQNQLGEMRESCKEMGSCISNQVEGLYEEVSDRGLILQEEWNSTIDQILQTLRRLDLSVESVGSSLPSRVDHDPGCINLSSRTAASIDAAINVIEALQGQVETARHESMLSTSRELNEKLDFLQVENEKSVSLLYKIYGNLMKLVTVIPGNLQENEVDDPKKSVDLSHPDAFDSLLEQLQRFLDEKTQVEAANGKLKSELMARTKDFEELSKRSLGSDSILRVVQVVEGVISLDNFEININEPVSCLESLTSLLVQKYKEAIEDVRLSREECASKEAQVIDLQGQMDHLSSLLVQCENEVVVLRESLKRVEEDVVSIGSQYQEKVAEFEQSEQRVSSLREKLGIAVTKGKGLIVQRDSLKQSLADTSSELQKCSEELQLKDARLQEVEMKLKTYSEAGERTEALESELSYIRNSATALRETFYLKDAVLQKIEEILEDLELPEHFHSKDIIDKVDWLAKSVAGSSLPLTDWDHKNSIRGSYSDAGYALGDGWKEAPQPNMGSPEDLKIRFEELQGKFYGLAEQNEMLEQSLMERNNLVQKWEEILDRIDMPSHLRSLEPEDRIGWLVLAVSEAENQYNSLQQKYDNSESLFASASAELEESNRKISELENAYQLVVSEKELLLKSLESLNFDFEEMSRKAAQSETSNDDLQSRVGDLQKKLNEMLGAEERIHHLEGEIRRLEDVIKDFLWTSETDDVLFSTGSTESLEQLIRKLIDKYTTLSLGKPSESNTTPLEHIDKDADLSHEEKRESNVSCDEDADGGALNRKLEDALNDLLSLKEEKESTALANQSLVRELEELGIRNKELQHLLNQEEQKSSSVREKLNVAVRKGKSLVQLRDSLKQSIEELNGEVERLKSEIRLQENAISNYEGRIKDLSVYPERIKTIESECSILRDQLEEKEYTLSMILNTLDEVNVGSNIDNPVEKLKRVGQLCHDLQSALASSEHETRKSKRAAELLLAELNEVQERNDGLQEELAKSLNELSGLSKQKESAEVAKHEALERLEKLSSIHSEERKNQLAEITMLKSGVDQLGKDLYVVDSLLADVLSKDLETMHRLGSSMKVCQESTDQNHFPLLVADSSGLTFAEAENKVFGKEIGSINQKLNRHSHLLHEEAARLSEILKTIHEEISHDKQHSNSLKTDLMRLESIQKEKDAELLMVQRYNAMLYEACTTLVMEIESRKSQLVGSSLASGAPKINSVYRSLAEGHDLAEMTDRFTEEGIRSVIERLFMAVKDIMSVQNDIAEFGQKDMKAAIASLQKELQDKDVHREKICAELVNQIKEAESISKSYLQELQIAKSEMDDLHRKVKLMEKERDSLTHRIKELQDQESNFADLQLRVKSLEDMLEAKEQENEALMQALEEEEAQMEDKTKKIEEMERLLLQKNKDMENLEVSRGKTMKKLSVTVSKFDELHQLSESLLSEVENLQSQLQERDTEISFLRQEVTRCTNDAIASAQMSSKRDGDEIHDILTWIDKMISRVQAHDMDYDDGKVNQIHDYKEMIEKQVVAVISELEDLRALAQKRDLMLKVEKDKVEQLVRKEEFLENSLRDKEFQLTMLRGASGMGQLANSSSEIIEIEPVANKRVVPGTVASQVRSLRKTNNDQVAVAIDVHPDSGKLDDEDDDKAHGFKSMTTSRIVPRFTRPITDMIDGYPVIAH >Solyc08g074400.2.1 pep chromosome:SL3.0:8:58633576:58635676:1 gene:Solyc08g074400.2 transcript:Solyc08g074400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAMSVKNMYIIIVTLGVLSFIFGVIAEHKKPANGTAILGKGVVICKYRSDHTVALGLLSFIFLAASGVFGFSSLFYPYKGKSIPQAVLLRSTSFVVFLNVALGITGLAAVLLLWPTISEQLHIPRNIHHNLNTECPTAKTGLLGGGAFLSLDSSLFWLVCLMLANNARDDYFEDAADRGCSKR >Solyc06g068615.1.1 pep chromosome:SL3.0:6:42644747:42654981:1 gene:Solyc06g068615.1 transcript:Solyc06g068615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCTSLSSGQAFTSPSWIRGHSSSKCYSTLLKESEMLDIPVEELNKKPTQNVLTFEWRKHNSEPYSNPSYQTCSIVSTYPLSPVYVSLLVIMRSQCRIFIHHQGDAYHLNGVIWRGGWFSANRSKCLNNRWRFTLDVPRCQL >Solyc01g094237.1.1 pep chromosome:SL3.0:1:85665659:85689159:1 gene:Solyc01g094237.1 transcript:Solyc01g094237.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLVALKTNPIHCWTKNILSIMAEKITSLQSTRYAVVTGGNKGIGYETCKQLASNGVVVVLTSRDEKRGIEAMERLKKELDITDQILFHQLDVMDTLSISSLVNFINTKFGRLDILKSIEGIATNYELTKQCVETNYYGAKRMIEAFAPLLQLSNSPRIVNVASSLGKLKLLCNEWAKNVLSDAKSLTEERIEQVLNEFLKDFRENSVESKGWPTYFAAYKVSKASLIAYTRVLGSKYPNFRVNSVCPGYCGTDMTAYTGSLTAEEVVTGGNKGIGYETCKQLASKGLVVVLTSRDEKRGIEAIERLKKELNITNNNDHILFHQLDVMDPASISSLVNNAGVSGLMVEGNLVILKNLIEGDFETISTENQVEDESVVEKSIEGIVTNYELTKQCIETNFYGAKRMSEAFIPLLQLSNSPTIVNVASFLGKLKLLCNEWAKKVLSDAKSLTEERIEQVLNEFLKDFIENSVESKGWPTYFAAYKVSKASLIAYTRVLGSKYPNFRVNSVCPGYCGTDMTAYTGSLTAEEGAESLVKLALLPNDGPSGLFFYRKDVTSYAVVTGGNKGIGYETCKQLASKGLVVVLTSRDEKRGIEAIERLKKELNITNNNDHILFHQLDVMDPASISSLVNNAGVGGLMVEGNLVILKNLIEGDFETISTENEVEDESVVEKSIEGIVTNYELTKQCIETNFYGAKRMSEAFIPLLQLSNSPTIVNVASFLGKLKLLCNEWAKKVLSDAKSLTEERIEQVLNEFLKDFIENSVESKGWPTYFAAYKVSKASLIAYTRVLGSKYPNFRVNSVCPGYCGTDMTAYTGSLTAEEGAESLVKLALLPNDGPSGLFFYRKDQRAFVNARNDTKNRFKTKNYFLHSFYVFDVVTRSSNVSSIYKIYIVSVENIIVSMYAVVSGGNKGLGYETCKQLASKGVVVVLTSRDEKRGIEAIERLKKESNFTNDQVLFHQLDIMDPASISSLVDFINTKFGRLDILINNAGIGGLMVEGDVLVLKEIIEGDLFTVSTENGEDGGTMKSYPEITTNYELTKQCVETNYYGAKRTIEAFAPLLQLSNSPRIVNVASFLGKLKLLRNEWAKKVLSDAKSLTEDKVEQVLNEFLKDFTENAIESKGWPTNFTAYRVSKAALIAYTRILATKYPNFRVNSVCPGYCKTDVNANTGNLTAEEGAESFVKLALLPNDGPSGLFFYRQELLIYYYFIMDDKLINSTNTIDSVSNTFFNWSIFHEWSLKLMNVRPLY >Solyc05g008070.3.1 pep chromosome:SL3.0:5:2500536:2503142:1 gene:Solyc05g008070.3 transcript:Solyc05g008070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYACISSLMQTLKQLLRAKSPLICESCIQKQHVESSYQSLCTLQVFLEDTTNEANDIENLKILENKIRDVVYKAEDRVDSCLTNMILAHNEDDREKACKSFDEELQQVETELDSLRKEVIVIEFHKRGSKLTEITTTSFSSTEETTYVGMKKDYKAILNCLNAQTKELIVISLIGMGGIGKTTLARKVFDNSIIRDRFEKHAWVTISEQYNKRQMLLEVASSISGVNNQEMSNDELMVIVYRSLKGRRFLIVIDDLWSTEAWDQMRRIFQNDNNKSRIILTTRLKHVADYASSPDFPPREVSFLSFKDSWKLFTKKLFRKDRCPPQLRKIGKHIIQQCQGLPLSIIVIAGLLSKIDVTYDNWKKIEQDLNSFHGSVLEQCQAILCLSYNYLPPYLKACFLYIGGFPEDMEIRVSKMMSSWIAEQFIKATSDTRLEVVAEKYVQELMDRSLILGKTRKPNGRFKTCKIHDLLRQLCIREAQIENVVHFPYSDLVSTYSQDINDRRRVMIPFLIDDYFIDHPSQRSGKIRTRSLIFMGGGRSYLVPEMNHWPDSISEFKLLKVLDAREIGYDFSPIIRQLVHLRYVDARIQDPSSLAKLFNLQTMIVYSRRNVQLPAEIWTMSQIKHVDIEQMDMPNPFSIGDQQTQPLFLNNLQTLALDSSPFLAEILKKTPNVEKLKIRGANTYNEWFDFVDCLVDLQRLEKLSITASDDNSPCFLSSAFYARNLKHLRLGYTSLPWEEMDVLANLPNLEDLERWEATTDNFPMLEHLVLLDLDELEEIPQSFGEIMTLKFVRVENCNPAVDSSARNILEEQQGWGNCELQIEIVPSLQYDL >Solyc02g091800.3.1 pep chromosome:SL3.0:2:53649747:53652236:1 gene:Solyc02g091800.3 transcript:Solyc02g091800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNSSLSQWFSRTEEGVFYSNRNNSIDDFTTQKSTISEDQETSELSITPDSARSNSRSYFHKEISKCKASKINFSLITPMENFPIGSKRPATDHPQQPKLNKISSSSHHQMFYPYVKSNGCYDAKDKKGGKKIPSVELQDHIIAERKRREKLSQRFVALSTILPGLKKVDKASILEQAIKHVKDLKEKVQLLEEEKKSVMFVNKYKVETEEYTSSEENNSGSDLPADIEVRFSDNNVLIRITCARRNAFVLNIHSEIEKLHLTIVQSSMMPFGKQAIDITLVAQMEESFCMTLKDVAKHVRMVTGRLMTQA >Solyc06g050800.3.1 pep chromosome:SL3.0:6:33673939:33676220:1 gene:Solyc06g050800.3 transcript:Solyc06g050800.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRASAEVEAPLLSNHNSRTRENRWVIWRAVFNVSTTIIGAGIMSIPATLKVLGVVPAFVLIVLVALLVDITVNFMLRATYAGQSTTYAGLMKENFGKIGSLAVQICVMITTLGCLIMYLIIIGDVFSGKGEHLGVLQEWFGIHWWNSRYLSILLTVLLVVLPLVLYRRVESLWLSSAIAIILAVVFVGICSVMAIIAIVKGQIVKPRMLPELNTTSSFFNLFTAIPVIVTAFAFHFNVHPIEIELGIPGAMTSAVKISLVVCSVIYASIGIFGYLLFGDSINADILVNFDTSSSGAITISPILNDIVRLSYALHLMLVFPLLNFSLRANIDELIFPKKELLATDTKRFMFLTLILLAISYIVAIAIPSVWYIYQFMGSTTNVCLAFIFPGAIALRDVHGLSSRKDRIIAVVMIVLAVVTSVITIAANIYNMITGESS >Solyc08g007475.1.1 pep chromosome:SL3.0:8:2042005:2043526:1 gene:Solyc08g007475.1 transcript:Solyc08g007475.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKESDQMELQDIFDMTFSEELEPIEGMSAREGESEFFNGCKMVRASTCQSRDEKNLMTHPRKYKTDTKVGSKGMA >Solyc06g083730.3.1 pep chromosome:SL3.0:6:49119898:49128488:1 gene:Solyc06g083730.3 transcript:Solyc06g083730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSYSTPIGATQVGSFFVQQYYQVLQQQPDYVHQFYTDASSIVRVDGDSNESASALVQIHTLIMSMSFSGIKIKTINSLESWNGGVLVVASGSVKLRDINGWRDFVQTFLLAPQEKGYFVLNDVFHFVNEEQSEVIQAPVGSQNNLDAQPTSSNPLAEPPAHDYALEVEATEYVNSVNIQGSDAVEEYSYPEHDQENLYEAEAEYESDEYEHDEAELEADVPEETHLGEETTQVRSFEEETSFPSNVVEVVPEPEPAAEEPVGEPSKLSYASILRAPKGPAPSVRIQPSYTKSAPPVSEWQAPVEQPISMPPAAPEASLDLADEGFSQEGESKSVYVRNLPSTVSSLDILQEFKNFGKIKQDGVFLRNRKDVGICYAFVEFEEVQGVQNAIKASPIHLAGRQVYIEERRPTSSSISRGGRSGRGRGRGGRSAGRTFGRGSTPDDRVKSNGYRGI >Solyc11g044820.1.1.1 pep chromosome:SL3.0:11:32502635:32502874:-1 gene:Solyc11g044820.1 transcript:Solyc11g044820.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNDRYKSVEHCVAVDSSRARISVPLFVNPCFDSVIGPFSQMLKDGEKPVYKHVLFSDYWNYFFRKRPSGKASLDFAKI >Solyc11g063600.2.1 pep chromosome:SL3.0:11:49933622:49935177:1 gene:Solyc11g063600.2 transcript:Solyc11g063600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQRRALRRVSQKERPPKVTTTKIGIVIRSFDHPFLENHFWGLPPNTRKIGLPESRVLYTVLRSPHIDKKSREQFFMKIKKEFLVIKIERHELRKKFFRTLYFIFGAIAGVMGTCFSVLIRMELARPDDQILSGNHQIYNVLITTHAF >Solyc12g056590.2.1 pep chromosome:SL3.0:12:63499430:63501525:1 gene:Solyc12g056590.2 transcript:Solyc12g056590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYSGYCDSQARDMSEMVTEFTRVVSGQDYRPDTRCYSVNSPSPAYSSSSSGSRAGLKRSRDQQEFGTGLSSSSSVKIEEATSMVAPIPAFTTTITTTTTTGEGSSEETGGDRRRKYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDEAALRFRGNRAKLNFPENARLSSLPQTQNTVTSTISNPSPLIAQPTSFLNPIQSSDTTRDYWEYSQLLQNPGDFTDQQPSNLLEQMFVASSMAMLHSNTLPLISSSSSLATSATSSTSYPLLFSSYYTPQTNQIQGTNTSSTSTTSSSSFSTTFWSSSSQYPPSSS >Solyc12g049380.2.1 pep chromosome:SL3.0:12:61871260:61874413:1 gene:Solyc12g049380.2 transcript:Solyc12g049380.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFNWMHNKLNGGQGSRRSNAMPITTNHNINEEFKDWPDSLLSIGTFGNRSSDLKEQSKLHVKDDELTSYSSSPELAEFTSEEVEKLQKELTKLLSRKPPPTASNSEFVDIKNGAANADDILPLDRFLNCPSSLEVDRRVNSSRFSSVNYSYDYDEEEIDRTIRVIIGRCKDVCRKQSKKKSIGMKSISFLLKKMLVCTKGGFAPAPNLRDTFPESRMEKLLRTMLSKKIHPQNAPRTSTKRYLEEKHAQREEKEEKKREENSYDGSKWVKTDSEFIVLEI >Solyc05g043440.1.1 pep chromosome:SL3.0:5:57239226:57240053:-1 gene:Solyc05g043440.1 transcript:Solyc05g043440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQTISEVMCMDLGFQLWNSPVRVTILLQEVITVYPNFLNSTLTMKESARISNALIVFQMAYHPEARMGFLKDTQVFPLCLHCIHHGDQPIRKVRPSYNVIFLYDMRF >Solyc12g040818.1.1 pep chromosome:SL3.0:12:56316889:56332587:1 gene:Solyc12g040818.1 transcript:Solyc12g040818.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSYIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRVPYASAVGSLMYAMVCTRPDLAHAVSVVSRFMGQPGREHWQAVKRIFRYLRGTSDVGLIYGGDTQCLVTGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLGYTDVDMADDLDKRKSTIGYLITFSRGSISWQSKFQKCVALFTTEVGYIAETEADNEMKRTAHRSDTNAHELRVRACHIFDSEACHDLTKNCCLSVCCLGQVWCGQKCYLGRVIDVVLCLCHGVHCVCLDVRHHAAQPCKVQCGLPELSSQRGPIWIPWKDLVLLHSTSPIFD >Solyc01g087200.3.1 pep chromosome:SL3.0:1:82004143:82007408:-1 gene:Solyc01g087200.3 transcript:Solyc01g087200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYASVVSLAQTLGQLNTRIPGLFSDPKIQSLAASLDYFKAFLDDSSNSSYYHQENIKDLVGKFRDALNEAENIIELKICEIHQRGNEDLVPVVQKIELLREELVLSLETCTSHDHDTEPGEDHFETRVGSPSKPSFNANLENDVVGLDDDLEKVIERLLGYSSEREVVAITGMGGIGKTTLAKKAYDYPRVRSRFDVHAWVTVSREYGMRRLLLSLARCIPGMTADKLVEKTEDQLAESLYRKLKDRRYLIVIDDIWSTKVWDDVTRCFPDDDNGSRIILTSRLKDVAAYANPDSPLHEMGVLSLDDSWKLLSIKVFGVNDLCPFELEDIGKQIAERCGGLPLAILVVAGHLSKISMRRESWITVAKTVNSVVANDPDKCLGVLGMSYNYLSNHLKPCFLSIGAFPEDFEIKARTLIQVWVAEGFLKAERVESLEKVAEECLEDLISRNLIMIKKRRFNGEIRSCGMHDLLRDLSLREAQKEKFLHVTSARYVSNFLAQRNEGRGFSFLSNISLNDSSELSSHVTRSMFFWGELSISSPPHRQISLFASFKHIRVLAIISHMFPAFPAEITQLTHLRYLWIRSNGGLPASVSHLYNLQTLVFQQPELYYMHKTLVLPRDIWNMTQLRRLRLLSGNYLSKPKRSTTTDDVLGLSNLEELSHLCFASCTEEVFSCLPSIRKVSILDAASDDASEYLQNLVHLEKLETLKCVCYGQKRLTLSNWCASLTSVKRLILSGCLLLSQDMASLAALPNLEVLKLRDNEFEGCAWTLSDEDEFSQLKFLLLAEPRLLNWEAGSVNFPNLQKLVLRKCICLEEIPIDIGEICTLEMIELICCSSSAQNSANEIREEQESMGNSCLDIRVYVDDDEPSSLFDFWRAVLD >Solyc02g093160.3.1 pep chromosome:SL3.0:2:54738267:54745328:1 gene:Solyc02g093160.3 transcript:Solyc02g093160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHKSWPWKKKSTEKNMVTEDKANLSFRRNDDETLSSDKADLERELKVVTDKLSTALVECRGKDDFAQKQMKIAQEAIAGWEKTETEARLLKQELEKALQQSIAGEERLVNLDGALKECMQQLRFIRDEQENRIHDAVSNTSKEFEKTRVLLEKKLADAGQKLSRIGSENTQLSMALMAKEKATGHLKGEIARAEADFSALMTRLESVEKDNASLRYEVRVLEKELEIRNEEREFNRRTADVAHKQHLESVKKIAQLDSECQRLRILVRKRLPGPAALAKMKNEVEMLGKDHAKMRIRKSNPSPNGSVDLTSETAPDTPNRNINFLSEQLCMLEEENRTLKEALNKRANDLRLSRTTYAQTTAELEKYLPSAQELSVTSRSDMGSDDIGGCSESWASALMSELEHFKNEKQIGPPSCISVGASDINLMDDFAEMEKLAVESTINPLGAVHHALPRENGSGGALESQLCSHSSEAESTERVAVTDRYVSSNDNQSKAMLTDKASGGVDNILKMLLEHGHVTERNPYDILEDIRTALAQKFPLSKNPAGADESAIGTDVTCSPNNGDCKEIYTGAGDNVSSERKCELDMLSFMGTSINKVIDIIEGINIPSTDDSIPEILSHNGNGLLPYESASKETAYMVRVFQWKSSELSVILQEFVQTCRDLLNGKVHIEKFTEILTRTLEWIVNHCFSLQDVSSMKDTMKNHFDWEMETGVINPIFEFDKLQTERGNPLYSPVFTSLARMSSVPEKEVLPSVDNESQLPKDEFPEEGTTKVDLEGKLEAETLRSDSLMVQLQESEKTIKSLQKEVENLRQSKGMIEDQIEKEKMAKEDLEMQFEAAKLELNEACRKACCLEKELEDQSNSYKKLDSTCHMLHFQQESIKKMELSENAKVDPEEKLQNDWEITAASEKLAECQETILNLGKQLKALASPGDAVLFDKVISTTSETTSDTMTTPKKSFGRRSSLLDKMLAEDEMGSHTTKEVIPDAKRNTFSSVDGSVKQPEKSPLTNGSALSGYEAATGSLPIIPSKKRSVLGLWRKLLRNGKKNSNKTKLKV >Solyc05g052560.1.1.1 pep chromosome:SL3.0:5:63631217:63631384:1 gene:Solyc05g052560.1 transcript:Solyc05g052560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRWRVQLRAGGGCNSRRKALKLGQQLRIHGSENIIKIRRLQICHPIVLVPIRR >Solyc01g014566.1.1.1 pep chromosome:SL3.0:1:14366902:14367168:1 gene:Solyc01g014566.1 transcript:Solyc01g014566.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSTNKKDTKPHKENVKKYRGVRQRKWGSCVAEIRDIRMNKRHWLRSAATTDEAALVYDKASIEIKGPNSLTNTLKPTPKESDPIHD >Solyc10g009487.1.1 pep chromosome:SL3.0:10:3590196:3594924:1 gene:Solyc10g009487.1 transcript:Solyc10g009487.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSRETTIFLVMVTISLLVPSLLCLICIAHRLHLDAIRRHQLEVLEATTTLPREPSVVVTLQNWGLDDSTIQSYKKIVLGESLRLPGLNSLTCPICLVEYIPGDSIRIIPVCQHCFHVQCIDEWLKMKSTCPVCRNSPPDHRKNEKLDQF >Solyc03g122040.3.1 pep chromosome:SL3.0:3:71515573:71526594:1 gene:Solyc03g122040.3 transcript:Solyc03g122040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESDSLLDYAVFQLSPKRSRCELFVSRGGNTEKLASGLLKPFVTHLKIAEEQVALAVQSIKLEVERRKKAESWFTKGTLERFVRFVSTPEVLELVNTLDAEMSQLEAARKLYSQGAGNQFNGNGSGGSGVTITADATKKELLRAIDVRLTTVQQDLSTACSRAAAAGFNLETVAELQTFSERFGAPRLNEACNKFLTLKERRPEFISLRKVSGRDDGAVRCSYGSDMSIDEDPTTPDQRPTGSHSAGFEKSSTCQQPQSHESSVEPEEKDSIDENEKEKEEEEVEKSAKLKRRLSVQERISMFENKQKENSGGSGKAAVAKTPELRRLSSDVSVPPVLRRWSGASDMSIDLGGDRKDMESSVCTPSSASDVRGESRLDDHTRNVQDSPRTRPNSNSGITDVDQGRGKTRSSSHISGGEDKNVKNQPDIGGPFSSFNMGKSADFGLTTNTDFKGSQGVKELEKSKGKVSRQIVGLKDQGNLPEQSGAVQTEILYQKEDTESIDHLVSKLDKAPPRTAGVSPQLDSGSTSRVTETSAARVLEDNSLNLQPRWRTLSETEQVEKDQLSPSEKLVSASQSKVKELGHEPTKFKKQGGAAEQFKKTQDRGYEIRSGTSKTSLSSKVVLEAEEGLDSFSTPPIEQAQRARQPKANQEMNDDLKMKANELEKLFAEHKLRAPGDKSNSTKRSRPGDVQSRPAASSSSYRKSVVDNNKDVLNRNFSELSFSEGSRGKSYERYMQKRDRKLREEWNSMGEEKEAKQRAMEDCLERSRAEMKAKFAGSADKDGMVSSSHRRAERLRSYNSRSILRRDQQQLVFEQSDNDEDMPELSKQKKYGEDRSFDETSFGDDVRKSTRGKKPLPVKGLSSSTPRTTVAPVPRSSGKASNNTSGRRRIQSENPLAQSVPNFSDMRKENTKPSSAAGKTTRSQSRNYARSKSTSEEVPLIKEDKSRKPQSLRKSSANIVEFRETSTFDSDGVVLTPLKFDKDEMERSIDKFPKSSGSKTSVKKGKNTDFSSRGGLTKTRVSAVSKIVDDNDEYDDMVFDPEDSEGMGPDEEEEDYETMTGEIHENFDNGEPRLSHDSEKLENSGSENGDVLRSFSQVNSASEAVLPSMVSNKLLSGGLVQDSPGESPVSWNTHAHHPFSYPHEMSDVDASVDSPVGSPASWNSHSLSQTDSDAARMRKKWGMAQKPMLVANSSHNQSRKDMARGFKRFLKFGRKNRGTDTLVDWISATTSEGDDDTEDGRDPSNRSSDDLRKSRMGFSQDHQSDDSFYENEYFSEQAPRSFFSLSTFRSKGSDSKPKFRHCNFTNYV >Solyc04g007630.2.1 pep chromosome:SL3.0:4:1308419:1309644:1 gene:Solyc04g007630.2 transcript:Solyc04g007630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIIDHISDMFEVTSTRKSKRKPMQTVEIKVKMDCDGCERKVTNAVSSIKGVKSVDVSRKQSKVTVSGYIEPKKVLKRVQNTGKKAEFWPYVEYNLVSYPYAIGAYDKKAPSGYVRDVPQAFQTPNTPTQRLTSMFSDENPNACQIM >Solyc04g040090.3.1 pep chromosome:SL3.0:4:12119839:12125142:-1 gene:Solyc04g040090.3 transcript:Solyc04g040090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALKFLMFSAITLALVSLIIASDSNSENNVFEPISISGSQDVLSKAEMIPLKLDAFGAESVVFDPNGEGPYTGVADGRIIKWLQHNQTWVDFAVTSSQRENCTRASAPEMEHVCGRPLGLRFDHQTGDLYIADAYLGLQVVGPNGGLATPLVQHFEDQPLLFTNDLDIDDHDHVIYFTDSSTIYQRRQFVNATASGDKTGRLMKYDKSTKQVTILLQGLSFANGVALSKDRTFVLVSETSACRITRYWLKGPNVGTHDTFAQLPGFPDNVRMNSRGEFWVALHAKASPLARLITSNSWLGKALLRKFNFQQMHNLLVGGKPHATAIKLSEDGGILEVLEDVEGKKLRFISEVHEEENGKLWIGSVLMSSLGVYQLL >Solyc04g073940.3.1 pep chromosome:SL3.0:4:60035443:60038076:-1 gene:Solyc04g073940.3 transcript:Solyc04g073940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKIGEKSVEIQLGCGLVAAIFQRGNCKPIKQPVSQISKKKLSKPQPKQTSITLRNSISQDRKHVRRSMSDDTRSCSKINQSSNASSRKKMSQVVNLVPNTHNLRRQSTSDLSANGTLNRASSTGNIMLLGQSGNLKQQKRIQHVSTDKKFILQKGRVLMGNIVNKLDPDVLKSMGNEHYKNGRFEQAIALYNQAIAINPKNACYYSNKSAALLSLNRLIEAVIECREAIQLDPFYYNAQYRLARLYLRLGEAEKALEHYEKSGPKVDRRDISEAQNLKRMIHSCIEAHSVKDYVTLLHMSENALSSGADSAPQVETALSIILSSSLISALRIYQYLPFIFAMRAEALMKLHRHEEAYTIIQKGPDFKTCLSTRIIGSAKTAYFLMIKAQVYTLVGRFDDGISTAQEAAKLDISNEIIAILRRIKGVASARVKGNELFKESKYIEACCMYTEGLEQDPYNSVLLFNRATCRIKLGQFEKGLEDCNAALVLRPSYTKARVRRADCYVKLERWEAAIQEFEMMLQEKQGDEEVIKRALIDAKIQLKREREEDQKQRELSNDSNLVLVISN >Solyc06g062930.1.1.1 pep chromosome:SL3.0:6:39839252:39839632:-1 gene:Solyc06g062930.1 transcript:Solyc06g062930.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASNPDNIVEKAHPTTVSRRGPLPRRKFSFRKKKLPTVRLGGGKNNNNRRRFSVAKLFRRVKLRWLKSQYTCLLKKMREYYQSAVKDIMENGGALDSFQQRLLLETSFAVPVMGLSFNTFPNHYGT >Solyc03g026020.3.1 pep chromosome:SL3.0:3:3455736:3457566:1 gene:Solyc03g026020.3 transcript:Solyc03g026020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPQPIDRNKGETTAGETPRSVPTPFLTKTYQLIEDQSIDDVISWNEDGSTFIVWNPTEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDSFRRGERSQLVDIQRRKVATPIATPSAAATAVAIVASPPQPPPPPPSPSPPPPPPAQPPVAVSTSDSCEEQVLSSNSSAGSTAELLGENERLRLENLQLSKELNQMKKLCGNIYGMMSTYAHPSSSGNQSAESSSPSLKPLDLLGTERSVGESQVKAVEDRESHEVPEEAQARLFGFSIGMKRVREGEQAMMEHCHDLRLRQPGTTDVKVEPSDQESNGESEERSWLLHCGGRNQRTCN >Solyc02g024043.1.1 pep chromosome:SL3.0:2:25332669:25335474:1 gene:Solyc02g024043.1 transcript:Solyc02g024043.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MREINNLKTRLSAAFEMKDLGPAKQISADTLNLSQELYIEKVLSRFRVNDAKPRTTPLANHFKLSKEQSPKTAEERKVTLQGFVDADLSGDVDLRKITSGYIYTIGGTAVSWMSTLQKCVSFSSSEVEYVPIAEAGKEMIWSRKPII >Solyc12g036560.1.1.1 pep chromosome:SL3.0:12:47231220:47231552:1 gene:Solyc12g036560.1 transcript:Solyc12g036560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAELTLLGLCYNPFSHRVEWALKIKGVKYEFIEEDLRNKSLLLLKSNPIYKKIPVLIHNGKCICESMVILEYIDEAFEGPSILPKDPYDQALARFWAKYVDNKVYFFSFL >Solyc02g032800.1.1.1 pep chromosome:SL3.0:2:29739127:29739774:-1 gene:Solyc02g032800.1 transcript:Solyc02g032800.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKGRKLTISMSERYLGSYSYNNGNQTVNETWEFGEDDVWSTVDEMVNAGGGDDDHLMNGACNSRATAESDVRSFRSSRRCQAGGGSSGGLSLAFDDDAGKATSSSRIIHQFRGQDSLAAQSPRGRHMASSAPVNVPDWSKIYRVDSVESFHDSDDGADDHELDMIPPHEYLARGYGRSRKSTTDSMFEGVGRTLKGRDMSRVRDAVWSQTGFDG >Solyc07g045315.1.1 pep chromosome:SL3.0:7:58541203:58542897:1 gene:Solyc07g045315.1 transcript:Solyc07g045315.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAYLVVSPPSMSRQFEEMAAAASNKATSKKDIKYPEIEEDALQFILDSCESSISKYSKFLQASGEMDLDVTNPKQLNRRVFLKQLAVDLCTSERRILYRSQYILRRRLRDIRSGELRALNLFDGLKNLFK >Solyc08g014190.3.1 pep chromosome:SL3.0:8:3894111:3900136:-1 gene:Solyc08g014190.3 transcript:Solyc08g014190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNYTTLVLGSTLALSFLYIIIAKICSIRGIKKLPPGPSPWPIIGNFHLLGTKPHRSLVNLAQIYGPIMSLKIGQKTIVVISSSTMAKQVLQKQDLAFSNRFITNALQAHMYSKFSVACLPVCPQWRMLRKILNKSVFSSNKLDANEHLRSQKVKELIAYCAKCSQQGKALDICQAVFKTNFNLMCSTLFSKDLADPFSDSKVELKDMIWGVMNEAAKINLADYFPILEKIDLQRTRYRANYHFGKLFKFLDDLIDERLEEKQRSHGEKNDVLEVLLNFSAENPKEMDQNHIKSMLLDLVAGGTDSPITILEWAMSELIRQPEIMKKVQLELGEVIGKGKQVEESNIPKLPYLQFVVKETLRMHPPTPFLIPRKVVEQDVELCDYIIPKDSSILVNVWAIGRDPTFWKDPLVFRPERFQNLEVDVRGRDFELIPFGAGRRICPGLPLAMRLVQTMLGSLLNSFNWKLEGDIEPKDLDMEETFSFISSKARPLRVIPSPL >Solyc02g067680.1.1.1 pep chromosome:SL3.0:2:38381485:38382573:1 gene:Solyc02g067680.1 transcript:Solyc02g067680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKGGVFAYAPSLSPTSHSTFGANYNFHKAPSLSPSSSGNKISPVVLIIIVILAVIFFISGLLHLLVRFLIKHPSSSSSPSSSESNRQPEVSSSEALQRQLQQLFHQHESGLDQAFIDALPVFVYKEVVVGPTKEPFDCAVCLCEFSEKDQLRLLPTCSHAFHINCIDTWLLTNSTCPLCRGTLFNPGFLTGFDFDSPIEEDGCAGNRDHVLSAAQKTIEIEEVAVDKATFPVRLGKFKKLNVGAEGEGESSSSNLDARRCYSLGSYQYVVGDFNLMVDLSSEQNAYNVKLAKLRERCANLSIESDGEEGKRISIGTKTDSYSFSKIWLWSKKGKFASSSECQLENSRPNMNLTWLAKTEDS >Solyc04g005170.1.1.1 pep chromosome:SL3.0:4:118047:118622:-1 gene:Solyc04g005170.1 transcript:Solyc04g005170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISSEAATIHYLAQENPSSLPIDYTFTHKNFQFNNTFLTNNYQSFTFPIQDLISTQPASCISSNSTSDESEEQQHRIIDERKQRRMISNRESARRSRMRKQRHLDELWSQVVRLRTENNNLINKLNQVSESHEKVVQENMQLKDEAYDLRRLLVDIQVATPFNGFSNIDDNVPSSLKTESSNQSTTERKLE >Solyc01g098570.2.1 pep chromosome:SL3.0:1:88933811:88935115:1 gene:Solyc01g098570.2 transcript:Solyc01g098570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMTSFCSRKVHPFLCLSNSKHNGTLLDFSLPRLDIFAGASIGGDNCDPQNTTSYSMIPLAAAEKKDPGGIGFIDEVGGSVDGLMSCTESLGFESCDERTIDDQIEDLGYRDELALYSRQSSCSHSNTSRCWQRSNLGEKKEKKEFPPPLSSLSQDGKPNFFMRAVRKDGRLELTEVKIDRPETLRASRQDGRLRLHLIRDLEEEAEEENEDETEDEDEEVIETDDEIIEEEEWKFPVSGSGIGDGHRRCYDGHGNPKKRVEDDDDPMKLELRICRRPPLKKHWDSVLHQRRISYLNFRKDVQYSTVQSLHSIEKFFIFFSKFCSI >Solyc12g100120.2.1 pep chromosome:SL3.0:12:67946381:67953520:1 gene:Solyc12g100120.2 transcript:Solyc12g100120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSLEFLKVWGVVFFAMLCGGVLSKECTNVPTQLSSHGLRYELLSSKNESWREEMFSHYHLTPTDDSAWSNLHPRKMLREEEEFDWVMMYRKIKNSGGVKGIDGLLNEVSLHDVRLEPNSMHGIAQQTNLEYLLMLDVDSLVWSFRKTAGLETPGNPYGGWEAPGVELRGHFVGHYLSASAFMWASTHNDSLKQKMSAVVSALSACQQTMGSGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYMVAGNDQALKMTTWMAEYFYNRVQNVITKYSIERHWLSLNEETGGMNDVLYKLYSVTGNSKHLLLAHLFDKPCFLGLLALKADDISGFHANTHIPIVVGSQMRYEITGDPLYKEIGTYFMDIVNSSHSYATGGTSVGEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEVAYADYYERALTNGVLGIQRGTDPGVMIYMLPLGRGKSKARSYHNWGTQFNSFWCCYGTGIESFSKLGDSIYFEEKGNSPGLYIIQYIPSSLDWKSGQVLVSQKIEPAVSWDNRLRVTITISSNGHSSGAVSTLNLRIPSWTHSSGAKATLNGKDLSLPTPGNFLAITKTWGQGDKITLELPMILRTEAIQDDRPEYASVQAVLYGPYLLAGHSSGDWDIETKSTTALSDLITPVPADYNSDLISLMQESGNSTFVLTNSNQSIQMEKYPEAGTDAAVSGTFRLISLDKSSVKPSQHKDIIGKRVMLELFDLPGMFISHQGQEQSLGIAASSDDGGSLFRLTAGLDGKDNTVSLESEAEKGCFIYSSVDYKSSSTVKLSCNSKSSDAGFKQASSFKLGDGISEYHPISFVAKGAKRNFILSPLLSFRDESYTVYFNIQS >Solyc05g041100.2.1 pep chromosome:SL3.0:5:50104658:50105460:1 gene:Solyc05g041100.2 transcript:Solyc05g041100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KLPTTYTDYVDGILPSNIILRDRFGNMWPIGVTKEAKDIYFEYGWEKFIKDNIVELGDFFIFDFDGTRIFDFTLLGRNGCVKKGVGSLKINVKEEEVEEMNVEHQNSVESKENTRARDSKNISSFDITDENTLVEEKEDEQKEYENREDVPEEEGEKEEPDEYKEDEDDKERTGILKKNDNTFQRRQFATNIFRSGGGTKPKKCFLRDKNTPRKEKSS >Solyc06g009810.3.1.1 pep chromosome:SL3.0:6:3831073:3831980:-1 gene:Solyc06g009810.3 transcript:Solyc06g009810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNLRITRKLKLSHYRFYPPISGTIMTFPCRRTSAIYHRSRVSSQIICFSSRTRPVKDFFQDFGDVFGSNPLLAL >Solyc10g050230.2.1 pep chromosome:SL3.0:10:48095570:48112033:1 gene:Solyc10g050230.2 transcript:Solyc10g050230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAAVPVQRVQRNEGLPTSTTSVVPQKKLSNWLPLLVGLVVLVEIAFLGRLDMAEKANLVNSWADSFYKFTTTGKVSSISSNGDDDPTGLVDLSDEVDQDLVPGSCEEWLLKEDSMEYSRDFDKDPIVVHGGDKDWKSCAVGCKFGGDSDKKPDAAFGTTQEAGKAGVLRSMESAQYYPENDVAMARRRGYDIIMTTSLSSDVPVGYFSWAEYDIMAPVQPKTENALAAAFISNCGARNFRLQALEVLEREMIKIDSFGSCHHNRDGNVDKVETLKRFKFSFAFENSNEEDYVTEKFFQSLVAGSIPVVIGAPNIQEFAPSNNSLLHIKELKDAESVANTMKYLAANPSAYNELLRWKVEGPSDSFKALVDMAAVHSSCRLCIFLATSIREREEQNPKFKKRPCKCTRDSETVYHVYVRERGRFEMESIFLRSSNLSLEAFECTVVSKFKSLKHVPIWKEERPEILRGRDELKLYRLHPLGMTQRQALYNFTFKGDTDFRDHIESHPCAKFEAIFV >Solyc04g077245.1.1 pep chromosome:SL3.0:4:62305627:62310905:1 gene:Solyc04g077245.1 transcript:Solyc04g077245.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding TIQQLSAFASGVKVVARARRLSRCSEEG >Solyc01g108030.3.1 pep chromosome:SL3.0:1:95295772:95301076:-1 gene:Solyc01g108030.3 transcript:Solyc01g108030.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLRIWTKRRLASQCLIPWRMYPTTLISTRNSSSGILHDTLDRNSDSYTRNSKVMDELVSQLHSHILKVMEGGGPEAVKRHRSRNKLLPRERIDRLIDPGSSFLELCQLAGHELYQEPLPSGGIVIGIGTIHKRLCMLVANDSTVKGGSYFPITVKKHLRAQEIAAQCKLPCIYLVDSGGAFLPKQAEVFPDKENFGRIFYNQAVMSSEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNGTIFLAGPPLVKAATGEEVSAEDLGGATVHCKTSGVSDYFAHDELHALAIGRDIVKNLHMAGGPEVSGQTRADYKEPLYDVKELRTVAPTDLKQPFDVRSIIARILDGSEFDEFKKLYGTTLVTGFGRICGQPVGILGNNGILFMESAQKGAHFIELCTQRNIPLIFLQNITGFMVGSKSEASGIAKAGAKMVMAVSCAKVPKITVVIGGSFGAGNYAMCGRAYSPNFMFFWPNARISVMGGPQAAGVLAQVEGITKRKRGIQWTKEEEEKFKAKVVEAYDREGSPYYATSRLWDDGIIDPADTRRILSLCISATLNRGPEATKYGVFRM >Solyc10g078950.2.1 pep chromosome:SL3.0:10:60716274:60725785:-1 gene:Solyc10g078950.2 transcript:Solyc10g078950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSVLRVHLPSDIPIVGCELTPYVLLRRPNKDKSVISEDVSESAPIDGYFLRYKWYRIQSDKKVAICSIHPSEQATLQCLGCVKAKIPVSKSYHCSPKCFSDAWQHHRVLHERAASAVNENGNGEEEIFGQFNSTGSGVSNASLASSQSSGSLANGNAPLYPAAITQRNGGETWFEVGRSKTYTPTADDIGHALKFECVVVDAETKLPVGPSSTILTSRVIPAPCPTPRRMFSVSGVDIPGHLDLDGRLSSSGTFTVLSYNILSDAYATNELYSYCPSWALSWAYRRQNLLREIVGYRADIVCLQEVQSNHFEEFFAPELDKHGYQALFKRKTAEVFSGSINNIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDAVVPIAQKKTALNRLVKDNVALIVVLEAKFSNQGVDNPGKRQLVCVANTHVNVQQELRDVKLWQVHTLLKGLEKIAASADIPMLVCGDFNSMPGSAPHTLLALGKVDPMHQDLVVDPLGILRPASKLTHQLPLVSAYSSFARMGVGLGLEQQRRRMDPNTNEPLFTNCTRDFIGTHDYIFYSADSLTVESLLELLEEESLRKDTALPSPEWSSDHIALLAEFRCKPRTRR >Solyc12g089325.1.1 pep chromosome:SL3.0:12:65431275:65435099:-1 gene:Solyc12g089325.1 transcript:Solyc12g089325.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIESSELLDKAQKAEGFRPKVHVIDTMLEVAGYSFSLSISDMMERVDITRITLHLGSPDL >Solyc07g045600.1.1.1 pep chromosome:SL3.0:7:58880716:58880865:1 gene:Solyc07g045600.1 transcript:Solyc07g045600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNLANWKTVDYRRPFLGFLYMAVPAISTQTLNLKLHLGQGCRPWQLLP >Solyc03g006440.2.1.1 pep chromosome:SL3.0:3:1038547:1038999:-1 gene:Solyc03g006440.2 transcript:Solyc03g006440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEILDAPLDVIGFKISEISPQKIFGYFLVVKKCCNPFNVLHGGVSALVAESLASMGAHVASGFERVAGVHLSIHHLRSANLGEIVYAEAKPLNVGKSIHVWEVNLWKNDSLILGERILISTSRVTIKTNMPLPKNVKDADVIIKKYAKL >Solyc07g044780.3.1 pep chromosome:SL3.0:7:57945106:57959987:1 gene:Solyc07g044780.3 transcript:Solyc07g044780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSVQEMHQTQPEFDSSAHSNTNGEREEATLVQQQSTRRPNLSSLQIPTRSLENALSSFTRIDVPSPNSARSGLPPRPHSAKFMSSMKNLIPQKSTRAKNVTHDGEKTVLIIPDMPLSDKPSTSRSFSLNKVLFSSTTKSIRSLPETPMGTVEKPAEDNCLDDHSELIKPEALQHMKRSFSVPIHVKSGSLRRTDSNGGLIRVISKVVRTTTDSDASADIPQETENATDNTGEDIPEEKAVCRICFVELGEESETFKMECSCKGELALAHKACTLKWFSIKGNKICDVCKQEVRNLPVTLLKIQNPPTAARRSQTVTQQREVPRYRVWQDVPILVMVSMLAYFCFLEQLLVSDLGARALAISLPFSCVLGLLSSLIASTMVGKSYIWAYASFQFAIVILFAHIFYAVLNVSALLSVLLSSFTGFGIAISTNSLLVEYLRWRSSRRLRSSPAQTTSTVQLHSTLPVQRYFSYIDNPSGQQYHHNHRPHNNQQQQQPLHPVVGQSENPGLPEIRIQTA >Solyc12g056910.2.1 pep chromosome:SL3.0:12:63926648:63929766:-1 gene:Solyc12g056910.2 transcript:Solyc12g056910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEAKDGEAESIQNPNSNRITETQFLSWKRQKDADALARKAEVARKRAEDIAAGAVQMTGRELFLHEPWVFDNSLY >Solyc07g018385.1.1.1 pep chromosome:SL3.0:7:10475391:10475627:-1 gene:Solyc07g018385.1 transcript:Solyc07g018385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRVRCYHCTLFVAHGLMYTCPLFLTLLVVCQFESIFFMLPKDEKGNRLVPSLRRTNQGLKLVRRAKGEKDQQGFHTLC >Solyc02g065010.1.1.1 pep chromosome:SL3.0:2:36698446:36698745:1 gene:Solyc02g065010.1 transcript:Solyc02g065010.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIMSCFEVPVQQPDRDMSVQEFKVWIKQFDMDHDKRISTEELTEALESLHMWFSSWKARRVMKDADADHTGFIDNKKEVEKLVKYAQQRLHMKIHQKS >Solyc06g068280.3.1 pep chromosome:SL3.0:6:42424759:42430991:-1 gene:Solyc06g068280.3 transcript:Solyc06g068280.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADHLNMEIEEEDDYVEYVPVAKRRAIEAQKILQRKGKSEAFEEEEEKIKLVEAKPSLLVKASQLKKEQPEISHAEQVFQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLRTGWKPPLAIRRNSKKACDAIRKQWHIIVEGDDVTPPIKNFKDMRFPEPILKKLRAKGIIQPTPIQVQGLPVILSGRDMIGIAFTGSGKTLVFVLPLIMVALQEEIMLPIAPGEGPFGLIICPSRELARQTYEVIEQFIEPLMESGYPELRPLLCIGGVDMKSQVDVVKRGVHIVVATPGRLKDLLAKKKMNLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARNALVKPVIVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAIAAFKACKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMDDVDAITDASGVKGCAYCGGLGHRIRDCPKLDHQRSQQIANSRRDYFGSGGYRGEI >Solyc12g056855.1.1 pep chromosome:SL3.0:12:63898424:63906069:1 gene:Solyc12g056855.1 transcript:Solyc12g056855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRQPEEFVDIDDLDEMDDYAKMRPPSKTQKISSSGGSSTAQSAVGQHSSGMKPPTFHEVRVTHLKKEVDKVEKIVEEHKVQWTKFGCSIMMDKWTAQNGKMIINILVNSPIGSVFLGSPRGTCYVASEGLPQLVYSGYQPHAYSWDEFPPLKDILML >Solyc09g055183.1.1 pep chromosome:SL3.0:9:37151796:37156033:1 gene:Solyc09g055183.1 transcript:Solyc09g055183.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYLKLFPVVGNNTFNESEILRISEIFASWEFRGSHLFGPYELLNFTLLGPYSHLNPEIKGKKQSKGVVIAFIVAAGAFAAFVSSIVTLLITRRRAKYQNILSRKRLSSSLSIKVDGVKSFTFREMASATNNFDTSTQVGEGGYGSVFRGILADKTIVAIKRAKVGSLQGQKEFLTEIELLSRLHHRNLVVLLGYCDEEGEQMLIYEFMPNGTLRDWLSAKCKKKLKFGARLGIALGASKGILYLHTEADPPIFHRDIKASNILLDSKLTAKVADFGLSRLAPVQDDEGLLPNHVSTMVKGTPGYLDPEYFLTRKMTDKSDVYSLGVVFLEILTGMHPISHGKNIVREVKIAHKSGVMFSIMDKSMGSYPSECAERLMELALKCCQDKPEDRPSMLEVVRTLETTVQMMPYTDTDPLDNKASFSESTSSASFSNTRSGDLFMSSSNVSGGDLISGVTLNITPR >Solyc01g110270.3.1 pep chromosome:SL3.0:1:96906139:96910875:1 gene:Solyc01g110270.3 transcript:Solyc01g110270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSVMLSSSSSSMALHRLLRPAPILSFSFLKQSLSLLPHQTTSLHPIINNSLSSFPSLRTHTSIFLRSRTFSNLPISCTSQSLLESSEDVEDEEIVKQNEEVTAPRPSESERKILRAKLPNLTVKEKKELASYAHSLGKKLKSQQVGKSGVTDTVVMALEETLEANELLKLKIHGTCPGGELDDVVKHLEEATGSVVVGQIGRTVILYRPSLTKMKAEEKKKQAQILFLKKQKQYAERRSFQDKGPVPRSYARNRRGSSRV >Solyc03g033370.3.1 pep chromosome:SL3.0:3:4958965:4961255:-1 gene:Solyc03g033370.3 transcript:Solyc03g033370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKFGRILLKEGINKKILEILVCPLSKQPLRLCEKTNSLISDAIGVSYPIVDGIPRLVPTDGKIIETDDASHSHCPVDLPGK >Solyc10g079640.2.1 pep chromosome:SL3.0:10:61262269:61273033:-1 gene:Solyc10g079640.2 transcript:Solyc10g079640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFFLNSYKKAIFFTIFIYCMLITPLKGAKTSVSSSSSSSDQQPKLITYFKSTTLLKTPTIKDLQNQSRTSKINLFTSSDCSIWTKECSNEILKIAQKTEHVKWIKSVRRKIHEHPELAFQEFETSKLVRQELEKMEISYRFPLATTGIRATIGSGQPPFVALRADMDALPIQEAVEWEHKSKIAGKMHACGHDAHVAMLIGAARILKAREKNLKGTVVLIFQPAEEAGNGAKRMMKAGALENVEAIFAAHVSHQHPTGVIGSRTGPLLAGCGFFKAVISGKTGQASNPHHSIDPVLAASAAVISLQTIVSRESNPLDSQVVSVTSFNAGDNLDVIPESVILSGTFRAFSTINFYQLLKRIREVFTEQASVFRCSATVDFFEDKDTIYPPTVNDDTMYEHVRMVASDLVGTTNFKVVPPMMGAEDFSFYSEVIPAAFFYIGIRNETLGSIHTGHSPHFMIDEDVLPIGAATHAAIAERYLNEYGS >Solyc10g076247.1.1 pep chromosome:SL3.0:10:59226172:59228925:1 gene:Solyc10g076247.1 transcript:Solyc10g076247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSVTTAKEQDLVNVVEVHMGYSATTRGYILYSLNDKKFFLSRDVEFREFTFPFAIEPSTYWRLFPTVTIPSDVTSPYSAIHANIGQSGAATDIFVVVLESVPRDTDVRRSQRSSKAPLWVKTSPLTMECETYRGSYQIELTTKEYDEINGTGKVDKLLEDPVVYRRLIGKLQYFNVTRPDIDFATQTLSQFLHQPKHSHLNAALKVVKYVKGQPGLVYYFQNNKQLKVYCDSDWGACLHTRRFVTEFMIKLGDSLISWKSKKQGTISRSSAKVEYKSMASAVAEVVA >Solyc06g073580.3.1 pep chromosome:SL3.0:6:45509086:45512035:1 gene:Solyc06g073580.3 transcript:Solyc06g073580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLISSWASQVNTVPERYVVPTEKRLNVNVPIGKDIPVIDLSHPNSALIAEQIIKASQDYGVFQVINHGVSQELIGDVLEVCDEFFKLPIEDLEKYTEEEELSEFEPNLDQKPKLYIEKEYKPKKNGKNDKEVIFWKDTFAHCTHPTKEDRINSWPEKPAKYREVIGKYSEGVRKANLRILELMCEGLGLEKDYFANELSHIQYMAINLYPKCPDPTVTAGAVEHNDGGVINLLLQELGGLHVRRQKDGQWLAVEPIPGALVCINGMILKVISNGKLESGIHRVATNSVRDRISLGCLTSPSCDGKCIIEPAKPLLSETNPPKYKSFSYTEYLKIFFSDTSEFEAALNPYKI >Solyc10g084510.1.1.1 pep chromosome:SL3.0:10:64133910:64134269:-1 gene:Solyc10g084510.1 transcript:Solyc10g084510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEFLKMNSPAIYGGRRRQLWQSPRVVDSVSCRQLYLRSAYTFSRKETVPQKTKKCLGKVRERVATGGKKKGKIGWRRRRVRRFTAVRRVKKICCAALCGVFRKLLACTATVDVVDHES >Solyc11g042523.1.1 pep chromosome:SL3.0:11:36454040:36458241:-1 gene:Solyc11g042523.1 transcript:Solyc11g042523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPTVHLVILKDLKPALKYILFSSIKDQERKSSACERRSSVKKLHRMWELRVERKDVSGKELPEREFSLSYKYVRLVMSKCQDPEEIKKLHPRFVELKKIHGIEYEKAATGATGPQKLSSSLISITLKCVAASIGEKVPLTKKLPATTTVGKLKILCESFFKIKSIKPKLFIQEESNLQESTVVASKGDIRISLPPEVKDTGLYKRCLVASFKEGKLRSITIRHPEEYSRTNNSEGMELEEDQSQSSMVEPSYRLYTCNSKFDHYLDSFFGSAIATMVSTRDNCPNEVMLERDGIKFFIPIWVEKKARFIRALQEMEKAGEGSKGRTWEVQTQLDVTLKDLNKSCEEEVVILKFEKQSMGQWNKGIGLDGAAQEIDATLEELSNSPAAANIQKELSPEEMEIEIAIDKVGAEGQISIDHHISIVP >Solyc03g044813.1.1 pep chromosome:SL3.0:3:10590021:10590712:-1 gene:Solyc03g044813.1 transcript:Solyc03g044813.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MITTGNDEVGISHLKDLLHSSFKMKDLGKLTYFLGLEVSYGKEGIRLSQQKYAEDLVRLANLIDRKKVHTLVEANTKYKKEKGKLSRYQTLYRRLVLSQFITEPYKMHYTALLGVIRYIKCTVNRSLLFPSSSSLDMVGYADANWAGCPNSRRSTTGWCMMLGSCMISWKCKKQSQKSKSSTEAEYRSMFAACSEIIWLRRLLSELGIEMK >Solyc07g007760.3.1 pep chromosome:SL3.0:7:2394864:2395702:-1 gene:Solyc07g007760.3 transcript:Solyc07g007760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQSIRFFATLFLLAMLVMATEMGPTRIVEARHCESLSHRFKGPCVSDKNCASVCETERFSGGNCRGFRRRCFCTKPC >Solyc03g113820.2.1 pep chromosome:SL3.0:3:65342762:65347947:-1 gene:Solyc03g113820.2 transcript:Solyc03g113820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIYCSDTFDENTPPVDDPDYISSLGATIFKGMQSADSDAVWLMQGWLFTYDPFWRPTQMKALLHSVPLGKLIVLDLYAEVKPIWATSKQFYGIPYIWKLAVIHIRLEISSGLIHSRDEIPCMLHNFAGNVEMYGVLDAVGSGPVEARTSENSTMVGVGMSMEGIEQNPVVYDLMSEMAFQHSPVDVKFKQMYVKNCVSYKKVSKVLCFHGQDSSSLQDFAMLTNSIAWIDLYSRRRYGRFVQPMQDAWNILYHTIYNCTDGAYVGSFKYAPLEVYTLHHLGTKNIWRSERLGRYMCGIGFKTDYSGQMCKEHLGWCKLLSGEKEKKMQKNLLSFMLSSNTKGLQLVVLDGDKYSLIVPLCMLVQYWQVDMYSASFQDLNSNKSSAVKKFEITSLENFFMLNISFVILVKDKNRDVIVSFPDVDPNSISTLQTVLNDVHERYGKRYLRRAILEEPNDSYDKPHLWYSTSEVIHALKLFLESGNQLSDIRTFRLDTYDLIDLTRQVLAKYANELFLDAIEAYKLDDLHAVAHLSQKFLGLVEDLDMLLGCHDGFLLGPWIESAKELAQDEDQERQFEWNARTQITMWFDNTELEASLLRDYGNKYWSGLLRDYYGPRAAIYFKYLIESLEEGKGFNLKAWRREWIKLTNSWQSSRNVFPIKSRGNALNVSQWLFQKYLQDLGSHDH >Solyc02g070705.1.1 pep chromosome:SL3.0:2:40931720:40932873:-1 gene:Solyc02g070705.1 transcript:Solyc02g070705.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMIQIFLVMFHTTWICRNYILKLLSSAYFKSKVKADLTEESAKLADAIGDDSDVAICTTGFYQNILERSQPIIVPKLEKVASNEGKKMNHGNPEYKERRKGQLAIDSEIFQDYTFSCDGNGSEPKKK >Solyc05g024456.1.1 pep chromosome:SL3.0:5:31853821:31863681:1 gene:Solyc05g024456.1 transcript:Solyc05g024456.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGPAKLILGMKISRDRFASTLNLSQELTTPLANHFKLSKEQSPKTIEERDYMALVPYASAVGSLILTRPDITHAVGVVSRYMANPGKEYWKNVKCLLRYLRGISSTSLCFGKGKVTRQGFVDADLGGDVDSSKSTSGYIYTIGGTTVTWMSRLQKCVVLSSTEVEYVAIAEAGKEMIWLVDYLEELVHLSFVVEHDTFKLSDTQHVWDTIMDQDNKLYRYHRARLHDHFKKYATKE >Solyc06g067990.3.1 pep chromosome:SL3.0:6:42254121:42262945:-1 gene:Solyc06g067990.3 transcript:Solyc06g067990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTSVKMTEEVWLTCLSHALSTETEEIMGLLLGDTQQSKNGSVTALIWGALPQPRCDRRKDRVETNPEQLTAASVKHRMTLATGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDAGFIGLIFSCFSEDAQKVGRIQVIAFQSLDGKQQNHMLLRPISLSPVHKNSVIDLESSLSSADTSRAGSKIEILEQDTGDSRAAAIASKGGSRSTDLGNFFANVDNRVGENLYANSLSNAVIDVDPMDMSESMQEAMHRSNLEMSGAEYVRKEIPLLVLPSSSIFNLDAPLNSFTDLQRVLFEEERTAYNQAVVTNMRETKVHPLTFIHHTSTYQASMCKLMEYCLSPAISALQDQLRENEVRLKMLSEEAKVLETEIIRGEPNTSSPRRGSASLGQRDLYSPTELRSVSGSSSRSRKAS >Solyc11g011615.1.1 pep chromosome:SL3.0:11:4676895:4682808:1 gene:Solyc11g011615.1 transcript:Solyc11g011615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQSPTSPPQVGRSGDHTQLADDIQMSRTRQRFRENISDLRSGGDIGEGYDSIHFHGETQCCNHQQFPRSGSEEDSYSTSSSSRVAKGHFVIYTADQARFIIPLAYPETRPLHNFQTSFMNCIISLIKKGVAAGDLQIISLIKKGVAAGDLQRALLLSIPSCCSSTFSLHQNSRNHQILVY >Solyc01g110660.3.1 pep chromosome:SL3.0:1:97207703:97208293:-1 gene:Solyc01g110660.3 transcript:Solyc01g110660.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCHFLYKHIQREIMAILRMIKRSSATRDIPKGHFAVYVGEMQKKRFVIPISFLSEPLFQDLLSQAEDEFGFEHPMGGVTIPCSEDLFMDLTSRLRK >Solyc08g053570.1.1.1 pep chromosome:SL3.0:8:10016643:10016810:-1 gene:Solyc08g053570.1 transcript:Solyc08g053570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCTTNVPRLKGASRSAPAVRHKQASVKRVLFRRACHWCYAFFVMRLGRATLLMC >Solyc01g080367.1.1.1 pep chromosome:SL3.0:1:79464173:79465273:-1 gene:Solyc01g080367.1 transcript:Solyc01g080367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFWNKNVPNSSSSSSSKCFPILGLLSLILLHPTTTTTTTSFANAIRVVAIQKPTYNDIPSFREAPAFRNGNSCSSRNIDKIQIVMPVDANYIRGTMAAVLSILQHSTCPENTSFHFLSIHLEAEIISLINSTFPYLSYKIYHFHPNRVRGKISKSIRQALDQPLNYARIYLSDILPKDVHRVIYLDSDIIVVDDIAKLWGVDLGDKVLAAPEYCHANFTNYFTDTFWSDVNLAKTFEGRHPCYFNTGVMVMDLDEWRKGGYAQKIEEWMLIQKQRRIYQLGSLPPVLLVFAGNIKAVDHRWNQHGLGGDNLEGKCRGLHPGPISLLHWSGKGKPWLRLDARKPCTIDYLWAPYDLYRSSRIALEE >Solyc12g010025.1.1 pep chromosome:SL3.0:12:3152336:3154912:-1 gene:Solyc12g010025.1 transcript:Solyc12g010025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQISCSFFYISDAEKDLSIHNKFSLFTMATLRVSSLFASSSSSLHSNPSVFTKYQSSPKWAFSFPVTPLCSKRSKRIVHCIAGDTLGLTRPNESDAPKISIGAKDTAVVQWQGDLLAIGATENDMARDENSKFKNPLLQQLDSELNGLLSAASSEEDFSGKSGQSVNLRFPGGRITLVGLGSSASSPTSYHSLGQAAAAAAKSSQARNIAVALASTDGLSAESKINSASAIATGVVLGSFEDNRFRSESKKSTLESLDILGLGTGPEIERKIKYAEHVCAGVILGRELVNAPANIVTPAVLAEEAKKIASTYSDVISVNILDAEQCKELKMGAYLAVAAAATENPPYFIHLCFKTPTKERKTKLALVGKGLTFDSGGYNLKVGAGSRIELMKNDMGGAAAVLGAAKALGEIRPSRVEVHFIVAACENMISAEGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALIYACNQGVEKIIDLATLTGAIMVALGPSVAGAFTPNDDLAREVVEAAEASGEKLWRMPMEESYWESMKSGSG >Solyc06g072016.1.1 pep chromosome:SL3.0:6:44529330:44530506:1 gene:Solyc06g072016.1 transcript:Solyc06g072016.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLAATNTPFDQAVIRRLPHRLMVNLPDAPNNAKILKMILAKEDLAHDVDLDSVACMTNGYSKSNLNINILSQVFFCEYHFWNLCIADAYQPVGEIFVGCLTELENNMLAKEKDSLVHEVSTSFGARCIEGLSFLSGMICMEKGSRKKQSLKYIM >Solyc08g079855.1.1 pep chromosome:SL3.0:8:63414366:63421651:-1 gene:Solyc08g079855.1 transcript:Solyc08g079855.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDISLEITLNSRPWERTKDENKKDFEESHGCKYKNRTITQEAPLFMILDNCPLIRYHEPELESR >Solyc10g084230.2.1 pep chromosome:SL3.0:10:63984996:63986060:1 gene:Solyc10g084230.2 transcript:Solyc10g084230.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDQLWFHQIILFSKTPSISIPENPLPIQDSLASVETENTLVQEVCEQERPTENMSDSSSPKRHYSGNRVLKKTMSNYKSFGDLELEELRGFMDLGFTFRKEHISKRMINVIPGLQRREIVICECEDTKAIVAEEEDKREIVRPYLSEAWLIKRPNSPLLNMRIPRISAPSDMKKHLRYWAKTVATVVRQES >Solyc07g014680.3.1 pep chromosome:SL3.0:7:5092123:5098407:1 gene:Solyc07g014680.3 transcript:Solyc07g014680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSSLSISFFKIMMSLRVKPFWIELGYFTTLSLLGFLALNYVSKPRTLPSFRPQNLDVLFTSVSSTTVSSMSTIEMEVFSNVQLVFMTILMFLGGEAFTSFLSLKLIKNKESKDKSFSNKDYELGNVINVDNKLEDVIIINPIEDHIHDHHDEIIKIKSIKLLSNVVFGYILVVILLGSSLVSLYIIIIPSAKQILDQKGLNLHTFSLFTTVSTFANCGFLPTNENMMIFKKNSGLLLILIPQVLLGNTLFAPCLRIVIMFLWKITKRHEYEYILKNSKCVGYSHIFPSYETIGIAITVVGLIVFQFVMFCSLEWNSEGTSGLSTYEKIVGSLFEVVNTRHAGLSVFDLSTFTPSILVLFALMMYLSSYTTFLPVDNYEEKSEKMKKRKGRSLMEYISLSQPCCLVIFTILICVVEKDKMKNDPLNFNVLNILFEVISAYGTVGLSIGYSCARQINPDGHCKDVTYGFAGKWSNTGKFILIIVMFFGRLKKYNQRGGKAWKVL >Solyc06g050620.3.1 pep chromosome:SL3.0:6:33442749:33450120:1 gene:Solyc06g050620.3 transcript:Solyc06g050620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKANSGRTISSKSVHLYVPPATLLRRKFLIRRRLNFPRCLVHEHSHVSFSVRCSQSPTDAIDNSDELIPKQKSSTTRRNVLLMPLLTIGVCALRSAIARADDKPPPESTPQPPVTTVEAPTPDPVVKAEEVINSRIYDATVIGEPLALGKDKKKVWEKLMNARVVYLGEAEQVPTQDDKEVELEIVKNLRKRCAEAERPISLALEAFPSNLQEQLNQYLAKRIDGESLKSYVVHWPTQYWHEYEPLLTYCRENGVRLVACGLPLEVLRTVQAEGIRGLSKADRKKYAPPAGSGFISGFSSMSRRSAADVNMLNQPTPFGPSSYLSAQARVVEEYNMSQIVLKAVMDDGAAGMLVVVTGATHVMYGSRGTGVPARISRKIQKKNQIVILLDPERQWLRREGEVPVADLLWYSAARPCSRNCFDRAEIARVMNAAGRRRDALPQDLQNGLDLGVVSPEVLQNFFDLEQYPFISELTDRFQGFRERLLADPKFLHRLAIEESISITTTLLAQYEKRKENFFEEIDYVITDTVRGIVVDFFTVWLPAPTISFLSVTDDVDVPESIGALKGLLGSIPDNAFQKSVVGKDWDVSHRVASVLVGGLKLAGVGFVSSIGAVASSNILFAMRKVFNPTFTAVQKNKRSPILKTALVYSSFLGTSANLRYQVIAGLVEHRLADQFSDQTLFVNMLSFVVRTINSYWGTQQWIDLARVTGLQARKSERVPDLVPDSANPTAVGCNTPEDTNTDEINSQ >Solyc07g053070.2.1 pep chromosome:SL3.0:7:61637625:61640792:-1 gene:Solyc07g053070.2 transcript:Solyc07g053070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Purple acid phosphatase [Source:UniProtKB/TrEMBL;Acc:K4CFK5] MHRLVIFLLLLQVFDFCNGGVTSTYIRKSEASIDMPIQYFPPPLVHITQGDHIGRSVIVSWVTPLESQSNYVTFWEEGANTKHHHHKHKAHAKTTSYKYYNYTSGYIHHATIKRLKMQYNVTYIYQLGEHNSTRTFSFTTPPKVGPDVPYTFGIIGDLGQTFDSNQTLEHYLSNPKGQAMLFVGDLSYADHYPFHDNVRWDTFGRFIEKSAAYQPWITAAGNHELDFAPEIGENTPFKPYTHRYHVPYKASQGTSPLWYSIKRASTYIIVLSSYSAYGKYTPQYSWLEQEFAKVNRTETPWLIVLLHSPWYNSNNYHYMEGESMRVMFESWFVQNKVDMVFAGHVHSYERSERVSNVMYNITNGQSTPIEDPSAPIYITIGDGGNIEGIANNFTEPQPSYSAYREASFGHAVLEIKNRTHAYYTWHRNQDSERVAADSLWIYNRHCYPKKESSSMA >Solyc01g111400.3.1.1 pep chromosome:SL3.0:1:97574237:97576555:1 gene:Solyc01g111400.3 transcript:Solyc01g111400.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLLLLTLFLCFTFTAIPFFSPVSAELEAKTYIFRVDSFSKPAVFPTHYHWYSSEFTEPVNILHVYDNVFHGFSASLSPFQAASVLQHPSILATFEDRRRQLHTTRSPQFLGLRNQKGLWSESDYGSDVIVGVLDTGIWPERRSFSDLNLGPVPTRWKGVCETGPQFTSRNCNRKIIGARFFSKGHEAAPGFGPIGGGINDTVEFRSPRDADGHGTHTASTAAGRHAFRASMSGYASGIAKGVAPKARLAVYKVCWKNSGCFDSDILAAFDAAVSDGVDVISISIGGGDGISSPYYLDPIAIGAYGAVARGVFVSSSAGNDGPNGMSVTNLAPWLTTVGAGTIDRNFPAEVILGDGRKLSGVSLYAGKPLNGKMYPIVYPGKSGVLSASLCMENSLDPHLVRGKIVICDRGSNPRVAKGLVVSKAGGVGMILTNGVSNGEGLVGDAHMIPTCAVGANEGDKIKAYISKNPTAAATINFHGTIIGVKPAPVVASFSGRGPNGLNPEILKPDLIAPGVNILAAWTDAVGPTGLDLDHRKAEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAVRSAMMTTANLVDNRLLPMTDEATGKPATPYDYGAGHLNLDLALDPGLVYDLANQDYVSFLCAIEYGPKTIQVITKSAVNCPMRKPLPENLNYPSIAALFSTATKGVSSKTFFRTVTNVGDANAVYRVKIEAPKGVTVSVKPAKLGFSEKIRKLSYYVTITVDSKNLVLNDSGAVFGSLSWVDGKHVVRSPIVVTQMSPL >Solyc03g123970.1.1 pep chromosome:SL3.0:3:72165617:72166941:1 gene:Solyc03g123970.1 transcript:Solyc03g123970.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLFSPLFLSLLTETDGQARLVQKVVLLRFFLSLHLIHQFFRRYTALYVCKHIGENLDDEIVGHVYLFLKEQLQMPPLSGVLHGTIIGDVTFPIVQGLIKSNGECLKGFSQTSATA >Solyc03g063805.1.1 pep chromosome:SL3.0:3:38372849:38378790:1 gene:Solyc03g063805.1 transcript:Solyc03g063805.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPIVVKAFPRKDYGSRVSNQLIPQSPNKDPVLVPSPLDLESSDSWGGLREGPKLISTSPRFRMTAPERLRRGDFDGLSVREEGLFPLFFHCLTRFALQGRKGIRAGIKRWRSSYGLKEVSELKQSKWLKEPALVTRRGSVAHVSKLQTSGNRLSQDGIPKTKIGNVVNYERKKELTSRKLIFKAKSRLYQSILPPGGLVRALGKGVTALGGTAGLLYYMVRALYCLLEEIDWTLYRTLLSLPLEMDSLNLKYKYPSR >Solyc02g086467.1.1 pep chromosome:SL3.0:2:49770911:49780934:-1 gene:Solyc02g086467.1 transcript:Solyc02g086467.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNLKRSSRISWIFEVALHIKQIQFKKSFEKKTKSSSIFDASFVDTCTRSDSVALACVSLDMDSSEILHFSCMYWIFLASAPSSTCGVMLSLGVPLASVLLVGIVSSLSNVSRGYAACSCICLVVLLSLLTMSSISFSETVTDFNDVKCSVTFVSLHVIISPKPAAVFRSDPKGSKLFLSGSLIVVSSSFCTCVVLFEESSPVVSLKSSSCSSYEFIFRRQMPGPVQCKASQYWCLLFLLPVSLRLAQLTDASVVPVGLHSFSSTLISSEESSVTFSAPRASLASSVLFAAISCLTDVGSSSRVISSFCRALLFDSSPASISLSISLTAGSSGSSGFSLIVGSSNFSTADSSGFSSTDGSSCFSSTAGFTSTVGSSSFWSTTCSSVASSSGFFSTASSSCVSLTTGSSGFPSTASSSGFSPIAAGSSSFSSTAGCLDFSLTAGSSGFSSTVGSSSFSSIAGVSFAAGSSSVPSITGLSDFSSTAGSLGFSSTFDSSSFSPTTGSSGFCSTVDSTGVPSTAGLSGVSGSSSFSSMASSSGFSSTVDSLSFSSTAEFSVSSSISAISLFVLHEISLPVLSCEFIAQCTYISIRMDNFVTVFDPHLFEGRVSSGAGLSTVSSPAAVSSSFSSTTNFSGVSSTISSSTFSDTTGSLNFCSIASSPGISSTAGLLGFSSIVRSSGFSSVTSFSRFFSTARSSGVSSTTGSSDFSTVGSSSFSSRTCSSVSFSTAGGSSGFSVTAGSSVFSSTAEVSPTIGSSGFCSSGSSSGASSPASSSCVSLVVGSSSFSSTVGSLGFSSTGSSDFSSIVGFSIIAGISSFSSITSFFTAAGFTSSTAGSSAFSSHASSLGVSSRADSLGVSSDIVFSGFTSNIGSCSFSSADGSSNFTSTVGFSHSSSTASSSRFFSTAGSSGFSITANTSDSSSIIVFPPLLALQPLVLEVPPQPLVLQYFPQLVEGEQHHYWYCMEFHCQFCRLSLQLTNSTKEFNVSLSLSMKKQMCIICLTLTFSKRATFLDVSSSSAGLSSNNRPSGFSSISSTNGSLSFFSAVVSLGFSSIARESGFFSFTGSSGFSGIAVSSDFSSIACSSCLFSTTGSSIFSSADA >Solyc12g040270.2.1 pep chromosome:SL3.0:12:54056588:54057694:-1 gene:Solyc12g040270.2 transcript:Solyc12g040270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KEAIGYLKKTSPRLDVLKTRKRGAADLEDRFATGCKFFARGRCTNGNSRRFLHTKQPVTIHETSKIPHEKGLEEKSLLDGSSQSGENIRMRGGEDILHPNSQLGYTSKSPAFPSSITGYSWKNHLSQDTRYLSDYTTTDDWEPSVPFRPSLMLSQMVGYPKSVLYDGICNSIYQSDVGDGSFPVLIKHMQANADPASTGSNKVQISGHSDMLPKQNLPRHGTAVAHQENMNTSSNEDKHLESETDVDNKSVNTKLAVLKNFHVALVEFVKELLRPTWNLGLLSKVAYKKIVKKTVNIVESSLHPNQIPNTAESTEEYFDLSLTKLSNTIEVRHKKFVCPCEFHCP >Solyc08g077210.3.1 pep chromosome:SL3.0:8:61229146:61234179:-1 gene:Solyc08g077210.3 transcript:Solyc08g077210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Inositol-1,4,5-triphosphate-5-phosphatase [Source:UniProtKB/TrEMBL;Acc:A8IDV8] MQMKTRRGKRSSQAFWPSIVMKKWLNIAPKVCDFSEDEVDTETESEDDACSLKDERMVEDHGHRKPGKLNECHTQTTGKASVEYTPRHRRGKSETLRAQYINTKEVRVTVGTWNVAGRLPDEDLDIDEWLCMQEPADIYILGFQEVVPLNAGNVLGAENRRPVPKWEAIIRRTLNRTEEPETKLKSYSAPPSPVLRTSSADDIIADVVDAPELDRMEDEASIDTALIFENNTINLGKNLHLKRIYGIDCDSRLDWPERPLDAASQLLSSNLKLRRVFSSTARVGFGTVDNLLAFDTTGLDGRGLKRVHQSSGNLGLMSMDQKEEPVVLDALSDGPDQFFDEENDMFDEFPVVKEENSLLDHTIKSRPMYVRIVSKQMVGIYVSVWVRRRLRRHINNLQVSAVGIGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLSSGQKEGAEQRRNSDVNEIMRRTHFSSIFDTDTDEPQTIPSHDQIFWFGDLNYRISMAEAEVRKLVANKKWDELLNSDQLHKELQNGHVFAGWKEGLVNFAPTYKYEFNSDRYNGEITREGEKKRSPAWCDRILWLGKGIKQMFYKRAELKLSDHRPVSSMFSVEVEIFDHRKLQRVLNVKSAAVHPDILLEIGEY >Solyc10g050730.2.1 pep chromosome:SL3.0:10:50358258:50365128:1 gene:Solyc10g050730.2 transcript:Solyc10g050730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFAYNAQAFPLLTLLFSSMFAIGLANYDFNWGSTTWNKTNFPYTHPPNATHTSNRFIVGGSENWHYGFNYMEWARNNTPFFVNDTLVFKYDPPNANSTGFPHSVYLFPNYWSFIKCDFRKAKRIADPTEGAGEGFEFVLKKMQTYYFGCGEHKGIHCKTWNMKFAVMPLKHWRF >Solyc06g009370.3.1.1 pep chromosome:SL3.0:6:3290062:3293601:-1 gene:Solyc06g009370.3 transcript:Solyc06g009370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIAIATRRQGTKYRHIEIFDVESHKFYSVTELLNPTTHHYSPFFSPKSSFLSYHRFRGESSSGDATIPSLAPIISPEKGLRMLRLNGSFPSFSPSGDFIAFNHDFNANSGLKIIKSDGSKKWTLFRGRTAFCNSWSPAEPNVIFTSIGPVFDSVKATVQIARVSFNSLNLTNDDCGEIPVEIEVLTKEETGNNAFPSCSPDGKHIVFRSGRSGHKNLYIMDSVKGELEGGGIRQLTEGPWIDTMPSWSPDGKLIAFSSNRHNPDNVTCFSIYVIHPNGTGLRRIHVAGPEGSDEVDKERLNHVCFSKDCEWLLFTGNLGGVTAEPVSLPNQYQPYGDLYLVKLDGSGLRQLTCNAYENGTPAWHPSGTPMTALDIERNVIVGDKLRGEFDDVLWMNC >Solyc08g022130.2.1 pep chromosome:SL3.0:8:32141162:32147335:-1 gene:Solyc08g022130.2 transcript:Solyc08g022130.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSPYAFLLLQFDLENTYINTMATSLKSEIPSYDVTALKDIHLRFLVVLGVQKGLFLHLANNMEISTIKWSPTGTGSSNTNQKLLLTR >Solyc03g019690.1.1.1 pep chromosome:SL3.0:3:62916751:62917404:1 gene:Solyc03g019690.1 transcript:Solyc03g019690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANYLFLFTLYLLPFITFSSTFTSQNLIDLPSATNKLVLDTNGNALDPGASYHIVSIGRGAAGGDVYLGPSPNSSAPCPNGVFRYNSDVGRPIGTPVRFITKSDHSIFENQDVNIQFDIATSRLCVIYANWKIGDYDVSLGARLLETGGTLGEGDSSWFKIVKASESSYHLLYCPGPFVCPSCPVDECRAVGWVRQDGKRRLCLVKDQPFGVNFKKV >Solyc12g011390.1.1 pep chromosome:SL3.0:12:4216913:4218373:1 gene:Solyc12g011390.1 transcript:Solyc12g011390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVLAGASGAIVGTARASGVMTSNDKRDCDNKGGNISGGCGVVVGGSGGGTDNSGTNCCGDDDGNGDCGGFRGIIVAFVMSFICCICCSKMLKNVETIPGGGILHASQTSRVSGGGRHNNIDGVGVGVLAMASLTSITCDTSNTFGGFQCGGGGGGWVGGGGGGGGGGF >Solyc04g077550.1.1.1 pep chromosome:SL3.0:4:62597948:62598100:-1 gene:Solyc04g077550.1 transcript:Solyc04g077550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEMSHIELYELSRKPILLYSLLSFLTQFYRSNQNSTSGANCSQDFNFLI >Solyc04g008155.1.1 pep chromosome:SL3.0:4:1829397:1831124:1 gene:Solyc04g008155.1 transcript:Solyc04g008155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPRYSPAKKEENKFACFACVFQRHLLKVIQMGKIEWHSLKIKTGDQEKAPKSWERTKEVKSLGSCSKSPEIAIYLKCNSW >Solyc09g009150.1.1.1 pep chromosome:SL3.0:9:2488337:2489845:1 gene:Solyc09g009150.1 transcript:Solyc09g009150.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLVNSMTPLKLTQFFIFFSIFFQIKVESETPKQFLKGFKANPDPQISNFQPLLTDSTGNYSLSFLRMDENQLNLAIIHVKSFETIWVANLTRLAKWADPTELHFNGSLVLSDSRSGVFWSTYTDGDRVWLSNTSNLQVQKVDNGVTSPSVLWQSFDFPSNTLVENQNFTSAMTLVSSNGLYSMRLGFDFFGLYFKGESGLGSGSDTGRIYWRHKALEAKADVMEDQGHIYVVLKSNGFLGMYQNESVPVDIESFNSFQQPVSGVRRIRIEPDGNLKGYFWDGSSWVLDYQAIKETCELPSPCGAYGLCHPGKGCSCLDNSTNYSIGGCSPPNSGEPRDLCGATYDLDHKRYKGLSRNGVELSNTELMDYKHMVSFEECQSACEGNCTCWGAVYTNTSGFCYILNYPIQSLVGVRDESKMGFFKMREGVGKDKRGVGFGVGVGLLCGAILVLGGVIGLGYYRYMKRKRERGVSGYVDDDGVVVGPYKDLGNASFRSIELIER >Solyc11g071650.2.1 pep chromosome:SL3.0:11:55357693:55363830:1 gene:Solyc11g071650.2 transcript:Solyc11g071650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQEGDYLLYKDPNKPINARIKDLMSRMTLSEKVGQMSQLERRNMTTDIVKNYAIGSLLSGGGSVPKPEATAREWVDMVNHFQRGALSSRLGIPMIYGIDAVHGHNNVYKATVFPHNIGLGATRDPRWGRCYESYSEDPQIVKQMTEIIPGLQGDLPKNVVGIPYVGGKEKVVACAKHFVGDGGTTKGINENNTVADWHKLLSIHMPGYYHSIIKGVSTIMVSYSSLNGVKMHANHDLVTKFLKGTLNFRGFVISDWQGIDRITSPPHSNYTHSVLAGVQAGIDMIMVPLNYTEFIDTLTSLVKNNFIPMTRIDDAVRRILRVKFTLGLFENPLADYKLVKHVGSQAHRELAREAVRKSLVLLKNGANANDPILPLPKKASRILVAGSHANNLGYQCGGWTITWQGVEGNNVTTGTTFLDAITASVDSNTEVVYSESPTTEFVKSNNFSYSIVVVGELPYAETAGDSMNLTITKGGIDTMSSVCGNTKCVVVLISGRPLVVQPYLSNIDGLVAAWLPGSEGQGVADVLFGDYEFRGKLSRTWFKSVDQLPMNVGDKDYDPLYPYGFGLTTTKVASR >Solyc12g062730.2.1 pep chromosome:SL3.0:12:34474526:34476428:-1 gene:Solyc12g062730.2 transcript:Solyc12g062730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKEEENKVTLHGMWANPYVKRVELALKVKGIPYEYVEEYLMNKSELLLTYNPIHKNVPILESPLFPQDQYQRAKVRFWASYIHQVLLMYSTLLLSSQIHIKQTNPYIIFLGYMCMQVYDCMLKVFRGKEALKRFYAKLSVLEDGINNFSLGITSNMNNIGMLDIMIVITLGAYKVQEEVFGFKLLEEENTPLLYSWVTTLIDLPIVKGITPPHDKVVSFLQYLKNKVFKAPPHAS >Solyc08g076025.1.1 pep chromosome:SL3.0:8:60199583:60203354:-1 gene:Solyc08g076025.1 transcript:Solyc08g076025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGPVIERRGGSVVWVLRQNGTWWPGRILCINEIPTSGILSCTDFSSRFPIKLLGRDDASGVEIWWSFFGKNKRRWGFLLSFAAKKYWYNLEKSSRVKAFRCGEFDSCIKKAESSKTLTYTRSLKYAHREDAILHALELEKQDLEKLGRQVFVSGFKEGEIHGESNQRAKRSRRFYSPIDSISFLEKSVHSQSSNKVATSIHATEISSSKSTSSLKSDSQSSHKKRETTAGNNALRPMKMSFKVISRPAVNQWKHNGKVHNHHFKSRSVVSTNERSTEFGSKTESFEIMSRDVNQKSQPSPGNSFTEDNSIQSLNSKFTTLQNSTKTLIDVHMTVQSTYRGEHTPLVSLMSRLNGKAIIGHPINIEVLYDSSMLPVEKECSDQLKNRSRMPQLVWRTSKRTPVCYTSSTSSATKYENLQHSSKKLGDLSSQARKNGLFNTKSRGKLSKKPNMSKNKSRSLYLADSRIKNTCVPVKDIFIKLIGALGNV >Solyc05g051120.1.1.1 pep chromosome:SL3.0:5:62155212:62155727:-1 gene:Solyc05g051120.1 transcript:Solyc05g051120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNQKPFLSLKKTFFYNFFPSKVEEETCKLNNTAHVVTRELIEIRDIYPLPKIDLNNPWQIKKKITRDEVIVGKLVIPFSDTFEYILRYWTLDAAKSLENGCDVLVDMWDVTEENIIEKYQGESIFLRKLHNDDFYLSCRKLFNSRKLNVGDEIGIYWDPRSSSLMSKLLS >Solyc11g065440.2.1 pep chromosome:SL3.0:11:51182144:51183914:1 gene:Solyc11g065440.2 transcript:Solyc11g065440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVHGIMEVVREVHEGVRWVIMGDDDSIFFVDNMVDILAQYDHTKYYYFGGHSEFILSNYWYSFNQAFGGAGIIMSYPLAKEFAKNVMSCLKRYAHLRSADRTTMNCIADIGVNLSPLQGIHQIDLRGDISGFLSYHPKSLLTSLHHFDMFDPIFPSMDRVQSVFHLQNVAKYDQSRMLQQTICHHRSKSWTFSVSWGYSAHIYEKIMPRSWIQRPIETFRTWQPSPNPPYYMFDVRSPSWDPCEAPHVFFFKSVKKTQRGEIVTMYTRGWPRGIGTCLSSGNFSAEYISEIHVYSPTTKRILIDKCECCDIIHEAGSNKVDIKYRECKINEIIA >Solyc07g040685.1.1 pep chromosome:SL3.0:7:49502153:49506247:1 gene:Solyc07g040685.1 transcript:Solyc07g040685.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIPAKQFLLEKRGVKPGRPRPAPGEHTLGRKVEHTLWRNGSPNGYGSMNSIFFMSFFIGPQGLNWGFCPVSFFFSVKKSKARFRWRQRVFGPFDKNERLKISLPVLERALSRFKCSSFILKGASFKSTVVLCASAIFFLMLARGMEEKEEDNNEEVTETNSEECGVDF >Solyc04g008700.3.1 pep chromosome:SL3.0:4:2355793:2379256:1 gene:Solyc04g008700.3 transcript:Solyc04g008700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASPAWLPQETQSSSSQTSVMSSATGEPTTSSSTPNADSTQEAAQGKFISPPGYSVCRASFSYMNANVPSGSSQQSSSSPVIPSTSAGSSASLQPPIPGQSANVGSSFSYNISQTDNNFSSGLQFSSSTLRPAAPDHSVDINASPAASLQPPLPLVSTRLSSFMPGTAASAGPLISGSNLSFNGGPQMMQTDQTMKPNRRVDLAQETGGMTSATLVMHSVSQAVHMSSGSSTAAFPTSHMGSPNIIRMPHAPQFQVPAGVPRSPVTPGPPGLGPAIPSSSNLTATVSPGGPSLPLRPNAPPVHVLANPSVQQQTYSPYHSPAPIAPSHQGPWLQPPPVTTMLRPPFPSYPAGFAVPYPLSATGAPLSSVTLPDTRPPGVAPVAAPPGVPTTASQSTHASGLQPELPPGVDSGKHVNDADTKQGASTSEQLETWTAHRTETGAIYYYNSLTGESTYEKPAGFRGEPGKVAAQPTPVSWERLAGTDWALVATNDGQKYYYNTKTKLSSWQIPIEVTELKKKHDADALQAQSPSILNVNESAEKGSAPISLSIPAVSTGGRDATSLRPSLVPGSSALDLVKKKLMDFGTPLAVSSPAPASSGVISSEVNGSKALESTTRIPQKENSKEKSKEANDNGNLSESSSDSEDDESVPTKEDCIIQFKEMLKERGVAPFSKWEKELPKIVFDPRFKAIPSYSARKTLFEHYVKTRADEERKEKRAAQKAAVEGFKQLLEEAKEDISEDTDYQSFKKKWSHDPRFESLDRKEREVLLNERVLQLRKAAQEKAHAVRAAVISQFKSMLREQGDITLNTRWSKVKDSLRSDPRYKSVKHEDRETLFNEYLSELKAAEQEVARIAKAKHDEEDKLKERERALRKRKEREEQEVERVRSKARRKEAVESYQALLVEIIKDPQASWTESKPKLEKDPQGRAANPHLDQSDLEKLFREHVKVLYERCVQEFKVLLAEVITVEACSRETEDGKTVANSWSTAKQVLKGDLRYSKMARKDSETLWRRYVEDIHRRQKSTLDEADKARSKGSSDSRRR >Solyc10g044787.1.1 pep chromosome:SL3.0:10:27922787:27923496:-1 gene:Solyc10g044787.1 transcript:Solyc10g044787.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTLSKACWFTKHDLRASYWKVRIAEGDEPKPTFVTTYGSNEFLLMPFWLTNAPATFCNIMNNVLFDYIYDFVVVYLDDIVIYSRTLEEHVNHLSLVLSQLRKYTIYFKMEKCEFSQHEIKFLGHLKVQAIVDWQAPCHVKDLRLFLGLANYYRKFIASYSKRAAALKDLLKKDTKCIWSERCDQAFQNLKNAIASEPILKLPDFELPFEVHTHVLDKAIRGVLV >Solyc05g012620.3.1 pep chromosome:SL3.0:5:5825156:5829691:1 gene:Solyc05g012620.3 transcript:Solyc05g012620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVQSVSMSTLATSRYCGFCGSTCLRRQFGQIKETTIGFGESQFVWNDRRKNLSFATNIDMLKPRNLRVQAGWLFKGGDKSSEASIERSENANEDILMFFFQLDLATRVQYALNVEQYEIAQQLREKLTEVETEVLKQQESRRGSASKSEAQDMAISILRLRADLQNAVQSENYDLAAKLRDEISELEAESLTTSIRAQAYENAQYAFRLGQKVKHKNFGYRGVICGMDPICCESNSWMENAQVEKLTRGPDQPFYQVLVDVHTDPNLLVAYVPEESLVAPSEPDKDRFDHPYTSFLFFGMDAAGDFIPIKQLREKYNKPRHEVPYDPDNEKSGESS >Solyc04g050628.1.1 pep chromosome:SL3.0:4:47822751:47833243:1 gene:Solyc04g050628.1 transcript:Solyc04g050628.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLCPLNFFLGIEVNYFEGGIHLNQSKYGAEMLAKTEMTLANAVATPLSRKQGLREAVGSFVYASFYRMIVGSLQYLTLTRHDITHAVNLTSQFMQSPNVEHFQGVKMILRYIKGCMNTQTLIGEVVDKLGDQLQFPLSLRGDEIDDFKIIRNCVQQLKDNMCAKWYLILF >Solyc03g095757.1.1 pep chromosome:SL3.0:3:58370523:58371503:-1 gene:Solyc03g095757.1 transcript:Solyc03g095757.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVNTVERSIPEDITIQFSPGFLCVPKFYNTIVLEPDFVDLHSSNYPLINRGDTKLITWIHDVCYSIEKHDGKNTTKFYQIEYFDKLNNHIDIHFRDFRCRLCFDYDNGLFCKRGMQYVAISNPYTGEVLSPKKKYKVVLTIDIEEGLSRAWVFTLGIDTSWRKMIKYNEYGFTISPCKNAICISRIIYRFSYSLGCIVVFDVKSESFTSITTSIEFRNGLCQSGYEDYMLIDANGKLGILNFPSFRRTNYFNIWIFDEEWEHQIFQFPLGWKNEHIELSSKRICKYGGKKLYLQ >Solyc05g026350.2.1 pep chromosome:SL3.0:5:40920830:40924187:-1 gene:Solyc05g026350.2 transcript:Solyc05g026350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMSLSNSISKELLHSPELQEYMLETVVYPRETKLLKELRLITSNHPRSLSTNCLLLKLTNAKKTIEIGVFTGYSLVLIALTIPDDGKASIIAIDLDRDAYEIGLPIIKKANIEHKINFIQSSTLSALDELLNENDNRGSFDFPSSKQTKLAIKSTMRE >Solyc12g020030.1.1.1 pep chromosome:SL3.0:12:13446223:13446582:-1 gene:Solyc12g020030.1 transcript:Solyc12g020030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPSAFTGLCEMLIREGGLRPTLRATIEEKVTKTLYLIAYNITNPKLSFIFRRSGESICRHFYVFLRALLELYEKFIQQSDGPQVTFEIASKQTFYTHFKVNIINYLAYAKIVCVLIDD >Solyc09g009500.3.1 pep chromosome:SL3.0:9:2918996:2924612:-1 gene:Solyc09g009500.3 transcript:Solyc09g009500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKKISAASARAHTRKAKQKSSIPFPSGAFAKILLVVLIGLLAWGYQATRPPPPKTCGSPDGPPVTAPRIKLSDGRHLAYKETGVLRDNAKYKIVYIHGYDGCRHDVPINILSQDVIESLGVYIVSFDRPGYGESDPNPKRTVKSLAFDIQELADQLGLGSKFYVMGFSMGGQAVWTCLRYIPHRLAGAALIAPVVNYWWPRFPANMSQEAFNLRLPQDKWTLRVAHYLPWLTYWWNTQKFFPSCSAAGRNPAIFSSKDLELVSKQSSSQDYRAQVRQQGEYESLHRDLMIGFGTWEFDPMDLENPFPNNEGSVHLWQGDEDRLSPVTLQRYISQQLPWIQYHEIPGAGHLIPMIDGIGEKIFKTLLTA >Solyc09g061635.1.1 pep chromosome:SL3.0:9:60376611:60377559:-1 gene:Solyc09g061635.1 transcript:Solyc09g061635.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKSNEVCGFLSLVQIWAWERIIPLQPLSKPLRTNQLEASTAVARKWMRRRNYQNEARTPYSEDVINGLPEWCRSGQRVWMAQVPRFMEFIVSGTWLIVL >Solyc02g022880.1.1.1 pep chromosome:SL3.0:2:24830945:24831244:-1 gene:Solyc02g022880.1 transcript:Solyc02g022880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKAMRSKMKIEIPTGKGRPTKPNQSAKLYNELGIIAQNFILLSNKWKKLSREDKDWTLIRCHVRILYSFKHHVCNSIEFFLSDNPIIHLSNFVLQTAR >Solyc10g049600.2.1 pep chromosome:SL3.0:10:46136638:46142825:1 gene:Solyc10g049600.2 transcript:Solyc10g049600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNKKIFDEDENFQSVALIIGVTGIVGNSLAAILPCADTPGGPWKVYGVARRPRPPWNVNDPIEYIQCDISNREETQSRLTLLTDITHVFYVTWANRPTEVENCEINGKMLQNVLDAIIPNCPNLCHICLQTGLKHYHGSFELFGKIAHDTPYHEGLPRLPVPNFYYTLEDILFEEVKKKEGLTWSIHRPGLIFGFSPYSMMNIIGTLCVYAAICKHEGLPLRFPGSKCGWDGYSICSDADLIAEQHIWASVDPNAKNEAFNISNGDVFHWKHLWKVLGKEFEVEIEEFDENKRWRLEEMMKDKGKIWDEIVEENGLVESKLEEIGGWWFVDLVLNEECPLDTMNKSKEHGFLGFRNSKNAFISWIYKIKASKIVP >Solyc06g073190.3.1 pep chromosome:SL3.0:6:45234784:45237401:-1 gene:Solyc06g073190.3 transcript:Solyc06g073190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:FRK2 description:Fructokinase-2 [Source:UniProtKB/Swiss-Prot;Acc:Q42896] MAVNGASSSGLIVSFGEMLIDFVPTVSGVSLAEAPGFLKAPGGAPANVAIAVTRLGGKSAFVGKLGDDEFGHMLAGILKTNGVQAEGINFDKGARTALAFVTLRADGEREFMFYRNPSADMLLTPAELNLDLIRSAKVFHYGSISLIVEPCRAAHMKAMEVAKEAGALLSYDPNLRLPLWPSAEEAKKQIKSIWDSADVIKVSDVELEFLTGSNKIDDESAMSLWHPNLKLLLVTLGEKGCNYYTKKFHGTVGGFHVKTVDTTGAGDSFVGALLTKIVDDQTILEDEARLKEVLRFSCACGAITTTKKGAIPALPTASEALTLLKGGA >Solyc04g055203.1.1 pep chromosome:SL3.0:4:53787617:53810829:1 gene:Solyc04g055203.1 transcript:Solyc04g055203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNSVSCIILLSKETPLVFLESSGCLTAVLGEFCLSYRRTKWLLNKKPQRASSSCQRWLGHKLGIFLTFSQYYYQIISLARASKMPDWSKLQSDILGVILSRMDMIEDYLNFSSVCKSWHSVAKEHRFISDLPRAPWLMLAEEDDGDEDDNTCRKFYSFYNDMIFKKRIPEAHGKGCMESMGWLITVGKEDGEISLLHPFSGVQIQLPHQNTTTLYEFNRTRFPWIFIKKAVLSANPSHTPDYVLMVIEGKTERLSFWRPGDLLWTMITKSIRFGYFSDVIYFNGSFYAITYGGCIQVCDFTGPDPPSIRIIMQIARCIDCKYYILESLGSLFAVTQSGVGLRYVEDDSERIRLTLIPAIYDHDEVIRCMSGTIFFFVFKIDLDAHIYTPITDLGDRAFFLGANASFSVQASQFPGIKPNHIYFTDNCLGAYLHSKRGGGLDMGMFNLADSSFQPHYDGVSLSRVCPPIWVTPTLC >Solyc06g068060.3.1 pep chromosome:SL3.0:6:42292657:42305181:-1 gene:Solyc06g068060.3 transcript:Solyc06g068060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSGSSRADLKKMEDEFRAYEMSLMNPPSSIDDLFKILQKVESSLKMVSQEPFDSTISAIQPLMKALVRNELLGHTSEDVKVSVISSVTEISRIYAPNRPYDDDRQMEEILRQTVMTFKKLADVTSRSYRKVVRVLELFAKVRFTAMILDLENETLIIEIFKIFLEVIRPYHPHNIFTWMKEIMTRLIEGSDEISVELLQLLLDRVKIDNQMESPIASYLVEEVLKDCAAIVKPYFSDALKSMSFDPAHYAQTVALLSKEMPKGKGMMATENVPATIHCVKVGPSEAKCCELARQDDTHREKRKDTCLTNIMKPVNPEDVHPAENVPKENQEETGSHKLTGHPVKVGPSEAKFCEPVLQDDIHIHREKLKDTCTTNIMKPVNPEDVQPATNVPKENQEGTGSHKLTGHPVKVGPSEAKFCEPVLQDDIHREKLKDTCTTNIMKPDNPEDVQPATNVPKENQEGTGSHELTDSSGHHSLVSENHNNSTGSHGHLCQSKRKEIPINNDDELVVSIPIGDVKEIPINNDDELVVSIPIGDVPQSQVQKKDSLHLGERTKRTQCAKRKLGSDSKKNESASDCETERKSESGIKNEEGNLEEHKEPTLQQIFGIKLWKKKTKKTTNTEESRDKDSERARTSKDHGAELIGTKIKVWWPLEQAFYKGVIYSFDSETNKHKVVYDDGEVEKLRLHKERWEMLEDNSSQKDSKRLCSIKDYGTELVGDRIKVWWPLDEKFYEGVISSFDFETNKHKVVYDDGEVEILRLYKQRWMMLEDNSSQKDFKRTFTMKDYGKELVGARIKVWWPLDEKFYEGVVSSFDPVERKHKVVYNDGETEKLRLHKERWEMLEDNSTQKCNLHRTMD >Solyc06g074240.2.1 pep chromosome:SL3.0:6:46039703:46045958:-1 gene:Solyc06g074240.2 transcript:Solyc06g074240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIVYAVVARGTTVLAEFSAVTGNTGAVARRILEKLPNETESRLCFSQDRTENSVSHLSYPSLLPLTNAVLGRIPFSYLEDIQMRFMKNYGKVASYAPAYSMNDEFSRVLHQQMEFFSSNPSADTLNRVRGEVGEASIESMDACINSPQYPQFIKLSMIRTIMVDNIEKILERGDRIELLVDKTGTMQDNAFHFRKQSKRLRRALWMKNAKLLFLDLAPTSKPESLDVNISWVDPNSNRAQFDVIIIGAGPAGLRLAEQVCCVDPSPLSMWPNNYGVWVDEFENLGLEDCLDHKWPMTCVHINDNKTKYLGRPYGRVSRKKLKLKLLNSCVENRVKFYKAKVWKVEHEEFESSIVCDDGKKIRGSLVVDASGFASDFIEYDRPRNHGYQIAHGVLVEVDNHPFDLDKMVLMDWRDSHLGNEPYLRVNNAKEPTFLYAMPFDRDLVFLEETSLVSRPVLSYMEVKRRMVARLRHLGIKVKSVIEEEKCVIPMGGPLPRIPQNVMAIGGNSGIVHPSTGYMVARSMALAPVLAEAIVEGLGSTRMIRGSQLYHRVWNGLWPLDRRCVRECYSFGMETLLKLDLKGTRRLFDAFFDLDPKYWQGFLSSRLSVKELGLLSLCLFGHGSNMTRLDIVTKCPLPLVRLIGNLAIESL >Solyc12g006225.1.1.1 pep chromosome:SL3.0:12:744079:744669:1 gene:Solyc12g006225.1 transcript:Solyc12g006225.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEPQPAMAQQSIDIAETSKKKLNLPQIICLEAMKSSPPLSSSTPTSPTVLRKASSVKHSCLCSPTTHAGSFRCRYHRNSGLTRTSMSVGSKLSELAAGK >Solyc02g069110.3.1 pep chromosome:SL3.0:2:39637699:39640667:-1 gene:Solyc02g069110.3 transcript:Solyc02g069110.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGMNKMFLPTPLLLCAFFIFILQVVAEKPISEAKGESVILRESIIKEVNENGKAGWKAAFNPRFSNFTVSQFKRLLGVKPPREGDLKSIPILTHPKLKNLPKEFDARTAWSECSTIGRILGQFLHLIGHCGSCWAFGAVESLSDRFCIHYGLNISLSVNDVIACCGFHCGNGCDGGSPIAAWHYFIRKGVVSEKCDPYFDNIGCSHPGCEPTYPTPQCNRKCVNENLLWSKSKHFGVNAYMISSNPYSIMTEVYKNGPVEVALNVYEDFAHYKSGVYKHVTGEYIGGHAVKLIGWGTSEEGEDYWLLVNSWNKGWGNDGYFKIRRGTNECDIESNVVAGLPSARNLNVELDDDFLDTSM >Solyc05g013940.3.1 pep chromosome:SL3.0:5:7411038:7417757:-1 gene:Solyc05g013940.3 transcript:Solyc05g013940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIMDPATSRPALVIDNGTGYTKLGFAGNVEPSFILPTVVAVNESFVNQTRATTKNSNWLAQHSAGVMADLDFFVGEEAVTRSKSSNTYNLSYPIKHGQVDNWDAMERFWQQCIFNYLRCDPEDHYFLLTESPMTAPESREYTGEIMFETFNIPGLYIAVQPVLALAAGYTTSKCEMTGVVVDIGDGATHVVPVADGYVIGSSIKSIPIAGRDVTLFIQQLMRERGEHVPPEDSFEVARKVKEMYCYTCSDIVKEFNKHDKEPGKYIKHWRGTKPKTGAPYSCDVGYERFLGPEVFFNPEIYNSDFTTPLPDVIDNCIQSAPIDTRRALYKNIVLSGGSTMFKDFHRRLQRDIKKIVDARVLASDARLGGNVKAQPVEVNVVSHPIQRYAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >Solyc01g014539.1.1 pep chromosome:SL3.0:1:14144576:14144777:1 gene:Solyc01g014539.1 transcript:Solyc01g014539.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDVRSFRSMVGRLIYLTLTHPDIAFSVGLYNNLKNFILEKKKEFCIMLLKYGLWDIVFTSV >Solyc12g007040.2.1.1 pep chromosome:SL3.0:12:1482999:1485425:-1 gene:Solyc12g007040.2 transcript:Solyc12g007040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKNLYRSFSKRSRSVRLGRSDHDDIEGVKESISKSGGSKSSIWKVLWKKLSKEKKENKEGLRRSRSVHVQISRYDEYNYSQNFDNGFILDEPDHLLKSFSVRYADPSKLLLK >Solyc02g070740.1.1 pep chromosome:SL3.0:2:40940700:40941959:1 gene:Solyc02g070740.1 transcript:Solyc02g070740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISTLLGASSTSNHGQSICA >Solyc05g051583.1.1 pep chromosome:SL3.0:5:62813184:62815193:-1 gene:Solyc05g051583.1 transcript:Solyc05g051583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDILNMHPSSPILAQHKQNLLLKMQGIKLKIQKISEPSSARIVLSIDDLLIEILLRVPITSLLCFKTVSKRWLSIITHPHFSVLYPNRAIGLVLTCPYSLPAKPQYDYVHFDKKNPSKPPFKNLKFINDSSGISVLQSCNGLMLCSNSASRLAKRNYYVCNPTTKHYTTLPKSLLQTENSKIHGISLAFDPLKSPHYKVICVRDSVSSPQHYQIEIYSSQTGPWRLSGDPFIADVNFSKGVYWNGSIYWISTIGNLTCLYFNFDFESLRIMPMPHFPDDQGTTITYFGESFGHLHLTKISFYTIRFDVYEMRRDDSEWFIKYKVDFEHPYDHEFKYYNFAILSLVRGKREEDAFLVLAVVNDDKVMKYNFINKTFEKFCDYDVEDEQVFYCSTIGAFEYIESLCCV >Solyc11g065360.2.1 pep chromosome:SL3.0:11:51079518:51102945:1 gene:Solyc11g065360.2 transcript:Solyc11g065360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEVTSSSNDIEKGVMHSQGVAYLVWEDLTVMLPNFGQGPTKKLLHGLSGYAEPGRIMAIMGPSGSGKSTLLDTLAGRLSTNVVMTGNILLNGKKRRLDYGVVAYVTQEDTLLGTLTPRETITYSAHLRLPTRMTKEEVDDIVEGTIMEMGLGDCADRLVGNWQLRGISGGEKKRLSIALEILVRPRILFLDEPTTGLDSASAFFVVQALKNISRDGRTVISSIHQPSSEVFALFDDLFLLSGGEAVYFGEAKLAVQFFAESGFPCPSRRNPSDHFLRCVNSDFDVVTATLKGSQRLRETHKSDYLMNMATAEIKEMLASKYKHSPYATRARSRMRELLATQGVEIEIVKGSQAGWGKQLLTLTRRSFVNMSRDKGYYWSRIVIYIIVAFAVGTLFYDVGTSYTAILARGACGGFVTGYMTFMSIGGFPSFIEEMKVFTKERLNGHYGVAAFVLANFLSSFPFLVAVSLLTGTITYYMVFRARFFRYVFFCLNLFGCIAVVESCMMIVASLVPNFLMGIITGAGVLGIMMMTAGFFRLLPDLPKPIWRYPISFIGYGAWGLQGSYKNDMIGLVFDPLIPGGEKLKGEDVITNMFKLSLDHSKWWDLLALYSLIVMYRVLFFIILKLKERATPFFRSMYAKRTMHQLKRRPSFKRKPSLSYSKRQHALRSLSSQEDVTVVLPKFGGGKGPTKRLLNGLSGYAEPGRIMAIMGPSGSGKSTLLDTLAVRETIQYSAQLRLPSNMSTDEVNEIVNNVIIEMGLEDCAQNLIGNWHLRGISGGEKKRLSIALEIITQPQLLFLDEPTSGLDSASAYFVVQLLKNIACGENGRTIICSIHQPSSEVFALFDDLFLLSSGETIYFGEAKLAVEFFGDSGFACPTRRNPADHFLRCINSDFDDVTATLIGSRRINILYLKKVEGAKQVGLSNCRSLKNMSRDFGYYWLRIIVYIILSISVGTVFYDVGTGHNAVIARGACGGFISGFMTFMSIGGFPSFIEEMKIFEKERKSRHYGVGVFILSNFISSFPFLVFMSMSSAAITYSLVKFHPGFFHFLYAAIVLLSSITVVESCMMVVACFVPNFTMGLVVGAGILGLMMASAGFFRLMPDLPKVFWRYPISYINYMAWGLQGAYKNDLIGLEFDPVMPGEQMKKKMKGEEIISSVLGFSIKHSKWCDLGVVIAILISYRLLFYIILKLKEKALPILHTLYTQTTLQHLSKRPSFRITPPFPSKRYNHIHSLSSQQHLDSPLH >Solyc05g010040.2.1 pep chromosome:SL3.0:5:4238752:4240332:-1 gene:Solyc05g010040.2 transcript:Solyc05g010040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIHKTFSFLFIAIFFLIFCLSSTTSCTRLLQARRAIMADDDNGDYNQDNNNNDDDYNDASSPAIPPEYVGIPMRPHSSKPDHSATDQHPPPAVIHPPPGKILSNIYLSYFFLIFHSIFCIYIKI >Solyc08g081385.1.1 pep chromosome:SL3.0:8:64588736:64591776:1 gene:Solyc08g081385.1 transcript:Solyc08g081385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCGKVDRRYKHYCDQMKGVVSSFEAVAGNGAATVYSALASRAMSRHFRCLRDGIVAQIKATKMAMGEKDSTTTLIPGSTRGETPRLRLLDQTLRQQKAFQQMNMMETHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQACPFLHHNILMI >Solyc08g081390.3.1 pep chromosome:SL3.0:8:64567909:64573642:-1 gene:Solyc08g081390.3 transcript:Solyc08g081390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNLFSKIYPPSITINHQKLQQNSSTIRCCSNSNHDEHSSTEHNGWILNGFPEKNLVQHQHGIVKPPRPKRIILVRHGESEGNVDESVYTRVADPKVGLTEKGVIEAEECGRKMREMIEKNDGNDDWKVYFYVSPYKRGIETLRNLAKSFERSRIAGVREEPRLREQDFGNFQDREQMKIEKAVRARYGRFFYRFPNGESAADVYDRITGFRETLRSDIDIGRFEPPGQQSPNMNLVIVSHGLTLRVFLMRWYKWTVEQFEGLHNMTNGGMIVMERGYGGRYCLSMHHTKEELQKFGMTDEMLIDQEWQKIAKPGELNYDCLITGPSYFTHFDDEDKIFEL >Solyc08g006580.3.1 pep chromosome:SL3.0:8:1179882:1187827:1 gene:Solyc08g006580.3 transcript:Solyc08g006580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQQQESSRPWILEALPLLVVILIAAHVLALVYWIYRVATEKQPQRSKKH >Solyc09g009340.2.1.1 pep chromosome:SL3.0:9:2707277:2721127:-1 gene:Solyc09g009340.2 transcript:Solyc09g009340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGATPLGS >Solyc01g008935.1.1 pep chromosome:SL3.0:1:2889601:2890042:-1 gene:Solyc01g008935.1 transcript:Solyc01g008935.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MECFSSLGWFCISNPSFIGLKSKKKYSLSRKCRSFNEVGSKCVSMSYTENESSGVSYKKLIHFALEETNTHTLLSPSPLQCGTLFVSAILEVGLKISHPYYIYEVGFANS >Solyc07g064650.2.1 pep chromosome:SL3.0:7:66839607:66840206:1 gene:Solyc07g064650.2 transcript:Solyc07g064650.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSSEGRYNLYSQGSSGWTSMRNEEFQEEEIWGNFINERREFSSNFNRNKESSSPIFSPRRLPTAAKMIQRSSKSSIQEPKIIQHSAPVNIPDWSKIYGTNFNKNLSRRDDFDYDDDNYDGGGHNLTKNMCEGVGRTLKGRDLSRVRNAILSKTGFLE >Solyc12g087830.2.1 pep chromosome:SL3.0:12:64305916:64318169:1 gene:Solyc12g087830.2 transcript:Solyc12g087830.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRRKVEIKRIQDKNCRQVAFCKRRKGLLKKAKEISILCDVDVAVVIISNRGRLHEFSSNNSMTAMLRRYESHVGAEKEINAEIQVAEVSGFTTMGELLQTTERQLEETNADGLTLTDLIHLENELQTALIHLRARKTHLMLESAKVLHEKEKLLLEEKKHLEDNIASIKKNTKVNEMSDLPAPHMICGQQKVTLNFF >Solyc05g015930.3.1 pep chromosome:SL3.0:5:13047764:13056345:1 gene:Solyc05g015930.3 transcript:Solyc05g015930.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEGNLDLPDDLLSSKTSDHSKGNDDNKPFMGQLDISKDQPMVDSSIPLSPQWLYVKPSDTKMEPRPPSSLSLGSSVDSSQKDAWRTDVPEDKKDWRRTTMETESSRRWREEERETGLLGRRERRKTDRRAEHDVNNRNSGVDTRRDNKWSSRWGPDDKEKENRTEKRIDVDKEDVHNDGQTFVANHTVSERESDSRDKWRPRYKMEGNSAAPSSYRAAPGFGQERGKVEGSNVGFNLGRGRSTGTIIRTSSGGAIGASPFENSVPGKSGISTGIFSYPRGKALDIYRRQKLGSSLCSMPENMEEAPPVTQVIAIEPLAFVVPDAEEEAVLNDIWKGKITGGGVSNNSFRKGQSMDNVTETGDTEPNNTKIGAPSADVTEETVDGLLKTSIRVEAYSFVYENGVRVKFDGGDNHEGQKDNHSEAIAADGSLLTRERADNSDCFKYISGSQFDISMQSLPDSGATKTPIFENNQHVAFDGSLKVSDDSNSAFVKSSSEIYWNNLLGRGIPPEELSLYYRDPQGEIQGPFLGADIISWFDQGFFGMDLLVRLEDAPEDSPFFELCDVMPHLKFEHEHVGNTNLSQAEPSAVLEGKLDPDLRSSASVPEMVGYSAFDGSSWPPSDFDGIGGHRVQSIPDHPARQFKPAYLHSEDFNNFVVQDEEIVFPGRPGSGGNAIGKTSTGLTDPSKIHRATPSAICEGGVPDHEGTLHPLGLLWSELEGTEGKNGPIFDVPFRGSGQDQVLNSGAARVGPFGAKTDSTSALETWTDAYRRNAGSELNIYHDAMDASRLLHQDHELNRFELADKMFPQQLQQQRPHNLISSHNSHLNEAMMERGKNHNSIHQPQLASQTGQDLEHFMALQLQQQRQLLQLQQLQQQQQFHQQQMLMKEQESHARQLVLEQLLQRQVHDPSHTQSRLDAIRHSSALEQVLIEQQILSELQQRPHLPPRHAEPSIEHLIQAKFGQIPHQGPQNDLMELLSRAKHGQLHPLEHQALQQEQAHERLRQRLEMEEDRQIGAVWPADETGQYLRNSGVARRANSGFGSLDIYQQQQMPPAEEHVSHLQRNLSMQDRIQRGLYDTGFLPLERTMSVPGGGPGVNLDAINPLVRAQGLEMQDPNSRMHSAGHMPGFSSGIHLQSPHRPLFSSQFHAPNVDTIENHWSERNGQLPADWMETRLQQLHLNGERHRRDFDVKRASEDQSMWMSAGANDDSSKRLLMELLQQKSGQQSTEQAEITRGILFERGFQSGHFSTTNASNRSFNPLLDQDTSLNQAFSVGSYGSNSGFPPQRDHVNEIAGSLDACERLPFQSHSGAFAEPEPVFSSINDASQVHLEARESIVRQAGVATVEGEMPINLLSRHTSLGTGDYNVFKSSSGGSLDSYNDKNDRRDSAAEEIPKERVVVTSKRSDNILPKYPPVLRVSSTQEGLSEIASDGLVRGKNSSDAMASEGGRREVGGNAANQVPSAMTSEKKDGRFRRTASCSDADVSETSFSDMLKSNVKKATAQEAHASEALDATQYARSGKKKGKKGRQIDPALLGFKVTSNRIMMGEIQRIED >Solyc11g019930.2.1 pep chromosome:SL3.0:11:9809891:9824176:-1 gene:Solyc11g019930.2 transcript:Solyc11g019930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNLWVFWYLSVVCFLGCRVLGNVVLVGKNETLSFEDIEANFAPSVRGSGKCGILYVAEPLDACSTLTNKVEPVKNSTHDLFLLIIRGGCSFEDKVRQAQAAGSKAAIIYNDEYGDLVAMAGNSAGVQIPAVFVSRVSGEKMTKYAGDIDVEVWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRDRPRASRFREFHGMSSHLVKAMPSLIFTSVLEDNCTSVTCAICLEDYIVGDKLRILPCRHKFHAMCVDAWLTSWRTFCPVCKRDARTSNGEPPASESTPLLSSSLASLSSLSSLRSSLASSSAIQIGQGASRSPSVSRPQSISSTPYNHQSLQSYHQSPHLTISRSSLDLQNASSQRSRASYLISPHSLGYPSLSPLNSRYMSPYIPSPGNASSSYIGSSSRHPNPLRHSESTTSFSPFASAQSLPGCES >Solyc04g026140.1.1.1 pep chromosome:SL3.0:4:18779153:18779569:1 gene:Solyc04g026140.1 transcript:Solyc04g026140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSVLNMRALTSFIIIGFFGFTSFVKSEPNMKVVSYICNGNKYDMSGSFANSLAYVLEALQNETPISQGYNYYVTSPYPNDALAYGHATCSSSLINSDCAICANYAKSYLMTACDRGIGAQIELIDCSMRYEQYSFT >Solyc11g065970.2.1 pep chromosome:SL3.0:11:51891704:51892579:-1 gene:Solyc11g065970.2 transcript:Solyc11g065970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHYFKSIGKTTNVILTANQSPGRYYMAARAYATIRNGQFDNTTTTAILEYTNLYSGANSRPLLPQLPDHPIHLHGYHFWVVGQGFGNFDAETDTADFNLIDPPVRNTIDFSIGGWAVIRSVADNPGKEPDFITRNILVWQGLGCLFHRRKWNWR >Solyc01g014531.1.1 pep chromosome:SL3.0:1:13980925:13981573:-1 gene:Solyc01g014531.1 transcript:Solyc01g014531.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSVSLVFQFMHSPLDIHFTAVKHILRYLRGSINHGLFIPGGLIGSLTCYTDADWAVCPTTHRSTSAFASFLKQSFVSRSSAEEKYRSVAHDTSKISWLLSLLADLQIQLSFPSTIYCDNIVSSLRAKCGSKNADLLTKSLPYAQFSFLHDKLNICRDPASLEGNDKYMYS >Solyc01g100010.3.1 pep chromosome:SL3.0:1:89957452:89968203:-1 gene:Solyc01g100010.3 transcript:Solyc01g100010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEHLYDLPEDCISSIICLTSPPDALKLSQVSSIFRSVAELDCVWDAFLPSDWQHIATKSVTPLKFSSKRHLFICLCNSILIDDGNKSFALDKVTGKKSYLMSAKELSILYGDELTHWNWKAIPESRFAEVAELKTICRLEIEGKMKTGDLSPNTTYGAYLLMKISDRSFGLDSIPSEVSIIVGDQEPVNSSVYLRHPRSKKLESLFYRNRVETLKARVNKGEEKMVGEREDGWLEIELGEFFNGGYGDEEIIMKLMEVKGCHIKGGLIIEGIHFSLVSSFLRLVAASDFVWQTLLPSDYNKIIDKSVIPLNYSSKKELFIRLCNSILIDGGKKSFALEKSSGKKSFIISAGELSLLYGEEPDHWTWKSLPESRFSKVAELKVICKLEVKAKLMTSMLSANTNYGIYFIMKITDRAFGLSSVPVETSVEIGNQKDVHTATLDHRKDEKDLPEGKQRYERVPYKREDGWMEIELGEFLNSGDDDEDEEVTVSLKEVKGCHVKNCIVQILLFTSPHDASQLSLVSTMVRDAALSDLLWEKFLPFDYREIIERFVLPIAFSSKKDLFLKLFKPLLIDGGSKSFSIDRRTSKKCYMLSARELSITWSTNPLYWCWKPLLHSRFPEGVELIMVCWLEIKGKINTRMLSPRTTYGAYLIVNLAGRAFGLDSLPSEVSVKVGDHESKGIVYLRHNNDNKRKQEFEMVIMRHRVETLRSRVDRSGGQEHVLCERDDGWLEIKLGEFYCDGVNDQQVTMCLREIKGEHLKGGLIIEGIELRPKLIY >Solyc10g080360.1.1.1 pep chromosome:SL3.0:10:61780645:61780836:-1 gene:Solyc10g080360.1 transcript:Solyc10g080360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFSIVEAFVLVVVLFAVASSAQEVGLAPAPSPDAGAGCSVAISGVLIGTSLICSVFALLRN >Solyc08g079530.3.1 pep chromosome:SL3.0:8:63161192:63164691:-1 gene:Solyc08g079530.3 transcript:Solyc08g079530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASAIPVVQSGRIRVVKQGSGPLVGPVVYWMFRDQRIRDNWALIHAVDQANKANVPVAIAFNLFDQFLGAKARQLGFMLRGLEKLQGNLESTLRIPFFLFQGEAIDTIPNFLKECGASLLVTDFSPLRDVRSWKEKICERVDESVTVHEVDAHNIVPLWVASNKLEYSARTIRGKINKLLPEYLIELPAIEPLKIKWSSSNAPIDWPKLVSDVVRKGAEVPELEWCEPGEDAAFEVLMGSKKGFLTTRLKTYSTDRNNPLKPQALSGLSPYLHFGQISAQRCALEANKVRKNYTQAVDTFLEEMIVRRELSDNFCYYQPQYDSLLGAWEWARKTLMEHASDKREHIYTREQLEKAQTADVLWNASQLEMVHYGKMHGFMRMYWAKKILEWTNGPEEALAITIYLNDKYHIDGRDPSGYVGCMWSICGVHDQGWRERPVFGKIRYMNYAGCKKKFNVDGYISYVKRLVGESKKRKAEVILDKKAKELRN >Solyc02g089050.3.1 pep chromosome:SL3.0:2:51573015:51578702:-1 gene:Solyc02g089050.3 transcript:Solyc02g089050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSARMLSSITEDNKDLHKKIGCMNGLFQLFDRHHFLIGKHLHGQNHKRLLTVEIRNQGKFEDLDSRRDERQSIMQTWLYLEVFTKKSHHWFMCPEGVTDKMETKCTMQLATEKTPRDVARNKVESSKANPKVEQSKKPQEEQPLCGQRNLPESPSKTLSYKQPSSPSHSGRQSPDFRDVVKDSMHREARSLSVKTVTKVEGKLHVMKHIDSPRPFQQPNCGKPSDGTRNVTAKFRDAPRNSKDDLKHAPRDHPRFSYDERDSREAMRSSIRLKDLPRLSLDSREQSFRSSASESRSNFLLGDHKRSSSVVAKLMGLEALPNSIPSNEVDTVIPKSFSTNNSVSVSIKTAEKSKNNQVTRFSQINEKDFGSPRMKSTNSIMRAASTSRLPLEPAPWRQPEACRTPLKSSARNTDVELSIQSPKLSSSVYGEMEKRITELEFRKSGKDLRALKQILEAMQKTRARLDVQTEELADSDANLEIVQKRQQCNLLSPTIKGTRPPKRSESFNITMKQSTLRYNICIACSPFLIANVPNFQELFTKDLVYNREDTADKKTWKDVTPRAKNIRDSGWLLPSPDRKTKEGTSRAVQNPTLRQQKEGSYPAIGRSSGTASPRPLQKKKQSCPTTTSPEFSRVRRQSIKQSKESGSSKRRLQAKPNNLLRVDEESSEISSSTRNFSEQSDAASLQSESNNSLSSHAEGEVTSRNHCVRVNAKRLEDSKDKSDILRLNEDRTMAELAISTIEQPSPVSVLDATFYEEDSPSPVKKKTTAFRVEDATDELWYLEYQDHSPYSKRIDLGTEATTQKKLEHIKDLVNQLRLLDSSYGASTDQFGSLSQNHNPDHRYITKILLASGLLKDVDSVSMAIQLHSSGHLIDQKLFHILEQTEERVIPATEHSKTSARIEFNQKMHRKNVFDTVDEILACKLASESCLMQGQDHLSAQQLQKEVQSDIDLLNAKKVGMDSEEDDLISILNADLRHQSEDWTNGDSEIPSLILDVERLIYKDLITEIISDEAREQQIRTKRHCRQLFTN >Solyc04g071160.3.1 pep chromosome:SL3.0:4:58164741:58170945:-1 gene:Solyc04g071160.3 transcript:Solyc04g071160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPKFTGKPISIPFLPGRIDLDQMADTPTRIARHRRAQSETFFRLPDFDDDILLDDVVADFNLDISAPALSPSTDTHMQPANSADSSSNGPGADHNPRPLNHFRSLSVDADFFDGLEFGEAGATTPAASEEKKMMGLGSGSSSRHRHSNSMDGSFSAASFEAESSVKKAMAPDRLAELALIDPKRAKRILANRQSAARSKERKIRYTSELERKVQTLQSEATTLSAQITVLQRDNSGLTTENKELKLRLQALEQQAHLRDALNEALREELQRLKITAGQMSAANGSRGPPRPHFPPQPQSFVQCGNHHTQQQQPHMPQSTTSTQDIGGQTQPSFMNFNNRG >Solyc05g014810.1.1 pep chromosome:SL3.0:5:9016791:9017504:-1 gene:Solyc05g014810.1 transcript:Solyc05g014810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone H2A [Source:UniProtKB/TrEMBL;Acc:K4BYJ9] MVGKVKNHGSNAKRRSRSSKAGLKFPLTRIARFLKVGKYAKCVCAGAPVFHAIVIEYLAVKMVGKGKNLGSNAKRRSHSSKAGLKFPMAHIAQFLKVGKYAKRVSAGAPVFLVAVLEYLAVEVLELAGIAARNEKKTRITPRHIQLAIRFD >Solyc06g072700.3.1 pep chromosome:SL3.0:6:44973995:44976460:-1 gene:Solyc06g072700.3 transcript:Solyc06g072700.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEEEKKVEEVKKEEAPKDEKPSDGGGGEEKKEEKKAEEESKEAKPEPPPPPPPEIVLRVFMHCEGCARKVRKSLKGFQGVEDVLTDCKTHKVVVKGEKADPLKVLERIQKKSHRQVELLSPIPKPPEPAAEEPKKPEEKEAVKPDEKKEEPQVITVVLKVHMHCEACAQEIKRRIQKMKGVENAEPDLKNSQVTVKGVFEATKLVEYVSKRTGKRAVIVKVEPEKKAEEEAKPKEDQKEEKKTDEKEAKKVEGDDKEEKKEEAAAAAPAKEEAVVAAAPAKEEAVQNDVDPIVDVKKNEFYYYHHPQNHQLYNNPQRLAHEMFAYPPPPQIFSDENPNACSVM >Solyc01g079980.3.1.1 pep chromosome:SL3.0:1:78975437:78976889:-1 gene:Solyc01g079980.3 transcript:Solyc01g079980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding LYPNLTMVSKIISLSFFFPLLLLFSLSSAKTPSKPRAFLLPITKDEATKQFITTIYQRIPLVPAKLTIDLGQRFLWVDCDIGYVSSSYKPVPCGSIPCKRSFSGACVESCVGSPSPGCNNNTCGQSIYNPFIRTSTSGELAQDVVSLQSTDGSKPRKYLSTTNGVVFGCGSTFLLEKLAKGVNGILGLGNGYVGFPTQLANAFTIPRKFAICLTSSTTSRGVIFFGDSPYVFLPGMDVSKRLVYTPLLNNPVSTSGSYFVGEPSVEYFIGVTSIKINGNVVPINTTLLDITKDGKGGTKISTVDPYTKLETSIYNALTKAFVKSLSKVPRVKPLAPFEVCYNRSSLGSTRVGPGVPPIELVLGTTSWNIWGSNSMVAVNDDVLCLGFVDGGVEFEPTTSIVIGAHQIEDNFLQFDIANKRLGFTSSLLFSQTTCANFNFTSKA >Solyc01g098830.3.1 pep chromosome:SL3.0:1:89109893:89114578:1 gene:Solyc01g098830.3 transcript:Solyc01g098830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDGGNAAELPASDPNPCPICLAPVTEESYLNQCFHKFCYSCILRWIKVVSSKHSRAPASVKCPLCKTENFSIIRSYSGGFFQQHSVNGNLDNSGFFTKSHKYRLQCYYTDEGGLFDKFNVLRYWKLQKYLQPNPWLISWLRREIQSLTQEEDVDIIVHHILGVVDSFRRNEQKHIKVAPQAKQEEFKIMVSEATRPFLTGRTDRFVNELELFLASSLTVDAFDRVYIQHLGWKIPEMMGESEVGEPVEHAPLVPYLYLFDEVSDGNE >Solyc08g077820.3.1 pep chromosome:SL3.0:8:61846566:61848596:-1 gene:Solyc08g077820.3 transcript:Solyc08g077820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGQARYLCVIGRARLVPSWFAKVHPTTGTPLNATIVLGICQASIALFTELDIVIEMISIGTLLVFYLVSNALIFRRYVILSKNPPLHTLLFLFLLSSTSFAFSLSWKFKLHWWNLTLFAGLIFFTTVIFQYFVPMVVMERQESWLVPFMPWPATISIFLNVFLMTTLKMVAYKRFGIWTCVITIFYVLYGVHSTYHAEEILEMVVVVDNVNVNSSTQQQITKVDVQLV >Solyc10g018920.2.1 pep chromosome:SL3.0:10:10876052:10878322:-1 gene:Solyc10g018920.2 transcript:Solyc10g018920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATGTYVRLTKDQESSLQNITPGELNQPIDVQKQSCAKCEHCGQNLPPSYEPPADEDWATGIFGCTEDVDSCLVGLFCPCVLFGRHMETLNDEISSRGACVGHLVCIEGGIALAALTAAFHGIDPDTACLITEGLLFSWWVCGIYTGMARQMLQRKYHLKDSPCDPCMVHCCLHWCAMCQEHREMKLHLSCNDNPTTTLVDPPPLQEMTTTTENNDDQVVADSSGKEIVPYEDLDIESP >Solyc11g028025.1.1 pep chromosome:SL3.0:11:20086982:20090489:-1 gene:Solyc11g028025.1 transcript:Solyc11g028025.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFLNILAHHEKNRSIKVDYIRSGWSISHAFNECLSAILKLTPLLLVNPKPVLEDENEDQWKWFEVGCLGALDGTYIPIRVPIQHKPRYRTRKGEITTNVLGVCDRNLNFTYVLPGWEGSAADGHVLRDAIVRRNGLKIHEGNYYLCDGGYTNGKGFLSPYQGYRYWLKDWRGDNPSPRCKEEIFNMRHARARNVIEREFGLSKGRWGILKSPSWYSVKVHNRIISACCLIHNIIRREMEVDSLDIDVEEQMKYQHENINVVESSEEWTTWRDELSQSMWNARF >Solyc05g025730.2.1 pep chromosome:SL3.0:5:36100457:36101670:-1 gene:Solyc05g025730.2 transcript:Solyc05g025730.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESITHLFLTCSKVASFMEEVGTLSQIFSLCWILDFGIIRHCGHCRSLRHRITCFAQDCLLQRLGDPTVYHVYREKNKLVDSLAVLDFYNRGSIPTVWTTTFSSPPPSTLVVLCADKQEEEFLRRINIASAHSSSMYIHGSPSSFSSAPVMDAIQGYSRKASDPNLFTCSLIGGPDAYDNFTDRTDNYDQTEPATYNNALFISMLARLHSGNNGYNQLLP >Solyc04g015220.3.1 pep chromosome:SL3.0:4:5406932:5412127:-1 gene:Solyc04g015220.3 transcript:Solyc04g015220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAATYGALTSVLGTIEKVLRSNHREYGWTAKLKSLYELFDSLLGKCDVGEAIISKDLLRRIKDVAVYAEYEVESLMNQLIIVGLNDECCGAKLDYVCQHVIQVTHSVNNISCPKKSVSPRLDDSIHENFMEGYNEERKNMVARLTYGSKKLQVVSVVGMAGIGKTTFAKTTLFDINKEDFPIRGWITVSENYDLRELLLRLLRDVIEMKDKDFDGMDNGQLAAHLKQVLQGKRYLIVVDDIWSNSDWDNIRHWFPDCGDKSRILLTSRDKKVGAYAAKDGLVLMRPLTQDESRNLFYHRAFGKNNTIRRSDIGKFKKVGEKVITNCKGLPLMITAVAGILRSKSKLYEWMEVAESVSSLVNDDAYKQCLQVVALSYNNLPSLMKACFLHFGVFPKSHGIPVKKLTRLWIAEGLVYPNGVEEFEQAADRVLHDLIGKSVVIVDKLSWDGKIKTCRIHDVFHDFCSKESESENLLCVCSSDSTTISQVHTKFRQGCRWMSVQSMIDFSNYRRYTPIEIPSFYMLYDVVIFDPKVFHFKLLRVLDLEVHNIIGLNEVTRDLVCLRYLAVGTSYLDFVDLPITNLWNLHALILGKSFVMNPRKRKVFTFPEDIWQMTQLRHLYAKGIHLCSPGDNKVLGNLQSVSGLSPCCCTKEIFEGIKKVKKLVIRGTREEFPTDVKWIDNLKYLQHLESVSIENIDFIFQKNTTSFFSLTSPDSFPQKLKELKLRCTYLPWECISIISKLPELEVLKLKSKSLIGNEWKATDQVGFPKLRFLLLDNLPLTEWENTTGSHDHFPSLERLIITNFKFLEEIPQGFADSKKLELIELHYCNPSLVDFAKNVQVEHEEVLGRNKLKVTAFNTGLKWQRGIFASRNNRIPGLVPSSS >Solyc05g016344.1.1 pep chromosome:SL3.0:5:15906003:15914182:1 gene:Solyc05g016344.1 transcript:Solyc05g016344.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPKNLALCWSFATAQLLVDGCSPPSKAPYGAPSLFQKKQALKKTIVKNKYMVPLVLNLMDRLSKACWFTKHDLRAGYWQVPRHVKDLRTFLGLANYYRIFIAGYTKRAAALTDLLKKRHKMGVV >Solyc07g005145.1.1 pep chromosome:SL3.0:7:167527:168168:1 gene:Solyc07g005145.1 transcript:Solyc07g005145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNENKNYCIRVNAPKSDVFSFGVLLLQITSGKKNTCYYGPDDNLDLLDYKYQFKDGDGMEFVDQSLDDTTHSCKLLKCMQIALLCVQKNPLDRPTMLEISSMFKNIENLVINTPKRPAFSTRQDEDQVGNIIPNGEFDIDNATITQLVAR >Solyc01g057340.3.1 pep chromosome:SL3.0:1:60498103:60508172:-1 gene:Solyc01g057340.3 transcript:Solyc01g057340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICERIANRSFKIWDLGLNLIDLSLKLLIVMMLNLRRLWLSGNKLFILWLMIILCV >Solyc07g006020.3.1 pep chromosome:SL3.0:7:862875:866227:1 gene:Solyc07g006020.3 transcript:Solyc07g006020.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRRGKKISKLRDGERVDSADRIDKTIRKNDQKLDRRKKLVKYEALPEYLQDNEFIRDYYRCEWPLKDIALSLFSWHNETLNIWTHLGGFVVFVTLTVMSLTEKTTTVENLFAGIFRGTGDEWMNMKNNGSGDSFPDSYARQITNPSFLDINRDGYEVAIWPWFVFLGGAMGCLIASTLSHLFACHSLRYNLFFWRLDYAGISLMIVCSFFCPIYYIFCDQPHWCIFYLTTITIFGILAIITLLSPALFSGRFRSFRATLFLAMGFSGLIPATHALFLHYGHPQVLLALSYEIIMGILYATGAVFYMTRFPERCKPGAFDLVGHSHQIFHVFVVAAALAHCVASLVIMDWRRGMGLPTLQ >Solyc02g068060.3.1 pep chromosome:SL3.0:2:38674728:38680107:1 gene:Solyc02g068060.3 transcript:Solyc02g068060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGCLKIFVIVVFIWALLIPNTHQLGSYETQILLQLRRHLEYPVQLDVLENYGGDFCSLGSTLNMSIICENNSVTELKIKGDKLVKVNEFHGVAVPNNTLSERFSIDSFVTTLTRLSSLKVLTLVSLGIWGPLPVKIHRLSSLEVLDMSSNFLFGKIPSEMSTMVKLHTLTFDGNFFNESVPEWLDLLPNITILSMKNNRLKGKFPHSISRITGLTDIVLSHNALSGELPDLSALSNLNLLDLRENHLDSELPLLPQGLTTILLSSNAFSGEVPEEFGKLNQLQHLDLSNNALTGTPPADLFSLPSISYLNLAFNVLSGSLPEHLNCGSELGFVDISDNRLLGMLPSCLNASSDKRIVKVSGNCLLDIQYQHSESYCKQASLAKKRTTGKEIAILVGVVGGTVILVVFLAVVILIFCRRQHARHDMDRYMFPKVVQDNAQPNISAELLANARIISQTAALGSQGAPSYRVFSMEELGEATEIFDKSALLGEGSIGKIYKGKLENGTYVAVRELTVHRRCTSWNFKLRMDLLSKFRHPHLVSLLGHCIDDGVQDDSTVHRLFLVYEFIPCGNFRARLSETTPGKVLNWSDRLAVLIGVAKAVHFLHTGVIPPSFGNSLKTDSILLDEHQIAKLSDYGMSILTEESEKVEAKGDGHNTWNTRKKEDDVYNFGFILLESLVGPFLSGKGETFLLNEMTSFGSQDGRRKIVDPAVLTTSSQESLSIVISITNKCISPESQSRPSFEDVLWNLQYAAQVQATADTDQRSDATSLS >Solyc03g117830.1.1.1 pep chromosome:SL3.0:3:68328030:68328209:-1 gene:Solyc03g117830.1 transcript:Solyc03g117830.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWANTLLLIGSAFITRLKVIPSRWFIPFNQKIGRPEETFPRLRYLLGGLSPIGTVYLGL >Solyc03g097420.3.1 pep chromosome:SL3.0:3:61180973:61182537:1 gene:Solyc03g097420.3 transcript:Solyc03g097420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:HVA22-like protein [Source:UniProtKB/TrEMBL;Acc:K4BJF9] MSKLWALLTHLHALAGPVVMLLYPLYASVIAIESTSKLDDEQWLAYWILYSFLTLMEMLLQPILQWIPIWYEVKLGMVAWLVLPQFRGAAFIYNKFVREKLIKKYGSSYIQHKSQSPDGKTKNKIVDFITLKKGDH >Solyc06g009695.1.1 pep chromosome:SL3.0:6:3643935:3644389:-1 gene:Solyc06g009695.1 transcript:Solyc06g009695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIPLAEMVDFLMDFWELEGLYD >Solyc02g065570.1.1.1 pep chromosome:SL3.0:2:37299465:37299617:1 gene:Solyc02g065570.1 transcript:Solyc02g065570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMNNVGGSTHDENSKKKMSSRRLGKFLKEQRGRLYIMRRCVVMLLCWHD >Solyc08g074307.1.1 pep chromosome:SL3.0:8:58557732:58558874:1 gene:Solyc08g074307.1 transcript:Solyc08g074307.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTIPTSLSKPISTSKFGSQFTTKQRTSAIVCSLNQTVFNSTEESSSSLKAFSAALALSSILLSAPVLPASADISRLTPCKDSNQFAKREKQQIKKLQNSLKLYAPDSAHALAINATIEKTKRRSGRAVNTIANNSNNLTIHEAMKPDSPVTYTTFPTPLFLGSIPFPDVIQKEYRNVIQFGHKAYVRVFMVLEVFSKASL >Solyc08g074335.1.1 pep chromosome:SL3.0:8:58577228:58578024:-1 gene:Solyc08g074335.1 transcript:Solyc08g074335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVYSTVTSSLFAPAIRASSSVPPPPPKSLAVKSDYVATGESVQKWPGWPGDNIFKLVVPIAKVGGIIGRRGEVVKRMCKETGATVRVLESSIGNADQIVLITGRENPDVEVSLAMDAAIRIFKCVAGLNNDDPGAVAAFVSSKPFT >Solyc06g064525.1.1 pep chromosome:SL3.0:6:40310008:40314550:1 gene:Solyc06g064525.1 transcript:Solyc06g064525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTYLSTSSSDMASTSWESNHQVSGLTRWSWLLAQQGSVLPHRRRTSDSVRAGTSGFECTVSKSERSQRLNAVPINRRHVLNFKNKKRRDEQKEDGTYDAVISKNKRKVCEGGPICVGSSIDSYRPPLQAPDLQQPEAF >Solyc04g072375.1.1.1 pep chromosome:SL3.0:4:59480590:59481093:-1 gene:Solyc04g072375.1 transcript:Solyc04g072375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAFTKFFSYFTKNINIFFSSWLPQALRFLILLLFVSISVFVQKLEKHNCRKIINKKSTKFVYKKRKLLGFVATESLDCSICLSDFEHRDKGRKIVKCSHIFHENCLDKWLMQGKGQATCPLCRSVIIPENMADVHRKVKDEGLRFAMFEEELALILLSGLTSGCSN >Solyc05g007460.3.1 pep chromosome:SL3.0:5:2030059:2033824:1 gene:Solyc05g007460.3 transcript:Solyc05g007460.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSVNIDQQGKGGYVSDEVLGTFIPIVVYWVYSGLYLMLGNMDNYRLHSKKDEDEKNLVSKKEVVKGVLLQQIVQAAVATVLFAVTGDDGESGGDQHASIFVLGRQLFVGMLMLDTWQYFMHRYMHQNKFLYKHIHAQHHRLIVPYAFGALYNHPLEGLILDTIGGALAFLVSGMSPRTSIFFFSFATIKTVDDHCGLWLPGNLFHIFFKNNSAYHDIHHQLYGTKYNYSQPFFVTWDRILGTYMPYELERRPEGGFEAKPVKDCKEH >Solyc06g069530.3.1 pep chromosome:SL3.0:6:43412772:43415523:-1 gene:Solyc06g069530.3 transcript:Solyc06g069530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Biotin carboxyl carrier protein of acetyl-CoA carboxylase [Source:UniProtKB/TrEMBL;Acc:K4C8C6] MASFSVPCPKISVLSVASSSNLSSTHQPQSSLSFRSGPALLPRIQGSSRIQSQVFKVNAQLNEVAIDKSNNSKPVSEKSLEEVSKTEYSIPDASSIQAFMSQAADLVELVDSRDIVELQLKQNNCEILIRKKAALPQPPVYMASPSVPQAFVQQQLPPASAPAPAAPAVAALPPPAPSKPKSSHPPMKCPMAGTFYRSPAPGAAPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQAGTIVDIVAEDGKPVSVDTPLFVIEP >Solyc01g009335.1.1.1 pep chromosome:SL3.0:1:3465892:3466665:1 gene:Solyc01g009335.1 transcript:Solyc01g009335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSKIKQWNFRKLFISNGVGCRSCSKPNLVDIIEPKPKIPINTNPSPKICYTSSDMNSESKIITCPNSDDIFIFVKNLDDPFQDNHTSIPFSIINIDSLSPPSIDKNDVTKLSKSETNSEFKVSTCVKNLDDSFQDNYYTSATSSIKKKSLSPRNLDQNEYTNSEANPESRVDSCSKISSSLVAFINSDDPFYDFKKSMLHMIIEKEIYSFEDLEDLLNCFLKLNSPSHHNIIIQAFTEILNDNKKIVFDGPRKNTH >Solyc04g045600.3.1 pep chromosome:SL3.0:4:34208706:34213972:-1 gene:Solyc04g045600.3 transcript:Solyc04g045600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRKTLVSLMDYNILESATNKFKETEILGEGGFGCVYKAKLEDNFYVAVKKLTQNSIKEFETELELLSQMQHPNIISLLGYCIHSETRLLVYELMQNGSLETQLHGPSRGSALTWHRRIKIALDAARGIEYLHEQRHPPVIHRDLKSSNILLDSNFNAKLSDFGLAVLSGAQNKNNIKLSGTIGYVAPEYMLDGKLSDKSDVYGFGVVLLELLLGRRPVEKEAATECQSIVTWAMPQLTDRSKLPNIVDPVIQNTMDLKHLYQVAAVALLCVQPEPSYRPLITDVLHSLIPLLPTQLGGTLPPSYC >Solyc12g015760.1.1.1 pep chromosome:SL3.0:12:5742949:5743182:-1 gene:Solyc12g015760.1 transcript:Solyc12g015760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNLSSPDLKASYPEASPPPHPFPGLVAYCLEVRPPTSYPASRCFAYEAIPFPQPRPRGTLPRGKSPLHDLEAYHP >Solyc02g087510.3.1 pep chromosome:SL3.0:2:50564664:50567290:-1 gene:Solyc02g087510.3 transcript:Solyc02g087510.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNREANNSIDTDATSLKVSFQELSVSNTNSTSIELCSTSFTLSENNSVGSKLSDGGFAGKGMDSLNVEIDKNVEFVESENTSFSSVSYCNSADPNEVSYRGICPSKPHKGNDIRWDAIQCVKGKDGELGLAHFRLLKKLGFGDIGSVYLAELRGMGCLFAMKVMDKGMLAGRKKVVRAQTEREILGLLDHPFLPTLYSHFETDKFSCLLMEFCSGGDLHLLRQRQPGKHFTEQAARFYASEVLLALEYLHMMGVIYRDLKPENVLVREDGHIMLSDFDLSLRCCVNPTLVRSSDEPSCAISAYCIQPSCIDPACKLPVCVEPSCFQPSCFKPRLFNNKTTKTRGDRATMISSDSLPVLVAEPTTARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELLHGKTPFKGNGNRETLFNVVGQPLKFPEGSTVSFAAKDLVRGLLVKDPQKRLGFKRGATEIKQHPFFENVNWALIRSTHPPEIPKPVDLTFFKQSSKAASDSDRSSSGPYLDFEFF >Solyc02g092715.1.1 pep chromosome:SL3.0:2:54344678:54345087:1 gene:Solyc02g092715.1 transcript:Solyc02g092715.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTPHSTSLHENKHANKGCIDERKLEGLPVDTSPYTQYKDLEDYKQQGYGTQGHQQPNPGRGAASSTDAPTLAGGGSAEAQLSATDNINRQGVP >Solyc02g086080.3.1 pep chromosome:SL3.0:2:49500602:49504537:1 gene:Solyc02g086080.3 transcript:Solyc02g086080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATVCTLILPKFPQSRGVSTTRAVLKRDPKMKVPYELKQEQSRLFHKLPSGLNMEVLYQRGSDQIRSTKNPPLVFVHGSFHAAWCWAEHWLPFFSQNGYDSYALSLLGQGESDSPAAAVAGTLETHAGDIADFIHKEIRLPPVLLGHSFGGLIVQYYIANIRREAVKGSDSEKKSLFPNLSGAVLVCSVPPSGNSGLVWRYLFSKPLAAFKVTRSLAAKAFQTSLPLCKETFFSAGMEDQLVARYQELMTESSRMPLFDLRKLNASLPVSRLEDPAFKVLVVGAKDDFIVDMEGLNETGRFYGIPTVCIEGVAHDIMIDCSWRKGAQLILSWLNSLN >Solyc09g014720.2.1 pep chromosome:SL3.0:9:6772731:6777342:-1 gene:Solyc09g014720.2 transcript:Solyc09g014720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLILTLATAQIIPSNTTSPPTNSTSPPTNATAPAPSPTNTITKAANITKPGCPKQCGNVTVPYPFGIGSGCALDPMFEIDCNVTTPFIGNIQIYDISDAEMRISNFINTKCYSQTGVLIQDIPSWITLGTKSPYTFSTLNRFIVVGCDDGAIVSGNNFANGCPSLCTSTNDIVKGKCMGFGCCQITIPKGLKFFNTTMVTTRNHSLIWSFNPCGHSFLGEASRFEFQGIEDLSDVNFANKIRNNVPIVLDWAIGNLSCVEARKSNDYACLNNSQCVDSDTSLGGYRCSCNSGYIGNPYIGSGCQDIDECADPNTNSCEKICTNIPGSYNCSCPEGYTGDGRKNGRGCIAPNSNSEFPWIKFSVGMGVGFMSLVIGTTWLYFFIKKRKLIKLREKFFQQNGGLLMKQRMSSNEGGVEATKIFTAAELKKATNNYASDRILGRGGNGIVYKGILSDNRIVAIKKSKFMDEEQVEQFINEVLILTQVNHRNVVRLFGCCLEAEVPLLVYEYISHGTLYEHIHNRNGAPWLSWENRLRVASETASALAYLHSSAQMPIIHRDVKSANLLLDDVYIAKVADFGASRLIPIDQTHLATMVQGTLGYLDPEYFRTSQLTEKSDVYSFGVVLAELLTGMKPISKDRNEEEKNLAEYFVLSMRRNQLFQILDRRVVREGSLEQLQKVAELVKSCLSLHGEDRPTMKEVASELENLRKFTKNNPWANGNGHEENEDELSDLYTIPIESNTDIDNFSGQYSSNSYTNSSNFSGQYSSGSTSNTNSPLMKNRRAI >Solyc07g065550.3.1 pep chromosome:SL3.0:7:67392202:67404010:1 gene:Solyc07g065550.3 transcript:Solyc07g065550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLGYAEKLSFIEDVGNVGMTEYFDPPLLLQDKIERLAVMIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKALPEASLPFHRATPSMTHMALVELEKAGFLKFLISQNIDGLHLRSGIPREKLSELHGDSFMERCPSCGIEYMRDFEIETIGLKETARRCSKVGCGARLKDTVLDWEDALPPKEMNPAERHCKMADVVLCLGTSLQITPACNLPLKSLKGGGKIVIVNLQKTPKDKKASLLIHGLVDKVITGVMEFLSLRIPPFIRIDLLQTIFTQASSLDEKYVNWSLAVASVHGNRAPLPFIKSVEVSFSESQNMKAAVLDKQPLYLKRRTVKSTNPFNIMMKLNFSDGCKCSSAEIMIPIDFKISADVFKDDKDSILQNLRESALTDPSCGQTSVIEKKVIMVPKSEVIVHAIVTNIVKFDRSYDGDLSNGSFKRKYECLNGVIPSRKRSNGRKPRTVINVR >Solyc04g056507.1.1 pep chromosome:SL3.0:4:54359883:54360685:1 gene:Solyc04g056507.1 transcript:Solyc04g056507.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHGSDDKKNRKAGLTGDLAPAIPGIHPHLYQSPAMRQSILASEGRENAKSTTHEWYLEGFAGSAPLVNAAEDGTFIQRKHIGRLLYV >Solyc04g082680.3.1 pep chromosome:SL3.0:4:66363599:66369103:1 gene:Solyc04g082680.3 transcript:Solyc04g082680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLRYAGDSKSLVIHAKEKFPLNTFTHLQGHAELDTKIGAPTYLCAMIRQYFPDQYASLAVGVQYYRRQKLWYTVRGKKEFPVTANNSVNFHIKGKYDVDEKLLEKKSRVAAEFTWDIMDVKKDQDVRLKVGYEVIEKVPYFQFSENNWTLTVNNIGKWKVRYDL >Solyc07g042840.1.1.1 pep chromosome:SL3.0:7:56490448:56490723:-1 gene:Solyc07g042840.1 transcript:Solyc07g042840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRSHMNFKMLSLTDINIDIKRNPKKKTLVEAMEAADVKTKWVNNSWERKLIVQKRRAALNHFDRFKLMLAKIKRVGVVRQELAKLKKTVA >Solyc05g009590.3.1 pep chromosome:SL3.0:5:3794934:3798632:1 gene:Solyc05g009590.3 transcript:Solyc05g009590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVMYIKKFFKKDSSSYNKEKLLLHDEKRNGCCIYFNNIQDFAKKAIEMGKNDPRKIIFSLKMGFALFFVSLLIFWKEPFPEIAQFSIWAILTVLIMFEFTIGGTLIKGFNRGLGTLFAGMLAFIFAQLALCAGEWEKVVWVFSIFIVAFCGTYLKLYPTMAPYEYGYRVFILTYCILIMAGNRTGGYNVAILTRLALIALGACICLIINIGICPIWAGEDLHRLVVKNFMDLATSLEGCIDGYLNCVECDESTNHSNYNGYKSVIESTTREQTLLGFAIWEPPHGRYKMHKNPWRDFVKLSSELRHCAFMVMALHGCIQSKIQAPPDKRKVFQNELKRVGIDATNVLRELGTKLHKMEALNSHENILKEVHETAQNLQKKIDNKSYLLVNSKNWEIGKPNINFDDSSNYENGSSENLPLNFQSLSETAIDIRDLHTPQYPKDELVTKSMLFNKQNHWPSCLSILDGEEIDTIDEIETYLSASALSLATFASLLIEFVARLQNVVDCFEELSQRAEFKEPISVKS >Solyc01g098080.3.1 pep chromosome:SL3.0:1:88551799:88556480:-1 gene:Solyc01g098080.3 transcript:Solyc01g098080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISTEDENMPLPLENGKALENSSPIVSNPDSKDDEPSQEIPSTFTDVNVVQEDEKFQLEQMILNLEGEIASLRHKERSLDEKRREALNKILDIKGCIRVFSRVRPFSPTDKQRTHQPISVESEKIVVRSGGSRKEFEFDKVFPQESLQENVFAEVDPIIRSALDGHNVCILAYGQTGTGKTYTMEGITESPGIIPRVLQELYNLSSSDSSSSFTFSISMLEVYLGSIRDLLAPRPSSRKYTASRCNLNIQTDSKGSVEIDGLTEVEISNFTKAKWWYHKGRRVRSTSWTNVNETSSRSHCLTRISIYRYGDTLGGKAEASKLWMVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRRKKGHVPYRNSKLTQVLKDSLGDKSKVLMVVHVSPYEEDVGETTCSCTFAKRARAAECNRELSEESKKQKEKRIAELEEQMKEAEEGCTELCTQIQKADFLLSENKRLFMKRYQPLEDEETFPITPKQNVPEITVTPRNSEKGLKTNVNSSVPRFMSSTVASRIRETTAEKEIHSRPKSVRSWARSSMQISGSQSNSFERRFKAHLRTSNKRSRYSETNTMAGDIKYGDDSDIKPSILPQSKTMAPSDPKPRKPLAHHRRRMSDLL >Solyc10g047530.2.1 pep chromosome:SL3.0:10:40985321:40988295:1 gene:Solyc10g047530.2 transcript:Solyc10g047530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWESESESVSGRDYGNGVLNNTKHGVKTDGFEQKGQSWYVATDIPSDLLVQIGDVSFHLHKYPLLSRSGKMNRIIYETRDEELSRIALNDLPGGWEAFELAAKFCYGIAVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCERLSPWAENLQIVRRCSESIAWKACANPKGIKWQYTGRLPTSVSSPKWNEMKDSSPSRNQQLVPPDWWFEDVSILRIDHFVRVITAIKVKGMRHELIGAVLMHYATKWIPGLIKEGSGSLDDCSNSSTSNGSSSSSWKGGLHMIVSGSREEVPTIQAKDQRMIIESLISIIPQQKDSVSCSFLLRLLRMANLLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKSETLYDVDLVQRLLEHFLVQEQTESSSPSRSSFSDKHMHDANQRGANLNAKMRVAKLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNAISSSSLKDAGESHYQPLVSNRKTLLEATPQSFQEGWTTAKKDINTLKFELDTVKAKYVQLQNDMENLQKQFDKITKPKQGSGWTAGWKKLSKLTKMTHLESQENSPHAPNAEPTRKTPRRWRNSIS >Solyc07g006470.1.1.1 pep chromosome:SL3.0:7:1257763:1259238:1 gene:Solyc07g006470.1 transcript:Solyc07g006470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKFIHVHLLISIIFSILIVVLGYEKSFLFLNSSYIMDARERFMSFDTTNLLDPPRPSYTFSIYNRALFEKSKFKDYDSLLESKLARSQARANHFASILENGNSIGANGTQTRPHESKREKGEVPKTTRVHLVEGEYVATFTIGSEETKSYLLIDTGSDLVWWQCKPCRPNKCYSQNNPIYDSTKSRTYRQLDCIVETSSCHVESGGYQCSVFGNECLYDYKYVEGSMTKGWIAEDVITFYLDLSRVKILFGCGIDQTSGSHFNGEYSGIAGIGRRVLKGGYSLPSQLEADIMAMCLPGTYSTKASTISFHRTPYNKTTSAALLPNSGFPNFYFVNLYKIFINDKEIPFFPSLSRNFGNYDMTGGCIVDTGTVMSRFPTDVHTVFRDTFRNEVRGIPMMEVPLGAFDTCYIEQPGVVPTFPVVKMYFGYESPDNLLLLQQLRVVVHIRGLFCLAFLPWEQNIAIIGNHQLQGIGLTFDTGTDTLSFDLDAC >Solyc06g008940.3.1 pep chromosome:SL3.0:6:2865231:2875700:1 gene:Solyc06g008940.3 transcript:Solyc06g008940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASIAFRSSNSKRLLAVSPQLYSSCCRGSVPAQFSLSETINANEAPAFCNHPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVRLVLAEEGKAKAVAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAPDGPMPQTKEHILLARQVDMCQFVDRLVNYLKALCCDSNFTCAYILQVGVPSLVCFLNKVDAVDDPELLELVEMELRELLSFYKFPGDDIPIVRGSALSALQSTNEEIGKKAILKLMEAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEDVEILGLMQGTLKSTVTGVEMFKKILDHGQAGDNVGLLLRGLKRDDITRGMVIAKPGSVKTCKKFEAEIYVLTKDEGGRHTAFFSNYMPQFYMRTADITGKVELPENVKMVMPGDNVTATFELMSPVPLDAGQRFALREGGRTVGAGVVSKSCNLKVNIHCESCKMKMMEVLHSVRGVYALTIDAEKGIANVCGEVDPNRLLLALLKSGQHAELINVKLKHPSIVNTPRNQHGHYNVGHGYNNNSNSLDGPYGYNHALNEPTSYYPTRGGAMFDQPYYGSTYYNSSPCVGYESNRSLTMDHVIKEEPMNWCSIM >Solyc11g012500.2.1 pep chromosome:SL3.0:11:5325814:5329072:-1 gene:Solyc11g012500.2 transcript:Solyc11g012500.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATEESKEWHVVKNWRNAQKEKERERRRIRDRLRRQTMSNEEREKHLARRRRNYQLRRQKVLNNSSSSVCQNCDSTTSAGYSNEDENQATVPVSGLGVQLSDATFHPELYKSQEDASSALHKGVEERSYKVQRIQNTMPLYQIRHLARLLNSFSSNNQEIGTKDNVNTESRSRRGIRLIDVKRLARTLNSN >Solyc01g068590.3.1 pep chromosome:SL3.0:1:77610872:77650097:1 gene:Solyc01g068590.3 transcript:Solyc01g068590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSMSIPKEPEQVMKQRDGSVLGKKTILKSDHFPGCQNKRLSPHIDGAPNYRKAASLHVHGVAIPTVEGIQNVLDHIGTQLSGKKTHILWINLREEPGINRTRVEEMEDRLKDDVLQEAARYGNKILVTDELPDGQMVDQWEPVTYDSVKTPLQVYEELQTKEYLVEYERVPITDEKSPKELDFDILVHRVSQADVNTQIIFNCQMGRGRTTTGMVIATLVYLNRIGASGIPRSNSIGRVSDCISNLNDTLANSEEAIRRGEYTVIRSLIRVLEGGVEGKRQVDKVIDKCSSMQNLREAIAIYRNSILRQPDEMKREAALSFFVEYLERYYFLICFAVYLHTQRDALFAGSSAHCSFSDWMKARPELYSIIRRLLRRDPMGALGYVSLEPSLAKLVDSSDDRPSEMGQVAALRNGEVLGPQTVLKSDHCPGCQHPGLPEILEGAPNFREIPGFPVYGVANPTVSGIRSVIQRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYTGIDRERVEKMEARLKDDIMREAERYQGAIMVIHETDDGQIFDAWEHVSSDAVQTPVEVFKCLEADGFPIKYARVPITDGKAPKSSDFDVLSFNIASASKDTAFVFNCQMGIGRTTTGTVTACLLKLRIDRGRPIRVLHDASNPDLGGDLSSDDESEGQSHPPASLVLKSRPQTHTNDAFGINDILLLWKITRLFDNGVECREALDAIIDRCSALQNIRQAVLQYRKLFNQQHNEPRERRVALNRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGKSRMTFKDWLHQRPEVQAMKWSIRLRPGRFFTIPEELRAPHESQHGDAVMEAIVKDRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPHVYRVDGYPIYSMATPTIAGAKEMLTYLGANQTSKERSAKRVVLTDLREEAVVYINGTPFVLRELNKPVESLKHVGITGSLVEHLEARLKDDIQCEIRQSGGRMLLHREEYNPTSNQVSIIGYWENIFVDDVKTPAEVYASLKNEGYDITYRRIPLTREKEALSSDIDAIQYCKDDAAGSYLFVSHTGFGGIAYAMAIICLRLEAEAKLSLDIHRSFESTGLPCSPLENFNVQISDEEARRMGDYRDILSLTRVLVHGPESKTDVDAVIERFGSSASLTPLFMLADAENTIALNRQFLRQNLSAPAFPESVISVDSNRDMEFKVIYFYRYLTGAAPAISYDLDSRHLSVTFSVISCPKCPTMHLLSKPLPFSPDGSSMQISHPPAATVDSLRRQDAHVSSLHIKFPGAVEVCKFVLGIPLPNHFFPVFQWFLKDDTEPPHGAGHLGEDIVQYSQELERKLDEDEERRAYLMDMGIRALRRYFFLITFRSYLYSSSPAELSFKEWMDARPELGHLSKQRGSKTVAIERTIVICKLRKQNFVDSNEKYNNSKGPIPEAKTKMQVQYQLWWHTITTSSLPLSSIFYRHEATAVAAARYRHDTALSTGAFSLRLNRNPNLTSGRFRLDTVTCSLDIGGNATIDEYDDGDTRNDTGEDGSDEEEEDGGVETEIEKMGKNRRRIRSKIVVKASLQSVWEVLTDYERLADFIPGLAVCQLLEKEPNFARLLQIGEQNLAFGLKFNAKGVIDCYEKDLEDLPTGQRRDIEFKMVEGDFKNFEGKWSIEQCKTGGDQELDSSLDEPFHTTLSYIVDVEPKLWLPVRLIEGRLCKEININLQSVRDEAQKVQEAAIELASFLQDFHQPEYMLHHLNIEESEVPKMCLDLYREYGTTMAGLKELGYEFDNDKFHEYVHGRLPYEVLKPDPLLRNLLLSMPQRKIIFTNGDKAHAIRVLSKLGLGDCFEGIICFETLNPSLEDNATILCKPSLKAMEAAIQIANLDPNKTIFFDDSARNIASGKQAGLHTVVVGSSTLVGGADHALRSIHNIREALPHIWEEEGDLKQQIIQSNAAELTIVQA >Solyc11g020157.1.1.1 pep chromosome:SL3.0:11:10402974:10403549:-1 gene:Solyc11g020157.1 transcript:Solyc11g020157.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAACITNCLLEWGLNNVLTITVDNASSNDVSVKEMSKKLSNWGTNIMDGDHLHVRCMSHILNLIVQDGLKEIGKSIKLVRQAVKYIKQSPARLRKLKEYCESKLITCKKSLCLDVPTRWNFTYSMLDIAQHFELAFERYSFYDIGYLNHLRTFGSDSYENKNGTSVEDGTSVEDETSVEDGTTANILSSVD >Solyc05g056370.3.1 pep chromosome:SL3.0:5:66550934:66555477:-1 gene:Solyc05g056370.3 transcript:Solyc05g056370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTAFSSWKFHLNLLIFLLLCSNGLSSNDYDVEGHALIELLRALNDSHNKIKDWNIDFVTPCFSWSHVTCKNGNVISLSLASNGFSGTLSPSITRLKFLVSLDLQNNDLSGALPDYLSSMSNLQNLNLANNNFNGPIPPAWGQLYKLKHLVMRGNHITGTVPNSLINITGLKELDLSSNDLTGGIPLQLFSIPTYSFSGTHLACGNRFQKPCVSSSSAPASSRRPKLEVAITGASCGAFLLLLVGAIITYRCNYKRKIKQDQFFDVEGDVERNISLGQLRRFSWRELQIATDNFSESNIIGQGGFGKVYKGYLSDNTKVAVKRLTDYHNPGGEAAFLREVQLISVAVHRNLLRLIGFCTTSSERILVYPFMQNLSVAYRLRDLKPGEKALDWPTRRRIAFGAAHGLEYLHEHCDPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKLTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDFSRLEEEEDVLLLDHIKKLLREKRLGDIVDGNMKTYEPKEVETILQVALLCTQSSPEERPKMAEVITMLKGVGLAEKWAEWEQLEEVRIQQFSLMSRQFMWAEDSTHDQEAIQLSQAR >Solyc01g017560.2.1.1 pep chromosome:SL3.0:1:24025561:24026061:1 gene:Solyc01g017560.2 transcript:Solyc01g017560.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LRPLLSYFIQKTQTPNFLHSPPILSKFASQTPFALLSSFFSVSAAWGTSSEQRPPAEVAKPPVGKQWRALAGA >Solyc03g096670.3.1 pep chromosome:SL3.0:3:60423937:60425889:1 gene:Solyc03g096670.3 transcript:Solyc03g096670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFGETRTIAPTEPSTEAARRRRMEIHQFRFVASDMAVAPPSSMENGRKRKKLEKTVSVKSYDSKEKKQFKLERIVSLPLSLPCTDVGEKKLAENKETETNALDLTESASVSSNIERQGVSDCPKFGMTSVCGRRRDMEDTVSIYPSFLQDKHEKSSILHFFGLYDGHGCSHAAMKCKDRMHEIVKNEVESAGEATWKEMMIQSFSKMDKEVVEYSKGAGGTQTADCRCELQTPQCDAVGSTAVVAVLTPNKIVVSNCGDSRAVLCRNGVPIPLSTDHKPDRPDELNRIEEAGGRVIYWDGARVLGVLAMSRAIGDSYLKPYVTSEPEVTITERTVEDECLILASDGLWDVVSNETACGVARMCLRSGQNSDQACTDASILLTRLALARHSSDNVSVVVVDLKRGL >Solyc01g109270.3.1 pep chromosome:SL3.0:1:96170874:96176533:-1 gene:Solyc01g109270.3 transcript:Solyc01g109270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFTSFMKTMEEEKISEFCKYSHHLDLDTGIEKNYVELKKRQLHGGRHHDSSKEEVDHAHEDLVKEIALLELNIMCLEKYLLSMYRRTFAKRLEPLSMKDDRTKAKKFAEVKEKNDKLKENPVLATLSNAIKECDDDKQRLVDSTILRCHSSLSHAACSFRASPSVAVLADAVDSYHSMPLSMLEHAQPSTSNRSLMEHQVTSCSNNLHHDSPSRLSEEMIKCISAIYCQLADPPLFHRDLSLSPISVSSSTLESFPQAQSDMKGRQCIENSPSNLLSNPFHFEDSKGFSGFIVRMVEVQRLCRDSQSLDGVEQMLKRFRYLVSKLEEVAPEEMRHEEKLAFWINVHNALVMHAFLVHGIPRSNLKRASLLLKSAYNIGGNTVSVDMIQNSILQCQLPRPGQWLQSLFFTKQRFKGGDARRGYAMEHPDPRLRFALCSGNHSDPVLRLYTSKRVFEELEVAKDDYIQANIRVHKEQKLVLPKNVESYVKEVNVSPSGLFETIELALPCYLRKKFQQPEQRKLWKKIDWIPHNFSFRYLVSSELVE >Solyc06g066500.1.1.1 pep chromosome:SL3.0:6:41860874:41861317:-1 gene:Solyc06g066500.1 transcript:Solyc06g066500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRGKIAGKTVFQNLMFQGHNNNNHVHQSTSKEYYEFSCSNSPTFHLPFNLNKRINKHNHNAVPVTEHDDVSMMNAAVLKALEMLQSETASPALPGFGRTPTVRQLRITDSPFPLRETDMMSNVDEKADEFISRFYRDLRREASAFA >Solyc05g054710.3.1 pep chromosome:SL3.0:5:65413476:65423301:-1 gene:Solyc05g054710.3 transcript:Solyc05g054710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-hexosaminidase [Source:UniProtKB/TrEMBL;Acc:K4C2E8] MSKISTLNFSQFLLKTFFFSISIFSLVNARSIKSTHHHSIELDESLTYVWPLPAQFTSGNDTLTVDPNLTLDFTGNGGGSGGSVVVEQAFERYKKIIFKHGAKLAKSGEYFDVNRVTVIVHSDNDELQLGIDESYSLLVTKSNERSIIGGVSIEANSVYGALRGLETLSQLCKFDYGVKTVQIRKAPWFIQDKPRFAYRGLLLDTSRHYLPIEIIKQIIESMSYAKLNVLHWHIIDEESFPLEVPSYPNLWKGAYTKWERYTLEDAIEIVDFAKMRGINVMAEVDVPGHAESWGAGYPDLWPSPSCKEPLDVSKNYTFDVISGILADMRKIFPFELFHLGGDEVNTTCWTTTPHVKQWLQDHKMTAKDAYQYFVLKAQEIAISHNWTPVNWEETFNNFPSKLNPRTVVHNWLVGDVCAKAVASGFRCIYSNQGYWYLDHLDVPWEEVYYAEPLEGIKSISEQKLILGGEVCMWGETADASDVQQTIWPRAAAAAERLWSDKETTSSKNTTSTTLQRLEYFRCLLTRRGVPAAPVTNFYARRPPLGPGSCYEQ >Solyc05g008570.2.1 pep chromosome:SL3.0:5:2888712:2891874:1 gene:Solyc05g008570.2 transcript:Solyc05g008570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLSKSNNNVATKTSFSPCDETLYNIPMSQIRQNIPTKKQLVDTYRQGIIVEGAYRQTVVIRSYEVGPDKVATIESLLNLLQETALNHVWMSGLLSDGFGATHGMMKNNLIWVVSRMQVQIDHYPIWGEVIEIDTWVGASGKNGMRRDWQIRSQATGLVFARATSTWVMMNQQTRRLSKMPEEVRAEISPWFISKQAIKEENPTKIQKLDDTAKYVLSNLKPKRSDLDMNHHVNNVKYVRWMLETIPDQFLESYQLSSIVLEYRRECGSTDIVQSLCEPDEEVLFDDVDHQHKRRISVLHGFSLASGLLEANGLLESFSQRPFSYTHLLKIKEQIKNEEIVRGRTTWKRKISSMPFPT >Solyc02g089520.2.1 pep chromosome:SL3.0:2:51951457:51954601:-1 gene:Solyc02g089520.2 transcript:Solyc02g089520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKKENSGGLDGSSNYWARVCDSCRSVTCTIYCQADSAYLCADCDARIHAASLVTSRHKRVWVCEACERAPAAFLCKADAASLCASCDADIHSANPLAHRHHRIPIITIPGTLYGPPAVETVGGDSMMISGSTGEGTEDDGFLSLTQDADDTIIDEEDEDEDEAASWLLLNHPVKNNNKNNVNNNNNQTNNYDMLFGGEVVDDYLDLAEYGGDSQFNDQYNVNQQQQQYFVPQMSYGGDSVVPVQDGQGKPLIFYQQQQQQQQSHHQNFQLGMEYDNSNTRLGYPASMSHSVSVVSMDVSVVPESALCETSNSQPRPQKGTIELFSGHPIQIPLLTPMDREARVLRYREKKKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDVEAEVDQMFSTQLMTDSSYRIVPSF >Solyc09g008830.3.1 pep chromosome:SL3.0:9:2256529:2257548:1 gene:Solyc09g008830.3 transcript:Solyc09g008830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding KFNDLNKRYKRVNDILGKGTSCRVVENQKLLETMDHLSPKMKEEVKKLLNSKHLYFREMCAYHNSCGHNGGSGSGVVSGARGGHEHDHQSHEEEDDDMDERSRKRQRKLGTSSPMVQQLSTELANVVQDRGRSSLEKMQWMKTKMMQLEEQHVSYLCQAFELQKQRLKWKKFISKKEREMERDKLINERKKLENERMVLLLKQKELELLDYHQHHPNNNHRNSDPSSVTG >Solyc11g042890.2.1.1 pep chromosome:SL3.0:11:34276623:34278184:1 gene:Solyc11g042890.2 transcript:Solyc11g042890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNRTQWLLVSTHVHQEEHCIMFRVHHTLEQYDVEKMSYVSSPFGSPTHHYHCSPIHH >Solyc02g079415.1.1 pep chromosome:SL3.0:2:44538863:44544693:-1 gene:Solyc02g079415.1 transcript:Solyc02g079415.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGPKLGKKSSSKLGKLPAKKKQKKEPLPAKPLEKEELFSDSEGTDGDFQEDDEQEINSDSEMSSDIDDPFAADILGSGDADDGSDVNSDSNADSDSDADDNDLVRKSKAIDDERKREEEDNDLELQLNIKEEADDFRLPTTEELEEETQRPPDLSNLQRRIKEIVRVLSNLKSLRQEGVTRKDYVDQLKRDLGSYYGYNDFLIESLVELFPPVELIELIEAFEKPRPISLRTNTLKTRRRDLAGVLLNRGVNLDPLSKWSKVGLVVYDSQVPVGATPEYMAGHYMLQSASSFLPVMALAPQENERVVDMAAAPGGKTTYVAALMKNSGIIYANEMKESRLKSLTANLHRMGVTNTIICNYDGRELPKVLGQSTADRILLDAPCSGTGVISKDESVKTSKTAPDIQNCSQLQKELILAAIDMVDANSKTGGYIVYSTCSIMVEENEAVIDYALKKRDVKLVPCGLDFGRPGFIRFRQHRFHTSLDKTRRFYPHVHNMDGFFVAKLKKMSNSKSAANSLAQSEEVQGAESIENSEQQDSEEDDDKNSDKEGIANENRKLKEEVAGDKKRKRDVQTHSVKKGAGQNSGATKNGKTENQVVATKKREKKKPLPREEIAKAREEKRKALREATRKATMKNK >Solyc05g005750.3.1 pep chromosome:SL3.0:5:570977:578156:1 gene:Solyc05g005750.3 transcript:Solyc05g005750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSRSCANLLDMASGDILDIPQTPRGLPRVMTVPGIIADGDSDGMSSSCRERKIVVANMLPLHAQRDTTAKKWLFSLDKDSLLLQLKDGFSPETEVVYVGSLKVDVEQSEQEEVAQRLLEEFKCVPTFVPHDIQEKFYHGFCKQQLWPLFHYMLPMCPDHGDRFDRQMWQAYVSANKVFADKVMEVVNPDDDYIWIQDYHLMVLPTFLRKRYHRVKLGFFLHSPFPSSEIYRTLPVRDEILKGLLNCDLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLDYFGRTVYIKILPVGIHMGRLESVMNLSSTFDKAKEVQEQFKGKKVILGVDDMDIFKGISLKLLAFEYLLQQDQSLQGKLVLVQIVNPARSSGKDVQEAKRETYSTAERINQIYGRSNYEPVILIDRPVPRYEKTAYYAVAECCLVNAVRDGMNLVPYKYIVCRQGSPGMDDAMGIKTDSPRTSMLVVSEFIGCSPSLSGAIRVNPWDIEAVAEALNVAITMSDSEKQLRHEKHYRYVSSHDVAYWARSFMQDLERACKDHYSKRCWGIGLGLGFRVIALSPNFRKLSIDHIVSSYRRTQRRAIFLDYDGTVVPQSSLVKAPGAEVITLLNSLSNDPKNTVYIVSGRGRKSLSEWLAPCARLGIAAEHGYFIRSSKMSDWECLASDLEWKPIVEPVMKLYTETTDGSYIEPKESALVWHHQDADPDFGSCQAKELLDHLENVLSNEPAVVKRGQHIVEVKPQGVTKGLVAQKVLSMMVDSGTPPDFIMCIGDDRSDEDMFESILSSVSSPSVSAAPDIFACTVGQKPSKAKYYLDDTADVLRLLQGLGNASCPKPRHTAHFQVAFDSVL >Solyc04g063380.3.1 pep chromosome:SL3.0:4:55526189:55529708:1 gene:Solyc04g063380.3 transcript:Solyc04g063380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIGVEDLSLDKLFVNNGSRGGVCKMGGGAMIREWKDIPMELLLRIASLVDDRSVILASCVCSGWRDAISWGLTRLSLSWCKRNMNNLVLTLAPKFTKLQVLTLRQDLPQLEDVGIETIANHCHELQDLDLSKSFKLTDRSLYALAHGCPNLTKLNISGCSAFSDNAVAYLAERCRNLRVLNLCGCVKAATDQALKAIGYYCNRLQTVNLGWCDKVGDEGVMSLAYGCPDLRALDLCGCVLITDETVIALANNCPHLRSLGLYFCQYITDRAMYSLAQSRVKNKHEMWASMKKHRNEDEGLMNLNISQCTALTPPAVQAVCEAFPALHTCPERHSLIISGCLNLTSVHCACSVQAHRARVLHPAH >Solyc05g018200.1.1 pep chromosome:SL3.0:5:20209909:20211323:1 gene:Solyc05g018200.1 transcript:Solyc05g018200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVKLNKLCMKESYTMLKTIVRCKHGNLLHSRGMTKSSCPLLWCDGHEEGALGAAGGLPLALFACVANALGALGVIGGLPLAPFVGATDALGPGDALGAEGGLPLEMH >Solyc08g016500.3.1 pep chromosome:SL3.0:8:7706037:7710311:1 gene:Solyc08g016500.3 transcript:Solyc08g016500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFSYAKFSGFFSSDLLPSLGTKTNHETKLRKYIISPLDLRYRAWEMFLLLLVIYTAWITPFEFAFLIYKIDALVIFDNIVNCFFAIDIFLNFFMAYLDKESYILVDDPKKIAIRYLSTWFIFDVCSTVPFHDFLFIDHKEGGVGFKLLSMLRLWRLRRVSALFARLEKDIRFNYFWTRYAKLISVTLFAVHCAGCFNYVIADRYPDTKRTWIGAANPNFKEDSLWDRYITSLYWSIVTLTTTGYGDLHAENTREMLFDIFYMLFNLGLTSYIIGNMTNLVVHWTGYTRNFRDNVEAAQEFAKRNQLSPRIQDQVLSHICLKFKTESLQQKETMNVLPKAIRSSIAHYLFLPVVQNVSLFRGVSRDLLFQLVPEMEAEYYPPKEDVILQNESQTDFYIVVSGALDLLVDIDGREQIIGKAVAGESFGEIGVLLGRPQPYAVRTTEISQILCLSRKTFLNILRDNQEDERIIMRNLVQNLQGFGGFDNMDEESDPSSHVSKQDTPTNPSDLISINIQTLEARTKRQVENEGESCGHKRQTVLDHSVVPKGHIEMAPSLPERRWEEDQLDTGRVGNKSIHEVPFCSNSGNRSQTGAKGGKSIKKRVTIHMRNNINSLQEQNGKLIILPDTLEELFRMAGQRFGEYNLKKVVNAEDVEIDEIDVIRDGDHLFFLSTDCSCVETSTM >Solyc12g013960.2.1 pep chromosome:SL3.0:12:4785178:4790326:-1 gene:Solyc12g013960.2 transcript:Solyc12g013960.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKQLKFNQPLLSVRRISPAMISQRDEKKKFDNSFPTMTHPPHYKSELNSGLVVKPGAVPFLWEQTPGRPKDESRPQTHFTEKPLTAPKLPPGRKLRDNQDYNQTGKYQTAMLSCTSSDENVKKIESFDSSKEVMHDKEKIKSENDDETYLDALDALSRTESSFLNCSVSGLSEFDEPNAKQSRTSSANPQTKDFMMGRFLPAAKAMTSDKTSETPYYVRKKQTPIVDQRRQTNNIRNGDRQPQLRYGPSFTLYHSQAHDYKEEEEESDDGCYESGNLSTNICGLLPHFCLRSSFRLLNPVPAMSARPRIPVSPVSRTQTGSFSTTSFRGTENERSTSDVSEQKSVVGSITTQLHEDKNDVKGRTYSTYANDPYNNMTMLSTNAKENNPELKCLSDQNNIREVDVLTPFVEKTLYIDIVHKTDSSVKISCSLDKKPSKLQHKDVEILEQMIKQKPFLDSSLYSSETSLKPYVQRKADCNPPRSAERLNNERETKAWTSTGQARDCYQDTTTLAKSDSDGVEKNRKPIPRREKLEDSCKVHPEFLAPPPLPKSPSDSWLCRTLPSLSTKNASSRAYIGTGLNPNNQSSKPLPSDSKWGTIVKMTKKQQHL >Solyc08g008200.2.1 pep chromosome:SL3.0:8:2654793:2656607:-1 gene:Solyc08g008200.2 transcript:Solyc08g008200.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSCPKPMKATSNGIFQGDNPLDCALPLAIVQICLVLVLTRVLAYILRPLRQPRVIAEIIGGILLGPSALGRNAKYLNAIFPPISLTVLDTLANFGLLFFLFLVGLELDPMSLRRTGKKALSIALAGISLPFGLGVGTSFILRGTIAKGVSQGPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVDAWILLALAIAISGTGHSPLISLWVLLCGTGFVLLCIATVPPIFNWMAKRCPEGKPADELYICATLGAVLVAGFVTDAIGIHALFGAFVLGILVPKEGPFAAALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGAQSWGLLGFVIFTSCFGKIVGTFVVSLLCRMPVQEAVTLGFLMNTKGLVELIVLNIGKDRGVLNDQTFAIMVLMALFTMFITSPIVVAVYKPAKLAITKYKNRTIERKDTSKQLRIMTCFFSKQHSHNDQSYRGFSWYSNERRTPRLCNASYGVV >Solyc09g055760.3.1 pep chromosome:SL3.0:9:44647496:44659643:-1 gene:Solyc09g055760.3 transcript:Solyc09g055760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESRHVSLGRRTLEEIRQRRAAERLSKMSSGPDLAKPEVLGITKSRSATRLSENDITALVSQLKVVQKQNTQLDEENRAFASKLQTKEVENNMLQKRLNDLEQITIPSLRKALKDVAMEKDAAVVAREDLSALLRTIKKRLKEVEEEQYRAEEDAGSLRAELNSLQQQALTGPISGLTSMNFPPDCMQAMEKELANLKSQLEQVTLLRQQERQQLVEEIAHVSALNSQKQDLEEKLAVMSKKVSGEVTGKASQKTFSEGDKVRLEKQLHDMAVAIERLESSRQKLLMEVDSQSSEIERLFEENSDLSSAHQEALGVAVQWENQVKDCLKQNEELRVMLDKLRNDQTAISTANNNLIQQGVKGSKNEIQGIEYADIISIKGSLAKEQSKTEALSAEILLLNARVQQATQAYNGLTRIYKPLLWNIENSLVKLKQDSSTVRVQ >Solyc12g017430.2.1 pep chromosome:SL3.0:12:6689615:6692879:-1 gene:Solyc12g017430.2 transcript:Solyc12g017430.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNILIDHVVTISNLIFIQYFSQVVVKTLIVIHRVLRDGDPSFKEELLRCSQRGQIFQLSNFKDDSSHLGWDCSAWVRTYALFLEERLECFRTMKNDIVAERSTKPSVGISKVHFVSHLHIFM >Solyc11g012380.1.1.1 pep chromosome:SL3.0:11:5224384:5224827:1 gene:Solyc11g012380.1 transcript:Solyc11g012380.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cysteine proteinase inhibitor [Source:UniProtKB/TrEMBL;Acc:K4D643] MAFKSNSLLTLSITMIVIAFTFCHAFDVIDDRKLLNSFEVVLNTLDPSLSNDDWQLIQDPKNPKVVDIAKFAVNSENIISIDVQLRLESVLSGRFRVDNNGTTYELTIIAIDFDEESEYKTIVFENSKDIVRKLVSFTWIKRKGIHN >Solyc06g007480.3.1 pep chromosome:SL3.0:6:1484327:1489839:1 gene:Solyc06g007480.3 transcript:Solyc06g007480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEREVLEAELVLPTHMKFKKIQMYDKYPKGQARGRHWKHLKQIIQAENYENYPPHLPTYVNIETPPSMHPGKKICDITGFEAPYFDPRTKLRYANTEVFKTIRSLPNDYVQRYLALRNAAVVLR >Solyc03g025450.3.1 pep chromosome:SL3.0:3:2888066:2892960:-1 gene:Solyc03g025450.3 transcript:Solyc03g025450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHCQIWFTCNECLIHTRSMKNSLSSSSESLSSPEDNGGTEMRNNVGNGYEDLNILENASIPANKIVKVEIKGDYSTLHEAHLHCATSQPLLEEGSFHGKASNDERSRVEQAMMDAENSKQRALEESVKRWRAEEDAREAISMNSTCFDFILVTEVRLYQAEASYRLFEEKQVQRKEKEEIFAKQKEEIEELKIQHDLCLNKLQMIQEKKPVLESQITESSCAGKELEEKIIQAVELLISFRKQRDEMQIERDNAIKQVNRFRKLVQDDADEYCIKNFFSISFSDIIEATQNFDPSSKIGEGKFGSVYKGMIHDVKVAIKMLPACGSFSDLDFQHKAESLSRVRHPNLVTLIGICSESRSLAYEFLENGNLEDHLACRKKSRPLHWQHRIRIAVEICSALIFVHANDPCIVHGNLRPTNILLDAKFVSKISDFGVHLLISQTENSNNDDPEASVYVDPECIDKRQLTVESDVYSFGVILLRLLTARPASGIVREVKCALESGNLGSVLDSSAGDWPIEQVELLAYLALSCCEKDPLNRPNMLSEVWPTIEPMRDICKPNSDLNTSSQGSKSQKRIPPHFVCPIFQDVMEDPHIAADGYTYEGDAIKGWLYSGHDTSPMTNLKLDTCDLIPNYALYRAIQEWQQQS >Solyc01g094610.3.1 pep chromosome:SL3.0:1:85906590:85908554:1 gene:Solyc01g094610.3 transcript:Solyc01g094610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDNISSTDESYDVGYGYQPSPSSIDDQSPTETTGLSTLSGNSFAYCRTNSETSAFSEHTDENSWSEAGSPLSSRSLKSPTRAVLSRLGMRQHKTIADDPDTVDLELELMKERFSKLLLGEDMSGGGKGVATAVTISNSITNLYASVFGQHQRLEPLHPDKKIMWKREMNCLLSVCDYIVEFVSTSQMLQDGTTIEALTSRPRSDIHINLPALRKLDTMLLEILDSFEATEFWYAEKGSISNNSTRAGSFRKIVQVQPQPQRKEEKWWLPVVCVPSDGITEKERKNLRHKRDCANQIHKAAMAINSSILAEMEIPESYMTSLPKSGKASVGDSMYRHMYSAEKFSPEQLLDSLNISSEHEALELADKVEASMYTWRRKSCLPQAKSSWIMVKDLVSDDRTDKNNVLAERAESLLYCLKQRYPELSQTTLDTSKIHFNRDVGKAILESYSRVLESLAFNVVAWIEDVLFVDKTMKNQEE >Solyc04g055010.3.1 pep chromosome:SL3.0:4:53475307:53483005:-1 gene:Solyc04g055010.3 transcript:Solyc04g055010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYRSLLNSIKDRSIQTLNTYERFTPPKRFHSASCMRSSRKTYDPPLLTGPSPTSKSWKPYILPGAALGVFGGLILFLHYNDERRAIPKGQGEKFERSATQGPIIGGPFSLFDTEGRLVTERNLLGSWVLLYFGYTSSPDVGPAEVQKMAKTIDILGSKQDHHKILPVFVTIDPQRDTPSQLRAYLKEFDPRIMGLTGPVAAVRQMTQEYRVYFKKVDEEGGDYLVECSHNMYLVNPNMRVVRCFGVEYSGEELADAIVKELKKVEI >Solyc08g005700.1.1.1 pep chromosome:SL3.0:8:560419:560601:1 gene:Solyc08g005700.1 transcript:Solyc08g005700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTFYIANKQLITASLLDFFLRPRVYPLCLHLPIISSSFYIQPIQNPLPYGYSHSADSTK >Solyc04g054850.1.1 pep chromosome:SL3.0:4:53256941:53257694:1 gene:Solyc04g054850.1 transcript:Solyc04g054850.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLPDYFWKMNNNKDHQALRVGGHENFAKKVLSSTLYYNDSRFHYNIILLYTFLKFF >Solyc09g015457.1.1 pep chromosome:SL3.0:9:8841750:8842476:-1 gene:Solyc09g015457.1 transcript:Solyc09g015457.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLLFEGGSSFAFAWWSSSHLLVSVPVSLLEGGMSTCFPFFVSFSSVSLVSSSKGSLAVVSLLEPLDFSASEFSRRCWFWEHFGH >Solyc05g009480.1.1.1 pep chromosome:SL3.0:5:3655257:3655631:1 gene:Solyc05g009480.1 transcript:Solyc05g009480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEGEKRKPNNNDVVSTSATKKPKEETEELTEEVAEGEVEEFFAILRRIQVAVKYFNRVDGASSSGRKMTEEIDQKLSDEIIGDNEDMKEKEKEKNVEENSKGFDLNIEPYNNPHDDHESNSS >Solyc11g030930.2.1 pep chromosome:SL3.0:11:23594407:23594756:-1 gene:Solyc11g030930.2 transcript:Solyc11g030930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVRAPALKFHFREGRHTMILPVLSSPALISCLMVVRLLLLLGLDFFAMIFPVVYIGAISISFLFVVIMFNIQIAEIHEEVLHYLPVSGIIGLMFWW >Solyc07g056120.3.1 pep chromosome:SL3.0:7:64113331:64117596:1 gene:Solyc07g056120.3 transcript:Solyc07g056120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METIYRGGRKKKVLEQMSPSWKEICIKCDKGGEVLVCADSCCPIAVHISCMGCPARFDDLGKFYCPYCLYRQSIARFHQAKGYVLSKKQALDDFIDKEIIDKVREVPSILSKSTRERSDEPLPEKKSDVLESNCDQQWVVGQKILAGPAVNCGSKPSVRTSSKATSTNFRVLEAGNGIQVNDLLEVGEDQQEKSVEDHHKDGHLSNSSVAEEEILGNELVLEEPKRNSTEIAGEQMTKVDQTTAIVIHTDPLLQQHLHGKRRAETVDLTCRKSKRVSTQRKCGKQVNKVRDEHMLTDFPRMLTRKSASLLENDNAAGMFVQVKKPSTLPDILPNGQRKRVLWRREEEEMIEEGVQKFSSKVNKNTPWRKILDFGHHVFDHSRTPTDLKDKWKQMCSKHGGRV >Solyc07g065220.2.1 pep chromosome:SL3.0:7:67208807:67212027:1 gene:Solyc07g065220.2 transcript:Solyc07g065220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSPQSVVSPFKSSSVFADSENSAHREDVEICNMEDFIGVLDVYVHQARDIHNICIYHKQDVYAKLCLTSDPESAVSTQTINGGGKSPVFNENLKLNVRTIKCSVKCEIWMMSRVRNYLEDQLLGFLFHSPAGFVHLSLSYNGTSPEVIEIPAFPILETDASRTDGEARESLPNEFDKIEFPDPKIVNENNLMVSEYFNMENVPSEQPLTLQQFFPKPVVIEPEQKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIENGTTSSDNSSSDQTSQTPKSTSSRVFYGSRAFF >Solyc03g119070.2.1 pep chromosome:SL3.0:3:69264641:69268293:1 gene:Solyc03g119070.2 transcript:Solyc03g119070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKDLLRFMKPYVETAHIKKYAGKRVGIDAYSWLHKGAYSCSMELCLNSEGDKKLQYLNYFMHRINMLRHYKITPVVVFDGGNLPCKSATEDERHKRRKTNRDQAMLKLKEGNVAGAVELFQRAVSVTPSMAHHLIQILKSENIEFVVAPYEADAQLAYLSNLKEEKNGIVAVISEDSDLLAYGCPAVFFKMDANGNGQEVVLDHVFSCDTRVPSFRHFNKDLFTGMCVLAGCDFLPSVPGIGITRAYNLVSKYRNLDRVLSMLKFEKGDQMPEDYTKSFREAVAVFYHARIYDVSLKRIKHLKPIPEELLQFLDEELDFLGPEILPSLAMSIAQGNIDPCTMEAFDVFSSKVNHVSTANIKKISGPFSRQEAFTQASKDSSVFAISSSKSRKETAVVETTQASGAEQTQSPFMDESECLEEAVALQNIICPSISTRKMVAEEKKSHRKEVLKVPDNNPFRKRKVEEFEPDEMDSVTELVSELTDVESLEVICTIPESQQSVESKPVKRIELKRVTKEKKVKRSNSQSSENKKNTILNFFSRV >Solyc01g079507.1.1 pep chromosome:SL3.0:1:78409540:78415807:1 gene:Solyc01g079507.1 transcript:Solyc01g079507.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA replication licensing factor MCM7 [Source:UniProtKB/TrEMBL;Acc:K4AXJ5] MNDLDFNRDKDLAKDFLSNFADSNGEAKYMNILQDVANRKTKAIEIELEDLADYKDLDEEFLRRIAENTKRYITILASAIDELMPEPTEAFPDDDHDILMTQRSEEVTENTDGSDPKQKMPPEIKRFYEVYVKASSKGRPFTIREVKASYIGQLVRISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLSECPSKRCTVNKAKGNLILQLRASKFLKFQEAKIQELAEHVPKGHIPRSMTVHFRGELTRKVSPGDVVELSGIFLPMPYTGFRAMRAGLIADTYLEAMSVNHFKKKYEDYELTGDEEEQIARLAEDGDIYNKLSRSLAPEIFGHEDIKKALLLLLVGAPHRQLKDGMKIRGDLHICLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDDSDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDMRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSVIRAYISAARRLSPSVPKEIEEYIASAYSSIRQEEAKSNTPHSYTTVRTLLSILRISSALARLRFSEKVAQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARMNRLELSYAQALNWISRKGYSEAQLKECLEEYAALNVWQIHPDSFNIRFIDA >Solyc01g106500.3.1 pep chromosome:SL3.0:1:94264836:94267952:1 gene:Solyc01g106500.3 transcript:Solyc01g106500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQFKSTLKTIQSSELFDTWTPQNNICNFTGIFCDSDSKLVKEINLSEQNLSGVVSFDSLCSLKSLQKISLGTNYLYGRVSDHLKNCTNLQYLDLGSNSFSGEVPNLSSLSQLEFLNLNRSGFSGSFPWSSLANLTNLTFLSLGDNSFLKSSFPLEILNLDNLYWVYLTNSSIEGLIPEGIGNLTLLENLELSYNDLSGKIPDGIIKLTKLKQLEIYSNGLTGKFPVGFGNLSSLVNFDASSNNLEGDLSELKSLSLLESLQLFENHFSGEIPVEFGDFKFTELSLYRNMFSGSLPQNIGSWAELQYIDVSENMFTGSIPPDMCKKGSMTDLLLLQNNFTGGIPSNYANCLSLQRLRVSNNSLSGVVPSGIWSLPDLEIIDLTLNLFEGPVTSNIGEAKSLAQLFLAYNQFNGQLPQTISEVSSLVAINLSANQFSGDIPAAIGELKKLNTLHLEYNLFSGSLPDSIGSCVSLCEINLAGNSLSGAIPESLGSLRSLNSLNLSDNSLSGQIPATLSSLRLSLLDLSNNRLSGSIPDSLSIKAFSNSFSGNPDLCSDNFGSLMPCSSDTHTSKDHRTVVLCLIAGVVVLVLSLTGFIYVKFKHNNQDIPVKRLDSWDIKQFHVLSFSEDQVMKALKQENLIGRGGSGNVYRLVLNCGKQLAVKHIIKSDCGDQKSYRSSSAILVKENHRSKEYDAEVTTLSSIRHVNVVKLYCSITSEDSNMLVYEYLTNGSLWDRLHTSQKVKMDWLVRYDIALGAAQGLEYLHHGYDRPVMHRDVKSSNILLDEQMKPKIADFGLAKVLHVNGTKDSSQVVAGTHGYIAPEYAYTTKVTEKSDVYSFGVVLMELVTGKKPVEAEYGENIDIVQWVCSKIRNNTSMIDLVDSSIFEGFKEDAVEVLKIAVHCTSRTPALRPSMRMVVHMLEEAEPCKLTDVVVNSPNEDGRKQGLAN >Solyc12g038140.1.1 pep chromosome:SL3.0:12:49839779:49840574:-1 gene:Solyc12g038140.1 transcript:Solyc12g038140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDDFGHFPTIVRKLYSLLIQDLQRNPFESMKVLALWIWLEHTCLFSDVIRKIASFTQDFINQLADEAVTCLKCIDDTEYLLSRNMGPPLHEEYLIKRVSQLGLEGDMKGHNISGEELTMFVTFSKDYPVTELEIREFIAPVFGEYIEYIRMQEVKSNEQALYAQIVFSIQGIIEFILQNESKAKFIINGKEVWMQKFVPENGNSSFP >Solyc01g005880.1.1.1 pep chromosome:SL3.0:1:585371:586414:1 gene:Solyc01g005880.1 transcript:Solyc01g005880.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSFGNLGQWSLIAFALVICFVASTVVADYSYDYTSHSPSPYYKKPEKHVEHSPSHYYYKSHAPSKHYYKTPVVTKYYKSHAPSKHYYKTPIVAKYYKSHAPSKNYYKTPVVTKYYKSHAPSKHYYRAPVVVKYYKSPAPSKQYYKAPVVVKYYKSPASSKKYYKAPTPSKYYYKSPSPAKYYKSPAPSKHYYYKSPSPSEYYKSPAPSKYYKSPAPQKYYKSPVYYKSPPPSPTYYEKSPSYYKSPPPPLYYKESTPSYKSPPPPLYYKESTPSYKSPPPPPYYEESAPSYKSPPPPPKYYEKLPTTHDSPPPPTYSSPPPPQEYEQSVIYASPPPPPASPPPTYY >Solyc07g045610.1.1 pep chromosome:SL3.0:7:58881516:58882399:-1 gene:Solyc07g045610.1 transcript:Solyc07g045610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENNWSTGETPAFANTRIDWKETPEAHLFKADLPGLKKEEVKVEIKEDRVLQISRERKVEKEDKNDTWHCVEQSNGNS >Solyc11g065890.2.1 pep chromosome:SL3.0:11:51762924:51775334:1 gene:Solyc11g065890.2 transcript:Solyc11g065890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIAAAIILPIGLIFLLSGLIINFIQVVLFILVRPFSKNIFRRINKEVAELLWLEIIWLFDWWANVKVELYTDQETYGILGKEPALIISNHRSDIDWLVGWVLAQRVGCLGYTIALAKKSLSYLPVLGWSMWFSSLISLERSWNKDENILKSGFRELNGFHQPFWLAVFVEGTRFTHTKLLAAQEYAVSVGKPIPRNVLIPRTKGFVASVSHLRSIIPAIYDITLAIPKDKPRPTLLRMLRGCSSVVHVRLERRLIQELPEDESGIAQWCRDVFVVKDALLDRHLATGTFGDQECQDIGRPKKSLMVVICWSCFLFLSAIKFFEWCPFSWGGVVFCAVFLVLVLVLMQILIVFSKSENSTAPKVPPPSTLKENLLPA >Solyc09g056110.1.1 pep chromosome:SL3.0:9:47323825:47324463:1 gene:Solyc09g056110.1 transcript:Solyc09g056110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MICGSNLASSVVNSSLVLNTFLESKVAFPTGQPLGYYGSWFWIRDMQKDISPISLKVLTSCRTTVGLCLSSYRYSIEISTLLSLSGACYKVHSLLMSSQSPQWERLKAAACKPHRLHRLPLAFLIGMDKSSASILI >Solyc12g011210.1.1.1 pep chromosome:SL3.0:12:4051093:4051485:1 gene:Solyc12g011210.1 transcript:Solyc12g011210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTDQKVETNVDEKREIDLNKEFDPIEKIVLLERENDLLLKKVEMLEATRKVEIEEKETEIRALKQQLEEQEKRWKNRQDFFAWRSMSWRKGRTKELLDRISELENKLKCMEDAIAMKEQVGESSAVKEEN >Solyc01g087785.1.1.1 pep chromosome:SL3.0:1:82562535:82562897:-1 gene:Solyc01g087785.1 transcript:Solyc01g087785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAGFIDPNQALDPGLIYDATSQDYVNLICTIKSNSKHLQDHQPITTTTQIHQLISTIHHALLYGNLTLRGKISLDWSRNSQGWLPNVGSGAAKYTAKVETPRKCQTISIPTSFSFWEKI >Solyc02g079130.3.1 pep chromosome:SL3.0:2:44293153:44298103:-1 gene:Solyc02g079130.3 transcript:Solyc02g079130.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRPRTLLFYSSSISHISHRWVSSTTQLHASWINKIKGVFTGQKTSTDAAKVPISESFTLLRFADELGRAKKLGTLKQYVVGRGSEVTFAEAFEKQQAILQYLGALDPTGENLQTHQKQQAAKHCKCTIVDVENMLAKFTWAKEAQMKLEKMKEEGKPLPKSMAEVQKLMGSSPLDVARSNMAKSGQISRNAFCPCGSKKRYKRCCGKDSTF >Solyc08g022020.1.1.1 pep chromosome:SL3.0:8:33932301:33932450:1 gene:Solyc08g022020.1 transcript:Solyc08g022020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGILSPISKFKSHCKDEMRACCCFLCCPSTVKRDVKKKTKRSRVYSLRP >Solyc03g078630.3.1 pep chromosome:SL3.0:3:52677539:52678703:1 gene:Solyc03g078630.3 transcript:Solyc03g078630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKGTSSDHQPTMEMDVQKNPWSGDRTMPIIIQDDTYRDVINWKSLPKSFLPSSLDLTEEDTSICSKSKVFLLMVEPDDSIAAVKAYIQEEKGISFKKQKLLNEDGGVMRDAQTLESAGINKGSTLILRYAPITQIYVLTLTNRRFKLMVESDDTIADVKAAIQEKEGIRFHKQRLIYNGRQLEDDTSLVDYGIQYNSQLTLVLRLCGC >Solyc06g051465.1.1 pep chromosome:SL3.0:6:35023325:35027462:-1 gene:Solyc06g051465.1 transcript:Solyc06g051465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LNDDSFIYLVLYVDDMLIAAKKKYDIQKLKGLLSAEFEMKDLGAARKILGMEIIRDRERRKLFLSQRSCIQKVLARFGMSSSKPIDTPSAANIHLTAMFAPQSEEEKEYMSRCLVIGYSDSDYAGDVDTRRSMTGYVFTLGGSVVSWKATLQPTVTLSTTEAEYMALTEAAKEGIWLKGLILMKSMSFDCGLRREPPSIRHV >Solyc09g010920.1.1.1 pep chromosome:SL3.0:9:4259252:4261537:1 gene:Solyc09g010920.1 transcript:Solyc09g010920.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPRFNGHRSSLNNFRFGNQPLPFSSEQRMINVPRFGLIYSDQNLLNGPRLENNFVQHDFGAVGFISGDHLPTSVESRTDLGVENDFNEDIDFSDAVLSYINRMLMEEDMEDKTDMLQESLELQAKEKSLYEALGKKYPPSLEQNVFTVRNSESPDDYVAGSIYNSTSNTGDSSGYLVDPRGVNISTDCNSSYFEGLSFHNTSSLCSSNSGSNVVDGFLDSPVSSFRIPDIYDESRSILNFQKGVEEASKFLPTSNKLLNSIDINGLPSREPHRQTAYAAAQVEEKDEGETSPTEGRGKKNPLRGDNNIEEERSSKQAAVFTESTLRSEEFDIVLLNSMGKGGEALEAYQQNLRNAKSKTTVQISKKSKGGKGRGKKQGGKKEVIDLRTLMINCAQAVAADDSRIANELLKQIRQHSSPFGDGNQRLAHCFADGLEARLAGTGSQIYKALVNKRTSAADLLKAYHLYLASSPFRKISSFASNKTIMLKAENATRVHVIDFGILYGFQWPTFIQRIAEREGGPPRLRITGIEFPQPGFRPAERIEETGRRLADYARSFNVPFEYHAIAKKWESITVEDLKLDKDEFLAVNCLYRFKNLHDETIAVESSRTIVLNLVRKINPDIFVHGIVNGAYSAPFFVTRFREALFHFSALFDILETIVPREIPERRLIEREIFGREALNVIACEGWERVERPETYKQWQARIMGARFTQIPFDREEFVNKAIEKVRLGYHRDFVIDEDSQWLLLGWKGRTIYALSCWKPV >Solyc02g064690.3.1 pep chromosome:SL3.0:2:36328238:36339740:-1 gene:Solyc02g064690.3 transcript:Solyc02g064690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMAVNEQVRIVIREFNVNKDCRQVEEVERRCEVGPSGKLSLFTDLLGDPVCRVRHSPAYLMLVAEIVVGEESRGIVGMIRGCIKSVTCGKRLWRNNHDFPKLPHQQHLPVFTKLSYILGLRVSPHHRRKGIGLKLVRKMEEWFRENGAEYSYIATENDNQASVKLFTHKCGYAKFRTPSILVQPVFAHRVKISKSVTIFKLTPTEAETLYRHKFSTTEFFPKDMDSILNNKLNLGTFLAVPKGTFTCHWPGINEFLTNPPESWAVLSVWNCKDVFKLEVRGASRMTKGLAKTTRLMDRAFPWLKVPSVPEVFRPFGLHFMYGLGGEGPLSVKLTKALCDLAHNLAAESGCEVVVTEVASCEPLKLGIPHWRKLSCAEDLWCIKRLGEDYSDGSVGDWTKSRPGLSIFVDPREF >Solyc01g050075.1.1 pep chromosome:SL3.0:1:48282611:48289663:-1 gene:Solyc01g050075.1 transcript:Solyc01g050075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRSIRITDIAIPSSVLVATVNNCSTTLLNHEKQFESLGPYSDDQLYPLLFRVSNDNEDWNTYSKDLLGQDFTSQPTFYYCNITNFTAEKALDLLPRRVSRLKLTTQSQQFSPMVLSPMPTTFQPLSFLILFQVQHGTTTIALRSMILHLNLSVKHYKISFSSTEIAKKSSTILKELLVNIGPTMDTWVDPQCDHLQDLVLKPICIHPKGLPCFSHNNLCALCHLVFNRVFQQLLILRAFFMSEERENPYSRKWSNQNLRQLLCLILVPSPYFRPDPPFLKSSVSVDHVVVHVLLSRVGENRCSYRYSCRSPRGKDIMLDFTRDDIRIGHNGNLFKHARGSQTPSPISDLRLCVLLQIQQSLTTKLRLPAWSVAEEKFVVIYGVTLRPALICHLFKQSFTSHPTLICFIDSNTASKSPPMFNQSEPSITIFTNVQ >Solyc06g050770.3.1 pep chromosome:SL3.0:6:33633059:33640198:-1 gene:Solyc06g050770.3 transcript:Solyc06g050770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDQIARGEDFESKAEKKLGGWAIFGSKYEDAADLFDKAGNCFKLAKSWDKAGAVYVKLANCHLKLDSKHEAANAYADAAHCYKKSNIKEAVSCLEQAVNLFLDIGRLNMSARYYKEIAELYEQEQNLDQAINYYEKAADLFQSEDVTTTANQCKQKVAQFSAQIEKYPRAIEIFEEIARHSVNNNLLKYGVRGHLLNAGICQLCKGDVVAINNALERYQELDPTFSGTRECKLLVDLAAAIDEEDVAKFTDAVKEYDSMTQLDAWRTTLLLRVKETLKAKELEEDDLT >Solyc07g055200.3.1 pep chromosome:SL3.0:7:63442160:63446833:1 gene:Solyc07g055200.3 transcript:Solyc07g055200.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESEKEMSAAVVEGNGAVTGHIISTTIGGKNGEPKRTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDKRYKNRELQLMRLMDHPNVITLKHCFFSTTSRDELFLNLVMDYVPESLYKVLKHYSNSNQRMPLIYVKLYMYQIFRGLAYIHNVPRICHRDVKPQNLLVDPLTHQVKLCDFGSAKVLVNGEANISYICSRYYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHSFFDELREPNARLPNGRPFPPLFNFKQELTGASPDLVNKLIPEHVWRQLGLNFPFPGAT >Solyc03g062833.1.1 pep chromosome:SL3.0:3:34595244:34596234:1 gene:Solyc03g062833.1 transcript:Solyc03g062833.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKVAYHVLRYPMQDPSLGIFISKKSDLPITAYCDSDWAACSDSKRSVSGYLVLMGDNHVSWKSKKQSTISLSSAEAKYRVVRQVVAELVWLERLLDKLMDLLQPDIKSDR >Solyc01g010190.2.1.1 pep chromosome:SL3.0:1:4920302:4921220:1 gene:Solyc01g010190.2 transcript:Solyc01g010190.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTPSKKTGTSSSNSKDEAIIIYQQRKMQFHGTRKGDLETIDSYIKKLKSAADSLTAIGNPISDPDLVLQLVAGLPHSPYLLLKNTISSQLPLPNFSEACSMLYEYEKTTSTPPPPPPSRGENSTSNTGKSTLEMIHDIANTVTSVVPIASEICNAISRRWSVSGSSGTPTRATPGPGNTKKGSTGGRGRGNNNRGRGRGGGGGRGGSSTRNSTGK >Solyc07g042230.1.1.1 pep chromosome:SL3.0:7:55412937:55413440:-1 gene:Solyc07g042230.1 transcript:Solyc07g042230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRAKVKKEKKVKGDRYKGVRMRKWGKWVAEVRQPKSRDRIWLGSYDTAEEAARAYDAAVVCLRGPSAMINFPDDPPLISCDADNYKLSPSEIQVKASRHARSSSTRVSEELAAAAATVVVDHHHSRSAAVESVFFRDDLEFGCSSLDHGEVVLHDDLFDSARMWSF >Solyc01g100380.3.1 pep chromosome:SL3.0:1:90305087:90308815:-1 gene:Solyc01g100380.3 transcript:Solyc01g100380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATRRMKSPSSLHLVAVFSLLVVAAAAEVFFQESFNDGWESRWVKSEWKKDENMAGEWNHTSGKWNGDANDKGIQTSEDYRFYAISAEFPEFSNKGKNLVFQFSVKHEQKLDCGGGYMKLLSGDVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILTYNETNHLIKKEVPCETDQLTHVYTFILRPDATYSILIDNVEKQSGSLYSDWDILPPKKIKDPSAKKPEDWDDKEFIDDPEDKKPEGYDDIPEEITDPEAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKAKKIKNPNYKGKWKAPMIDNPDFKDDPDLYVFPKLKYVGVELWQVKSGTLFDNVVICDDPEFAKSIAEETWGKQKDAEKAAFEEAEKKREEEESKNAPAESDDEL >Solyc11g042810.2.1 pep chromosome:SL3.0:11:34361350:34363825:-1 gene:Solyc11g042810.2 transcript:Solyc11g042810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4D848] MRSGKLPVSGKTIMLLCLASFLGGTIFASRKWTQPDSEANTDLVLPTMSNHDKLSTISRECDHKRKLAETNSGYIMGEVMKTHQAIQSLDKSISTLEMELAIARTRQKVTQNTKENRASNQSIPNKAFMVIGINTAFSSRKRRDSLRETWMPKGDKLRKLEKEKGIVIRFVIGHSATQGVLDRAIDSEEAQYKDFLRLDHIEGYHELSTKTRLYFSKVISIWDADFYVKVDDDVHLNLGMLAVTLAKYKSRPRVYIGCMKSGPVLSQKGLKYHEPEYLKFGEEGNKYFRHATGQIYAISRDLAGYISMNSGILHRYANEDVSLGSWLIGLEVEHVDERSMCCGTPPECEWKAKGGNMCVASFDWSCSGVCNSVERMKHVHHSCGESDSALWNPL >Solyc11g044690.1.1.1 pep chromosome:SL3.0:11:32633562:32633714:-1 gene:Solyc11g044690.1 transcript:Solyc11g044690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGEFQDRASMDHQLRSRKTKRVVIFTDNNATKDPKEEGVLISYSQQSDFR >Solyc07g006220.2.1.1 pep chromosome:SL3.0:7:1040137:1041437:-1 gene:Solyc07g006220.2 transcript:Solyc07g006220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLEEELFPSTPGKFKDRNRHFHRCFASTSNMFLWALFLIALTASYLCFQSFMDSGNRYFTSTWGGHHWERNVINSAQIRRSHGGMSVLVTGAAGFVGSHVSLALKKRGDGVVGIDNFNNYYDPSLKKARKNLLTQNSIFIVDGDINDSKLLVKLFDIARFTHVMHLAAQAGVRYAMENPGSYIHSNIAGLVSLLEVCKNSNPQPAIVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDVVKGCIGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVTVPMMVAMLEKHLKVKAKKNFVVMPGNGDVPFTHANISSARKEFGYKPTTDLQTGLKKFVKWYLSYYGYDQGKL >Solyc03g116335.1.1 pep chromosome:SL3.0:3:67304624:67305688:1 gene:Solyc03g116335.1 transcript:Solyc03g116335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFSKLIFVTLYTRRCHLKTTITTPVHHRFATNYTRKKNHKNQPQIIRSLLRLHHTIFFGQYLSPISMAIMLYVVNDLGIEYYQVRGVACVKGREQKSWGFFYEFFVLALVKNWELKLIIEKWGSNAVIYYPVFRNKECFCLVRLNFTYLNLGVPLFNSIA >Solyc12g099590.1.1.1 pep chromosome:SL3.0:12:67667955:67668359:-1 gene:Solyc12g099590.1 transcript:Solyc12g099590.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADNEIKNMISDLTKRLGSLKNVRDKAALGDSSQSDDDDHGTRIITLAGTNVGASLRGEMEEKVGIEDDSSEENEVLKTYVNSNFQSLNNSIMLGGSYCTNDPGVHLDINDDIEHHEPLPRGHGEKKGNKQYSD >Solyc08g078203.1.1 pep chromosome:SL3.0:8:62172323:62181065:1 gene:Solyc08g078203.1 transcript:Solyc08g078203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLRSSSSSSKEQINGEKNRSLLYLNVYDLTPVNNYLYWAGLGVFHSGIEVHGLEYGYGAHEYPSSGIFEVEPRSCPGFTFRRSVLLGSTDMSRSEVRSYMEHISGKYHGDSYHLIAKNCNHFADEVCSHLTGKPIPGWVNRLARVGSFCNCILPESIQVTRIRHLPDHQALSEDGTDSDASSVSVDSEGEDSDHQLLTVQNSDMAFLNEKPVRLAKELL >Solyc10g050980.1.1.1 pep chromosome:SL3.0:10:51191783:51191983:1 gene:Solyc10g050980.1 transcript:Solyc10g050980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSGLVDMWTNEVQSRNKTDKDEAIVDTRKGSSELVREKCISLLSKFNKSMSCSEASVAMIVDCVSP >Solyc12g011440.2.1 pep chromosome:SL3.0:12:4254487:4261645:1 gene:Solyc12g011440.2 transcript:Solyc12g011440.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPCKLIFRQLFEKESSTYTYLLADSLHPDKPALLIDPVDRTVDRDLALVKELGLKLIYAINTHVHADHVTGSGLIKTKVPGVKSIISKASNAKADLFVEPGDNIYIGDIHLEVRATPGHTLGCITYVTGDGVDQPQPRMAFTGDALLIRGCGRTDFQIFTLPKDTLVYPAHDYKGFTVSTVGEEMLYNPRLSKDKLLMKITFFHTDLNLSYPKMIDIAVPSNMAVAVPVPPPEYGTFQASSQPVMGFPQPVPPPGAMGAPTPEYYAHGYQAVPGYTAPDPEGRPERHHRLPCCGIGLGWFLFIIGFLLAGIPWYLAAFVLLCAQIDPREKPGYIVSTIAAVLATFVLVFGLSKD >Solyc08g079050.2.1 pep chromosome:SL3.0:8:62812834:62814268:1 gene:Solyc08g079050.2 transcript:Solyc08g079050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKAIAHFGFKKSSKPFKDYYDGWFKTLKNVLLPQLRHAMSSSATSGPILLASHVEVMHRHFLKYYEALDLAAANDVSQVLYPDWRNPFEKPFLWLGDLHPYLFTNLLRSFIGDSESEIDSDIFDKLQNWHVVMAWKSPSRKLTTGVDQIECGLRLMVPALAARARDAQAKFVEKMAVEWGKCEGRKQEMKGVVGESSAAEMEELVGVFVDANRLRRSVLSDILNVTDVNQAAVFLEALAQFLVGFRNRELLSQFDKCSLEL >Solyc03g096420.1.1.1 pep chromosome:SL3.0:3:59948847:59949062:1 gene:Solyc03g096420.1 transcript:Solyc03g096420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKKNNNMLIVILMMMLVFIFMTVCTNAESCSEYCWDSCSYCDVRPLYEDCCINRCCPTFAQSLLNILRPN >Solyc08g082400.1.1.1 pep chromosome:SL3.0:8:65337877:65338629:-1 gene:Solyc08g082400.1 transcript:Solyc08g082400.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASASSFQLSSLQIQTPPLKKSNFLGQSVNLNLSSSVHTKSAMKSSSNSVTPIAKFNLYEILGGRGLCNGEEGIEKELKKSISEEQKAVGSAAAAASDDDNQENKETGEIPEDGFEKEMMGFTGGFPGGEKGLMKFIEKNPPPPPPKKTESSMVSGFNQSLVKKPKPPELPLLLPGMIAIVKNSNNPYYMYCGIVQRITDGKAAVLFEGGNWDRLISFRLEELERREKGPPMVNLKSVILEKMVEKSSEA >Solyc05g055590.2.1 pep chromosome:SL3.0:5:66007224:66009574:-1 gene:Solyc05g055590.2 transcript:Solyc05g055590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRRGGRSESKQCLNHVSSDFVGNTNEERSALVATSLSGKISKTRGNSLNFAHRNVHSRMVKSRISKKKLVADEIIFINNESYSEALVAITAGFPSDSLKDEEIEAGVVSEDGGTEVYNYILLRNHIITKWRGNVSIWLTKDMFVDVIPEQYSGLLDSAYNYLLSYGYVNFGVTLAIKDKIPTRPSKGRVIVIGAGLAGLAAARQLMLFGFEVIVLEGRKRAGGRVYTKKMEGGNKIAAADLGGSVLTSTLGNPLGVLARQLSYTLHTVRDRCPLYHADGTPVDEYLDKKVEVAYNELLEKASKVRQDLSPIISLGEALETLRKDSSVAMNDEEMNLFNWHLANLEYANASLLSKLSLRFWDQDDPYDMGGDHCFLPGGNGRLIDALAENVPISFEKTVHTIRYGRDRVKVITAGQVFEGDMVLCTVPLGVLKRGSISFFPELPQRKLDTIRRLGFGLLNKVALLFPYVFWDSNVDTFGHVADDSKHRGEFFLFYNYATVSGGPLLLALVAGKAAHRFERMTPTDAVTKVLQILKGIYEPQGINVPKPIQIVFTSWGSDPFSYGSYSSVAVGASGDDYDILAETVGDGRLFFAGEATTRHYPATMHGAFLTGLREAAKMAHHASVRTSHLQAEKK >Solyc04g050030.1.1 pep chromosome:SL3.0:4:45126022:45129482:-1 gene:Solyc04g050030.1 transcript:Solyc04g050030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSPHVTVHTPTIRIRKGQGVNRSISLMGTLYDDGAQVHFEIASNQRFYPYFKAKPKAADLRTNSIRNYDKLMMLYGKDRASGKHADTGPDMLKRGTNNNLKRSSTSSLTIEEADEMISMNDASLENTEGDKPDKQSQPINDALKSYVSSKVSTHERNKKSKHNHFEGMTDILRGGMDNLADAINLLLTMPPISRKRELANDKRDEFEISYEPKGLYIYLSRCKYVSHIDWIFTKRTQVSFIDHDAST >Solyc12g099770.2.1 pep chromosome:SL3.0:12:67760403:67764528:1 gene:Solyc12g099770.2 transcript:Solyc12g099770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial fission 1 protein [Source:UniProtKB/TrEMBL;Acc:K4DHZ8] MDAKIGKFFDSIGDFFTGGDQIPWCDSDIVAGCEREVAEAEKGSSDDLKSECIMRLSWALVHSRRPEDIQRGIAMLEASLGGSSSPLQMREKLYLLAVGYYRSGDFPRSRQLVDRCLEIAPDWRQALTLKKTIEEKITKDGVIGIGIAATAVGVLVGGIAAALARKG >Solyc04g074145.1.1 pep chromosome:SL3.0:4:60229655:60233034:1 gene:Solyc04g074145.1 transcript:Solyc04g074145.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSNCLGCRAPLAQVEFLYLTKLALSSHITLAPADDVGDWVYFVSNGKKRSNLSAYILKQDSLHPSYKSFLNKHGGKALVILQGVKDLACGNSVMNLEAIENHYKSNGINIKLDPQMKVPCSIVHENQGCGAHFISFLIQAYKRALPVYLPVYLVPALIVHRQGILKRPNTILAKGLLGTARSSLFLSMYCSSAWLFKRCNIPMVALGTFPTGLALAIEKKSRRIEISLYCLARALESFVTCMADVGYLPQSEKLKRGDVVIFSMATAIIMHCYAMERDVFKSKYLNVLDWVFGVPLPPYDTTPRKKWRSSSI >Solyc01g103230.3.1 pep chromosome:SL3.0:1:91754749:91769676:1 gene:Solyc01g103230.3 transcript:Solyc01g103230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Non-lysosomal glucosylceramidase [Source:UniProtKB/TrEMBL;Acc:K4B1P9] MSDSVTFPGDMLINGSNKGGRECCKDSEVTVDPAKLPSLTWQRKLNCDDISLSEFDLKLKEVVSLAPLGFRLWKFLQEEKAKGKDALFINPFIKRVYSSCQGVPLGGMGAGSIGRSFKGEFLRWQIFPRICEDKPVLADQFSIFVTRPNGEKYSTVLCPRTPNDSSASGIGSWDWNLGGQNSTYHGLYPRAWTVYDGEPDPALRIVCRQISPFIPHNYKESSLPTSVFTFTLHNLGNTSADVTLLFTWANSAGGDSGISSHHFNSKFRTDDGVQGVLLHHMTSKELPSVTFAIAAEENDAVHVSECPFFVISGDSQGITAKDMWNEVKKHGSFDHLQSEEKSMPSEPGSLVGAAVAASLTIPADDVRSATFSLAWACPEINFGDGKTYQRRYTKFYGTTGHAAAEIAHDAIQEHTQWESQIEEWQKPIIEDKRLPEWYPVTLFNELYYLNAGGTIWTDGLPPVQSVSTIGKRFSIERSSSDVKKNAHLTHSDGTAVSILERMGSVFEELHTPVSVNAAVGTNLLQKGEENIGQFLYLEGIEYHMCNTYDVHFYASFALAMLFPKVELSIQRDYAAAVMMHDPSKRKLLDDGMSATRNVLGALPHDIGMDDPWFEVNYYCLYNTDRWKDLNPKFVLQVYRDFVATGDKKFAEAVWPSVYMAIAFMDQFDKDGDGMIENEGFPDQTYDVWSVSGVSAYCGGLWVAALQAASALAREVGDKGSEDYFWFKFQKAKEVYQKLWNGSYFNYDNSGSAVSSSIQADQLAGQWYARACGLLPIVDEEKAKTALETVFNFNVMKVKDGRRGAVNGMRPSGEPDLSSLQSREIWSGVTYAVAAAMILEGMVDTGFKTASGVYETVWSEDGFGYAFQTPEGWTTEGRYRALGYMRPLAIWAMQWALNPPKIPKQEAKPKLEADSLSRQHAGFQAVARLLKLPKEKDARSVFQVLFDYTCKRITG >Solyc06g005930.2.1 pep chromosome:SL3.0:6:916371:919598:1 gene:Solyc06g005930.2 transcript:Solyc06g005930.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSKHTTELVIIDISRKLSRHNFNNTIFKLFSIDIVALPKLILEDITANTLFMHGYTGNNLWFVVAAGVVGCKTESELKPTLLINMIFGETLENGVKDESQKNFDEITSTMNNVESSNFYHVDIYGLDSTEYNFDSQFYLAVVLQLRQDFPQLIGDIEICNSLISSADIAAFEKLNLKVFSMNDHSRMKAQRPTIFYITDLDYDFIGNLLRANWSPACLNESIWMAYSLEKTFNYMKLTNRNNLETKIRLERILKFTTEVRIKTWSEQTSDSFKGYSWHFFEVDTITDIDVEKLEHCSDLRKRYELDTPTDEINESANGNVGALVDRIKELKRYVKMSQFYIRMLYDLNENKIMKERFEKVLGSDTQVPVVIYCLGSVEYDLSPKIQLALILHLKENVEWIGNLEIYDPVMSELDKSACYELGLTVLEYNEDCKRKAQRPTMFYMPYPSHFLIGNLLGANWSSLCLSHIILLTCSLHEEFKQVSHDLLNNHEAMIRLQKILSFTTEFDIKITQEEIDEQFPQVAWHFFGVDANFDTEIGQPGYYSFDMQRYVETRLLSCGMENDKISDWVKEVVGHYRMPHHVRCHSVALSSGWIKLNIHGTSRKEKQPGKFSGVFRDAEGLCLGSYSGVSDVQEDDVLVELEALLRGLGKCIEGEPKAKRLIVESDKTMLVLCVNGRLEPNSSDMEHMLDEILELQKVITCVLYHVSEEVSEAAGVC >Solyc09g090670.3.1 pep chromosome:SL3.0:9:70589828:70601306:-1 gene:Solyc09g090670.3 transcript:Solyc09g090670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYGVKDTVSEKLSRLFSASPSKSVDQQSQARLYTKEGKSITSFLSFLLPSTYFVNVRDRREVKPLQSNSFTWRSKSFSLRDKPLDEFEVHNDYKEIPDIHPEEGENGSIRSSVCVKETVNADNDNGEPTSAGSIASGSETFEDAPDRHSFEQSLAYLTTDSVFITPNLYDFFQSSLPNIVKGCQWVLLYSTARHGISLRTLIRKSADISGPCLLITGDKKGAVFGGLLEAPLKPTAKRKYQGTNQSFVFTTLYGEPRLFRPTGANRYFHLCLNDILALGGGGNFALSLDGELLSGSSGPCETFGNSFLAHDQEFELRTVELWGFAHASRYLPSCQA >Solyc05g005330.3.1 pep chromosome:SL3.0:5:262370:271073:1 gene:Solyc05g005330.3 transcript:Solyc05g005330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSTSSYEYLSIGKDYDLSYLENLANEWDSSLPRNKEPKNLIEIIYMNLKFLDMFLSLQSFTTLCWYATQKVQDVFSYTEVEVKRINYPGDVASVFFQLEEHFCETKLEIRDKYSFPEASALPLSSIVATPEFAKKFIDTVVRNLSGLSEICHPYIELVPHSSKQPMEEVVKELKLLRNFVYFISDRICSDESDLQSQHTFLTHVLVMAGHAAMIAWLNLPNHWKEKNLASAKMNASFSDFQKRIQPIQPCVRKIYVDVLQALKSGSYPNIEAEHVSDYKYGFVETLVHRLKVLPVIFKDQMPMLKFLRDNLINLPREAFNDLDTAIIDAGILVYSLYDSVEEKEATAVGDPNQVPVLDFSGDIPSIQALIYLITRKSFHSKLPKIDGLGSIDIILDHLKEYLILYSDSLSSIKSQLWTIQQQLEHFQKHHNGSFQYFSMQAIAIAYEVYHLVVSCINNEIPQWCLVLWIGDIIEEITLLMKEVMKNRDEKKVADLVLHTTTDVVSAHTSQLARITSTREEMVGFDEVVQTLKGKLIRGSSELDLISIVGMAGLGKTTLANKLFLDQFVVSHFDVRAQCCVSQVYTRKDLLLTILRSVKKDIVISDKLPENELADKLRKLLFGQRYLILIDDVWETTACDELMPCFYDANNGSRLILTTRHDHVAYHAKLVSDPHFLRKFTLEESWMLLKNKVFNEKCCPVVLEDVGQKIAQKCGGLPLSVVLVAGILETMEKEEHCWEQVAINLGPHIQAKSEDIINLSYQDLPFHLKPCFLYFGVLSEDEEIKVSKLTWLWTAEGLVRTHTEKLSEDIAENYLKNLIGRNLVMVSKKSSDGKTKTCRIHDLLLEFCKKKAKVENFLQCIDGDNANMNLSSTSYQKHSIQRRVCLQFQVDNLAEWSSIYSEVQSFHLMKGRKIGLSSIRYASHTFNSFKFLWVLDLEFTVIDSFPEALTCLRYVAVKVAEDSSLTFSDNLWNLETLIIKGLGGRVTLPDTIWKMVKLQHLHVYNRAIFNINNAHREMDGLRTLSSTWFSCVEDADRIFANTPNLQKLRCEVLSCHGFFPAFNNLEMLKFSWGRSGTWVTELNLPPNLKKLTLSNGCVYRLDQVAILPRLVVLKLLNVSISSQVWKVTDEHFPHLKFLKLQDLSFSVWDVSDDAFPYLEHLVLRRCQYLRMIPSCFGYMTSLKSIAIKSCKEESLAESALEIKNMQVDEIGNSDFQVSIHK >Solyc02g067570.3.1 pep chromosome:SL3.0:2:38289328:38302805:1 gene:Solyc02g067570.3 transcript:Solyc02g067570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMLILNLSGHLVHRRENRKYGQSQLPGSGAGGGDGTGGGGTGASASTQKLTTNDALSYLKEVKDMFQDQREKYDLFLDVMKDFKAQRIDTTGVIARVKDLFKGHPNLILGFNTFLPKGYEITLTDEEQAPPKKTVEFEEAISFVNKIKKRFQNDDHVYKSFLDILNMYRKEHKGITEVYKEVAALFEDHADLLDEFTRFLPDNSGTASATQTLFGRPSFQRYDERSSSIPLLRQSNMDKQRFRRDRIINLHAERDLSVDPPEMEDDKTMMKLHKEQKRRAEKENRDRRGRDQDYREPDNENNGDLSMHRSTDKRKSARRVEEFGGTYDDKDGVKNMYSQEFTFCERVKERLRSPTDYQAFLKCLHIYSTEIITRKELQSLVADLLGKYPDLMEGFNEFLERCERIDGFLAGVMSKKSLWNEGHTSKSVKEEEKDKEQKREIDGGKEKDRYKEKYWGKSIQELDLSNCQSCTPSYRLLPEDYPIPTASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQYEESLFRCEDDRFELDMLLESVSSAAKRAEELLNSLNDNSVGADGPIRIEDHFTALNLRCIERIYGDHGLDVVDILRKNLPVTLPVVLTRLKQKQEEWTKCRSDFNKVWAEIYSKNHYKSLDHRSFYFKQQDSKNLSTKSLVAEIKEIKDQKLKEDDMILAIAAGSRHPISPHLDFEFSDPEVHEDLYKFIKYSCKEVCSTEEQLNKVLRFWTTFLEPMFGVTNRLHGSEAADDDILSKHHGLKRNGTSIGDSDGSPSMDASTTKSKKSKVVCNGDAKCSPQRLNSSRISVANTDAHPKEDGLAADGEHLISSDAAASLGADNVCARSESTSGCNTRPRNGTAEDGQGAKCNIDNLPNSEGDISRSLPLVNNGFAEGSRISGYNADSVDPSKNEKEEGELSPNGDFEEDNFVGFRDCASLNGSMQYQSGGAEVVGCQDAAGDNDADADDEDSENVSEAGEDNSGSESAADECSREEHEEEDDVDHDELDGKVESEGEVEGTSEANFIGGDGSVLQMSERFLLTSKPLAKHMVSPQCGGVKNGMQVFYGNDDFYVLFRLHQILYERLLSAKLNAASSESKWKTGKDTGSDPYARFIHALYSLLDGSADNAKFEDDCRSIIGNQSYVLFTLDKLIYKLVKQLQTVSSDELDGKLLQLYEYERSRKSEKYVDSVYYEDAHVLLHEENIYRFVCTSSPTHLSIQLMDDGSEKSEAVAVYVDPNFSGYLHNDYLSVEHGKKESSAVMLKRNKRKHTNHDVSSALCMVMENIILVNGLECKMASNSSKISYVLDTEDFFYRLGRKRRNISAGRLSYHGHERIERFHHVLTSLL >Solyc01g096060.3.1 pep chromosome:SL3.0:1:87033872:87040159:1 gene:Solyc01g096060.3 transcript:Solyc01g096060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSYDEYEWKERQPVQVKGKKHDRGSLNSKFPLIPPSLSCSNFGNRKSIAGKGNSSISIASLPFLPFKIPTKPYSCIYILYVFLQIILFFLLSQCFLNTLSPLPEPRRRAETALSEASERSNYGLAVLHLVAEPSVDEQIRQSAAVNFKNHLKARWAPSPPKEPNLPALNPISDPEKELIKSLIVSLMLKSSPKIQSQLSEALAVIGKHDFPKAWQSLLPELVANLDTLTQANDYASVNGVLATINSLFKKFRYQFKTNELLLDLKYCLDNFAKPLLEVFKRTVNLIDQAVACGAANAATLKLYIESQRLCCRIFYSLNFQELPEFFEDHMDEWMIEFKKYLTVKYPVLEDTGDDGLAVVDGLRAAVCENIGLYMEKEEELFQKYLSGFVEAVWSLLVASSASSSRERLTVTAIKFLTTVSTSVHHILFERDDILEQICQSIVIPNVMLRDEDEELFEMNYIEFIRRDMEGSDLDTRRRIACELLKGIGMHYKDKVTAKVSLQIQNCLGLFSQNPDANWKYKDCAIYLVVSLATKKAGGSSVSTDLVDVENFFGSVIVPELQSRDVNAFPMLKAGALKFFTMFRNQLSKAVAMALLPDVVRFLASESNVVHSYAASCIEKLLLVKDDGTRARYTAADISPFLLVLMTNLFSALEKPESEENQYIMKCIMRVLGAAEISRDVASACITGLTNVLNRVCENPKNPIFNHYLFESVAVLIRRACERDPTLISAFEGSLFPSLQMVLAKDVSEFFPYAFQLLAQLVELNRPPVPQHYVQIFEILLLPESWKKSANVPALVRLLQAFLRKAPHELNQQGRLSNVLGIFNTLISSPSTDDQGFYVLNTVIENLGYDVISPFMGHIWVSLFNRLQHGRTVKFLKNLVIFMSLFLVKHGLQNLVVSMNAVQKDVFQTIVEQFWVLNLKLITGSVELKLTSVASTKLICESSTLLDPKVRGKMLDSIVTLLSRPEEERVLDETDVPDFGETVGYNATFVHLYNAGKKEEDPLKEVNDPKQYLVIRSLLGKILSQQIKQLFFSSAAPTISQYFEKNPCGGMLSSLYFLILFNYHFILCNSYTSFFKDQNLIVRLEFYLDHGNPVFRLSAIIFSFAVTDKQKIYMACGQEGGSWSRQESVVSILALV >Solyc11g050890.2.1 pep chromosome:SL3.0:11:16743600:16743984:1 gene:Solyc11g050890.2 transcript:Solyc11g050890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLGTRPNTRGDSGGNHAHWSLSL >Solyc04g079570.1.1.1 pep chromosome:SL3.0:4:64076563:64077282:-1 gene:Solyc04g079570.1 transcript:Solyc04g079570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSEIGDRRPARLPAPVNGTRPSEPENLPCPRCDSTNTKFCYYNNYNLSQPRHFCKSCRRYWTRGGTLRNVPVGGGTRKNSSHKRPRINSGAGTVQEQTNPITMMGSGSGHVSGSGSMSLMGCEVNLNESVHEGGNGTSSFTSLLTAPVGVGVGGFVPLGGFGLGLGGFGLGNLDWPMEQVSGGGNGGDGGENDKWQLSGGEMEGGGGGGGSGGGGIGGDDDCFGWPDLAISAPGTSLK >Solyc06g069375.1.1 pep chromosome:SL3.0:6:43240961:43242744:1 gene:Solyc06g069375.1 transcript:Solyc06g069375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQGFIDHSQGTNSASSPALIRAAKFDENKIGISATGPVTEQTQEPNRTSSVKESSFLSELTNRAATLCMQPSTFPFGGHTSIASLKSILAPDAGSLELKSQPQCPSNRLNMANYAVTNDKLKGQEVSTESGTLSISSVYSQRLMNTLKQALQSSGVDLSQANMSVQIDLAKRADDRSNASTSNFKGDNISSRNQPTPLFIDTSTREESVHAFKRLKTS >Solyc06g075370.3.1 pep chromosome:SL3.0:6:46926740:46928546:-1 gene:Solyc06g075370.3 transcript:Solyc06g075370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSSAQHQHHQELSSQTLESMLVSTKPQQQDPKKPKPPEQAINCPRCDSSNTKFCYYNNYSLSQPRYFCKSCRRYWTKGGTLRNVPVGGGCRKNKRSSSSRSSSISSQDQHSIVNTPNNPFPYDSSDLSLAFARLQKQGNGQLGFENHGNLSMMCNENPSGIFLDALRGNTGFLENNNPMNGLIHQQNLYYGVGNIINGDIGLHNVENGGLGVNNNDQEVGLMHNYDQEISSGVTTSTTMTTVKQEMCNMAKDQGDHNRVLWGFPWQINGEGINMADFDSTRRMWNGVGGSSWHGLLNSPLM >Solyc01g044545.1.1 pep chromosome:SL3.0:1:44397016:44397548:-1 gene:Solyc01g044545.1 transcript:Solyc01g044545.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRKCDHSVFYQQSIATLKSFLHMKFHKKDLGKLKYFIGVEVNQSKNGVLLSQRKYILDLVGETGKLEAKPCNTPMIPNVHLMKDEGDPFDDPERYRRIVGKLNYLIVTRPDISFAVCIVSQFMAACMVKHWAALEQILCFLKGAPELVLLYNNH >Solyc03g093790.3.1 pep chromosome:SL3.0:3:56899618:56903052:1 gene:Solyc03g093790.3 transcript:Solyc03g093790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cyclin [Source:UniProtKB/TrEMBL;Acc:K4BIQ5] MGEIDKCDEEMPKFLNLMACVVERVAERNDVKSRSKISIFDGLSRPTISVQSYLERIFKYANCSPSCFIVAYIYLDRFSQMQPLLPINSFNVHRLLITSVLVSAKFMDDIFYNNGYYGKVGGISTREMNLLEVDFLFGIGFQLNVTPTTFHTYCSYLHTEMLMLEIPMPLKIVNQQYCYVNDDESSTHELDVSQII >Solyc06g048610.3.1 pep chromosome:SL3.0:6:31442517:31452277:-1 gene:Solyc06g048610.3 transcript:Solyc06g048610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAADRKQNIVSALCKHLSTLSLDPNSYSTNLDKDIKSLCIDIFNSSPSGPSITKDNELMKWIEFASNFPVDSGTSLKALSELNEDLSKKSVILGNGLKISEADIVVFAAVHSSVISLSNSDRNKLPYLLRWMDYIQTKGDVGDAFQKILLEKVQFEPPAAKCVKKVEVESNAKKTDTETKPASSSDVDSKKKNNSGVKKAAADNQTSAEKKLPEKVDDKDKDISVSLLKLQIGHIKKAWKHPSAESLLVEEIDVGEAKCRQVVSGLAKFCSPEQLTNRLVVLVTNMKPSKLRDVISEGMVLCASNEDHTVVEPLVAPEGAKVGECISFAGHDGKPEDVLNPKKKQFEKIAVNLFTDDKGVATFKGIPFMTSAGPCTSSIPRATVK >Solyc04g077740.1.1.1 pep chromosome:SL3.0:4:62743716:62744798:1 gene:Solyc04g077740.1 transcript:Solyc04g077740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQTLNPYPSTSKTAEIMARYRPIAPKPEAPTSPVSEDNPTGLPPNIQKSPFLRNVWPQLQARPTRTRKRGRTALGPPSMKRARGNYFPAGQFPNYHQVVAASPSYRPNVVPQFTLIPNLLPLKCGLGTSVTTPSNSITLPLMACTTTTLPMLVEKHSGEEIRGIDLNLAADGPEELDFMPQLQGPKTPGPVVITPQPVRPVGSSISIGCINEEEAPDGGATNKKFIKKPEEVEEEVEAEALPAVVSDSNNKVRLTNAAYKEMVGQPECCWLDYMVGNACKRIGGEVILEFLDSSCSVPMSSDGFNCWVKIEWGAAQGKKNSVKAFCNAVKLACQSKDYVFEWRFHTTDDNTPESAASNI >Solyc01g011090.3.1 pep chromosome:SL3.0:1:7549994:7554785:1 gene:Solyc01g011090.3 transcript:Solyc01g011090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGGWRGSGSAASTNRAPILNRISSSRSIRLGQVQPQAPGHRTVFLNDRDANVLAKFKGNSVSTTKYDVLTFLPKGLFEQKRFQNDKSINNSSIDMLQDQKWVNVPWKKLQAGDIVRVLSHQHLRWGR >Solyc09g011107.1.1 pep chromosome:SL3.0:9:4433057:4434385:1 gene:Solyc09g011107.1 transcript:Solyc09g011107.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVCAANSNINVNEAKKNKQHNRFSSGEKEEEEGSVAATTTMNWWCDLVLAKSNGWITNNVEVHIINALPPNSEPFALHCKSGDDDLGNKTIVAHDEFDINFNEKIFGGTLFFCRFWWNSKSIVFDVYNNHVSKSCGMKDIVTDECYWRVQEDGFYFNGHRDPIDRFVKKYDW >Solyc06g065010.3.1 pep chromosome:SL3.0:6:40654515:40656693:1 gene:Solyc06g065010.3 transcript:Solyc06g065010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSSLFHLYLCILVVCFVHPILCISSHGKPQAGLFVFGDSLFDPGNNNYINTTTEYQANWRPYGESFFKYPTGRFSDGRLIPDFVAEYANLPLIPSYFEIGKQHFVHGVNFASGGAGCLVETHRGFVIDLQTQLRYFKKVVKLSKKKVGKTESKQIISNAVYIFSAGGNDYLAPLSTNSSYPEREYLKMIMGNLTSVLKGIYKEGGRKFVMLNMVPIGRLPNTIALNGNSIEKITSLVKMHNWALPGMLKQLEKQLPGFKYTLFDLFKVSSDSIDNPTKYGFKTSKTACCGAGPLRGIYSCGGKRQVKKYKLCKNVKDNLFFDSFHPSELAYKQYAEVLWNGTPDIIAPYNLKSFFELAT >Solyc08g067370.1.1.1 pep chromosome:SL3.0:8:56478064:56479362:-1 gene:Solyc08g067370.1 transcript:Solyc08g067370.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASQQPPRPLFRFASMVRPAAQPPPASAPPAPSPQPMFRPTTPFRPASPAVTAPQPPQPPVPTAATPVAVVTAPPQPQAPAPGSPISPQRRQPAEVTSPPRSPVAPPPQSVVSPPKAPSPRSPVAAQPRSAATEVPKSPVIRASPVIRTTTSVPQSPVKPLASNVTTESAPTTTPYASSRPSPKTKSTDSIQTLINQSSPSKAPPPSQNVFQPNLVKSQTYSPQTKPAVSHPPSPLKLPPSQLELESKIPSQVDQKTVVVQETTRANTNGHHHHHVQQTARNGNTMENGKQELVKKEKGVHQKKKVSNSNAADDFGTSVLTLAGENKGAIMELSPSRKTYSPRSLQNKGSPKSWSSEDDGEKSGSESGRKGDKMQNKSLPMTAFMNSNVQGINNSILHNASCTHHDPGVHLVFSRKTNGSNGLHMIKGSQKS >Solyc09g064940.3.1 pep chromosome:SL3.0:9:62711504:62719502:1 gene:Solyc09g064940.3 transcript:Solyc09g064940.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATSEILQTEQDLLMMSSLFDDSKGQNVKSRGFDIEKKIEFLESLAGKVSNRRTRRWLNDRLLMELVPRLNAEEIRGLFAPPPFGDDVPLSVFCMTNVEEWDKFRNIDMDKEASMMDALEGKTSKRKSCVDNDKVAVLTAWHRVDCRTRDAIRRNFLPELVNNYEVLQQTPAQHQCIRAFVKESDRDVLVIRVQDPFQRLLLHGVCEFYNLISVTTSETEGNKAVKMTRITKKKAGSTDLPNITLCDFLKMAKEGSCYPDVCTNKSMTELGNVLFLGALVRPRMVDAFTDTAFKGNPAAVCLLEEEKDDKWLQSVAAEFNLSETCYLIPLIEPANTNPRFGLRWFTPVDEVDLCGHATLAAAHFLFSYGLVKTDTIEFSTRSGILIAKKVPEPKVSNSQDDWPTGYSIELDFPVVQVAETNFNDVLAISKSLNGASVVEIYETSMGDHFILLPSGEEVVECQPQIDLIQNCPGRGIIITGPAPQGSGFDFYSRFFCPKFGINEDPVCGSAHCALAPYWRKKLGKCDFVALAASPRGGVVKLHLDDEKQRVCLRGKAVAVMEGSLLV >Solyc11g045160.2.1 pep chromosome:SL3.0:11:31771098:31812848:-1 gene:Solyc11g045160.2 transcript:Solyc11g045160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLTSSAVTVFGLKLSSLTIMRQLISGKVRDGSVNKLVEVKGLELYCNTLQSSHEVMRHNAVDSNSQARESEANNDGCMLVPLDVTLSLSVNRLGRLEKDVPQYFISVELNSVVVSLDEIQMQQILSICDYLLTCQLREKYGRFRPWWSPLGKKIKGWQTAWWQYAQKSVLLDVQQRLRRTSWKYLGERLNCRRKYVNLYKIKLKCLRQDQVIDVDVLQMLEEMEKKSEVGDILNYRSTAERELQDILLNSSSSNVSNIVNTVKPMEDEHMSSKPRGWLNWLSRGMLGAGGTDDSSQFSGVISDDVVKDIYEATKFQPVLSLDDDAPADNQIYFSSLKFNIKQVSASIRSMKLGYAVANLVLEGISVGCETWEETAVIIGEINSVKMLNPFNKQVVLRTRVNSDRGKPKIHQPSLSFQLDMSRLKLDSTLSVKASVQPLQISCDLECFKNIMSLSSLLEHSYSLQDRILSSINKIQNTTARLQTKIEHVLSNRKTVTWNVHILGIAILVPGGGADSDMPKMVLEAGELTFGSKGDRDTLLASPLCTSNVVLGCQLQDLYDHFEININDLEVKLLTSNSSRTVPLLEKLCTNINLTLCIIADESELKNCEVDIEVSPVLAHFSPSLYGAIMDLIADFDILGLSSDSLLPTTVDGSVISAIFWFSIAANVKSIGFLIDFESDVENACSLMLLLQILNIRFDGNESLEGRASVKEINIHNYGGKSEGKSLIFCSSQGLSGSMYQDCNDVSIGPQSGNSDERSSTNDLCLVFHYKTCGNSGFIGHECKLSLSGLDIHCHRFIIGVFVGFIDKLSNIRSSLRVVDNPVVNSNNYVPTSASSLQNSGSSNFFETSFSEWATVSLDQFPFITLKDTDSFRNLGGFSNDNTPEWRKVLNLRDWKDSSPKDNIEDRSNSQLPISVNSSFQVYGAKKAYFIDLDLSNSRVHFHESSYIIGTLLFPNVKSALCICANYLDVLCCAEGLILSSLQWTQMMQDFLWGPLVSTSPPTLKLRVWKESVKSPLKISLSIQHVSCVLPPEFLAVIIGYFTLPALSSSTDELPITETSDSNTSKDNVCTSFMFEILDSNLFIPTGSSVSQFLKLDIQRLYSSFTENGEAKFVLKDIPMECLVTEDEIAHRNDCLNLFGYDLSLSLMLLEEADYLSGSFYGPNWTNINLIAPFSADVWVRLPSQCGCCDVVSCYPSCIMIIVKDCQLNAEGASLVNGCEAMMDVIDQFSLVAKQAEAFKSDTLQFFLHREGIEGQTASPPQGSFENFMTIRVSVRSMSIKLRQHKGESVASDLIGEANMQFLCSASLRNDELLRLNISFSYLQIFSSLNSVLLAECCSKSDSPVIVITFSLSDQGENMLSVSLPSLDIWVHMSDWVAIINVLQSFSTKQSNTLITNSLSNNIAYVPVEQLRDGKNDGPQNSHPCLNILSTEENVRHDSGVHSVELESICLRIHVPAWVRKDAFNILEVKQGDNHMNDLRNMIYGHRHGFFTVGFQARNSKVFYLGTVMRLKLDLDKIWGTVELVKDDNTRSWPLFELFQVNLDAAVCTSCIKHIHGKVDLQCHCLDVWLSDHILYFWQFVDFEGPAAGPSQFSFSQVNFEIQLRKFSLLLADGKWSSSGPLLELLMTNLLLHSNIAGNEMEGLVKCEVEVNYNNIDMVSWEPFLEPWEIQLSIKRHDDSSLLSSDVTRNLHIKSTTQLNLNLTESLIEVVSRTIEMIKNAGDLAQMAAHSEIPSFLNSQRSENLDTGSSPPYILQNLTSLPLEFHVYQQRQSGYGLEVSSMKSRKYLQPGSSIPVYVSESLEDQILRYSPAQSWEQLGDKKSVEPSHHYIIVQLEGTSLPSVPISMDLVGLRYFEVDFSKSSRKPDVDTTKNVPYSSINDGKNNKIEEKSGFIIPVVIDVSIQRYTKMVRLYSTVIVSNATSVPLEVRFDIPFGVSPKVLDPIYPGQQFPLPLHLAEAGRVRWRPLGNSYLWSETHSIPNILSNENKISFLRSFVCYPSHPSSDPFRCCISVHDWCLPSAVSPEKGFSLSNNVLTQTNKPHNNVNYMVKPEKRNVHQLTLSSPLVLKNYLPETVSVTIENAGVCRTAAVSEVETSFFHVDSSHDLIITFEMHGYKPSVVKFPRAETFGEIAKFSGTRFSLSETITFDPQSSDGPLCVAIEKVMDAFCGAREICISVPFLLFNCTGFPLVVSESINWTKGHFSVITSCYDVDDQALVLHKKDGLGIFSSNQYMDTPANNKSLPVAPLNNYLVTKSHDSKFSQEESIYFDNSTNFHRGSQKHDIYASKGSLHRSKSYASSQSSLKSCGLTEGDAWKVNCRMYSPNPSSSSSEIIVRLCRYLPNSLMNDIPNDSWSSAFPKNLVM >Solyc04g072600.2.1 pep chromosome:SL3.0:4:59655090:59656233:1 gene:Solyc04g072600.2 transcript:Solyc04g072600.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYDLGYPIGSLASPRLMETLNINTETTFVAFQYEQCYKTDMGLERCTFKLGYLAQKHDIHYLSSIEFPNLKNGNVINSSNMCVMEHLEWDDD >Solyc05g051110.2.1 pep chromosome:SL3.0:5:62146605:62147645:-1 gene:Solyc05g051110.2 transcript:Solyc05g051110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDEGAKRIGDCLCLSIIIRNPLGLLGRQLSYTLHKVRDQCLLYYADGKPVDEYLDYKKAEADYNELLENASKVFTELSQDFFLGEKLETLQKDFGVAMDVQEMSLFNWHLTNLGYANAALLHSFP >Solyc05g056060.3.1.1 pep chromosome:SL3.0:5:66310801:66312322:1 gene:Solyc05g056060.3 transcript:Solyc05g056060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPGVEFSVGTSSLAATVLSRSRSALEPITCPRTDAPMVNAIAALGIKMDFLRMAMNGTAACTAANQDGENFSVCSLHTPKGIFAAMPKTPITNIFAGRGCGRKFFAKAVRDRAARAATIAGGHLSFTPGGG >Solyc12g010075.1.1 pep chromosome:SL3.0:12:3222309:3222670:1 gene:Solyc12g010075.1 transcript:Solyc12g010075.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLEEHPITHIVKKFQVTDKLRTLAPFVIASTLGFGSDYKLLSSCDRTGDDHGIDIWDLVTGKQIDLLCTPPGCSRKNVMVT >Solyc04g051762.1.1 pep chromosome:SL3.0:4:50995919:51000692:1 gene:Solyc04g051762.1 transcript:Solyc04g051762.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLEVGDECQKAVVEKEVQQMLQGCTFTKDQYDHILKIVQQKSHAPTVSNATLLVQQSISTEAEEVTFDVALRVIRYMKRQSGQGLLLSSSSDGLVTTFCDTDWASCVLTRKSVTGYMVKVGQSLVSWKTKKQTTVSKSSVEAEYRSLASTVLELVWFLGLLKEVGAELHS >Solyc09g072583.1.1 pep chromosome:SL3.0:9:65607111:65613751:-1 gene:Solyc09g072583.1 transcript:Solyc09g072583.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRSRSICWQSFENTQKGSKGRISHCNSTEWAKISILKQEYEPRRRKWAHTKCYNCNSNLGPPFTISPSPWERERKLGVFWEAICDGRHSVDPVPTGEFDLQLKRINFISTKLLVSAMFLGLFLWIWHSGAGNNWGKGHYTEGAELIDVFLDVVHEEAEN >Solyc07g054620.3.1 pep chromosome:SL3.0:7:63017385:63020780:1 gene:Solyc07g054620.3 transcript:Solyc07g054620.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPKRRRYYGRKKPPKQQRNSVDRISNLPIEIIREIHFRLPRIDAVKTSILSKKWQSIWASHPRIFLDEIDFGADYSRYSVTDKPKREAFLTHLIKSLEIRQKPSEYNCDVDKLCLRMTVENSPAELLVNKWISFALENSIKRLCLSLKTINRDHYYLCGFAFCAHTLVHLIISNCEITNCSFELPALKLLFLFVVCIEDDDFKDLIAGCPLIEQLRIQDTKKLRTIVVSNPNLEFFGVHLLCSDGKIRVESPNLHSLEFISFSIDMCEVEIASTTTVRELTLRDAYDPETLIHFIEKFPLLEKLIIDGYSDLCEVEITSKPTVRSLTLCNINDEDLTLFDWDGDDLKMTWMNFIDNFPLLEKLIIDTCSRLQKLHISQPNLVSLVLKDSIVKWEARINSPKLKSFEYKGGLTDFTGIEDLQELEFVLLYLDPLKLRDYYYSWFRDILESCAHSKHLSLICDIEEVVLIPVEVTDILPVNDIKNLELEIISRHGTFEEVVDDFTWILPDLKTLSLTLGSTTKFFQFRREEDGELSAEEVHKPKPDKRVSILRNDKLFHIIGNEIGG >Solyc10g024430.1.1.1 pep chromosome:SL3.0:10:14091967:14092137:-1 gene:Solyc10g024430.1 transcript:Solyc10g024430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLDSISKLLASKPFSSFPFIQSFNPKYFQIKGLGIKYMYLFKKQPNLIYYFITLY >Solyc02g014773.1.1 pep chromosome:SL3.0:2:18859640:18865089:-1 gene:Solyc02g014773.1 transcript:Solyc02g014773.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVEEESAVVETSSETALMSNRMSGYNNEYHNCGGSSSGSAYYPNNGSNGNSGYKSRNNGDVRQGGSAAAGGCQSPENGTCYNSSIDTNAKSFGTSSNNFSGGTQGMSLFTHEQYNQILKMLSKGKGKEVDSMANVATASSSGASNHMVHSLNLRKHCTDLEGRIDMKVNLPTGAQDLFSGRVLGIDDILITGSNLKLIQQVRKDLQYRFKMKDLGELKYFLGIEVSRNADGCKPASTPLEFNHKLTSTVFDKCTGMNAEDKVLDDYGKYQRLMDKLLKSVTGYIVKLGNALVSWKAKKQNTVSRSSAEAEFGSMATTVAEIIWLNELFEELGVELTMLINYDEIIARGPNISKHPLN >Solyc10g078235.1.1 pep chromosome:SL3.0:10:60213026:60213589:-1 gene:Solyc10g078235.1 transcript:Solyc10g078235.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFSLVLSLSILIIFLCYKLYHRHTAKLPPGPWPWPVVGNLFSLTPIRFRCFAEWAQIYGPIFSFYLGSQLNVVVSNAELAKQVLKDNDQNLANRFRTKPLENVSKNGKDLIWADYGPHYVKVRKVCNLELFTPKRLEALRPIREDEVNAMIESIFKDCTKPGMLSKNN >Solyc11g020000.1.1 pep chromosome:SL3.0:11:10016462:10017506:-1 gene:Solyc11g020000.1 transcript:Solyc11g020000.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQCQWQLNFQKEISWKCRVSINRATRICCFPKRLSIAECCNRQSIPLPLTTTTRRRSRDKVKSQKSDEYETNDLWKVRRVIESEEEMMEVAAIQTDAFYEHRLYSNDFFFQFFKVEQFSNLYYRLKHYAPDRRQKVATALLKECDVLASVWSIEYLVLKAYEDDFGARKLYTNAGYKLVSDDAPSKTKWIPERRRVLMVKQVLHKSTTQ >Solyc04g056640.1.1.1 pep chromosome:SL3.0:4:54567780:54570020:1 gene:Solyc04g056640.1 transcript:Solyc04g056640.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Leucine rich repeat receptor like protein CLAVATA2 [Source:UniProtKB/TrEMBL;Acc:F8WS85] MAESLVEPCTTSYPLKVSIFILFFLIFPFLNPFSSAFPLSHDTNATGVFNLETEEDMGLLLFFKLQFQETPLSSWDVSVPLSNWTGVTRSNQTGRVTGLNLTRFNLSGQVHPCLCNLTFLETLVLSHNSFNNSIPSCLWKLWSLKTLDLSYNIFTLLVPSTFAATMSKLIELDLSHNMLSGEIPMWIGNVSMSLEKLNLGFNSFHGDIPKSLLNLMSLKYLDLSHNSLMGNVGDFNQELVTLNLESNLLSGTLPCLYSSRESLTLLNLANNSILGGIPTCLSSLGGLTQLNLSHNELRYGISPRLVFSERLCLLDLSYNELSGKIPSRIVEASDKSGLLLLDLSHNQFSGNIPVTITELKSLQALFLSYNLLVGEIPERIGNLTYLQVIDLSHNFLTGSIPLNIVGCFQLLALILNSNNLSGEIQPVLDALDSLKIFDIGNNKISGEIPLTLAGCKSLEVVDLSSNNLSGSLNDAITKWSNLKFLSLARNKFSGSLPSWLFTFQAIHTLDFSGNKFSGYIPDGNFNTSPNFYNGDIRKTISAVPSISARSLDIKLSLVADETSLSFKYNLTTTIGIDLSDNLLHGEIPEGLFGLHGLEYLNLSYNFLNGPVPGSLGKLQKLKALDLSHNSLSGHIPENITSLRNLTVLNLSYNCFSGVISTKRGYWKFPGAFAGNPDLCMESSGNVCQRTLPVKPGKKFEEEMEEGPLSVWIFCISALVSFYVGVVVLFCSSRTRSCILQTKNLAG >Solyc05g010210.1.1.1 pep chromosome:SL3.0:5:4405066:4405641:1 gene:Solyc05g010210.1 transcript:Solyc05g010210.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSANQVTYGFCYSEVSREYKVLRLVVREQIHISELKIYTLGVGEKWRNVGEVPCPTRYNFCQVIVNGALHWIHNEDDDRIYSFDIESEMIKSLPAPPGLETPLCALKILEVGNCLCLTYNNIRRFAKTDIQLMKEYGVAESWIKDTILVNSIPRNFRQCNLNPILIWKEGQILIQSYRSLDSYRPESKRFI >Solyc04g074760.3.1 pep chromosome:SL3.0:4:60766958:60779286:-1 gene:Solyc04g074760.3 transcript:Solyc04g074760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLTMIPAASYMSTNLVNPCNRSLTQIFNPLVSSKINNLDRVLITTSNRSGVLRKTNKNTRDLVVHATAETGDLLSNVVPFLPTGENSWVSWAVGLGVTVPLITARLLTVTKQVSLAAETVEKVAEAVEKVADDVDRAAEEFASKLPEGGKLRGIVESIEHIAEETEKDAQLVQDLMDKVEEVDEKLEAFISNQLTVKAESSMNASALKRFVDENQRLAVECSNLLAQCKRWEKECALYDHDREALMDFGNEADERAKEAEIRVHDLEEEVRKLSEELHFYKCQYETQVDDTATDDASVEQNLLDNLLETVIGVDAAASTAHAFLEANSGVEVCQRLLTKWKRLRPSTQKVIALVAEVNTLQKDKEHLRTNLHKAEDEVNVLFDQNNVLDEANKRLIRLYQKEKHTPGSGGKPSSGKGNKRKSSPKISSPVEGKLDFSEVDSSRQPLSPLRENSPEYRLCKK >Solyc01g102585.1.1 pep chromosome:SL3.0:1:91239929:91246955:-1 gene:Solyc01g102585.1 transcript:Solyc01g102585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASRFWTQGDSDTEEEEESDYEVEDDAPAENPDAPSGPGARYLAADDSDSDESDGQKRVVRSAKDKRFEELSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRITESIKPPNLYIKALVMLEDFLNQALANKETRKKMSSSNAKALNSMKQKLKKNNKQYEELINKYRENPPVSEEEGGDDEESEEEEEEDDFEEDPTKIAAASASDDDDDPDTIGDGWEKKVNKKDKLLDKQFKDPSQITWEIVNKKFKEIVAARGRKGTGKMELVEQLTFLTKVAKTPAQKLEILFGVVSAQFDINPGLSGHMPINVWKRCVQNMLTILDVLTQYPNIVVDDMVEPDENETQKGADHSGTIRIWGNLVAFVERIDVEFFKSLQVIDPHTSQYVERLRDEPLFLVLAQNVQRYLEQVGDYKGAAKVALKQVEFIYYKPQGVYDAMRKLAELTEGGEAESVEENKVVEESRGPSAFIATPELVPRKPAFEENSRTLMDSLVSLIYKYGDERTKARAMLCDIYHHAILDEFSTSRDLLLMSHLQENIQHMDISTQILFNRAMAQLGLCAFRMALVAEAHGCLAELYSAGRVKELLAQGVSQSRYHEKTPEQERMERRRQMPYHMHINLELLEAVHLTCAMLLEVPNMAANSHDMKRRVISKTFRRLLEISERQTFTGPPENVRDHVMAATRSLRQGDFQKAFDVINSLDVWRLLRNKDSVLEMLRGKIKEEALRTYLFTYSASYNSLSLDQVAGMFDLSVSQIHSIVSKMMISEELHASWDQPSQCMVFHDVEHTRLQALAFQLTEKLSVLAESNERATESRIGGGALEGLPPRRRDGQDYAAAAGGGGRWQDFSFSQGRQGSSGGRTGYAGSRSTSGQTSRDRTNQARGTLGGQGSRYQSGTTSKGSQMDGSGRMVNLNRGGRY >Solyc07g017490.3.1 pep chromosome:SL3.0:7:7369046:7373608:1 gene:Solyc07g017490.3 transcript:Solyc07g017490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSKRNHKEKIVRRNKEEKVEEPELPKYRDRAKERREDQNPDYELTEFGGFHAVAPPGNIDLLSADAQKLSIEKSKYLGGDVEHTHLVKGLDYALLHKVRSEIDKKPETGDEALEGKARGVKEDHQLSFRTATAKSVYQWIIKPQTVIKTNEMFLPGRMAFIFNMDSGYSNDIPTTLHRSKADCPVLEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKEKDSKGKTVISNGYDEVLKSDASKSQIDKETVHPSAQLPKKNHSERREVQGPVVARPEEEDIFIGEGVDYSVPAGDMGQSPVSEDMEESPRNKERTSYFSEPAYGPVPPSEPSHDWQYTNGYDAAQAQAVAGVYQPEWQDYQYPEQVAYPEQYLQQNYDMQADVDGLQDPQFMTQEEKDRGLGSVFKRDDQRLLQLRERDAREKDPNFISESYSECYPGYQEYNREVVDSDDEADLSKMDMGGRAKGRLHRWDFETEEEWATYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLTNELHKINKILTRKKMEKDKGEALEDGEIQPGKKQRV >Solyc03g116080.2.1.1 pep chromosome:SL3.0:3:52413393:52413740:1 gene:Solyc03g116080.2 transcript:Solyc03g116080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXDPMTVQQVIKNAKASGIKIVQGTRKRKVVLFESEENDCEVGSEELHNHEIVS >Solyc12g019627.1.1 pep chromosome:SL3.0:12:10977368:11000349:-1 gene:Solyc12g019627.1 transcript:Solyc12g019627.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVQGDYHHEILKDFYGDDGEKWKEQRKVSSPEFSKREKAENIMSRFWHYSSTNPKYPHVQEKLAEEIKETTNTKGGSGTISDFAANLKEEAVDKMHYLHAVLSETIRLYPAIPVDKFARRTL >Solyc04g014490.1.1.1 pep chromosome:SL3.0:4:4725514:4725909:1 gene:Solyc04g014490.1 transcript:Solyc04g014490.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALEWVVLGYAAGAEAIMLLLLTIPGLDPLRKGLIAVTRNLLKPFLSIVPFCLFLLMDIYWKYETRPTCESSESCSPSEYLRHQKSIMKSQRNALLIASALVFYWLLYSVTGLVVKVEQLNKRVEKLKAQD >Solyc08g066440.3.1 pep chromosome:SL3.0:8:55131271:55136349:-1 gene:Solyc08g066440.3 transcript:Solyc08g066440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSLPVEELTSGASGRIIPLFKNLHRSVFSYQTYRRLIIFIQSIFLWVILLSRRRFSTSPSSPPPSPSAATTAVLGKRRKFALRRDEEDTQRRRALAEALDMTVENDGVSCRWNTSLFFGARRNALFCRSWFPVTDELRGIIIIIHGLNEHSGRYAHFARQLNSCNFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKVKFDNPGIPCFLFGHSTGGAVVLKAASYPHIENMVEGIILTSPALRVKPANPIVSAVAPIFSLVAPRYQFKGSHKRGIPVSRDPAALVAKYSDPLVYTGPLRVRTGHEILRISSYLMRNFKSVTVPFLVLHGSADRVTDPLASQDLYNEAASEFKDIKLYDGFLHDLLFEPEREEIAQDIIDWMHKKLDSGNLANVYSQC >Solyc09g090420.3.1 pep chromosome:SL3.0:9:70413234:70425033:-1 gene:Solyc09g090420.3 transcript:Solyc09g090420.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSSRQKVKNGKHNSSKGDSSDGTSARTRPLSFDEIMLRRKSKAEERDIKNNFIGVDDVSHKEDRPKKTTDRLEPERHRYESLPSVSRHNSENSRKLGPNPTEANMMADKYARDKHRESRESEIKLKTSVNKDVSNKRLAGSNTDKDCPVIRRKDQDLIDDSGNETGKRRSRDLTRKEKSADKTDGRHREGRKDKIPDKEERQSYRKRKDMEMSNDSLLNEAEKRHSRNHGRIDSYADRTKEKSESRRRKHQNDDEERNDALLNEADRRHSRNHGRIDSYADRTKEKSESGRRKHRSDEEERNGALLNEAEKRHLRNHGRRDSYADRTKEKSESVRRKSDEEERNREKNADKKHSSVKVSEITGRVEASRAHLEEERPKRRRSRSRENDRDRGRRSRSGSPRGRKHSDHDLRERGEFSSHSSKDKSGRSHYDLDKKISSNGSDSHSNRHEGSTSGLGGYSPRKRKSEAAAKTPPPTNRSPERKAAWWDLPPASGGISVTGSVPSSVKSSMQPVIPNTHQFSSMIPASSYTTMAAGVSYSYLTSSVHAIDSVQLTQATRPMRRLYVENLPNSASEKEILDWINNFLMSSGVNRIQGTQPCISCMIHKEKCQALLEFLTPEDASAALSFDGRSFSGSILKIRRPKDFVEVAFLRYTVVIIHVAGFQTVAIFVQTGVPQKSVAAADRIDNTVEDSSYKIFVGGISRTISSEMLMEIAKAFGPLKAYHFRMNSDLNEPCAFLEYVDHSVTLKACAGLNGMKLGGKVLTVVRAVPDTALLDKDENTPLYRIPQHAKPLLEKHTEVLKLKNVVDANVLSFLSEAELEELLEDIRLECARFGAIKSINVVKQSQCSLISDPAAMDTSSTLNDSNMDFGEECDKNDPITRSDDHELEVGGPHFPSSDHHELEVGGSHIPNSDDHELEVGRPHFPNSDEPMETNSDKEAERCADSKTHISESSQDDSQKAGDDDALAGGSHSDDRPSEELIKDDSSDPLPDDSSVSAQETIFQENLEVTRTGMVSERKDENANPSPLEHLEINNDSPVKEAIKSEEDNGNVDDRPSEPEFSSKEELDAPEELEKKEEIPITEVFDPGCVLVEFRRAEAACTAAHCLHGRLFDDRIVTVEYVPLDLYQTKFAKQN >Solyc05g009690.3.1 pep chromosome:SL3.0:5:3885638:3891802:1 gene:Solyc05g009690.3 transcript:Solyc05g009690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSVAQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLGALIVMTILSAVVGWAAPNLVSRKWTHHITTLLFLGFGVWSLWDAFHDGESEDLDEVEAQLDADLKANGGATKEKNQDSDDLKKQRQPLLAQFFSPILLKAFSITFFGEWGDKSQLATIGLAADENPLGVVLGGILGQALCTTAAVLGGKSLASSISERIVGVAGGSLFIVFGIQSYLSTVE >Solyc06g073840.3.1 pep chromosome:SL3.0:6:45735656:45745504:1 gene:Solyc06g073840.3 transcript:Solyc06g073840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNGMMDPELIRMAQEQMSRMSPADLARMQQQMMSNPDLMRMATEGMKNMRPDDLKVAAEQLKHTRPEDMAEIGKKMANASPEEIAAMSAQMDAQVKYEISGAEFLKKQGNDLHSQGKFKEALQKYSLAKKNLSSIPAAKGRSISLACSLNMMSCYLKTGQYDDCIKEGSEVLTYDDKNIKALYRRGQAYKELGQLEDAVSDLSKALEVSPDDDTIADVLRGVQERLVKEGGSSRRRGGLVIEEIIEEEPLVSSEAVDNSFPKSVASQPRESISPPKSQNEILGGPPLSSSESLEALKNDPESMRSFQNFISRADPDTLSALSGGNAKGIPPEMVKTASNVIGKMSPEELQRMVRLASSFQGENPFLKKGSSDSFGPGSVPPNVTPDMLKMATDMMGKMSPEDMQKMFTMASSLKEKSPVSAATTIDSNGSGQQSKPRDTRENFKVDDSTSASTSSQGFSDLNNGSYSSLSSSNVDLQEEMRNRMKDPAMRQMFSSMIKNMSPEMMSNMSEQFGVKLSQADAEKAQQAMSSLSPDDLEKMMKWADRIQRGVEGAKKTKNWLLGKPGMILAILVLLLAVILHKLGFIGS >Solyc05g053120.1.1.1 pep chromosome:SL3.0:5:64080911:64082320:-1 gene:Solyc05g053120.1 transcript:Solyc05g053120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENTQNIPNLKKKLGDEVVVVMVPFQTQSHLDPFLQFACLISSYGLSVHYLSLPSYNHDTKARATMLNPCDLATIHFHDLEMIPTPLDPEIPSEVPKHFHLFWNVTMHLRESITSFLRDISSKSRRIIMIHDCLMCYNVQDIASFDNAESYIFSPVISAFGMYCDHLFPHVGLPIPIEQQLLQKLPSSEGWYCEEIMRIGSFQVQYIGKSSAGDIHNTSKVMEGSNTFIDLLGIGQDKKQWAIGPVLRPIKNEKNGFPCLDWLDKQPPNSVLYVSYDMLTSFSDEQIKELAMGLELSKQKFIWLLKDPDNTDIYLGANLNREFEFHAGFEERLNGVGLLVRDWAPQTEILAHSSIGGFLSACDWTSCVETITMGVPIIAWPTHSDHPKNGFLLTEMLKIGLVITEWDKKRDEMVTASTIDNVVRKLMASEEGDEVRKRAKELGEAVRKSTEKGGASRMELDYFIAHITR >Solyc06g075910.1.1 pep chromosome:SL3.0:6:47281911:47282896:1 gene:Solyc06g075910.1 transcript:Solyc06g075910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Protein yippee-like [Source:UniProtKB/TrEMBL;Acc:K4C9V4] MSAYFYCRSCGTAVALVDDYDRSVDDLVNAKFFPRVLNVEVTKDERYHPVEDGMKLAETYCAQCKTLLGWKLIATSQPSWSHRVGGFYMILKELKFWNDEMSPNFPFVGNNAQAPNDQDGGTDEEQNTDQNVDQDGGANEQHRDQDGGPPMKRPKI >Solyc05g045970.2.1 pep chromosome:SL3.0:5:58755939:58756560:-1 gene:Solyc05g045970.2 transcript:Solyc05g045970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHVFIRSVVAEVTINMERHLHNLSSLWTQYCILLITILLFQALGT >Solyc02g092523.1.1 pep chromosome:SL3.0:2:54227885:54229266:1 gene:Solyc02g092523.1 transcript:Solyc02g092523.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFHWFLIPLINAVLEGAKVLQQFDKKLIPIVSNTTLAIIDQQHQNTTSKYSHSDNMHAFPVNFGLKGEALSSTSDVALFGSCTLSLFAYIIRKPKEGLAFPERDSAKICPTCREDDLLCMHASPFPHCHYCPVGLGFTVHLSSLYKLNASNGSFGLLGYISGIPIFLDPCNADINSKGPYINYLIT >Solyc07g064670.3.1 pep chromosome:SL3.0:7:66845265:66850201:1 gene:Solyc07g064670.3 transcript:Solyc07g064670.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4CHE6] MKNTMKSRGGGESAGKGVFSRKLTVLLCIGCFCVGMLFTDRMWTVPEAKGITRTTQIDDQKLGVVSEDCDPTNKDVKSESKDNFGEVTKTHHAIQTLDKTISNLEMELAAARALQDSILSGSPITEDIKIPELAKKRKYRMVIGINTAFNSRKRRDSVRATWMPQGDKRKKLEEEKGIVIRFVIGHSATSGGILDRAIEAEDKKHGDFLRLEHVEGYLELSAKTKTYFTTAVALWDADFYIKVDDDVHVNIGALGATLARHHSKPRVYIGCMKSGPVLAQKGVRYHEPEHWKFGEDGNKYFRHATGQLYAISKDLATYISLNQHVLHKYVNEDVSLGSWLIGLDVEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSVDRIMEVHRRCGEGENALWNASF >Solyc06g071300.1.1.1 pep chromosome:SL3.0:6:44019741:44020133:1 gene:Solyc06g071300.1 transcript:Solyc06g071300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:SRF-type transcription factor family protein [Source:UniProtKB/TrEMBL;Acc:Q53J20] MAEEDEIKRRTNNPKSYQVRKECIKRKSMELATLCDIKVCTVITGPNGELQTWPDDFDACKQVLDLYSQNLKPEKKYKESSTPEPEPEPEPERGEEQGEKDLLTLVESTLAAVNRRICILENKGKRKRIE >Solyc11g065345.1.1 pep chromosome:SL3.0:11:51064999:51066905:-1 gene:Solyc11g065345.1 transcript:Solyc11g065345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFNAHNDDPLDRICDEDWEETKELIQFLRLFYVATTIFSGIYYPTISSILINICALSIQFCKYKKIDKFRVAIEVFLTAILLHPAYKLQGVQGLVDTFYETLEILPEEIPNCQACKSSIKVEAKLLYEKYRTIENFQGEVGQTSNVEIDLSLPISCYMREVLEMKDGNEDLLRWWSRRSDAFPTLSKMVRDVLAIQASSVASEAAFSAARLFGFTDNDWARLYGSQKKYFWTPGYNT >Solyc02g088890.2.1 pep chromosome:SL3.0:2:51455371:51456791:-1 gene:Solyc02g088890.2 transcript:Solyc02g088890.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMNYHGPVTICAYGDSNAIPSFIQRALSNTGIALNHIPSGVKDARDKKILVDMLFWAVDNPVPANYLLISGSTDFSYALLTLLLRLLLLENLHDNLLLEIPTHHVNIIYRFQFLNLHQQTNLLMPMPILMLPKPKVYMILDIILMLKLFTYLRTRIN >Solyc11g012240.1.1.1 pep chromosome:SL3.0:11:5127056:5128117:-1 gene:Solyc11g012240.1 transcript:Solyc11g012240.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGEIHNFIVVWTIVLISLCYCHTIIKFFPNGKSRFVAIIPIVCLFFILPLYLTSINLGGTTCFFIAWLANFKILLFAFGKGPLSSIPPLPLSTFIPLACLPIKYQKHSPSDNVETTKKTTNSTLNLVTKITLLAILIRVYNYKDNLHPKLLLFCYCLHIYFFLEILLTMVSTMVRVASQVELEPPFDEPYKTSSLQDFWGKRWNLMVTNILRPTVYNLVRSLMLDRIPRKWAPLPAVLATFFVSGIMHELIFYYISREKPSWEVTCFFIIHGVALSVEIMVKKLLNGKLLVPRFISGPLTLGFIILTSFWLFFPPLLRGKPDVKGCNESIAFLEFVRYGKLVSPDNITCPFM >Solyc08g077335.1.1 pep chromosome:SL3.0:8:61352207:61355702:1 gene:Solyc08g077335.1 transcript:Solyc08g077335.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKEDRLSDLPDSILVHILSMICENTKDSKKLVRSSVLSKRWQFLWMSVPVQYHFIFPNREKHVVDYLNSIRRDLHYWRCCHKIRKFSVFYDTCDPQRVAKDVDLCFYFASKLANVEHFQFISDGGYVFPQFAYKNTSWRKLDIESCMELKPLADVKWSGIVSLSIGCTYLTDGSMEKILSGCPNLECLQLDHFWGFHRLEISNVKSRELIIDNLETDECDVWLDIIAPYIQNLKILESCRGIYLRNTR >Solyc05g024480.1.1 pep chromosome:SL3.0:5:32012192:32013406:-1 gene:Solyc05g024480.1 transcript:Solyc05g024480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFFKVFIRETSAKRLDNIVELGDIIIFYCDGTTVFNFKLRGRSGSIKKGIGALKYIVKEEEAKEINIEHQKCVDPKENSKVRYSKNISSFDVRDENIMVDEKEDQDKECENTEEIVEEDYNKE >Solyc05g013790.3.1 pep chromosome:SL3.0:5:7100416:7118520:-1 gene:Solyc05g013790.3 transcript:Solyc05g013790.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGAVKLQLPLPKSVDGVTLDPNPDWTFDALLVELNSIEKKLNPSSKFPIPFTKTESRQLSASKDNSRRGFVMQVSDDDVEDMDRDTKHEVGDHLLMGGKRFACHEIYLSDSDQSEEGLNIELQDDLMDKVGLVESALSELAHDHQLTIAEEMRDQLSVLEAELTDESEKLASILERVERNTEAQREMNRKFDMQYQRKIAEALDDHLTTVQRDHEHRSQIEERRIRDDAAREEAKRKEKALHEEKARQERIRAETKVQARLEAERVEKEKAAALEAERVEKEKAAALEAERKAAKEAAAASDKKSSESVMTASPEASKVSRDVTSQPARPISDGQKHSTGNTIRVTENAQKLEEKRLSVYNEIAAQNDALGLGSNKAYRKFEMEIARRIRTITGSKENVRVKADELIKLISGSTCPQSISIAMFAQKVVSLCVKPTGSFNSAVYAYGRVIVHVTSKVPLAMEILIAELNKVCIYTVPKYIMYSEAAFQTKEAYYKAIGYAEEDGKIESTDSYVDRLSACMKLYGALVQTEVDGCQNLHGIREGWAWIARFLNVFPANLYTAAALQAFLEMAGFALHKRYKTQFRKLLDIITRDFLTALKDRGDAKLVKVIVSLRSYIESNQFLNEPEGWRLRSSLESHNFAPDSDHDQQYHYQQNRFY >Solyc10g047910.1.1 pep chromosome:SL3.0:10:42940303:42943980:1 gene:Solyc10g047910.1 transcript:Solyc10g047910.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGVIFNLVPMVVVLYDQLGFLRLGVVCIAYVARNTAERCFSKDCPTSHVHEVVFQNPIGLKFLMEVAGIWAFSDKAEHSSIHVTRHETTSQRFLTEVGGFCAYLMPVLRVEEGAKSIKMGRLGSREVVNNDIRVSDNPGDVFTTSIPQAYNITKQHKYHCFVNFNHRLALAPEACAIGPQTFQIMKFIKGVSIGGLCIGYFLSPLGKFLSFLPHFQYKYW >Solyc05g015097.1.1.1 pep chromosome:SL3.0:5:9894798:9894944:-1 gene:Solyc05g015097.1 transcript:Solyc05g015097.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GILLSLKLVWLKLLKQRYRVTIRKMHTSLRFYIIYMSLSCPQNLLQQQ >Solyc11g050931.1.1 pep chromosome:SL3.0:11:17018089:17018594:-1 gene:Solyc11g050931.1 transcript:Solyc11g050931.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAYILLYVDDIILTASSNALRCSIIALLSYEFAMKNLGRLNYFLGIVVTIHKGGMFLSQRNYTVEIIERAGMSSCKPTLTPIYSKPKLSANSNKSCRGPSYLTFTRPNISYAVQQICLHMHNSRIDHFNALKRIIRYVKGTLDHGLHLYPFSFSTLVS >Solyc01g009860.3.1 pep chromosome:SL3.0:1:4316069:4318203:-1 gene:Solyc01g009860.3 transcript:Solyc01g009860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Unknown protein [Source:UniProtKB/TrEMBL;Acc:Q43521] MEKVNFLKNGVLRLPPGFRFRPTDEELVVQYLKRKVFSFPLPASIIPEVEVYKSDPWDLPGDMEQEKYFFSTKEVKYPNGNRSNRATNSGYWKATGIDKQIILRGRQQQQQLIGLKKTLVFYRGKSPHGCRTNWIMHEYRLANLESNYHPIQGNWVICRIFLKKRGNTKNKEENMTTHDEVRNREIDKNSPVVSVKMSSRDSEALASANSELKKKASIIFYDFMGRNNSNGVAASTSSSGITDLTTTNEESDDHEESTSSFNNFTTFKRKIN >Solyc06g005640.2.1 pep chromosome:SL3.0:6:704594:710599:-1 gene:Solyc06g005640.2 transcript:Solyc06g005640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFFIVAFGHWRYSLSLLRYFHCK >Solyc02g030170.3.1 pep chromosome:SL3.0:2:25733241:25735670:-1 gene:Solyc02g030170.3 transcript:Solyc02g030170.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTVYLLPEARGDGNLLVEFGPQFLMIEIIYLCLVEKGGLDLIMMNNLEVLFGLSCFKMTDVQAPPPRPKRKKNIMDLLVQFRWIVVIFVVLPLSFLYYFSIYLGDVRSECKSYKQRQKEHDENVKKVVKRLKERNASKDGLVCTARKPWVAVGMRNVDYKRARHFEVDLSPFRNVLNIDTERMIAKVEPLVNMGQISRVTVPLNVSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVSYEVVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMKLTYKPVVGNLKEIAQAYMDSFSPRDGDQDNHEKVPDFVETMVYTPTEAVCMTGRYASKEEAKKKGNVINNVGWWFKTWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFGDQWWFRFLFGWAMPPKVSLLKATQGEYIRNYYHENHVIQDMLVPLYKVGDALEWVHREMEVYPLWLCPHRLYRLPLKTMVYPEPGFELQKRQGDTKYAQMYTDVGVYYAPGPILRGEVFDGIEAVRKLESWLIENHGFQPQYAVSELTEKNFWRMFDGSLYENCRKKYRAIGTFMSVYYKSKKGKKTEKEVQEAEQETAEVETPEVDEPED >Solyc06g062500.3.1 pep chromosome:SL3.0:6:39546668:39548952:1 gene:Solyc06g062500.3 transcript:Solyc06g062500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTVPGQLVWEIVKKNNSFLVKEFGNGTAGVVFSKEPNNLYNLHSYKHSGLANKKTVTIQPGGKDQSVLLATSKTKKQNKPSSLLNKSVMKKEFRCMAKAVSNQVADNYYRPDLKKASLARLSAVNKSLKIAKSGVKKRNRQA >Solyc03g097310.3.1 pep chromosome:SL3.0:3:61071163:61073847:1 gene:Solyc03g097310.3 transcript:Solyc03g097310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSSIRERGRRKGGGGGNGSEGMRKLLLGLGFLVQGFRCFPWMAVNFFLKDGLKVDPSTLQILQCSANLPMVAKPFYGILSDSFYIFGQHRVPYIAFGAFLQAVSWIAIFLSPSNISFFTITLYLLLGNLGASVVEVANDAMVAELGKPPSSSSKNSPHSSSGQIQSFVWIAASVGGVLGNLAGGIAIDRFSPQVMFLMFGILLSIQFLVTIFIHESSLDLPTSSSDRGIKTQLSELIVALRKPEIYYSIIWFAASYAIIPALTGTMFYYQTEHLGIESSVLGVSKVFGQAAMLLWGIIYNKNLKSMSPRTLISAIQATMAVFMFSDFLFVKGVYRMLGIPDSLYVVLFSGLLEVLYFFKILPFNVLMAQLCPPGCEGSVMAFLMSALAVAVIISGYLGVALSSFVQVTAKDFSGLGHALLIQAVCTLLPVYCSSCIPDGVKAKTKPKEG >Solyc10g008470.3.1 pep chromosome:SL3.0:10:2578137:2584561:1 gene:Solyc10g008470.3 transcript:Solyc10g008470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGPRAWIGGIFNRSSNKRLGSDKYLNYPLTPHQEQSLHGLQERLGVPFDEARLDHQEALRDLWNAAFPNVKLKSLISEQWKDMGWQGANPSTDFRGCGFISLENLLYFATRYPACFHRLLFKQSGLRATWEYPFAVAGINVTFMLIQMLDLFSEKPKCLPGLNFVKLIGEDDEAFDVLYCIAFAMMDAQWLAMRASYMEFNNVLQATRMQLERELSLDDIQRIEDLPAFNLI >Solyc03g114810.3.1 pep chromosome:SL3.0:3:66172883:66178097:-1 gene:Solyc03g114810.3 transcript:Solyc03g114810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Hexosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BKY3] MRRRAADHRRPVRRRLSCWIWSLLGIFSIVGFVLFVVHHHHDHEDHVEQPVLERESRNEQVVHERMNLTQEMLSVNSYARQIAEQTTLAKAYIIIAKEHNNLHLAWELSTKIRSCQLLLSKAAMRDEPISLDEAEPNIRSLSSLILKAQDAHYDIATTMMTMKSHIQALEERANAASVQSMMFGQLTAESLPKNLHCLEMKLMTDWLTKKSLQDFADERRNSPRLVDNNLYHFCIFSDNLLAVSVVINSTVANADHPKQLVFHIVTDSIHYGVMLAWFLNNDFKGSTVEVQNIDNFTWLNSSYSPAVKQLMSTDSRKYYFDGSQDTGVEPKFRNPKYIHLLNHLRFYIPEIYPQLEKIVFLDDDVVVQKDLTPLFSLDLHGNVNGAVETCLEAFHRYYKYLNFSNPLISSKFDPQACGWAFGMNVFDLIAWRKASVTARYHYWIEQNADRTIWKLGTLPPGLLAFYGMTEPLDRRWHVLGLGYDVNVDNRLIESAAVVHFNGNMKPWLKLRINRYRPLWERYVNQTHPHLQDCATH >Solyc04g009600.3.1 pep chromosome:SL3.0:4:2989481:2994480:1 gene:Solyc04g009600.3 transcript:Solyc04g009600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPPTVEEKIKTAELEDVQLEEVKETKPAAIEEDDALFHCDLFDAEMVQKVADEFLPGLASACIDNTTGGLLNSPASVAVDIRREMVDYLVQRSEMFVAESVVLEGDSVVEVSDNPHDIISDFIDDFAQSKRNFFCKVSSWMLSERREERIDDFVQEMEMNGFWLMARRIAVAQTSIRNIDFKNIYHCSMRFKSEEELSKHITLCGFRELHCENEGCNARFSAAQLELHDSTCPFKILQCEQKCPETLMRREMDRHCITVCPMKLANCPFYPVGCVSTIPQCKTDQHRLENLLPHLTHILKLIHKEASFEALKKRAEHLLEASSPGRLAAARDARSLTVAIKRIDAKLGPLEAEEIKEDNADDADLKDEKKDSTDLSAKKDSSDLSAKKDSTDLSAKKDSSDLSAKKDSTDLSAKKDSSDLSAKKDSTYLSAKKDCSIDLSHNDEQSPQHNSSASPHKTVSPDKSEKVVEPTVTDSTNEHCEKPDSRDLPHYKNDGLKSPDKGEESLSTSEKHQDVTTSAHKLESPKSEDPPKSPEKHHNSTTSEEQKE >Solyc08g068310.3.1 pep chromosome:SL3.0:8:57470526:57476685:1 gene:Solyc08g068310.3 transcript:Solyc08g068310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKTLNEETVKKVIRQVNFYFSDSNLPKDGFLRKSVEESEDGLISLALICSFSRMRSHLDLGMAKAEDISDDTVQSVAEALRASSFLKISEDGKKVGRASELAKPEEVIEQIDVRTIAASPLEYSVKLEDVESFFAQHGEVNSVRLPRHVADKRMFCGTALVEFSNEEDAVNVVKQNLVYGGVELELKPKKEFDVERAIEEKEVEQNHPRSGPNSKNNSNSELDYPKGLIIAFKLKRISAKSSTDQNGNHELATESASAPETGGNKDTTVDNVEMTDEKVPGGIKDGDNDENVEKGENVEKGDKKDAEDGNGETDVQNPVVAEKSMDTPTEDVEQASAEEKLSIAACKDNKDIVMREDLKSVFQKFGTVKFIDFAIGAESGYIRFESEGAAQKARAAGVLAEEGGLAVKNFIAVLDPVTGDAEREYWTMFRNGQQEKRRDFKGNRGRGGRFNRGGKHSRGRGNDFGGRPNKFQKTRS >Solyc03g044835.1.1 pep chromosome:SL3.0:3:10657342:10670067:-1 gene:Solyc03g044835.1 transcript:Solyc03g044835.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQYNQILRLLNRPQLNETSANANMTGILASSSSLINAHPTHSQWIVDSGATNHMVNDNSIFNTGLTVARTGKVQIPTDDNVLKPIFHPTKIAEEFPIARLGECDIEPLIDSIENETMVEAASSVDSVEQPPVRRTSTRISKPPIWQKDFITKTCSKTAEGIVIVLIYVDDLLITGSSKRLIDNAKQVLKDNFKIKDLGDLRYFLGIEFARNSQGILMHQRKYAMELISDSGMSGSKPCVTPVEVNQKLTTSEFDDHFKLDNGNDLLDSGEYQRLVGRLLYLTITRPDIAFAVQSLSQFMHAPKSSHMEAALRVVKYVKQAPGFGILMSAKPTNILQGFCDADWGSCINSRRSITGYMIMFGNSLISWKSKKQPTVSRSSAEAEYRSLASTVAEVIWLIGMFRELGVEIELPENQVQATIFSTDITYFEKEFAPFKTYLVSVAYVKVLPLGYENPLNKFVWTLDKNTIVEPIEEVKPPEDPLPPPTRLTIAKFDTFDPSTKTTTGKRLQEFIVMDKLCQFEVTVKDNSGFATAIVSDEIAEKMLHLTSEEICEIYFVKLKYFCCGCRRSQFLHFFAAATERQILQASDVAMWMSYVPVL >Solyc01g088320.2.1 pep chromosome:SL3.0:1:82988288:82989681:-1 gene:Solyc01g088320.2 transcript:Solyc01g088320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLAERPARGDLTGEMREKLTEEVKEILELLKSTWRNLGIIETIHYTCYAWVLF >Solyc04g071605.1.1 pep chromosome:SL3.0:4:58657466:58663044:1 gene:Solyc04g071605.1 transcript:Solyc04g071605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNDYGNLNLVKGVRASCILGHIYLANAPSHYDYSLFTKLVGYDTVIVLVYVDDLIVTGSNQKLLCDTRQEIQKKFKMKDQGELKLGGAKPACTPHEMNQKLIFVQYDEHINNGIPEGGTILTDITKYQRLERKLLYITMTRPNLAFSVQILNQFMHCPKKSHMEAALRVVRYIKEAPGLGLLMPANDTNKLTELVATADRGTSFLPII >Solyc01g066060.3.1 pep chromosome:SL3.0:1:72816189:72820839:-1 gene:Solyc01g066060.3 transcript:Solyc01g066060.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALFATRRTLASTIYRVLSSSSSSSSPSSSRFRFSLPLFFNPQYYPSEQFVSTRPKSSGSGYSPLNDPSPNWSNRPPKETILLDGCDYEHWLIVMEFPDPKPSEEDMINAYVKTIAAVVGSEEEAKKKIYSVCTTTYTGFGVLISEELSYKVKDLPGVLWVLPDSYLDVPNKDYGGDQFIDGKVIHRPQYRFNQNQSTRPRPRPRYDRRRETMQVERREPIQRGAWEPNQQHPAQPVSIEGQNFSHGTGGPAGSQGNNS >Solyc02g089560.3.1.1 pep chromosome:SL3.0:2:51983197:51985152:-1 gene:Solyc02g089560.3 transcript:Solyc02g089560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNQQQWLLLLLRHHSLAQPHISRHLINQSPFQVNRSISSIASSTIHSRASHMLPYQSLTVHSFSTSELAVEHKVSDQIAVLTGIFSKPNRSNEEIKIDLESNNVTVTHDLVIRALRSFNTAPDAARKFFNWVKENESERLSSKVYNYMLGILGSNGFVKEFWSMVEIMKSKGYGVSRGTFNRAIERFEKEKLSGDVEKLKKLYGSELAGNSSEEVCSRVCNLIRGNVWGDDVEKQLRESKFEFSSELISMILEKLECETNKTLIFFRWIEESGLFKHNEQTFNAMARVLGREEYSEKLWKLVDEMRTAGSEMEKETYIRVLDNFVKRKMIKDAVDLYEFAMVGINKPSPEDCTFLLKKIIVSKELDLELFSKVLRIFTESGNSLTSANLDAVLKSLTSVDRFDECNKILKAFEDAGFTPSHNQQSKIAFNLGSGGKDKESNEFMNYIESTVSTPKSKIWTSLIEGYCEAGDLAKASEAFEMMVEKEGPSHAGYALELLVSLHCRKRRAIHGFNLVEKMVNEKELRVWHTTYKFLIGKLLAQRGFEEALDVLHLMKSQDYPPFLDPFIKYLSKTGSADDALAFTAAVTLKKLPSTSVFLNLFEAYFKAGRRSEAQDFLAICPRYIRNHADVLNLFCSKKPQKATATIPATA >Solyc07g063660.1.1.1 pep chromosome:SL3.0:7:66203065:66203307:1 gene:Solyc07g063660.1 transcript:Solyc07g063660.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQVYDNVKEKDIALCYNKNVGTYSLLICLLVMVFCGKVFAIICTSTWFYFAPHCFKRIDSDEYKKNDGLLYLVQEKNLTF >Solyc03g112980.1.1.1 pep chromosome:SL3.0:3:64776549:64776752:-1 gene:Solyc03g112980.1 transcript:Solyc03g112980.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATMMRMKKMIKVMNHLGCFLLPEFVWSFRLRLTDALEILFTESESMAEFEWKWKWTVSGKMKILCD >Solyc09g074260.1.1.1 pep chromosome:SL3.0:9:66472773:66473960:1 gene:Solyc09g074260.1 transcript:Solyc09g074260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKFLLWMCLFQFHVIVIQQCGAMLHPIDFLALQAIRKTLNDLPGSSFFASWDFTSDPCSFAGVYCAGDKVIALNLGDPRAGSPGLIGRLNPAIGKLSALAEFTVVPGKIFGALPESFSQLNNLRFLGVSRNFLSGRIPAGLGKLRRLQTLDLSFNQLTGNIPWAIGTLPALNNVILCHNHLSGSVPPFVSLKLTRLDLKHNALSGSLLPMSLPSSLQYLSLSSNQLTGPVDHLLTRLNRLNYLDLSLNRFTGCIPGNLFRFPITNLQLQRNQFTGRIYPAGLVTIPVVDLSFNRFYGEISPLFSGVQILYMNNNRFMGQVPGIMVDRLLSANIHVLYLQHNFLTGIAINPAAEIPVRSSLCLQYNCMVLPVQTPCPLKAGKQKSRPTAQCGQWKG >Solyc06g007720.2.1 pep chromosome:SL3.0:6:1703584:1704997:-1 gene:Solyc06g007720.2 transcript:Solyc06g007720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEIKRIPLPFRREIFFIIFNGCSSVCPNFAKNLYTSCHDRALNERAIQATLPFFTHAILLD >Solyc03g114440.1.1.1 pep chromosome:SL3.0:3:65928553:65929092:-1 gene:Solyc03g114440.1 transcript:Solyc03g114440.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENSQSPSKSLNNSSKNIQQKINPISSNDGKRFIGVRQRPSGRWVAEIKQTSQKLRLWLGTFDKAEEAAMAYDSAARLLRGKNAKTNFNNHGIFKPNEENYSLLEKNPRLYQLMKHAIMKKFAGKYQNNECLETEEVVLVEESINKEEICAIQLQGSSKVYSSVIVAPSFSNNEKSYQL >Solyc07g037940.2.1 pep chromosome:SL3.0:7:44348164:44352926:1 gene:Solyc07g037940.2 transcript:Solyc07g037940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKIRKCVGQGQIHRCCMSLEVEASSNQALLIKVNGVILKFTIRTFALITGLNYVGVVEDFKFNIEELNRLIVQYFDGNEIIHRSDLFDRFNGKVWVDNDDDAIKFAILYFIHMFVYSGEKRSLRIPRIHFDLVESGRYMHYPWCRKAFEWLLQSINKVLTTDGQYYRICGMLVVLQIWIYECMGKRQTNFTRKISDSIPRILNWQIVGAKPRFKTLMKDTFNDGNREIKWKNVVPSLMEIAVLQLPPEGVEKSIECVQTEPHRDIDEQVLSGQNIDDYFVNPPPPSMKVREEFKDIRKFINDNFNIIMSTLKDKKNNDNAGQGSQPFTSTILSENQNQSECDESSNDGSEEVFQDHSIFENSNLGDIPDIVGSADKQVYCYNSPVRVDAGFSLSKSIIPSIPQPSFVFDKPKKIRPLVFESQHDFTDQDDNDEEDHFIFHIPIQSIDPLEGSPQSQFELDDSLMPSISNIKSTSSVQPIIFELKHPFIFDLISGNRDIIMWDAHRSWIHEGLSAKHENKRHDQDRYKKGKAHIPVPFDFGLDIVNNKNCFYNLYSKGQLLNDSKAKYDVDSRAAGHDAAIRKEVTKLAQLIPLKLTMSRYATLLWNYAKQKQDNGTISESEAPPRHAMPQSVR >Solyc01g100810.3.1 pep chromosome:SL3.0:1:90615016:90628640:-1 gene:Solyc01g100810.3 transcript:Solyc01g100810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKQKFMEVMLEKQLTGHWVDRAICSWFWDQSIAQGDSFKHLPVIASPTHYLFQVNREGVTFLACTQVEMPPLMAIEFLCRVADVLSEYLGGLNEDLIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKVLSVVTGNTSNMSNTLPGATGSCVPWRKTDLKHSSNEIYVDLVEEMDATINRVYRNSQLPKASDSSFFMLLRDGVLVKCEIYGEVQVNSHLSGLPDLTLSFANTSILNDVRFHPCVRLRPWESNQILSFVPPDGQFKLMSYRIKKLKSTPIYVKPQITSDSGTCRISLLVGIRNDPGKAIDDINVQFQLPPRVLSADLTSNYGTVNILSNKTCTWSIGRMPKDKTPSMTGTLVLETGVERLHVFPTFLVGFKILGVALSGLKIEKLDFKNLPTRPYKGFRALTRQKCDFYSYPSRILGNGRIINRTQKLFCVMRNSSCGQSRVFSRNFNGINPMGTSKRGFRVIASVASDFRNHSTSIEKTRVNNDKNFERIYVQGGFNAKKPLGLENADLDEHAATGQHEKVESVKEGEESQTVKEAWKLLENAVVKYCGSPIGTLAANDPNDKLPLNYDQVFIRDFIPSALAFLLKGEKEIVRNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDDNKYEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYGLQERVDVQTGIKLIINLCLSDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQCWIASRKDPSTSSDEALFYSALRCSHELLSLDDGSKNLVNAINNRLSALSFHIREYYWVDMKKINEIYRYKTEEYSTEATNKFNIYPEQIPHWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLSTPKQNEAILNLIEAKWYDLVGLMPLKICYPALESEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMNRLDLAKKAVDSAEKRLGVDQWPEYYDTRYGKFTGKQARLYQTWTIAGFLTSKMLLENPETASLLFWEEDYDLLENCVCALKKSGRKKCSRGAAKSQILV >Solyc03g096710.1.1.1 pep chromosome:SL3.0:3:60454644:60454823:-1 gene:Solyc03g096710.1 transcript:Solyc03g096710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYVVKKTRLLFNPALEYSNTTAICISSNSSDKSWRSWDSLGRVNLRDPSNKDHLRLVSP >Solyc04g049160.3.1 pep chromosome:SL3.0:4:39872777:39884624:1 gene:Solyc04g049160.3 transcript:Solyc04g049160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASETDRKAATESTKTSTVPPLKPTWVLPYRTERLQQLYSIGKKLGQGQFGTTHLCTEKSTTTLYACKTIPKKKLICKEDYEDVWREIQIMHHLSEHPNVVRIKGTYEDTLYVHIVMELCAGGELFDRIVEKGHYSEREAAKLIKTIVGVVEGCHSLGVMHRDLKPENFLFLSSDEDAALKATDFGLSVFYKPGEMFSDVVGSPYYVAPEVLRKHYGPESDVWSAGVILYILLSGVPPFWAETEMGIFRQILQAKLDFESEPWPGISDSAKDLIRKMLDRNPKRRLTAHEVLCHPWIVDDTIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTISFDELKEGLRRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKFEREENLVSAFSFFDKDGSGYITIDELQHACKEFGLSELNLDEMIKDIDQDNDGQIDYGEFAAMMRKGNSGGVGRRTMRNTLNLGEALGLVESKEEDV >Solyc05g024120.2.1 pep chromosome:SL3.0:5:30432857:30435438:-1 gene:Solyc05g024120.2 transcript:Solyc05g024120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQTMNQIVSELKDFVKKLLIAGKETKSNWITIKRALWLVVESGFSSSPLLDSLNGSLHNSDKVVDGRRSLVLVVFIGGDICRDLSSSLPKRPCQSTKT >Solyc06g084035.1.1.1 pep chromosome:SL3.0:6:49339364:49339879:-1 gene:Solyc06g084035.1 transcript:Solyc06g084035.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANRNDVEKNHKRRVNVISMNIRKLVYKRQEASRIFQRNDEVEAVSEEKGYLGSYYRATILYPVGNCSDYRVKYKTLVNDDQITPLEWYVRASELRPVPPEISRETKPMETYDIVDAYDKEGWWIGVITGKVEQEYRVYFPTSKEEIVFSADKLRFHQEWSDGEWKFPSFG >Solyc01g009140.1.1 pep chromosome:SL3.0:1:3098448:3099210:1 gene:Solyc01g009140.1 transcript:Solyc01g009140.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKCLCIGASIKSMSIPIHLIMINLLLCPPELRDRCTVENLDLLSTFEWKAETTFQRCLEMLLVRPLLILASTKILNTFLNIRPFVEPLVTPQTIKFQPIRELSELCAQKGY >Solyc09g009420.1.1.1 pep chromosome:SL3.0:9:2856894:2857358:-1 gene:Solyc09g009420.1 transcript:Solyc09g009420.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTNERENPSFFSIHPSRRPDPNNDKAESTRPNNLLVKKNNVTIKRDQEKFNNTEITSDMINVHVGHVGAHNEGGPMRTDDSGRERLKRHRIEVAGHVWIPEIWGQEELLKDWIDCSAFDSKLNNNNNIMSARAALVEERRRNNSSCRLRIENSY >Solyc10g061890.1.1.1 pep chromosome:SL3.0:10:23072958:23073182:1 gene:Solyc10g061890.1 transcript:Solyc10g061890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEELVIVKNPLLERWVLKPLTYIEFVASAENSRVIHRGKGYIWQIHFSKKNIDGNIVICYHFNNPYYFFLALG >Solyc04g028565.1.1 pep chromosome:SL3.0:4:14843800:14844561:-1 gene:Solyc04g028565.1 transcript:Solyc04g028565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIYLKKFNTPPIQSGNRIDCRNVSLIIITLAIKQVRTLSLLPFLNNEKQFERNESTMKKIDCNGLFEPKY >Solyc09g056040.3.1 pep chromosome:SL3.0:9:46961687:46966259:1 gene:Solyc09g056040.3 transcript:Solyc09g056040.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMEWYVHDVKYVLRFFPFLHEMTTRLSCALELSVQSTQFIELSDKDVHEFIKFMHMVKSAIRHQEAFDIPVRFPSLLQGEAGNERLEIEGVHHVFLDQPEKLKPCLRKLESQLSLINKERGEHIWSQYLLILKELESISKLYKGLEEMFWEKMRQRRVELCFLIVRISKKATDYQWMSTRRSRLFEESFKYIGHASPRSLQGQLFMQFENEEATGPGVLRERFSLVCEAIFNTQHALFVSCPNDGRRSLDVAVHTLIAFFEVQHFHEPMSEGFTESLLHRIFNLLGLRLDHAKAAAEFLIGSVQMTSYHKDAFHSSSNLVIHGRSSKVDPLHLEYFIFSGRMIALALLYKVQISIVLDLVFFLQLAGEDISFEDIRDEDPYLYNGCKKILEMDTKMVDEDTFVCEDEELGSRKMMELCPNGKNTMVNSENRNNYVNLLVEQCFVTSIAHQVAHFDRGFADIITD >Solyc04g024885.1.1 pep chromosome:SL3.0:4:28214559:28221400:-1 gene:Solyc04g024885.1 transcript:Solyc04g024885.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MISFKLTHKNLRQRFGFEVEVLTRGVQPNDLNNKPREVNDNIVVHEIIPPQHKPIAPRGRAYNPTHMMCEEDDIDLDRDGAKRALEVSCDDEKQHLMKIVEICKTQWALPLRIKQTKKGIPLSSYADITQERLYQNIFASHFGQLAIIFLWTSGNLFRAVQEKSDKNDRILGRPEKDMRWSEMVEVVE >Solyc01g011340.3.1 pep chromosome:SL3.0:1:8630053:8639446:1 gene:Solyc01g011340.3 transcript:Solyc01g011340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Serine/threonine-protein phosphatase [Source:UniProtKB/TrEMBL;Acc:Q6VUQ5] MPSHADLDRQIEQLMECKPLSEADVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIASQFNHTNGLTLISRAHQLVMEGFNWCQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRKTPDYFL >Solyc07g051970.2.1 pep chromosome:SL3.0:7:60654837:60662299:1 gene:Solyc07g051970.2 transcript:Solyc07g051970.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLRIPDERGEGTDADQRRRVKFWKDNREKVQKKRGSVLKWETVEEEMDEVILKNYSDNSDNISLAETAEPPSDLILPLLRYQKEWLAWSIKQEESTFKGGILADEMGMGKTLQAIALVLAQRDLKKATNGSSILLSSPGTSQELPTVKGTLFVCPLTGASQWLREIERCTTKESNKTLLYHGTNRGKFTSNLEEYDFVITTYSTILADYMLIMSKQKSNNSKLCDDGSIDNSVSVGEDVSRRKSILHSVKWDRIILDEASHAFTDSRIIIGGLKEFLVRFLQVTPYAYYFCQNCNCSGLDLSSSDKCPQCHPQTCRRARHFLWWNKYIKKPLRMMGHENEGGDAMVLLKHKILKSIMLRRTKKERVADLSLPTKIVIIRKDSLDVDEFNYYKSLHNRSRELIERYVEAGTLMNNYGHIFAMITRLRQAVDHRYLVMYSRKELVSGNKEVEDVEKLCDLCHDAVEDPVVTSCSHVFCKACLIDVADSVEKIACPSCTKPLKFDFTANNDKGDSNSKPTVKEFRSSSILNRIQLDKFQTSTKIDALREEIRFMVERDGSAKGIVFSQFTSFLDLIQYSLNLSGINCVQLVGSMSIAARDAAINKFTEDSDCRILLMSLKAGAVALNLTVASNVFLMDPWWNPAVEQQAQDRIHRIGQYKPVWIVRFVIENTIEENVIELQEKKKFLFEGQDSRWFFRGLRKTNNGGLVKAVSALGTVPQFKTRLLARPETPSDLQNDFPALNHDLTLVSTSTGSLQAYCDADYGLVLHQEVHHWLPISWKSKKQYTISRSSAEAEYMSLSSTASVMGHWDTLQISKARGSRDKDSNTGFKGYGDSQSNNNGDGHRFNNFTHNQHADSGFKSYANQVGVDRQDFALTLTEKEYNDVRDLRHNNTSCAYVGDNSDCKVNLAGNVSLSSDACDHRWIIDLGATNYITSSQQILAEFSSLSDQRSNTVQLPTGNGAHIVKTGHYVIMGCYK >Solyc11g073060.2.1.1 pep chromosome:SL3.0:11:56466641:56467096:-1 gene:Solyc11g073060.2 transcript:Solyc11g073060.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRSREDNGQVEAEAMANCALMLLSRLNNNNDFACKTCNKRFPSFQALGGHRTSHNKKPKLLGETNQKSKVHKCSICGMEFALGQALGGHMRRHRDEINKVTSHEKTIIPILHSSKRISIFGVNLNITPNVDDLKLWPIEEAPSPVLRIFF >Solyc02g021770.1.1.1 pep chromosome:SL3.0:2:24252608:24253114:-1 gene:Solyc02g021770.1 transcript:Solyc02g021770.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Cytochrome c oxidase subunit 1 [Source:UniProtKB/TrEMBL;Acc:K4B525] MAFPRLNNISFWLLPPSLLLLLSSALVEMGSGTGWTVYPPLSGVTIHSGGAVDLAISSLHLSGVSSILGSINFITTIFNMRGPGMTMHRSPLFVWSVLVTAFPLLLSLPVLAGAITMLLTDRNFNTTFSDPAGGGDPILYQQLFWFFGHPALARQVILGMDSTPIKIL >Solyc08g067230.3.1 pep chromosome:SL3.0:8:56318611:56328710:1 gene:Solyc08g067230.3 transcript:Solyc08g067230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RNKENRQLNNRQVTYSKRRNGILKKAKEISVLCDAHVSVIIFATSGKMHEFSSTSLVDILDQYHKLTGRRLWDAKHENLDNEINKVKKDNDNMQIELRHLKGEDISSLNYRELMILEDALENGLTGIREKQNEFMRMMRKKTQNMEQEQDQLNCQLRQLEIASMNRNMGEIGEVFEQTRENHDYGQMPFAFRVQPMQPNLHQRF >Solyc04g082470.2.1 pep chromosome:SL3.0:4:66195344:66200608:1 gene:Solyc04g082470.2 transcript:Solyc04g082470.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASSDPNQQDGSDEQQRRSEIYTYEAPWHIYAMNWSVRKDKKYRLAIASLLEQYQNRVEIVQLDDSNGEIRSDPKLSFEHPYPPTKVIFIPDKECQKPDLIATSSDYLRIWRVSNDNSRVDMKSLLNNNRNSEFSGPLTSFDWNEAEPRRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDLSSMGQPIEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSNKLQILRWIFEKEDKFKQPGERYRSREPGQLVWYIGYLLARKKDLPAVGLRSLSDSQFASHVDPKRDKYCNVLHELCFWL >Solyc10g045437.1.1 pep chromosome:SL3.0:10:33431077:33434257:-1 gene:Solyc10g045437.1 transcript:Solyc10g045437.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGCDRLRGVPSTTSLAPLTQDVTGYQRLVGRLLYITITRPDISFTVQVLSQFMQQPKRSHWEAAMRLVRYIKSNPGQGILLSSKKSLELEAFCDSEWAACPNTRRSVTGYMVKLGDSLISWKSKKQHTVGRSSAKANYRSMTNEVAEIIWLFNTLMLMSQFLLATVVLKETSSYDCNNEQQPLTGV >Solyc09g061827.1.1 pep chromosome:SL3.0:9:60650589:60653221:-1 gene:Solyc09g061827.1 transcript:Solyc09g061827.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPLNNLIQPPLHRQATFPPASHLSPSPLLFPAPPVNQKQVVLSNSLSPEIKSTESRDLMTVYGNGEKWHNLVAKKELLFSCFVSICCEMASRSHTNMWVMKEYGVKESWKMFIIKYSHDFMGYDFLYLPFCMSIEGEILFKIGSVLLTYSQINDSMRFPKFQNYGHLGETKN >Solyc07g065520.3.1.1 pep chromosome:SL3.0:7:67368914:67374260:-1 gene:Solyc07g065520.3 transcript:Solyc07g065520.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDNEGGDGVGGEPIEQFHRNEAISAVADDGFMVEEDDDYEDLYNDVNVGENFLQSFRKNEDLVSRNEEVERKPEQPVLPAPPPVVAALQVVDESGRDDFQGVGGERNPVKEEDVVSGIAARASVGPAVSGTSAGSGFRVELSHPSSKMGDLAERMVNSNVPNQVMAQQPHGGGVAVAAGNLGNVGNMGNDNLIRQGGVNMNGAGNIVAGVGVASGGGGGGGGGGATILFVGDLHWWTTDAELEAELSKYGHVKEVKFFEEKASGKSKGYCQVEFHDSSAATACKESMNGHMFNGRPCVVAYASSPYNVKRMGEAQVNRNQQVAQTAVPQARRGPGEAAGKIGNNNTPTGGNYQGGGGGGDGNRGGYGRGSWGRGGPQAMGNRGPVGPMRNRPGGIAGRGMMGNGGGGFGQGMGGAPPIMHPQTMMGQGFDPAFGGPMGRMGGYGGFPGGPAPPFPGMLSSFPPVGGVGMPGVAPHVNPAFFGRGMPMNGMGMMPGAGMEGPNMGMWSEPNAGGWAGDEHGGRAGESSYAEEAGSDHQYGETTHDRGAWPNNVKEKDRGSERDWSGSTDRKHREGREPSYDRDMAREKDRGHDQDWPEKRYRDDRDVARDRERDRDHERPRERGRDRERDRDRHRDDRDRYADHHRYKDREQEYDDEDRGRSSRGHSKSRLSHEEDHRSRSRDADYGKRRRIMSE >Solyc04g081270.1.1.1 pep chromosome:SL3.0:4:65382981:65383307:-1 gene:Solyc04g081270.1 transcript:Solyc04g081270.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAIRKSNKLSQRAMLKQILKRCSSLGKKNGYQDEQQGGLPMDVPKGHFVVYVGENRTRYIVPISILSRPEFRALLQQAEEEFGFDHDMGLTIPCKEDFFESLTSSMLR >Solyc08g029360.3.1.1 pep chromosome:SL3.0:8:36616193:36616701:1 gene:Solyc08g029360.3 transcript:Solyc08g029360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding RKISSNVLQPRASTLPIYLLLAKTQSFAEGFQRVQSI >Solyc12g096050.2.1 pep chromosome:SL3.0:12:66102223:66116544:-1 gene:Solyc12g096050.2 transcript:Solyc12g096050.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKVVYDGWMVRYGRRKIGRSYIHMRYFVLETRLLAYYKRKPQDNVVPIKTLPIDGNCRVEDRGLKTHHGHMVYVLSVYNKKDKYNRVTMAAFNIQEALIWKEKIESIIDQHQESQGTNGNKYNSFEYKSDMDNGRNASSSDHESQFSAAEDEDDSHPNLLRRTTIGRGPPESVFDWTKEIDSDLANQNGSNQVFSRKYWRLLQCQNGLRIFEELLDGDLLPKSCSRAMKAVGVVEASCENIFELVMSMDATRFEWDCSFQYGSLVEEVDGHTAILYHRLQLDWFPTLVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPLPGYVRAHIESGGFNISPLKPINGRPRTQVQHLMQIDLKGWGVGYVSSFQQHCLLQMLNSVAGLREYFSQTDERTAAPRIPVMVNMTSASVSSKRNQKLQETPHHRTRSLDQIRAANRNASMMDEYSDEEEDFQGADQEVMPPSPEREMKRTALEEEPLDQIDFSIFSGNLRRDDRDNGRNCWRISDGNNFRVRSKNFCYDKSKIPAGKPLMDLVAADWFKDTKRMDHVARRPGCAAQVASEKGLFSLIFNVQVPGSTHYSMVFYFVMKELTSGSLLQRFVDGDDEFRNSRMKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCTYIRGPKYLEIDVDIGSSTVANGVLGLVIGVITSLVVDMAFLVQGNSPDELPEQLIGAVRASHIELSAAVVPKLEPDTTE >Solyc02g093310.3.1 pep chromosome:SL3.0:2:54853273:54856499:-1 gene:Solyc02g093310.3 transcript:Solyc02g093310.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKEIIRLPMKFLILCITVSILFQHCSCLKIGETCTDSNCDEGLVCSTCPANGNTRPRCTRIQPTIPTTKVKGLPFNKYSWLTTHNSFAISGSTSATGSDILAPTNQEDSITNQLKNGVRGLMLDMYDFNNDIWLCHSFGGKCYNVTSFQPAINSLKEIQGFLEKNPSEVVTIFIEDYVSTPKALSKVFNDSGLNKYWFPISRMPKKGEDWPTVDDMVKQNQRLVVFTSKSSKEASDGIAYEWRYVVENQYGNDGMKEGSCPSRSESSALSTSGISLVLQNYFPDNPNKTESCLDNSAPLITMTKTCFEAAGKRWPNFIAVDFYQRSDGGGAPEAVDQANGQLTCNCSSIAYCKVNGTSRKCEAPVLSPPPPARVASTGTPQDSNNKSYSNRSKPLHWLICTIFAAILLIHV >Solyc10g076510.2.1 pep chromosome:SL3.0:10:59602959:59606619:-1 gene:Solyc10g076510.2 transcript:Solyc10g076510.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDVKIGAIDTCKPPHTDVGCLPTVNAVTIHNPAVPFNSPESTLGRHLARRLVQVGVTDVFGVPGDFNLTLLDHLIDEPALNFVGCCNELNAGYAADGYARARGVGACVVTFTVGGLSVLNAIAGAYSENLPLICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQAVTCYQAVVNNLEDAHEMIDTAISTSLKESKPVYISISCNLPGIPHPTFSREPVPFFIAPRLSNKMGLEAAVEAAAEFLNKAVKPVIVGGPKMRVAKACDAFVELADASGYAVAVMPSAKGMVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKREKAIIVQPDRVTVGNGPTFGCILMKDFLAALGKRLKHNPTAYENYRRIYVPEGHPLMCESKEALRVNVLFEHIQRMLSGDTAVIAETGDSWFNCQKLKLPKGCGYEFQMQYGSIGWSVGATLGYAQAAKEKRVIAFIGDGSFQVTAQDISTMLQCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGQGKCWTTKVRCEEELVEAIGTATEAKKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRPPNPQ >Solyc10g018710.1.1.1 pep chromosome:SL3.0:10:9846424:9846939:1 gene:Solyc10g018710.1 transcript:Solyc10g018710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPQSLCKKPEKWANFTVSKQELNKGTRRMKQKRAKTDVIEGHGGRTIRATGRKYRHSKVSTAKGPKDRRVRLSPNAAIQFYDVQDRLGYDRPSKAIDWLIKEAKAAIDALCEFPNNFHSSKLNPKKMQYSFDQEQCPEFSQENRGVPNSECGVQDKQQEVNYHIPILFSLY >Solyc06g073920.3.1 pep chromosome:SL3.0:6:45790127:45800587:1 gene:Solyc06g073920.3 transcript:Solyc06g073920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLDMTYSSSSSERVCYVHCNFCSTILAVSVPCNSMMTIVTVRCGHCANLLSVNIAPSLQSLPIQDLQRQNESSIEDGMSRGYGSSSSSTNSFHRFSPIPTDHDQPRSPPIRVPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDGNKQTNKLDHAVAGEGPQKTIGLY >Solyc11g007710.2.1 pep chromosome:SL3.0:11:1943086:1945845:1 gene:Solyc11g007710.2 transcript:Solyc11g007710.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSTEETLVEAALRVLNTADPVEKARIGDEVANRWLQGLISQPYNPAEELPVPDRPARLTNVKLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIVARFGKQQSMPREFFTDFVKVAQDEGRHFTLLAARLKELGSFYGALPAHDGLWDSAIATSSDLLARLAIEHCVHEARGLDVIPTTISRFRNGGDSETAELLENVVYPEEITHCAAGVKWFKYLCVRSKNPNLSDIPSEETSNVDNEVIQKFHSTVRTYFRGPLKPPFNEQARKAAGFGPQWYEPLAVKDFTVV >Solyc02g081840.3.1 pep chromosome:SL3.0:2:46188210:46192895:1 gene:Solyc02g081840.3 transcript:Solyc02g081840.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHYLNNFKRVFQMFDKIPVEMVGQDKAELGGLLEILPPVEFCCIYGSKLHPNNKDETSMTDYIIGVSDPRQWHSENLKLNKDHYASCLIRLGGARMITDIANDIGVGVHFNPFVSCNNKMFKYGVVRMHDLIQDILGWERFYLSGRLQKPVNILTDNLDIKSVNSVNLKAATSAALLLLPSKFTEEDLYAKICSLSYTGDLRMLFAEDKNKVNKIVQGQFHLFEEMYKPFLEEYEAKNLLRFSVAGDKQVNIFQDCGLSAASTLVSSLPSSIRSEMAMKLGEKRILDDSGRVRQQIVIGSKEQAAECMQRLVRRKVMFSSTRQAVAGLLTAGAVHGVRYVANKMRKAWKSWV >Solyc05g013430.1.1.1 pep chromosome:SL3.0:5:6500899:6501531:1 gene:Solyc05g013430.1 transcript:Solyc05g013430.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDSSNRSFKLFGVKISNDEKKKGKRWSEEEHRAFLCGLEKLGTGNWSEIAKQFVPSRTRTQISSHAQKYFAWQKTRNDKAAKSTSNVELSSSTSGKEERPLSPMPISYNDSNNGDSVNLLSRKQEGKWTEEEHEAFLIGMEKVGRNWTRVSKEFVLSRTPTQITSHAQKYFEGLRNDRGPKRSVFDITLERQSTTTCPESVTKAKQVLK >Solyc03g116270.3.1 pep chromosome:SL3.0:3:67249414:67251675:1 gene:Solyc03g116270.3 transcript:Solyc03g116270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASFDIPTIDVSPFFKSDENEGAKKQAIEQIREACVSYGFFQIVNHGIPSDLLSRTIDMYKTFFGCSDEEKLCLPDNYLKSTPNSAETFEHLWFRFPFSGFNNCRSITPHFKKVLEEIVSHFTKLGVVLEGIISECLGLPPDFLSNYRNGRSRDSLMGLHYFPATEDDNTGKPAHEDPGCFTILYQDEIGGLQVHKDDHWIPIPPSKDKLVVNIGDVIQVLSNNKFKSATHKVVRPIETNRYSYVFFYNVEGDKWIEPLPQFTKEIGESPKYRGFVFEEYHELRFRNRSHPPARPEDLIHITHYSISN >Solyc01g009550.1.1.1 pep chromosome:SL3.0:1:3743981:3744244:1 gene:Solyc01g009550.1 transcript:Solyc01g009550.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFISTIKKSLYNISKKNSHIFCDISYLSQAYVFYKLSQTQVINFSKFRYVLQYNTTPCFLKTKIKYYFKTLEIFHLELKHKNFRVIE >Solyc01g065530.3.1 pep chromosome:SL3.0:1:71619324:71622805:1 gene:Solyc01g065530.3 transcript:Solyc01g065530.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALTTTFFSLFLLIITVAGQTTPMAEAPAPAADDCNGIFLQYVFNSGSKIKPTLKTRQPYKFQSILSITNNGLEELKLWRVFVGFQHDEILVSASNALLADGESFPALVGNGTVFAGFPAADLKTAVETAGDTTQTSVQIKIVGTQFGVGSPNVPMPSNISLENDGFICPKPSMQGKSVMQVCCIKDENFKTNLTLGEKFSPRQDGDLTIMYDILRTYDSNYWAQVTIANHNPLGRLDNWKLSWDWMKDEFIWEMKGAYPSVVDTSECVFGPQAKFYQNLDFSTGLNCQRRPTLIDLPLEKTNDTKLGMIPFCCRNGTILPPAMDPSKSVSAFQMNVFKMPPDLNRSSFTPPQNWKIEGRLNPDYKCGPPVRVSPTQVPDPSGLLPATSVFASWQVVCNITQPKGASPRCCVSFSAFYNESIIPCPTCACGCPSNTARTCSTKAPALLLPSQALLVPFDNRTKMSLAWAEINHLPVSNPLPCGDNCGVSINWHLFTDYRGGWSARITIFNWEDAAFADWFTAVELDKAAAGFDAVYSFNGTMLDGVNNTIFMQGLPGLNYIVAEADGANPAKDPRVPGKQQSVISFTKKNIPNINVAAGDGFPTKLYFNGEECSLPKLLPTSSSSRISSFTVTTSILAAVVVFMLVRQ >Solyc07g017730.3.1 pep chromosome:SL3.0:7:7872649:7876496:1 gene:Solyc07g017730.3 transcript:Solyc07g017730.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEHHLHSLLIHIMKYFYNIFVLWILLVTLDKCLTTEGLGVNWGTRASHPLPPDIVVKLLKENGFNKVKLFEADPGVLKALGRSGVQVMVGIPNDLLAPLSASVRNAEQWVQQNVSSFISKNGVDIRYVAVGNEPFLKDYKDTFVNTTYPALQNVQAALIKAGLGRQVKVTVPINADVYETDTGVPSGGNFRSDIHGLMMKIVKFLSDNGGPLTINIYPFLSLYADPHFPIDFAFFSGTSSPVVDGSISYTNVFEANYDTLVWALEKNGFGSLPIIVGEIGWPTDGDSNANIEYAKKFNQGLLDRISRGIGTPKRPTPPDIYLFGLVDEDTKSIQPGNFERHWGVFYYDGAIKYQLNLGNNQSLKAAKGVRYLARKWCVMAPNANVMDPNLPDSINYACSYADCTSLGYGSSCGGLDVKSNASYAFNMYYQTMNQQKGSCERFHNLSVITTIDPSPSSSNFGRTSSNCRFEIMIDVGRHETRVNPGTSSATKIKHFSLVLLVLVFMLHY >Solyc05g018340.3.1 pep chromosome:SL3.0:5:20580359:20586665:1 gene:Solyc05g018340.3 transcript:Solyc05g018340.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSREKDQPTPHLSSLVVRPTDSGGENGGGGAGSDYEPGEVRRDAPPYSRSDRFSDTHAEHFAWCKNFALEEGTWWGSGYRAHAGSVSPVRHRNADHRYSTDFDHSGGPPRSHGFGSGRDPGRHRDYSPPYGRGRHAGRFVGRSYDGPGYGGRQVRGEGLPRSNPNVRPRDGDWMCTDPLCNNLNFARRENCNKCKRPRYAPPGSPRRGYPSPPPHHRNPGPPINRSPGRFVNGYRSPPRGWARDDPRDFRAGVPHSRFEGRFADPPIRRDRPAFADADGRDRSRFERPPALDWGHRERGRDSYLSERKGYERRTPSPPHPPVLPPRGQWPRDTRERSRSPVRGGPPPKDYRRDVYMGRGRDDRRVGRGAY >Solyc06g061010.3.1 pep chromosome:SL3.0:6:39113482:39114795:-1 gene:Solyc06g061010.3 transcript:Solyc06g061010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding PISFSKIIIYFHTVSFSSLYSFYKQEEEEKMDSATRRGPFCYTSLQRKSSLTSLSSMSSSSSSSSSMSSPRFAGGRNYSDTTRFQQQPHFLDACFLCNKPLGFNRDIFMYRGDTPFCSEECRQEEIDNDEAKEKKLNLSASKALREKDHTTSPKNYHFPRGTIAAA >Solyc06g074080.3.1 pep chromosome:SL3.0:6:45932013:45934807:-1 gene:Solyc06g074080.3 transcript:Solyc06g074080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Histone deacetylase [Source:UniProtKB/TrEMBL;Acc:K4C9C6] MASSASQSLPSKNNLIHVFWDEGMLKHDTGRGVFDTGMDPGFLDVLEKHPENSDRVKNMLSILKRGPISPFISWHHGRPAHVSELLSFHTQEYVNELIEADRNGGKELCGGTFLNPGSWHATLLAAGTTLSAMKLIIDGHGNVAYALVRPPGHHAQPTQADGYCFLNNAGLAVQLALDGGCRKVVVLDIDVHYGNGTAEGFYHFDKVLTISLHMNHGSWGPSHSQGGTIEELGEGEGFGYNLNIPLPNGTGDKGYGYAMQHLVVPAIEKFEPDMMVLVVGQDSSAFDPNGRQCLTMEGYREIGQTIRGMANKYSNGRLLIVQEGGYHVTYSAYCLHATLEGVLNVSDPLLSDPIAYYPEDESFPAKVIDAIKKYQREVVPFLRDA >Solyc09g031540.1.1.1 pep chromosome:SL3.0:9:30657042:30657194:1 gene:Solyc09g031540.1 transcript:Solyc09g031540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFKVISTLLFSISSVITLHTTFKYLMRHYLAHYFLESHWNQKRTTITIG >Solyc02g088650.1.1.1 pep chromosome:SL3.0:2:51308856:51310898:-1 gene:Solyc02g088650.1 transcript:Solyc02g088650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNGPSLPLSKKLTHLKRVVETTATAAEQCKSLLEHCAKIKSLRTTKGVHAHTITLGLLQSINSTHLRSLLTAAYALCGHTSYAPKMFDELPQRTLLSYRSMIRMYTQKGFPNIALKLFGEMLRSDKHKPDRHTFPYVIRACSDLFLLQQGVVIHGLTVLSGHMWDTFVGNSLLSMYLSCGDKEGARRVFDAMQVRTVVTWNTMISGYCRNDSPKEALMIYRRMEDAGVDADCATVLSVLPACGCLKDFEIGREVHSLVEQVGFWDNLSVRNAVVDMYVKCGRMDEARLVFEKMIDRDVVTWTTMIHGFISDGDLKNALWFSQRMQLEGVRPNAVTLASLLAACASLPHLRLGKCLHGWAIRQDLQADVNVETGLIDMYAKCNCFRLGYQVFTKTSKKRTVPWNAILSGCLHNELAREAIELFKFMLSEAVKPNDATLKSVLPAFAIEADLRQALSMHSYLVRSGFVTRTEVATALVDIYSKCGNLDNSHKVFSGIPKKEKDIILWSTLIAGYGMHGHGETSLSLFNEMVQSGVKPNEVTFTSVLHACGHAGLVDDGLCLFNFMLRNHSGSLRTDHYTCMVDLLGRAGRLEEAYELIQTMTFEPSHAIWGALLGACVIHENVELGELSARWLFKLEPENTGNYILLGKIYSAVGRWKDAENVRLLMNEVGLIKAPAQSVIG >Solyc03g123990.3.1 pep chromosome:SL3.0:3:72179021:72184054:-1 gene:Solyc03g123990.3 transcript:Solyc03g123990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRHTSTTNLFIVSMAAESQSQKKTYCVVGDIMIIPKDFNLSPTKDRQINLQLNFPDVGRVFNDFIRTQEVGEFFSGALAGAMTKAILAPLETIRTRMVVGVGSRNIGTSFIQVIEQQGWQGLWAGNTINMLRIIPTQAIELGTFECVKRAMTSAQEKWTDTGSPKLQIGNASLSFSLSWLSPVAVAGAAAGVVSTLACHPLEVLKDRLTVSPEVYPSIRIAVHKIYKDGGIAGLYAGLGPTLIGMLPYSTCYYFMYETIKKSYCRAQKKESLSRAEMLLVGAFSGLTASTISYPLEVARKRLMVGALQGKCPPHMVAALSEVIREEGLLGLYRGWGASCLKVMPSSGITWTLYEAWKDILLADRRHV >Solyc09g037180.1.1.1 pep chromosome:SL3.0:9:21484207:21484365:-1 gene:Solyc09g037180.1 transcript:Solyc09g037180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALICESKAAHEHLVVASMAMIAHRKKSLQLLMFVFGTSTYHCLITMRMSSL >Solyc12g005160.2.1 pep chromosome:SL3.0:12:117347:120044:1 gene:Solyc12g005160.2 transcript:Solyc12g005160.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFCFSCCMSSSSDQDKINHTNSLAKSIHHQEQQKDTKSIASFANISIKTDSSRRKYIVEEIEKYGKGNISAQTITYNDLCLATNNFDSECLLGEGGFGKVYKGHIQSKNKDVAVKQLDRNGFQGNREFLVEVLLLSLLHHPNLVTLEAYCSDGDQRVLVYEFMSNGSLEDHLLEIGPEQKPLDWITRMKIAEGAAKGLEYLHETANPSVIYRDFKASNILLDEKFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYASTGQLTTKSDVYSFGVVFLEIITGRRVIDNSLPSEEQNLVVWATPLFRDKTKFHLMADPLMGEDYPMKALYQALAIAAMCLQEEAGTRPLMSDVVTALEFLSGNKKELDAEDEDEDEDEEGTCKSPPALQSFTSRLERADTNGIRERD >Solyc06g083410.3.1 pep chromosome:SL3.0:6:48858588:48861750:1 gene:Solyc06g083410.3 transcript:Solyc06g083410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADLSMDFDTNSSEIWDWQCGETFLQDSENFGLQPQTDVSGCPWTGVTEKNKDISYMFVDETTPVKDCGDLTCDVKDVTSKQLEQCREPSKPVKRRRVLQFDAEILDSTGSNEKLALTFLKSKERNAYFEEARSDVSDWFSEYADGATSSAQEGFDESSEEWLTDCINDPELQIISEDMNASGVSDIQADTMEVVNSPPECEITMVKSSPARTYGNIVFKGRSSYTKTPKKGASSVVYPFGFIKPCSAQGDVTLKEINKKIRTPPPSKSKQHNQDSPPYPTSAFSGKPVIGKTKIHTEGGRGSITIMRTKG >Solyc02g014525.1.1 pep chromosome:SL3.0:2:17403855:17409330:-1 gene:Solyc02g014525.1 transcript:Solyc02g014525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRICVDYRALNKATVKNKYLVPLVQDLMGMLSKTCWFTKVDLGAGYWKVRIAEGDEPKTTCTVAGVPAEYDFMLEHKPRKHNQEAPVKAISVTLTRVLACLRFGVIRKL >Solyc05g016690.3.1 pep chromosome:SL3.0:5:17851766:17855935:-1 gene:Solyc05g016690.3 transcript:Solyc05g016690.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEENRQVFEADEDAYGGMNVHIKDSMDSIKFIAMLRASITQWTNQGKKGVWIKLHIEYSHLVNDAVKEGFWYHHAEVTYLMLVYWIPQTPHTIPSNASHRVGIGALVINDNGEVLVVQEKNGTFKGTGVWKLPTGVVDEGEDICDAAIREVHEETGIKAEFVELLAFRQSHKSFFGKSDLFFVCMLKPLSCIIHKQDSEIEGTKWMAIEEYAAQRFIKKHEMFYKIAEICIAKKEDKYNGFSKVFMTSAFSTKRNYLYYNQN >Solyc07g043207.1.1 pep chromosome:SL3.0:7:56946476:56947651:1 gene:Solyc07g043207.1 transcript:Solyc07g043207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYRMDSETINTSVNGEMDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDDVDVILETLKECGYSQDRFYIHCDAALCGLMTPFVNNMISFKNPIGSVTISGHKFLGCPMSCGVQIIRKSPNSRETISSCTMRRYLPGDGGVVLLLRRCNWRRLASWWRETWRRSEKVDRERGSTEIARVDRDSNARGSTEREKERGGRRSTTRKREAVDDRRREREALREGRKSYFNFG >Solyc02g088590.3.1.1 pep chromosome:SL3.0:2:51269387:51277721:1 gene:Solyc02g088590.3 transcript:Solyc02g088590.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEDNTDELASPAASVKNDSMAEDNTDELMSPVASVKNDSMAEDNTDELVNPVTSVESDSAGSKPDRISTRKSGSLSNAHKVLPFYRNSSSTSNNGGNTRRQSTGKLHSPDSGQDVLPHYLRASTGSCHDFCKYGKKHSSEPKPWHSLSKRKNKLPADEQSPAQALVGEAKKGTSVKQKPSTPPGSVLGEKKKVTGVDQKPSTSPGSMLGESKKRIVVKQNTFTTSGSSQGEAKKGMLVKKKPPTPPRSMLEEEKKVDVINQKPSAPQESILEDDKKVAMVEQKPSSPPGSIQGGVDEVTVVDQNSSASLESMLGEGEIMTAVEQKLSTPSGSALVAGEKVTLVNQKSSAPPGSMLGQGNKLNVVDQRPPSKVHSLEPSEMIKKKATLPPKSVHSLKLDSSSYKMPETEKKMKPVLVKHSPPEQTKLKMVKSSSKILGGVDAGFRKVGAVNSRKQKIVSKVSGEKSLKIPTHSCSPKSSSVKPLILRARNSISLKLLSPLKDQNKMWRDGTNKPKSASQSQSHLLSTEVDKKVAKSAGSASGKYTSSSKKLLHIAEAETDGKNQKKTLRKGKTAVSNDQNPSVVKLKFRRGKVIDLQPETSSPRRLTFRLGRHMGESQDSNIRKRNFRKKGVDDDGSNTIPNSRKIVLRHQDVQEKKDVQGLLNNVIEETASKLVETRKSKVKALVGAFETVISLQDKPSTVTVS >Solyc08g044340.1.1.1 pep chromosome:SL3.0:8:21455422:21455628:1 gene:Solyc08g044340.1 transcript:Solyc08g044340.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLFWTLILVVLYNGCSSEGVNSTLSARPKVVNIGCMMSFNTLVGKVTKVAAEAAVEDINFNPDVLVL >Solyc02g069360.1.1.1 pep chromosome:SL3.0:2:39846378:39847835:1 gene:Solyc02g069360.1 transcript:Solyc02g069360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTPFSLSTYFIFFFLSSALLHFNQCYAKEKKPSSYSLVLSLTHTKTSLTIPKSSYNLVKKNSETLDIREPLREVRDGYLISLNIGTPPQIIQVYMDTGSDLTWVPCGNLSFDCIDCDDYRDHKLMSSFSPSFSSSSYRDLCTSSSCIDIHSSDNPFDQCTIAGCSLNSLLKGTCSRPCPSFAYTYGEGIVSGTLTRDTLRVHGTSSNPNSIREVPKFVFGCVGTTYREPIGIVGFGKGPLSLPSQLGFLKKGFSHCFLPFKFANNPNISSPLVVGDQAISSKENFQFTPMLKSPMYPNFYYIGLEAITVGNGATTQVPLTLREFDSLGNGGMLIDSGTTYTHLPEPFYSSLLTALRSSINYPRAEDIEARTGFDLCYRLPCPNNNLNSLVTDDFPSITFHFLNNVSLFLPNGNDFYAMGAPRNSTVVKCLLFQSMEGSEEGPAGIFGNFQQQNVEVVYDLEKERIGFQTTDCASAATSQGLHKT >Solyc10g007610.3.1 pep chromosome:SL3.0:10:1902119:1909185:1 gene:Solyc10g007610.3 transcript:Solyc10g007610.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADRERKLMSEGVLTYAYILLYISLSSGQIFFNKWVLSSKEINFPYPLALTLLHMVFSSVLCFVLTKVLKIMKVEEGMTLDIYISSVIPIGAMFAMTLWLGNTAYLYISVSFAQMLKAIMPVAVFILGVAAGLEMMSCRMLLIMSVISFGVLVASYGEININWVGVIYQMGGVVGEALRLIFMEILVKRKGLKLNPISVMYYVSPCRQVHFFALCLLVPWIFLEKPKMDEQLTWSFHPLVLTLNCICTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTLINLCGYAIAIAGVAAYNSHKLKKEATRVSSDESQATSSIPLVSSSTSNA >Solyc11g064957.1.1 pep chromosome:SL3.0:11:50572560:50576474:1 gene:Solyc11g064957.1 transcript:Solyc11g064957.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVNVVAMSLSVVIVRIWRNWYFLSTSPMVKSCPRVLIGGKMVMVITCCLVKLIGSTTSISFYFGSTSFNAWEGSRRNEAYRHAGH >Solyc12g010220.2.1 pep chromosome:SL3.0:12:3337842:3341025:1 gene:Solyc12g010220.2 transcript:Solyc12g010220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLCRPPLPRLLLNNVSCMRNAQQILRHINVSLHDGGALVLTGTNGSGKSTFLRMLAGFSKPSAGEILWNGHDITDSGVFQQYKLQLNWLSLKDAIKEKFTVLDNVQWFEVLEGKQGKSLPALELMGLGRLVNDKARMLSMGQRKRVQLARLLAIDRPIWLLDEPSVALDDEGVKLLEYIIAEHRKKGGIVIVATHLPIQIEDAMFLRLPPRFPRRMTLVDI >Solyc01g098260.1.1.1 pep chromosome:SL3.0:1:88720950:88721099:-1 gene:Solyc01g098260.1 transcript:Solyc01g098260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPTPISFPGENQPESTQKPPLKPHLFSETHKKTATKTTSLSLFQSNHYE >Solyc11g018570.1.1 pep chromosome:SL3.0:11:8743409:8744124:-1 gene:Solyc11g018570.1 transcript:Solyc11g018570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKTTLVVLMVSIILVIGCMGDDEVKKYGVCVFECMKEKPMACIFDPEVCIPTCAAKCAIHLQHNFHPTIAPQNYACNIACTFTQCQNYMMLNDGKKLGECIASCNDNYCANKAALI >Solyc03g095470.3.1 pep chromosome:SL3.0:3:58018645:58022395:1 gene:Solyc03g095470.3 transcript:Solyc03g095470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKSSSSKFVLSNFYHYILSKPHQFSTPNVGISHFLSNHSKIIQKPAVNQWVSNTQRTHFSSSPFPRVLQNPSKKLDPDGSFLWNRKLLGFRYFSLKSSGLGLGKNVLKNPVEAAKKTTLRYKGAVGLQMEAFWKRNSMVLFGAAGIMVCILLWRILFGIATTFIGLSEGMAKYGFLALSSAIVAFAGLYLRSRFTINPDKVYRMAMRRLNTEAGILEVMGAPLSGTDLRAYVMSGGGVTLKNFKPRFRGKRCFLIFPIRGSERKGLVSVEVKNKQGQYDMKLLAVDIPMAAGPDQRLYLIGDEEEYRVGGGLIAELRDPVVKAMAATKEFEERDDLEDEEDAERELQEAERKHQEEIEKLEKGDSR >Solyc01g098850.3.1 pep chromosome:SL3.0:1:89120898:89122868:-1 gene:Solyc01g098850.3 transcript:Solyc01g098850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCSRFLPCSARAFSSTFTTHLISPCNFFTNTTKRGRSYPPVNIIARSMASGGQTFPPQKQQTQPGKEHAMDPTPHYSSQDYKPANKLRGKIALVTGGDSGIGRAVCHCFALEGATVAFTYVKSQEEKDAQDTLKLLMQAKAADAKDPMAVPTDLGFDDNCKRVVDEVVSSYGRIDILVNNAAEQYEASSVEEINEERLERVFRTNIFSYFFVTRHALKHMKEGSSIINTTSVNAYKGNAKLLDYTATKGAIVAFTRGLALQLVERGIRVNGVAPGPVWTPLIPASFSEEECANFGKQVPMKRAAQPIEVAPSYVFLASCPESSYITGQVIHPNGGTIVNA >Solyc02g071540.1.1.1 pep chromosome:SL3.0:2:41504532:41504918:-1 gene:Solyc02g071540.1 transcript:Solyc02g071540.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAEEFQESEILFPQEHEMELQNSADEEEAEFGFQVRRYNNNVSMIRKIIMKQDVESTPMNKASFFMDNFFEDEYDDDEMVPPDVIIKRRVIRRMMAFSICIGYGGTLKRRNLIQARDLILRMTGFIEP >Solyc12g036740.1.1.1 pep chromosome:SL3.0:12:47955406:47955594:-1 gene:Solyc12g036740.1 transcript:Solyc12g036740.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTFPSRPNYTSFPKLLFISQHPIPMTFTSRPNSISYLQLQIPHSQHSKPKYPTPFHLVSSSH >Solyc03g120500.3.1 pep chromosome:SL3.0:3:70359984:70364173:-1 gene:Solyc03g120500.3 transcript:Solyc03g120500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Auxin-responsive protein [Source:UniProtKB/TrEMBL;Acc:G9HPX1] MSVPLEHDYIGLSEPSLMERSSDKISSSSSSSVLNLKETELRLGLPGSESHGVSLFGKDLDPLSNFTSRTKRGFSDAIDASGKSDLSINCRSEADRENGNLLFSPKRGNGGSNPVEEKKPIPHTSKAQVVGWPPIRSFRKNTLATKKNDDEGRTGSSCLYVKVSMDGAPYLRKVDIKTYSNYAALSSALEKMFSCFSIGQCASDKIPGQEKLSESHLMDLLNGSEYVLTYEDKDGDWMLVGDVPWEMFIDSCKRLRIMKSSEAIGLAPRAINKCKNQN >Solyc12g099120.2.1 pep chromosome:SL3.0:12:67372351:67385958:1 gene:Solyc12g099120.2 transcript:Solyc12g099120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDKLINQENNIDKEIMELRRGPWTVEEDLVLMNYISHHGEGRWNSLSRCAGLKRTGKSCRLRWLNYLRPDVRHGNITLEEQLLILQLHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFQDTLQIMELRRGPWTVEEDFILMNYISHHGEGRWNSLSRCAGLKRTGKSCRLRWLNYLRPNIRHGNITLEEQLLILQLHFRWGNRWSKIAEHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTLRYLWIPRLVERIEASKISNNNCINEAQRSVTSTSVTLENSSVATSSENSNQDYNQVNQSDENNNNLDLKRGSWTVEEDFTLMNHIALHGEGRWNSLARSAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLLILQLHSRWGNRWSKIAQYLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKEFKDTLHYLWIPRLVERIQASSNSNSNNQG >Solyc03g119690.2.1.1 pep chromosome:SL3.0:3:69724487:69725947:-1 gene:Solyc03g119690.2 transcript:Solyc03g119690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFCLFLLLISLVSVPCVLSRSLSSSSHPHTQIFDVAASIEKTVQVLTPATQSFQQEAIKSAHFNSSSISVSIYPRSALVKPRHKDYAALTLSRLERDSARVSSLTMKLHLALNNFNHSDLKPVETMVQPEDLQTPITSGARQGSGEYFTRLGLGQPVKQYYMVLDTGSDITWVQCEPCSDCYQQSDPIFSPSSSSTYSRLSCDAAQCSALEVSACASSQSCLYQVSYGDGSFTVGEFATETVSFGDSGSFSEVAIGCGHDNEGLFVGAAGLIALGGGSLSLPTQIKASSFSYCFVDRDSASSSTLDFNSARPGDSVIAPLLRNSRRSTFFYVGLTGISVGGQMLSIPASVFQVDRSGSGGIIVDSGTAVTRLKNTAYNTLRDSFRKYTQHLPSAGQFALFDTCYDLSSMKRASVPTLSFHFSGGKALPLHPKNYLIPVDSSGKFCLAFAPTDGSLSIIGNIQQQGTRVSYDLANNLVGFSPDKC >Solyc12g009040.2.1 pep chromosome:SL3.0:12:2334534:2340026:1 gene:Solyc12g009040.2 transcript:Solyc12g009040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGSEGSDPNTNVVERMDSSCYVSFFKDQESFGVVAAVVVAIIVPLFLSVVLMRKKKAKQRGVSVKAGGEAGLTMRNAKSAKLIEVPWEGATTMAALFERSCRKHSSKRCLGTRKLVSRDFVTAKDGRKFEKLHLSEYHWESYGQTFDRACNFASGLVNLGHDVETRAAIFSETRAEWIIAFQGCFRQNITVVTIYASLGDDALIHSLNETQASTLICDAKQLKKLAAIGSNLKTISNVIYFEDDETSIDSSTSTDIDSWRVTSFSEVEKLGKSNPIQPILPIKKDIAVIMYTSGSTGMPKGVMITHGNIVATAAAVTTVIPKLGSNDVYLGYLPLAHVFELAAETVMLTAGASIGYGSALTLTDTSNKIMKGTKGDASALKPTLMAAVPAILDRVKDGVIKKVEERGGSAKKLFDIAFKRRLTAIEGSWFGALGSRENTMGDIRFMLCGGAPLSRDTQRFINIRLGAPIGQGYGLTETSAGAAFSESDDPSVGRVGPPVPCCYIKLISWEEGGYTVADKPMPRGEVVVGGNSVTAGYFNNGDKTNEEYKVDERGMRWFYTGDIGRFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALSSSNYVDNIMAYADPFHSYCVALVVPSQKVLEKWAQENGIEHRAFSDLCNKVEAVNEVQQSLSKVGKAARLDKFEIPAKIKLIPEPWTPESGLVTPALKLKREPLKAKFKDELMNLYQ >Solyc10g078410.2.1 pep chromosome:SL3.0:10:60364725:60367089:-1 gene:Solyc10g078410.2 transcript:Solyc10g078410.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFVFLISCFSFILLVSKSFFKPMGGFSNVWFSEELVKFLALWFSKGRNAISFLNLPLMTDHVKSKLENVVEKEEGVSLLDLPDLTLECIFERLPPNGLCNMAAVCTSLREKCTSDHLWEKHMKGKWGELIGSAAYKEWQCYVVSRNKASILEKSRKKRELYGKFSKIRELLWDRSKKGNEDDSKIGNSSEMSSIMDWYLSLETGKFWFPAQVFNREVQNGHIGFMLSCYDAEVSYDCRTNTFSARYPSYGRRMIEDDIEWNRLRAPTVDTLPYVLHVSDCLDDLQPDDHIEIQWRKSKEFAYGWWYGVVGHLESCSGSKLNCHCHASETVLLEFKQYTAGSRWRQTVINRKDHREVGNEGDGFYGGIRKLYSDKEISLWNSLLPNNTLE >Solyc06g069070.1.1.1 pep chromosome:SL3.0:6:42985597:42985881:1 gene:Solyc06g069070.1 transcript:Solyc06g069070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRESKVMLVAMLVLMLLGESHISLAATCSPVQLSPCLGAIRSSSPPSKLCCTKIKQQKPCLCQYLKNPTLKKYVNSPGAKKVARSCGVPYPRC >Solyc06g082090.3.1 pep chromosome:SL3.0:6:48071567:48075460:1 gene:Solyc06g082090.3 transcript:Solyc06g082090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDDEREEKELDLTSPEVVTKYKSAAEIVNKALQLVLSECKPKAKIVDLCEKGDAFIKEQTGNMYKNVKKKIERGVAFPTCISVNNTVCHFSPLASDETVVEEGDILKIDMGCHIDGFIAVVGHTHVLHNGPVTGRAADVIAAANTAAEVALRLVRPGKKMLFRPVKSWHEDARMNSDVTEAIQKVAAAYDCKIVEGVLSHQMKQFVIDGNKVVLSVSNPDTRVDEAEFEENEVYSIDIVTSTGDGKPKLLDEKQTTIYKRAVDKSYNLKMKASRFIFSEISQKFPIMPFTARDLEEKRARLGLVECVNHELLQPYPVLHEKPGDLVAHIKFTVLLMPNGSDRVTSHSLQELQPTKSTENEPEIKAWLALPTKTKKKGGGKKKKGKKGDKVEEASQAEPMEG >Solyc11g020040.2.1 pep chromosome:SL3.0:11:10036469:10040967:1 gene:Solyc11g020040.2 transcript:Solyc11g020040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASSATHQVKIPFSGHKLDNRTPFSGGRISFASRKKCYSDKAVRQRFRPMKVVNEKVVGIDLGTTNSAVAVMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQSVVNPENTFFSVKRFIGRKMAEVDEESKQVSYRVMKDENGNVKLECPAIGKQFAPEEISAQVLRKLVDDASKFLNDKVAKAVVTVPAYFNDSQRTATKDAGRIAGLDVLRIINEPTAASLAYGFEKKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRIVDWLADTFRKEEGIELLKDKQALQRLTEAAEKAKIELSTLTQTNISLPFITATADGPKHIDTTFTRAKFEELCSDLLDRLKTPVETALKDASLSFKDIDEVVLVGGSTRIPAVQNLVRKMTGKEPNVSVNPDEVVALGASVQAGILAGDVSDIVLLDVTPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVKDNKSIGRFRLDGIPPAPRGVPQIEVKFDIDTNGILSVTATDKGTGKKQDITITGASTLPKDEVDRMVQEAEKFAREDKEKREAIDAKNQAESVVYQTEKQLKELGDKVPADVKNKVESKLKELKDAISGDSTQTIKVAMAALNQEVMQLGQSLYSQPGPAGSGPSPGAGTTGSSGSTGKDDGDGEVIDADFSESN >Solyc03g115570.1.1.1 pep chromosome:SL3.0:3:66719383:66721158:-1 gene:Solyc03g115570.1 transcript:Solyc03g115570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKASLKTSTQFSSIYGFRRAYTISASVDLPMCEVPDQRHMNRQRASGKPIDQFSLMKLIDSSISSNGFAPTSLLFHEFSRSIDVNLCNTLIRRYTDSKNHSRAVSVYAQMRKLNILPDSSTFPSVLKSVALLWCGEVGKSIHCNAIQLGFTSDVYTNTALVHMYGICGQPDDAHQLFDEIPDRNVVTWNSLITSYTHNRMFREAIDVFREMLASGVTPVEVTMVGVLSACSHLGALGQGKWIHDYIVKNRLRVNVYVGTALIDMYAKCGDIDEAKKVFETMGLKNIYTWNVLISAYAMNGQGEAALRTFDRMIEKDLKPDHVTLLGVLCACCHQGFVEEGRRLFSSMINQFGLQPKIVHYGCMIDLLGRGGFLDEAMEIIHSMKLKPDAIIWRTLLGACRFHGREELGEFAFHKLLELEPTNGENYVLISNVHTQKKKWTEVGEVRELMDSSGIKKIPGCSSIELENAVYEFKASDPLKTGNEEIHKMLQDMKNQLKLAGYVPETEIALYDINEEEKEHNLIFHSEKLALAFGLLHSSEPTLRIMKNLRICQDCHQFFKLASAVYKRNIVVRDIKRFHHFTGGLCSCKDYW >Solyc07g064450.3.1 pep chromosome:SL3.0:7:66736364:66738125:-1 gene:Solyc07g064450.3 transcript:Solyc07g064450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFIVTIFLFLLPVFLLLVRRRRSLTRVPPGSLGFPIIGQSFGMLRAMKANTAEKWLEERVQRYGPISKLSLFGKPTVFIYGQAANKFVFTSDCSVLTNQQPQSVKMILGNRCLLELNGEDHKRVRDALVSFLKPDCLKRYVGKMEEEVRIHVETYWKDKQIIKVLPLMKTLTFNIICSLLFGLERGAQRDQMIHYFQQMIEGIWSIPINLPFTRFNCSLKASKDVQKMLKQLVSEKHHEFDNNLASSHQDLITCLLSIRGENNQELISETEIIHNVMLIMVAGYDTSSVLITFIVRLLAKYPNIYTAVLKESLTWEDLGKMKYTWRVAMETMRMFPPIFGNFRQTVKDIEYGGYLIPKGWQIFWVTTKTHMDSSIFQEPEKFDPARFENSASLPPYNFVPFGGGTRICPGYEFAKIETLVTIHYLVTHFTWKLCCTDDFFSRDPMPVPTQGLTVQIVPRKPL >Solyc02g069925.1.1 pep chromosome:SL3.0:2:40273485:40277679:1 gene:Solyc02g069925.1 transcript:Solyc02g069925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTIGTTGRPKGVTLSHSALVVQSLAKIAIVGYGEDDVYLHTAPLCHIGGISSALAMLMAGGRHILLPKFEAKLAVESIDQHSVTSLITVPAMMTDLISFYKTKHISVGSKSVKKVLNGAGGLSSSLIKNVIEIFPRAKLLSAYGMTEACSSLTFMTLYDPARESCIQHSYANSSNLAHKPDGICVGKPAPHIEIRIAGDDSSCIGRILTRGPHLMLGYWDQMPSNNSSPVDECWLDTGDIGHIDDCGNIWLVGRLKGRIKSGGENVYPEEVEAVLLQHPGISASVVIGLPDSRLTEMVVACIRMKDNWQWTDSSSNHPVNKNEHCLSSTVLQNFCRAKDLTGFKIPKKFVVWKNQFPMTTTGKLRRDQVRAELMSFRQLAPSRL >Solyc07g051850.3.1 pep chromosome:SL3.0:7:60515224:60521664:1 gene:Solyc07g051850.3 transcript:Solyc07g051850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRYGACLIAVCLLLLLSPLVFSVSNDGLVRVEIKKRKLDQTNHVFGGIDSNGVHSARKYRLGGNVGDSDSGIIALKNYLDAQYFGEISIGSPPQKFTVIFDTGSSNLWVPSAKCHFSLACYLHPKYKSSHSSTYKKNGTSAAIHYGTGSISGYFSNDNVKVGDLVVKDQDFIEATREPGITFLAAKFDGILGLGFQEISVGKAVPVWYNMVNQGLVKQSVFSFWFNRNAEEEEGGELVFGGVDPNHFKGEHTYVPVTHKGYWQFDMGDVLVGGETTGFCSGGCSAIADSGTSLLAGPTTIITQINHAIGASGVVSQECKSVVSEYGKTILDLLESKAAPQQICSQIGLCSRDGGKDVSMIIESVVDKHNEASNGVHDEMCRVCEMAVVWMQNQLRRNETADRIFDYMNKLCDRIPSPMGESAVDCNSLASMPNVSFTVGDKTFELTPQQYVLKVGEAPVAQCISGFTALDVPPPRGPLWILGDVFMGRYHTVFDYEKMRVGFAEAA >Solyc07g006990.2.1.1 pep chromosome:SL3.0:7:1793003:1795084:1 gene:Solyc07g006990.2 transcript:Solyc07g006990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQQGTTFVMNERQRLAELLRNCSKILSLDVGKQVHGAVLRMGYAFDLMIGNDLIDMYGKCSRVELARSVFHKMPERNVVSWTALMCGYLHHSNAQESLLLLSRMLFANVRPNEYTFSTNLKACGILGVLENGQQIHGLCAKSGFEKHPVAGNSIIDMYSRCGKLGEAEKKFHEMPEKSLITWNVMIAGYAMGGFGDKSLCLFKKMQQQGEMPDEFTFASTLKACSGFKAVREGSQIHGFLITKGFLISSQKVIAGALIDLYVKSGNLFEAHKVFSQVEQKSVISWTTLTVGYAQEGKLTEAMNLFKQLRESSITLDGFVLSSMMGIFADFTLIELGKQLHCCAVKIPSGLDISVLNSIMDMYLKCGLIEEAETLFDVMPEKNVISWTVMITGYGKYGLGGEAVELFKKMHMDRIEPDEVSYLALLTACSHSGLVQESEEFFSKLCNSNCLKPSVEHYACMVDILGRAGRLREAKVVIENMPVKPNVGIWQTLLGACRVHKNVEIGREVGEILLKLDGNNPVNYVMMSNIFADARLWEECEGLRGLVKTKGLRKEAGQSWVEIDKKMHFFYNRDETHPLTKAIHEFLYKMEKKMKYELGYTREVSFSLHDVEDETRDESLRFHSEKLAIGLALLSGSDEIEGKPIRVFKNLRVCGDCHEYIKGLSKILKKIFLVRDANRFHKFENGTCSCRDYW >Solyc03g031820.3.1 pep chromosome:SL3.0:3:4312757:4327583:-1 gene:Solyc03g031820.3 transcript:Solyc03g031820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSISSQVGFLVPLSDNLEEDGKSSIPKIPLSEGPNCVGRDCIPVTDKRLSRKHLIITATCTGSADVVVEGTNPVVIRSKGERKKLLSRERWKLGSDDIIELIPGHYLFKYVSAACKDETSPGNKQKRPFSEESITDKGQMHGKKKAREVCEEASELIMLHNNGQETNSSAEAIRQFRVPKHKLPLTFRLMRVRELPAWANSEAVSINDVIQGNVLVAILSNYMVDMDWLLSACPTLKRIPNVLVIHGEGDGTMEYMKRSKIANWILHKPSLPIAYGTHHSKAMLLVYPTGVRVIIHTANLISIDWNNKSQGLWMQDFPWKNQNNLDKDGGFENDLVDYLSALKWPEFTVNIPAFGSCKINSSFFKKFDYSSASVRLIASVPGYHSGSSLRKWGHMKLRTVLQECTFSKEFQKSPLIYQVSVSFSSLGSLDEKWMTEFASSMSAGVTDDKKPLGIGEPMIVWPNVEDVRCSLEGYAAGSAIPSPSKNVEKEFLKKYWAKWKASHTGRWWFLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSSVKRGCGFSCTNNGYPSEDETSIHEGKKIKLVTLAWQGKGNDDSSEVIKLPVAYELPPKPYSLEGTSLRRLEVKMKGRPYEGWPPCSGPNKHEYLLEFCIQGLFVVLLKARFMSELDIQVPTTFDPFADANAQNSGAGAKEYVHIRVQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLIQAGIVKKDNIKIHGF >Solyc09g012020.1.1.1 pep chromosome:SL3.0:9:5306387:5307649:1 gene:Solyc09g012020.1 transcript:Solyc09g012020.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDEIDDIEFARQMLSLQVNKYEENRNRGMKQKQVVDKSHNIIPLSDFEEKIIFNILVKIPPRYIHKNVMPVCKTWKEVFSRTCFIEQNFKESKSELLIQSGYTRHMKTKLIDIGEDLECESRDLGLNKTRKIHSSCDGLILMSEPDDFYFGKLRVINPATKFCITIPGCPSHCEHGTCSAALVFDSSTEQYKVVHIFKYCFGFEIFNLSNADENWKWERVDSALWEGLNNQPFDDNFCWKNLVSINGRILHWYVNSSEYFVSMDVKEGKFSITYLPERDEVVNKTNNYALIQLNGFLSFITCDSEATMDVWILEDFHGQIWSKKHTIVAELTHYVSPSKSTRPNERSMPEIGKLIAVGGARNGEVLILKHQKNSKEYLYDTKSRVMKMFNIYNMRNSESFVTHKESLFSMKRIPSIWRS >Solyc04g078360.2.1 pep chromosome:SL3.0:4:63183259:63199078:1 gene:Solyc04g078360.2 transcript:Solyc04g078360.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRYHLYIFPHNFIETFDSSKKKLPPSPLSLPIIGHLYLIKNSLHQTLNSLSTKYGPVIYLRFGCRNLLIVSSRSSVEECFTKNDVVFANRPRSMLGDRVSFNYTSLFLAPYGQLWRVLRRQTTIELFSTNSLQKSSLIRNEEIEILIRSLFKASEKNTRGTRVDLNCWAFTFVFNIMMRIGTGKSCVSEEDIGMEKGKKIIEEMRGVFFANLLVLNVCDFLPILKWFGYKGIEKKIDLMSMKRNKFLNKLLDEFRQKKFSSDSEHEAKEKKATLVETLLSLQEFEPEFYTDDLIKSLLVALFVAGTETTSMTIQWAMRLLLAHPKVFQKLRFEIDSKVGNKRLLNDSDFNNLPYLQCVINETLRLYSLVPLLLPHYSLEDCSVGGYHVPKNTILMVNAWAIHRDPELWDEPEKFIPERFEDMDGAKKEGFNYKFIPFGMGRRACPGANMGMRTVSLGLGSLVQWFDWKNVELDENYRNMDEYYNSNILNSYYLLLFFAIFLLKYLFRSKNRLPPSPLSLPIIGHLYMIKNSIHQTLTSLSAKYGPVMYLRFGCRNLLVVTSPSAVEECFTRNDIIFANRPQTMTGDKYSFNYTAVVWASYGYLWRALRRLMVIEIFSFNSLQKSSALRSEETTILIRGLFEANCDRSGRSNVKINLSDWVSSFAFNVMMRSGTGKRCVSEEEIGTEKGKEIIDEIKGFFFATLLPLNVCDFLPVLKWFGYKGIEKRMVLAHEKRNEFLNSLFDEFRQKKVSPISVSESSTDRNREKKGTLVETLLSLQESEPEFYTDDLIKSVLQVLFIAGTETTSMTIQWAMRLLLAHPEAFHKLRAEVDSKVGNERLLSESDFTNLPYLQCVINETLRLYPPVPLLLPHYSLEDCTIGGYDVPKHTILMVNAWAIHRDPQVWDEPEKFKPERFEGMKGEKEGFNYKFVPFGMGRRACPGAAMGLRTASLVLGSLIQWFDWENVEFEENLDAKKNLPPSPPFLPIIGHLHLLKSPIHQTFKSLSSKYGPIMYLHFGTSQVIIVSSASIAEQCFTKNDIIFANRPKSLASKHLGYNHTTIGFSPYGDHWRNLRRISNIQIFSTFTLNNSSSIRTEEVQFVVKKLAQEYKGGSTQKVKLKILFEKLVYDVLTKMVAGKRWAESSTDDLFGPTMIMNICDYIPILKWIRFQGLEKNLVELKIRRDEFLQGLIDECRKSRADKKTIIHTLLSLQRDQPECYTDDIIKGVIMVMFTAGTHTSAVTMEWAMSLLLNHPEVMKKARLEIDNLIGETRPLEEPDILKLPYLRCIINETLRLFPAGPLLVPHFSTQECTIEGYHIPKSTILFVNIWEIQRDSKIWEDANEFKPERFEGGIEGCKFIPFGMGRRACPGYGLAIRLIGLVLGLFIQCFEWERIGDELVSLDESCGLMLSKLEPLEALYRPRESMVALLRNNLPPSPLAFPIIGHLYLLKNKPLLTLTSLSAKYGPVLYGSMPVVIVSSPSTVEECFTKNDIIFANRPRTMAGDQLTFNYTAYFWAPYGQLWRSLRRLTVMELLSSNSLQKTSNIRDEEVVNFTRSLFKFCNGRSRNVDLTNWICTFSFNLVNKIVAGTHLVSEEDAGMEKGIAMIHRLKGIFFVDIPVLNMCDFLPFLRWIGYKGMEKKMNLVHNQRNEFLDNLLEEFRQKKVNVGNRVKQNTLIETLLSLQESEPEFYTEDVIKSIMLVIFVTGTDTTSTTIQWAMRLLLAHPEALHKLRAEIDVQVGNTRLLNESDLTKLPYLHSVINETLRLYPTVPLLLPHYSTEDCTVGGYNVPKHTILLVNAWAIQRDPQVWKDPNEFKPERFEAIEGGKEGVNYKFIPFGMGRRSCPGAGMATRAISLALGSLIQCFDWQSVGKENLALSYNSRIKIQKNKSLEAICSPRSNFLQLLSQL >Solyc08g079285.1.1 pep chromosome:SL3.0:8:63010378:63013462:1 gene:Solyc08g079285.1 transcript:Solyc08g079285.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVSVFQMASGGLRWFLWLFTNKSKKGQPPLPPGPKALPLLGNLHSVEPQLRTYFASLSQTYGPICRLWLGIKLGIIITSPALAREVLKEQDTIFAYRDVPAAGREMSYGGLESFWTEMAYAGLPVNVGEKMFLTMFNVITSMLWGGTVKGEERANLGAEFRHGVTKKMKVLAKRFDTIFESMIDQRQKMDGNTEMGAGVGQESKDFLQVLLKLKDEADSKMPLSMTELKALLMDMVVGGTATTSNTVEFAMAEIMNKRDILRKLQEVDTVVGKDNIVEESHIQQLPYFYAVMKEVLRLHPVLPLLVPHFPSEAGIVGGYTVPKGSCVFVNVWAIHRDPSICENPTEFHPEKFLDNKCDYSGNDLNYFPFGSGRRMCAGIAMAERMFMFLLASLIHSFNWTMSEGENLDLTEMFGIVLKKKMPLVAIPTPRLSNPTLYE >Solyc01g020378.1.1.1 pep chromosome:SL3.0:1:29896317:29896586:-1 gene:Solyc01g020378.1 transcript:Solyc01g020378.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPWLTNKDASIPLTKDQVEGILKRYDTNEDGKLSKKELKAAFKKMGLRFCGWKVGRAFLHADIDKDGYINKEEMSELVKYASKWGIKTY >Solyc04g049300.2.1.1 pep chromosome:SL3.0:4:41338300:41338779:-1 gene:Solyc04g049300.2 transcript:Solyc04g049300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VLVERFGGKRSLTTIAQYFEVNNCSIDKSEHGTKYYFYDISSAFGLVFILSVALVKFSASHYMAVLPKFWSIMEIFHLIKKGTHGKKEWNEIKDIAASIWHLCELLLQNMSKFPYVAANSALTSLDDIIRCIALLEKSHISIHFCHVYQQLSNNQTTML >Solyc01g006140.3.1 pep chromosome:SL3.0:1:789404:793463:-1 gene:Solyc01g006140.3 transcript:Solyc01g006140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFTGSSPSDRKSLPANPFRSEKSAGVVAIPVMDQWRTKTPEKPIQNRMARSLQSLKDVREASQKLRKHDLIQREVSDPLLSYGEVKSPLVAGSPVSERKKHVNSVKLPEKYEMLEKFFNSMDSSIRLLHLKGSATTFTNISAKVESLIDKRFTCSHLAQLKFILPEAIEIKKILKHDERSWCMKPDLYITLNANAVDNSEKWKSNSSSVLLRKIFRSRLLDFFKSHPEGDDIPEGMLPGPFTQSKQVVTNSCRPSGSSLTSEAPNGVFPLQSIAASHLSVSFKRCFSNRASITGGTDLKERDRVGLGLVSPASIPLNVPSSTKKKTVACSDAPKLSLKQTSIMKCSAGGVVLASSPCHPPALPMIEAKKGEDGSTSAPATPMLEPPKRCYMSPDDPAESPIKLARRPSTRRSLLFGTPVKSANAGDKVCENGRFSTDNDILDILPENLLQSIGEKESEALAEQNPAISQAKRRKQMIACLPHLFDMIYFLFQSIKRSVMTKEELMHRVISSHLEITDKSEVEQQLLLLQELAPEWIYEKPASNGTLLLLVSKISNPDTIRSRLAEAN >Solyc04g005630.3.1 pep chromosome:SL3.0:4:411044:414031:-1 gene:Solyc04g005630.3 transcript:Solyc04g005630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKRCLRFHIHTERGFHLQNMKVISYNRIINPSFLLINHELPSNMFHRPRLISTSAPSISSFNMRKNDLMMRLPCCFATRAALSSSVKTDTSSGSKKQKSSSFYTHPSLLEMKNEKAANRVRVYEFLRSIGIVPDELDGLELPVTVEVMRERVDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTLTDFLRRYPQVLHASVVVDLAPVVKYLQGMDIKPNDIPRVLEKYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGLLTRYPEILGMRVGRVIKPFVEYLEVLGIPRLAVARLIEKHPHILGFGLQERVKPNIQSLLKFHVRETTLPSVIAQYPEILGIDMEPKLPSQQEFLNSIIESTREDFGRVIEKMPQIISLSKAPVVKHVDFLKECGFSSEQVREMVVGCPQVLALNLDIMKKSFEYFKTTMARPLEDLVAFPAFFTYGLESTIKPRHKKIAEKALKCSLAWLLNCSDEKFDQRMSYDIIDMEEMEVGESSFDMNTLLEPRNDESASDYDDDYSEDDNV >Solyc02g077920.3.1 pep chromosome:SL3.0:2:43311182:43313396:-1 gene:Solyc02g077920.3 transcript:Solyc02g077920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METNKWEGKRSITEAEKEEDEHGSVEEDSKRKRVLTLSGRKLVGEGSAHPSCQVDQCTADMADAKPYHRRHKVCEFHSKSPIVLISGLQKRFCQQCSRFPCYGTKAKSSNDDDFLELISLSNGSSS >Solyc10g039230.1.1.1 pep chromosome:SL3.0:10:20916296:20916484:-1 gene:Solyc10g039230.1 transcript:Solyc10g039230.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNPAHLFPITGPNTLSHLQQTTKKSRISSATEDPMKIHGVDDDKRVGSNAETPSMIPTISND >Solyc10g086610.1.1.1 pep chromosome:SL3.0:10:65506363:65506680:1 gene:Solyc10g086610.1 transcript:Solyc10g086610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSFKQKIFFFFLLNLATIKSSSLTTKLESNWWTPRYEVHISSSLPINSNPLKLHCKSRDDDLGDVELRTNEELKFHFNEHFLGGTLYFCHFYWGPKDTIFSMIK >Solyc05g041300.2.1 pep chromosome:SL3.0:5:51948653:51949020:1 gene:Solyc05g041300.2 transcript:Solyc05g041300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRMTIVEFSILLSSTQTKLAIKSTMRMLELVKVGGIVVYDNTLWFGTVAMLEECVKETMKPNRHYIIEFNKFLASDTRVQISQVPIGDGITICWLL >Solyc05g018775.1.1 pep chromosome:SL3.0:5:23633604:23634247:-1 gene:Solyc05g018775.1 transcript:Solyc05g018775.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKTTDPLDDLPTGLKLFIKNLHSRTPEKLNDSNFPSWFTTASVNLSDHRLMEYVDGTMEVPPSTLTVTVDDATGAAATTEQLHGVQKCSDSMQKYLDSVVTIVAALDRAKSGIPDQDMILFILRGLSSKYASIKQNIRTNIAHVTFAKASS >Solyc11g015893.1.1 pep chromosome:SL3.0:11:7403348:7407488:1 gene:Solyc11g015893.1 transcript:Solyc11g015893.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVSSSTNGADTPFADITHFRSLIGALQYLAITRPDIQFAVNRVAQRMHKPSEHDYHCLKCILRYIFGILGRGLLIRRGDLELRGFSDSDWANDKNNRKSTSGFLVFLGPNLIFWCTKKQPKVSRSSTEAEYRALALLAAETMLQYKVVSYRQEIHDDFHNYKSEYWRSIDHFMFHFRCSGYGVNLYGKIHWLVSDANRNELICSFNLENAHFEVWVMKEYGVTDSWVKQIVINITPECNNYWLCYEMFNLVKVLDDREVLFLWRDDFLFLHHPVKNTLKRVDVCDGNFVASMNPWRGCPLFRPHLFADQSLKIVINNI >Solyc02g014570.1.1 pep chromosome:SL3.0:2:18022766:18023495:1 gene:Solyc02g014570.1 transcript:Solyc02g014570.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAHLGHLASRSGSMGGPLKRRASSYGILGGALKRANKAHGAGGATPRAHHQGSQRLRHKALSAPPKVLEHEVRRLERATYDPKA >Solyc08g023585.1.1 pep chromosome:SL3.0:8:27252972:27255602:1 gene:Solyc08g023585.1 transcript:Solyc08g023585.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRRSSMSAKIMKCLCTLFKKASMDREKGIKRWKYKEKFTKIFCTRYMAEKIIQREWRWGKIKFYLQWWNPVVGCEDSNQKANSTWIRALGVPLILWSLRIFTEIANLCGGWVKTEEETSSKNHLKWARITIRADGKN >Solyc02g030464.1.1 pep chromosome:SL3.0:2:26475474:26483064:-1 gene:Solyc02g030464.1 transcript:Solyc02g030464.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCPSRSSKASLRLESGSLCFCHLKCTMSDLSGNNLNVTAVIPSDQLFAMSYYDRAKYRVKPTMKLKVIAITGPQIKQKVKYQC >Solyc03g117850.3.1 pep chromosome:SL3.0:3:68351995:68361712:-1 gene:Solyc03g117850.3 transcript:Solyc03g117850.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLFNNTNLLRAPLTLHSIPLSSTKQFPNYSSISVRCSSTNQQSDEVRDSDQDEPKKKKRLSEQSSWETKDSDGKDYLYRLGAEADNMNIAVGARAGVIDSLFAGNFLGKDSDIVFDYRQKVTRSFQYLQGDYYIAPVFLDKVVCHIVKNYISNILNAKVPLILGVWGGKGQGKTFQTELIFQAMGIEPVIMSAGELESERAGEPGKLIRERYRTASQVVQNQGKMSVLMINDIDAGLGRFGNTQVTVNNQIVVGTLMNLCDNPTRVSVGQDWREGDITHRIPIIVTGNDFSTMYAPLIRDGRMEKFYWQPTQEDIVNIVCRMYEKDGITKDEVATIVNTFPNQALDFYGAMRSRTYDQSILKWVEDSGGAEDIGRRFLRQRKKGELPVFIPPEQTLEALIESGHSLTKEQMLIMESKLSKEYMKNMDEE >Solyc01g104480.3.1 pep chromosome:SL3.0:1:92830202:92836188:1 gene:Solyc01g104480.3 transcript:Solyc01g104480.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding METSEPTLAPQWLRSGKHATSFVSASSRLHPDDAAPSKHAYKRSLSVNTDNKEWRCPGSSSDRAISSRTRWSSNSSNSLNFQSYNNFRNHHRDMDKDISKYRETSTLRNHRSRDFSDNSRKHHLEIFEEGLRQSPSMTSGRISEKWPRNLSNAGKIKLTDNNGVLADKAIECGIRPLVTEKRQTSPGLGSVGSPGLGTRTQGIPTSPSGTTVNKLASALAVTTAVAGNDNSGLSSMKRAASSGPSSPTFSKSSGRCLNMAETVAKGLPCAQTISQVSQANHRLEELAVKQSRQLIPLVAKASVPNHSDKSRTKVELRQQTVSSSHPVSQNLSSVCISSRIHVSKLTSDRNGVSSVVNSSLSPNIHSRGPNAHLAVPVSASTHSPANIAAPSTFEPKPVGTMVQKKLSSQAQSRNDFFERMRKKSNAHSIPQDQDASLSDETPRVEQSTEVLGENTCNSDSFDGKNTDKSFSTCDAMLCSDEEEAALLRSMGWEENADEGGLTEEEINAFYKDVAKNRCRASIRSCC >Solyc03g025680.3.1 pep chromosome:SL3.0:3:3141133:3143094:1 gene:Solyc03g025680.3 transcript:Solyc03g025680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASLYSLNTLAIVAFALAFLVQLTLGHIACENLNEDSCAFAISSTGKRCVLEKHVRRSGEEVYICRTSEIESDKLKDWIETDECIEACGVDRNALGISSDALLEYRFTRKLCSSACYNNCPNIIDLYFNLAAGEGVYLPKLCAEQKGKGRREMAEIRSSGFVAPAPESEVKPLNFMVAPAMPPF >Solyc03g117770.3.1 pep chromosome:SL3.0:3:68285538:68290757:1 gene:Solyc03g117770.3 transcript:Solyc03g117770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAASCLASCCAACACDACRTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKIPWINSFHTTPNREWFETDAVLRVSLGNFLFFTILAILMIGVKNQKDPRDSMHHGGWMMKIICWCLMVIFMFFLPNGIISFYETISKFGSGLFLLVQVVLLLDFVHSWNDKWVGYDEQFWYVALLVVSLVCYVATFAFNGLLFHFFTPSGQDCGLNTFFIVMTLILIFGFAVVTLHPSVGGSILPASVLSLYCTYLCYSALASEPRDYECNGLHKHSKAVSSGTLALGLLTTVLSVVYSAVRAGSSTTLLSPPSSPRAGSGKPLLPLDKVDEEEEKERSKPVSYSYSFFHLIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRIVTGWATAALFIWSQVAPILFPDREF >Solyc03g094000.3.1 pep chromosome:SL3.0:3:57142040:57143610:-1 gene:Solyc03g094000.3 transcript:Solyc03g094000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAAFTITSFTHTPCTSHNFKKKQWPRQAKSMKITNVMTPKVEEQTHLNVVEIKDKSSLLIDDNTKTTRNDDEVQGDTTTGSSESEDLIRFSDKRWKNGTWDLNMFVKNGKMDWDAVIVAEAKRRKFLELFPEAATNQQPVVFRSSIIPWWAWMMHSHLPEAELLNGRAAMVGFFMAYLVDVLTGLDVVGQMGNFVCKTALLATVGGVILFRKRTDFDNLKKLADEATFYDKQWQASWQDQTSSNGDFKQQRKK >Solyc10g080190.1.1 pep chromosome:SL3.0:10:61674566:61674874:-1 gene:Solyc10g080190.1 transcript:Solyc10g080190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATKYIVGSVLASFAVAYVCDVVIADKKVFGGTTPHTVANNEWWKETDKKFQAWPRTAGPPVVMNPISRQNYIVKS >Solyc09g061430.3.1 pep chromosome:SL3.0:9:59345379:59354658:1 gene:Solyc09g061430.3 transcript:Solyc09g061430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKSKKSKSKRVSLKQKHKIIRKVKEHHKKKAKEAKKLGLNKKPKVEKDPGIPNDWPFKEQELKALEARRARALDELEQKKAARKERAKKRKLGLLEDDDVSKLEGLTSTKEKEVGGGRVNDGSASFVKQRDNSERAFYKELVKVIDASDVILEVLDARDPLGTRCLDMEKMVMRAGPEKHLVLLLNKIDLVPREAAEKWLKYLREELPTVAFKCSTQEQKSNLGWKPSSKAGKSKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGATPGLTRSLQEVQLDKNVKLLDCPGVVMLRSASEDDASIALRNCKRIEKLDDPIGPVKEILKLCPERMLVTIYKIPTFDSVDDFLQKVAMVRGKLKKGGIVDTDAAARIVLHDWNEGKVPYYTLPPTRNEGEHLEVKIVSEFGKEFNIDEVYGSESSIIGSLKSVNDFNPVEVPSNRPINFDDNMLEDNLQQPLVESDNATENLVSENRDEPMDSGEGDGAQTRGKSASSRQNEKLYGEEGMLNTKQKKAEKKRRKKDKPSTAIDMDGDYDFKVDYIKKDSAMDDADEVVATDESKNNRFELPSGFELDNE >Solyc01g014050.1.1 pep chromosome:SL3.0:1:11040459:11040787:-1 gene:Solyc01g014050.1 transcript:Solyc01g014050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSLWNNYRGSLHQIMKSKPFGDALKDVPRGVDKSDWEWLVKEHLLSHKFMETSEINIVNMSKLSMPHRTGSKPIREITYEL >Solyc01g086870.3.1 pep chromosome:SL3.0:1:81673164:81675494:-1 gene:Solyc01g086870.3 transcript:Solyc01g086870.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFSSEPISREMDKLNSFFFSGGGGGSSSFKNGEGMESEFFRSKEMMGSDFFQQQSQFQQSNSGGLTRYRSAPSSFFAGILDGDGNNSGENFITGDGSSSSDSDSMFTALLNNNDTTNNNGTRDMNDQNQKNQLQFGTSLKQEIGEEIEFGNENGVQNRYENGGVSYSVGVQMQTRANLSNGNGDSDLIRQNSSPAGFFNGFMREVGNFGASVGTNREASTSTNGFNNHISYSTNQSSTSNFMPSIAENESWNDASFNSLKRNRDGDLKMFSTNFNGMTNQNDESRNYTSSGLSHHLSLPKTSSEMAAIEKYLQFQQDSVPCKIRAKRGCATHPRSIAERMRRTRISERMKKLQDLFPNMDKQTNTADMLDLAVDYIKDLQKQVQTLTDKKAKCSCTSKQLQYSNGTT >Solyc10g074432.1.1 pep chromosome:SL3.0:10:57864014:57881439:1 gene:Solyc10g074432.1 transcript:Solyc10g074432.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHNAKLLYSASVKLLGSAGSFLDFQEINELPNIIMYPIGGLLTLVDVLLTGSCPNTSRYQNQIGGLLTLVDVLLTGSSPNGSIKLAASTIVLVPIESISGAISHPPSLAIGISSAILKSHSTEVCLTTSEFDCIDPLVTYEFIKIVPSMTPHVAIVALYCRKTSFLSLLELELLALELPSPPPDPEQPKPPHPEPLPP >Solyc09g007820.2.1 pep chromosome:SL3.0:9:1347875:1351274:1 gene:Solyc09g007820.2 transcript:Solyc09g007820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLKLGSKSEAFCCEGQAWRCKSGLPSDITIEIGEMSFYLHKFPLLSRSGLLEKLMNESRKDDDISLCVIQLSEIPGGAKAFELVAKFCYGVRFELTPLNVVALRCASEYLQMTDEYGEENLVSQTESFLNDVFSNWTDTIKALETCEEVLSYAEELHIVSRCINSLAMKACSDSKLVNWPVMENGNDSGDVWNGISTGSKTQPMMTDDWWYEDVSFLSLPLYKRLIQAVEAGGMRAGNISGALVFYAKKYVPLMNRQSSFKDVTHAKSGSTPSEADQRALLEEIVELLPKQKGVTETRFLLRLLRTAMMLQASPSCRVNLEGRVGLQLDQAILDDLLIPNIGYTVETLYDIDCFQRILDHFLLIDQASAAVSPCIMEENQLIEGTQSLASITRVANLVDSYLAEVAPDVNFKFPKFQTLASAIPEYARPVSDGIYRAIDIYLKAHPWLTDIEREQICRLMNCQKLSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFFVSENLENSQNVSGAIGQHGDNGTRGRGRSVEDMRERVSELEKECNNMKEEFQKMVKTKRRWSIFFRRKSQCNSKSGKPSEDATSQNVPSKV >Solyc01g096120.3.1 pep chromosome:SL3.0:1:87108803:87112790:1 gene:Solyc01g096120.3 transcript:Solyc01g096120.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESTQWSTHEEIGVVKSSMGAEIMNKKVRPVKDGAINCPRCNSINTKFCYYNNYSLTQPRYFCKTCRRYWTEGGTLRNVPVGGGSRKNKRLSSSSSSSQKLPDLNPNPTSHHQNPNNIVIGSNQDLTLGFRTVPQDHHTSFHGVIPQFLEFPKMDGSNNHLGSRTGIASRGFTSFISSASTPDLNALYNSGFPFQEIKPSAGNADHAASLSNSNYSSGGPGGLENGSGARIMFPLGGLKQLSSTNQVDHLTKGQENNNSTAGLYWSGMISGTGGSW >Solyc09g056300.1.1.1 pep chromosome:SL3.0:9:48839883:48840104:-1 gene:Solyc09g056300.1 transcript:Solyc09g056300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEITQGMISRGGTPILERQTPRPSKSPIGSRTVMLLKISTLTSNRSFASISYRYMIPVGGVYEHLTRSISWID >Solyc10g048070.2.1 pep chromosome:SL3.0:10:43863080:43864892:-1 gene:Solyc10g048070.2 transcript:Solyc10g048070.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPCPFLRITIANLVVLLLDNRNLPSTVLLDCNIKLKGFPTQVSTINAFPKQDLVLKNKIHASFNMNKTGIEKLTARGKTCCLKIEICATKSMGIGFGYYIGGRILGSVFAEVDLKGFESKGSREVVIKNGWVLVGLAKEHLNVKVQLEPRFIFQFDGEPECSPLVFQVNGNLKQPVFTCNFSLKNPDDWNSISRSSISERSTSIGCFNCWTAGNDIRRKQRKGWLVTIHDLFGSPIVAASMVTPFVPSQGSNRVSGSNPGILYRGFVMSSMVKDDGKCSKPKVIVRAQHVSCSEGAAVFVALGAAIYLSMDACQPFS >Solyc01g066260.2.1.1 pep chromosome:SL3.0:1:73519719:73520260:-1 gene:Solyc01g066260.2 transcript:Solyc01g066260.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding KQTQPTFLSLYQHQLSQLTHTKEMAHRKEQLLAKIGQEGFDLIDEFWGKRKERPSPPQRPNDQAPYKYYPQQSHVVKLHPSEARVYNINSYESFQMYQSVEYFSSKRKSSTAAVAF >Solyc06g005795.1.1.1 pep chromosome:SL3.0:6:818365:818718:1 gene:Solyc06g005795.1 transcript:Solyc06g005795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLISKKILLSFLLIFMVVAPTSAKTRAITCDIVHNIMHPYCHGYALLGGSFPSECCNEVKSLISNVTNIANRQSACSCMKLLAYTKEQVKRLASIPGKCGANLPFKIGKDVDCSKVK >Solyc06g050550.3.1 pep chromosome:SL3.0:6:33335849:33343498:1 gene:Solyc06g050550.3 transcript:Solyc06g050550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMGYENQESHLYASKKEMESLVLDDDSPNGTSHPFSDPLSSSSSLPFAEIPTDQGQTPNSSFNSILEPPSYAEAIFRSFDADHSSPQLNGAHDHSIASPSSLPSSDDFLTITVSDPQKEQELSNSLVPGGTAYVTYLITTRTNLPEFDGTEFSVRRRFRDVVTLSDRLAESYRGFFIPIRPDKSVVESQVMQKQEFVEQRRAALEKYLRRLAAHPVIRRSEELRMFLEANGKLPLVRTTDVASRMLDGAVQLPKQIFGETAGGMVDANEVAQPAKGGRDLLRIFRELKQSVSNDWGGVKPPVVEEDKELLEKKQKLHDFEQQLSNVSQQAEALVKSQQDIGETMGQMGLAFVKLTKFETERAVYDSQRTRAADMKNVATASVKASRLYRELNAQTVKHLDKLHEYLGVMLAVNNAFSDRSSALLTVQTLLSELSSLNSRIEKLEAAASKIFGGDRARIRKIEELKETHRETEDAKSSAVREYERIKENNKSELARFEKERRDDFLGMLRGFVVNQAGYAEKMANVWETVADETKGYAKHGS >Solyc08g066070.1.1.1 pep chromosome:SL3.0:8:54582944:54584839:-1 gene:Solyc08g066070.1 transcript:Solyc08g066070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:G8Z258] MAENGEEKLIAVARHIAKTLGHTDTMTDDILQIFSSFDNRFREKLTDDQPLERSLKSLHRQISRHLSAQHPIWSDSADSAAFLDSVDQLLAIIHEWNPMANDKSVSASLDKAEDLLQQAMFRLQDEFTTLMQRASESVDLTRHQNGGDNLGGYYESEEEEEEVDDDDDDDSGEIPIAHPVTDYGILIEALPAGIISDLHEIAKRMVAAGYDKECSHAYSVSRREFLEESLSRLGLQKLSMDQVQKMQWNELEDEIEKWVKAVNVALRILFPSERRLCDRVFFGFNSVSDLSFMEVSRGSTIQLLNFADAVAISSRAPERLFKVLDVYEALRDLMPEFEFMFSDQYCVLLRNEALTIWRRLGEAIRGIFMELENLIRRDPAKTPVPGGGLHPITRYVMNYIRAACRSRITLEQVFEEIIVPSASAVDYREGDDRALSSSSLAVQMAWIMELLESNLETKSKIYKDSALLAVFMMNNERYIVQKVKDSELGLLLGDDWVRKHAAKVKQYHVNYHRSSWSKVSGVLKIDNNAMSSPTGASRSLKEKLKLFNSYFEEICKTQSTWIIFDEQLKEELRISVAGALSPAYRNFIGRLQSNNDSSRHTERHIKFSVEDLEARISELFQGSSGSGGGRK >Solyc11g007490.2.1 pep chromosome:SL3.0:11:1761891:1765495:-1 gene:Solyc11g007490.2 transcript:Solyc11g007490.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAKKNKMSILMLPWLAHGHISPFLELAKKLTNRNFHIYMCSTPINLSSIKKNITKKYFESIELVEFHLPSLPNLPPHYHTTNGLPPHLMNTLKTAFENASPNFSKILQTLNPDLVIYDFNQPWAAESASSVNIPAVQFLTFGAAVVSLAIHMFEDTEDKFPFPEIYLHEYEMLSLKEAVKEAPGNKYSFDEAIRLSRDIVLVKTCRDFEGKYVDYLSNLVSKKIVPVGSLVQESIARDDNDEEIMQWLDKKEKGLTVFVSFGSEYFLSKEDIFVVARGLELSKVNFIWVIRFSQGERISIQDALPEGYLERVGERGMVIEGWAPQAMILQHPSIGGFVSHCGWSSFMESMKFGVPIIAMPMHIDQPMNARLVEYIRMGVEAARDENGKLQSEEIANTIRKVLVEESGEDVRNKAKELSGKMNAKGDEEIDAHGHINPFLELAKKLASKNFDIYLCSTLVNLLSIKKRVGEKYSESIELIELHLPSLPDLPPHYHTTNGLPPHLMNTLKTAFELASPNFSKILQTLRPDLVIHDYNQPWVTDSASSMNIPAVQFPTFSATVVALSIHMSENTTEKFPFPEIYLREHEMISLKKDINEVPSKKFPYDEAIRRSHDIILVKTCRDFEGKYIDYLSNLTSKKVVPVGSLVQETMDQDDYKEIAQWLDKKEKSSTVFVSFGSEYFLSKEEILAVAQGLELSKVNFIWVIRFPQGERMNIRDALPKEYLERVGERGMVIEGWAPQATILQHPSIGGFVSHCGWSSFMESMKFGVPIIAMPMHIDQPMNARLVEYIGVGVEAAKDEDGKLQSEEIAKAIREVVAEESGEDVRKKVKEVSEKMNAKEDEEIDGVAEELMALRTNK >Solyc09g097825.1.1 pep chromosome:SL3.0:9:72327114:72327983:1 gene:Solyc09g097825.1 transcript:Solyc09g097825.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSLQTDLRQYNQQQQLLISRVPPDGVAVGDQKIDSSFPFQSESALSSGNINSELSREGSKEHSNGCEIIKRVGNRIGKMHELKHQNR >Solyc05g016390.3.1 pep chromosome:SL3.0:5:16042131:16047058:-1 gene:Solyc05g016390.3 transcript:Solyc05g016390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMDSTSSIMKFYILALSTYQVLVASSPFGLSDRARITPSLGRYQRLRLLQVESTSPESSFPYGTYTSPPLLSGVVPPVNPQINSPPLGISSPPAPLKSVPPSPQNSSPYGTITPPLTPAAMPTPLLPTLPPPSPTAQTPQHNAPKPPAVNQPPPNSSHSSTPPPSKKPENAIWCVAKPTVPADLIQQALDYACGSGAGCDAIQPNGACYQPTTLLSHASYAFNSYWQKKKQGGGTCDFGGTAMLVTVDPSYNQCLFTYN >Solyc02g030525.1.1 pep chromosome:SL3.0:2:26765779:26768269:-1 gene:Solyc02g030525.1 transcript:Solyc02g030525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRDKRLVLEKLEGSYIDEFNKLEGYAQELKNSNPGTDVIINISREALEQGKRRFLRIEAYMLIYMHKIQPVRGDKFWKLDPSHAIEPPEIHKLVGRPKLKRKREKDEARKREGVWSASRKGLKMMCEHCSATASKIKTTSPRCACVNTPTIQEEYGIMSTPGFVASSSQQSSQPDGPSKSKEIEKNPTGPSKSKRKIIVYESEDGKHVEPSIAIRQTGTRRIDFKGDENGVSIPTNLPYSPRKLAWKGKEATTSDHFTIEKEKRIGKLKAKRGGMK >Solyc05g007080.3.1 pep chromosome:SL3.0:5:1652438:1664163:1 gene:Solyc05g007080.3 transcript:Solyc05g007080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Alpha-amylase [Source:UniProtKB/TrEMBL;Acc:K4BWN3] MSTVTMEPLVGHYLRRSPKLYPNQKKTSHFSLNFSRRPLSGTATLRFCDYRRSRTVPIRASSTDAAVIETSEQSDVVFKETFSLKRPERAEGKISIRLDKGKDEENWNLTVGCSLPGKWILHWGVHYTDDTGSEWDQPPPEMRPSGSIAIKDYAIETPLQGDTFQEVKIDISSKWSIAAINFVLKDEETGVWYQHRGRDFKIPLVDCLDNDANIVGVKKESNIWSGSLGKLSNILLNPEASPSKGESSSNEGSSAKNWRLEGFYEEHAIVKETLVDNIVNVSVKLCPETAKNILCIDTDLPGNVILHWGICKGDTKIWELPAMPYPAETVVFKNKALRTLLQRKEGGNGSSGLFTLDVGLAGFVFVVKLDENTWLNCKGDDFYVPLSSGTLHLEESKQSEESNSSQIVNRTPEESQIGSVYTDEIIKEIRSLVSDISSEKSRKTKNRETQETILQEIEKLAAEAYGIFRSSIPTILETVVSDSEVVQPDVKVTSGTGTGFEILCQGFNWESHKSGRWYKELHDKAAELSSLGFSVIWLPPPTDSVSPEGYMPRDLYNLNSRYGSFDELKVTVKKFHEVGIKVLGDVVLNHRCASERNQNGIWNIFGGRLNWDERAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDIREWLLWLREEIGYDGWRLDFVRGFWGGYVKDYLEATEPYFAVGEFWDSLVYTYGEMDHNQDAHRQRIIDWINATNGTAGAFDVTTKGILHSAIERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPGGKEMQGYAYILTHPGTPSVFFDHIFSGYRSEIGNLISLRKRNKINCRSLVDITKAERDVYAAVIDDKLAVKIGPGHYEPPSGHQRWKTAAEGNNYKVWELS >Solyc10g084095.1.1 pep chromosome:SL3.0:10:63878783:63881334:-1 gene:Solyc10g084095.1 transcript:Solyc10g084095.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYHQGWKNAKAITCFDVKSPNLLLDENLKANARNHHCVSHQVRSGTKSFVRISIESFKASTFSDMHIEEFLTLSAEYAAASGITPASIIWLYNRRASPDLPSFDKPEITAVKTSAPCISPALQKPFIKAPYV >Solyc05g010650.3.1 pep chromosome:SL3.0:5:4861318:4867037:-1 gene:Solyc05g010650.3 transcript:Solyc05g010650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:RING-type E3 ubiquitin transferase [Source:UniProtKB/TrEMBL;Acc:K4BXN7] MDMSGSIDSDIILVNREKDRVSILAESLLSNISEFIKSVVSIDVEKENFIEIGSYLYRVSAVIMELQIGKNATTNVIEKLQSMFENVNLANELIKQCQKYRQKNQLIEPSIVIEQLQVVNRHIAEGLSLMPSCFYGEQECAEIAVKSLLKDMKGAAAIVARKNLVSEQTELEVKMLHSRESMTNETAETETETDLYSIDIEVSMENLRLTDSTNLYVSDNSRSSISGGFHFQNHGNRSPVSLTNLPRMSESEYMEPLYETFFCPLTKKIMEDPVTIESGVTYERDAISEWINKSDNNREEIICPKSGQKLKSRNLSTNVALKATINEWKERNETARIKAARAALSSATTQDIILKAIEDLNSICLRKPYNKVQVRSIGVIPLLGNLLDNRNRTIRYATLELLRYLAEDDDEEVIAQTIDIATVTRMLSSNHGPIRHASLRLLIELSKSQFLCYNVGAVPGAILMLITAKYRHTDDAFIADKADEVLKSLEKYPSNIKHMAENGYLEPLLNHLLEGSEEMKMEMAHYLGEIVLGPDNVIYVAERVSPILIKMVESGNTLSRNAAFVALQQISSHHPNANTLVQAGLVQIMIEEIITRTMIHDEPMNSKKEAAGILANVLESGLDLENLQVNERGHTLASDYIIFNFIQRIKNSTPEEMNFHLVRILICLMKYPKASSTVTSVIKETDASYNLIELINNPDEELSIAALKLLITLSPFMGHTISDRLCKTKGQPESLIHNPSESPLITEKQAVSATLLAKLPHQNMTLNLALVNKNTIPTIIEQINKIHVSGTRTSRYTSAYFDGLVGALVRLTTTLYDHQILHVVRTFNFTYIFTELLVKTSSDEVQKLSAIGLGNLSNQSVNLSKPPPIKSNKYIKSNLLRRCMSLRSKSEKVPLCSVHRGVCSAEDSFCLIDAKAVERLLSCLHHDNVEVVEAALSAISTLLDDKVDIDKSVKLLIEMQTIQHVLNVVKEHRGNVLWHKSFWLIEKFLSKGGDKSVSDISQDRLFPATVVSAFQHGDVCTREMAEKILMHLNKMPHFANTASFTL >Solyc10g005540.3.1 pep chromosome:SL3.0:10:446531:450756:1 gene:Solyc10g005540.3 transcript:Solyc10g005540.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVESIQMNLSSYSSILARRMVQCEWNLKFSISPLLFSSKKSTISIAAFASSSELNGASISVAGAESSSSIPFFRDSPISGSMEQVMVEYVFGRKKATEVAHYVWKHIVQKGDAVVDATCGNGYDTLALLKLVADKTRRGRVYGMDVQKIALESTSSLLDQFASPDEKELVELFVMSHSQMEDIVPNDVAVRLVAFNLGYLPGGDKKIITRSETTVLALEAAKRILAPGGLISIVTYVGHPGGREEFEKIEEFASGLPVEAWNCCKLHTLNRPLAPMLLFLFKR >Solyc02g070770.3.1 pep chromosome:SL3.0:2:40950415:40953974:-1 gene:Solyc02g070770.3 transcript:Solyc02g070770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATATGLFFLKTSAFSITSKRPSVSLFVNKVHSFRLRAANIEESEIEGEEEVGISEKKKIFVAGATGNTGKRIVEQLLAKGFAVKAGVLDVDKAKSTLPGQNPDLQIVKADVTEGSVRLADAIGNDSDAVICATGFRRSLDFLAPWKVDNFGTVNLVEACRTLGVKRFLLVSSILVNGAAMGQLFNPAYVFLNVLGLTLIAKLQAEQYIRRSGINYTIIRPGGLKNDPPQGNIVMEQEDTLYEGSISRDQVAEVAVEALLHPESHYKVVEIVARTDAPKRSFKELFGSIKQQ >Solyc07g015760.1.1.1 pep chromosome:SL3.0:7:5304763:5304948:-1 gene:Solyc07g015760.1 transcript:Solyc07g015760.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVPAPPWEINAPFQSLWASLAAKEAKIVALRVSHLTAINQLHISYGLQHSGLEEEVAC >Solyc05g006670.1.1.1 pep chromosome:SL3.0:5:1339475:1341385:-1 gene:Solyc05g006670.1 transcript:Solyc05g006670.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKVVCFLLLLTLTTICKSKSCHPNDLKGLQDFKSGIHTDTSGRLSKWIGRDCCKWEGILCSNLTKRVVSISLPGLYTSDDAPIQSVMEGELSPSITLVNYLEVIDIGDLTGITGKIPSSIGLHLSNLRKLNLCRSKFTGALPESICELSKLEELYLQENGFSGSLPECIGGIKNLRRMDVHSNKLSGVIPESITKLKKLESLCLQENFLTGNIPENIGNMQELKEVDMSNNTLTGIIPRSISRLKFMSVLYLNSNQLEGDIPLPSKPGELSSLSFVRLQNNRLSGTIPSSIGYLTSLQRVSLENNQLNGSIPSSIGNLKSLEMLYLSYNQLSGQLPRSIGGISELLYLSISHNMIEGPLPREISSLSNLQSLDLSFNRLNMSTIPKWLMQLPSLSRIYLAGCEIHGEIPDYIPKSLLELDLSANHLSGRIPAWIGSFSKMYSLNLSKNKFVSEIPSTVMNLDILGVLDLHSNKLEGSVNAVFQIKSRFAQGSLTYLDLSDNNFSSGVEQIGMGGQQHIQHLNLSRNFLKGRLPTSVGTLKTLQTLDLSYNGLGANLPTSLANVTVLERLMLHKNQFTGRIPEEFLKLNKLKELNLSDNLLEGKIPYGKPFLDFPQSSFSGNRGLCGKPLLPCKS >Solyc05g012230.3.1 pep chromosome:SL3.0:5:5506306:5508766:-1 gene:Solyc05g012230.3 transcript:Solyc05g012230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFWVVVAAAGAGYVAQCLQSSSDEKGNLLVDNKKNLLHQLREKVCPFHILARKRAKKEVSDDEEVFRFRHLNLDSSDCLEKDSFCPSSAKEYTSTRNKDSGGSFNTTGLPNGMALFYMGIVSGMMSAVIANSKEIEKVNEKLKWTKNLVQKLENELNVKEIANDDYENPNLCSPSMSTVDEPTSQAEKHESMSEIEAELEAELQRLEISLKVSTFERIFDCVELNPEDEINVVHGDLKLDCLNVQSPDSSGSDSGTWIDHSKPANHPVSPRELSSRLHEVIESRLEARIKELEAALYHSQNRACSLETQQNLSQKACALRESESSCWYHEADEETTSIRHGSENAFDTNTTTPPFDGGLIDSPNEEQGGLRRGIVAQIGKSKSSVLSA >Solyc09g082570.3.1 pep chromosome:SL3.0:9:68720575:68723507:1 gene:Solyc09g082570.3 transcript:Solyc09g082570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASTHIITIFTLNLLFLLPIFSSANNALPPIFSPIFDNVCKEVNCGKGSCKAASNATFGFVCECDSGWKQTSSETDNFFKFLPCAVPNCTVNFSCGKEAPPAPAPDSRSNTSFFEPCHWADCGGGSCNKTSTFTYSCICQEGYYNIFNQTGSPCYKECALGMDCAQLGFDLSNKTSSPPPSVSDDSKSIAVSIFGGGYGWLIPTVASIASVLLI >Solyc02g081390.3.1 pep chromosome:SL3.0:2:45929669:45944446:-1 gene:Solyc02g081390.3 transcript:Solyc02g081390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANQKRVLLLCGDYVEDYEVMVPFQALLAYGLAVDAVCPGKKSGDICRTAVHQLYGHQTYSESRGHNFAVNATFDEIEATKYDGLFIPGGRAPEYLAMNEAVLDLVKSFANTKKPIVSVCHGQLILAAADVVRGRKCTAYPAVKPVLVAAGAHWEEPETLASCTIDGNLITGSTYEGNPDFIRLFVKALGGSIVGSGKRILFLCGAIAERLLPLNERLTISTALSYRITNLILLRNDFMEDYEVKVPFQSLQALECHVDAVCPKKKAGEKCPTAVHDFEGDQTYSEKPGHDFTLNANFESVDVSSYDGLVIPGGRAPEYLALDDDVIKLVQEFMESKKPVASICHGQQILSAAGVLKGKKCTAYPAVKLNVILGGATWLEPEPIDRCFTDGHLVTGAAWPGHPEFISQFMALLGVHVKKEKGSSREANMSCITRWLPVTLPYRERKRDYSLLRSRERRTTIQRLISGRSMQTKQKSSINCGGFVALIFDPGILPPRLGRLHSSAPSVIVIGGGISGIAAARFLHNASFKVLLLESRDRLGGRIHTDYSFGCPVDMGASWLHGVCDENPLAPLIRRLGLTLYRTSGDNSVLYDHDLESYMLFDMDGHQVPQNTVVQVGEVFKKILSETEKVRNEHSHDLSVLQAISIVLDRHPELRQEGLSHEVLQWYICRMEAWFAADADTISLKTWDQASEQVLTGGHGLMVQGYNPVIKALSKDIDIRLNHRVKRITNGYNKVMVTVKDGRNFIADAAIITVPLGVLKANLIEFEPKLPEWKQSAIADLGVGNENKIALRFDNVFWPNVELLGVVAPTSYACGYFLNLHKATGHRVLVYMAAGRLACDLEKLTDESAAEFAMLQLKKMFPDATKPVQYLVSHWGTDPDTLGCYSYDLVGKPTDAYDRLRAPIGNLFFGGEAVSSDDHQGSVHGAYEAGIMAGETCRRHLIKRHGSLEMVQAVSSREETLEAAVPLQISRM >Solyc03g098400.2.1 pep chromosome:SL3.0:3:62158608:62163633:1 gene:Solyc03g098400.2 transcript:Solyc03g098400.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIFPTSFNSHILLATHAVFLVFLFSSTLKYATAATILGNETDKLALLGFKSQITEDPSRVFTSWNQSVHFCRWTGVKCGLTQKRVVSLNLKGLSLAGTISSHLGNLSFLNSLDLAENSFHDEIPQQLSRLSRLQNLNLSFNYLTGEIPINLSHCVNLKSLVLDHNTLVGQIPYQVGFLTKLVRLYLRNNNLTGIFPVSIGNLTSLEELYLSYNSLEGEVPASLAQLTKLRLLGLSVNSFSGEFPPSLYNLSSLELIALSFNNFSGNLRSDLGHYFPNLRRLYLGNCQFNGSIPSSLANASKLLQLDFPANKFTGNIPKSFGNLKNLLWLNIGSNHLGYGKNEDLDFVNSLTNCSSLQMLHFGDNQFVGTLPHSTVNLSSQLQRLLFFGNRIGGNIPREISNLVNLNLLDMSNNNLTGSIPDSIGRLTNLGALNLGNNLLIGVIPSSIGNLTELVYLYLGFNRLKGNIPLTLGNCNQLLRLDISENNLTGSIPQQLIALSSLTKVYAYYNSLTGTLPVHIGNWSHLTYLDFSFNNFSGMIPRSLGKCLSLGEIYMKGNSLLGTIPDLEDLQDLQSLDLSLNNLSGPIPHFIANLTSLLYLNLSFNNLEGEVPITGIFSNLSTDVFVGNSKLCGGIKELHLQPCVHHETQKTQKKHVLSLKLILTIVFAASFSILALIIVFLCCWRRNLKDQPEPEVRSESARFYPNISYEELRIATGGFSSENLIGSGSFGTVYKGTFASNGMVVAVKVLNLLHQGASKSFIAECQALRNIRHRNLVKVISACSSSDFKGNEFKALVFQFMPKGNLDEWLHPEREIQKDSLTILQRMNIIIDVASALHYLHHQCQTPMIHCDIKPQNILLDEDLTAHLGDYGLVRLVPGFSNGSELHQFSLLGVTGTIGYAAPEYGMGSKVSILGDVYSFGILILEIFTGRRPTDTSFQASSSLHHMVETALPEKVMEILDKKAFHGEMTSISTNGEEYWGTIKKEQMECLVGMLGIGVACSAESPRDRLTMRQVYSKLTLLREKFLGAEDV >Solyc12g042117.1.1 pep chromosome:SL3.0:12:58080002:58081144:1 gene:Solyc12g042117.1 transcript:Solyc12g042117.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMQFELCKSLGYAIVYVANIVVVNVNVVLLTNGMVLCLTFASFALASSIFDVNDTCSALKDFQRNPQNNILQIILQSEKTKTSDKTLEHIGHTVPNFITQLNSKLTEIQGLGLNDMRENSVGKICDPFSSAPNYNFTPDLCPKDIIPIEELKYLSYKLQFTQHE >Solyc02g021785.1.1 pep chromosome:SL3.0:2:24389763:24396823:1 gene:Solyc02g021785.1 transcript:Solyc02g021785.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKKAKGLGMSYVAIDTMLKTVNDLPTIIHGFTPKVHIDLGGWMGLTNFTIAPMDVFKITLGLEFCYEVNTFTLPRHNQMHISDAGGSCFVPLFWVPQNGIHLSAMRIVKRFKIKKEGTHRLCINYRDINKVTVKIKYPIPLIANLFDLLRQAKVFMKMNLSKSYYHVRIAKGDEQQITSVSCYEAFNLLLIPFVLANAPATFYYLALNKVTVKNKYHIPLSAYLFDRLVQVFTNMDLWKGYYEVWIGKGDEPKTSCLTRYGAFEWLTVPFGFTNALGMFCTLINTQFHPYFYQFLVIYLEDMIVYINNMEDHLEHLCKMFNILRDNDLFVKRENCNFTQPTVQFLVHMIIHGEIRMDADNVEHIRDCEAPTKIPELRPILGLANYYWCYTFRYSAVAAPLTDLFKKNCDCE >Solyc01g011310.1.1 pep chromosome:SL3.0:1:8511744:8517272:-1 gene:Solyc01g011310.1 transcript:Solyc01g011310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNFYDAANSSIPSVISCSASVFTSDECIETNQCSYSLHYADNSGLLAYFASDLFYFHKILRTSLIAKSSTTIIFGGHYNVYLQSIFVHERILPIDPEAFANSGDRGTIVDFDTSLVYLVTEAYESVVNAINVVVSPSAKQSHQQSSHAISFLQENIAEVFPTISLNFAGDASMNLTPTDYFKDMGFVDGAAKWCIHFIRRNLSLTTLGDIVLKDRIIVYDLARQRIGWANYNCSLPVNISITSDTYDVTQASTIYHMLGLILLILNLFWSQ >Solyc10g012180.1.1.1 pep chromosome:SL3.0:10:4547755:4548258:-1 gene:Solyc10g012180.1 transcript:Solyc10g012180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESKNSNVDSAVAKDKRGEGGKISKMQKALFKKASDLSILCGIQVAIIILFINRQPIVFGKPDAESVINQFIEANHPTAPRFYMKMKKKEEENKEKGKSIEDDIQSQDFESPYLGSLLKLYEGLTEFENQLTKEIDLTQLNQEIEKHEDPKLMNVASSSTLPTNFSP >Solyc07g042380.3.1 pep chromosome:SL3.0:7:55629404:55643833:-1 gene:Solyc07g042380.3 transcript:Solyc07g042380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGIEMEQPFPDNSSDDFCNIVLSQFCDSNNEHHVHICTAIGTMSQELREQSFPLTPIAYFGATCSSLQTLYTAAPEGPPSHLVDALSTILSLVIPRINQAMLRKKYEYLSDVMIQLLGLKSIGVEGIVSCLKCVVHLLIVGAKGNWSDVAQIYGVFIGYITDDRQKVRKMSHNCLRDLLQNFQSSSVLAPLLAPASEAITNLFERSLLLAGGTTVNASERPKGAQEVLHVLDALKLCLPFMSSKYLNSTLKYFKSLLELHQPLVDRRITDGLNALCIHPTAEVSPEVLMDLLASFATSVSANESSADTLTFTARLLGIGMRKVYSINRQLCVVKLPVVFNSLSDVLGSEHEEAIRVALEALKILIHECIDENLIKQGVDNIISSNTDAKKSGPTIIEKICATIESLLTYHYAAVWDMSFQVVVAMFDKLGCYSSHLLKGTLQSLADMEKLPDEDFPFRRQLHECVGSAVGAMGPESFLTLLPLKLDVQDLSESNIWLFPILKQNIVGAHLSFFTNSILPMVGAMKQRSAMLEREGKIYSARTIDGIVYSLWSLLPSFCNYPVDTAESFKDLEKVFRKALHEEPDVCGIICSSLQILVQQNDSILKGTVDLSDTETNVPRERAIARYNQQVADNNLNTLSLSAQKLLPVLYDVFLKSSKDTGGFLQRTIGVLASIADKVREKSLDQTKGKRRVVRVLFTKIMQRLLEVTQEAGEAGKDKKSHSMQIDDSSSKSSLSERAQLFDLAVSFLPGLNAQEIGVLFGALKPALKDEEGLIQKKAYKVLSMILQDCDEFISTKTEELLNLMIEALPACHFPAKRHRLDCLYFLIVHVTKDESEQRRRDSITSFMTEILLALKEANKKTRNRAYEILVKIGHACADEDKGGRKEHLHQFFNMIAGGLAGETPHMISAAVKGLARLAYEFSDLVSAAYSVLPSTFLLLKRENKEIIKANLGLLKVLVTKSTADGLQAHLRNMVEALLGWQNSTKNHFKAKVKLLIEMLIKKCGLDAVKEVMPEEHMKLLTNIRKIKERRERSLASNSEESRSRMTKATTSRLSRWNHTKIFSEFDDGESENSDAEYMDTKTTAGRRSKATLVSDSKASLLRSKKTRKAAKSLQEDLFDQLDDEPLDLLDQKKTRSALRASGNLKRKSESEDEAEIDSEGRLIIHDGDKKQKRVKPASDDLDVRSKAGSRFSESSRKTQKRRRTSESGWAYTGTEYASKKAGGDVKKKDRLEPYAYWPLDRKMMSRRPEHRAAARKGMSSIVKLTKKLEGKSASSVLSVKRSKTKKKNRS >Solyc01g098100.2.1.1 pep chromosome:SL3.0:1:88576838:88577627:1 gene:Solyc01g098100.2 transcript:Solyc01g098100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding FRVYPTEAKMRPLDEQETTQVFEKLHKFVGNNLKNIVENPSHEGTDNTPGRYCFRLQRNRVYYVSESLVKRATNVKRDNLVSLGTQLGKFTKGGKFHLTVQCLGLLAAHAKHKVWLKPTSEMSFLYGNNVLKGGVGRITESISDHDGVVVFSMSDVPLGFGVAAKSTQDCRKMDPNGIVVLHQADIGEYLRMEDDL >Solyc01g012690.1.1 pep chromosome:SL3.0:1:9813092:9814096:-1 gene:Solyc01g012690.1 transcript:Solyc01g012690.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFKIHEFKSKTLIEVVLKQNQLEGPIPISLIDHQLLNRLEVHIPSIIGDLVGFRTLNLSHNRLEGHIPASLHQLSVLESLDLSSNKISGEIPQQLASLTSLEVLNFSNNHLV >Solyc03g080060.1.1.1 pep chromosome:SL3.0:3:53371057:53372982:-1 gene:Solyc03g080060.1 transcript:Solyc03g080060.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGPSINVTKHISFRDFSSINPRLKQDLTLVGSVIVSDEKKSVQIPDPEREGDDLKHLVGRAIYSSPIRLFDPQTQTPASFETTFSFQFEVKSYSGNEASDQGKYVGGSGLTFIIVPDELTVGRAGPWLGMLNDLCDEDYKTVAIEFDTRKNPEFGDPNDNHLGINLGSIVSTAAINASDAGVQLNDGSVHRVWISYDGRKRFVEIRLAPDGRGYPSKPVYSGLLDLSPYLNEYMFVGFSAATGNHTQIHNILSWNFTSISQASLRIPSTETCQNKIMLQNSTQSENDHRKTPNSFFIFLAVVILLVIVLINLYFSSYKRDSNSDEAFPLPEKKQRPRPPNKARRFTIAEISIATRNFSELQILGSDEKSITYKATILNGCNVVVKRFLTQFFNTHGFEKRQFHKEIKAITRIRHPNLVPIRGWCYDNQETIVVYDFIPNGSLDKWLFGVGVLPWTRRFKVLKDLADSLVYLHSKQLAHKNVKSSSVFLDVSFRAVVGDFGFVLTSAGSTRFEAMVSQTADVFEFGVVVLEIIAGRSRKSNPGERDLLDLAWAMHEVQQKETLVDRRMGAVVNLEQAIRALDIGLLCTLNENKGRPTMEEVVEFLNMEKPIPELPSGRPVCLFPYSSTTGLCSGYACTTFK >Solyc08g042000.3.1 pep chromosome:SL3.0:8:24369237:24376631:1 gene:Solyc08g042000.3 transcript:Solyc08g042000.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Sucrose-phosphate synthase A2 [Source:UniProtKB/TrEMBL;Acc:H9BYP5] MAGNEWINSYLEAILDVGPPINDPKSSLLLRERGRFSPARYFVEEVITGFDETDLHRSWVRASSIRDSQERNTRLENMCWRIWNLTRKKKQLEGEEAQRVAKRHRERERARREATVDMSDLSDGEKVDIVGDLSTHGDSVRGRMSRVSSVDAMANWANQYTEKKLYIVLISLHGLIRGENMDLGRDSDTGGQVKYVVELARALGMMPGVYRVDLLTRQVSAPDVDWTYGEPTEMINLTDSLDAMPEVGESGGAYIIRIPFGPKDKYIPKELLWPHIPEFVDGALGHIVQMSKVLGEQTGSGQPLWPVAIHGHYADAGESAALLSGALNVPMVLTGHSLGRDKLEQLLKQGRQPKEEINATYKIMRRIEAEELSLDVSEMIITSTRQEIEEQWNLYDGFDPKLERKLRARSKRSVSCYGRFMPRAMVIPPGMEFHHIIPLEGDMDGEVEGNEHNVGSADPPIWLEIMRFFTNPHKPMILALARPDPKKNLITLVKAFGECRQLRELANLTLVMGNRDVIDEMSSTNSSVLVSIIKLIDKYDLYGQVAYPKHHKQSDVPEIYRLAAKSKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQHSVADALLKLVADKQLWARCRDNGLRNIHLFSWPEHCKTYLSRVMFCKQRQPKWKRNEDECSDSEPDSPEDSLRDIKDLSLSLKLSLDGDKNEKSGTSVTALDFVENATKKKSQLDRMVSTLPVTKSMEKSEQSKFQLSRRRKLIVIAADCDTPAGLADVAKTIIETVKKDKNTSSIGFILSTALTISEVQSILELSKFKPHDFDAYICNSGGEVYYPCSSSEEKYLEPSFTVDSDYQTHIDYRWGGEDLRKTIIRWADSLNDKVKNKAEIAIKEIDSASAHCFSFRINDQSLVPPVKEVRRLLRIQALRCHAIYCQSGSRLNVIPVLASRSQALRYMFIRWGINLSNLVVFAGESGDTDYEFLVGGVHKTVVLNGVCSDASKVHSNRNYPLEHVLPAMNSNIIECGSCSKEDISVALNNLGFSKE >Solyc05g053860.3.1 pep chromosome:SL3.0:5:64744283:64746504:1 gene:Solyc05g053860.3 transcript:Solyc05g053860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQLVVFFFLIYKLKFSRCSKSTYTFNLYTQIFLKNNMSDQSLLNRKNTLKTLDETIERCIGEFGWAQLLQSVLVSLSWVFDAQQTFISVFTDTHAVNEWSLQQQQQGVSSLLNGLPASSFFIGCLIGGLVLSTLADTKLGRKNTLVFSCLVMSLAGTITSISTNIWIYSFLRFLSGFGRATIGTCALVLSTELVGNQWRGQVGIIGFVCFTIGFLSLPIIAFLNKESSWRVMYLWTCIPTILYSILVHFLVCESPRWLYVRGNKEEFVSTLKSITTRSSLTLSFFGSFFEFEDQEHDNKSNESSTINLYSAIKMLIERNWAFRRLISVMLVGFGIGMVYYGMPLGVGNLPFNLYLSVTLNALSELPASIVTFFLIGKLTRKKSLLAFAMLSGICSIGCVVVQNDDFKVLQMGFELLSFFSACTGFNVLLIYTVELFPTCVRNSAVSMVRQALVLGGAISPMLVAFGRKNRWFSYGVFGICIAICGLFVLCLPETKGRTLSDTMDEEEYNESVFVC >Solyc06g062350.3.1 pep chromosome:SL3.0:6:39434469:39440097:-1 gene:Solyc06g062350.3 transcript:Solyc06g062350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGQMMKPRKTEITDKLRQEINKVVNRYVDEGVAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICTVRGTDMVSPHGIPVDLLDRLVIVRTETYGPAEMIQILAIRAQVEGLEIDEESLAFLGEIGQQASLRHAVQLLSPASVVAKMNGRDKICKADLDEVSSLYLDAKSSARLLQEQQDRYIS >Solyc08g013752.1.1.1 pep chromosome:SL3.0:8:3214384:3214595:1 gene:Solyc08g013752.1 transcript:Solyc08g013752.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAQFIFSSCNLFGLNASLETLCRQSPTTAYRLLQTSCIISFFFSITIVLLWWYSDTILIHLFH >Solyc10g017940.1.1 pep chromosome:SL3.0:10:6151967:6153598:1 gene:Solyc10g017940.1 transcript:Solyc10g017940.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNVILFIIRSSDSLFGGIYDTWALGGGDVRKITNLSLSPSMIFGYLLKSPFGGEGWIVSVDDLEDLIEGHVWLGSICILGEIWHILTKPFAWARCALFNNAAYPSEFYGPTRPEASQAQAFTFLVRDQRLGANVGSSQGSTGSDRQPLQERRSTKYMTHAPLGSLNSVGGVANEINVVNYVSPRSWLASSHFNLGFFSL >Solyc12g026385.1.1 pep chromosome:SL3.0:12:22795906:22800111:-1 gene:Solyc12g026385.1 transcript:Solyc12g026385.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMACHARFHLTFYAAQEQRSHAMTDVIRSCVLSNGDDGMPCRRHPTVCTVEKSKVDDNIPRPKSSDYVCSPRAIMRWQVTPDIVRLRVLPNADDAMPCSTSSNHVCTIKGNIIE >Solyc03g121990.3.1 pep chromosome:SL3.0:3:71482457:71489253:-1 gene:Solyc03g121990.3 transcript:Solyc03g121990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEASEPKFEDSAVPVTSTIRALEKEIAHPIVYQLVRSRLVPATQDEIMVVEDLLEDDKCESKLVPDTRQISESCVTEGYPLERNSFQVTEEKSNVLVDSVPDLGKIDAPNKVAVHGLAPLSELSNVDHSVRNDVCSHSQDAPNEDTPSTSAAGSSWKPDFSKLEGKICLDDLPVKELQETFKATFGRETSVKDKQWLKRRITMGLTNSCDFSCTTFIIRDNVVVKKGEEQICHREKSRISADSEDGVANLNSRGSFSDHDNKINDAADLSGADVSSSALESCNVTKDLNAEQITAKRARKPTKRYIEELSEIESRETSEKLASPEKIPRYQFACPETHIRPTKNVRSYARPLVTRQDSLGGSGVQIPFVSRIRRSRPRENFMPLLKLQPSGMDMATRQVRSAFDISGPQEDDKRNNDLIKTSSSTGWTEQPRIAACEKDEHSSGMKIVELENDVEVNDCSEDNSDDNVVTVPTQKGGMRRKHHRPWTINEVVKLVEGVARYGAGKWSEIKRLAFSACPYRTSVDLKDKWRNLLKASFVQLPAEKGILNSRKQASVPIPAPILSRVRELADMQGQIPPVLSVCKSSGHSSSDRSVHEARSGFL >Solyc01g103760.3.1 pep chromosome:SL3.0:1:92168157:92174349:1 gene:Solyc01g103760.3 transcript:Solyc01g103760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Probable magnesium transporter [Source:UniProtKB/TrEMBL;Acc:K4B1V1] MASEVVGSTQSWKDVYRGMSSDNIKGLVLALSSSIFIGASFIVKKKGLKKAGASGVRAGVGGYSYLFEPLWWVGMITMIVGEVANFAAYAFAPAILVTPLGALSIIISAVLAHVILRERLHTFGILGCALCVVGSITIVLHAPQEREIESVTEVWDLATEPAFLFYAAMVITTSLVLIFHYLPQYGQTHIMFYIGVCSLVGSLSVMSVKAIGIALKLTLSGMNQLIYPQTWAFTMIVIVCIITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQNPTQIVTELCGFVTILSGTFLLHKTKDMVDGPPTLPIRLPKHTDEEDGFGQEGIPLKRQDSLRS >Solyc02g089630.3.1 pep chromosome:SL3.0:2:52017396:52019762:-1 gene:Solyc02g089630.3 transcript:Solyc02g089630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Proline dehydrogenase [Source:UniProtKB/TrEMBL;Acc:K4BCB4] MANKVVCPKVFRDLRRFARCLNTAPTVPPMNFTGNYGSTNVTIPTLQPTDQILVNPEKKVLNFDDVKELFTGVSTSKLIRSSLTLQMASIESMVDLGIWVMNSKFMRMPVFKEVILGFVKRTFYEHFCAGKDLIEVGKTVSKLSSLDLKGMLDYGVEHAMDNESCDRSMNVFLQTAELTKSLPSSSVSFVVVKITAICTPKLLKRMSDLLRWEQKDPSFNLPWKQKTLPLFAESSPFYHTLKRPEPLTIEEERDLQLGRDRLEKICKKCLELDVELLIDAEDTAIQPAIDYLAYSAAIKYHKEDHPLLFGTIQAYLKDSKERMIIAKKAAEKMGVPMGFKLVRGAYMSSENQLASSLGFQSPIHDSIEYTHNCYNSCAEFMFDEIANGSGAVVLATHNIESGKLAASRAIDLGIRKDSKKLQFAQLYGMAEGLSFGLRNAGFQVSKYLPFGPVEQVMPYLIRRAEENRGLLSTSAFDRQLMRKELIRRFDVATA >Solyc12g056770.2.1 pep chromosome:SL3.0:12:63804263:63810510:1 gene:Solyc12g056770.2 transcript:Solyc12g056770.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAAMGLMRRIPPKHTETALSALLSLLPEHSSDLLSQVDQPLQVLCDMESGKEFILCEYNRDADSYRSPWSNKYHPPLEDAPQPSLELRKLEVEANEVFAIYRDHFTDQNTVRYYEGGISSVYMWEDENEGFVACFLIKKDGSKTGHGRRGYLQEGAWDAIHVIEVGPEEEEIVQYCLTSTVMLTLTTDNESSGSFNLSGSIRRQMSMKLSVSEGHLCNMGKMIEEMEGKLRNSLDQVYFGKTNEMVCTLRPPAELVQMKLPDT >Solyc01g088090.3.1 pep chromosome:SL3.0:1:82802801:82806227:-1 gene:Solyc01g088090.3 transcript:Solyc01g088090.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEFCSFYSTPYYCVVNVKPNVIQKCLISNQTMFSRLKERRLCSGLDVYSVEKNRKRRSCTVASVKGVDSVVDSSLLNESYNTDVVDGKVGTEGVTSRGKSVPKVMIPSLPSEAKGDAVAVIDSCLWEWKPKLNVHYEKSGCQNVNSAPILFLPGFGVGSFHYEKQLKDLGRDNRIWALDFIGQGKSLPSEDPTSRSKRLNESEGDGNNVLWGLGDEVEPWAKELVYSIDLWREQVRYFIEEVIKEPVYIVGNSLGGYVALYFAAYYPQLVKGVTLLNATPFWGFLPNPVRSPRLSRLFPWAGTFPLPSNIRKLTELVWQKISAPESIAEVLKQVYADHTTKVDKVFSSILEVTEHPAAAASLASIMFAPQGQLNFKEALTGCRMNNVPVCLIYGKEDPWVTPIWGLQVKRQFPEAPYYQISPAGHCPHDEVPEIVNFLLRGWIRNVESDSSAALPLLDYPESVEYDVVKELEFVRQGVKKSAKVQFYGSMTSQWERLSMFLKSRFQDGVYSP >Solyc06g024207.1.1 pep chromosome:SL3.0:6:12328427:12330510:1 gene:Solyc06g024207.1 transcript:Solyc06g024207.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESHHRPWTANTIERQWAWHAITAFEQHSRSDNVRLGMPSSPLGSTDGRTTSGFACHHRPWTAQMVRRRRVWHAIIALRQHTRSNNVGRGMPSSPLESTHSRLSSRVACHHSPWTVDTVGRRRAWHAIIAFGLADTVGRHRASHAIIAFGLADMVRRPMTVERRRAWHAIIALGQHRRSAVVGRGMPSQPLDNTNSRPPLDVPAHNGRSWPACMVRGLRNITEAQAGRRLRPPMVLVGVLRGCLIACMACPCYAVGRLQKHVGDVDGSSEAKVGGSQGRLVGLVGVVHGRLMACMARPCYAVERLQKHASDVCGAAEAKAGGRQGHLVGFIGVLRGHLTACMARPCYAVGRLQKHARDICGEFEALAGGRHGRPMGLVGVLPVGRLQKHARDICGAFEAVAGGRHRRPVGLVGVLRGCLTACIAYPCYIVGRQQKHASDVCGATKAKAGGCQGHPMVLVGMLRGRLTSIFESEARHCPSFWTQD >Solyc09g055345.1.1 pep chromosome:SL3.0:9:40014053:40023867:-1 gene:Solyc09g055345.1 transcript:Solyc09g055345.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAVAEGLWGLADYEEKKGEIGKAVKCLEAICQSQVSFLPIIEIKTRLRIATLLLNHSNNVNHAKSHLERSQLLLKSIPSFFELKCRAYSLLSQCYQLVGAIPSQKQILNKALELISTSEDGFSGRLWYCNFNSQLANALTIEGDHHGSISALDDGLMCATQMCYPELQVRNSLHNIFDESSLMLPSDCFLELQIQYF >Solyc04g051050.1.1.1 pep chromosome:SL3.0:4:49334335:49334490:-1 gene:Solyc04g051050.1 transcript:Solyc04g051050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKYYTVEESRKVQSAQLFNFILSSLLYLYLLVKLILIFILSRRKFSSIFYL >Solyc02g087300.1.1.1 pep chromosome:SL3.0:2:50384990:50385898:1 gene:Solyc02g087300.1 transcript:Solyc02g087300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPAQKIETGHNDTVHDVTMDYYGKRVATASSDTTIKITGVSNNAASQHLATLSGHTGPVWQAGWAHPKFGSILASCSYDGKVIIWKEGNQNEWAQAHVFSEHKSSVNSISWAPHELGLCLACGSSDGNISVHTARSDGGWDTTRIDQAHPVGVTSVSWAPSMAPGALVGAGVLEPVQKLASGGCDNTVKVWKLYNGVWKMDCFPALQMHTNWVRDVAWAPNLGLPKSTIASASEDGTVVIWTVGKEGDQWEGKVLKDFKSPVWRVSWSLTGNLLAVAAGDNNVTLWKEAVDGEWQQASTVDQ >Solyc01g109430.3.1 pep chromosome:SL3.0:1:96298725:96302379:-1 gene:Solyc01g109430.3 transcript:Solyc01g109430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKSKLKNGLCSVVPLHLQGESATRFCMFPKVKSACCSPGNTPVYLNVYDLTPVNGYFYWAGIGVFHTGIQVHGIEYAFGAHDYPTSGVFELEPRQCPGFKFRKSVFMGTTYLDPIQLREFIARQSANYNGDTYHLIAKNCNHFSDDICYRLTGKRIPKWVNRLARVGSLCNCILPEALKASTVQHGTNAQNYDSEKRKLRSSFNCLSSISMRQGEKEVSISSLFLHSHYKGCLPPWESKKSRSRSLKEG >Solyc03g116120.2.1 pep chromosome:SL3.0:3:67112952:67115019:-1 gene:Solyc03g116120.2 transcript:Solyc03g116120.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWTQRKYQSGKMGEENKVTLHGMWLSPYVKRVELALKVKGIPFEYIEEDLSNKSPLILKYNPIHKKVPILVHNGKPVNESFVIVEYIDETWKNGPQLLPEDPYERSKVHFWAAYIQQVMESMLNIFTAEDQKQACNEFHQKFRLLEDGMKNFFPTIENRNIGLIDIWIVVAFGMCKAQEEAFGVNFLDPEKVPLIHSRVNSLLELPLLRETVPDHDKAVSFLRALKETSTKGQAH >Solyc04g050220.2.1 pep chromosome:SL3.0:4:46177974:46182542:-1 gene:Solyc04g050220.2 transcript:Solyc04g050220.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSSLSLKRVSPIIKTGELCPETAV >Solyc03g082970.3.1 pep chromosome:SL3.0:3:54243526:54252063:-1 gene:Solyc03g082970.3 transcript:Solyc03g082970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALSSPHLQKVLLNKICSYKITSKKSIIQKPLGGGGGGGGEGRGRCSSAIAIDAPAPFTSVSGIRWGSTMVQGPREEMEDDAVIVQSDDLDGFTYAAVFDGHAGFSSVKFLREELYKECVLALQGGPLLNRKDLNAIRKALQEAFENADRELLNRLESSEKEDESGATATALFVGNDTLIIAHVGDSSVVLSRSGKTEILTNSHRPYGNNKVSLQEIRRINEAGGWIVNGRICNDISVSRAFGDMRFKTKKKEMLEKGVKERRWSEKFISRIQFRGDLVIASPDVLQVNLGSDAEFVLLASDGLWDYMKSDEIVDFVRNQLREHGDVQIACEALARLALDRRTQDNVSIVIADLGRTDWRNLPVKKQNVVLELGQALVTISFVSIGIWLSTMISP >Solyc01g010670.2.1 pep chromosome:SL3.0:1:5635586:5636921:1 gene:Solyc01g010670.2 transcript:Solyc01g010670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLAHRLKTVDNGYVSEDGEIDESINEKDEEMDFGSGFGGCDSFILLDLDASTWKWSSFSVIQSNIQLPEKVSQPSKEPSVVESAKVGERFFGTFSSFEMPEEF >Solyc09g008680.3.1 pep chromosome:SL3.0:9:2128440:2131876:-1 gene:Solyc09g008680.3 transcript:Solyc09g008680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEIEDGGTLLKSKEKIFHTFSDFMLRIAKFDELVEVGSRLLVGFQQGLEYLRRQPIEKNSELVERIIRDNESTRLSSYIEAGCRNAHDSIHTMSKSKVVVDELACLLKEAEAVVQSINCSLAQVGELNVNNNANPLETCHDEAEASSIDPLEHEITDIAVMMAVVYSMVKSDYTMQEKIVSSLNLTSPSGELESYSSMWSLRPYIDDEIMHKAWKLIAICDTKKGQKKGEKYWKIYEFRKVKKMMIL >Solyc08g029410.1.1.1 pep chromosome:SL3.0:8:36241381:36241653:-1 gene:Solyc08g029410.1 transcript:Solyc08g029410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKGLLTSQTDPPTSLYKCWFIKNTQEKHFELLINRQRWLRTNSSLSNGSFRSNTLSQSYHYLSNLFLSNGTLLDQMPKILLRKKMAFPG >Solyc11g010900.2.1 pep chromosome:SL3.0:11:3959813:3962437:-1 gene:Solyc11g010900.2 transcript:Solyc11g010900.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSCWISPSYFPQIKASILEPRTRIQPFSSKPDFPTEKIESFLPFNVNSITSTSNPFVKHCLKLRQNSSYRHFHGSVLVVGSTPIREIYSFQERVQERPITLDCLLVLDEAHIPEDLNLQSVRLVRISSTVMKKLSGLQSIDSIKMIALMKIPSTFHSVDDEFLEEDCSTWFKNAHRVLVLDGIQDPGNLGTLLRSAMAFGWGGAFLLPGCCDPFNEKALRASRGASFQLPLVSGDWLHLDALRNHYNMKILAAHPVNDQKPRRISRLTRDFADSLADSPLCVVLGSEGGGLSEKTKDASELVNIPMTGEFESLNVSVAGGILLYMLQPENHKDLSSG >Solyc01g066280.1.1.1 pep chromosome:SL3.0:1:73624566:73624883:-1 gene:Solyc01g066280.1 transcript:Solyc01g066280.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPHLKQQLLAKIGQEGFDLIDGIRGKRKGRPSPPQSPNNQAPYIYYPQQSHIVKLHPSEAKWYKLTVMKLSICIKVLNISHLRQNHQLLLWLFNYLLASGYLASS >Solyc02g043866.1.1 pep chromosome:SL3.0:2:1527276:1528157:1 gene:Solyc02g043866.1 transcript:Solyc02g043866.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTPYHMAPAELEELRKKLKELLDSGHISQSKVPFGKVFTKMDLKKSYYQVQIAEGDEPKTTCVTCYYSLECLVMPFGLTNAPATFCMLMNKLFHQYLDQFVVIYLDDIVVYSNSMEHHVEHLCEVFKVLCDNDLWVKREKCSFAQPTVQFLGHTISHGEIRMDGDKVESIKNWEAPTKKNREWEWSDACQAAFERLKVVVMEEPGI >Solyc03g115247.1.1 pep chromosome:SL3.0:3:66512447:66515453:-1 gene:Solyc03g115247.1 transcript:Solyc03g115247.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGEEKKRHQMMQNLFGDQSEEEEEEEEEVESEHESNRQPSDDGDGGLDPEGEGEVDIEGEGEGEGEGEGEGDDDGEGEGEGEGDGDVEGEVEGQGEAEMESEGEMQEVDPGHGESEGERDQSSQEIEVGDQRAQSEGRESESDEKEEYGQRVVTSRRRDVIDSESERSEENHYGDNEDEEVNQARSPSRSPGEEKDEAHLSSAPEIRDVFGDSEDEEEADYVVRNKIDEEQNLMDEETSYGKLQQEDIIPEDDGGYESEEEHVESKIKEKPVGPPLELEIPLRPPPTYSDNMSMIKVSNIMGIDPKPFDPETYVEEDCSMEES >Solyc10g024350.2.1 pep chromosome:SL3.0:10:13591307:13591818:-1 gene:Solyc10g024350.2 transcript:Solyc10g024350.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTRIKLYNPYQDLQVPIKTFYKIMGENLTYYTGISYLSGAIFSVGKGFIEGVKASKPCDTMKLKINRILNVSGYTGRKFGNRASVIELLYAGVGSGMVAIRDTYDVINSVVAGLGTSMFYRETSGLRSTAVAGVIGVVVVGLGVTGKQAIK >Solyc11g045520.2.1 pep chromosome:SL3.0:11:30596687:30599475:1 gene:Solyc11g045520.2 transcript:Solyc11g045520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAPSIDESNALFDFVVQKGNGVKGLVDNGIKVVPERYIQPPKERILIDKKIINSNDDIDQVLVAIDLSKVHGPEHDQVVGTITKAAETLGFFQVINHGVTLELLESLKGAAHNFFAQTPMEKAVYLKQVSPSPLVKYGTSFVPEKEMALEWKDYVSMMYTNDDEAHAQWPPQCKDVALEYLKSSTKMVRILLEILFENLGATLDEEKLESLTGLKMVNMNFYPSCPNPELTVGVGRHSDMGTLTVLLQDGIGGLYVKLEQGVEDEWIEIPPIPGALVINVGDTMQILSNGRYKSAEHRVRTTSSESRVSVPLFATPKPSEKIGPLPQLVESDGVAHYKQVLFGDYMKNFFGKAHEGKKSLDFAQNDDSA >Solyc10g086170.1.1.1 pep chromosome:SL3.0:10:65206385:65207665:-1 gene:Solyc10g086170.1 transcript:Solyc10g086170.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMESLKSCGAFMKKLRLEPIGSDSDELNGLTFAVKDIFDLEGQITGFGNPDWGKTHSAAICTAPTVLSLLKSGATCIGITVMDEMAYSINGENFHYGTPVNPVAPDRVPGGSSSGSAVAVGAKIVDFALGTDTGGSVRVPASYCGIYGIRPSHGVVSVDGVIPMAQSFDTVGWFATNACILKQVGRVLLQSDHESKGLTKFIVAEDCFKLLDSKSNRQTIGILVDSVRELYGSDQMIEYVSIGDYIEKNVPSLKKFMTIETCSNNNIPSSLRALSAAMRLLQKYEFKENHGEWVSEVKPSLGPGIAERVQEALRTTKDEDIDVCQTVKTELRVSLSGLLGDCGILAIPTVPGPPPKLKTETTTLEGFRAKAFSLLSIAGVSGFCQVSIPLGIQDNLPISVSLLANHGSDWFLLNVVEAIHNVLYL >Solyc09g010070.1.1.1 pep chromosome:SL3.0:9:3468843:3470711:1 gene:Solyc09g010070.1 transcript:Solyc09g010070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFKSKTPKIFPSKYAKLCYSSAPSIAAEANTHHQLVVEQTPISQFSHYFDPRFYLSQLIKCKNLYQVKQVHASITTNGFLENLMVANKLLYIYCMHKSLDDSYALFCRFNEKNAVSWSVMVGGYAKAGDFMNCFSIFKEYLRSGVRPDTYTLPFVIRVCRDTMDLTMGRLIHNVVYKCGLLLDNFVVAALVDMYSKCKVIGDAKQLFDGMPKRDVVTWTVMIGACTECGDATEALVLFDQMREEGVVPDKVVLVNVVNACAKIGAMHKAKLVHEYIVKNKFSFDVILGTAMVDMYAKCGSIDVAREVFDGLREKNVITWSAMIAAYGYHGQGNKAVDMFPMMLRTGILPNKITFVSLLYACSHSGLVEEGKQLFNSMQKEYGVKPDIKHFTCMVDLLGRAGKIDESLKLIEDMAVEKDEGLWGALLGACRIHGCVELAEMAAKSLIELQPENAGHYVLLSNIYAKAGKWQDMAKIRELMSHQRLKKVPGWTWIEVDNKIHRFSVGDHTHPLSKEIYEKLKYLLKELEISGYVPDTNFVLHDVDEELKLGNLFSHSEKLAIAFGLISTPEQSTIRIMKNLRVCGDCHTFCKFVSQVTSRVIIVRDANRFHHFKEGACSCKDYW >Solyc08g080860.3.1 pep chromosome:SL3.0:8:64162826:64164869:-1 gene:Solyc08g080860.3 transcript:Solyc08g080860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFDPRNQKPIDHIHILDPVTPSDYTCNNNSPKNDVGGLEMSQQHVDDSGISSPPLWKNRPSTSPDHPFHNSTNHRSISPSSRALAIAKGQWELMEMIKNMPESCYELSLKDLVEKNSILESNQEECLINKEEENFSTSQEQVVVQRVKSGKKKGKMIRNESFEEKGSFLKMFFPIPPKTISTKVSPKPVEGSKSLEKDWWKKRFSCSSESDSSKTGSSNSESSGSNDSTTSTQRKKKGFLTNFWSRSCFSKSKSAE >Solyc07g049470.3.1 pep chromosome:SL3.0:7:59871282:59879185:-1 gene:Solyc07g049470.3 transcript:Solyc07g049470.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYIYRGLKRFRISDSSIVNRLTVPQLKRKASNSWSAIQDTFYSTKDIFERHKVVFTISTSIASVATAWFGYTLRHLHESRVDQRLESIEKAMKKDYQIEDPEFKKLVSGSISIPACAATAGTTLILGYGLGFRGGKWYANRNFRREQMKLLGQIKPKRWPLRFLRRPLIRSKSPENLVTASETLPKVASTSHSGG >Solyc02g081080.3.1 pep chromosome:SL3.0:2:45709682:45714826:1 gene:Solyc02g081080.3 transcript:Solyc02g081080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSRSNSFSNFSESSYEYDDKDCSTVTTSDRDEPLNPGHRNNSFNGLLGFTFGSKKMRKKKPFCQEKAIVGLALLVIGFVGMNWWMLSRIQNSGRASQVEFRFLEDNSSTLSIREELLRLGLGEERKPQKTIYARLLAKAAHSLAEGQKKSEPKDLWAEPYFVASSWKPCAEQRQWLPSEGKNGYIIVTANGGINQQRVAVCNIVAIARLLDATLVLPKFLYSSVWKDVSQFGEIYQEEHFIDYLKPDIRIVRELPQELQSLDLEAIGSLVTDADVVKEAKPGFYKKYILPILHKNGVVHFLGFGNRLASDPLPPQVQRLRCRCNFHALKFVPKIEETGALLIRRMRQNVTRLGPLDRHLVGPFSKSMLKGEHNRGEKVARYLALHLRFEIDMVAHSLCEYGGGEEERNELELYREIHFPALVELKKTTKLPSPATLKAEGLCPLMPEETVLMLAALGFNRQTRIYLAGAHIYGGKSRLASLTTLYPNLVTKESLLSSSEIEPFMNFSSQLAALDFIVCTAADVFAMTDSGSQFSSLVSGFRVYFGGGKMATIRPNKRRLADIFVKNNTIDWRTFEQRVRKAVRQTKRVFSRPVGRSVYRYPRCKECMCNT >Solyc06g009697.1.1 pep chromosome:SL3.0:6:3646467:3649061:-1 gene:Solyc06g009697.1 transcript:Solyc06g009697.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDWRRRPVNDGKTRNRLPKSVILPESRYPFEKIVASEDEVSKFRLPETHEDVAGIAIEKVPSEIFLRSIGLKNLI >Solyc09g005365.1.1 pep chromosome:SL3.0:9:287066:288183:1 gene:Solyc09g005365.1 transcript:Solyc09g005365.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKFVREDSEIGKFERRSISEILPNFSVTAGAQLNEALQMHMDAHKRLSDHLEVQRNLKIKLEAQGRFLERIMEEQKVRASGSRSSCKSYSYLPLALPSLCEESESNVKELEVGYDSEFDISGCQLPRKKTRFEEDDGLLNHRYNSTNNNVTYSPQELEWGTLETYQSSPHDLHALYDYLI >Solyc05g041500.1.1.1 pep chromosome:SL3.0:5:52916439:52916849:1 gene:Solyc05g041500.1 transcript:Solyc05g041500.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLRKSNTDAYMPMLISIGPCQKRNHELCSMEKYKLLYLPRFLQRKEGLDVESCISSLEKKKDETLQCYDDNLNTDIVDISLEMLLLDGCFVVESIREYYATDEEEEEEEEEEEEEEEEEEEEEEEDDNNNIINLE >Solyc08g029155.1.1 pep chromosome:SL3.0:8:38163214:38164814:1 gene:Solyc08g029155.1 transcript:Solyc08g029155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSFKFCCYYNCHQVAYDSFENPKKDINISLNPILDKTMYHLVNKKRSGQMEKFKYIKLRLA >Solyc08g061360.1.1.1 pep chromosome:SL3.0:8:48678825:48679136:-1 gene:Solyc08g061360.1 transcript:Solyc08g061360.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVEPTESENHSKGQTYFLRPRYINKSKNKNEDKSDDEELDKEGSDYEECKFSYKEECDDRNEVIFLEEKEHIFIKENLFLVFRFEEEEPILAEKEEWEKESCS >Solyc07g017220.3.1 pep chromosome:SL3.0:7:6511725:6514702:-1 gene:Solyc07g017220.3 transcript:Solyc07g017220.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAERKGNKKRLCINLSLFTLTDNNSSSSSNKSPKKFEDPNGAVVGLGIVAAMNKESNSRAAIIAISPRSTTSNPIPIFTSFKKKPSIEEMEMCEEYTCVISHVGTNLVKKRVYFDGQFIGNSNFNAYQKTVNHTTSFTMADFLNSCFLCKKQLKGLDIFMYRGEKAFCSAECRCTQISIDEHKEKCGSGAMKSLSDYSVSPCSGPMQFFTGVAVA >Solyc02g032565.1.1 pep chromosome:SL3.0:2:29192020:29192324:-1 gene:Solyc02g032565.1 transcript:Solyc02g032565.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTQNNSPLYFLVLLFLCHYSIASSHVRRLLLSHQSEHYAVIFDAGSTGSRVHVFRFDTKLDLLSVGNHIEYFLAMV >Solyc01g096760.3.1 pep chromosome:SL3.0:1:87656247:87660661:-1 gene:Solyc01g096760.3 transcript:Solyc01g096760.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDGGSSNAPVQQLTAEAAAQLQEGIGLVLTRWTALQMAIENEWGGRDTREKSNQLNVDIFSAFTQSKEKVYMDDIEEILDEFMISLNTEVNDGSLEEVAEKLMYMHEECLEGDFNSIKVLRETNVGRRPATYVRQDASDDDDSSNDGDDRNENFGNNSSDMAIDSMESQPSLGQDMIVEPVRKQPAEVDPDGWTTVSTKRNKGRRN >Solyc01g102370.3.1 pep chromosome:SL3.0:1:91077545:91085785:1 gene:Solyc01g102370.3 transcript:Solyc01g102370.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKGLMKLLADNAPSGMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGETTSHLVGMFSRTIRLLEAGIKPVYVFDGKPPDLKKQELAKRYSRREDATDDLAKATESGNKEDIEKFSKRTVKVTSQHNDDCKKLLRLMGVPAVEVSWKYSHSGSRVSFRWLLLKQRLSVLHFARQKRHVYAVASEDMDSLTFGAPKFLRHLMDPSSKKIPVMEFDISKVLEGLELSMDQFIDLCILCGCDYCDSIRGIGPQTALKLVRQHGSMESILENINKERYQIPDDWPYEEARRLFKEPLVVTDDEQTELKWAAPDEEGLVNFLVKENGFNIDRVTKAIEKIKTAKNKSSQGRLESFFKPVSTSAPVKRKGTKCVLVSPSPVTKFKTLSLRSRPGVLGRLIFPSLELGSNYSMPLFRHFCFGI >Solyc01g014561.1.1 pep chromosome:SL3.0:1:14319593:14320133:1 gene:Solyc01g014561.1 transcript:Solyc01g014561.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSRNSRHKKTKLDFGLALLTLLKKLLWFMVKDGAIKPSAIDVVEKSSNQMGKNFQAFTHVVRIHVPGHDTTDSPRDEEDKDEEEKSKGHERTCVMEIFIENGRTKVN >Solyc04g050820.2.1 pep chromosome:SL3.0:4:48423244:48437344:1 gene:Solyc04g050820.2 transcript:Solyc04g050820.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGNNGSALSGGVIANREGISWATKDVQQANYFGSLTQASTIQVGSFNGQEIYASFKSILPMVTFICFEMTLSDDVVFGEWDISNMNLADDMARANVFDIDLQKKLRPYMESMVPFPANQGSRANNVIKGTKKEQVDQIIKDIRQFKENNKVDKVVVLWTANTERYSSVVVGLNDTMENLFASVDRNEAEISPSTLYAIACILENVPFINGSPQNTFVPGLIDLAIKRNTLIGGDDFKSGQTKMKSVLVYFLVGAGIKPTSIVSYNHLGNTDGMNLSAPQTFCSKEISKSNVVDDMVSSNAILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGRNTIVLHNTCEDSLLAAPIILDLVLLAEISIRIQLKAEGEGKFHSFHPVATILTYLTKAPLVPPGTPMVIALSKQRAMLENILRACVGLALENNMILEYK >Solyc04g071820.3.1 pep chromosome:SL3.0:4:58916842:58918433:1 gene:Solyc04g071820.3 transcript:Solyc04g071820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIPWVFLVFGSWLLALAFVIKILNHPKRKLPPGPKPWPIIGNLNLLGSLPHKSLHHLSQKYGDLMLLKFGSKPVLIASSPEMAKEILKTHDISFASRPPLAAGKYISFNYSDMTWASYGPHWRQTRKISLTGLLNPTTLNSLEYIRVEERQTLISRLFPLSGKPILLKHRLARFTLRTINRLIMSETYCSSDASVVTHERLQWMFDEWFVLSGLINIGDWIPWLSWFDLQGYVKRMKALRKNMTEFYEYVLEDHKAKRQKEENYSPKDMVDAMLHLADDPNLEIKLTTDTMMGLIHDLVGGGTDTAATTIEWAFQELLKRPNIMEKAQQELDRAIGREGWVKEEDFSKLPYIDAIIKETFRLHPLCALIPPHYSTEDCNVAGYDIPKGTTVYVNAWSLGRNPKYWDRPEEFIPERFIENNIDIKGQNFGLLPFGSGRRKCPGYSLGIKIVRTTMANLLHGFDWKLAGDMKPEDISMDEIYGLTTHPKNPISLIMEPRLPLHLY >Solyc10g049570.2.1 pep chromosome:SL3.0:10:46076874:46078914:1 gene:Solyc10g049570.2 transcript:Solyc10g049570.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKIVNFETCITPLIIFDTVVELFQGFMLSIFFLCTIEMLARFDFRSLFFPKLRVRFLRFFCSSQLQQTPPELPQFEPSNDADLISQLLLQHHNPFHAMESSLQLHGISFTPFLVHQTLIRLKNCSKVALSFFHYSQSQPNSDTSTTTAFNLLIDILCKVRQFDVAWQLIIQMDQKSVKTDFTTFYVLIRRLISAGFTRQAIRTFSEMHVFLDQYGEDEVWKLYFIYLLDTLCKYGYVKVATEVFNKEKWRLELNCKVYTILMYGWCKVKNVEMARRFLGEMMDKGIDPNVVSYNVLLNGICRRASLHPDGRFDKVIREAEKVFEEMTERGVEPDVTSYSILLHVYSRSHKPELSLEKLRIMKRKGICPNIVTYTSVIKCLCTCGRIEDAELLLEQMGSNGVTPTSTTYNCFFKEFKGRKDVEGALRLYGKMKQGSLCLPSVSTFNILLGMLLKLGRIGMARDIWDDMKDSGAGPDLDSYTLLIHGFCEKKKWKTACEFFMEMIEKGFLPQKVTFETLYRGLIQSNMLRTWRRLKKRLDEESITFGSEFENYHLKPYRSNGELFIIDGVTDIKNKSTLNETLFIPKASYVPTTHALLPYHQSQGGAFSQPSSAMSQDWKLFKQMMLQWLLSRLPSENL >Solyc11g045410.1.1.1 pep chromosome:SL3.0:11:30872310:30872633:-1 gene:Solyc11g045410.1 transcript:Solyc11g045410.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKMTLLGLQAGICDPENKQASNQFVSQWHDKLRDAVDSAEIFTEQVNYEALRLKLDGQHQNFAETSNQQVSDLNLCLGDEFFLNIKDKLEDTIETLEVLESKLAALP >Solyc03g113450.3.1 pep chromosome:SL3.0:3:65075752:65080686:1 gene:Solyc03g113450.3 transcript:Solyc03g113450.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGVWELIFLGLFTMTIFSRSCFSLTPDGSALLEMRTLLNDTKNVLSNWNDSDESPCRWTGISCYPNEQRVQSINLPYMELGGIISPSVGKLTRLQRLALHENSLHGVIPNEIGNCPELRAIYLRANFIQGGIPSDIGNLSILTILDLSSNSLRGAIPSSLGRLRHLRLLNLSANFFSGEIPDVGILSTFGNDSFIGNLDLCGQQVRKPCRTSMGFPVVLPHAESDEAAVPTKRSSHYIRAAVIGAISTLGFVLIVLFIFLWVWLLSKKERTAKKYTEVKKQVHKEPSAKLITFHGDLPYPSSELIEKIESLDEEDVVGAGGFGTVYRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSIKHINLVNLRGYCRLPTARLLIYDYLVMGSLDNFLHERVDDRLLNWNARLKVALGSARGLAYLHHDCSPKIVHRDIKSSNILLDENLEPRVSDFGLAKLLVDEEAHVTTVVAGTFGYLAPEYLQSGRATEKSDVYSFGVLLLELVTGKRPTDPSFVNRGLNVVGWMNTLLKENRLEDILD >Solyc05g051330.1.1.1 pep chromosome:SL3.0:5:62457650:62458657:-1 gene:Solyc05g051330.1 transcript:Solyc05g051330.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSTLPLSQSTQITQPILPSSPNKLPIKRKATDDPFTGAGAGDANGGVGEMGSDPPFKFHRIWTEPDEIRFLQGLLDGSSENLFFPRDLNVFYTRFSNTMSQPYTKSQLSEKLRRLRKKFRVISSRLSRGLDRSLLSPHDRALYDLSKQLWHPDFSDTSPFNAEKSKKSNLVGVKVSFLPNIYDPNRYGIVPYQDENGSNCNGVSVNEEVEQGEEEKENDGNIEFDDEDGKLSEVNVELDTGEIGDERVEFSRVNGPVRVGIEFGVGDTAAKVVMDVFDECLKDFRNGERSNLGGVMKEASSNEFEERWKEQRVAELNVLARRMRLVLEHSLQSL >Solyc05g026513.1.1 pep chromosome:SL3.0:5:41722120:41724197:1 gene:Solyc05g026513.1 transcript:Solyc05g026513.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding METFIAEPNTVSDPAWYPDSEATNHLSNDLNNVTIRGDYSVTSNSTDFINALIQKLSFDSAVNDLGNLRYFLGVEVTASPTGLVLSQTKYVKDLFQRAHMDGARSISTPMTRQGILMICDPLMVIVFLSGLIWYHGVPRSKELFHDLVLNLNTEA >Solyc04g011810.1.1.1 pep chromosome:SL3.0:4:4243708:4244016:-1 gene:Solyc04g011810.1 transcript:Solyc04g011810.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMVMKLGTSSSVVIFTKSSCCISHSIETLIRNFGANPIIYELDTHPNGKKMEKALMELGCQPSVPAIFIGKELVGGANEIMSLNVRGKLKQLLIRANAIWV >Solyc09g014995.1.1 pep chromosome:SL3.0:9:7399132:7400734:-1 gene:Solyc09g014995.1 transcript:Solyc09g014995.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDPNNYNATGVPTPTAPPCSVDTERQTPATGGAPRQTPPVAGSGMGRFIERWKREDMLKKGCFGLRCIALFFSLFAFIIMASNKHGDWRDFDKYDEYRYLLAIAILSTLYTVSLLLIQVYQLSTNKEIFSRKNSALIDFYGDQLMAYLLLSAASSAVPLTNRMRENNDNIFTDSSAAAIGMEFIAFLAMAVSAIISGYKLSKQTYI >Solyc05g014690.2.1 pep chromosome:SL3.0:5:8814594:8826370:1 gene:Solyc05g014690.2 transcript:Solyc05g014690.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSDADSDGSHVSSTPPRHPLPPPQFPSRRALLATAKSRTQPKNTSTISSKFSRPMLRPKISTKKPKKSKDDTTIQTRQNLSDLPVHIHPNDTVSSEAPPSGGSCNSKFASSSKTQETRIQNPSSPPKSSDLPFQIHRSVNAVLSHDNSSGEVLPASGLCLPKFASFLKTRKTILNFEPVEAGSIDPQLVQVNEKEAVDSCCRAKAVRKYPNLIGSNVSSLSSLPVKKVKCANEGNFVRLNINGYGKKFASKFKRRNFNSSSGKKFYRRWKKKVRVEGKEGENGLFDEEGLVVEVKGRGERLGFDAELIEEAVMGVRNEASDENLLRLLKLTYGYDSFRDGQLETLKMVLSGKSTMLVLPTGAGKSLCYQLPAMVLQGVTVVISPLVSLMIDQLKQLPAAVEGGLLCSSQTPEEVSETFRLLEEGSIKVLFVSPERFLNSEFLSIFCNTQISLVVIDEAHCVSEWSHNFRPSYMRLKASLLRDKLKAQCILAMTATATTKALSHVMHALDIPSTNLIQVVKPRDNLQLSVSSSENRMKDLMTLLKSSPFSEAKSIIIYCKFQSETDFICKYLCDNNILAKSYHSGIFAKDRSRTQELFCANKIRVVVATVAFGMGLNKKDIEAVIHYSLPESLEEYVQEIGRAGRDGRISYCHLFFDDVSYFKIRSLMYSDGVDEYVVNKLLCQIFSGSTTSAGIICSLVKESACRKFDMKEEVILTILTQLELGEVQYLQLLPQTSVTCTLNFHQTSPALLAMKDAVVAAILKNSEIKDGQYIFDIPSVANSIGLQIVDLSNHLQTLKIKGEVRYELKDQAYCYVIMDTPKDICSLATWLTKWLSEVESCKVRKMDTMYDAAVFATEACDKVHGCHDHQHTPCLQRKITEYFVNGTEVEVPKRIGGSSPFLTADIKVFLQCNSYAKFTPRAIARILHGIASPAFPSAVWSRTHFWGRYMQTDFKAITEVAKAELMKLVGKDITGPCSRLKASRCLEADLGSAVDGYISREY >Solyc01g100630.2.1 pep chromosome:SL3.0:1:90481530:90490447:1 gene:Solyc01g100630.2 transcript:Solyc01g100630.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASKDFVWVVLLMLSVVEGFDTEAAKKRFLKMYLLKYKKTGHKVAVAFSASGRNKVDANFAAAGLPITMLDAIVSADAFRTLKPAPDIFLAASWILDVPTSEIKLAGKENLSKEETKNLINICIAVTTLSEDTLKAAEPSLIRKEISDISLEVWASHGDDISIQYSDEVQQSVRFVFPNFRKYLVYTKAFWLVEILIMMSSNVHSNGNAHSQNAPFKFFIGCDPREDVAYEILKQSPFVLVFPRGPVLLKDYHLVEKLANFDQECIMECVVHARGASAKGFFEVTHDIAHLTRADFLRAPAIQTLFIVRFFTRRGSPETLRDPRVLLSSSTPERNFDLVGNNFPFFFIRDGMKFPDMVHALNPNSGVPYPGELGAYGRVWCPHIYIDQQGWEINLCEVPPGKPTCGVMSLLEEEADRVGGANHSHAT >Solyc06g048637.1.1 pep chromosome:SL3.0:6:31507792:31509934:1 gene:Solyc06g048637.1 transcript:Solyc06g048637.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGIKVKALQEYSYGEKCVFKVTGPFLIRCKQITSNKALTDFFIDIKFKFTNALLTYREKEETEEGISAETDVNMDNFEDLRTSKRIVIYNEHNSMSDFTFSLLRGFLHQENFVITVLIYLKHWR >Solyc08g078695.1.1 pep chromosome:SL3.0:8:62590604:62591751:1 gene:Solyc08g078695.1 transcript:Solyc08g078695.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Mitochondrial small heat shock protein [Source:UniProtKB/TrEMBL;Acc:O80432] MATLALRRATASSLFNRLVNPVRSASAFRSFNTNTQMTAYDQDDRGVDVDRRSDRSVSRRDAFPSLFSDVFDPFSPPIRSVSQLLNMMDQMMDSPFVAAPRAMGAGVGARRGWDVKEDDNALYIKMDMPGLDKENVKVAVEENTLIIKGEGEKESENEEYRRRYSTRLEIPQNIYKLDGIKAEMKNGVLKVAVPKVKQEERKDVFDVKIE >Solyc12g008790.2.1 pep chromosome:SL3.0:12:2134000:2140455:1 gene:Solyc12g008790.2 transcript:Solyc12g008790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLFFSSIRRPLSVALRRFTLCRFSSIVTYATEEEKSRFIHPSSVVHPNAILGEGVSIGPFCTVGPFAKLGSACQLYPGSHIFGNTELGDNCILMTMHILSSGAVIGDDLPGHTVVGRNNVFGHHAVIGIKCQDMKYKFGNECYLEIGDNNEIREHVSIHRSSTPSDKTVIGDNNLIMGSCHIAHDSKVGNNNILANSTLLAGHVVVEDYAHTAGGVVVHQFCRIGSYSFIGGGSVVSQDVPKYIIVSGERAELRGLNLEGLRRHGFSAMEIKSLRAAYRKIFMPTDTSSGNIEDRLSQVEHHEDLSLFPAVNSMVQSIRDSFAEKRRGICKFRSWSGP >Solyc01g088670.2.1.1 pep chromosome:SL3.0:1:83303190:83306704:1 gene:Solyc01g088670.2 transcript:Solyc01g088670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCATLRLMRINFKVGHFPLFRTSLYTSQLLVLHSLTPPHKTINPKVSRFSTDSSYCSSKSLVFVKGYDFLEQFTPFKNLAKSCTYTVITSNEKRKIIVGLSRMIKDENGWLLEAFSRDFCPSFLVKIMKLFDDREVAFAFFKYVLQDYSENTLKSCCISAHVLAAEELRLLAQDMLSWIIRKVGKCRSDEVVEFMWREHYKYESEFSVLDSLMRAFLTAEMVSGALEIWSKMRDNGLRPSSSAVCILFKLLLRIGDYGSVWKLFRDMLQKGPRPTDALFNAMILGYCRKGRLQTGESLFHLMRKFGCEPDVFTYNILINAYCTRGWTSDALEWVHMMVEHGCHPSISTFTTVISALCKEGNVVEARKLFDGMQDVGVFPSTVTYNALMDGYVKARDIFQASMLYEEMKRKGVAPDAITFNILVAGHYKYGREEDGDRLLWDLTVGGLSSDYLSSDVSIAGLCWVGRLNEAVTLLDNLLEKGIPVSVIAFNSIIAAYSKEGLEEKAFEVYNIMVQFGQSPSALTCASLLLSLSMTGRLQEARDLMAKMITMSFPINITACTVLLDGYFKKGDVMGARTLWEEMEKMGIAPDAVAFSALIDGLVKAGSVGDAYDAFLQMIRKGLVPNNFVYNSLITGFCYSGNMNEAQKLERDMRERGLLPDIFTINTIINGFCKQGRMKLATDCFVEMHRSGLQPDIATYNTLINGFCKAFDVVNADNFMTRMYASGWEPDITTYNIRIHSFCSTRRINQAVMILDELVSAGVVPNTVTYNIMMNSACNDILDRAMILAAKLLKMAFIPNTVTANLLLSHLWKQGLPQRALVWGQKLSEIGFEFDEITHKILDKSSHCIQENTDYCTETTEKSLFLDFLMYITYDHIRRSRAYSDNNDSSFELVEDGPCGSFKLVNKATV >Solyc10g019203.1.1 pep chromosome:SL3.0:10:12312484:12313365:1 gene:Solyc10g019203.1 transcript:Solyc10g019203.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEYEKIVKSPDLHNLKNSYLVNKVTLFHDHVLMKKKHLNPKKASVSGDSQGQDENDDSGPNLASKDNTDKNVKRRIRRTKQPVSSDSDCDSDLDTEDLSRDDLVKLVAEKEKLLKIKDYEFQKMKKNVVI >Solyc02g087910.2.1 pep chromosome:SL3.0:2:50804653:50805123:1 gene:Solyc02g087910.2 transcript:Solyc02g087910.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKGILVSLFMLLVVVVQLGESINCGEVGGALAPCVPYLTQGGDPSVSCCDGVKKVVETTPTQQDRQVACECMKSAAARYPNVKPDAASNLPSRCGLTTPIPISPTINCKSVP >Solyc04g051180.1.1.1 pep chromosome:SL3.0:4:49879855:49880352:-1 gene:Solyc04g051180.1 transcript:Solyc04g051180.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEQQQISLDHQQVEEKEFDYSKRSQWLRAAVLGANDGLVSTASLIMGISAVKKDIKVVILTVFAGLVAGACSMAIGEFVSVYSQLDIEIAQMKRDNKRRNKIQGDHEDEEEKNVLPNPAQAAAASALAFSVGAIVPLLAASFIRDYKVRIGAVVAAVTIALMVFA >Solyc05g017733.1.1 pep chromosome:SL3.0:5:18228693:18229932:1 gene:Solyc05g017733.1 transcript:Solyc05g017733.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDLNGGSTQYGMEKLVGTNSKYWRMCMEVYLQVCLSWLRNKSLPRKIWAEDIQCGCHVINRLPPWTSTQKDPFKILYSRKPNVSYFRVFGPKTNKFTISRDVVFDEVSSLFSAQKILVLGDYQNNLELLFPEVNWPTPSNEDVEIVSPSQNIYREGDGEHQATRRSTREKRHPDYLKDYEEHQLQEPLSYEEANGCPDWERAMQ >Solyc07g048100.2.1 pep chromosome:SL3.0:7:59411341:59428966:1 gene:Solyc07g048100.2 transcript:Solyc07g048100.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQKVNFPVLEGKSFQASIGSPSVKNINVVGESDELPKVFPNRFESRWFGGWTYLKNEVRSFDQVKCNAIKSIPEPFVGETSYFSESADIAPDMSSFVARKQDERVIVASQLSIPSEDLCKGKEMTLLSHDIVASSDLSLDDTLCSVVPCSISSDHLSSPSAIYNNVRDEKQQSFGPTTEYATNLQRNSVLDNLVVHGKQVTTPKINREGMQVPVRREVISLRTYSVLAGNGISLEKGYCLNTSFSLGRNDAPMLKPVGQMTNENGNFCDTPRDGNEVTVSVPKNTSSPLILNPRSRHRFQASKSFQRDFGSEKDRKQTTEDQAAIECPKRKRVHFSATETEIQLGKEPRKSLVALKSCRTPKAARNLRPPTSHLELRTQELKKRLINSSARVGRRLMLGNMEFLVTGFSRKREKKLEDLIKKHGGTVLSDIPAPTNNGKRCKGFQSQAVPVVLCSKKLQSIKFLYGRAVDAFMLKAKWLTDSISEGCILPPEKYMVVKKCVGKRFIAVGSSVENNSHSPIFDNLGIMLHGEKNFCTDMAKIIKSNLCDSIPRVATLLSFKLSCYDTPFSSFWATQHGGGQVFKTLLELVQNCDSEKIATGIIITENERSASRHLKHCASEGNIPIMSAYWIIRSLQMGKLLPLKEKTKTCKLPTLVLPESPDTVELSQEI >Solyc09g090280.2.1 pep chromosome:SL3.0:9:70285226:70289065:-1 gene:Solyc09g090280.2 transcript:Solyc09g090280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGFKDFQLKIAKKLKLKNFIGKGGGGGNKKKGSGNGKRLPWMMPITHGYYVAEESRDGARPQTPQSNKVECDKVVVQREQVEEQEWWFCGVFDTRIGGGVTKYLQAHLFDNNLNESQMKKKSKETLKKAHVHAKAKVRETEKLESTWKMGSASALVINGERLVLANMGEYKAVVCRDGEAFEINRRQQQTSKPHWSHKLFSAAKRSPKAGDDKPSKRSELLVGSERIDRDTEFVILASPGVWEAMKQQEAVNLIRHLEDPQEAAECLAKEAINRMSKSNISCLIIRFE >Solyc08g065990.3.1 pep chromosome:SL3.0:8:54502140:54507864:1 gene:Solyc08g065990.3 transcript:Solyc08g065990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQKFSATLRIGDLNDYIAPSQGCVVSLKTNSNRINKSENVGKAGALSKPVQTEPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNLEKGRTVIVSLSPQSRAALAVHYGLSSLQVFRKLTTLFKSLGVNAIFDTSCSRDLTLIESCNEFMERYKQSQCTSSGESKPPLPMLSSACPGWICYAEKTLGSYILPYISSVKSPQQTIGAVVKNFLCSKLSVRPEDIYHVTVMPCYDKKLEAAREDFVFQVDTDSEKIMEVDSVLTTGEVLDLIQLKAVDFQSLEESPLDKLFTNIDEKGHLYGVHGSSGGYAETIYRHVAKVLLGQEVKGPLAFKTIRNSDFQEVSLEVNDKSVLKFALCYGFRNLQNVVRKLKMGKSDYHFLEIMACPSGCLNGAGQIKPQPGQSGKELIQLLETAYVENVVVADPFNNPIVMGLYSEWLEQPGSEKARRYLHTEYHPVVKSITSQLQNW >Solyc12g011110.1.1.1 pep chromosome:SL3.0:12:3963334:3963546:-1 gene:Solyc12g011110.1 transcript:Solyc12g011110.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYEKFILSHKEAKADIIVGVATLLMDEKCIYIACYLHCFGCFYIDLSANLIKDLSSFGLTKIDEELYMI >Solyc06g066300.1.1.1 pep chromosome:SL3.0:6:41705849:41707300:-1 gene:Solyc06g066300.1 transcript:Solyc06g066300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:2-(3-amino-3-carboxypropyl)histidine synthase subunit 1 [Source:UniProtKB/TrEMBL;Acc:K4C7Q6] MEKEHGGLLPLNPTPAAAASATTAVDAGDQQSLQQSTRPRPKRFVKNQIPDSILNDAALNAAISLLPQNYNFEIHKCVWRVRTSSAKRVALQFPEGLLMYSLIISDILSTFTSATHCFILGDVTFGACCVDDLSAGALSADLLIHFGHSCLVPIDSTTIPCLYIFVEISIDVHRLLNELKLNFYNSDTYDNIIMAGTIQFASAIRAVKPELEKLGFSILIPQAKPLSAGEVLGCTAPSVKNRFSDGENVVLIFVADGRFHLEAFMIANPGIKTYRYDPFIGKLFVEEYDHKGMKEERKRAIEKARGAKNWGIVLGTLGRQGNPRILDRLEKKMSEKGMTWTVVLMSEISPTRIALFEDAVDAWIQIACPRLSIDWGDAFKKPLLTPFEAEIALGDLSGWWERTTSKNSDICRDEVMKCSKNESCGACDKSGEEVKEETQVDYPMDYYAQDGGEWNSCYSKKPARLSQRNSQSCNGATAIKSNS >Solyc05g012100.3.1 pep chromosome:SL3.0:5:5310604:5315896:1 gene:Solyc05g012100.3 transcript:Solyc05g012100.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAALSFSFFSTLSRQHLHWNFYPNLKVMQLQDLKLKNKWVLMAVSKGGTTEGIPEELSETEVVGAGKKTGRTSKQAPVLSRRKKVVEFSNDEEAENTSGSIEEPKKTQRRTRKKKDTVESSIGDSISDVEGNVTDEDAVTEPESSGKPKKTRRTRKKKEIKESSFEDSVLGVEGNVTDEEGSPTSRSSEEPVEKRKRTAKKAASSSSSLEKEPTQKATRGRRKKVRNLEDEGSQSELSDIEEELHVANVDADNEEELDFDIDVGEDISFTYGWPPLVCCFGAAQHAFVPSGRPSNRLVDHEWHERMKDVMWDPEKFIRAPGGCSSNVAVALASLGGKVAFMGKLGDDDFGQSLVYFMNINKVQTRSVRLDSKRATAITHMKIGKRGGLRMTTTKACAEDSLLKSEINIDVLKEAKMFYFNTFSLLDPNMRLTTLRATKISKKLGGVVFYDLNLPSPLWESGEKAKTFIQKAWNLADIIEVTKQELEFLCGIEPSEKFDTKDNDRSKFTHYPPEVIAPLWHENLKILFMTNGTSKIHYYTKEHNGSVLGLEDVPLSPYTSDMSASGDGIIAGIIRMLTVQPHLMTDKGYLERTLKYAISCGVVDQWLQARRLGYPPKEGMEDDVVPDDHGIRSVTEREYRTLVPVS >Solyc12g062737.1.1 pep chromosome:SL3.0:12:34736228:34737167:-1 gene:Solyc12g062737.1 transcript:Solyc12g062737.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDYSDLRAKLLQNKDKPAIINVTIGTTFKGAIDVVDVILETLKECGYSQDRFYIHFDAALCGLMTPFVNNFQESNWKCHNFWSQVLRMPNGLWCPNNKKKS >Solyc06g011510.1.1.1 pep chromosome:SL3.0:6:7955434:7955586:-1 gene:Solyc06g011510.1 transcript:Solyc06g011510.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFVNGKKIVVFVPKDGCLNYIEENDEVLIAGFGRKGHAYEIFLVLGLKL >Solyc02g088350.3.1 pep chromosome:SL3.0:2:51110771:51114337:-1 gene:Solyc02g088350.3 transcript:Solyc02g088350.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MATAPAIFVPSTSLASSNARKINLSSWNEKLVIPQNFPFWTPKYQSRKPPSCSGTNVTVVQGDRNTTELPMSIDALDSFIRLNLGNWTGSFHQFDGHGNLMHRITTKLAVGSYGEGELMSLLQTLYIKQPPSTTSCSGDDCESEWFEYKIKETNMFTVDKYQQIGFFPKEKAYALRYQTAGMLETVLRQGVLGEDDIGEESPRNLKLPSKRPSIVCENCLYSLEKDRRVRAFHIMDPKGVLEMLLVFLEERGNGEAIPPSFDDFKEDTERILPHLGTWKGHSRTTRTGVYGATITEASTTAVLEINKDGQLIQDITSTSGATNITTNVHWTGTISNNLVTFDGGFQLTLLPGGIYMGYPSDVAKNVQESTAFHVEFCWLESPGKRQRLIRTYDVEGFAVSSTYFIESKV >Solyc11g069125.1.1 pep chromosome:SL3.0:11:54024667:54026836:-1 gene:Solyc11g069125.1 transcript:Solyc11g069125.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGWGEEQGRLIWDSLIAKRETISQPQLLELSENQLLVLRVHGINWLLHQPGSMKELHNVLQILEFDMPSWNRCLIEIVYEFGEAWRKTKPYKLFSYEWLQWSRLLQ >Solyc05g007710.3.1 pep chromosome:SL3.0:5:2195282:2197916:-1 gene:Solyc05g007710.3 transcript:Solyc05g007710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRFDKEGLKKGPWTPEEDQKLLSFIDTYGCGSWRALPAKAGLQRCGKSCRLRWINYLRPDIKRGKFSLQEERTIIQLHALLGNRWSAIATYLPSRTDNEIKNYWNSRLKKRLTKMGIDPMTHKPNGAGSSKYVANLSHMAEWESARLEAEARLVRKSKILFNNNNNSHNYNINPSTISQQLPYYQQLPCLDRLKAWQIASTKLPTINDISHAILRNNSKNKKLDSSIPSSSLNSSENIFANNAPTTTKVDDDDHQNLQNLSTINSCFEDDQLLQTELPSFMQEFSGVFPEYAQNSTNGLQVDNFMGSYSEDFEDNKLLINWNNFPNYLVNSPIDCIN >Solyc04g072390.3.1 pep chromosome:SL3.0:4:59503983:59507394:1 gene:Solyc04g072390.3 transcript:Solyc04g072390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLFGVGTARLFCFEGIYTKSTVIVGYIFRTRSFSTMNEVGIDSASSLHTVKSVGEAPVLRPVSVKAKCSSNVQSTPEIEKKYVHGVYDAIAPHFSSTRFAKWPKVSAFLSSLSPGSLILDSGCGNGKYLGLNPDCFFIGCDISAALINICADKEQEVLVADAVNLPYRTGYGDAAISIAVLHHLSTESRRRKAVEELVRVVKKGGCVLITVWAREQEDSSLIEKWTPLNQRYVEEWIGPGSPRVRNPSSPRILESIPEAEENSAGEQLKGLHANSSKVKSAEVMHPTSLDEGHSLPTGSGKGYLEQQEFFVPWHLPYHRAEVSGASAVALASGLAKKDDKKGSVVYNRYYHVFSEGELERLVSGLDNAMLVDRFYDKSNWCIILEKTS >Solyc05g054240.3.1 pep chromosome:SL3.0:5:65047238:65058747:1 gene:Solyc05g054240.3 transcript:Solyc05g054240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEVSVKENEFVVLSISEVSSSSSTSFSKSEPVFARFRSGSGAPELRFGQESQSGADIVINLRGSQLFRLGPAESLFISEVFEDNKETGYSRGISIQFKNKEESRSFHCAFEQWKTEMVVQGPPLPNGAVSTSTSKFDEKIEASSAKMYFHYYGQLLHQQNMLQDFVRTGTYYAAVIENRADFLGRVVVDVGAGSGILSLFAAQAGAKHVYAIEASEMAEHAKKLIAGNPSLNERITVIKGKVEEVELPVKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPAVGRIHVAPFSDEYLYLEIANKAIFWQQQSYFGVDLTPLQRSAYEGYFSQPVVDAFDPRLLVAPAISHAINFTLIKEEDLYEIEIPLKFACSVSTRIHGLACWFDVLFNGSTVPRWLTTAPGAPTTHWYQLRCVLSQPLYVMPGQEITGNFRLVAHRAQSYTIYLTLSATVGDMLQTSSGKLDLKEPYYRMSQPQAYSSAQEPNQLLQTQDVLTQSWVEDGLVPVQQPSPNHGVELQTL >Solyc06g072140.3.1 pep chromosome:SL3.0:6:44603673:44620033:1 gene:Solyc06g072140.3 transcript:Solyc06g072140.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLDQWITKVKEGQHLAEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFHTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDVRTIDQIRVIDRNCEIPHEGPFCDLMWSDPEEIETWAVSPRGAGWLFGSRVTTEFNHINKLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGFPYAPFHHSWKQGVRKELLVSLIESPFVNYNFQQVGFEIVYSGGMIGKHIYAMVKGKLILICQSGGEFVNDVDGNLSYKGGEANAVNINQDTPYDDLKIKLAELCNLELTTVSIKYFLPKNRKTLINLRSEKDFKRMVEFHANSVTAEIFVSGKEGFDHDALNTYNERTIALKLAENVNHHGTPAGAADSGGLSTTPSKASLLRTVRTAAVSPIAIQNDCLVDVHISCQEPAINMAAESLSQTTTSSNPSSGHVAEEDSDYAPRSRAAVSSTAQSPISFDYDATPADTVKKRRRTASWKIGANGPTIVVTDNDSKEKSRKKKSRSSTGVMVGNDMEDEDGVELPDNFDSSSPITLRDEDLPEKLVATWKEGITGVDQDFKSVKEFRAALQKYAVAHRFVYKLKKNDATRVSGRCVVEGCSWKIHASRVPDAQTFRIRKYNDLHTCEGKSWKSSHRTRNWLVSIIKERLRDSPNDKPREIAKSILRDFGIKLRYSQVWRGMEDAKEQLQGSYSKSYNRLSWFCEKVVNTNPGTVVKLVLDDEKRLQRFFFSLHASIHGFKHGCRPLIFLEATSLRSKYKETLITATAVDADDCFFPVAFAVIDIENDDSWRWFLEQLKSALSTSHSITFISDREKNLKNSVFEVFENSSHGYSIFHLLESFKRNMKGPFHGDGRAVLPEIFLAAAHAVRLNGFKSLTEQIKQICSHAYDWLNQIEPECWTSLSFKGQHYNYITENVAEPYSKLIEDSRGSTIMQKIEALICMLSDLIDHRKLESSTWSTKLTPSKEKKMQKEAAKAHGLKVLISSDVLFEVHDEMTHVVNIENRECTCFEWKQSGLPCCHAVAVFNSIGKSVYDYCSSYFTVESYHFTYSASVNPIPGIGTADEEDGESDTADVLPPCPPELPIEEKPEQTKTMDPDKRTVTCSKCKEPGHNKASCKATL >Solyc10g009430.3.1 pep chromosome:SL3.0:10:3483442:3490037:1 gene:Solyc10g009430.3 transcript:Solyc10g009430.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MESSMGEGLRSRSSGLKAIETKMKGGIEEYDMVTVEDEPLSPMARLFHDRRFDVHAVAFMASKTRISPQPVKEKLVHTLLKHPRFTSLMVVDEENLIDMKWVQTKIDLDQHIIIVEVDETQLVSPDKFVEDYIYNLSKTSLDRSKPLWDLHIINVKTRQAESVVVFRVHHSLGDGTSLISLLLACTRQTADNLKLPTIPTKKRRPTPSGYSTKEGLWRLWGKIWLFMVMVVNTTIDVYMFIITIMFLKDTKTPISAPPDYESNKARRIVHRIISLDDLKFVKNAMNVTINDVALGLTQAGLSKYLNRRYAIGGKDKGATERNNNLPNGIRLRSCLCFNLRSSAGIEDLANMMENGSKGKRGWGNWFGYALLPFKIALRDNPLDYVKEAKATVDRKKRSFEALSTLIMAQLLIKFLGIKVATEVTCKGFSNSTICFTNLVGPQEEIGFCGYPITYFAPSAYGQPSALMINFQSYINKMIIVVSVDENAIPDPHQLLDDFEDSLNLIKNAVIEKGLV >Solyc07g064490.3.1 pep chromosome:SL3.0:7:66745659:66752430:1 gene:Solyc07g064490.3 transcript:Solyc07g064490.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKLFPWRRLTIQAHGTLYSKIPSLSFINYSPSLFHSQPEQDDIFDPPFSPPLKTHKPKKSITEKHENAASNEDSEKAHKFTVNSDLPFDFRYSYSETNPAVEPIGFREPPRFSPFGPGRLDRKWTGTSAPRLQPVDLEKVAEERRRILGEPLSEEETAELVEKYRHSDCSRQMNLGRGGVTHNMLEDIHNYWKKAEAVRIKCLGVPTLDMDNVCSHLEDKSGGKVIYRHINILLLYRGRHYDPKSRPTVPVMLWKPLAPIYPKLVKNVAEGLTFEETKAMRNKGLNAPPLTKLSRNGVYVNVVGKVRAAFETEEVVRLDCTHVGASDCKRIGVKLRDLVPCVPILFKDEQIILWRGKRDEQNRTPAPEWNLSSQMTFEISSFHLGARHSQSSFGK >Solyc11g011040.2.1 pep chromosome:SL3.0:11:4089545:4094695:-1 gene:Solyc11g011040.2 transcript:Solyc11g011040.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGLWDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNAIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPITRTELHELLKKPSLTGIPLLILGNKIDKSEALSQQSLVDQLGLDSITDREVCCYMISCKDSVNIDAVIDWLIKHSKTAK >Solyc11g056390.1.1.1 pep chromosome:SL3.0:11:45642012:45642194:1 gene:Solyc11g056390.1 transcript:Solyc11g056390.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRQTGQKLLITRRLLQSFKCQPVGRDLTFSLLYEYAYKSTANPFEQDSCKLGSVVLEGD >Solyc02g021670.2.1 pep chromosome:SL3.0:2:23974137:23975666:1 gene:Solyc02g021670.2 transcript:Solyc02g021670.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRNSSSLSWERRLKIAIGAARGLDFLHTSRNRVIHRDIKSSNILLDENWASKISDFGLSKMNQLLMLVHKSKSYNAKIADFGLAKQVTWVSQSHVSTRIVQVTSSYAAPEYIGTWKFYFS >Solyc04g018193.1.1 pep chromosome:SL3.0:4:11207808:11226949:-1 gene:Solyc04g018193.1 transcript:Solyc04g018193.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKDLGAAWKILGMEIIRDRERRKLFLSQRSYIQKVLARFDMSSSRPIDTPSAANIHLTAMFAPKSEEEKEYMSRVPYASAVGSDEIDEGDESRSEDFT >Solyc04g050240.3.1 pep chromosome:SL3.0:4:46244577:46260641:-1 gene:Solyc04g050240.3 transcript:Solyc04g050240.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNYIIGAFKPACNISITFGDGKSRKQVPLKKENGQTVMVPLFQSQENIAGKISVEPVSGKKVEHNGIKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYILKITISRGYAGSIVEYQDFVVRNYSPPPSINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITMFRLEETS >Solyc08g075038.1.1 pep chromosome:SL3.0:8:59330771:59331885:1 gene:Solyc08g075038.1 transcript:Solyc08g075038.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVLIYVDDLLVTRNDHKLILEAKSILKDRFKIKDLDELRYFLGIEFARNELGILIHQRKYCLELMSDIGLSNSKTVRTPIELNQKLKTTEFDLHFPTDNEDDRVLDDPNVYQKLVGRLFYLTITRPDIAFAVQLLSQFMHSPKTSHMEAAMRVVRYVKQAPGLGILMTINTNNQLIAYCDADWVACPNNKKSITATWLHMETTDVRDGNGKLHRGYIAETIKEVIFGKKIGENLRKKVNSLRENIRLLREEHMDGVAKVIKQLHEKKNQSKNA >Solyc10g006560.3.1 pep chromosome:SL3.0:10:1112151:1113245:-1 gene:Solyc10g006560.3 transcript:Solyc10g006560.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGRGKTLGSGTAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGGSKPSADED >Solyc05g015553.1.1 pep chromosome:SL3.0:5:11418255:11419947:1 gene:Solyc05g015553.1 transcript:Solyc05g015553.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRSNPRSWLGVGFRGRSRNSGLGDVVCSQDLEWGEDPVCQIKVLSRVPCRIRSWDLSINYQIMVVVTCLTKFPNEDNTYFQQLVVLADVNKVELSTKVS >Solyc03g119675.1.1 pep chromosome:SL3.0:3:69719516:69720788:1 gene:Solyc03g119675.1 transcript:Solyc03g119675.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSKTETLPELQRDNEWKDHAMKSGMNIVVSIPACHAGDPGSIPGNGVRFCPAGDSGFPDSSSRNGN >Solyc04g072520.2.1 pep chromosome:SL3.0:4:59604949:59606639:1 gene:Solyc04g072520.2 transcript:Solyc04g072520.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEGKGSSNGKSLGGRTLVNNENIKSQCLYSTSATSALVKYLVDSLGFSKQEASLASSKVKKLVFMAPKLLYHDVNKTLKPKFQCLMDLGLSGSDLAKLTTKDTTIVEKGLVTHLRPTIDFLRKIMGSDEDVVKAIKKSSWLISFNAHQIMKNNVVLLRNSGVSDVKIRKLVLICPHYLTQKPEWVKDLLHRLEKDFRIPLHSPMFPYGFHTLAAQKKSKYENKIEIFKSFGWSNDYVLMMFRKLPYCIALSEDKIQKALSFYMNRLGCEPAYLASHPSILVFSLEKRVVPRMQVLKILDEKKVERRKLGFYYALTITETKFMDYFVLPYKDQIPDLYEQLNKIVAP >Solyc04g056390.3.1 pep chromosome:SL3.0:4:54104178:54107994:-1 gene:Solyc04g056390.3 transcript:Solyc04g056390.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRGIDGNKPMSLTTASASLQFLRRFIASPITSHSSLRLPKSSLLPNNTLPVSSLRCRFRCYSAASTTTMADAISDANMDAVQRRLMFEDECILVDENDHVVGHDTKYNCHLMEKIEAENLLHRAFSVFIFNSKYELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIEENSLGVRNAAQRKLLDELGIPGEDVPVDQFIPLGRILYKAPSDGKWGEHELDYLLFMVREVNMKPNPDEVAEVKYVNREQLKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHLEKGTLKEVIDMKTIHKLT >Solyc09g005860.3.1 pep chromosome:SL3.0:9:602135:604963:1 gene:Solyc09g005860.3 transcript:Solyc09g005860.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDSSVVQQNVCVKKMTKQLTGKRGDTQIHSVVRSGNLELVLEIITCCNEAELIELLSKQNQSGENALYVAAESGDLVLVKELIKYYDIGLASIKARNGYDAFHVAAKQGDFEMVKVLLEAFPQLSVTFDQSNSTALHTASAQGHIDVVNFLLETNSSLATIPKNNGKTALHSSARNGHVAVVKALLSKEEGILNWRDKKGQTALHMAVKGQSVDVVNELILSDPTLATIIDGKGNTALHIATRKGRVEIVQAVVKDKRMKWDAINKSGETALDVAEKARNSEIAAILKEHGVLTAKNMKLALPTRSAKELKQTVSDIKHDVHNQLEHTFQTQKRVKNIAKRLNKMHTEGLNNAINSTTVVAVLIATVTFAAIFNLPGQYTDNPKEIPPGYSIGEGRIAPQPPFVIFFIFDSLALFISLAVVVVQTSIVVVERRAKKQMMAIINKLMWLACVFVSVAFLALSYIVIGKDERWMASAVTFMGTFIMVATLGTLCYWVVMHRIESSNLRSQRKSARSSKTLSRSVSIMSESEIPEDQYKKLYAI >Solyc05g010330.3.1 pep chromosome:SL3.0:5:4476837:4481016:1 gene:Solyc05g010330.3 transcript:Solyc05g010330.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding SKLNGISDSHLSLDYYKKTCPSVEGIVRKEMECACLSDPRNAALILRLHFHDCFVQGCDGSVLLDDTVTLKGEKNAPNNKNALKGFKIIDRIKNRIESECPGTVSCADILTIAARDAVLLVGGPYWDVPLGRKDSKKAGYELTDTNLPTADEGLISIISKFISQGLSVTDMVALSGAHTIGKARCVNFRNRIYGDFKMTSTSYINPISSSYLTKLKSLCPPIEINGSSDNNETSMDNITPNLFDNSYYHVLLKGEGLINSDQQLYSSFLGIQTKKIVEKYATNTIAFFEQFAESMVKMGNITNPETYVNGEVRKSCRFVNT >Solyc07g063150.3.1 pep chromosome:SL3.0:7:65846781:65853506:1 gene:Solyc07g063150.3 transcript:Solyc07g063150.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTGWSLGLRTVSDGSLQQLAQNGVLYSHSNSFVSRKGSKISLSTYREKEKFLPSIFRFLVRKQVGMLILAAFALLAFLTAFWMVNKEDASRSSELDFKEWFENSTYHLSALLPGKVVVCGEHNAQLPPPHALSMIQTKDSTAFPDPCRNFAFPPPPPGDRRRIGPRPCPVCYVPVDQAIARMPRVPSVSPGLQHLTYFHEQIPMKTEPHRGSAFGGYPSLAERNASFEIKESMTVHCGFVQGCRPGDRTGFDIDAADLKEMEQFHDVIVASAIFGNYDVIQQPKNWGKTTREKVPFYMFVDEETEASLKNSSLLDSRNRVGLWRIILVRNVPYSDARRNGKVPKLLLHRLFPNVRYSIWIDGKLQLIVDPYQILERFLWRQNATFAISRHYRRFDVFEEAEANKAAGKYDNVSIDHQIDFYKKEGLTAYSEAKLPITSDVPEGCVIIKEHIPITNLFTCLWFNEVDRFTSRDQLSFSTVRDKLMAKVSWNVNMFLDCERRNFVIQAYHRDLLEQRAHMTVVRSRAHPPPALVRDSSSSKPPVRRPPKRGKGERKSNSRRHRKVGSGSKGSSTTF >Solyc11g007260.1.1 pep chromosome:SL3.0:11:1651096:1651896:1 gene:Solyc11g007260.1 transcript:Solyc11g007260.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKTALLHWEDAILEKHHQYGNVHAVEKLRQSIEIWYATSEYLRQEMNPLDRRANKRYVGTTNQSTKTDGMKERKSCSAARPEEDRPAPIRIDQLNSVRLMGRRLLLLFEPGFETGLRGITITATIDPSRPESVVFL >Solyc10g079300.2.1 pep chromosome:SL3.0:10:60970466:60984164:-1 gene:Solyc10g079300.2 transcript:Solyc10g079300.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEAELEKLALIVSSYDIEALRKVGGVEGVASWLSVSLNVGVKTSDVSYRQNVYGSNKYTEKAFKRFWTFLWEALQDITLIILMVCAVVSISVGFATEGWPKGTYDGLGVLLSIFLVVVVTAISDYRQSLQFRDLDKEKKKILIQVTRDGSMQKVPIYDLVVGDVVHLSIGDLVPADGIFVSGYSLLIDQSSMSGESAPISIYEGRPFLLSGTKVQDGSAKMLITTVGMKTEWGKLMERLAEGVEDETPLQVKLSGVATIIGKIGLAFALLTFMVLTVRFLVEKVLHHELMKWSSGDAMTLLNYFVTAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDNKALVRHLSACETMGSATCICTDKTGTLTTNQMVVNKIWICEKTKKVETDAGRDAITLNIRENEMTLLLQAIFHNTVAEVVKDKGGKKSILGTPTESAILEYGSLLGGDIDKQRRGCKLLKVEPFNSEKKKMSVLIALPDGNKRAFCKGAAEIIFKMCDRFIDLNGEIVHLTDNRKRNIMDVINDFTGEALRTLCLAYKDIEDGYENDNIPDSGYTLVAVVGIKDPVRPGVKNAVKTCLAAGITVRMVTGDNIKTAKAIAKECGILTADGLAIEGPEFRNKTPDEMRHIIPRIQVIARASPMDKLVLVNNLKGMFNEIVAVTGDGTNDAPALNEADIGFAMGIAGTEVAKESADIIVLDDNFSTIVNVAKWGRSVYINIQKFVQFQLTVCVVALIINFISACISGSAPFTAVQLLWVNLIMDTLGAIALATEPPHEELMNRPPVGREVSLISKTMWRNIIGQSIFQLAILLVFNVTGKQILRLEGSDATIVLNTFIFNTFVFCQVFNEINSRDIEKINVFRGIFGSWIFVGVITSTVVFQVIIVEFLGTLASTTPLSWKLWLLSVLIGAASLIVANLSKRKEDQTNAIWNGSFSYSKISVLALCFHIEKSKGHTYGLFGQGSSAGLKHDSLSFSVTAGVRQAGAGEPPAMLQNEDVRFTSSSIKLPLFSPPPLHHHTPNPFFANLHLVVQNFPKFPHPFCQNLNPRAAFLRTLSKFQHPFHQKFNPQNAILQFLRKPIIPFPWKLSNTSPLLCCASIALSQSNLDDSAPSLGTKTGSGNEERVLISEVLVRSKDGEELERKDLENEVLNALKACRPNSALTVQEVQEDVHRIIASGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGANVLPAKFIEDSFRDGYGKIVNIKRIDEIISSINGWYMERGLFGAVSGVEMLSGGMIRLEVSEAEVNNIAIRFLDKTGEPTVGKTRPETILRQLTTKKGQVYSMLQGKRDVETVLAMGIMEDVSIIPQPSGDTGKVDLVMNVVERKSGAGISAGGGISSGITSGPLAGLIGSCAIYHKNLFGRNQKLNLSLERGQVDSVFRINYTDPWIEGDDKRTSRSIMIQNSRTPGTLVHNQPDGSLTIGRVTAGIEYSRPFRPKWNGTAGIIFQRAGARDDKGSPIIRDYYSSPLTASGNTHDDMLLAKLETVYTGSGDPGSSVFVFNMDQGLPVWSDWLVFNRVNARARKGLALGPMHLLLSFSGGHVVGNFPPHEAFAIGGTNSVRGYEEGAVGSSRSYVVGCGEISFPLTGPVEGAVFADYGSDLGSGPSVPGDPAGPRRKPGSGYGCGVGIRVDSPLGPLRLEYAFNDQRTGRFHFGVGLRN >Solyc03g061610.1.1 pep chromosome:SL3.0:3:32990530:32991683:-1 gene:Solyc03g061610.1 transcript:Solyc03g061610.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVIEIPLGKGRPVKAAQLSKLSNELGIIARNCLAVQNKWKELTTKEKDFALFRFNVQNWDTQKVLVIVQNLILLEQLK >Solyc05g056080.3.1 pep chromosome:SL3.0:5:66310492:66313406:-1 gene:Solyc05g056080.3 transcript:Solyc05g056080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFFSRNTSDDSSGFQQDIARCPFLTNINEPTNFSFSSPIGLPIPVRGGKGPIFEDGPNFDMAFRLFHGQNGAVPLSGRLSITNEKPDPESVARHFNPLVAKAATISLSSFGFGGPFGFDSFSEKWKNQKKKSESSKRQGGDSEHEALSNEWLQNGNCPIAKSYRAVSTVLPLVAKVFQPPPGVKLKCPPAIVAARAALSRTAFAKNLRPQPLPAKILVIGVLGMAANIPLGVWREHTEKFSPSWFAAVHAAVPFIAILRKSILMPKAAMAFTIGASVLGQVIGSRAERLRLKTVAARELVPTENSTPGHIHLVVDGARAGCCNEIVASNKVPLHASFLSPASVVS >Solyc03g006050.3.1 pep chromosome:SL3.0:3:743368:745666:1 gene:Solyc03g006050.3 transcript:Solyc03g006050.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLCQTPRLSSTMASSSPDPFFLLIFFTLFLTISTSNSLSSSSPSPLSSPSPKPSPISSPPTHSSSSALDPKQLKALQSLNIPTGKSPCSPSHNTTTICDSSTPFSHIVSLNFINCSDDVALSLTALKSLSTVKDLGFYNCPISPIRLPSQLALNLKSFTCVSSLKKLTGVWLSKLVNVTDLTVSHVSIVASGPSIIMNSIKNLHSVTISNANLTGYLPKHWHSNLSYVDLSGNKLKGRIPTSLTELENLVYLNLSSNSLNGTIPASFGDLSSLQNVSLASNSLSGSVPDSFAAIPGLVHLDLGSNQLNGTIPKFISDMKGLKYLNLERNNFHGVLPFNASFIKKLAVLKVGENSNLCYNHSTLSSKVKLGIAPCDKHGLPMSPPASKDINSDDTDDSDDYADDESQHQEHSHGPSKVVLGISIFI >Solyc05g012920.2.1 pep chromosome:SL3.0:5:6044414:6053988:-1 gene:Solyc05g012920.2 transcript:Solyc05g012920.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWVSSIRLASCRKFFKNIQFIRLKSASSVAQLSPYLSDSSSDEQIGNTHMKNNELSNNTIEVNSYWVTEMLNSLREEPNDALSFFRQLKESGFKHDIQTYMAMIRTFCYWGMDMKLDSLFLEVINLGKKGLGFEVSDLFEELVEGLNAEGPNSLVRALDGLVKAYASLRMFDEAIDVLFQTKRCGFGLSVLSCNYLMNRLVEYGKVDMAVAVYKQLKRISVSPNVYTYGIVIKALCRKGNFEEAVGVFEEMEKAGETPNEFTYSTYIEGLCSYGRSDLGYDVLRAWKGVNLPLDVYAYTAVIRGFVNEKKLQEAEMVLLDMEEQGMVPDAVSYGAVINGYCTAGNISKALAFHDKMETRGIRSNCVIFSLILQCLCKNGKACDAVEQFSSFKKKGIFLDEVAYNGVIDALCKLGRFEEAEKLLDEMKDKRMTPDIVHYTTLINGYCLHGQILDAMGLFDEMKQKGLKPDIITYNVLAGGFSRNGLVKEALHLLDHMKGQGLMPTTVTHNVIIEGLCIGGYGEEAELFFDSLENKSAENYAAMVNGYCELGNTKDAFELFVRLSKQGALIKRKSRLKLLSSLCLEGEYGKALKLFEIVLSLGDGTCKIMCNKLIASLCSAGDMKRARWVFDNLVWRGFTPDVVIYTMMLNGYCRVNRLQEALYLFDDMKKRGISPDVITYTVMLDGYSKNLKRDRLSSDTRRNGRERKDTGSVFWTEMNGMELTADVICYTVLIDSHCKSDNIDDAIHLFTEMIDRGLEPDSVTYTALICGYCKQGHVEMAKELVNDMWRKGIQPDSHTISALHHGIIKAKKLHLRHDNNSAQNRRLQVI >Solyc07g045270.2.1 pep chromosome:SL3.0:7:58513532:58515375:1 gene:Solyc07g045270.2 transcript:Solyc07g045270.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNWKVDLFCLILLLVFMLPYYHCYLMLCNSGVRKERAAVGAVLFLLAFLYDFWRLGILWLSIDDKPEK >Solyc04g080080.3.1 pep chromosome:SL3.0:4:64449336:64451638:-1 gene:Solyc04g080080.3 transcript:Solyc04g080080.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Glycosyltransferase [Source:UniProtKB/TrEMBL;Acc:K4BV87] MAGRREKYGQSIRGSRIAGAIAIGVLLGCVFAFLYPNGFFSPDPRSQSHPVSKSNLQVDLPNCESTEGVNMMKSEYRKLTEKNAELQKQVRELNQKLQAAQQGNGRTQEQLVVSSQPQKAGPFGTVKSLRTNPPVMPDESVNPRLAKLLAEIAVGKEVIVALANSNVKSMLEVWFNSIKKVGIPNYLVVALDDAIVEFCKENDVPVYKRDPDENVDFIGKSGGNHAVSGLKFRILREFLQLGYGVLLSDVDIVYLQNPFDHLYRDSDVESMSDGHNNMTAYGYNDVFDEPSMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVADRLTTQPNSWDQAVFNEELAFPSHPGYIGLYASRRTMDIYLFMNSKVLFKTVRKDANLKKLKPVIVHVNYHPDKFPRMKAVVEYYVNGKQDALDAFPDGSV >Solyc09g005840.1.1.1 pep chromosome:SL3.0:9:585423:587333:-1 gene:Solyc09g005840.1 transcript:Solyc09g005840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Exocyst subunit Exo70 family protein [Source:UniProtKB/TrEMBL;Acc:K4CQ74] MARRRIGMREICCSPKSSSKSHDSPNSPSTSLFSSPSRFSFSPSRPSFSDSVMNRTLEMAEPMIMKWDPDTTNYAKVTSLFYESRGEANDFIKGVFNLQKAMHFHSMENSKSDKLVRAQSLMQIAMKRLQKEFYQILSMNRAHLDPESITTVSSRTSTRSSLSEFEEEEEDDRIVAVAGESISEVEDVSNVAMADLRLIAECMISSGYGKECVKIYKVIRKSIIDEAIYRLGVEKLSSSQVHKMDWEVLDLKIKDWLRAADVAVKVLFNGERILCDHVFLSNDSIRESCFTEISKDGSMILFSFPEIVAKNCKKSPEKVFRLLDMYTAIAEHWPEIEAIFSSDSESVIRSQALTSHDKLGESIRTALAEFETVLQKESSKTPIAGGGIHHLTVDVMDYVTLLADYSNVLPDILAESPPPAKGSLPESYFGIADTNDSPAPAISLRFAWLILILLCKLDGKAKHYKDVSLAYLFLANNLRYIIVKVRSSNLKYLVGENWISKHDEKVKQFASNHERLGWSHVIESLPREPNTSMTPQEVKEIFKRFNSSFEQAHRKYSMCMVSDSNLRDELKISITEKLLPTYREFYNKHRNTIVKERHSAHVVRFSPEEVGHRLSDLFFGPIELERCLSFEFSPSR >Solyc12g042720.2.1.1 pep chromosome:SL3.0:12:59089107:59089721:1 gene:Solyc12g042720.2 transcript:Solyc12g042720.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAGKSVAKAVGRYQYPWQEKLAKYKDELSKGVWGYWELGAWKPLGMSARHRARLRKEVVVAGQDWPYDPARKEMRTKQKGHKCDRISAEKRAKTAELMQKMPEMLADYRKRKWERKMKAEEDAARKSLQE >Solyc08g041820.3.1 pep chromosome:SL3.0:8:25716213:25720524:-1 gene:Solyc08g041820.3 transcript:Solyc08g041820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDMPDQNSPILMTSLPEQDVKPLEHQQPPPTSLNREILLPQTQYTGESNSNQWLSRSILQRNIQASNDSDLTKDEFGESEAVNWQNAGYKSEILAHPLFEQLLSAHVACLRIATPVDQLPRIDAQLAQSQQIVGKYSGLGHGNLSDDKELDQFLTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDEDEQVDSDANLFEGSLDGHDSMGFGPLIPTESERSLMERVRQELKHELKQGYKEKLVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKAKLVEETGLQLKQINNWFINQRKRNWHSNPSSSTALKSKRKR >Solyc10g079990.2.1 pep chromosome:SL3.0:10:61545954:61551893:-1 gene:Solyc10g079990.2 transcript:Solyc10g079990.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLNSLLLKKNLKSIFSISASHNSGRVRSGGMGDLYCSDCKRNTEVVFDHAAGDTVCSECGLVLESRSIDETSEWRTFADESGDHDPNRVGGPVNPLLGDVGLSTVISKGPNGSNGDSSLARLQNRGGDPERALVMAFKAIANMADRLSLVSTIKDRASEIYKRLEDQKCTRGRNLDALVAACIYIACRQEGKARTVKEICSIANGATKKEIGRAKEFIVKQLKVEMGDSMEMGTIHAGDYLRRFCSNIGMNHEEIKAVQETVKKSEEFDIRRSPISIAAAIIYMLTQLTDSKKPLRDISIATTVAEGTIKNAYKDLYPHASKIIPQWYLKDKDIKSLSSPKA >Solyc03g065250.3.1 pep chromosome:SL3.0:3:43244895:43248257:-1 gene:Solyc03g065250.3 transcript:Solyc03g065250.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNNMGHCNFEIIPKWMFSTFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPIYDYIYGTLDKSSDTLYEKSLERQGKSPDVVHLTHLTTPESIYHLRLGFASFASQPYTSKWYFWLMWPVTLWSMMVTWIYGHTFTVERNVFNNLNLQTWAIPKYRVQDEKLNKNGEVYIRRHPQLKVKLVDGSSLAVAVVLNSLPKGTTQVVLGGHLSKVANAIALALCQGGVKVMTLREEEYKKLKSSLTPEAATNLLLSKTYTSKIWLVGDGLNEDEQLKVPKGTIFIPFSQFPPRKTRKDCFYFHTPAMITPKHFENVDSCENWLPRRVMSAWRIAGILHALEDWHEHECGNLMFDIEKVWKASLDHGFQPISVVSASESKA >Solyc10g054590.2.1 pep chromosome:SL3.0:10:55618104:55623765:1 gene:Solyc10g054590.2 transcript:Solyc10g054590.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:V-type proton ATPase 16 kDa proteolipid subunit [Source:UniProtKB/Swiss-Prot;Acc:O24011] MSNFAGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKTKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Solyc01g065577.1.1 pep chromosome:SL3.0:1:71764127:71764709:1 gene:Solyc01g065577.1 transcript:Solyc01g065577.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIRGTSFYTISKTKGLNPMDILKNIQQKTKKSTIHFTSSNTWSNSRYNSKSKTCRWIESSSSH >Solyc01g079615.1.1.1 pep chromosome:SL3.0:1:78584364:78584372:-1 gene:Solyc01g079615.1 transcript:Solyc01g079615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding KE >Solyc04g071280.2.1 pep chromosome:SL3.0:4:58297926:58299734:1 gene:Solyc04g071280.2 transcript:Solyc04g071280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVVISTRIDKFGRVLRVVGQRFLSSSLVHSVSETKDEVETIARIINDHPFPVQPLQPMLKRHVSSSILSTMFVENVIGRLFAAHANGLKAYEFFEFCLNHPEYSPTSDAFEKTLHVLARMRYFDKGWELMKKIQQLQPSLLTLKSLSIMLSRIAKYQSYEDTLEAFEKMEQHLFPGKKFGTEEFNILLRAFCSQRQMKEARSVFNKLHSRFPPDTKTMNILLLGFKESGDITAVELFYHEMVKRGFKLNSVTYSIRIDAYCKKGRFGDALKLFEEMDRVNCLPTVETITTLIHGAGIARNISKAKELFNELFKRNFQPDTGAYNALLSSLTKSRDVKSAAVLMDEMEEKNIEFDNVTYHTMLWGLIRSNDVGGVIDLYERMVDKNFLPKARTVVMLMKFFCENQRVDLGLSLWNYLMNKGHCPHCHSLEILVTGLCSRGRVEEAFECSEQMLKRGRHMSELVFQMLKRFFLQLGEEEKLQKLYQMTKRLEMILPPNGQTVVSCLIYLILHIAEIFLWNLRNNDIFMIFPFVWVQFFVAVTYSCFMTTETVYRIFFCKERLCFYCVV >Solyc03g080160.3.1 pep chromosome:SL3.0:3:53479929:53485122:-1 gene:Solyc03g080160.3 transcript:Solyc03g080160.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVKKLERSDCVTPLVVDLSDSSTDEGTPLRPVFCLKKREQLKEFEEKEECFILDFDPYESVDISKLSVSNSRDASDLSVLAEKGEVACRDFPHPRHDCAKHPFWKTPHQDYCDMCYCYVCDVAAPCKSWIGNSAHCHAMNNEAWKALRNAPKKRICVENDAGGNSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNVLFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMGSVMAKPDVSGSNAAAEADEEEEVDETGVEPRDIDLVLTQAGVSRTKAVKALKAHNGDIVSAIMELTT >Solyc09g064645.1.1 pep chromosome:SL3.0:9:62200439:62202547:1 gene:Solyc09g064645.1 transcript:Solyc09g064645.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALHILIDTGSSHNFIGSDLVKKLGCEVKSINTEVVAAANGSSCGVVLGVQWLLTLGDVKMNFRSLTMEFWYRGRKHLLRGSGSHVLTSSVSKHSGNQSQLCMIQVVPQGSDEMKGHLLENEKQAEENPAILEVLSEFSALFDDPIGLPPSRVELSMILQRRINSKWNSTAQQAFVQLKEALTQAPILALSDASKTFIVETDASGYGIGVALMQEGRLIAFISKPLYHRHVALTDQKALKFLIEQKLHSNSQLLWLTKLMRFDYSIEYKRGIENKVTEAFSRELHQLITELEVDPTSHKQFTWLQGQLRRKMKLVIGNVQSLRTDIVTLWHAGPHGGHSEVEATLNRLLTLFY >Solyc11g032076.1.1 pep chromosome:SL3.0:11:24786086:24786843:-1 gene:Solyc11g032076.1 transcript:Solyc11g032076.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAVFKGTKQMVSLTTDTWPSIQRINYMILHKRIINFCPITSHIGEDLGKSIRNVQIIELSKQLTKWGTNLMGGSHLYIRCMAHIENLIVQDGTKEENVPIERVRQEVRYIRQSPARWKKFLECCENENLAKKSLCLDVPTRLNSTNMMLKRVIEYKGAIVEYADLNIGLTLHLNDWEGVKQITKYLEMFSNLTLKISGSQYVT >Solyc07g041030.1.1.1 pep chromosome:SL3.0:7:51742469:51742807:-1 gene:Solyc07g041030.1 transcript:Solyc07g041030.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKKMSASSSISSPEELQSRTCKCEFLARYYTATTLENGRRRFYRCRRVGSNFCGYWNWIDDKLPRHVSTMIHNQKVELDSIRKGKNHLKKIVEDMGGIADSYLKEMTADEMF >Solyc02g085570.3.1 pep chromosome:SL3.0:2:49038188:49044353:1 gene:Solyc02g085570.3 transcript:Solyc02g085570.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQEYEGNGEDIENNGSSPYSDSKSQHTSRDPEKERGSSRSREKEREKGRDKDRDRDRNRDRDRDRDRGKERDKDRDRDREREKDRDRHHRDRHRDRSDRRERIRDRDEDDLQRTRDYDRRRDNDKDREDRQRHKPSSRGRSEHRSRSRSRSPSKSKRISGFDMAPPTSALLSGATDVAEGQVPGTTNPSIPGMFSNMFPLAAGQFGALPIMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSHVMYAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGVIFEGGPVKVRRPSDYNPSLAATLGPSQPSPNLNLAAVGLTPGSSGGLEGPDRIFVGGLPYYFTESQIRELLESFGQLRGFDLVKDRETGNSKGYAFCVYQDVSVTDIACAALNGIKMGDKTLTVRRANQGTTQPNPEQESVLLHAQQQIALQRFMLQPGALATKVLCLTEVVSVDELKDDDDYQDILEDMRIECGKFGALLNVVIPRPNPNGEPTPGLGKVFLEYADVDSSSKARQGLNGRKFGGNQVIAVFYPENKFSEGDYEA >Solyc09g064680.2.1 pep chromosome:SL3.0:9:62253576:62255924:-1 gene:Solyc09g064680.2 transcript:Solyc09g064680.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding SWELLQKKVFQNKSCLSELYDVSLEVAKRCKGLPLVVILVAGIIKKKMEESWWHEVKDAIYSYLGESEEYSRGTVHLSYDNLPDYLKPCLLYMGMFPEDHNISASNLINLWIAEGFVQDVESGRLEEAAEGYLMDLISSNVIMVSKGGRYNGKVKYSQVHDIVLHFCLERSREEKFMLAVKGNYSNFRLSDWKESRVSFSFSDGLSEIASKTRKPFHQHLRSLRMTLIKGEVSNWSAFRQFSKLRLLKVLNLSSHRVGRLSSAALQALIHLKYLAVSARKFNFHPESHLPHIETLIVLCPFSRTVLPPIFWKMKTLRHVEINDVVFDLKNNKKWISEESSKLENLRILKQVAIKIGADDNVDVLLRRCPNLQELEIGILCDEDSVEICQLESLTQLQILRLSIDSFQLNVSKLRLPLHIKKLVLRGTRIESIVSSIGGLPSLEYLQLVLPTFIQLKEWCLGDVTFHKLKLLKLECLNISRWDASEESFPLLERLVIKKGHELEEIPLSFADIQTLKQIKLVQCKNKSLKASALKIKEEAEAIGGSDIIDLIVKDKGFEDAKKIITALKGKGISATEAAGFCWG >Solyc11g008200.1.1.1 pep chromosome:SL3.0:11:2442774:2444588:-1 gene:Solyc11g008200.1 transcript:Solyc11g008200.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTMNLSIRVLVSRWFMVFGTMLILSAAGATYMFGLYSGDIKSSLGYDQTTLNLLSFFKDLGSNVGILSGLINEISPPWVVLSIGAILNFFGYFMIWMAVTKKMSTKVWLMCLYICIGGNSQSFANTGALVTCVKNFPESRGAVLGLLKGFVGLSGAIITQIYHAIYGNDSKSLILLIGWLPAVISLVFLRTIRIIQVVRITHELKVFYRFLYMSLVLAGYLMVIIILQKKFNFTQMEYGLSAALVVFLLFLPLGLVIKEEINSWNAKKLALDSISKVKVVTENPKSEGPQLPLPAPAPAAASVITDTTFEKQDLDGIVEGKSKSSFDVDFKDSEVSISCWKTVFQPPARGEDFTILQALFSLDMLILFVATICGVGGTLTAIDNLGQIGTSLGYPKKSISTFVSLVSIWNYLGRVVAGFLSEYFLKKYKFPRPLALTITLIVSCIGHILIAFNVPSGLYIASIIIGFCFGAQWPLLFAIISELFGLKYYSTLYNFGSVASPIGSYVLNVRVAGYLYDKEAEKQLKILRRIRREGEDLNCDGVECFKLAFIIITLVTIFGAFISIILVIRTRKFYKSDIYKKFREEANKNLEMEMTLGQNSTSKG >Solyc04g077190.3.1 pep chromosome:SL3.0:4:62199786:62209783:1 gene:Solyc04g077190.3 transcript:Solyc04g077190.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRLCAFSFARRLFKPRTTHSQESKEKMEQSPIVNVEDNFDLQESKESMEKSPTVTANNNFDTQSATENEKENGSYAATNIILNHEFSDGLHSWHPNCCDAFVVPAGSGYHKGLAAKEGCCYAVVSNRKECWQGLEQDITSRVSAGSTYTVSACVGATGTFQGSVEVLATLKLVYQNSETSYLFVAKKAASEECWETLEGSFSLSTMPDQVIFYLEGPPAGTDLLIKSVVISCPSSTASDSSGTSSVYIDDDNIIINPQFDDGLNSWSGRGCKVALHDSMADGKITPMSGKSFASATERTQSWNGIQQDVTGRVKRKLAYEVSAVVRIYGNNVTTADLRSTLYVKAADNRERYIGIASVQATDKDWVKLQGKFLINDSPSQVVVFLEGPPAGTDILLNNLVIKHAAKAPPSSPPVIEDAGFGVNIITNTSLNDGTNGWFPLGNCTMSVQTGSPHIMPPMARDTLGAHEPLSGRYILVANRTQNWMGPAQMITEKVKLYLTYQVSAWVKIGQTSGPQNVNVALGVDSQWVNGGQAEISDDRWHEIGGSFRIEKQAAKIMVYIQGPVAGVDLMVAGLQIFPVDRRERFRHLKKQTAKLRKRDVMLKFSGSDSGNLFGTFVRVKQLQNSFPFGSAISRTNMDNEDFNAFFVKNFNWAVFGNELKWYWTEAQQGNLNYKDADELLDFCTKNNIQVRGHCIFWEVVGTVQAWVQSLNKNDLMTAVQNRLTGLLTRYKGKFPHYDVNNEMMHGSFYQDRLGKDIRVNMFKTAHQLDPSPILFVNDYHVEDGCDTRSYPEKYIEHILDLQEHGAPVGGIGIQGHIDSPVGPIVCSALDKLGTLGLPIWFTEVDVSSDNEYVRADDLEVMLREAYAHPAVEGIMLWGFWELFMSRTNAHLVDAEGDINEAGKRYLALKHEWSSHPHGHIDEQGQFSFSGFHGSYEVEVVTVSKKITKKFVVDKGDNALSSKENGNIILNHEFLDGLHLWRPNCCHAFVVPAGSGYRNGLTMAVVTKSTIWWQGLEQDITSRVSAGSSCTASDVSPVLCPYAILFSSLRGIDYESKQEMEKAPPTITLILSLIYHTCNDSCQSSKEDEKDTGNIILNHDFSYGLYLWNPNCCEAFVVPSGYHKGLAAAIVTNRRECWHGLEQDITSKVSEVVISCPSSSACDDADFGVSIITNTSLNDGTNGWFPHGNCRMIVQTGSPLMMPPMARHSLGAHEAAISW >Solyc03g071640.2.1 pep chromosome:SL3.0:3:20416728:20418362:1 gene:Solyc03g071640.2 transcript:Solyc03g071640.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding VHYDAMSVPMAIALPGCLLFGQPVIFAQLEHAKASKSLNCKLEIAGRTIKISSVAKDVQVQDAGAKIADFDDNQGGGLDQAKFPFTQTSDTQTEKQFCRKYS >Solyc04g015070.3.1 pep chromosome:SL3.0:4:5262315:5265365:1 gene:Solyc04g015070.3 transcript:Solyc04g015070.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGDKLGQIKVTVIKGRRLVIRDFKTSDPYVVLKLGNQTAKTKVINSCLNPVWNEEFCFTISEPAEVLKLEVFDKDHFKADDEMGNAHLSLQPLVAAARLRTILGVASEGTTLRKVIPNKDNCLAIDSSISWVNGEVVQDVWLRLCDVESGDIELKIKLSDLSCVVPAST >Solyc01g014300.1.1 pep chromosome:SL3.0:1:11949933:11950544:-1 gene:Solyc01g014300.1 transcript:Solyc01g014300.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MARKVAPDVAYMYNLYYTNSFIVTTKRLELELPRILTTNIIINLSNNRFEGHIPSIIGDLVGLRMLNLSHNRLEDDGLRGLPLSKDCGGDEWIRQATTRYGNWQEIVMGCGCGLVIGLSIIYIMFSNSISGMVFED >Solyc08g081925.1.1 pep chromosome:SL3.0:8:64956074:64958767:1 gene:Solyc08g081925.1 transcript:Solyc08g081925.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCCMLTGFSLSTDAIGSVLTTYLDKPRAYIGCMKSGEVFSQPEQKWYEPEWWKFGDGKSYFRHASGEIFAVSKALAQFISINRSMLRSYAHDDVSAGSWFIGLDVKYVDEGKFCCSSWSSEIELIHFEGNGRRSTLKVCMRSSLIGSPHLVHIENKSLEISFAAICIWCRVQRWLKFFTPIMKSRVIERAHTLVLDITMPSSRNVERVVGV >Solyc02g021250.1.1 pep chromosome:SL3.0:2:22800776:22801435:1 gene:Solyc02g021250.1 transcript:Solyc02g021250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHRKQLKEVKTETKDLEEHCNRIAVVDPSVTKRTITIRHDHEKLRRRYQLRSKQCKDVKLEKGEDTNKDKFYKGKGWREFVMVNNIKEDDTCDYSPSHNHSLLKYVL >Solyc05g010423.1.1 pep chromosome:SL3.0:5:4655837:4658080:-1 gene:Solyc05g010423.1 transcript:Solyc05g010423.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWAKIPRKYRDLNVNDLMESKGGKKKSSSSSSLFYEAPLGYSIEDVRPNGGVKKFRSAAYSNCARKPS >Solyc01g012560.1.1.1 pep chromosome:SL3.0:1:9611114:9611272:1 gene:Solyc01g012560.1 transcript:Solyc01g012560.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLVRPLLILALTKTLNTFLNIRPLVEPLVTPQTIKLRSIRELSELCAQKGY >Solyc10g078740.2.1 pep chromosome:SL3.0:10:60596455:60601017:-1 gene:Solyc10g078740.2 transcript:Solyc10g078740.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAANTTPGLQIQATKQCIPASQNVSKMSTMSFNFGSKRKSFTDFRSSSHISLAKPIHSFKLAPIKFERMVTKAMSGASEQVPVSGLPIDLRGKRAFIAGIADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDQSRVLPDGSLMEIAKVYPLDAVFDSPEDVPEDVKTNKRYAGSSKWTVSEVAESVKQDFGTIDILVHSLANGPEVSKPLSETSRKGYLAAISASSYSFISLLKHFLPIMNPGGATISLTYIASERIIPGYGGGMSSAKAALESDTKVLAFEAGRKHKVRVNTISAGPLGSRAAKAIGFIDMMINYSLENAPLQKELYAEEVGNTAAFLASPLASAITGATVYVDNGLNAMGVGVDSPAFTGLDIPKDNKS >Solyc06g051270.3.1 pep chromosome:SL3.0:6:34583839:34587168:1 gene:Solyc06g051270.3 transcript:Solyc06g051270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAVGVTEVVLAINYQPEVMLNFLKEFETKLGIKITCSQETEPLGTAGPLALARDKLVDDSGEPFFVLNSDVISEYPFKEMIEFHKSHGGEASLMVTKVDEPSKYGVVVMEESTGQVERFVEKPKLFVGNKINAGIYLLDPCVLERIQLRPTSIEKEVFPNIAAEKKLYAMVLPGFWMDVGQPRDYITGLRLYLDSLKKRSSPKLALGSHIVGNVIVDETAKIGEGCLIGPDVAIGPGCVIESGVRLSRCTVMRGVRVKKHACVSGSIIGWHSTVGQWARVENMTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Solyc07g055810.3.1 pep chromosome:SL3.0:7:63866013:63875874:1 gene:Solyc07g055810.3 transcript:Solyc07g055810.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSIMKILFLQSFSFSLFLVFFTVLAVTSKSKLPQEEVKALKVIAKKFGKRDWDFNKDPCSGEGNWSTAITVKGFESSVACDCSFNNNSTCHITSIALKAQNISANIPPEFAQLRHLKYLDLSRNYLNGSIPFQWASLRLLELSFMGNHLSGRFPKVLTKITTLRNLSIEGNKFSGTIPPEIGNLVHMEKLVLSSNKLTGALPATLAKLTNLTDLRINDNNFTGKIPKFISSWTKIEKLHIQGCSLEGPIPSSISSLTNLIDLRISDLKSGKSGFPPLDNLESVKILVLRNCLIHGEMPEYIGEMKKLKTLDLSFNSLSGEIPSTFVHLSKVDFIYLTANKLTGLVPGWILNRNKNIDVSNNNFTWETSPFECPRGNQNLVESYSALGQESNKTHPCLKQNFPCSEPIDRQKYSMYINCGGKEVTIKDGTKYTNYEADLEPRGASMYYSRHNWAFSSTGNFMDNDVESDVYINTNVSALQNVKAPELELYTTARISPLSLTYYGQCLMNGNYTVKLHFAEIIFTNDTSFNSLGERIFDVYLQENLVLKDFNIANEAGGPGKAIVKTFTVNVTSHTLKIHFYWAGKGTTGIPFRGVYGPLISAISVVNNFPPPLPARLPANLPAAEKSKIHVGILAGIIAGSLFFVLLIIGFLYKGGCLGENVSTDKELKGLDLQAGLFTLRQIKAATKNFDPANKIGEGGFGSVYKGLLSDGTVIAVKQLSAKSKQGTREFLNEIGMISAVQHPNLVKLYGCCIQGNQLLLVYEYMENNCVSRVLFGKGPIGKMKLDWSTRKKICLGIARGLAYLHEESSLKIVHRDIKTSNILLDKDFNPKISDFGLAKLHEDDTTHISTRIAGTVGYMAPEYAMRGYLTSKADIYSYGVVALEIISGKSNTNYRPTEDCVYLLDWAYVLQERGSILELVDPDLGSDYSSQEAIVLLNVALLCTNASPTLRPIMSQVVSMLQGQTLVQDVLSDPGISTSGSGFRSTRSHFWQNQSLTNGTLTDSTLSTGIAEEIGILQRVEPNVSNS >Solyc01g056990.3.1 pep chromosome:SL3.0:1:57860292:57873717:1 gene:Solyc01g056990.3 transcript:Solyc01g056990.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSLSGNLNVLESMSCGTVSFEELLGHCNEVFKKNQTDLLSLQDRLHNLGYIASVNLIGEDSEGEEEDPNVSEDLSYDCSLDFKKIEDDPLLDDTLNLQNLGLSDVCLATIASEANTIYEKEGCYLSTEKKQDSRDGKMNEELKSSEDIQSLITVSEDDYENLPKHMKNLASWQELVVAVEKMNSFLDTKKTRPYSFQQAEIVELGLGHKARSYLLLLIRMSRVIVETTGGLICYRIL >Solyc01g011497.1.1 pep chromosome:SL3.0:1:9370962:9372169:-1 gene:Solyc01g011497.1 transcript:Solyc01g011497.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAKSQFHYAAFLALILFFVIASNEIQKAESIGCEKMSVTWSGPCFDTGGCNNQCINWEHAIHGACHWDWTGPACYCYFC >Solyc12g098950.2.1 pep chromosome:SL3.0:12:67271908:67278755:1 gene:Solyc12g098950.2 transcript:Solyc12g098950.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLDLQMNPQMEQIHGEIRDNFRALANGFQKLDKIKDSNRQSKQLEELTGKMRECKRLVKEFDREIKDEESKSPPEVNKQLNDEKQSMIKELNSYVALRKTYMSSLGNKRVELFDMGAGGSEPTAEENVQMASEMSNQELISAGNKTMDETDQAIERSKQVVHQTIEVGTQTAATLKGQTDQMGRVVNELDTIHFSIKKASQLVKEIGRQVATDKCIMLFLFLIVCGVVAIIVVKIVNPHNKDIRDIPGLAPPAPARRLLYLRPGQDFM >Solyc02g032250.1.1.1 pep chromosome:SL3.0:2:28537528:28537743:-1 gene:Solyc02g032250.1 transcript:Solyc02g032250.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYYDVFMSFRGEDTRKTFTDQLYKALMDEGYQTFRDDNEIERGEDIKSELNKAIHSSKSSIFVLSKKLCNV >Solyc11g045677.1.1 pep chromosome:SL3.0:11:28699951:28704074:1 gene:Solyc11g045677.1 transcript:Solyc11g045677.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGPEHPGRLRLYGAGVTKTTLKKKVGNWESTLSATTDGMHEMQERMQKMEKQMEEQKKIVRQEVIADVISQLKHAGLIDPNILAALSTPSPRESTSVQGDKQGNSPRASIAALASIISQSIALLGRLTVASAGFGSDFEGDEIEEGNESNSEDFT >Solyc12g056525.1.1 pep chromosome:SL3.0:12:63420498:63422187:-1 gene:Solyc12g056525.1 transcript:Solyc12g056525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLWASQVSSDSNVNASAKRTEEKVGDGKYCSHSLDEVAGMLQSTLSAYGSEVQNTFRDLEESNILCPYMSDAIKEISKACCAFEAKESAPPVAVTALRTLQSEVTKINVLRLCSWMRTTTEKITKDETWIPVSILERNRSPYTISSLPLAFRSIITFAMDQINT >Solyc07g054300.3.1 pep chromosome:SL3.0:7:62780434:62785464:1 gene:Solyc07g054300.3 transcript:Solyc07g054300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGCASTSNHSTCSSRSNGERVSPECLGISMFSRKRIRKTFSDPVTTLQHLTSIPNRIFKNGKSRTSCIFTQQGRKGINQDAMVVWEDFMAEDVTFCGVFDGHGPHGHLVARKVRDALPLKLASFLQSFDSKHNGSTANCCSGDKKLDVVDPDKDGGMEDKVDYLWREAFLKSYKAMDKELRSHPNLDCFCSGSTAITLVKQGSNLFMGYIGDSRAIMASKDSNDSMVAVQLTVDLKPDLPKEAERIKRCKGRVFALQDEPEVQRVWLPFDDAPGLAMARAFGDFCLKEYGVISVPEFSHHILTERDKFIVLASDGVWDVLSNEEVIEIVSSAPTRASAARILVDSAAREWKTKYPTSKMDDCAVVCLFLDGKMDSESDNEDQCFSSATLQSNHSGNAVESDDGQNSEPSLQRNFTVRSAEENDTYKRIVAEVEANQEAMSTEEQNWLGLEGVTRVNSLVQLPRFSEERPRP >Solyc03g080180.3.1 pep chromosome:SL3.0:3:53494924:53498846:1 gene:Solyc03g080180.3 transcript:Solyc03g080180.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGSTSLTQTEDEAFLFAMQLASASVLPMVLKSAVELELLELMAKAGPGASISPAELASQLPCKNPDAPVMLDRMLRLLAAYSVLNCTLRTLPDGRVERLYSLAPVCKFLTKNADGVSVAPLLLMNQDKVLMQSWYHLKDAVLDGGIPFNKAYGMTAFEYHGTDPRFNKVFNRGMSDHTTLSIKKILEDYKGFEGLNSIVDVGGGTGATVSMIVSKYPSIKGINFDLPHVIEDAPAYPGVEHIGGDMFVSVPKADAIFMKWICHDWSDEHCLKFLKNCYEAVPANGKVIIAECLLPEVPDTSSSTKNTVHVDVIMLAHNPGGKERTEKEFEALAKGAGFNGFTKASCAYNTWIMEFTK >Solyc08g080460.2.1 pep chromosome:SL3.0:8:63872219:63877484:1 gene:Solyc08g080460.2 transcript:Solyc08g080460.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGGSGTGSDGSQSNRSRVLAERLRSLEASYVKLREQFNLLLEENSTSKDSDDDACDTGSYCGPGVFYSGYPYRNVLEDMGHALYVTKIGTGDIIYWNQAAEKLYGYKVHEVVGQRCTELLICEEYHELAMHSVKRLSCGQSWTDQFPFKKRSGEIFMAIVSKSLMYEDGELFGVVTVSSDAAFLNKINSEKSRTSQSSNGQAGRRGINFKSTRWHPQSQTASFVPNLVASKVFSFNRGEDAHRDREEVEGDTIGGQSQKPPRAPAARLSFSLLGRKSRTNAEISEMDESPFDIVQPSKLVAKVMSKLNITGFGNIGGEKCGNVQQNQHDETFANVNVVQPNLPPSSEGKYTHVLDAYHDPQNILKGSCFTRQRTITPENAQITSSDIIEGSIATCSRKFDNQINDNYRKTQIGNSKLPVIEDSSGQQLENQQSRKSGENTRSSQGSSSNKNENELSLVVHCEIRWEDISLSEDIGQGAFGVVYHGIWKGSDVAVKVFFGNQCGDTTLLDYKKEIDIMKRLRHPNVLLFMGAVSSQEKLAIITEYMPRGSLFKALHRNNPPLDLKRRLRMALDVARGMCYLHRRNPPIVHRDLKSSNLLVDKSWTVKVGDFGLSKLKHATFLTANSGRGTVRISAFYFTLYCGDIELDNAVTCPSQNFTLLLSLQPQWMAPEVLRNEPSTEKSDVFSFGVILWELMTESVPWKDLNPLQVVGVVGFMDGRLDIPQKLDPRVSAIILDCWQSKPELRPSFEDISRRMTDIILSFGGLTSRKNSGGMVSNTD >Solyc12g099840.1.1.1 pep chromosome:SL3.0:12:67802647:67805019:-1 gene:Solyc12g099840.1 transcript:Solyc12g099840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKLHRAFKFAGTGNQHLLCKFFRSTPFLHQEQLLSSINNENPTDSPSQNLVEQLRQLRILIQQRRMENAKGILGTLIHTSSVSQLYSLFSPSPAKPLLSDLLFSLYLESKLINQAEELYSLIREEKKFPSLSAINVFLESLNSLRKYKKTLEVFSDVMNWGIRVDKASYGKAILSAVKIGDLGKALELLDCMRSGKVGMDKFVYNVVMGGLCKEKRVVEARKLFDEMLERRVARSMVTYNILMDGYCKMGKVEEAFELRETMKNDNVEPNIVTFNTLLSGLCKSGKMEEANCIVEEMKSYGFVPDGFTFSILFDGLSRSDDVNSSLALYEEVVKTGVKLNEYTSSVLLNGLCKKGKTDKAAEILKKMLGNGLTPTDVLFNTILSGYCKEGNMEKAYLTIDEMEISGVKPSCVTFNTLITKFCELGMMEEANKWLRKMLEKSVSPNVQTYNILIDGYGRKQEFVRCFEILEEMENNGLNPNVITYGSLINSLCKDGRLLEADVVLSDMISRGVKPNAQVYNMLIDGHCMRGRMTDAFRCLEKMLQSDAETTLVTYNTLLNGLCKKGKTKEAEELVADIQLKGFVPDVITYNSLISAYSDARDSEKCYEMYEKMKTSGIKPTINTIHPLIRVSKEGKNGLVSIDKIVEEMSQMDLSPDRVVYNELVHCYALHGEVQKSLAMHQEMVERGIPSDKRTYNSLIMVHLKEGRCQEAKNFVDQMKANSIVPSDETYNILVEGHCKLKDFSGAYIWYREMVDNGYTPPANICEELLSGLLEEGRLEETQRNEFSRN >Solyc01g088750.3.1.1 pep chromosome:SL3.0:1:83387552:83389516:-1 gene:Solyc01g088750.3 transcript:Solyc01g088750.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MWRRALCNLSKRPFSSQPEIPTLYSFLQPSIFSLKTTESPSTTPPKPHDQTPLTLTQEHKSNLESTLLDSIRSNNTDEAWKSFKTLSNYSAFPSKSLTNSVIIHLSSLNDTLNIKRAFASVVFLLEKKQELLKPETVHVLLNSMRDANSAAPAFALVKCMFKNRYFIPFNLWGDVLVEICRKNGNFGGFLQVFNENCRLAIDEKLNFLKPSLEACNAALECCCREIESTTDAEKVVETMSVLGVRPDECSFGLLAYLYALKGLKEKIAELEGLISGFGFPDKGVFLSNLISGFVKCGNLASVSATILQGVRETCGQGLCFEERTYSEVVSGFLQNGSIKDLAMLISETQTLESPSVIVERSVGYGIINACVNLGLLDKAHTIFDEMNAQGAALGLGVYLPILKAYRKEQRTAEAAQLVTDISGLGLQLDVATYDALIEASMSCQDFQSAFSMFRDMREARIPDLQGSYLTIMTGLTESHRPELMAAFLDEIVEDPRIEIGTHDWNSIIHAFCKAGRLEDARRTFRRMTFLQFEPNEQTYLSLINGNVTVEKYFNVMMLWNEVKRKVSAEGETKLKLDSSLVDAFLYALVKGGFFDAVMQVVEKSQEMKIFVDKWRYKQAFMEKHKKLRVSKLRRKNRGKMEALIAFKNWAGLSA >Solyc12g032955.1.1 pep chromosome:SL3.0:12:37726960:37740215:1 gene:Solyc12g032955.1 transcript:Solyc12g032955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRELRVNSALITVGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTSNPECATLETTILEALHIMHDGKFLHLPIIDRDGCVVACIDVLQITHAAISMVENSSGAVNEMANTMMQKFWDSALNLEPPDDYDSLSEMSMSQLMMSEGAEAGKSGYPLLGLGNTFAFKFVDLKGRVNRFNFGSESLLELVTAVVQRLGAVDEQNRPQLLYEDDEGDKVLLTTDSDLVGAISHARSLGLKVLRLHLDYSDVKAVQGLSSPSVENDGWGSVRMGIFAGAVVLTSVGVLAYLKRTNTW >Solyc12g098340.2.1 pep chromosome:SL3.0:12:66863160:66867278:1 gene:Solyc12g098340.2 transcript:Solyc12g098340.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pectinesterase [Source:UniProtKB/TrEMBL;Acc:K4DHK8] MSSFFFNFFIFFLGIIELGNAKFHFKGQKKYPTVYVDPSGHGKFVTIQSAIDSIPQNNQYWICIIIKPGQYREQVKIPREKPYIYLKGDKNGEVIVTWDAHGSIDTDATFTTEANNTIVESITFINSYNYPPKRNKHPRVVAVAVVISGDKCVFYKCKFLGFQDTLWDVQGRHYFKFCTIEGAVDFIFGNGKSIYENCTISVNAGALNGLIGYITAHGRENVNDTSGFVFKNCNVIGNGQIFLGRPWRQYARVLFYDSSMSNVITPQGWDVGVFGGKEKQLTFAEERCKGMGSNTSKRVLWKAILSKHELQHLISLSFIDNEGWIVKQPLNVLK >Solyc03g097640.3.1 pep chromosome:SL3.0:3:61397291:61406990:-1 gene:Solyc03g097640.3 transcript:Solyc03g097640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDPSTFKSSPELQQLLNQEKERAMVNEVVAKLTSSCWDKCVTGTPGSKFSSSEYNCLSHCAKRYMEMSMLIMKRVQ >Solyc11g067170.2.1 pep chromosome:SL3.0:11:53208022:53241679:-1 gene:Solyc11g067170.2 transcript:Solyc11g067170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMTSVLNFLENRTILVTGATGFLAKIFVEKILRVQPYVKKLYLLLRAADDKSAMQRFNTEVVGKDLFKVLREKCGPNFTTFVSQRTTIVPAYVCGEKEGLMLEKPYYMGETLNGTLGLDIEAEKKVMDEKLKQLKAENASEKSITTAMKELGLERARKYGWPNTYVFTKAMGEMLLGKLKEEVPLVINRPTIITSTFKEPFPGWVEGIRTIDSLAVGYGKGRITCFLGNPKTILDVIPADMVVNSMIVAMMAHADQKGSETIYQIGSSVSNPLNITNLRDYGFNYFRKNPWINKVNGKPIIVGKVNVLSSMDSFQRYMALHYILPLKVMQTELFSVLREKIGANNLNSLIEEKVFPVAGDISFEDFGIENSDMKNKMFKEIDIIINSAATTRFDERYDIAMDINVLGALNVLKFAKRCENVKIIVHVSTAYVCGEGEGVIPEKSFTLGETLNKNSKLDIDVEREVIDEKLKKAGLEKSSQPTPMAVSSSTNGADTPFADIIHFRSLIGDLQYLAITLPDIHFSVNRVAQRMHQPSEHDYHCLKRILRYIFGTLGRDLLIRPGDLELRGFSDSNWANDKNDRKSTSGFLLNTAPLLFLLLRPFLVEKILRVQPNIKRLFLLLRASDTESARKRFNVEAELFNVLREKIGTNNLNSLVEEKVFPIAGDISIEDFGIENSEMKHEMLKEIDIIIHSAATTRFDERYDIAMNINVLGAFNVLKFAKRCANVKILVHVSTAYVGGEGEGVISEKSFNFDDYYIDIDVERKVIDDKLKELEAQNLTSKEVTMAMRNLGIQRASLHGWPNTYSFTKAMGEMMLGHFKENIQLVIIRPTIITSTYKEPFPGWIESMKTLDTFMLIYGKGISNVFLADPNTNADLIPGDMVVNSILATIVAHGNNKSYQNDFIYNISSSKGNLLKLEDMRLYTFNYFTKNPWIDKHGNIIKVKEITFLSSMDSFQAYISRYYWPLLKVLQLANVLTWYYLIDGTYTNLKRKIDNAIRLSKLYKPYVIFHGRFDDSNTERLRMRMKDCKMDDLLNFDPSCINWEDYFMNIHIPGVVKRLA >Solyc11g068890.1.1.1 pep chromosome:SL3.0:11:53755148:53755777:-1 gene:Solyc11g068890.1 transcript:Solyc11g068890.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTEKDCGHHVEDERHNLQRRLITALIVFVTLILFTILLIFLILRPTKPHFILQDATVYAFNTSTPFNFLTTNIQITIASRNPNDKIGIYYDRLDVYATYRGQQVTLPTLMPQTYQGHKDVSIWSPFVYGNNVPIAPYLGNEISQDIIFGTILLNVRIDGRVRWKVGNFISSKYHLDVNCPAYVGIGGKFLGNSVVVGKYQLVQSCNVDV >Solyc10g055120.1.1 pep chromosome:SL3.0:10:56314279:56317338:1 gene:Solyc10g055120.1 transcript:Solyc10g055120.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSHGDRLCTRLVDQNSRILIILDDVWKGLDLKRLGIPCERNHKHQREVIFTPCFRSFCEAMGAQKIMEIRMLSEEEGLPLAIITVVGALKNLKTKPSWDCALEQLTSAETRIIPEVPKELYKPLRLKIGKLENARNVVFLLLETLKDCFFLAQGSDKNNMKMHDVLCDVAISIAFEGEHNFMDDFFDGMDKLNVFSLCVYQQYPVLPLSASIQRLSSLRTVCLSNLVLGDISIIGNLVTLEILSIRDSRLVEVPVEIGILTNLIMLELRNECKKIERISAGVLSRIVLLEELHMVVIEYCSYSTLSELKSLSRLTAFTLSKCAEDVIYSNLSLSSKFTWYNLTMNNEPLFSLLEELQLEGLPKLGHFFLTKCALEFLFLRDVKIDDCPEIKTFIQQGVSVSTAGLAWVNYDDRVEVNDLNEWIQHRFNSKEPNASEGTTESDESEVNDGDKSEVVDDSKG >Solyc12g007280.2.1 pep chromosome:SL3.0:12:1688627:1695560:1 gene:Solyc12g007280.2 transcript:Solyc12g007280.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDRIVGGKFKLGRKIGSGSFGEIFLATHIDSFEIVAVKIENNKTKHPQLLYEAKLYNILQGGSGVPHIKWSGVDGDDNVLVMDLLGPSLEDLFVYCDRKLSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICQKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRELFTRQGYEFDYIFDWTILKYQQAQTSKPQHRQVGGESSRAIPMDVEKRQVSTGNNGPYVAEVTDRLRPNNPSSPGIRMQFKSPTNRNLTSANLERNVSIK >Solyc01g057375.1.1 pep chromosome:SL3.0:1:60797164:60799137:1 gene:Solyc01g057375.1 transcript:Solyc01g057375.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLIAGSSMREINNLKTRLSATFEMKNFGPAKQILGMKISWDRSAGTLNLSQELYIEKVLSRFRVNDAKPRTTLLANHFKLLKEQSPKTVEERKVTLQGFVDADLDRDVDSSKSTSGYIYTIRGTTIFFTDMDKVLDEDYHLFHLLSFLQVCLVILSVLS >Solyc10g012257.1.1 pep chromosome:SL3.0:10:4791236:4795194:1 gene:Solyc10g012257.1 transcript:Solyc10g012257.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPDEQGLSLPSPDRLSNINIYLIDDILSRLSFRDVVRVSTLSKDWQYICWRIPHVKFDQTVWKTPEDLMSPTIGFIPILESFLRFHRGIILKVTLIITSLIVCPDVDRLIFSLDTDHLQHFVLKLSFTYPPYRLPNFFFNCSALRHLYLKECEIQLPCFFKGFKKLIRLILKSVTLSSNTFESLISNCLLLEDLVLKDIDNLYPKSINAPKLGSFVFRGDIQLINLENVLVLSNVLYTPRELVLQDEDDFVNIFSSIPALECISWDFFEVNNGSTEVIPTRLPSALNCLKRLFMSWITLGEFFELSFALCIIRSSPNLEEIEIKVVTNVEHDVDKIFESIPALENLCWNYKYVQISKFRRN >Solyc03g007700.1.1.1 pep chromosome:SL3.0:3:2229804:2230727:1 gene:Solyc03g007700.1 transcript:Solyc03g007700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDTFNILKFWRNSGADTSSCRDIVSEIDELDYLRNPAIETDEETDDDTDSFFDLVFTGPDGRPKLDNNNSKSVSVNTPESPRDVFFKPKASISKPQSPISILRSAPKFRVFFLGLRKTKLEKVGIDDSSPASPKIQTQSKRFSVKCNVEEVRAPVNPVFTRDNSLRSKLQSEKEEELSVDESLKKFVRADVPKYLKLMKPLYARASKRYTDKIRVSPLSSPSAQSMSSPRKSSEEKRVATFGSVRKHLGKSRSAASTFAGASPSPLNRRDDSLVQEQNDGIQGAILHCKRCYSSVAKSSVDLNRWSI >Solyc02g061770.3.1 pep chromosome:SL3.0:2:33833702:33835354:1 gene:Solyc02g061770.3 transcript:Solyc02g061770.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRLLVLGLFSVLCLKCVLSQNISSLISKNLFERILVHRNDAACGAKGFYTYEAFITATKTFAAFGTTGDTNTRNKEIAAFLAQTSHETTGGWATAPDGPYSWGYCYKQEQGSPGDYCASSQQWPCAPGKKYFGRGPIQISYNYNYGAAGSAIGVNLLNNPDLVANDAVVSFKTALWFWMTAQQPKPSAHDVITGRWSPSVADSAAGRVPGFGVITNIINGGMECNRGSNALMDNRIGFYRRYCQILGVDPGNNLDCANQRPFG >Solyc02g093300.3.1 pep chromosome:SL3.0:2:54838274:54851475:-1 gene:Solyc02g093300.3 transcript:Solyc02g093300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:DNA polymerase [Source:UniProtKB/TrEMBL;Acc:H9E8V2] MSDEQQPTVEGRRSSRKTSGGKRAEALERIKALRTGGRRSTENGGLQVKIDEPIYDVVEDDEYNDIVAKRREAARGFIVDDDGLGYGDEGQEEDWSVAGVLSSEGSEDENERPKNKKKTSEKKQQITKKPSAALTAAAALMGKQRISSLFTSSVFKRDDKTRNLSCDSIVDDVIAEFAPDEADRERRRRGNSNSLQASRSSVANPNLLNVKTEKLGAGKVDLMTRQEVKRVTAQNGESISGGLPEISTDEGTGGLLKISTGEGNNSARNIQSSEVLDAEVEGEKAVKSDNLSTVGIRDGDTVVNCAEVKLEPLVESKVFALNAKISEGKDPGLSATAEWQALRNAGSGILNCNESEAKLVNTEEKTDFELDSDGSLPFFILDAHEELYGTNAGNIYLFGKVKAGGTYHSCCIVVKNMQRCVYAVPNGSVFCGDTISKLSRDVEESQISPSAFLSQLHEMASGLKAECRNYLLEHNISSFSMAPVKRNYAFERSDVPRGENFVLKINYPFKDPPLPSDLRGENFSALLGTHSSAMELFLIKRKIKGPSWLSISKFSSCPIPQRVSWCKFEVIVDSPKDIKISTSSKNVAEIPPVVVTAINLKTIINQKQNINEIVSASVICCHSAKIDAPMLTSEWTKPGMLSHFTVVRKLEGGIFPMGFTKEAAERNTKAGSNVISFESSERALLNRLMIELHKLESDVLIGHNISGFDLDVLLHRVQACKVPSSMWSKIGRLKRSVMPKLTKGSTLFGSGASPGIMSCISGRLLCDTYLSSRELLKEVSYSLTQLVKNQLNKDRKEISPHDVPQMFQAADSLLELIECGETDAWLSMELMFHLSVLPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKFIVPDKFSSHAREAKITKRKLNQGDEGKETEPIDADDPNIEGGILDINHGKAKKGPSYSGGLVLEPKRGLYDKYILLLDFNSLYPSIIQEYNICFTTVESSLDGSVPHLPSSKRTGLLPELLKNLVERRRMVKSWLKTASGLKAQQFDIQQQALKLTANSMYGCLGFSNSRFYAKSLAELITSQGREILQSTVDLVQNLLNLEVIYGDTDSIMIYSGLDDIGKSKAIAAKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGKPYEVIEKKGLDMVRRDWSLLSKELGDFCLSQILSGGSCEDVIESIHNALMKVQEQMRTGQIELEKYVITKSLTKPPEAYPDAKSQPHVEVALRLKKSGYVTGCSAGDTVPYVICCEQGNGSTTSVGIAQRARHPDELKRDNGNWIVDIDYYLAQQIHPVISRLCASIQGTSPARLADCLGLDSSKFQNKSSEAVNDDPSNALLCVADDEERFRGCEPLTLTCPSCSCSFECAPIFSSICSSIRQNPADLQVGESASKVWERFSCPKCPEESEGNISSALIANQVKRQVEGFISTYYKGVMMCDDETCNYTTRSLNLRVIGDSERGTVCPNYPRCNGHLLRQYTEADLYKQLAYFCFVLDTVRCIEKVESNMRLQVEKELVRIRPVVEAAASTVEKFRDRCAYSWVQLKDLIVSF >Solyc01g081530.2.1 pep chromosome:SL3.0:1:80578192:80579704:-1 gene:Solyc01g081530.2 transcript:Solyc01g081530.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGHRDASQRKKIKEAYQQLYNKSLMDDLHSELSVILWTYDPPERDARLANEVLQSWIHDVNCLQVIVEIACASTPDHLVAVRQTYCALFSCSLEEDIIARVSLPVQKVNVLPYNKELVDHNTSDELVMILSTRNIHYNFPLQDITNCGEGLLESMLKVVIWCINSPEKHFGLGTNEDSLSRAIVTRAEIDMIKVKEEYLKMKDTALEYATANDTSGYYREFLMTLLGANNSSL >Solyc04g080525.1.1 pep chromosome:SL3.0:4:64753093:64755381:-1 gene:Solyc04g080525.1 transcript:Solyc04g080525.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLKFIVKALTFLSCPENLNIENKAIVTCISFKECRYHYLSSSYGQHGNHVSERHSSPEFDHYQNWLASQYMLALIETQCLAAHDGFAEVWSLAVLAAFELKGPEDRCVLGRILDSFLVIEELDETGMEMESETHWSKGLVFVVGWLGVFGGEEGFPDIVVFVELDGCFGDEFSFTGLKDGLALGLVLLEGCELVRDLEP >Solyc08g013820.3.1 pep chromosome:SL3.0:8:3266144:3270256:-1 gene:Solyc08g013820.3 transcript:Solyc08g013820.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNAEEKVEASSTAPPPPSPPWVELPAEITIDILQRLGTIEILESAQRVCSTWWKVCHDPAMWRVIDLKRVVCRSKLAYVLEKICRLAVRRSQGQTFKISIDNFGNERLLSYIASRSSQLRHLRLVKCYNRLSGGLASAAKNFPFLEELHIYFTSITKDDIEIVGRSCPLLKSFVLHASPFDKVRTPPSQDNDKAVAIARCMRGLRHLALIENNLTNEGLQAILDGCPHLESLDLRCCCCIDLEGDLGRRCSQQIVDLKKPRDSTCDYEFDCKIRHYRSFEDYCRSRFSNYNSFIVGDIHECLYLYGKFVAETSPLASPPSPAAFRSAGEYIYDVPVTDVFQAGHMDGPPGSSLANVNSLKEGYGVDPRTDL >Solyc06g068070.1.1.1 pep chromosome:SL3.0:6:42307449:42307664:1 gene:Solyc06g068070.1 transcript:Solyc06g068070.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MQRMLFIDPRLNLRVQLAVHTVSRCMGLLQVSTQFKLMTSSGFIVASRSCLSTNLCQARFYKITIYLLSLN >Solyc05g023605.1.1 pep chromosome:SL3.0:5:28228977:28247801:-1 gene:Solyc05g023605.1 transcript:Solyc05g023605.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKRNLMFCISIYAQAFFLFFLFILTDIAFLFSGGSMFLFDRKVLLDFRKDRGRRRMVKETHEKMKDLKSFYDHSHEEEVTNELADKGLYCVGRGTGYRKGEGKGVVKWDVMRGIRGMRGKMRVGSALFELLEFFLTTRSLEGLRASQLACMVVSCHYLIGIWKVLQDFRRDGHYWRNKKVGETDFKSSVVLVKEAFF >Solyc07g042260.3.1 pep chromosome:SL3.0:7:55485151:55493196:-1 gene:Solyc07g042260.3 transcript:Solyc07g042260.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKAPSNGYLPNSGEGERKLMNSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDGIPSYPNLPSKLICMLHNVTLHADTETDEVYAQMTLQPVNKYDQEALLLSEMGLKQNRQPAEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDYAMQPPAQELMARDLHDQTWTFRHIYRGQPKRHLLTTGWSVFISSKRLCAGDSVLFIRASPSEFVIPLAKYNKAMYAQVSLGMRFRMMFETEESGVRRYMGTITGVSDLDPIRWKSSQWRNLQAMSLIESSVATPDQCLLAFWFMFLVVGWDESTAGERPSRVSIWDIEPVVTPFYICPPPFFRPKFPKQPSFPGDESDIENVLKRGMPWINDELGLKDAQNSIFPGLSLVQWMSMQQNNHVPVAQSGLPSVLHSNIGSDDHSKLLNFQSPALATPGLQFNKPNQLNQPFVNRCVSPNQIPNQTFPQAAVYGQLQQQQVLSASTQSQQNVPVSRNSFPSTSLAQDFQFQQQMEQQSNLLQKSQQQQTIPQQAPLQLLQQSLMQRSQPRMPQQQQNRQPQELQFAHQQLSSNIVTTVTHLQSTHHAFNQLQNHHKSPITIKALSGGTEGDAPSCSTSPSTNNFQVSPPNFLTRNQGQAILVDESVVDPSQEQNKSECRIKHELVFSKGSELSKYKGNNTENLEAASSTTSYGLDSSGFNFSLPALCVDGDVQSHSRNILPSAANNIDGLNPDALLSRDYDSGKDIQNLFSPFGNAPRDIETELSDAGINSQQFGVPNMSYKPRCANDLAVNDNGILNNNAWTNQTQRMRTYTKVQKRGSVGRTIDVTRYIGYDELRHDLARMFGIEGQLEDPQRTEWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSCAEVQQMSLNGDLGNVPVPNQASSGTDSGNAWKGHYDDNSAASFN >Solyc08g067700.1.1.1 pep chromosome:SL3.0:8:56752767:56753516:1 gene:Solyc08g067700.1 transcript:Solyc08g067700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDHIARGGNARGTNNTIDARRQSMQEAMERLVDKYKERLQHLQNTIFQLANYYFVFQGVILASISQSSSLNCSNRWFLFTLTLFAAIINLYAIYSIGKKYIVTLTNYDIAWKEYNDLMFELSPRNRPNEQRVQNSYLSPSTSTLNSGSIFFQTPQLPGPRSPHQFVGHQMNYECQVELYQQWEDPYTKIKRGIYLAICLVLLGAFAGIILHGCWSILCKNDDKCSHSQSTSNCIKLCDISKCMTICSEF >Solyc03g121650.3.1 pep chromosome:SL3.0:3:71228708:71238280:1 gene:Solyc03g121650.3 transcript:Solyc03g121650.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTTTKMVIEKVGLGTVNASASPAYKSPFTIGDEVEVSREEVGYSGALYEAVIVEPLKPTAPFPYPKSENKKRGFWVQYKHLLSDQGESVSKREFVRKQSLIRPAPPAAKWDQRRNFRVGDVVDAFHLAGWWTGVVVSIVWDDGGNNGCRFRVAFRDPDEEIEFSEYDLRFHLDWIGGSWVRPDPPHEKMSLHLGTPSPTLNHINKGITSSKMKLETDTRIDEVRPPKKLRSRKHVVEALENQELPRPFVSGKAFTRKSRLLDFVENQDYFGSVVDVGILSPCVQSLQHTRDEDANLSDFEFPIIEGSNERSTMDLSAHRSLAVTQGVEKQVLESTLHDSVNEKNATNQAELSSRKTDGINTSAGASAATVQPCSETTMPGSEPRLVLPFKKNSALWREFESMEVFTKIPQNPHFSPLAQYEEKKREEVALLKMTKYVFFVERIPKLTVAELSSSALISEMLDTIKDLEEYGFDLIPIKCHLNDLMLNNDKQTQLRSKLQEEEGKLKKCYLEKAVANNEINKIALKIKDLEKQLMSAKTMIETVEHHIKVSGSLKGTICDDIRHAERDFEATMASVKQIFS >Solyc03g097680.3.1 pep chromosome:SL3.0:3:61447964:61451205:1 gene:Solyc03g097680.3 transcript:Solyc03g097680.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVGSALKAAEILAKEGISAEVINLRSIRPLDRSTINASVRKTNRLLTVEEGFPQHGVGAEICASVVEESFEYLDAPVERISGADVPMPYAANLERMAVPQIEDIVRGAKRACYRAAKSVPMAATA >Solyc03g098310.1.1.1 pep chromosome:SL3.0:3:62095217:62096410:1 gene:Solyc03g098310.1 transcript:Solyc03g098310.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLLSTSHVMSKIPKDGTMTDLIRSIATDQNHEAGQPFYILDLVTIEKVMDKWNHSFPNVKPFYAVKCNNEPALLTKLANLDANFDCASLLEIDTVLNLGISPNQIIFANPCKAVSHIKHAAAVGVNLTTFDSKLEVDKIKKWHPQCHLLLRIKAPSDSGSLRPLGKKFGTLPEEIEPLLHYACNVSGLKVVGVTFHVGSIAQDPTIYRKAIAHAKSVFDVADDLGIPKMQILNIGGGFRSTPLFEEIATVVNEAVQDYLPDLSLTIIAEPGRFFAETAFTLVTHVIGKRVRGEKIEYWIDEGIYGSFRPTLYNSCFVGIKPISMKSECCKIRESSTIYGPSCDSLDAVAIDIQFPELELDDLIVFYNMGAYSNCAGTKFNGFDMLSTPTYIVSTNST >Solyc06g050135.1.1 pep chromosome:SL3.0:6:32575972:32584053:-1 gene:Solyc06g050135.1 transcript:Solyc06g050135.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSSLEVGGNCNVEESVRGSNMVQSELNTSNPSGPLTHRTYNKDRDRENFAKMVVVCGLPFSFGEHPGFIAYIRETYNPSFQGLSRSMGTDDITNDNGIPELLNWWRNRGAQYPKLSRMVKDVLAIQGSSYLAITCPGIQFAVNRVAQRMHQPSERDYHCLKRILRYIFGTLGRGLLIRPGDLELRGFSDSDWANDKNDRKSTSGFLIFLGPNLISWCTKKQPKVSRFSTEAEYRALALLAAETMDAIV >Solyc11g065680.1.1 pep chromosome:SL3.0:11:51510051:51512596:-1 gene:Solyc11g065680.1 transcript:Solyc11g065680.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLQFHHCLSLHTNPLNNPSIFFFLTHPTTSVSTRWSLFNNNRRGRRKICWVEAVEKDSEFEIDPDKAREALRKLDEQLQTLSQKQIDPPKIRATDVTRASSQVTEDTRDPEGSFLTSLAFGLLLFTIFYNILFTTVIKPAVDGPETVAEIDLYASNS >Solyc07g006600.1.1.1 pep chromosome:SL3.0:7:1448390:1448947:1 gene:Solyc07g006600.1 transcript:Solyc07g006600.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MRNLEGSSSSIAPVGPLRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGNLEENQEGDLEEGNNNNNDSNGGDGKMVEPPILEEKFLVIMAGQLKPTYIATPSLSSRASSFGSNSGCTASSESSTDKSEAEEKEEKENDVSGSSLENCEKTLVGEREKEVSSSTSENDFVVNVTQIRIS >Solyc07g055495.1.1 pep chromosome:SL3.0:7:63646051:63646966:-1 gene:Solyc07g055495.1 transcript:Solyc07g055495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTSEVISRTSFGSSYEEGRTVFELQSEQGEYVIRIARSIHIPGSRFLPTKMNKRMLKIEKEIQTTIRRIIDKRLRAIEGGRSEEEVKLGELNLPAGVQLIIPAILVHYNKELWGEDAKEFKPERFSEGVSKATKGQVSFIPFGWGPRICIGQNFAMMEAKTAIAMILQKFSFELSPSYTHAPFAVVTIHPQYGAPLLMRKL >Solyc03g044300.3.1 pep chromosome:SL3.0:3:8790516:8795225:1 gene:Solyc03g044300.3 transcript:Solyc03g044300.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:APETALA2-like protein [Source:UniProtKB/TrEMBL;Acc:B2ZP48] MWNLNDSPDQTMEYESDEGITVRSESNSISSALLVVEDGNSSEEDGEKGKKKKSNNTPGKIFGFSIKDHNLESPVVTRQFFPVDNESTNFPRAQWAGIKFCESEPPLVNGLVGNKIDVLQQQPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGAEADINFTSKDYEDDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDRSIYENELNSTECTDNATDHNLDLSLGGSSQEMGDNRGQNSSSNLQLDGHWGHQGSSRHNNKVQTPSSNNVGQIGGTSNFQNNYEIIATAAASSGFPQQIIRTQNNGFHHYFMRP >Solyc05g024080.2.1 pep chromosome:SL3.0:5:30353817:30354618:-1 gene:Solyc05g024080.2 transcript:Solyc05g024080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding EELKLPSFSFHLHFVRVSSDFFGNINEEKPALVSTSSPTKISKTQVKISNFAHRNVESKVVKSKNLRKKIISDQIICINKESNFEALVALTAGFLYDSFKVEEIEGGVVSVVGCIEVYNHIFIRHHIIKKWHETVAIWLTNDMFVDLIPEQYSGLLAYNYFL >Solyc05g053380.3.1 pep chromosome:SL3.0:5:64333244:64335875:-1 gene:Solyc05g053380.3 transcript:Solyc05g053380.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKENNVRTEITNTSNWSSNAMFDIEKDYSLSFLLETMFGVDEHRNYCYDNTTTSSIFDLLMIPSHQQQLQPQRFITCSSLPESSSILLSDHLINNVPVTPNSSSISSSSTELAANDDHQHKYKKHFAKSDMSFCWLRLKPNKKKQKGEKEPRFAFMTKSEIDHLDDGFRWRKYGQKAVKNSPFPRSYYRCTTSSCGVKKRVERSIQDTSIVITTYEGVHTHPCPITPRGWVGVQPVTTTYGGRVGGGDGGGRCCHYGDYSSSLFSTNNSLQEKRFWSSSNSSLAKDDHGLLQDMVSSRMRSDLIEE >Solyc11g050980.2.1 pep chromosome:SL3.0:11:17133468:17137155:-1 gene:Solyc11g050980.2 transcript:Solyc11g050980.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEECCPFSDGNCCSTLPPEGVEKSTEGVQTEPHRDIDEQALSRQNSDDDFVNPPPPSMKVTGKRKKGQSVSPAKRVRKKDSNITDQMERNEQIDPIAKRNVKKAVIKKVVTKKIMTLLVKDHNLLQVQFYQKIKISKPKKIRPFVFERQHDFTDQDDNDEEDQFIFPTPIQSIVPMEGSQQSQFELDDSLMPRLSDIKSICVTDNTINAEQSIIMHIQTTSNDNSNMQKQASMEIQNKISHVHTPLPAHRIRRSGPFNTSPYLTLFDSSAGTSSVQPIIFELKHPFIFDLISDNRDIIMWDAHQSWIREGLLAKHENKAAGHDAAFRKEVTKFAQLIPLKLTMDCGIYMLAFAEWLSYGQGNPSGTFDIMFLRSRYAALL >Solyc00g308530.1.1 pep chromosome:SL3.0:2:32317095:32318480:1 gene:Solyc00g308530.1 transcript:Solyc00g308530.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVVPESIARVRDRGYCLRELASTVVRRRRVQRTRSEGESKIKFFHFYSCSPFKL >Solyc04g049215.1.1 pep chromosome:SL3.0:4:40201567:40202082:-1 gene:Solyc04g049215.1 transcript:Solyc04g049215.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding LFLLPKGHLIGKHKIRNTGSLLFIISRSLISLNILQPGIVVSSGDGSTHTIPIYEGHALPNAISWLVIEENITRSIKETITYVALDFQQEIKKTKIRFAVDKGFELPDGRFFYIGAVGYQCPKVLFQPSLVRKGPRGIMKKSTT >Solyc03g044810.2.1.1 pep chromosome:SL3.0:3:10575861:10576304:-1 gene:Solyc03g044810.2 transcript:Solyc03g044810.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKGDKNHFVLVHGACHGAWCWYKVVTILRSEGHKVSVLDMAASGINPKHVDDLNSMADYNEPLMEFMNSLPQQERVVLVGHSMGGINIALTMEKFPQKIAVAVFVSASMPGPDLNLVAVTQ >Solyc06g082915.1.1 pep chromosome:SL3.0:6:48588902:48590903:-1 gene:Solyc06g082915.1 transcript:Solyc06g082915.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVTGCRFGLTGTGNLCAELTTVLSFIIPTSLQTRSRIIRKSLTTMKVLRGIASTLLNAKVDPKPFRDPNLLSLYPNAKFSTLVWVPQFSHHPSQYVPKQKATPLEKPILDSQFQWVLKEIQELEG >Solyc02g021550.3.1 pep chromosome:SL3.0:2:23653760:23662948:-1 gene:Solyc02g021550.3 transcript:Solyc02g021550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADELNKAALEEYKSSSVEDRGEEGEIVGESDDTASSLGKQITMKHPLEHSWTFWFDNPSGKSKQAAWGSSIRPIYTFSTAEDFWSVYNNIHHPSKLAVGADFHCFKNKIEPKWEDPVCANGGKWTMNFSRGKSDTCWLYTLLALIGEQFDYGDEICGAVINVRVRQEKIALWTRNAANETAQVSIGKQWKEFLDYNDTIGFIFHDDAKKLDRAAKNRYSV >Solyc12g099170.2.1.1 pep chromosome:SL3.0:12:67398066:67399148:1 gene:Solyc12g099170.2 transcript:Solyc12g099170.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNSPYGVFPDEVILQILARLPVKSVFKTKVVCKVWYKLISDKYFTNLYNELSVKNPMVLVQISEPSSESRSSLICVDNLKGVSEFSLDFVKDRVKVRACCNGLLCLSSIPDKGVYYVCNPLTREYKLLPRSRERPITRFHPDGEASLVGLGCDLMKQKYNVVLAGYHRSFGHRPERTFICMVYDSELNKWRKFVSLQDDQFTHMNKNQVVFINGGLHWLTDSCSCMLVLDLGTDVWRKIQLPHEISCGVRSRVYLLELDGRLSVIQIYEAWMVIWVMEDYDKEEWRMVDKVSLRCIRGMVPGIFPISQNDNFVYLATHKQVLVYQRNNRVWKEMFSVKDSSTLPLWFSAHAFRGTLFSCH >Solyc12g021160.1.1.1 pep chromosome:SL3.0:12:14400386:14400547:-1 gene:Solyc12g021160.1 transcript:Solyc12g021160.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSPVSSSLHRVDSVQRFLLASKFSCVCFICIYVLLFLTICLCLVLFCLVWNN >Solyc01g065495.1.1 pep chromosome:SL3.0:1:71549609:71552674:1 gene:Solyc01g065495.1 transcript:Solyc01g065495.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEWSLWTRDLEEEVVPTCSPLGRGFLSAGAKLIESLADDDFRKNFPRFKPENFEHNKQVFVNINLMAARKGCTPSQLALAWILHQGDDVCPIPGTTKIGNFNENVGSLSVKLTEEDMKELESYTSGDVVKGERHLYMSSTWINSETPPLSSLES >Solyc10g050870.2.1 pep chromosome:SL3.0:10:50930870:50934636:-1 gene:Solyc10g050870.2 transcript:Solyc10g050870.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADDFCFFSKESIIKPPKASPMMLRMVVLIFAMVCGVYICSIGLKQIGVHTTGRIVSVHVVEKPCEATDIEPSEKPYVHFPKPKTFSRAECACNPVRNFAILSTQRSGSGWFETLLNSHINISSNGEIFSVKVRKSNVSTILDTLDKLYNLDFFTSASKNECTAAVGLKWMLNQGLMQNHEQIVDYFKTRGVSAIFLFRRNLLRRMISMLANSYDQSAKLVNGTHKSHVHSPKEAEMLASYKPTINTTLLIRNLKQVDNMAIKAVQYFNSTRHIILFYEDIIKNQTILNDVQDFLKVPKRDLHSRQVKIHKGTLSSQVENWVDVEKTLKGTPYESFLHADYKI >Solyc04g064710.3.1 pep chromosome:SL3.0:4:55868982:55872912:1 gene:Solyc04g064710.3 transcript:Solyc04g064710.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTAGQVIRCKAAVAWEAGKPLVIEEVDVAPPQKHEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLQPGDHVLPVFTGECQQCRHCKSSESNMCELLRINTDRGVMISDGQTRFSKDGKPIYHFVGTSTFSEYTVAHSGCVTKIDPQAPLDKVCVLSCGISTGLGATLNVAKPTRGSYVAIFGLGAVGLAAAEGARLAGASRIIGIDLNPSRFNDAKKFGVTEFVNPKDHDKPVQEVIAEMTNGGVDRSVECTGNVNAMISAFECVHDGWGVAVLVGVPNKDDAFKTHPMNLLNERTLKGTFFGNYKPKTDLPSVVAKYMNKELELEKFITHQVPFSEINKAFDIMLKGEGLRCMITMGR >Solyc03g006410.3.1 pep chromosome:SL3.0:3:1009224:1011779:1 gene:Solyc03g006410.3 transcript:Solyc03g006410.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVRVMARRTMSHRILTCDKLEIVTFSGDPASINISDTIFGFFDNESLKSIHLDFCEHNENIDDEGEEKDESENVEENQFWETQHQLLQGVLYRTTSLESQIRSITKEAIKEGNEIICSCRRTLDDGCKTCLMKEVCSRLQIAGFNSVICKSKWKNSLDIPSGEHTFIDVIDLSNPKKGEVRVIIELNFRAEFEMARGCEEYNRLVKNLPEVFIGKIERLLSLIKILCAAAKKCMKDKKMHIGPWRKQKYMQAKWLKTRERVEAAKLTYSSSMDEYSSRPPPRPRASMLTVDLLENLPNLHLITAVEVL >Solyc07g049360.3.1 pep chromosome:SL3.0:7:59759079:59764455:-1 gene:Solyc07g049360.3 transcript:Solyc07g049360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSQAAEEDKKPGGDQVHINLKVKSQDGNEVFFRIKRSTQLKKLMNAYCDRQSVDFNSIAFLFDGRRLRGEQTPDELEMEDGDEIDAMLHQTGGSTI >Solyc10g045615.1.1 pep chromosome:SL3.0:10:34831909:34833330:-1 gene:Solyc10g045615.1 transcript:Solyc10g045615.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVKGSVGNRALAVLIDSDSTHIIDEQAVDNTGYVAENPAFEHLLLVSNTLLFHFLYAKRSKCSFGQSKEYLGHVLKDMEEWPTPRTVKALRGFLGVTGYYRKYVPNYERIIRLLSDLLKKNVIVRIEEADQSVAAIKKVMSATPMLALPNS >Solyc06g062710.1.1 pep chromosome:SL3.0:6:39693170:39693670:-1 gene:Solyc06g062710.1 transcript:Solyc06g062710.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MILESKKKVLNEVRAKIVRYHENRSEKLALL >Solyc11g011030.2.1 pep chromosome:SL3.0:11:4085805:4089166:1 gene:Solyc11g011030.2 transcript:Solyc11g011030.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Pto-responsive gene 1 protein [Source:UniProtKB/TrEMBL;Acc:Q9M723] MDSRMEIDFMDLNSKPKLSEMEKQHKKVSGMKWPFSLADLATHHEHTFFQNYKSTPIVSINSKNSSLNNYKSTIDPQYFRGTFPLLAKTSTYDSRKNYDNLSPNESTLTIFYMGEVHIFPGISPEKAELIIDLVSKSTTLHMDEILEKVMNKEKYEENKSDPSNASTNYAKGALAMARRATLARFLEKRKHRLIKARPYLYGENLSKFPFDIQQQEEETASSSVHWEN >Solyc11g040263.1.1 pep chromosome:SL3.0:11:38311215:38319906:1 gene:Solyc11g040263.1 transcript:Solyc11g040263.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFRSIPSYFKEEELQHVKAYANNKLKPAQFSPVFPSSHQILILLQEDVQTLPGHPLEDKMASTKDLYRLKYFLGIEVAQSKSGIAISQSKYALDVHDSWIDRNDGIQTIDSLMELLRSEEIEYYINIINKGSDTGNIYISEKQQFPLSFTNIYSSLETNSPQHAQRNEATQEDSSNSLILLIFPITNVPPVPTVSYTALLLITIINKSEKVSAIEFRPYLWSSKI >Solyc04g064640.3.1 pep chromosome:SL3.0:4:55820331:55827722:-1 gene:Solyc04g064640.3 transcript:Solyc04g064640.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHEGTIYQAMEELPKYVPEQILAYEHSIDVIKCVDKPMSVYEPSSGAALSFTSIIVQPSLFPLPFCILGSKDTKTKSHGCVWYGSHGFARGRLGGLGGDRYSLPFVSPDNLLVTIVNSIGAGLEATYVLIFLIFAPKKEKAKICGYLVVVFSIVSCVALVSLLAFHGNKRKLLCGFAFTVSCIMMYGSPLSVMRRVIKSKSVEYMPFFLSLAVFICSLSWFFFALLGKDPFILVPNAIGTLLGAMQLILYAIYRASEVKKDEMNESVETRTGELQDKKLAYNEDA >Solyc06g051795.1.1 pep chromosome:SL3.0:6:35551787:35554325:-1 gene:Solyc06g051795.1 transcript:Solyc06g051795.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPQPVVGTMELDASPLTWHVFTVAHAGDGNFHVVVQFDPTKEEQPREVVRWLYAERFTTLKVGVEPQGFSSIDFTTRTH >Solyc05g007700.1.1 pep chromosome:SL3.0:5:2188411:2188665:1 gene:Solyc05g007700.1 transcript:Solyc05g007700.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNAVVSFVPQLGEQELREPYIGMEFQSLDTGFKFYLDYAHRNDKFIIGQEFVCSKEGFRLKKCNQACNCD >Solyc01g103010.3.1 pep chromosome:SL3.0:1:91547513:91565022:1 gene:Solyc01g103010.3 transcript:Solyc01g103010.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANLTITNILEKMTGKDKDYRYMATSDLLNELNKEGFKLDAELEGKLSSTVLQQLDDAAGDVSGLAVKCLAPLAKKVREQQVLEMTNRLCDKLLNGKEQHRDIASIALKTIVSEVPSSSIARNVLVSISPKLIKGITAPGMSTEIKCECLDILCDVLHKYGNLMDTDHESLLTSLLPQLSSNQASVRKKTVSCIASLSSSLSDDLLAKATVEVVRLLSNKSLKSEMIRTNIQMIGALSRAVGYRFGPHLGDTVPLLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCDEILHLTLEYLSYDPNFTDNMDEDIDEEILEEDEDEYVHSRSGSLLRLIRFFLLIKSLVKFCCSESANEYTDDEDVSWKVRRAAAKCLAALVVTRPEMLSKLYEQACPKLIDRFKEREENVKMDVFSTFTELLRQTGNVTKGQTDLNESSPRWLLKQEVPKIVRSLNKQLREKSVKTKVGAFSVLKELVVVLPDCLADHIGSLIPGIEKALCEKSSTSNLKIEALIFTRLVLASHSPPVFHPHIKAITSPVILAVGERYYKVTADALRVCGELVRVLRPKIEGSTFDFKPYVLPIYNAIMVRLTNQDQDQEVKESAITCMGLVVSTFGDHLHAELPACLPVLVDRMGNEITRLTAVKAFAVIAASPLHLDLSCVIEQVISELTAFLRKANRALRQATLGTLNTLIVAYGDKIGSAAYEVIVMELSTLISDSDLHMTALALELCCTLMADRRSSANVGLTVRSKVLPQALTLVRSSLLQGQALLALQNFFAALVYSANTSFDTLLDSLLSTAKPSPQSGGVTKQALFSIGQCVAVLCLAAGDRKCSSTAKQHLALLCLGEIGRRKDLSPHAHIENIVIESFQSPFEEIKSAASYALGNIAVGNLPKYLPFILDKIDNQQKKQYLLLHSLKEVIVRQSVDNAEFQDSSVDKILNLLFNHCESDEEGVRNVVAECLGKIALIEPGKLVPALKDRISNPAAFTRATVVIAVKYSIVERPEKIDEILSREISSFLVLIKDKDRHVRRAAVLALSTAAHNKPNLIKGLLLELLPLLYDQTIIKKELIRTVDLGPFKHTVDDGLELRKAAFECVDTLLDTCLDQVNPSSFIVPYLQSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFRPKQDAVKQEVDRNEDMIRSALRAIAALNRISGGDYSHKLKNLMVEIEKTSLWDKYCCIRNE >Solyc08g079255.1.1 pep chromosome:SL3.0:8:62983330:62986765:1 gene:Solyc08g079255.1 transcript:Solyc08g079255.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLSSKLSWLITAAITAALLSPTTIEAQTPSCASQLVPCAAFLNSTKPPASCCDPLREAVTKDLQCLCKLYENPALLISLGINVTQAIELPKYCNIPGDVSACKAAAPSSSSPSGKTPPVTTPASKDKNGVSTVAWTGMSSLLMLFASFVLA >Solyc06g072460.1.1.1 pep chromosome:SL3.0:6:44837051:44838163:1 gene:Solyc06g072460.1 transcript:Solyc06g072460.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRVKLNNDQTSSLKHFSHPHELELCTQLQDLSPCSGCRLPPSGQMYICRPCNFTLHLSCAKFPQLITHPSHPNHSLNLLPTSKYPGGQFNCDACKRHGTGFSYHCSYCEFDLHVICASKPLKITHELHQCSLELTFKNPYANAKGFSCDVCRKIGVKQWLYRCPACEFDVHLDCLASAPRQEASQSTALQHHHSFPGATNQFQQAIMGTQARSHHFMHAASTGAITNNHSLQPTVFQGQARPNQLFHSASTSAVPQQQFLQPPKIQGQARPNQLFHSASTSAVPQQQFLQPTMIQGQVRPNQYMQTPGSNSGLGNNLMNAAIQGLVEGAAQQVGQTFMQGIIGGGDNNGGNEGSSILGGIFGDSSDTQY >Solyc06g068740.3.1 pep chromosome:SL3.0:6:42725274:42735237:1 gene:Solyc06g068740.3 transcript:Solyc06g068740.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGKKERAQERREQRRQEISLLRTIPYSDQQSLIADLEKKYEIIDLVYSWFTSILPSCLCTSSMLSEDLDNYAPKCFDYGLLYGVDSEHYTYRRKMIFRWWSNETIAVVTGSNRGIGFEIAHQLASHGLTVVLTSRETRVGEEATKIMQEKGLNVVFHQLDIVDPVSVETFSDWIKENYGGLDILINNAGVNFNFGTDNSVEYAETVIQTNYFGTKSMTKAMIPLMRPSPFGARIVNVTSRLGRLNGRRNRIANVSLRQQLEDVDSLSEELIDSTVNSFLEQVKNGTWESGGWPQVFTDYSLSKLAANAYTRLMARILSDQPEGHKIYMNCYCPGWVKTAMTDWAGHTSPEVAADTAVWLALTSDQIVSALKLDTTDPIPSPWPHQFHATTIMNYTGGLRKVDLWYDWPNKRYLHINQYQLGKKLYDVEWQNGTSFYFTLDSTQECTVKHFPVGILRPNWLEGANYLGQRYKDGFLCNVWEKIDFLHYYEDVATQIPVYWQFYDGLIEHIITFEVGKVLEDSKWQAPAYCFKEMEKGKLTLQDRKSLVLVGGALLLRRLTKSTTRWDHARIVAESLNGEKFSKEQAARDPDNYFNFRWLSCPAADMVDGSKVLYFEQAFWRTPHKPFRQLRELQYLISNRTLLQRFFMVKPCAKELKCDVEEDYQELNRSMKLNSRIPATLLQFPFFWLSTYAIRDAEEYKNFCDRPRDQRPQPEEVIGDIAEHLTTIHLKRCERGKRCLYEGSTPADGFPNSWQNGATYCTSELAVLKNNEIHAWDRGFDDDGNQVWGVKGGPYEFKPAPSSSFNDVLNPLSFASQPLGKRIEGSFVLQE >Solyc02g082155.1.1 pep chromosome:SL3.0:2:46423278:46424397:-1 gene:Solyc02g082155.1 transcript:Solyc02g082155.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFTSKPNGVAFQMDHEHPSAPTYCQMILTRLKPKNSRPSKSLMQRPDTSVNPKVAAMRLNINWDFAEEFEEADEIDDPEVPAAVVSG >Solyc08g014100.1.1.1 pep chromosome:SL3.0:8:3726674:3728482:-1 gene:Solyc08g014100.1 transcript:Solyc08g014100.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNTLSPKSAHPIFKIIEQCKNIATLKQVHAQMITTGLIFHTYPLSRILISSSTIDATISYALSIFNHVTNPTIFLFNTLISSSLSRKKDDQTHFALALYNRILTQTTLIPNSYTYPSLFKACGSQPWIQHGRALHTHVLKFLEPPYDHFVQASLLNFYSKCGELGVARFLFDQITGPDLASWNSILAAYAHNYSVYYEADLDSVYDSSSLSLEVLLLFSQMQKSLTCPNEVSLVALISACADLGALSHGIWAHSYVLRNDLKLNRFVGTALIAVYSNCGRLDFARQVFDQLLERDTYCYNAMIRGLAVHGLGVEALELFKKMDLEGLVPDDVTMLVIMCACSNVGLVDQGCKFFESMKEDYGIEPKLEHYGTLVDLFGRAGRVKEAEEIVQTIPMKPNAVLWRSLLGAARVHGNLEVGESALKQLIQLEPETSGNYVLLSNMYASLNRWDDVKQLRKLMKDQGIEKAPGSSIVDIDGAMHEFLIGDKTHPELKWIYVKLDEMHRRLQEHGHKSGTREVLFDIEEEEKESALTYHSERLAIAYALIASDSGAPIRIIKNLRVCNDCHTATKLISRIYEREIIVRDRSRFHHFKNGTCSCLDYW >Solyc02g081084.1.1 pep chromosome:SL3.0:2:45724458:45725715:-1 gene:Solyc02g081084.1 transcript:Solyc02g081084.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MCDFKGLVRNRKNPEGSIVEGFSAVDCLNFISIYLPNTVKKKLSRCEIEDHEYIQTEEGGVSHLFPKTGHPIGSENIRKGKIFNMEQHELFEAHRYTLFNTGDEQVEAFIKEHKSLTDNRTRGNAWVKAQVHSREFVPVDLYDLEEENCPNIEETFWREPNNDIGSSERLVDVDVRWSREDLPVDIIDAPSIAQHSQDEAMETSEEEDDFDDTDWDWMEVDD >Solyc12g038650.1.1 pep chromosome:SL3.0:12:51618674:51621847:1 gene:Solyc12g038650.1 transcript:Solyc12g038650.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKNYLHSNFRRRKKSEVSIYVFQNFLISMKIIYDNNE >Solyc02g064860.2.1 pep chromosome:SL3.0:2:36554383:36556348:-1 gene:Solyc02g064860.2 transcript:Solyc02g064860.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVSHLQVQNIEPQNPILNFDALLCKEDPLDEGDLGGGYHSDERNRNVKKISPFLECDMFWEDGEVETLLSKEKLNLFDCTSLVSDGVLLGLRKKSLEWMVTVIDHYGFNALTAVLAVNYFDRFMSRVGFQKGQPWMSQLVAVACLSIAAKVEEIQVPLLLGLQVSNPKYVFEAKTIKNIELLVLSTLKWKMNPVTPLSFIDHIIRRFQLMTNLHSEFNRKCENIILGIITDSRLLHFPPSVIATATLFYVINDIEPSNAVNYLNQLMAVLKVRKASIDECHDLILELMGTSGSKICQTNKRKCQSIPGTPDGVIDAYFSCESSNDSLVVASSVSSLPEPQYKRSRT >Solyc06g072360.3.1 pep chromosome:SL3.0:6:44775564:44779076:-1 gene:Solyc06g072360.3 transcript:Solyc06g072360.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MFNSSSHPFMTSSEINENSCINNNNNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYICEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPIVKKRVFVCPEPTCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCNKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACSFGRLRSESQSLIQLPSAPCLSRTASSPSPSSDHTNNLITTTNTIPWPNFIINTPNAMIKEHNLELQLLTTTSTTTTSPCSPFDVSISSKSRDDHNLQLSIGSSDLSENNNNDTHRWRNDVEGLRHALAEKVYAEETRKEARREIELAEEELSNARRIRRQAQMELEKAQVLKEEAIRKINFTLSQITCYACKQKFQPKKTTTTTTRTTSIDQNIHLKV >Solyc12g010320.2.1 pep chromosome:SL3.0:12:3377562:3380919:1 gene:Solyc12g010320.2 transcript:Solyc12g010320.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Temperature-induced lipocalin [Source:UniProtKB/TrEMBL;Acc:Q38JD4] MATKVMEVVKNLDLKRYMGRWYEIASFPSRFQPKDGVDTRATYTLNSDGTVHVLNETWCNGKRGFIEGTAYKADPNSDEAKLKVRFYVPPFLPIIPVTGDYWVLYIDEDYQYALIGQPSRRYLWILSRQTRLDDEIYNQLVEKAKEEGYDVSKLHKTPQSDSPPDSEDSPKDTKGIWWIKSILGK >Solyc06g062580.3.1 pep chromosome:SL3.0:6:39612670:39620086:1 gene:Solyc06g062580.3 transcript:Solyc06g062580.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Beta-galactosidase [Source:UniProtKB/TrEMBL;Acc:K4C6Y9] MVFSRLVRLNVLLMLLALLGSWVLSGMCSVSYDRNAIIVNGQRRILVSGSIHYPRSTPEMWPDLIQKAKEGGVDVIQTYVFWNGHEPEQGKYYFEERYDLVKFIKIVHQAGLYVHLRIGPYACAEWNFGGFPVWLKYVPGISFRTDNAPFKSAMQKFTTKIVNMMKAERLYESQGGPIILSQIENEYGPIEKRLGEPGKSYSDWAAKMALDLGTGVPWVMCKQDDAPDPVINTCNGFYCDYFSPNKAYKPKIWTEAWTAWFTEFGGPIPYRPVEDLAFGVAKFIQNGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPIDEFGLLRQPKWGHLKDLHRAIKLCEPALVSGDPTVTSLGNFQQAHVFTSKSGVCAAFLANYNQHSFATVTFGNRHYNLPPWSISILPDCKNTVYNTARVGAQSALMKMTPAVRGFSWQSYNDEPSSYEDSTFTVVGLLEQINTTRDVSDYLWYMTDVKIDPSEGFLRSGQWPWLKVSSAGPALHVFVNGQLAGTVYGSLKSQKITFNKAVNLRAGINKISLLSIAVGLPNIGPHFETWNTGVLGPVSLSGLNEGKRDLAWQKWSYKVGLKGEALNLHSLSGSSSVEWVEGSLVTQRQPLTWFKTTFNAPAGNEPLALDMNTMGKGQMWINGQSLGRYWPGYKSSGTCSACNYAGYFNENKCLSNCGEASQRWYHVPRSWLHPTGNLLVVFEEWGGDPNAISLVKRELASVCADINEWQPQLVNYKMQASGEVDRPLRPKAHLRCATGQKITSIKFASFGTPVGVCGSFSEGSCHAHHSYDAFEKYCIGQESCSVPVTPEIFGGDPCPGVMKKLSVEALCS >Solyc06g064500.3.1 pep chromosome:SL3.0:6:40276475:40288357:-1 gene:Solyc06g064500.3 transcript:Solyc06g064500.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MANKKNNMYANELIEAEALSWDYILTYLRPPCIRCAIQLGIPDILHKSAHPIMSLSDLIAALPNVNPSKTTFIPILMRVLVDLGLFNYHPQQGDGYSLTSVGRLFVESDPSNKRSIFTFLIQFEIVDSMSDWLRNDLPTAFETAHGKSIWDYCSGESEYSGVFNDAMASDSKLISNLLISDCCSGVFEGLTSLVDVGGGTGTVAMAIAGAFPSLKCTVLDLPHAIGDRKGTQNLEFVAGNMFDKIPHANAILLKWILHDWNDEDCVKILKKCKESIPSKENGGKVIIIDIVMEDNCSNNEQLVQSQHLMDLLVRITYDSKERSNKEWEKLFLDAGFSGYKIITSLGLSNNNICAYELIEAEAQSWDYILSYLRPSCIKCAIQLGIPDILHKNADPIMSLSDLIAALPNLNPSKTTFIPILMRVLVDFGLFNYHQQQGDGYSLTTVGRLLVENHHFGNRSFFLFAQHPVVLNTAASVGDWLKDDLRTAFETADGKSHWDYCGADPEFNGVFNDAMAGDSRLMSNLLISDCCAGVFEGLTSLVDIGGGTGAVAMAIAGAFPSLKCIVLDLPHVIADRKGSGNLEFVAGSMFDKIPHANAILLKWILHNWDDEDCVKLLKKCKESISSRENGGKVIIIDMIMEDNYNNKQLVQSQHLMDLIMRITYASKERTEKEWEKLFLEAGFSGYKIITSLGLRSLIEIYP >Solyc11g063470.1.1.1 pep chromosome:SL3.0:11:49908549:49908713:-1 gene:Solyc11g063470.1 transcript:Solyc11g063470.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGATGTGKSHLCVNLATHFRGEIINSDKMKVYKGLEIVTNKITHTENKVYDTIC >Solyc10g009210.3.1 pep chromosome:SL3.0:10:3185396:3200141:1 gene:Solyc10g009210.3 transcript:Solyc10g009210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEEAEAEAVLGDGGQNLRIRGRHIKKRALKNKALTVSFDEKDLKDFVTGFHKRKKKRRKDAQVQLEQALRRKRIEARKKRKEEREFAIFGGAAPDSAAVTDEPDEDLDVEEENEPNATVSGTTTYDNGDVQVIVTTSEISREEEESPAQVPPPSAIVKHAIESKNSKQNIPIPNTVIERNFMERPSGEKRRLDVSSSEEGQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVHGFMWPLSDMILFWIIAISNQRQLQVSEEVERALAKLGPTKLNARTLRFFATQLYATKAISSKARVMPLPSRTMDAELGGLLWSSLTAILLRAEVEVSPKRIEGPNGRNLQLQFRSKLSLPLFTGGKVEGEQGSTIHVVLINGNTGHVVTVGPESSVKLDVVVLGGDFNNEDDDGWTEEEFESHIVKERDGKRPLLTGDLQVILKEGVGSLGELAFTDNSSWIRSRKFRLGLKVVSGSREGIHIREAKTEAFSVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLNKAGIYTVEDFLRLIVRDSQRLRNILGSGMSNKMWDALVEHAKTCVLSGKLYVYYPDDMKSIGVVFSNIYELCGFISGGQYHSVDSLSEDQKEYVDTLVKKAYDNWMHVVEYDGKSLLSLDQNKTSDAPQYDLSTGSQNHPNSFDHQLNLPSLPASTSSEQPPMNSGLNMGLEGYNDSLMGTYTTESQNTNLSVNEQLSGASFSQNHFVGMSQQAQPTGSESRPALHPPQPPFSSFFAANTPNSSYKGTDDFYTEEEIRTRSQEMLENDDMQHLLHIFNMGGQHHASSSTSEDNNYPYGSPYMPSMSSSFGIDEDRTRSGKAVVGWLKLKAALRWGIFIKKKAAEKRAQIVELDDP >Solyc02g063290.3.1 pep chromosome:SL3.0:2:35902203:35905671:-1 gene:Solyc02g063290.3 transcript:Solyc02g063290.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSAVNVTNITVLNNPSSFLSPLKFEITYDCVNALKEDLEWKLIYVGSAEDDTYDQLLESVFVGPVNVGGFRFVLQADPPDPAKIRAEDILGVTVLLLTCSYVGQEFVRIGYYVNNDYNDENLRQQPSQMVKIDMLQRNILTDKPRVTKFPINFHPENSETGEQAAAPPPDDNTAEADGYEE >Solyc08g079830.3.1 pep chromosome:SL3.0:8:63395746:63399373:-1 gene:Solyc08g079830.3 transcript:Solyc08g079830.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MAFLRSIVTAKTTAIAAAIPAAAFAVSSISSSSQFERPLKNLKFGSISSSNSILQLSFAKNLQKKSPPSALHMETHSSNHQTSSDNGVVLPELLTEFMVDMSCQGCVSAVKSKLQTVEGVKNVDVDLDNQVVRILGSSPVKTMTEALEQTGRKARLIGQGVPDDFLISAAVAEFKGPDIFGVVRLAQVNMELTRIEANFSGLSPGKHAWSINEFGDLTRGAASTGKLYSLPLGDLGTLDVDEKGEAFYSGPKEKLRVADLIGRAIAVYATEDKSDPGLTAAVIARSAGVGENYKKLCTCDGTTIWEATSKI >Solyc01g044378.1.1.1 pep chromosome:SL3.0:1:43826192:43826245:1 gene:Solyc01g044378.1 transcript:Solyc01g044378.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding GPHLHHLSTFRKPPEYP >Solyc11g071480.1.1.1 pep chromosome:SL3.0:11:55227415:55228746:-1 gene:Solyc11g071480.1 transcript:Solyc11g071480.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNVKIDSSKIIKPLYEGTPPSTTTHIPFNIFDNVTFDALMALIYAYRPPTPPTSTIEIGLRKTLSIYREWAGRIGEDEHGNRGVFLNDEGVRFIEASVDTSLDEVLPLKPSPSVLSLHPSLKDVVELIQVQVTRFTCGSVVVGFTGHHIIADGHAASNFFVAWGQACRGMEITPLPVNDRTIFRPRDPPLVEYNHVGAEFVSKLGYKELVKVNNDEHKEKNIIVHKVHFTLEYLGKLKAHASFMNENAKTYSTFESLIAHLWRVITKSRDLNAFQNTRIRISVDGRRRIIPRVPDEFFGNIVLWAFPTSKVKDLLDEPLHYATKIIHEAISKVDDKYFKSFIDFANDEKVMTRQDLIPSANMNNESLCPNLEVDSWLRFPFYDLDFGTGCPFVFMPSYYPIEGMMFLVPSFIGDGSIDAFIPLYEHNLTNFNKICYSLDLKAK >Solyc08g041980.3.1 pep chromosome:SL3.0:8:24471695:24484846:-1 gene:Solyc08g041980.3 transcript:Solyc08g041980.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MNRSMAILLTLIQLSLLSSLAFASGSTDGYTINGRVKIPGFSLKGSGLAAKASNVKVILNSGQQVTFLRPDGYFSFHNVPAGTHLIEVSAIGYFFSPVRVDVSARNPGKVQAALTENRRSLSELVLEPLRDEQYYEMREPFSVMSLVKSPMGLMVGFMVVVMFLMPKLVENMDPEEIRKAQEEMRSQGVPSLSSLLPGAQRSN >Solyc12g017923.1.1 pep chromosome:SL3.0:12:7800898:7801731:-1 gene:Solyc12g017923.1 transcript:Solyc12g017923.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MENREDVKSILPFLPVRLRSFSLFWPPAVVEALKALSQGANYSNVNSGHVLFLAISDIRNSLSLPDSSISSSASDGFSLLFDDLIPRDEAVKWFEEVVPKMADLLLRLPSLLEAHYEKADGGIVKGVNTGLRLLESQQPGIVFLSQDVPGLTQDEALLKCRGLTYGALVS >Solyc09g010240.2.1 pep chromosome:SL3.0:9:3629250:3631892:-1 gene:Solyc09g010240.2 transcript:Solyc09g010240.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTRASTYKDALKGFIVGYQEDMQQVWRKNEDAKLHKDIYAAKEQYWPLQYKFGQMGEGNYIANGSTLSFVKVWMEEAGLDQNRSGEPGMDVR >Solyc09g025210.3.1 pep chromosome:SL3.0:9:65279837:65281782:1 gene:Solyc09g025210.3 transcript:Solyc09g025210.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGASWLCFSLSFLLVLHGTFAQQRYQQQQGQCQLNRLNPMEPTVRIQAEAGVTELWDKNNQQFQCAGVSLIRHVIQSRGMLLPSYLNTPLLAYVERGRGFYGIMNSGCPETFQSSQQFQQGERGAGSRFQDRHQKIGQFKQGDIIAFPAGAAHWAYNEGNEELVLVCFEDSGNSANQLDQNSRRFFIAGNPQQGEQQQGQQGGARSFQKEQFQSGNVFRGFELELLAEAFGVSTETARKLQGEEDQRGHIVNIDQGLRVVRPPFSQEQEEREERQEQGQYGPRANGIEETICSAKLRQNIDNPARADVYNPQAGRFTTVNSLTLPILSFLRLSAAKGVLYRNSIMAPLWWTNAHSVIYVTRGEARIQIVDHRGQAVLDDRVRQGQVVIVPQNFAVVKHAENEIFEWVVFNTNDNAMINTLSGRTSAIRGLPVDVIANSYQISREEARRLKFNREETLLFTSSGRTSQYGRVAAA >Solyc07g065630.3.1 pep chromosome:SL3.0:7:67448203:67464966:1 gene:Solyc07g065630.3 transcript:Solyc07g065630.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MKLKRRRAVEVPPKIRSFISSITATPLENIEEPLKSFVWEFDKGDFYHWVDLFNHFDTFFEKYIKYRKDLQFDDHFLESDPQFPREAVLQVLRVIRIILENCTNKHFYSSYEHHLSALLASTDADVVEACLQTLAAFLKKTIGKYVIRDASLNSKLFALAQGWGGKEEGLGLIACALQDSSDTSANELGRTLHFEFYATESSDESNAPIGLQIIHLPDIDNRKESDLELLNSLVLQYKVPPNLRFPLLTRLRYARAFSSSASRQQYTCIRLYAFIVLVQACSDSDDLVSFFNSEPEFINELVTLLSYEDAVPEKIRILGLVSLVALCQDRSRQPSVLIAVTSGGHRGILSSLMQKAIDSIVSNSSKWSVLFAEALLSLVTILVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVSMAVHVLEAFMDYSNPAAALFRDLGGLDDTIARLNVEVSRVENGVKLATASSDLESSDFNSSQIVAGTSSEPDSMQALYSDVLVAYHRRLLMKALLRAISLGTYAPGTTARIYGSEESLLPQCLSIIFRRAKDFGGGVFHLAATVMSDLIHKDPTCFPVLEAAGLPSAFIDAIMDGVVCSAEAITCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYVRALSGDTTGSLSSGLDELMRHTSSLRGPGVETMIEILKEIAKLGSVPEAITPSSDCPSSSNPVPMETEGEDRGVVLPEQDSQKAKSLEHVEPSSDSLLPNIESFLPECISNAARLLETILQNSDTCRIFVEKKGIEAVLQLFALPALPLSVSMGQTLSVAFKNFSPQHSASLARAVCLFLREHLKVTNELIVQIQGSQLVKVDSAKRITLLKNLSSLEGILSLSNSLLKGSTTVVSELGTADADVLKDLGRAYKEVLWQISLCCDSKVDEKQNVEVEPQNVEAGSSNIGGRDSDDETNIPSVRYMNPVSIRNSSHTQWGAEREFLSVIRSSDGFNRRSRHGLARIRGGRTSRHLESLQVDSEVAPSVVESTIQEVKKKPPSVLVLDNLNKLASSMRSFFMALVKGFTSPNRRRTETGSLSTASKSIGTALAKVFLEALGFSGYPDATALDIPPSVKCRYLGKVVDDMLTLTFDARRRTCYAAMINNFYAQGTFKELLTTFEATSQLLWTLPYSVLTSGMVPENTGEENKLSHSSWLLGTLQSYCRLLEYFVNSALLLSPTATSQAQLLVQPVAVGLSIGLFPVPRDAEVFVRMLQSQVLDVTLPIWNHQMFPSCNPGFINSIIMLITYIYCGVGDVKRNRSGSSSSANPRAMAPPPDETTISTIVEMGFSRGRAEEALRRVETNSVEMAMEWLFSHAEDPAQEDDELARALALSLGNSSETSKADSIDKMVEVLSEEQQTKPPPVEDVLAATIKLFQSADSMAFPLMDLLVTLCNRNKGEDRAKVTSYMIHQLKDCQLEFSRDTGALCMIAHTLALLLSEDEKIREIAAKNDIVSVVLEILMKFKARAENEIMVPKCISALLLILFNLLQTRPKISGDDTERVLAASLPESLEEHLPSQVPEAVIEKKSTLVSEDDESSVGFEKIFGKPTGYLSIEESGKVLDFACDLVKQRAPAMVMQAALQLCARLTKTHALAIQFLENGGMTSLFDLPRSCYFPGYDTMASAIVRHLLEDPQTLQTAMEMEIRQTLGGSRHAGRTSVKTFLTSMATVICRDPGVFVKAAGAVCQLESSGGRSIIVLSKEKDKEREKEKGKTSVEFGASNECVRISDNKAHDGSGKCSKSHKKIPANVSQVIDHLLEIVAAFPTQGLVEDCVGNACAMEVDEPIVRVKGKSKVDEVREVQSDSVSEKSAGLAKVTFVLKLLSDILMMYVHALGVILRRDLEMCQLRGPHQLENPGYGGIIHHVLQRLLPLSIDKSAGPDEWRDKLSEKASWFLVVLSGRSSEGRRRVINELVKALSLFVKSESNSARSSLLPDKKVLAFVDLAYSILSKNSSSGDLPGSGCSPEIAKSMIDGGLVQSLSGVLQAIDLDHPDAPKVVNLILKTLESLTRAANASEQLYKTDSVNKKKTTAVNGRSDNQVNATSAFQHIEASGNGSSQPEVPDTNAGQLPLSASENHSNENVTTDPSMVQELRTEQEANAGDPPLELGLDYMRDEMEVNGVLNDTEQIGMGFHVENRAHHELGEEDDDMGDDGEDDEDDDEGEDEDEDIAEDGTGLMSLADTDGEEHDDAGLGGEYNDDMVDEEDDEFHENRVIEVRWREALDGLDHLQVLGGNVDDLFGLRMTFGFERRRQTTRNLEQSVTEVTGLQHPLLLRPSQPGDSAPVWSSLGNSSRDSETLSAGRLDVARFYTFDSPVLPFDSVPPSIFSDRLTGAAPPPLADFSVGLESLHVPGRRPGDGRWTDDGQPQAGGQSAAIAQMVEEQFICQLSRIAPATNPPLGLLEREQGIPVIGENQQQMEGDSTAGQQNDDRHNNSGQESSQPVEVQSCEREEYNLEVVADQVGEFPEAVDPMENVLLDRSNDGHGSMVIGEENANPSDNIEGAAGYAVSSIQGEGIAMHDRTAVGDLHTCNVTSSDVNHDTTTVTDGRAIDEPLLISGEAMLDSSAHHVPVVQEDTDVHMHGTETERESDPPLPILPEDPSVTQNLQEVQDASQTDETSLNNEASTANAIDPTFLEALPEDLRAEVLASQQAQAQPPTYTAPTAEDIDPEFLAALPPDIQAEVLAQQRAQRVVQQAEGQPVEMDNASIIATFPADLREEVLLTSSEAVLSALPSTLLAEAQMLRDRAMSHYQARSLFGGSHRLHGRRNGLGFDRQTVMDRGVGVTIARRASSSFSESLKLKELEGEPLLDAHGLKALIRLLRLAQPLGKGLLQRLLLNLSAHSSTRAVLVHLLLEAIKPETGGAGGGLTTINSQRLYGCQSNIVYGRSQLFDGLPPLVLRRILEILTYLATNHSAVASLLFYFDLSLIPEWSDVKCLENKRDKGKEKIVEEDPSNPFRSSNKRDIPLVLFLKLLNQPLFLRSIAHLEQVMGLLQVVVYTAASKMECQSHSEETVDRSHNETVEGSNNPDGNETMSDIQKDPALPDIKSPQDDSGTGSANPASDANGSTIIHDIFLQLPHSDLHNLCCLLGHEGLSDKVYMLAGEVLKKLASVAAPHRKFFISELSELTQRLSKSAVEELITLKNTHMLGLSAGSMAGAAVLRVLQTLSSLSTASADGNTDTSMEEEHDEHNIMWKLNVALEPLWEGLSECIGTMELELTQSTSSSVMSSTNTGEHINEAGASSVSSPLPPGTQRLLPFIEAFFVLCEKLQANISIMQQDHMNATAREVKELAGTSVKLSSKSVGDSHKRVDGAVTFVRFAEKHRRLLNAFVRQNPGLLEKSLCVMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPNQDLKGRLNVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNNATFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKLILYEKTEVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINAFLEGFSELVPRELISIFNDKELELLISGLPEIDMEDLKANTEYTGYTTASTAIQWFWEVVKGFSKEDMARFLQFVTGTSKVPLEGFKALQGISGPQRFQIHKAYGAPERLPSAHTCFNQLDLPEYTSKEQLQERLLLAIHEASEGFGFG >Solyc01g110643.1.1 pep chromosome:SL3.0:1:97195954:97198145:-1 gene:Solyc01g110643.1 transcript:Solyc01g110643.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGTRINGFMLEIKSSRTAAANKSIKTVRYVPLMPETRAVRRLHHFAEAICLEFPQQSFHQFGKSSTPSCGDGVFSDERSCYQRSWKAFGIIKYKLEGSAQLHTMGSRKKYEILCSMSLLASCILLVIHWFLIPLIKTAKVLQQVDKKFISIVAGTTLPIINQTENYGSGLPFCGPEPSPLSPDTLLGTKFQDVNP >Solyc08g077190.1.1.1 pep chromosome:SL3.0:8:61217182:61217481:1 gene:Solyc08g077190.1 transcript:Solyc08g077190.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MYTNLLHNEMITFSPFIFSLFRVFSNSYRRMDLQFYRSNRCLLSRRAEKNAVYVLQFQTEGKDYVNFSSTVGIGAKDEEVMESSRDGVLVLSRYVVWRE >Solyc08g062840.1.1.1 pep chromosome:SL3.0:8:52240507:52241220:1 gene:Solyc08g062840.1 transcript:Solyc08g062840.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MGRNIPLGEIHPIHHSLVYISFMIACFSATIAIFSSLCGSLTKKKSHPPPTTSSNNTRDNNEKSFVNGTTSPKETSPRYDASFKRNSIDQLQGEDATFLQQPLPPPPSMRATSFNNSNLRANSMTPTTHSRSNSSNSSQGRLSTSVSLRAFGGALGSRQSSRREDSNHDNKKKDKKLSKDEDSIWKKQIILGEKCKIPADEDDDTILYDENGNRISAYHPKPIVNQSSNIEEDAIPK >Solyc01g090920.3.1 pep chromosome:SL3.0:1:84488575:84501286:-1 gene:Solyc01g090920.3 transcript:Solyc01g090920.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEKSNVSDSETEERNSDSSSDSEESPAEPQRTGKLTDYEKQRMKRIEENRARMEAMGLHKMATSLMGSSPKPQKKGKDRKGKKKVADEDEDYDPVQSEDLSCDTGEEDDGDFEVSKSQLKKSKKKIQTTKKRVSNTMDFVNDDVTMDFVDDDVALMQAIALSLQDSAGFLNLANKVPMQGTDADSTNKYSNGKASLKKVSNEKASSKEASNEKEVGTCNQEDVTGKRKRKQQTRNRVQMTEDDLIMHFFQFDEAGKGSINFRDLQKMVVSHDFTWSDEDMANMIRCFDSNGDGKLSLDDFRKIVVRCNMIQGSEDASKE >Solyc01g028987.1.1 pep chromosome:SL3.0:1:39620538:39672740:1 gene:Solyc01g028987.1 transcript:Solyc01g028987.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMKFHGGSFMERLTINQGHQMNFAHHPWKFKCRGHNISILFLFVISNKDTRNNFLIKNIEEMIWHEHIAHTTKNSKVDNVYRTEYMDRTLHIQTCFTEVELHYSSKRKEDVLIRQTQILIRCLGRERKDRKPALTRLPFVSFFWSRVAAPLPILVLDFQLHSSEEEDSKSPPMLPSKVAIIKNGNNVLETHNNSDHTITYDSDSDYFRVQAGFLRCVFHSLELSHPKFWIAFHYLFASWNLEDLFTRGIDIQAVNVVINSDFPKNSEKYLHRVGRSGRFVKLGLAVSLVTFEDHFTLYIIKLYVLISTTTNRIYLTVGFDYRILEGYITIAVKLMNSLKSGFQQTLSQDFALDNRGSTVKAVKATQ >Solyc01g099405.1.1 pep chromosome:SL3.0:1:89552438:89554936:-1 gene:Solyc01g099405.1 transcript:Solyc01g099405.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MVFHLKSSCNPRVSRPGIVCTMLFLALDFAIPLAAFSSSFEEVSIDVKGRREGIGLFGPSSVLIYILSSSWVRLSHYIVLKDCKTRHFKQDPLSGTSWTINLILKIRLWIIVRLLWGSCCLFRPNGPLLHKKLALGVAPKAYY >Solyc05g010367.1.1 pep chromosome:SL3.0:5:4521611:4523184:-1 gene:Solyc05g010367.1 transcript:Solyc05g010367.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPPKEKKRGSRALAPDILSNLPDNIIDAIVMRLPLRDAVRTSILSKMWRYNWCRLPVWTIDQAFWDMTNNAISPTTRFTNIIFHLLTLHVGPISKFTLSVATLGNCSKIDNLMYFLSRNGIQHLVLQFPRGNLYKLPSSFFTCSQISHLSLQNCLIMFPPAFKGFEKLVSLELCDVTIPSKFIGSLISCCPSLEHLVLHSTITNHIQIRAPKLKSFDFTGKLIFLSLEGVPLLEKLSLVDTGYSGKAGKCGIAKLLESFPALKHLHLDYFSVRFFAGEVPKMLPFALNSLKRLHLSEFSLDELDVASCAFYLIKSFPFLQEIEIESLTILLLRDTG >Solyc12g019080.2.1 pep chromosome:SL3.0:12:9073725:9084220:-1 gene:Solyc12g019080.2 transcript:Solyc12g019080.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MMNDKKFEEDEKKALEGLSNAPITPRKKVHSYSHQLRTNTGTHHKRYHQFRHHSFDIPTNFIDNNNNHDHHGLIYNDDDSSDDEDFFPYSTYSTTTTGAGHVFDAGNFGPTVLEDEGNNQQQALPEFVGGGGGVGIFKVPTRASVHPSRPSCHELRPHPLRETQVGKFLRTIACTETQLWAGLECGIRVWNFSDQYKSGMGIGERARRGDEDAAPFHESTSTSPTLCLMVDSGSRLIWSGHKDGKIRSWKMDQSNFHESSFKEGLSWQAHRGSVLSMVMSSYGDIWSGSEGGNIRVWPWESIHKSLSLSSEERHMAALLVERSIVDLKSQVTVNGVCNISSSDVKCLLSDHCGQLDQHPFHYGQIENRGDMSSVQEQATEDEMNAKVSSKPKKEKSQGSSFLQRSRNAIMGAAEAVRRVASKGAGAFAEDSKKTEALVLAADGMIWSGCSNGLLVHWDGNGNRLQDFHHHPCAVLSLCAHGSRIWVGYISGMVQMLDLEGNLLVGWVAHNGPVVKMVVGDNYLFSLATHGGIRGWSLASPGPIDNIIRPDLAEKEHLYTRKEDFRILVGTWNVGQGRVSQEALEAWLGSTVSDVGIVVVGLQEVEMGAGFLAMSAAKESVGLEGSAMGQWWQDAIGKALDEGSTFERVGSRQLAALLIAIWVRKSLRNHVGDLDVGAVACGLGRAIGNKGGVGLRLRVFDRIMCFVNCHLAAHLEAITRRNADFDHIYRTMSFTRSSNLLNNASAINPDEGKPDLAEADMVIFFGDFNYRLFGISYDEARDFVSQRSFDWLRERDQLRAEMKTGKVFQGMREAIIKFPPTYKFERGKPGLGGYDSGEKKRIPAWCDRVLYRDSRTSPTVECSLGCPVVASIIQYEGCMEVTESDHKPVRCKFNIELAHIDRSVRRQEFGKVFQNNDRIRSVLNELRYVPETNISTSQIVLQNKDTFSLQISNKSREDMVLFQITCSGQSTTKEDTQASEYHPRGSLGFPRWLEVTPAAGIIKPDQAAEILVQHEDSQSLEDSVDGPPQSRCSEDTINKEVTLMIFIKASQSTEARTYQVHVCHSFSADALRVNSKNSGRSNEGSSHHRSTLKHSGSTSNKKKDHQNIRVP >Solyc01g105790.2.1 pep chromosome:SL3.0:1:93726908:93729375:-1 gene:Solyc01g105790.2 transcript:Solyc01g105790.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDLNFTCKKEDKDLDRLIMDDQLDKLYQAFNNYKRKKSIDEVDFKDFMDNYFAQNNYDYLHYDAQSTIMQISSDFEVFESTELPSTTKTSIDVNNDIDPLEENIHEFEKMIEEMDSSNVHPSNSTILQISKDFEAFERTKLTPATKTSINSNDNIENVVETERMIEEMASQYTSISNSHPSYNPNDSSSPVIRYFFCGQTKANNHRRKRQKRKYRGVVMSEEQRLLKMAKQKIKNREVAARTHEMRLAREAYLESQHLELLMENDFLKKMVTFLQDRQRVNVPPEPLRRTISGPMLIH >Solyc03g115005.1.1.1 pep chromosome:SL3.0:3:66342733:66343257:1 gene:Solyc03g115005.1 transcript:Solyc03g115005.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MALLPLVQKPLTPFPSSNISNKPKPKTQMSLNFTRRTTLILCPAISINLLSKQQNANAFDFRITVPDQTLEEAEDGIKNHAKNLLQVKELFEGESWKEGQKALRKSSALLKQDMYTIIQAKPGIQRPELRKMYSILFNNVTKMDFAARDRNVPRLWECYDNIVIALNNLMSRLL >Solyc03g123900.3.1 pep chromosome:SL3.0:3:72093892:72109679:-1 gene:Solyc03g123900.3 transcript:Solyc03g123900.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:alpha-1,2-Mannosidase [Source:UniProtKB/TrEMBL;Acc:K4BN88] MARSRSSSTVWRYINPAYYLKRPKRLALLFIVFVFATFFFWDRQTLLRDHEEEISKLNEEVIHLRNLLEELKNGGDISISKKKYSGEGGQAVKNMDSPDNILDAQRRERVKDAMLHAWSSYEKYAWGHDELQPQTKNGVDSFGGLGATLIDSLDTLYIMGLDEQFQRARKWVANSLDFNKNYDASVFETTIRVVGGLLSTYDLSGDKLFLDKAQDIADRLLPAWNTESGIPYNIINLAHGNPHNPGWTGGDSILADSGTEQLEFIALSQRTGDRKYQQKVENVILELNRTFPDDGLLPIYINPHKGTTSYSTITFGAMGDSFYEYLLKVWIQGNRTAAVSHYRKMWETSMKGLQSLVRRTTPSSFAYLGEKMGSSVNDKMDELACFAPGMLALGSSGYSPDEAQKFLSLAEELAWTCYNFYQSTPTKLAGENYFFNDGQDMSVGTSWNILRPETVESLFYLWRLTGNKTYQEWGWNIFQAFEKNSRVESGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPIKIVTRNDRAVSSGRSGGSEESDRQLRTRKEGRFRVHH >Solyc01g104230.3.1 pep chromosome:SL3.0:1:92569764:92572917:-1 gene:Solyc01g104230.3 transcript:Solyc01g104230.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFLPDAIVTCILVYVTNAKDVASASCVSKRWKESIPYLNKLVFHRNIFDDVKRFSPDEIIEQMVSSINKLEELVVYCPFSGSGLASWLSMAGHSLQKLELKMDDNLIDLNSCSRKLDSIGAATNLESLKLWGVNMTRGPKWHTFHKLKNLEIVGAVTDDSNLRAALGACPNLSNLLLLGCEGVTDVFIELPHLEKCKLDFNGLGNCSLTVNSRNLQHLEVQGFSGIRVLETQFLRDLIIANTAGRVSALDFGKLVALESLEMRGVQWCWHAIHYMLQLASEVKHLLMKVEFTGDFDALQPFPEIDIVDFFNSHPKLTKFEIHGAMFAALCQRNSLRNVDSRFMIPCLEEVVVTVRSPLNAEQKMSTLESLINYGKKLRKMRIRILQMKSSHSSTDDFFEDICKFTHSHRRIVSIE >Solyc12g098465.1.1 pep chromosome:SL3.0:12:66946281:66954553:-1 gene:Solyc12g098465.1 transcript:Solyc12g098465.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSRCFPFPPPGYDKKPRPEDRDLLKEEKRKEKKHKKEKDKDKKDGKEKRDKDRSDGKHREKKDKKDKHRDKKEKHKDKKDKDKDKERSDLSEEAKVAVPPGASSGQKLPSGDYKNESINSQEAKFHDQSHGQLAEKLFKSSLPVVETEESKYVQDLARRLRDDQNGAVSQLAKRFPVESKRDAKSNSMYIKDSGNLAREKEKNKERNDFSNKMDGQQLRVEPRIGANAKLPSFSEMEKRNFHGLLPPLEENDTIAGVTEGNHRKRKDIETNGFLHENEVRPAKLLRPSSSHQPTLNGKRLEIHQKADMLSSNKQGVVTNIQVINKEQSLNGTSKLSNKHGVGTDIEMGNMGTRHKTDMLSSHRGGVATDTQVINKEKSLNGTVKLSNKNGVATDIEMANMDTHQKADMLSSHKQGVTTDIQVINKEQSLNGAIKLSNKHRVATDIEMGNKELGVNGTIKLPNKHGVATDIEVGNKECRVNGTIKGQPLTMSKPKTLVQSKPKASSMSPGADHIAEASKRPPHPDSKYLNQILSVPKMDGWSGFDDQEWLLGSKSNLVRKPDVCLDEAKDHQVWSEALQIDSADVFALPYVIPY >Solyc04g074780.3.1 pep chromosome:SL3.0:4:60782047:60786717:-1 gene:Solyc04g074780.3 transcript:Solyc04g074780.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MTKSISILQSCTGINNAYKSNYSSNSSFRFKDLNLKCFGSQQHSLSLFNSTNGRLNGDIIKRKFVVCCNGSLPTPPSSNPLNGWVVGILLSIVLPLFRFKWGSLLQIKNKVEDVIETVEEVVDGVEMMAEKIDEVAENIVSVLPDSQLKNVIKAVEEFSEDTAEAAHAAGDFIDQDVELVEEVGVPKEQLKDLSEKTTKVANAAEDLKDKVQEVGLKAEEISKKKVVESVKEENNKSL >Solyc10g006600.3.1 pep chromosome:SL3.0:10:1126530:1130621:1 gene:Solyc10g006600.3 transcript:Solyc10g006600.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEDQRDAQNLGISEGVNHLILSTSSTCNCVVSFPKMSSDYKGLYSPSILLSFVMDDMMKLLLLHGMSYLTGKAIVLMGVSGAGKSTIGEMLGRAVHGRFLDADDYHSESNKEKMKNGIPLSEEDRVPWLEALRNTLRRGLVDNETLVLACSALQKRYREILRSADPNYEPGSYASIVKFVLLDVGAEVLAARLVKRAAEGKHFMPATLLQTQLELLQIDEAEGILKVDATMDPDSILKTIRTFVV >Solyc01g066440.3.1 pep chromosome:SL3.0:1:74152920:74154223:1 gene:Solyc01g066440.3 transcript:Solyc01g066440.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSNKSPIFPIVEPQHFSDYGFDPQIHYFQILEEARKHKIRETARSSIDTLHFKLQKPISKDESSKKIKKNSSRKRWWKNALLFFKKTKSNNEKFYGADGEVHHRRPNFQGSISGPVYITESRSGSNTPYRTTSRPSSGPLAGTLTPNRKGDLEIPYINLREFNMDQQQQHKICTASPMPIYLVT >Solyc01g011094.1.1 pep chromosome:SL3.0:1:7598902:7599503:1 gene:Solyc01g011094.1 transcript:Solyc01g011094.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MIFKKIELIKRFLLKCFRIKDLGELKYFVVGRLIYLTVTRPDIVYYVRTLSQFMQEPRKPHWDAVVRILKHIKGLLFPSIGVVVGPQEGLSQDTAFFFGNSLISWKSKKRLVVCRSSAEAEYRAMTNTC >Solyc12g014310.2.1 pep chromosome:SL3.0:12:5129452:5133634:-1 gene:Solyc12g014310.2 transcript:Solyc12g014310.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MASEKEKIKITSDKYRLFLHEDQAAAEGTIQWRHGDAPSYGSVNKLFEEGRTKVWPEGSLEETVQNAIKSWEMELSHKTRVKDFRTINPQKFKLFVNGRDGLSAEETLKVGSYNALLKSSMPNEFKYYKADEETFESSHNAFRSAFPRGFAWEVISVYTGPPIITYKFRHWGFFEGPFKGHAPTGNMVQFYGLGILKVDESLRAEDVEVYYDPTELFGELLKGPKISESNVEHH >Solyc01g100940.2.1.1 pep chromosome:SL3.0:1:90708928:90709628:-1 gene:Solyc01g100940.2 transcript:Solyc01g100940.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding LIFVSHQEIMDRERRKRKNLENERLEKEEEDEDEDEKIDKFFALIRSTKDLRDRLLARNQVTERSKILEENRDSVHNNSAVGIWNPSVHESRDFMVIEASAIAGPSNSNSNKQSKEVEEDETGGQREGTKNEEKKCNMLDLDLNLSL >Solyc07g043550.3.1 pep chromosome:SL3.0:7:57579717:57584429:1 gene:Solyc07g043550.3 transcript:Solyc07g043550.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MDFVELKRRSSTSRRLLLLAGIAAICLFIFRNSSSFSTSVKFSQHEPGVTHVLVTGGAGFIGSHASLRLLKDSYRVTIVDNLSRGNMGSVKVLQELFPEPGRLQFIYADLGDAAAVNKIFSQNAFDAVMHFAAVAYVGESTQEPLRYYHNITSNTLLLVKAMAAHGVKTLIYSSTCATYGEPEKMPITEVTPQSPINPYGKAKKMAEDIILDFSKTSDMAVMILRYFNVIGSDPEGRLGEAPPPELREQGRISGACFDAARGIIPGLKIRGVDYTTPDGTCVRDYIDVTDLIDAHVKALEHAKPSKVGIYNVGTGKGSSVKQFVEACKKATGVDIKIDYLSRRPGDYAEVYSDPSKIRRELNWIARYSLEESLAIAWRWQKVHRNGYN >Solyc10g075110.2.1 pep chromosome:SL3.0:10:58890336:58891291:-1 gene:Solyc10g075110.2 transcript:Solyc10g075110.2.1 gene_biotype:protein_coding transcript_biotype:protein_coding MEMVSKIACFVLLCMVVVAPHAEALTCGQVTAGLAPCLPYLQGRGPLGGCCGGVKNLLGSAKTTADRKTACTCLKSAANAIKGIDLNKAAGIPSVCKVNIPYKISPSTDCSTGSVKLIIRIWNHLRRSYKNKMNGV >Solyc10g012290.1.1 pep chromosome:SL3.0:10:4840314:4842024:-1 gene:Solyc10g012290.1 transcript:Solyc10g012290.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MSDTKSFLEQILESLTQRKLEVHTRDIIVKKFRDELGGKIYLLVLDDLWSFDLPVWDEFIDSLRGVNTSRGNCILVMTRMKLVASTVATVGLPFGASVLGGLLRNKEKHEWWTILDGNPIVMAEGFLHPYQETTVIEDVGHNFLQILLQNSLLQYVELEEHNNIKYYKMHDLDGDILKSKLFDSKGNDGEKLSQVGYIEWVSPSDKMDMINESGRIVELSGKISKLIFFRYLDLSNPNITTLPNSICKLCNLQTLKSQKLFFTRKSYRRNGKYNKFETHILKHVAYLWSHNETEGYEINDDHVLDGLQPHPNLKTLEVENYFGDIFPSWFSEGLLPNLIKLRLSVCKKCKEIPSLGQLKFLRHIEMIGFL >Solyc01g058050.1.1.1 pep chromosome:SL3.0:1:64988115:64988291:-1 gene:Solyc01g058050.1 transcript:Solyc01g058050.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MHERIHPMLVRASCEGEQEKVQESATTVSNGEHTVSMYEFLVVSSSFDVPAQSCCSKS >Solyc12g017955.1.1 pep chromosome:SL3.0:12:8805694:8826548:-1 gene:Solyc12g017955.1 transcript:Solyc12g017955.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MPSLYCNTDIIDTNLGVKLSNLSSKNKVLIKREYWLLETFGKPPVTSGNNELYISRVSLVNDGGLRFGKTQKFKLQRCSHIDWAGSDDDMKMHFKTHYNISYGKRYKSNYGEGQEGDYYVVVYRLIGNHLRLLWAHQFLNYVVIH >Solyc07g007970.3.1 pep chromosome:SL3.0:7:2660249:2667904:1 gene:Solyc07g007970.3 transcript:Solyc07g007970.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MINNPIAKSRQIMALKQLLFLHNPYIPSPASYSCRRKNASAATSSTVLQHPMLLSMNIDKFKPLDFPKNSTRKLNRSVTTIRSQLNFPIISPQDQWGTWTVLFATGAFGIWSEKTKIGAALSGSLVSVLVGLAASNLGIIASEAPAYKIVTGFLLPLAVPLLLFRADMRRVLKSTGTLLMAFLLGSVATTIGTVVAFFIVPMRSLGQDGWKIAAALMGRHIGGAVNYVAISEALQTSPSVVASGLAADNLICAVYFTTLFALASKIPAEAAQSVSDDKVESESGNKLPVLQTATALAVSFAICKAGELLTKHFGIQGGLLPIITAIVVILATSFPSQFAYLAPSGEAMALILMQVFFTFIGASGGSISNVLNTAPSIFLFALIQIAVHLAVILGVGKLLRLELKELLIASNANVGGPTTACGMATAKGWISLVVPGILAGIFGIAIATFLGIAFGQTVLKFI >Solyc01g104945.1.1 pep chromosome:SL3.0:1:93158360:93161040:-1 gene:Solyc01g104945.1 transcript:Solyc01g104945.1.1 gene_biotype:protein_coding transcript_biotype:protein_coding MLVVGHNTCRIQKLKQELSKSFAMKDLGPARQILGMQIVRDRKAKKLILSQEKYIQEVLRRFSMDKAKVVSTPLAMHFKLSTKQCPSSDDEKEDMKKPILCGYTDSDMAGDVDTRKSTSGYLVTFAGGAVSGQSRLQKCVALSTTEAELIAIVEACKELLWMKRFLGELGCAQERNTLKCCSVLKGQNSLVKVHMP >Solyc08g077270.3.1 pep chromosome:SL3.0:8:61303665:61314284:1 gene:Solyc08g077270.3 transcript:Solyc08g077270.3.1 gene_biotype:protein_coding transcript_biotype:protein_coding MADMPGYFRTCFQTGRLACLAMLVSGGIVLQILACALYNNWWPMLTVIMYVTLPMPLVFFAGSDTSSLYTESGSCWLNATKFLTGASTVGSIAIPIILKHAGVIGWGAMALELSSFFVFVLSILCYLGMNEDDGYRVKLSYGTAGFRADASLLESTVFRVGILAALRSLKTGSVIGLMITASHNQISDNGVKVADPSGGMLTQDWEPFADAIANAPDPRSLLQLITEFAKEEDIGFEGRQPPEVLLGRDTRPSGEPLLDAAKQGITSIVGAIGTDMGVVTTPQLHWMVRARNRGLEASESSYFHQLSSSFRCLMDLKPEGIRKNGDDNALVVDGADGVGGEKLEHFKKMLTGLCIEVRNRGEGMLNEGVGADYVQKEKVAPRGFGPADAGLRCASLDGDADRLVYFSVILNESNKIELVDGDKILSLYALFIKEQLSILNDGESNKNNDSYQAHLGVVQTAYANGASTDYLKEMGLEVVLTPTGVKYLHEQAAEFDIGIYFEANGHGTILFSEAYLCRLESTHKTLLSTSEGSAKQKAASRLLAVSQLINQAVGDALSGLLLVEVILQYMGWSICRWNELYHDLPSRQLKVKVGDRTAVVTANAETVAVQPVGIQEAINAEIAKYPRGRCFIRPSGTEDVVRVYAEATTQDAADALASSVARLVDQYLGSGSA